>OIW22062 pep supercontig:LupAngTanjil_v1.0:Scaffold_1004:2205:2815:1 gene:TanjilG_00854 transcript:OIW22062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTKLSITTLTVFLFLASNVRAQTKGFDVTKYGARLNRDITVALTNAWKDACGSTTPSRVVVPKGSYMLKQIDLKGPCKAPINVQVDGKILAPKNPKLLNGVDQWVKFGYINFFTLSGEGTFDGQGEMAWKHNDCGKNKNCDRLSMVSKLLISQYTLHLVF >OIW22063 pep supercontig:LupAngTanjil_v1.0:Scaffold_1005:77:427:1 gene:TanjilG_00855 transcript:OIW22063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVGDYVLENGDSVGRFSDEWEGNMGNANAAWLTTTILLQMIDGNRTIEIVRGSRPRTNSNVWRRQRRPAFDPHRRLADNLFGIRDNNNAGVSEDGSPVLRRRRRLSRTRSNEDQS >OIW22064 pep supercontig:LupAngTanjil_v1.0:Scaffold_1009:633:2813:-1 gene:TanjilG_00856 transcript:OIW22064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQGSSLSVENSEKDVLVSSNGIFTAGFYPVGNNAYSFAIWFTQNPTVVWMANRDNPVNGKYSKLSLLTTANLVLTDAGKYNIWSTDTASTSSVHLKLLSSGNLILQQLEPSLVLWQSFDFPTDTLLPQQQLTRHSTLVSPRSNTNYSSSYYHLFFDNDNVLRLLYDGPEISSIYWPDPWLVSWNAGRSTYNTSRIAVLDTLGQFVSSDNFTVMATDYGTVLQRRLKVDCDGNIRVYGRRNGGEEWYVSWQSNLTPCRIHGICGANSMCTYDPNSGRSCSCLSGYKMKDDTDWSLGCVPKFNLPYDNVSDFLSQEHLEFYGYDFGFYPNYTFDQCKALCMHFSDCKGFQHSFGDGVFNCFPKFQLLNGYRSPSFVGTTYLRVPQMRKDSIGKYSCPANNETLQLKRTYVKEEENGSVKFMMWFSTGLGGLEVLCIFLVWFLWFSNTQEPGADVHSYALATNGFRRFTYSELKLATKGFSEEIGKGAGGVVYKGILSDSRVAAIKRLKETNQYGEREFLAEVRSIERLNHMNLIGMWGYCAEGKHRLLVFEYAEHGSLAQNIQSNQLDWTKMYNIAIGTARGLAYLHEECLEWILHCDVKPQNILLDSNFEPKVADFGLSKLLSRSDIKHSNFSMIRGTRGYMAPEWVFNLPITAKVDVYSYGIVVLKMLTGKSATMDVMDDDNGVELQHRRLVTWVRDKFNKGYSSSLSISLVEEIMDPAIEGDYT >OIW22065 pep supercontig:LupAngTanjil_v1.0:Scaffold_1033:317:691:-1 gene:TanjilG_01210 transcript:OIW22065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRGKGGXVKGKSKSRSSRAGLQFPVGRIHRLLRKGNYAERVGAGAPVYLAAVMEYLAAEVLELAGNAARDNKKTRIIPRHLQLAIRNDEELNKLLSGVTIAQGGVLPNIQAVLLPKKTEKKA >OIW22066 pep supercontig:LupAngTanjil_v1.0:Scaffold_1039:1562:2241:-1 gene:TanjilG_01211 transcript:OIW22066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMVGGYLVLSLPFSVVSIIRPHATGPRLFLIILDTVFLTLATASAASAAAIVYLAHNGNQDSNWLAICNQFGDFCAQTSGAVVSSLVAVVIFVLLIVMSALALKKT >OIW21358 pep supercontig:LupAngTanjil_v1.0:Scaffold_103_11:543:1357:-1 gene:TanjilG_01176 transcript:OIW21358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGLNWVIKNGGVASEADYPFKGQQGKCPDSKVKSSATISSYIKLEKTEAALLNDVAVKPISVGVDATGMIHYKKDSIYAGGNYKDTTNHAVLIVGYDRTKEGVDYWIVKNSWGKDWRNDDYIWIKRNTGLSNGVCGIHTRAYRPMKDKKEFKAQY >OIW21359 pep supercontig:LupAngTanjil_v1.0:Scaffold_103_38:1844:2335:-1 gene:TanjilG_01177 transcript:OIW21359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMISYGPKLFIIFFISTAFITLFKLYSLKLFLLIFFICTTFITLFKLYYPKSTVLANNRTPFNNISQDEVIQLFIEWKKEQGRVYKDNEEMAKKFVTFVSNFNAFIEHNANRESRSGCTKCLNLFADFSQEEFAEIYLRGLDSDSDDDIELNNLPHIAPPSP >OIW21360 pep supercontig:LupAngTanjil_v1.0:Scaffold_103_72:11616:15232:1 gene:TanjilG_01190 transcript:OIW21360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVDVQLYSVPAAMDGSAISFPPQEYSDFSTGYLEDALIEFTSKRRCLMPRADEQRNSNDDFEKSSCNFNFNSIWIQQPVENLYRMNHIERIFGFSDTKTPEGTTNSASESPNSHSSSYTEPVTSKNTEVNLLSRDPTVNPAGIGDEMRKKKVIQTTTRVVYPFSMVKPGGTEGDLTLNDINERILMPPTRAVKHPVGDFACQPCVSAQGPGLSGKAVMTLTRIHTLGKRGIITIIRTKG >OIW21361 pep supercontig:LupAngTanjil_v1.0:Scaffold_107_2:1964:4615:1 gene:TanjilG_01630 transcript:OIW21361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNDVGSRPGVPPNSIPSQPNPFGNAFNVAGSGLIRGGLGAYGGKILGSSSEYVQSNISRYFSDPQYYFQVNDHYVKNKLKVVLFPFLHRGHWTRITEPVGGRLSYKPPIYDINAPDLYIPLMAFGTYIILAGLSLGLRGNYSKFLDDAVFMSRFSPEALSLLFVKGLLGWFMQASLLKVTLLSLGCGEGPLLDIIAYAGYTFTGICLAVFGKIISGYAYYFLMPWTCLCMGVFLVKTMKRVLFAEVRSSDSSKHHYLLLFIALVQFPLFIWLGNITVNWLL >OIW21362 pep supercontig:LupAngTanjil_v1.0:Scaffold_107_6:12205:14086:1 gene:TanjilG_01631 transcript:OIW21362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSLSTIKKPHAVCIPYPAQGHINPMLKLAKLLHFKGFHITFVNTEYNHRRILKSRGSDSLNGLSSFRFETIPDGLPDPDVDATQDIPSLCESTRKTCLPHFKNLISKLNNASDDVPPVSCIVSDGVMSFTVDAAEELGIPEVLFWTTSACGFMCYTQYQQLIQKGLAPLKDISYLTNGYLETTIDWVPGIKEIRLKDIPTFFRTTNPDDLMLDFVLGECKRSQRASAIILNTFDALEHDILDAFNSSILPPVYSIGPLNLLLNDVTNKNLEAIGSNLWKEDQECLEWLNTKEPNTVVYVNFGSITVMTSEQLVEFAWGLANSNKSFLWVIRPDLVAGENAVLPPEFVTQTKNRGLMLSWCPQEEVLAHPAIGGFLTHSGWNSTLESICGGVPMICWPFFAEQQTNCRFTCKEWEIGLEIEDAKRDKVESLVKVLMDEKKGKEMKEKALEWKRFAEDAASGPDGSSFLNLDKVVHQILLGQTDKN >OIW21363 pep supercontig:LupAngTanjil_v1.0:Scaffold_108_12:3772:6012:1 gene:TanjilG_01739 transcript:OIW21363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRKVSNFSDLIQRVTSSCLLHPLAAGSYDVAGENEEESNSLSETEENRDEEELKGYEDENDNYDEENEMIGFKVLKLKQMEAMMEEVFETVSSMKRAYMSLQEAHSPWDPEKMRVADVAVVAELRKLAVLRERFRRSGDGNGRRKGKRRGGDSGGGSGLSLREVVGPYEAVVEELKKEVKTKDMEVQNLKEKLENVVANGGDKKPGRSQSKRKLGVQAIAAVPSPELFEATMAQVKEASKSFTSLLLSLMHNAHWDITAAVRSIEAANASTDKCHTSSVSIVSAHHAKYALDSYISRKIFQGFDHETFYMDGSLSSLLNPDQFHRDCFTQFRDMKSMDPTELLGILPTCHFGKFCSKKYLAIVHPKMEESLFGNLDQHNHVSAGNHPRSEFYNEFLGVAKAVWLLHLLAFSFNPTPSQFEASRGAEFHPLYMDSVVKFSGGRVPAGQVVGFPVSPGFKLGNGSVIKARVYLIART >OIW21364 pep supercontig:LupAngTanjil_v1.0:Scaffold_108_12:20427:24906:-1 gene:TanjilG_01740 transcript:OIW21364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDPNPRPDITFAQAFLCSAFAACVAEFCTIPLDTAKVRLQLQKKVAAEDGAASPKYKGLLGTIKTIAREEGISALWTGIVPGLHRQCVYGGLRIGLYDPVKTFLVGSAFVGEVPIYHMILAALVTGALAITIANPTDLVKVRLQAEGQLPPGVPKRYSGAMDAYSTIARQVGLPSDEFFLEGLGALWTGLGPNVARNAIINAAELASYDQVKQTILKIPGFMDNGFTHLLAGLGAGLFAVFIGSPVDVAKRVLRG >OIW22067 pep supercontig:LupAngTanjil_v1.0:Scaffold_1094:681:842:-1 gene:TanjilG_01803 transcript:OIW22067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVGLFCMTNTMAQDSEIAPTSQLQAGDGFALPISGVALCSSLLASLVTFMMQ >OIW20082 pep supercontig:LupAngTanjil_v1.0:Scaffold_10_102:6887:11699:-1 gene:TanjilG_00573 transcript:OIW20082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSEFQRFAVSSRFRPFPKSFSLRDKACMQTDIVLGVFVTFSRTVADLFFLFHMVLKFRTAYVNPKSRVYGRKELITDPHQIATRYLKSDFIIDLLATLPLPQIVVWFVIPALKNSTAAHVNHTLSLIVLSQYIPRLFQIFPLQRRILKTSGLIAKTALAGALYNLGFYMLASHVLGASWYVTSIQRQYECWRITCKKEMNKTHSPSCNPKFLDCAILGDREREAWFRRTRVLTDCDALNDKNKFQFGMFADAFTDHVSSSRFFQKYFYCLWWGLKNLSSYGQNLQTSTYSGETLFSSFICISGLILFAHLIGNMQNYLQSSTARLEEWRLKQKDTEEWMNHRQLPPELQERVRRFVQYKWLATRGVDEEAILRSLPLDLRRQIQRHLCLDIVRRVPYFGQMDDQLLDAICERLVSSLNVKDTYIVREGDPVKEMLFIIRGQVESSTTDGGRSGFFNSITLKPGDFCGEELLTWALMATSSLNLPSSTRTVKTLTELEAFALRAEDLKFVASQFKRLHSKKLQHAFRYYSHQWRAWGACFIQAAWRRYRKRQLAMELLEKENVYTNMMDQEDYEEIGVEEISSHIQNLGATVLASKFAANTKKGAFRKVTIAESNLKMPKMFKPTEPDFSRFQED >OIW20083 pep supercontig:LupAngTanjil_v1.0:Scaffold_10_108:9778:12675:1 gene:TanjilG_00574 transcript:OIW20083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTRSGSDLLDLVTADIFNIPQTLRPLSRVMTDPEIISDVNGGKQSNENDSEYHPKMIIVSIFLPINARKDNLSGKWCFAYDEDSIFWQLKHGICSYTRVVYVGSLKVDIDVSEQEEVAAQLLEDFNCVPTFIPPDLMEKFYDGFCKQQLWPLFHYMMPMYPRNCNIFDRSLWLAYVSANKIFADKVLEVVNQEEDYVWIHDYQLMVLPTFLRKRFIRVKLGFFLHIPFPSSEIYRTLPVRIEILKALLNVDLIGFHTFDYARHFLSCCSRILGLQYESRRGYLGLEYFGRTIFIKILPAGIHMGRIQSALNHPSFSIKAREICQQLKGKRVVVGVDDMDIFKGISLKFLAIEQLLQQYPELQGELVLIQILNPPIGTGNDVEEAMQEAHITARRINGRFGIPGSYEPIIIIERYVPFDEKTVYYALAECCVVNAVRDGMNLVPYKYIVCRQGSPKLDEVLKIDSDSPRRSSLVVSEFIGCSPSLSGAIRINPWDINAIADALNLAITMDDGEKQLRHEKHYRYVSSHDVAYWARSFEQDLVFSCKDHYHKRCWGLGFGLNFRVLALSASFRKLSIDHIVSAYKRTNRRAIFLDYDGTLVPQSSIVKAPSPSLISMLNKLCSDPSNTVFIVSGRGKISLSEWFDQCKKLGIAAEHGYFIRWGKQSSWKMSHVGTDFAWKRIAEPVFRSYTEATDGSYIEAKESALVWHHHRADPEFGSWQSIELLEHLESVLANEPVVVKKGKKIVEVKPQWVTKGSVTQEVLSALTRAGKSPDFVLCIGDDRSDEDMFESILNKAYGATSSTSPEMFACTVGQKPSKARYYVDDTVEVITILQDLAAISAIMIRSAAAAV >OIW20086 pep supercontig:LupAngTanjil_v1.0:Scaffold_10_111:25716:27053:-1 gene:TanjilG_00577 transcript:OIW20086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLLKKTTIASHFRSSAQLLAEDSALKPFKSYKKSVKQLKRIGDVLTIVVVAGTQSFITGFDEMSEGLGIMICQGVE >OIW20085 pep supercontig:LupAngTanjil_v1.0:Scaffold_10_111:13756:16321:-1 gene:TanjilG_00576 transcript:OIW20085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSLKLLFSTYIFLIFLKANSDNEEVKQALVTFMEKLAPRNAMWGWNLTSDPCIDKWYGVSCNSDNYSVRSIVLDKSNLIGVLDATSLCLVNNSLQILSLSDNMLHGLISEDIGNCKSLTHLFLNGNEFSCEIPISIAKLSNLKRLRISDNFFTGELPNVARISGLISFLAENNSFTGGIPVFDFSNLDKFNVSNNNLQGPIPNVGGKFNADSFYSNPDLCGKPLSKACAYPPSIAPFPMLCEMKDKKSSGYGLYIYSGYIILGAIVLVFISFKLVRKFKTKEEAAKKETGEETIGGKVSQTFNSNGFKSSIGIRSEYSMTTSESGIYKSSLVVLSSPGLKGLNFEDLLSCPAELVRRGKHGSLYKVMVNNGVLLAVKRIRNWGISKQDFEKKMELLARAKHPHVLSPVAYYFSEQEKLLAYEYLQNGSLFMLLYGPQSGQFFDWGSRLKVAANIAKALAYMHEELHESGIAHGNLKSSNILFDKNMDPGISEYGLVVAESQDQSFVSHDKDVNDRNLIAPDMFKDDVYAFGVILLELLTGKVVKNDGFNLVKWVKSVVSKEWSVEVFDKSLILQDACEQRMIDLLQVALKCINPFPDDRPSIRQVAMMTSSLKEDEQKSISFGS >OIW20087 pep supercontig:LupAngTanjil_v1.0:Scaffold_10_111:41999:42746:1 gene:TanjilG_00578 transcript:OIW20087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFIEEDDVELEPPSSRPMYLAVSSEVGIDGIGFDDMSKYFKSLVNLGAPPFPKICLHVLILMLAIHQDEFRTILLISTTFLKQLETMNQLLLEGSVNIILSFLDNGDEFRDCEIDRISDFCMDGRLLLWLVWMVLLLLIITRKWRCFLYPKFIRLLVDSVKKRFLQLDIE >OIW20084 pep supercontig:LupAngTanjil_v1.0:Scaffold_10_111:11914:12381:1 gene:TanjilG_00575 transcript:OIW20084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNFDPELDSTTNTRCTFCFPCCFSSRRSPSINFTWWERVRATSQSESHPVIGSAGNRWWSRSFMKVREWSEIVAGPRWKTFIRRFNRSKSGGLRNNGKYQYDPLSYALNFDEGPGQNGDFEDEGYDGFRNFSNRYAAATPIKSDSTYAGQTSPF >OIW20088 pep supercontig:LupAngTanjil_v1.0:Scaffold_10_113:3846:4634:1 gene:TanjilG_00579 transcript:OIW20088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVETTSNNSGAKLAGKVAIVTGGSGGIGEAAAHAFADQGARVVIADVQDDLGNKVAESIGTNKCTYIHCNVADEEQVQNLIQSTVNTFGQIDIMFSNAGISSELEQTIIELDISELNRLLAVNASGMAACVKHAARAMVDKHVRGSIVCTGSIYGSNGGSDGTDYAMSKHALLGLVRSASIQLAEHGIRVNCVSPNALVTPMTLKYSGSEEKVYELCAKTARLKGVILTAKHIADAVLFLASNDSEFITGHDLVVDGSYIAP >OIW20089 pep supercontig:LupAngTanjil_v1.0:Scaffold_10_128:22308:26614:1 gene:TanjilG_00580 transcript:OIW20089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNGHMEQGVGSFVQPSPGSMQVVDERNDVHNQLLDVPNLEGQSSILCQNITRPSNLSGLQVMGSRIVGLSGNASLVQMPESSHLLRQERASNIQLQRVAHASGNRPTIWKNWKGKNGEEYVPPGRGRPRKRFEVGESSNCPKYQMIEKDSSGRENAGTSPIQQEANNASENVTNEVQNANPSNPSPYAQTPREITNCLYGLAFERNCVPTDPHLRLFKGPPGNYNYFLGMILFLLWLVIMQQIKYY >OIW20090 pep supercontig:LupAngTanjil_v1.0:Scaffold_10_128:33543:34308:1 gene:TanjilG_00581 transcript:OIW20090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRWFKVGVVVVHLRGSGLEGSVTPILSHKMGFQSLNPNSIFSALPQRWSRRDTVVQGGSDLVSYPHGGRCSKVVSGYISTPIEHKGTRFLIGFWQLTMAPIAQ >OIW20074 pep supercontig:LupAngTanjil_v1.0:Scaffold_10_14:37122:39644:-1 gene:TanjilG_00553 transcript:OIW20074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNLHWFKKNSIKGKPGGRRISLGEYKRAVSWSKYLVSPGAAIKGEGEEEWSADMSQLFIGSKFASGRHSRIYRGVYKQRDVAIKLISQPEEDEDLAAFLEKQFTSEVSLLLHLHHPNIITFIGACKKPPVFCIITEYLAGGSLRKYLHQRQPHSIPVQLVLKLALDIALGMQYLHSQGILHRDLKSENLLLGQDMCLKVADFGISCLESQCGNAKGFIGTYRWMAPEMIKEKHHTKKVDVYSFGIVLWELLTGLTPFHNMTPEQAAYAVSHKE >OIW20091 pep supercontig:LupAngTanjil_v1.0:Scaffold_10_154:8591:21660:-1 gene:TanjilG_00582 transcript:OIW20091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNATALGSICFNIGHSWEKEVAKVSKVRKQHDWKPIVKCSIKGGNSTSQKAVRVGVLGASGYTGAEVLRLLANHPQFGVALLTADRKAGQSIASVFPHLGTQNLPDLVAVKDANFSDVDAVFCCLPHGTTQEIIKGLPKHLKIVDLSADFRLRDISEYEEWYGQPHRAPELQKEAVYGLTEVLREDIKNARLVANPGCYPTSIQLPLVPLIKANLIGTENIIIDAKSGVSGAGRSAKENILFTEVVEGINSYGVTRHRHVPETEQGLADAARSKVTISFTPHLIPMSRGMQSTIYVELAPGVRVEDLYQQLKLSYQDEEFVVLLENGAIPRTHSVKGTNYCLINVFPDRIPGRAIIISVIDNLVKGASGQALQNLNLMLGYPENLGLHYQPLFP >OIW20092 pep supercontig:LupAngTanjil_v1.0:Scaffold_10_156:10930:14562:1 gene:TanjilG_00583 transcript:OIW20092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQSSKPQLPLKQIPGSYGLPFFGPIRDRHDYFYHQGRDNFFATRIKQHNSTVIKINMPPGPFISSNSKVIALLDGASFPILFYNTKVEKRNVLDGTFMPSTPFTGGHRVCAYLDTTEPNQLYSNASLSKISFLGKMVRRTSTLSWVPPRLTSCSGFFVIIKIHQRQTLVLRVLDYLIRGFYFSLFRLQRLVFLRSSTILIRTVPFPFCFATSRYKKLYEAFLKEAKTVLDEVEKLGIKRSEACHNLVFMAGFNAYGGLKNQFPILMKWIGLAGESLHKELAEEIRTVVKEEGGAREDLVVQSHDASFEIKKGDMIFGYQPFATKDPRIFEDPEEFVARRFVGEGEKKLLKHVLWSNGSETEEPSPENKQCPGKNLVVLLCRLFLVEFFLRYDTFEFEYKTGVLGAAITIKSFTKASTF >OIW20094 pep supercontig:LupAngTanjil_v1.0:Scaffold_10_159:23730:24851:-1 gene:TanjilG_00585 transcript:OIW20094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSHMSKKTPELETSSGINLNTDMSTELSSYEVACKLDSDLRSFDSTIQARTNKVINTLAVGVEVRSLSFDSLKQVTECLFEMNQEVVKVILDCKKDIWKSKELFELVEDYFDNSLKTLDFCAALEKCLNRAKDSQLLILMALQQFEEEKVIGSSHYVRTLQELKNFKAAGDPFSEEFFKICHSVYNQHILMLEKLQIRKSKFDKKLKSIRTWRKVSGVIFVATVAAVLICSIVAAAIASPHVAAAIAAATAVPIGSMGKWIDTLFKNYEDALKGHKEVTISMQVGTYVAIKDLDNIRVLIDRLEIEIESLLQNVDFAIEEEDLKVAIEEIKKKLGVFMKNVEDLGVQADTCSRDIMMARTVVLQKIIKLPNK >OIW20093 pep supercontig:LupAngTanjil_v1.0:Scaffold_10_159:9540:9788:1 gene:TanjilG_00584 transcript:OIW20093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMRSEEEEEEEEGEEEEEEDDEEDDEEDDEEDEDEDEDEEEEDEEEEEEEEEEEEEE >OIW20095 pep supercontig:LupAngTanjil_v1.0:Scaffold_10_160:12011:16371:1 gene:TanjilG_00586 transcript:OIW20095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTEESNSFDTRYRLLMPQDDGAPNKPLLTHWTPVQAFDNSGSTSSSFLKPHAASSYFSNYALPKQGNGTPLQYGFMHDVMTLSNNPIEVSRDTALVFPEKLRGTFDENNVDSMKFFAGNLRPDTLKYKESRNKCNRSSIPKNDNEGMSLSGTSGSKESPGEGHGTNIDFPLTVKQQYTVMLGNANSSEQNQNSGTCLNTTNSSVQFSKLGEDEIRKERKRQSNRESAKRSRMRKQKECEELHRNMDILKDENSELTHLLMKLSEECLELSTENDSIEEELVEMYGPESIADLLPMKPASVGSQTTVKEES >OIW20096 pep supercontig:LupAngTanjil_v1.0:Scaffold_10_161:4135:4524:-1 gene:TanjilG_00587 transcript:OIW20096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANTSARNLGGVGEDRMTSFHQLHAYGGSGGIGGNGGLGGGIGGGYGGGGVGNGGGIGSGYGGGIGGGNGGGIGGGYGGGSGGLGGGIGGGFGGGGIGGGSGGFGGGMGGDDGHYGGGIGGEFMNHLRP >OIW20097 pep supercontig:LupAngTanjil_v1.0:Scaffold_10_172:8919:10187:1 gene:TanjilG_00604 transcript:OIW20097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYTSSTAASSPKRRRRCLLPPPPLNNAPPYVTMPLSSELLPDELVIEILLKLPVKSLLRFISVCKSWNSLIFTPNFVKKHLHHSASDSTLQYHRLLGRNILGNVPGLQFSQSLVSLIDNPSQSPFDSLLSAELHSEPLVVGSCNGLICWVYFDDYSNHYACYLNPMTRSESKSPRISHPWGGNHCLTRFGFGYDHLSDSYKTVGVYCDPQVENVEDKTLVQVYTLGDPSNSWRKMPSFPFVPSRGHTCTSRYSDWCGKLVSNTLNWLCVRPDAKGSLVVVSVDLGKETCVEVLLPVVDKYEPIGSPKLWVLGGCLCFSYDFDGTHFVLWQMKEYGVTESWTILLNIFYGDVGFEHIYGYFPKPFIMLDTGEVLLHIKFDGVFILYNPIHKSFKYLEFQNEKIWFEGTTYIESLMSPCLAPY >OIW20098 pep supercontig:LupAngTanjil_v1.0:Scaffold_10_173:9914:11185:1 gene:TanjilG_00605 transcript:OIW20098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFTSSTTASSPKRRRRSLHPPPPLNNAPPYVTMPLSSEQLPDDLVIEILSKLPVKSLLRFMSVCKSWNSLILSSNFVKKHLHHSSSDSTLRYHRLLGRNIPGTVPGLQFSQSLLSLIDNPLQSPFDSLLSAELRSEPMVVGSCNGLICWLNFDDYPSHYAYYLNPMTRLEFKSPCISHPWGRKRWLTRFGFGYDHISDSYKTVGIYYDRRVENIEEKTFVLVYTLGSPSNSWRKMQTFPFVPFLGQPCPSGYSDWCGKLVSNTLNWLCGRPDEKGYLVVVSIDLGKETCVDILLPIVDKYELIRGPRLWVLGGCLCFSYDFDGTHFVMWKMKEYGVTESWTILLNISYLDVGFDDHFGYFPKPFIMLDTGEVLLQIKDDGVFILYNPRHKSFKYLKFQNEKIWFEGITYIESLVSPIWPLVN >OIW20099 pep supercontig:LupAngTanjil_v1.0:Scaffold_10_173:14853:18109:-1 gene:TanjilG_00606 transcript:OIW20099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWCFSMPKLELHAHLNGSIRNSTLLELATALDEKDFSEVEHVILKYDDRSLKEVFKLFDLIHILTTDHDTVTRITKEVVEDFASENVVYLELRTTPKRNDSIGMSKRSYIEAVLKGLRSVNSVDVDFAPHSEDSKTFLNPLPSTTEDKCNRDSRKKIFVRLLLSIDRRETTEAAMETVKLALEMRQYGVVGIDLSGNPSIGEWITYLPALKFAREQGLHVTLHCGEVRNPKEIHNMLDFHPERIGHAIFFEEEHWRKLKSSNIPVEICLTSNIRTLSVPSVDAHHFVDWYNAKHPLVLCTDDSGVFSTSLSNEYKIVAMSFGLGRKEMFELSRNAVEFIFADNGVKEDLRTYFNSVAKNMEL >OIW20101 pep supercontig:LupAngTanjil_v1.0:Scaffold_10_182:14369:19782:1 gene:TanjilG_00608 transcript:OIW20101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSASSRISLYSAVQLNGPKEVNVSRKCGLVKFSSGEFMGRKIKLIKGSESACTKNVRQHICMTLTTNVSSEAQLRDLDLEKKDPSTVVAIILGGGAGTRLFPLTKRRAKPAVPIGGAYRLIDVPMSNCINSGINKVYILTQFNSFSLNKHIAHAYNSGSGVTFGDGYVEVLAATQTPGEAGKSWFQGTADAVRQFHWLFEDKRSKDIEDILILSGDHLYRMDYMDFVQNHRESKADITISSLPMDDSRASDFGLMKIDNKGRILSFSEKPKGADLKAMQVDTTVLGLSRDEAEKKPYIASMGVYVFKKDILLNLLRWRFPTANDFGSEIIPASAKEFYMKAYLFNDYWEDIGTIRSFFEANLALTDHPSKFSFYDAAKPMYTSRRNLPPSKIDSSKIVDSIISHGCFLTNSLIEHSVVGIRSRINSNVHLKDTVMLGADFYETEAEVAAQLAEGRVPVGIGENTKIKDCIIDKNARIGKNVVIANSEGVQEADRSSQGFYIRSGVTIVSKNSVIEDGFII >OIW20100 pep supercontig:LupAngTanjil_v1.0:Scaffold_10_182:5191:7950:-1 gene:TanjilG_00607 transcript:OIW20100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISSVKYTPSSLNTSTFSCRKFPVQKPQLSTLPIIQNVKKNTYLNLLSSSNQKPLYLACTNTFIKPRSRNNLTECKAYEADRSRSLDINIDVSDENSTQKFKIGLYFATWWALNVVFNIYNKKVLNAFPYPWLTSTLSLAAGSLIMLISWATRVAEVPKVNFDFLKALFPVAVAHTIGHVAATVSMSKVAVSFTHIIKSGEPAFSVLVSRFFLGEAFPMPVYLSLLPIIGGCALSALTELNFNMIGFMGAMISNLAFVFRNIFSKKGMKGMSVSGMNYYACLSILSLLILTPFAIAVEGPKLWAAGWQTAVSQIGPNFVWWVVAQSVFYHLYNQVSYMSLDQISPLTFSIGNTMKRISVIVTSILIFHTPLKPVNALGAAIAILGTFLYSQVIHLN >OIW20102 pep supercontig:LupAngTanjil_v1.0:Scaffold_10_182:33640:34125:-1 gene:TanjilG_00609 transcript:OIW20102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVALEHEDDLFFADLSKEIALLIMDEDEEPLVSCPKDPFKAFSGAIHPPPQFAFIYEQALRRESKGTGVFIPQVTQPRRKHRKGRTSSYAKYQKQSQDTRMVSQVPNKNSFKPRNNGRGYMLPPSEKSA >OIW20103 pep supercontig:LupAngTanjil_v1.0:Scaffold_10_188:2607:7155:-1 gene:TanjilG_00610 transcript:OIW20103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMAESEGSRWRDLDKLLLRRGNLVGSRFEPGTDLRDDLQTYATVLVVGAGGLGCELLKDLALSGFRNLHVIDMDRIEVTNLNRQFLFRLEDVGKPKAEVAAKRVMERISGLNIVPHFCRIEDKEIDFYNDFNIIALGLDSLEARSYINNVACSFLEYDSDDNPREETIKPMVDGGTEGFKGHARVILPGITPCFECTIWLFPPQVKFPLCTLAETPRTAAHCIEYAHLIKWNEVHGGTAFDPDNPEHMKWVYDEAVKRAELFGIPGVTYSFTQGVVKNIIPAIASTNAIISAACALETLKIATECSKTLSNYLTYNGSEGLHTKVAEFERDKDCLVCGPGVLVELDPLITLQKFMDLLEEHPKLRLSKASITHRGKNLYMQAPPVLEEMTRSNLGLPLFDLMGKLSKDIIHVNGMTSKNDHKVSCLRKLRVVFKGVDGVTDMDTAGGA >OIW20104 pep supercontig:LupAngTanjil_v1.0:Scaffold_10_188:14114:16384:-1 gene:TanjilG_00611 transcript:OIW20104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADVYFSFFTLLIIIPLSLLAFLYFIVRPRTVRIPIKNRNVFITGGSSGIGLALAHRATVEGARVSILSLSRNMLEEARNTVKLATGSSKFGLRGLAEALQHEVIADNIHVSLIFLPDTDTPGTVEGIELNA >OIW20105 pep supercontig:LupAngTanjil_v1.0:Scaffold_10_189:13799:15145:1 gene:TanjilG_00612 transcript:OIW20105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLCNIAEHQSIKHKLTMSPSTSIHFFLLLTTLLSLSCLSQSHKISQVNPITLPIAKDPKTNLFYTPIGIGTPQHNFNLTIDLGGPILWYDCNNNYNSSTYNPVHCDSKRCNGNAGCTGCNGPFKPGCSNDTCGANILNPFTDSIFSGDTGDDVLFVSGNTLSDLLSGCTNSDGFSGDEVLKGLPEESKGIIGFARTDLALQTQLSSTHKLPRKFSLCLPTSNNNGPGKVVIGGGTTQPTILVTTTLIVNPFSTAPIFSEGDPSYEYFIDVKSINIEGNDLNFKSSLLSIDSKGNGGTKISTMNPFTILHSSILKPLVRDFVKKASDRKIKKVASVAPFEACFDVSTIDMTVTGLNVPTIELVLKEVVQWTFYGGNSMVLVNKNVACLAFVDGGKEPRTSVIIGGYQLEDNLLEFDLVSSKLSFSSSLHRLKLTCSSGDLFSYPVYKA >OIW20075 pep supercontig:LupAngTanjil_v1.0:Scaffold_10_19:3816:7151:1 gene:TanjilG_00556 transcript:OIW20075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYGVGGGSGSPGNNHHHDGGGGSSDSQRRKKRYHRHTANQIQRLESMFKECPHPDEKQRMHLSRELGLAPRQIKFWFQNRRTQMKAQHERADNCTLRADNDRIRCENIAYSEALKNMFCPSCGGPPLHQDPYVDEQNLRLENAQLKEELDRVSSIAAKYIGRPVSQLPSMHPIHISSLDLSMGNFGTQGLVGGPSLDLDLLPVNGSSSSMQNVPYQPPRLSEMDKTLMSDIASNAMEELIRLLQTNEPLWMKSSTDGRDVLNFDTYDRMFPKPNSHLKNPNVRIEASRDSGVVIMNSLTLVDMFMDPNKWMELFPTIITMARTFEVVSSGSMAGHSDTLQLMYEELQVLSPLVSTREFYFLRYCQQIKQGLWAIVDVSYDFPQDNQFVPQFRSRRFPSGCFIQDMPNGYSQVTWVEHVEIEDKTPVHRLYRNLFYSGLAFGAERWISTLQRMCERIACLMVSGNSTRDLGSVIPSAEGKRSMMKLAQRMITNFCASISTSASHRWTTVSGMDEIGVRVTVHSSSDPGQPNGVVLSAATTIWLPIPPQIVFNFFKDERKRPQWDVLSNGNDVQEVAHIANGSHPGNCISVLRAFNTSQNSMLILQESCIDSSGSLVVYCPVELPAINIAMSGEDPSYIPLLPSGFTISPDGQNDQGGVGGDGASTSSNTNRNTSGGSLVTVAFQILVSTLPSAKLNKESVNTVNSLIGTTVQQIKAALNCPSSS >OIW20070 pep supercontig:LupAngTanjil_v1.0:Scaffold_10_2:20331:22459:1 gene:TanjilG_00445 transcript:OIW20070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTALKLSNYPRVLEYLDVPTNKVMATVIIQSTMKNGTYFSISNMVEALFELIKGLIKDSDGIPSDEIEHESQRLKLMQLMYLCTFVSKVGQTNASPDLLPFVQFSLSSHANSVCQIVLCRFHVEV >OIW20069 pep supercontig:LupAngTanjil_v1.0:Scaffold_10_2:3319:9342:1 gene:TanjilG_00444 transcript:OIW20069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQKTLAKGKLRTTRQQSSIKCSTGKYDDVMTALKLSNYPCALEYLDVPTNKTIETLAGVPAPELALLLYLQCAEGSRAQMTALHLIIGTLQRMHIFVVENMDTSTHKPTWMLIFTSLLNPNLVPFHWIVFNKAFKKARSMQCCLCMLNLFWVDDHDNMKDGESHGSSLCISLVLVFLMSFASESCNGMSSFGFDIRHRFSDPVKGIMGIDELIEKGTPSNITYQIADFGLTPALSFDVALGTGIKWIGPHIAMQVIEAQPNMLTFGVVTLYSSLLTFTLHVHPDRLDYADQVLIWSMRQKTLAKGKLRTTRQQSSIKCSTGKYDDVMTALKLSNYPCALEYLDVPTNKTIETLAGVPAPELALLLYLQCAEGSRAQMTALHLIIGTLQRMHIFVVENMDTSTHKPTWMLIFTSLLNPNLVPFHWIVFNKAFKKARSMQCCLCMLNLFWVDDHDNMKDGERYASIDMKSACFLVLQSVNDCQCLFVVDD >OIW20071 pep supercontig:LupAngTanjil_v1.0:Scaffold_10_3:826:2457:-1 gene:TanjilG_00446 transcript:OIW20071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSVEEIRNAQRAQGPATIMAIGTATPLNCVDQSTYPDFYFRVTNSEHKTELKEKFKRMCEKSMIKKRYMHLTEDILKENPSICAYMAPSLDARQDMVVVEVPRLGKEAATKAIKEWGQPKSKITHLIFCTTSGVDMPGADYQLTKLLGLRPYVKRYMMYQQGCFAGGTVLRLAKDLAENNKGARVLVVCSEITAVTFRGPSETHLDSLVGQALFGDGAAALIVGSDPMLEVEKPLFELVWTAQTILPDSEGAIDGHLREVGLTFHLLKDVPGLISKNIEKALVEAFQPLGISDYNSIFWIAHPGGPAILDQVELKLGLKPEKMRATRHVLSEYGNMSSACVLFIMDEMRKKSVQDGLKTTGEGLEWGVLFGFGPGLTVETVVLHSVAD >OIW20076 pep supercontig:LupAngTanjil_v1.0:Scaffold_10_39:23379:26848:1 gene:TanjilG_00567 transcript:OIW20076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVTWINDDKSAPSVVEYGKLSGKYDAIAEGETTSYSYLLYSSGKIHHAVIGPLEDNSVYFYRCGGQGPELQLKTPPAQFPISFAVAGDLGQTGWTKSTLDHIKQCKYDVHLLPGDLSYADYLQNRWDTFGRLVQPLASARPWMVTQGNHEVERIPLLKDGFVSYNSRWKMPFEESGSSSNLYYSFEVAGVHIIMLGSYADYDVYSDQYKWLKEDLSKVDRKKTPWLLALFHVPWYNSNKAHQGEGGDMMEAMEPLLYDARVDLVLAGHVHAYERSKRVYNGRLDPCGAVHITIGDGGNREGLAAQYIDPQPKWSEFREASFGHGELKIVNSSHAFWSWHRNDDDEPVKSDDIWINSLVNSVAASPDLASASRMVKLR >OIW20078 pep supercontig:LupAngTanjil_v1.0:Scaffold_10_39:29889:34848:-1 gene:TanjilG_00569 transcript:OIW20078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADFLTSTHRAKWVFTPQHLVEKYKAANQRARQVLEKCGATLMEIDADGSLSYPEPQTSAKANAAQIELLTQSLEFDLIVYAPYRSVEGFINDMQEFFNADDDFLQMLKTLQDTARLEVDKMMLTDTPLLFPPGQLALAALHNSNALHKVLDFDGYLSSIFSRQNPVHRESEFIESLNAINSLVRKYKFPERKEQNHINRKLESCWGLSSHDESKKREKKSKHKSKKNSNETQNAPS >OIW20077 pep supercontig:LupAngTanjil_v1.0:Scaffold_10_39:28151:29182:1 gene:TanjilG_00568 transcript:OIW20077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAFYISTKSTFLPTTTIEYLQKLPKLLFRIQAFGSYSTFPMYSLAQHKYLPSFHHQSCTSRPLLSLARVYCTTTTTNTKSRSGDKQLGIEQRLVRPTPTVVTATNGPIDNDPSLLSTWSHRAWVVAGCTSLLISLGQSTKVAADLQMWVEPLIAGYVGYILADLGSGIYHWGIDNYGDGSTPFLGSQIEAFQGHHKFPWTITKRQFANNLYALARAVTFIVLPINLVCHHPTVQAFVAMFAGCIMFSQQFHAWAHGTKSRLPPIVVVLQEVGVLVPRSQHGAHHRPPYNNNYCIVSGVWNEFLDKHKVFEAMEMVLYFTLGVRPRSWSEPSSEWLEETEIPS >OIW20079 pep supercontig:LupAngTanjil_v1.0:Scaffold_10_66:7748:11643:-1 gene:TanjilG_00570 transcript:OIW20079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIHHLICLFMFFSLSSFHVSQAEITTTTQQHFKANINPFTPKASLIRYWNTHVSNKHQIPHFLLSKASPLTPQHYAILNNLLTNNPFSLQSHKFLCSTPNFFCSFDQNRPQLFSSATKDNANFAIYSNKHFGNYGSSRVSGTDSFKNYSNGLNTINDSFKKYSTGSAHHGNQFSNYAENGNVANANFASYGSGATSVSGGFNNYDNSANVPNLRFTTYDSAATDHKLSFSSYGNETNAGTQSFTSYGKRVRGGTSEFTNYAVRTNILQSQFTSYSELGTKATNDSFKFYSSSGNNPQSNFQTYGAGSISGKDSFTSYRNTANVGVDSFQSYAAKSNSGTATFTNYGKSFNLGNDSFKEYGKGSKGHSTFEFKSYDLGRDFKEYNKQGALFSEYRNFTAPSGKIVKKSIEEGKFFRESMLKEGNIMIMPDIKDKMPQRSFLPLTISSKLPFSTIRLTDINQMFQVHEGSATERVILNALRECERNPSIGETKRCVASAEGMIDFALSVLGPSVMVRTTENVNGSSKNVMIGRVFGVNNGKVTKSVSCHQSLYPHLLYYCHSVPKVRVYEVDILDMDTKVKINHGVALCHLDTSAWGPQHGAFLALGSSPGKIEVCHWIFENDMTWTIGSFE >OIW20073 pep supercontig:LupAngTanjil_v1.0:Scaffold_10_9:44121:46160:1 gene:TanjilG_00552 transcript:OIW20073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQSSEPATEEVNKLISKNPELYKELALHQSPRFLIFACSDSRVSPDVILNFQPGEAFLARNIANLVPKYDERDNGVGAVIEYAIQALKVSNILVIGHSRCGGIKRLMSHPEDSSPDYDFIDDWLKIALPAKIKVLEEHPYADFEKQCTFCEKESVNNSIVNLKTYPLVKNGVSEKSLQILGGYYDFVNGKFELWEYESESITIPFSIPE >OIW20072 pep supercontig:LupAngTanjil_v1.0:Scaffold_10_9:25513:26169:-1 gene:TanjilG_00551 transcript:OIW20072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENRRKERNHGNGMKTLCAAPCKQLVSIGCAGDKQLSPCCWTGVEDETGDALKLGTRRERVVVWRENELELNTSEGEGMWVVVNGKWRMWCVRWMNG >OIW20081 pep supercontig:LupAngTanjil_v1.0:Scaffold_10_96:45426:50194:-1 gene:TanjilG_00572 transcript:OIW20081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGRVSSLLSCSLSSSMANPSFASQLQTLGRKTKTWRNINRFNTAAQVDELITPPVEIRYTKHLINGQFVEAASGKTFPTYDPRTGEVIAHVSEGDEEDINRAVSAARKAFDEGPWPKMTAYERSRILLQIADLVEKHSDELAALETWNNGKLYEQAAKIELPIFVRLLRYYAGWADKIHRLTVPADGNYHVQTLHEPIGVAGQIIPWNFPLLLFSWKVGPALACGNTLVVKTAEQTPLTGLFAAQLFHEAGLPPGVLNVVSGFGPVAGSALASHMDVDKIAFTGSTETGKIIYQLAAKSNLKPVTLELGGKSPFIVCEDADVDKAVELAHFAQFFNQGQCCCARSRTYVHERIYDEFLEKAKATALKRVVGDPFKKGVEKGPQIDSKQLEKILGHIRSGIECNATLECGGERIGKKGFFLQPTVFSNVQDDMLIAKDEIFGPVQTILKFKDTEEVIRRANKTRYGLAAGVFTKNLDTANTLMRGLRAGTVWINCFNVFDAAIPFGGYKMSGVGREKGIYSLHNYLQVKAVVTPLNDPAWL >OIW20080 pep supercontig:LupAngTanjil_v1.0:Scaffold_10_96:36259:38504:1 gene:TanjilG_00571 transcript:OIW20080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATETKAATEDVKIDLFEDDDEFEEFEINEEWNDKEEGKEVTQQWEDDWDDDDVSDDFSLQLRRELENNTQKN >OIW21365 pep supercontig:LupAngTanjil_v1.0:Scaffold_111_3:4875:5366:1 gene:TanjilG_02362 transcript:OIW21365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMMKRMRENQEKDTIPLANSLMLLTKSVNKSYVSMEFECKTCNKKFSSFQALGGHRASHKRQKVIGDQELRSDSKKPKMHECNICGQEFSLGQALGGHMRKHRAASTNEGFSSVNNVIAKVPVFKRSNSKRVMCLDLNLTPLENDLKLLFGNKGPQVYLSLF >OIW22068 pep supercontig:LupAngTanjil_v1.0:Scaffold_1127:1818:2057:-1 gene:TanjilG_02476 transcript:OIW22068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCRKCGKAFAVRGDWRTHEKNCGKLWYCICGSDFKHKRSLKDHIKAFGNGHAAYGIDGFEEEDEPASEVEQDNDDSTTQ >OIW21366 pep supercontig:LupAngTanjil_v1.0:Scaffold_112_7:7860:13857:-1 gene:TanjilG_02423 transcript:OIW21366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEEGGSEFNTYPIVRRVNSTHTIMMDADMETGTRTFPNMRTKPYTPLIFRVLLRLNVRVLFLLFLIGFGAIFYMGARTSPIIVFVISVCILSFLVAIYLIKWVLAKDEGPPEMVQISDAIRDGAEGFFRTQYGSISKMAVLLALVILFIYLFRTITPQQEASGLRRSTSACITVASFLLGALCSGFAGYIGMWVSVRANVRVSSAARRSAREALQIATRAGGLSAIIVVGMAVIGIAILYATFYVWLGVDSPGSMKVTDLPLLLVGYGFGASFVALFAQLGGGIFTKAADVGADLVGKVEQGIPEDDPRNPAVIADLVGDNVGDCAARGADLFESIAAEIVSAMILGGTMAQRYPSGFILFPLVVHSFDLIVSSVGIFSIKGTRESGVIGLTEDPMAILQKGYSITIVLAVLAFGASTRWLLYTEQAPSAWFNFALCGLVGITTAYIFVWITTYYTDYKHEPVRTLALSSSTGHGTNIIAGVSLGLESTALPVLVISVSIISTFWLGQTAGLVDETGNPTGGLFGTAVATMGMLSTAAYILTMDMFGPIADNAGGIVEMSQQPESVRDITDILDAVGNTTKATTKGFAIGSAALASFLLFSAYMDEVSTFAREPFKQVDIAIPEIFVGGLLGSMLIFVFSAWACSAVGRTAQEVVTEVRRQFIERPGIMDYKEKPDYARCVAIVASASLREMIKPGALAIISPIVVGFVFRILGHYTGHPLLGAKVVAAFLMFGTVSGILMALFLNTAGGAWDNAKKYIETGALGGKGSEAHKAAITGDTVGDPFKDTAGPSLHVLIKLLSTITLVMAPIFL >OIW21367 pep supercontig:LupAngTanjil_v1.0:Scaffold_112_8:6366:11322:1 gene:TanjilG_02424 transcript:OIW21367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVASPGSILSDSSPLGRNAKSGLQLSQTSLSGESTEKLHFGGEEGIDVSKDSKESSYYHPRSWSDPYMQPAPTSYGLIGDKIITNAVPCESSLFSSSLSEMFSQKLRLMGKDVLSDQPITVGPVPQEEPYKSIEEYIIGNLLPDEDDLFSGVTDVLGYSTHARTNDDFEDYDLFSSGGGMELEGDEHLNSRKRTNGLDGDSVFFGGSKGKVPFGEQPSRTLFVRNINSNVEDSELKALFEQYGDIRTIYTACKHRGFVMISYYDLRAAQDAMQALQNRLLRSRKLDIHYSIPKVNALEKDIGHGTLMLSGLDSYALNDELKQIFGFYGEIKEIYEYPEMKHHKFIEFYDVRAAEAALHALNRIGIAGKQIKLEPCHPRLMQPSHNGQDEGALGQSITENLSLTHKAKVSSGVLGSGCLGNGYNQGFQSAMRQNLNAFVDNAFFQSNSTIHNTVGGTSAAKLSGFRESSNIADAMKFASSPRFHPHSSPEYYGSLANGSPYNFSSTISNMGRNIGTATTAASDGRHIRGMGSAEYNAGGPSGNGIRPHNGLYHIWNGSSLHQKPSSGAVLWQKTPSFVNGACSPSLSQMPSFSRTPPNMLRKPHIDHHIGSAPVATVSPWEGQHSYFGESPEASGFHLGSLGSGGFHGSWQLNPPHLSSHMFSHVGGNSTELTSNDVQSSPKQLSHGFPGRHPTSLTKFDFTGEQMRNLYQHRSEANTNIADKKQYELDLGRILRGEDSRTTLMIKNIPNKYTSKMLLVAIDEHCRGSYDFLYLPIDFKAKQMQCWLCIHKYDRSSSNYSFLPGYAKAFNGKKWEKFNSEKVASLAYGRIQGKDSLIAHFQNSSLMNEDKRCRPIIFHTDGPNAGDMEPFPVGANIRTRPGKSRTGSNEENSGQGSSSTLVSREETATRIDS >OIW22069 pep supercontig:LupAngTanjil_v1.0:Scaffold_1131:1556:2031:-1 gene:TanjilG_02548 transcript:OIW22069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAEKECAANQLRPEQLIVNGRQLRQEELAEILGCPIPPQKLKPGRYWYDKDSGLWGKEGEKPDKIVSSKLNIGGKLQTEASNGSTRVYMNGREITKVELRVLKFHTEL >OIW22070 pep supercontig:LupAngTanjil_v1.0:Scaffold_1138:460:1540:-1 gene:TanjilG_02549 transcript:OIW22070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEKHQSAILDVRFRPNSSQLATASMDKSVRIWDAANPSRCVQQYTGHNSAVMSLDFHPNKTDLLCFSDSENEIRYWNMTTSSFTNTLKGGNAQVRFQPGAGELLAAAYDKGISIFNVETGRQIYSLQGHPEEVNYICWVANGDILASVSRNFVKFWSLSSGEYIKGLRSSGEQYYSCVFHPSYSNILVIGGTTNIELWNLAEDKSMTIPTDQNIISCLVQSPVTGIVASASHDCTVKLWR >OIW21373 pep supercontig:LupAngTanjil_v1.0:Scaffold_113_12:126:1385:-1 gene:TanjilG_02482 transcript:OIW21373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVVYFLVAFLALASSSAFAYDPSPLQDFCVAVTETNGVFVNGKFCKNPKLVKAEDFFNHIDPGNTLNKLGSKVTPVAVTEIPGLNTLGISLARIDFAPKGLNPPHTHPRATEILIVVEGTLFVGFVASNQNNNTLFTKVLNKGDVFVFPIGLIHFQLNVGNGNAIAIAGLSSQNPGVITIANALFRSTPLISLQVLTKAFQVNNSVIEYLEKQF >OIW21374 pep supercontig:LupAngTanjil_v1.0:Scaffold_113_16:3934:5082:-1 gene:TanjilG_02483 transcript:OIW21374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVVYFLVAFLALASSIAFAYDPSPLQDFCVATNEINGVFVNGKFCKNPKLVKAEDFFKHVDPGNTSNKLGSKVTPVAVTEIPGLNTLGISLARIDFAPKGLNPPHTHPRATEILIVIEGTLYVGFVASNQNNNTLFTKVLNKGDVFVFPIGLIHFQLNVGNGNAIAIAGLSSQNPGVITIANALFGSTPLISSEVLTKAFQVDNSIIDYLKKQFWYDNN >OIW21375 pep supercontig:LupAngTanjil_v1.0:Scaffold_113_16:9268:10782:-1 gene:TanjilG_02484 transcript:OIW21375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEWKRSGKIPAFGNWDFANELPITQYFECARQAGLIRYSSSSGERSPYINVHTDRDLYAVDAKKQNKKGMRGNRERRCCTQHHNNVSKEMRKEQVNVNVNDVTEQQRKQQMRRNDVVPRSAPHNQRPKPVDEDLYKIPPELLRTTKRKKMLGFISKCLVPAACVS >OIW21376 pep supercontig:LupAngTanjil_v1.0:Scaffold_113_26:10951:14566:-1 gene:TanjilG_02485 transcript:OIW21376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCFPCFSSNKNDKNNSFKKDQGPQENVITRTPVDIKKPNNEPNQADPSNIQAQNFTFRELATATKNFRQECLMGEGGFGRVYKGLIPATGQVVAVKQLDRNGMQGGSKEFLVEVLMLSLLHHENLVKLVGYCADGDQRLLVYEFLHGGSLERRLFECGQDEPPLDWYSRMKVASSAAKGLWYLHDRANPPVIYRDLKSSNILLDNECNAKLSDYGLAKLAGKDKMNIVPTRVMGTYGYSAPEYVRTGNLTLKSDVYSFGVVMLELITGRKAVDTTRPNAEQNLVSWAQPIFREPKRYGEIADPLLNKNYPEKDLNQLVAITAMCLQEESAARPLMSDVVTALSFLSTSPQEEGVVDDP >OIW21368 pep supercontig:LupAngTanjil_v1.0:Scaffold_113_3:10381:11888:1 gene:TanjilG_02477 transcript:OIW21368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSFVFGIAISIVVLALCCSAGSAQQHIRAFFVFGDSLVDSGNNDFLATTARADAPPYGIDYPTHRPTGRFSNGLNIPDLISLELGLEPTLPYLSPLLVGEKLLVGANFASAGIGILNDTGFQFLHIIHIQKQFKLFKDYQKRLSAHVGAEGAKRVVNGALVLITLGGNDFVNNYYLVPYSARSRQFSLPDYVRYLISEYRKVLRVLSLYTSYF >OIW21377 pep supercontig:LupAngTanjil_v1.0:Scaffold_113_38:6918:8135:1 gene:TanjilG_02486 transcript:OIW21377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGEPSWISHYDNATLRETEEFDSISELGEEGDEEATAISVDVNLPDDLLELILACLPIASIFRAGCVCKRWHRIVTSKSFLWNISYVPPQKPWYFMFTSSDEPIGYAYDPVLRKWYSIELPFIGTSNWFIASSCGMVCFMDNDSRSELCVCNPVTRTWRKLMEPPGLKISDYSALAISFYRESQSYTVAIVKSKQVPGNFFQWDISIHIYDSEKVTWGTSITEVLMGWRGGDESVICNGVLYFLVYSTGGGLPENRHALVAYNVSNRSSQARLARSFIQVPCSLTCGRLMNMKEKLVMVGGLGKADRPDIIKGIGIWILNGKKWEEIAKMPHKFFQGFGELDDVFASRGAGDLIYIQSYGAPALLIFDMNHKHWKWSQKCPVTKKFQLQLFTGFCFEPRLEIAL >OIW21371 pep supercontig:LupAngTanjil_v1.0:Scaffold_113_4:38673:39787:-1 gene:TanjilG_02480 transcript:OIW21371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVVYFLVAFLALASSIAFAYDPSPLQDFCVATNEINGVFVNGKFCKNPKLVKAEDFFKHVDPGNTSNKLGSKVTPVAVTEIPGLNTLGISLARIDFAPKGLNPPHTHPRATEILIVVEGTLFVGFVASNQNNNTLFTKVLNKGDVFVFPIGLIHFQLNVGNGNAIAIAGLSSQNPGVITIANALFGSTPLISSEVLTKAFQVDNSIIDYLKKQFWYDNN >OIW21369 pep supercontig:LupAngTanjil_v1.0:Scaffold_113_4:468:853:1 gene:TanjilG_02478 transcript:OIW21369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELAAQSKLLSSSMACDGGDAVVRFSSVTALNRVVVIWFLSRNHNVDDRLLW >OIW21370 pep supercontig:LupAngTanjil_v1.0:Scaffold_113_4:25349:25606:1 gene:TanjilG_02479 transcript:OIW21370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMSFPTSLVLTFGVLLVVGIIVQQGEAKAIRAFFVFGDSLVDSGNNNYLATTARADSLPYGIDYPTHRPTGRFSNGLNIPDLIS >OIW21372 pep supercontig:LupAngTanjil_v1.0:Scaffold_113_4:49312:50422:-1 gene:TanjilG_02481 transcript:OIW21372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVVYFLVALLALVSSIAFAYDPSPLQDFCVATNEINGVFVNGKFCKNPKLVKAEDFFKHVDPGNTSNKLGSKVTPVAVTEIPGLNTLGISLARIDFAPKGLNPPHTHPRATEILIVVEGTLYVGFVASNQNNNTLFTKVLNKGDVFVFPIGLIHFQLNVGNGNAIAIAGLSSQNPGVITIANALFGSTPLISSEVLTKAFQVDNSIIDYLKKQFWYDNN >OIW21378 pep supercontig:LupAngTanjil_v1.0:Scaffold_113_40:3669:4115:-1 gene:TanjilG_02487 transcript:OIW21378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKESPKLSNNNSVNQNRPRRSRSTGFRFTNLLPRSHSLVQRGENLERFTLRLPEEVRNQLVTSTLSRTKSLGVAFTPESSERRGYRTRSVGSGCGRNNLERLDQSDRRMFRWMSRAGSNISKKVTEFNKDDVGERSSDRLFSGKENDM >OIW21379 pep supercontig:LupAngTanjil_v1.0:Scaffold_113_47:2258:2611:1 gene:TanjilG_02524 transcript:OIW21379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGSGLKSFKQIPLTYQHHHHHRRQVVTKSVPKGCLAIKVGQGEEQQKIMVPVMYLNHPLFAPLLKEAEEEYGFAQQGTITIPCQVEEFMYVQDLIDRERFLQHNHYHHHLVGCFKA >OIW21381 pep supercontig:LupAngTanjil_v1.0:Scaffold_113_47:39687:43884:1 gene:TanjilG_02526 transcript:OIW21381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRLFRSKSCGVVEFNNPSPPSPFFHNVVTNNNHNKGNEEKEEIDSEEEEDYDDDDDCSNKVSTPFISKGGDEQGHENNQNQFAVLDIVLSVLKKSLVTCSVERDDVSSSLDISWPTEACHVSHVTFDRFNGFLGLPTELEPEVPKRVPSASVKVFGVSANSMQCSYDERGNSVPTILLMMQKRLYSEEGLKAEGIFRINAENSQEELVRDQLNKGLVPHGIDVHCLSGLIKAWFRELPSGVLDSLTPEEVMHCNSEEDCTNLVRLLPSTEAALLDWAINLMADVVEHEQFNKMNARNIAMVFAPNMTQMADPLTALIHAVQVMNFLKTLILKTLREREESAAKARQLSSCLSYKEESCEQPEDACAASKFSRTSTLGRMEWCFEEKLWSNEEKGNERGEGECKSVSDGVLKLCRHPIFQLSKQNKKNENLGIVNNREKDEEAWA >OIW21380 pep supercontig:LupAngTanjil_v1.0:Scaffold_113_47:12919:19606:-1 gene:TanjilG_02525 transcript:OIW21380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQCYGKTNPNSDNENIPNVTTSAGDGYRSPLTGYQSVKNTPARSSSSQASPWRSPYPHGEGASPSPARGTTPMRFFRRAFPPPSPAKHIRSSLVKRFGKGKQTAKEGTIPEESREAEAAEEEEEEEALDKRFGYGKNFGGKYEMGKEIGRGHFGHTCSARGKKGELKDQRLAVKIISKAKMTTAIAIEDVRREVKLLKALSSHKNLVRFHDACEDANNVYIVMELCEGGELLDRILSRGGRYTEEDAKVIVLQILSVVAFCHLQGVVHRDLKPENFLFTSRSEDADMKLIDFGLSDFTRPDERLNDIVGSAYYVAPEVLHKSYNVEADIWSIGVITYILLCGSRPFWARTESGIFRSVLRADPNFDDLTWQTVSPEAKDFVKRLLNKDYRKRMSAAQALAHPWLRNDSLPIPLDILIYKLVKSYLHATPFKRAAVKALSKALTENELVYLGAQFKLLEPNRDGYVSLENFKRVICCFPVNPSSVVMALARHATDAMKESRVLDIINMMEPLAYRKMDFEEFCAAATSTYQLEALDRWEDIANTAFEHFEIEGNRVISIEELARELNLGPSAYSILKDWIRNDGKLNLLGYTKFLHGVTLRTSNPRHR >OIW21382 pep supercontig:LupAngTanjil_v1.0:Scaffold_113_47:45150:46338:1 gene:TanjilG_02527 transcript:OIW21382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLKTELCRFSGAKIYPGRGIRFIRGDSQMLNIRFSGVTLLIKQVFLFVNSKCKRYFHNRLKPSKLTWTAMYRKQHKKDAAQEAVKKKRRATKKPYSRSIVGATLEVIQKRRTEKPEVRDAAREAALREIKERIKKTKDEKKAKKADVVAKSQKSGKGNVSKGAAPKGPKLGGGGGKR >OIW21386 pep supercontig:LupAngTanjil_v1.0:Scaffold_113_48:53868:57953:1 gene:TanjilG_02531 transcript:OIW21386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCDKVAKLFPLVVKVVKVLIGVPKEVAELNEELHRVEGCINVADRMAASAGQGNQDEDGIKKMVKDLREATLRIEEVTDDYMITEQQQNPHDHGCIAVLYAASNFIKNMIIRLRISYEIEDIKSSVVELEERSKISGLKIQSTSCSSVKWHSLREEAWYIKEDKIVGFEAPTKKLMGWLLGNKEKRLELAVICVVGMGGRGKTTLAKTAYDKVIGEFDCGAYITVSPVYTVEDLLRNILNKMCERRNETPPKDISQMDRKTLTDKVSNYLKKKRYVLLFDDVWNHEFWNEIRFALYDDNNKSRIIITTRNMNVADFCNESSILYVHNLQPLSKQQSLDLFTKKAFKNGCDEDFMKEVKEISSKIVEKCEGLPLAIVAVGGLLSKKNDFSEWQDVYQTLISTLDMNPNSTGITNIIGLSYNDLPYHLKSCFLYFGIYPEDYEVGPKRLIRQWIAEGFVKPVPRSLKTLDKVGEQHLKELVDRNLVQVSSFSIDGKPKGCRVHDLLRDMILTKIEDISFCHFASDAEGDRSMLSWKIRRLQIATKSNDHLKDTSIEGSLIRSLYTFRRKKLPEGLVKIIPTKCKRLKIFDFEDNWVKYIPENFGCLIQLRYLSFRNTKVRNIPESIGNLLNLETLDLRGTFVSKLPKEINQLRKLQHLLYKHNISWNDGVKMMGGFAGLESLHTLHKVETSHNGGELTKELERFMQLRCLGLVNVKPEYISPLYSSIEKIQYLEKLYISVSEDEGSIDWDCINSPLPMLQKLSLIGRLERFPKWIAKQESLVKLTLWYSNLTEDPLKVLSSLPKLVSLSLSSAYRGETLHFQNGGFQKLKRLVLKSLSSLNSIHINEGALPLLKELVLKHIPELSKIPSGINHLNKLEVFDIIGTRFLCSGVGEFP >OIW21384 pep supercontig:LupAngTanjil_v1.0:Scaffold_113_48:35416:39588:1 gene:TanjilG_02529 transcript:OIW21384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEGILPRMMLNVLLVEADDSTRQIISALLRKCNYRVAAFSDGLKAWETLKNKSLDIDLILTEMELPSVSGFSFLSLIMDHDICKNIPVIMMSSQDSVSMVFQCMLKGAADFLIKPIRRNELRNLWQHVWRRSAIRMSPQNLTSPENKVEVSAENNAAHCNSTGSVASKQKNKECSQKTSDAQSTCTSPFSEAESAYMENVQDKSQPKSSSNSSNMDTDTTKHKESNKFERESAKHDNEAGEKSAIFASEAARCNTTLKSIGLRLDQSYDCGETENQDEVLRRELSRGDPHINTVFHGSDDEFREPCREAIDLIATFENFPTSSRENCSINGGNTEKFDFDTQLELCSRRDSPGSTCEPAIEDRQTLNHSNASAFSRYSSSMLLQPLFPTPSITSTKLTGVDLNSHEYQKLSENTYTSHQYCGKNENQESIATLVIGQSEQIEPKLQNSQHVFFPIAEVTLDHKSKGHGNSFSSMFFTQSSVHPMMTPEQVYLKENSPFPTSTSPRSNSESHNIECHNSLDRATNTSDQNVNDQSKLDCTMNDSPTASQSAGTGFNHNAANHNSSGAYGSSIGSGSDRNDTSSAVIVKNNPQSFDDSCHHSYDGFKEIDYHRTSQREAALAKFRLKRKDRCFDKKVRYQSRKRLAEQRPRVKGQFVRQVNNENQIAESCGGT >OIW21385 pep supercontig:LupAngTanjil_v1.0:Scaffold_113_48:47407:48265:1 gene:TanjilG_02530 transcript:OIW21385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCDQVAKFLPQLVEAVKMMRGLPKEVGEINEELESIQYFINHADRMSLVTEENHQYKDYMREVSLSIKEVTKDYISIQQQSSSDPRCATIVYSTTDFIKNMISQLRISYEIQDIKSNIVEMKERFDLQINSSREQGSSSGSQIISSLYVEEYDVVGFEAPEYELIGRLKDRLEKPTVIALVGMGGQGKTTLAKIVYERVKCDFDCHAWITVSQTYTVQGLLSTMMNKFFKERKEEVSKMVIESLIKEVRKYLRKKRKVG >OIW21383 pep supercontig:LupAngTanjil_v1.0:Scaffold_113_48:8652:10286:1 gene:TanjilG_02528 transcript:OIW21383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGDSSINMVNERLTADMDFKDSSSAVIKIRRRLPDFLHSVKLKYVKLGYGYSCNATTILFFTLILPLFLTLLFQLTRLNLNLHHLTNFFTGSNRTIQLDSYTLTRFAASSILLFLLGLYYAKRSVPVYLVDFSCYKPEQDRKISVQNFLKMTEETGAFEKETVLFQRRVSSKAGLGDETYLPRGITSRPPSLNMHEARLEAEAVMFGALDSLFAKTGVQPRDIDILVVNCSLFNPTPSLSAMIVNHYKMRTNIKSYNLGGMGCSAGLISIDLAKDLLKANPNSYAIVVSTENITLNWYFGNDRSMLLSNCIFRMGGAAVLLSNKVSDRVRSKYELVHTVRTHKGSDDKNYNCVYQKEDENGKIGVCLARELMSVAGDALKTNITTLGPLVLPFSEQLMFFVSLMRRKFMKGAKVKPYIPDFKLAFEHFCIHAGGRAVLDELQNNLELSEWHMEPSRMTLHRFGNTSSSSLWYELAYTEAKGRVNKGDRVWQIAFGSGFKCNSAVWKAVKAMPGVKDWRGNPWDDSVDKYPVHVPTSIATGSAS >OIW21387 pep supercontig:LupAngTanjil_v1.0:Scaffold_113_50:14637:25270:-1 gene:TanjilG_02532 transcript:OIW21387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGVNNSNSNNIRVGPSGDDPVVGDGSYWVDACDDFIDFDVSSIVVTSSCPDQPDNSSNQDFFGGIDQILDSIKNGCGLPLDDNVVDVVVHSNSNSNLTVPASTTPTPLLSNDASSKLQSLTSSEDGFVKNNGLLVGEKHASDESSECNKVSNGVEGGIVVGYSEEGRGLNRGRDLVKEHDVEGGEERYSKRARLGNYKNERHYSGRVNYQAKERDRCLSRKRPRDRDEIDRRERDKDGVRRREHCGARRDVRDRDWRDRESRGYWERDKSGTNDMVYRTGSWEADRTREENMANDAKQESNVKVEKTGEEANEKAKEKVPEEKARQYQLDVLEQAKNKNTIAFLETGAGKTLIAVLLIRSIHESLQNQNKKMLAVFLVPKVPLVYQQAEVIRERTGYHVGHYCGEMGQDFWDARRWQREFDSKHVLVMTAQILLNILRHSIIKMEGINLLILDECHHAVKKHPYSLVMSEFYHTTPKEKRPTVFGMTASPVNLKGVSSQVDCAIKIRNLESKLDSIVCTIKDRKELEKHVPMPSEVVVEYDKAASLCYLHEQIKQMEIEVEEAAKSSSRKSKWQFMGARDAGAKEELRQVYGVSERTESDGAANLIQKLRAINYALGELGQWCAYKVAQAFLAALQNDERANYQLDVKFQESYLSKVVSLLKCQLSEGAASDKNAGADDSECGVVQQSGSEPEEIEEGELLDSHVVSGGEHVDVIIGAAVADGKVTPKVQALIKILLKYQHTSDFRAIIFVERVVSALVLPKVFDELPSLGFVKCASLIGHNNSQEMRTHQMQDTIAKFRDGRVTLLVATSVAEEGLDIRQCNVVIRFDLAKTVLAYIQSRGRARKPGSDYILMVERGNLSHEAFLKNARNSEETLRKEAIQRTDLSHLKDTSRLITVETRPGSVYQVESTGAVVSLNSAVGLIHFFCSQLPSDRYSILRPEFIMEKHEKQGGHTEYSCKLQLPCNAPFENLEGPICCSMRLAQQTVCLAACKKLHEMGAFTDMLLPDKGSGGEKEKAEQNDEDEPLPGTARHREFYPEGVADILKGEWILSGKDACKDSKVLYLCMYALKCENVGDSKDPFLTQVSEFAVLFGNELDAEVLSMSMDLFIARTVTTKASLVFRGMVDITESQLASLKSFHVRLMSIVLDVDVEPSTTPWDPSKAYLFVPMVGDNSVDPMKQIDWHLVETIIGAHAWKNPLQRARPDVYLGTNERTLGGDRREYGFGKLRHGMAFGQKSHPTYGIRGAVAQFDVVKASGLVPDRDALQKQKNNINLTNKGKLMMADTCVDAEDLVGKIVTAAHSGKRFYVDSIRYEMSAENSFPRKEGYLGPLEYSSYADYYKQKYGVELIYKQQPLIRGRGVSYCKNLLSPRFEHGEANEGESDEAHDKTYYVFLPPELCLLHPLSGSLVRGAQRLPSIMRRVESMLLAVQLRDMINYPVKASTILEALTAASCQETFCYERAELLGDAYLKWVVSRFLFLKYPQKHEGQLTRMRQQMVSNMVLYHFALSKGLQSYIQADRFAPSRWAAPGVLPVFDEDTKDGESSLFDQEKSISKTEKMDSHTDGYEDEMEDGELESDSSSYRVLSSKTLADVVEALIGVYYVEGGKTAANHFMSWIGIQIEFDPDEIECTRNQFSIPEGILRSVDFDGLEGALNIKFKDKGLLIEAITHASRPSSGVSCYQRLEFVGDAVLDHLITKHLFFTYTNLPPGRLTDLRAAAVNNENFARVAVKHNLHVHLRHGSSALEKQIREFVKEVQDELSKPGFNSFGLGDCKAPKVLGDIVESIAGAIFLDNGRDTTSVWKVFQPLLHPMVTPETLPMHPVRELQERCQQQAEGLEYRASRAGNLATVEVFIDGVQVGAAQNPQKKMAQKLAARNALAALKEKEEIKAQEKNDENGKKNGSHTYTRQTLNDICLRKNWPMPFYRCVNEGGPAHAKRFTFAVRVNTTDKGWTDECIGEPMPSVKKAKDSAAVLLLELLNKLYS >OIW21388 pep supercontig:LupAngTanjil_v1.0:Scaffold_113_53:9337:12472:-1 gene:TanjilG_02533 transcript:OIW21388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRGVPEEVAEINEELESIEYFINHTDRMVVAAGQYNKDGLKKMVKHMREATLRIEEVTEDYMNIQQQQPPSDPGCGATTNFIKTMIPRLRVSYEIQDIKSSIVDIKERFDSLEQGSCFRQIKERSCFEKGSSSESQNVPPQHTLQRNALYVEEDDVVGFEAPRDEVIGWLKERREDRTVIVVVGMGGQGKTTLAKTVYDKVIRDFDCHAWIEVSPTYTLHGLFRAMLDKFNERNEEISKMGLDSLVKEVRKYLQMKRYVFFFDDVWNKDFWTEIEPIVFDNKKESRIVITTRNMEVAKLCKVSSFVHILNLQPLSPQQSMELFFKKAFRNEPPGGECPTWLEDISSNLLKNCKGLPLAIVSIGNLLTLDKSSPKLQFLCKSLIFELDWNQCSFRISNTFCLSYDDLPFYLKPCLLYFGIYPKDYKVKHKRLIRHWVAEGFVKFKSHKTLEDDAEQYLKELMDRSLVQISSFSIYGKPKTYSVHFLVHDMILKKIKDMNFCHFVNHSKNDPSLLSWKIRRLQIETNPNDYWNVTNIEGSLIRSLYVFQEEELPKEFVRKIPAKYKQLRVFDFENNKLKYIPQNFCSLIHLRYLSFRNTKVHTLPGSIGNLHNLESLDIKLTKIQEMPREITNLTKLRHLLVDGLQIMEGVGDLKSLQTLRDVEIGNPGKELEKLRQLRVLGLILDEQNYLSACSSINKMQHLEKLFINASEVDESIDWGCLSPMPALQKLHLEGQLKRFPEWIPKHQNLVALTLRFSNLTDPLKPLIHLPNLLSLSLIMAYGGETLRFKNGELPKLRKLVLKELHLLDSIDINEGALPSLEKLMLHNIPYFIQVPSGINHLGKLQVLCIDEMPREFVDRIRENIWINNNVRQVTISNGKKIGRY >OIW21389 pep supercontig:LupAngTanjil_v1.0:Scaffold_113_53:28260:30973:-1 gene:TanjilG_02534 transcript:OIW21389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCDQIAKFLPHLVEVRKLLKNLPKEVEELNEELHRVEGCINVADRMAVKGNKDEDGIKKMVKDLIEASLRIEEVTEDYKITEQQSTYDPGCIAVLYATTNFIKTMIPRLQISYEIQDIKSCIVEVEERSKRCGLKIQSTSSSSVKWHSLREEAWYIKEDKIVGFEAPTKKLMGWLLGNKEKRLELAVICVVGMGGRGKTTLAKTAYDKVIGEFDCGAYITVSPVYTVEDLLRNILNKMCERRNETPPKDISQMDRKTLTDKVSNYLKKKRYVLLFDDVWNHEFWNEIRFALYDDNNKSRIIITTRNMNVADFCNESSILYVHNLQPLSKQQSLDLFTKKAFKNGCDEDFMKEVKEISLKIVEKCEGLPLAIVAVGGLSYNDLPYHLKSCFLYFGIYPEDYEVGPKRLIRQWIAEGFVKPVLGSLKTPDKVGEQHLKELVDRNLVQVSSFSIDGKPKRCRVHDLLRDMILTKIEDTNFCHFASDTQDDRAILNSKIRRLQIATKSNEHLNGASIEGSLIRSLYTFRRKKLSEDLVKIIPAKCKRLKIFDFEDNWLKYIPENFGCLTQLRYLSFRNTKVGNIPEFIGNLLNLETLDLRGTYVSKLPKEINQLKKLQHLLYKHNISWNDGVKMMGGFAGLESLHTLHKVETSPNGGELTKELEKFMQLRCLGLVNVKPEYISPLYSSIEKMQYLEKLYISVSEDEGSIDWDCINSPLPMLQKLSLIGRLERFPKWIAKQESLVKLTLWYSNLTEDPLKVLSSLPKLVSLSLSSAYRGETLHFQNGGFQKLKRLVLKSLSSLNSIHINEGVLPLLKELVLKHIPELSKIPYGINHLNKLEVFDIIGMSEEFKTRVQENDLGKKILLVKHTH >OIW22071 pep supercontig:LupAngTanjil_v1.0:Scaffold_1140:241:873:1 gene:TanjilG_02634 transcript:OIW22071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEAEATAPVAASPKKAAKSAAAAKKPRAKPAHPKTADMVNGAIKNLKERGGSSLQAIKKYVSANYKVDAEKLSPFIKKYLKGAVAAGKLVQTKGKGASGSFKLATKEKAEKTEKKPKAAAAATKKPKAVKKPAAAAAKKPAAAKKPAAEKKSVKAVKKPAAAAKKPKSPSKAKKATKAPAAKKPKAPKPKKAAPAKPKAAKKAAPAKKK >OIW22072 pep supercontig:LupAngTanjil_v1.0:Scaffold_1140:1424:1996:1 gene:TanjilG_02635 transcript:OIW22072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGDSLCVSYPLGIEILALKRADLFALPKGFGKDLSTLSADAAGKLDVLGHDGDALGVDGAQVGVLKETNQVGLGRLLQGHDGAGLESQIGFEVLRNFSNKALEGELPDEKLSALLVTTDLTKSDGTGAVTMGLLDTSGGRGGLAGSLGSELLPRRLASGGLPGGLLGTGHDSELARTTDDDELMPRSVK >OIW22073 pep supercontig:LupAngTanjil_v1.0:Scaffold_1144:33:1934:-1 gene:TanjilG_02636 transcript:OIW22073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEVQNFRQECLELKAKTEKLAALLRQAARNSNDLYERPTRRIIEDTEQVLDKALTLVSKCRANGLVKRLFTIIPATAFRKTSMQLENSLGDVQWLLRVSASADERDDEYLGLPPIAANEPILCLIWEQVAILLSGASLEERSDAAASLVSLARDNDRYGKLILEEGGVPPLLKLLKEGKMDGQENAARAIGLLGKDPESVEHIVNAGVCSVFAKILKEGHMKVQLVVAWAISEMAAHHPKCQDHFSQNNAIRLLVSHLAFETIEEHSKYAIVSKQNMSSIHSVLMASNDPNKKNLQENDDKKMAHPTANQTTSQMHSVITNTLAIGGQGDQRSQQLNPMNQRGNNNVKANNAVVKHNNNQQGNSHVSIAGTSIKGREYEDPETKGQMKAMAARALWQLCRGNVTICRHITESRALLCFAVLLEKGYEDVRSYSAMALMEITYVAEQHAELRRSSFKPNSPAAKAVVEQFLKIIDKGDSDLLIPCIKSVGNLARTFRATETRFIAPLVKLLDEREAEVTTEAAIALNKFACTDNYLHETHCNAIIEAGGAKHLIQLVYFGEQMVQIPSLILLCYIALHVPKSETLAQEDVLIVLEWCTKQAHLIGDSSIETLLPEAKSRLELYQSRGARGFH >OIW21391 pep supercontig:LupAngTanjil_v1.0:Scaffold_114_14:9546:19416:1 gene:TanjilG_02558 transcript:OIW21391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNERAKRATLPPAQQNIDKLEKVVSAGNYYGAQQMYKSITARYVSAQRFSEALDILQSGARIQLAHGQVTCGAELALLFVETLRKGKIPYDSETLDRLEKIYEAFPRVPLPQHLNHLGDDDDDIQQVSEALGAAKIRAEGCSSFLKAAIRWSAEFAANGYGSPELHIMLAEYTYSESPEVDMAKVTYHFVRGNNPMKFASALVNFMEKCYPGEDDLAIARAILRYLSYGNLRDANKLMDEMKKQTESTEVEFPQTELTQFIDYLLQTMERDALPLFNMLRANFKSSIDREPSFSEMLDDIAEKFYGVQRRNPMGMFGDIFKMMGVE >OIW21390 pep supercontig:LupAngTanjil_v1.0:Scaffold_114_14:1007:1309:-1 gene:TanjilG_02557 transcript:OIW21390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQIDRDRVHQVHTGKAHQLVHHPQTGFPHQLDLSRSAMLHKMHTCKGHQLDLSRLAMMHQVHMGNAHQLDLSRSVMLHQVHTNKPYQQDLSRSEEAMVH >OIW21392 pep supercontig:LupAngTanjil_v1.0:Scaffold_114_14:30182:31801:-1 gene:TanjilG_02559 transcript:OIW21392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKEKVHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGMDATTPNYSKARYDEIVKEVSSYLKKVGYNPDKIPFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGILKPAMVVTFAPSGLTTEVKSVEMHHEALQEALPGDNVGFNVKNVAVKDLKRGYVASNSKDDPAKEAANFISQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAELVTKIDRRSGKELEKEPKFLKNGDAGLVKMIPTKPMVVETFSEYPPLGRFAVRDMRQTVAVGVIKSVEKKDPSGGGKLTKAAQKKVGK >OIW21396 pep supercontig:LupAngTanjil_v1.0:Scaffold_114_29:35618:42524:-1 gene:TanjilG_02563 transcript:OIW21396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATENNGSEKELAGVNGFLQVSEASTSATGSAGDVVNKGREKRRSFLCQHPAFEEQMQSMGKLAFDSFSEAIRLDPQNACALTHCGILFKEEGRLLEAAEPAYYNLGVVYSEMMQYDMALTFYEKAATERPMYAEAYCNMGVIFKNRGDLGAAMTCYERCLAVSPNFEIAKNNMAIALTDLGTKVKLEGDINQVFYELAFHFNPHCVEACNNLGVIYKDRDNLDKAVECYQLALSIKPNFSQSLNNFGVVYTVQGKMDASASMIEKAIIANPTYAEAYNNLGVLYRDAGEITQAINAYEQCLNIDPDSRNAGQVTWIGYPNTTGLPAIDYRITDSLADPPKTKQKHVEELVRLPECFLCYSPSPEAGPVCPTPALSNGFITFVSFNNLAKITPKVLQVWARILCAVPISLLVDCVDYKSSF >OIW21393 pep supercontig:LupAngTanjil_v1.0:Scaffold_114_29:1782:3577:1 gene:TanjilG_02560 transcript:OIW21393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFEKVLTPSDVGKLNRLVIPKQYAEKYFPLGGGDSAESKGLLLSLEDESGMCWRFRYSYWNSSQSYVLTKGWSRYVKDKRLDAGDVVLFQRHRIQPNRLFIGWRRGYLNGGFTVSEHVGKGDMNGNKNGVQLGWTTGFYSEHRSYPIHHRHFPYLHAGGGSQQDQKQRTPMRNSSNFRVLRLFGVNMECQTQNDSETLSSYNMSPSTPSTTTHHLYHLSQPSYSSTSNHHYLNMLQM >OIW21399 pep supercontig:LupAngTanjil_v1.0:Scaffold_114_29:58353:59962:1 gene:TanjilG_02566 transcript:OIW21399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGIIEPSLMALARKYNQDKTICRKCYARLHPRAVNCRKKKCGHSNQLRPKKKIK >OIW21398 pep supercontig:LupAngTanjil_v1.0:Scaffold_114_29:51556:52191:-1 gene:TanjilG_02565 transcript:OIW21398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPTIGSLHRRSSSDRLLQPFTFSPTASSAAGDDFNEAELFWSGDLSELKNNRHLITPTGDNSKNHNRNFNRSLNSGILAVLTEPDHAPVIYRKSSIPSSSSRLIPSLPRPQGSSDYITQSVDCRKLQQSAPVKVPMMKIPRRRNGEELDLIDDDDEDDDEMLPPHEIVARGYGVSPKTTCSVLEGVGRTLKGRDLRQVRNAIWRKTGFLD >OIW21395 pep supercontig:LupAngTanjil_v1.0:Scaffold_114_29:28213:31084:-1 gene:TanjilG_02562 transcript:OIW21395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDESKKLSPNLDEKTTKVLNLTVLQRMDPFINQILFIAAHVSFYDFNLQTNQWSRKDVEGSLFVVKRNSQPRFQFIVMNRRNTENLVENLLDFEYELKKPYLLYRNAAQDVNGIWFYDPDECEEVANLFNRILSAYPKVPSTTTMPSNKSVFEELEPVSVITESPLHLSQSSKDAHEDPLFPNFFSTSKVTEQYASIETINSGNQVNNLPKPSTYFSSTSSSSVLIPPISSHPMLQPFPPPNPSLSLAPISNSTSSKPVITRDKVRDALVSLFQVDITY >OIW21397 pep supercontig:LupAngTanjil_v1.0:Scaffold_114_29:47070:47631:1 gene:TanjilG_02564 transcript:OIW21397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSALMYVLVPMPCLFFGGGSTQFLMSREGGGWIDAAKFLTGASSVGSIAIPIILKHAHMIETGAMIIELVSFFIFVCTVLCFHQASLDDDW >OIW21403 pep supercontig:LupAngTanjil_v1.0:Scaffold_114_29:97944:99301:1 gene:TanjilG_02570 transcript:OIW21403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSGQPPDLKKYMDKKLQIKLNANRMIVGTLRGFDQFMNLVVDNTVEVNGTEKTDIGMVVIRGNSVVTVEALEPVNRA >OIW21404 pep supercontig:LupAngTanjil_v1.0:Scaffold_114_29:102474:103595:-1 gene:TanjilG_02571 transcript:OIW21404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCRYLLFRLSDAISNTRGETAEVKNENLELQTWADLPAELLELTLSRLTLADNIRASVVCKRWHSVASAVRVVNQSPWLMYFPKFGDCYEFYDPVLRKVYSLELPELNGSRVCYTKDGWLLLYRPRTHRVFFFNPFTRELIKLPRFEITYQIVAFSCAPTSPGCVLFTVKHVSPTVVAISTCYPGATEWTSVNYPNRLPFVSSIWNKLVFCNGRFYCLSLTGWLGVFDPVERTWSVLVVPPPKCPENFFAKNWWKGKFMTEHEGDILVIYTCCSENPIIFKLDRRLMEWEEMRTLDGVTLFASFLSSHSRTYLSGIMRNSVYFSKVRFFGKRCISYSLDDFRYYPRKQCHDWGEQDPFDNIWIEPPKDFSVFM >OIW21401 pep supercontig:LupAngTanjil_v1.0:Scaffold_114_29:71948:78454:-1 gene:TanjilG_02568 transcript:OIW21401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRQEKGVNVQVLLRCRPFSEDELRSNAPQVVTCNEFNREVAVSQNIAGKHIDRVFTFDKVFGPSAQQKDLYEQAVTPIVNEVLEGFNCTIFAYGQTGTGKTYTMEGECKRSKSGPNRELPQEAGVIPRAVKQIFDMLESQNDEYSVKVTFLELYNEEITDLLAPEELSKVSLEEKQKKQLPLMEDGKGGVLVRGLEEEIVSSASEIFTLLERGSSKRRTAETFRSHSLFSITIHIKEANPEGEELIKCGKLNLVDLAGSENISRSGARENRAREAGEINKSLLTLGRVISALVEHLGHVPYRDSKLTRLLRDSLGGRTKTCIIATVSPAVHCLEETLSTLDYAHRAKNIRNKPEVNQKMMKSTLIKDLYGEIERLKAEVYATREKNGVYIPKERYYQEESERKAMADQIEQMGVTIEAQQKQQEDLQSKYIYQVQQCSDLSNKLNITEEKMKQKNLNKTSRLLANSEEEVKKCQYTLKEKDFIISEQRKAENALAHQACVLRADLEKAHEDNGSLFLKIGREDKLNSDNRTVVNNFEVELAQQVGSLRNTVALSLAEQNEHLQCVEKLCHSFLGINDKTVIDLKKKVTALRELYISHNEAMQNVVRLHKAGSDATLNELSSIISSSGHSIEEFLASESTESGAIFDDLQNSLSTQQGELALFARELRHRLNLSVEQIKDISERSQEFVDRLFEESKKLEDFTSSADHMQMKGITEFKQAYEEQSRSDAEKLIVDMTGLVTDHIRRQMDLVDAKLVDLRESGITNKSFLDGHVASVGDIVTHAKRKWQTFCMQAEKDAKDTADYSAAKHCRMEELLQQSVNTAESVSLHTKRTHEAVNEMGARHISAAVSLVRNACDNNMQHDNEINSARVAAEEAVVKNSGDVIEQFDVMSAQEQECITGMVDVVKTHATTIDAFREDHATQTASIEHKSCETFQQFYKDYEPSGTTPLKSEPDVPSKGTIDSLRSLPMEQLLDEFRENNSYESSNEKELKSSLIPRSPFSQLN >OIW21394 pep supercontig:LupAngTanjil_v1.0:Scaffold_114_29:19886:20857:1 gene:TanjilG_02561 transcript:OIW21394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLTSDETTENTGGATTISAVHQDIIETHILTRLDGPSLASAATTCSQLHALSSHDPLWSNICYSTWPSTNTPRVKNVISTFPHGYRSFFSDSFATASTAENPSSNPDRTSELISTVDLFLREQPLLSKVVETETVTGWFRCWPFRVDLLDPKDSVQTPINYPIGDDTCHQIGEELRLSWIVIDPTRRRAVNVSSRSPVSVRRHWLTGEMEVLFTTVVESAVCIMTVMCGGRGGRMQVREVSMHMEDMDGKQLNGRDSLGILKIVLEGKRGRLKKEGKDEYVEFEKKKKERKEKKLRREKKLDMLCLVLVTVSFGALSSLFLF >OIW21400 pep supercontig:LupAngTanjil_v1.0:Scaffold_114_29:61430:65424:-1 gene:TanjilG_02567 transcript:OIW21400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYYNGYYHLFYQYNPNGSVWGNIVWAHSVSKDLVNWKALEHALYPSKPFDKFGCWSGSATVVPGKGPVILYTGVTDDKNTEVQCYAIPEDLSDPLLKKWIKPNALNPIVIADRGVNGSAFRDPTTAWRSKDGHWRMLIGSKRKDTGIAYLYKSKDFIKWARAKHPIHSKSTTGMWECPDFFPVALKSKEGLEMGVHGNNVKHVLKNSLDMTRFEYYTLGTYFPKKDKYVPDNTSEDGWAGLRYDYGNFYASKSFFDPSKNRRILWGWANESDSKEDDVNKGWAGIQAIPRTIWLDPSRRQLIQWPVEELNSLRGKEVKMKNQKLNKGDYVEVKGVTAAQVDVEATFSFSSLDKVETFDPSWVNAQDLCAQKGSKIQGGVGPFGLLTLASQDIEEFTPVFFRIFKAPNKHVVLISSIKNNLYKPSFAGFLDVDLSTGKIPLRSLIDHSVVESFGAGGKTNILSRVYPALAVGNEAHLFVFNNGTEPINVQNLQAWSMKNADIK >OIW21402 pep supercontig:LupAngTanjil_v1.0:Scaffold_114_29:86651:91515:1 gene:TanjilG_02569 transcript:OIW21402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTKMVDPKVRNVGATEYSWCKAVHGGTGIAVLALLSSKKPDISHFQIALNKLQNSHPILKSKLHSNTTTSNGNFTFLTFQNPFVKIQQHNLSLTSNILGRNKNNDDTVSSFQLILEHELNQNSWHNDLNRSSSHSDDMFVASIYAMPEETWTVVMRLHVAACDRTTAISILREMLVLMKEEERESESEEWKKREIGFAMEDLVDGGKGKKGIWARGIDVLSYSLNSFRLTNLKFCDTKGTRFSQVVRLQLNHNDTKRVLDGCKHNRIKLCGALSAAGLMAAHSSKNSSRKYGIITLTDCRSSLEPPLSINNFGFYHSAILNSHLIKGGEDLWELAKKTYGAFANSKNNNKHFSDMADMNFLMCKAIDNPTLTSSSSLRTSIMSVFEDTVIDDGGKVQREVGVDDYMGCASIHGVGPSIAIFDTIREAKLDCIFVYPAPLHSREQMLDLVNKMKVILIEGGKAYEG >OIW21405 pep supercontig:LupAngTanjil_v1.0:Scaffold_114_29:107483:108736:-1 gene:TanjilG_02572 transcript:OIW21405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVSSKLVKKELKQKQKQKQKLTFNNGGFNHVVSLTSSTYGALNLDNYQKPIPIDTTTTITTIEESKSSVFLQKSSPVHEDPEIINAWELMEGIEEGVPISIQLKKSPKLNPFLRGFINTPESKSSPLKFFNQNGSPKSMRKSSGKENKNNNKVEVNGCKGMRRLDYSYSPKGVLKVSNSCPSTCKSVLNLNPLKVSPRNSFGSGSLRKMRNSSLFDADLVASNEKEMHVGEEEQIKKMVYATPKATRRVRKSIDSETFLQKFEMKCPKGGENCVVIYTTTLRGIRKTFEDCNKVRAIVESCNVHMVERDVSMHSGFKEELRKLMGTKEVKVPVVFVKGRFIGGVDETLKLEEEEKLGVLLEGIPKALGCCEGCCGVRFVICMECNGSCKVLDEENKNVRCARCNENGIIQCPTCS >OIW21406 pep supercontig:LupAngTanjil_v1.0:Scaffold_114_30:3929:4417:1 gene:TanjilG_02573 transcript:OIW21406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCLVLQDKVVRIMKTDGKILEYKTPIKVEQVLTEFSGHAVSDSLQVLQHLQPSTKLLCSQFYYLVPLPKPLSPKASKKVRFANPEVQGVQESRVVRIKLVISKQELHDMLQKEGFSVEKILSKVHNEKVIDADNDEDFSQRIDDVSEGWKPALESISEVN >OIW21407 pep supercontig:LupAngTanjil_v1.0:Scaffold_114_30:7234:16473:-1 gene:TanjilG_02574 transcript:OIW21407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHQHRDIKHVTQNTYASKPNIKNKVETEKSVVAEDSGREKLKRHRVEVAGNVWIPEIWGQEEFLKDWIDCTSAFDASLVHSRITTAQKALVEEVNHFALEKMQHTENVEQEFTVRKLEISDKGKGFIELLQQLSVCDSVSNDEFERRFQELSSLGDDHVICVIEDEASGKIVATGSVFIEKKFLRNCGKVGHIEDVVVDSSIRGKQLGKKIINFLTDHAHSIGCYKVILDCSLDNKVFYEKCGFKQKEVQMVRRKTISASEARISLIFALASQASSLSQRLVADLANETAKYLFPKRFESQSLEEALMAVPDLETVKFKVLSRKEQYEIREVEPYSVAETTMPGKSGFDFNGSSQSFNALAEYLFGKNTTKEKMEMTTPVFTSKNQSDGVKMDMTTPVLTTKKEDQDKWTMSFVMPSKYGANLPLPKDSSVRIKEIPGKIVAVVSFSGFVNDEEVKQRERKLREALKSDKQFRIKDETSVEVAQYNPPFTLPFQRRNEIALEVERKNE >OIW21408 pep supercontig:LupAngTanjil_v1.0:Scaffold_115_4:10947:11755:-1 gene:TanjilG_02753 transcript:OIW21408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLSVINALNGIYTIRGCDQPLIVQFVDPKRPHQKDSRFLPNTSVPMGDRMPPPNAWCPMHPPNGFQDMVTQHCL >OIW21409 pep supercontig:LupAngTanjil_v1.0:Scaffold_115_5:5900:6469:1 gene:TanjilG_02754 transcript:OIW21409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLVNSLIAFHLIVLMSCYLTKTTTGVFSTQSPFSQSGKGMEKITQLHFYFHDNITGRNPTAMQIIGPPKGSVGGFGTTFMIDDPLTEGPDPSSKIVGRSQGIYALASQHKIELLMVLNFAFTEGVFNGSTLSIFGRNVVTQEVRELPIVGGSGVFRYSRGFALAKTYMSTPTFGLAIVEYNISVLHV >OIW21412 pep supercontig:LupAngTanjil_v1.0:Scaffold_116_13:17076:21619:-1 gene:TanjilG_02779 transcript:OIW21412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCIFLEGAGSSSTIVQASYHNTMSTSATLIALADNIIAKDITFQNTYNNPGFAHANAVGILPALAAKVEGNRIAFYNCSFKGVQDTLWDRSGLHYYKNCYIQGAIDFIFGDGQSIYEECVINVTTGIDGPIIDGVITAQKRESIDSTSGFVFKECTISGTKGKAELGRAYGPYSRVIIANSYLSDIVRPEGWSTWDKPEKNLVYVEANNTGPGANNPNRVKWMKTLTKDELNSFLDISYVDIDGWITKQRTANNF >OIW21413 pep supercontig:LupAngTanjil_v1.0:Scaffold_116_14:57232:61373:-1 gene:TanjilG_02780 transcript:OIW21413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMYMVLSRASMSVCRSSTLLSASLKSKPLHATLSNDFHSLVHQQANKLIPVQANWLTPPISSYSTARFHFFSSASTEPTSDEPAKTNEEGKVADQAEQDKDADQTKESDVESESNLSRDDLVKLVAEKRELLKLKHKEIEKMQDKVLRTYADMENIMDRSRREAENSKKFAIQNFAKSLLDVADNLGRASSVVKESYSKIESPNDSVEAAKLLKTLLEGVEMTDKQLSEVLKKFGVVKFDPTNEPFNPNSHNAIFQIPDASKPPGSVGAVLKAGYMLHDRVLRPAEVGVTQEVEDSNTAE >OIW21414 pep supercontig:LupAngTanjil_v1.0:Scaffold_116_16:39585:43039:1 gene:TanjilG_02781 transcript:OIW21414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATDAQHPPLGENTCGSLLNKLQEIWDEVGESDEERDKMLLEIDQECLDVYKKKVEQAAKSRAQLLQSLSDAKLELSSLLSALGEKSFAGIPEKTSGTIKEQLEAIAPILEQLWKQKEERIKEFSDVQSQIQKICGEIAGDFSPNDQTGTYAVDESDLTLEKLDEYQSQLQELQKEKSERLHKVLELVSTVHDLCAVLGMDFYSTVTEVHPSLDDFKDVHSKSISNGTLARLAKTVLTLKEDKQQRLHKLQELASQLIDLWNLMDTPLEERRLFDHVTCNMSTSVDEVTVPGALALDLIEQAEVEVERLDQLKASRMKEIALKKQAELEEIYAHAHIEIDPEAARDRIMSMIDSGNIEPTELLTDMDNQIAKAKEEALSRKDILDKVEKWMSACEEESWLEDYNRDDNRYNASRGAHLNLKRAEKARILVNKIPALVDTLVAKTRAWEEAHDISFTYDSVPLLAMLDEYAILRHERVEEKRRMRDHKKYQEQQNTEPEPVFGSRSSPARPIGNKKVAGPRVNGGSNGTPNKRLSLNAHQNGSRSSTKNGKRDNTRQSAPLDFVLMSKEDATSHVSGAETVPTSP >OIW21415 pep supercontig:LupAngTanjil_v1.0:Scaffold_116_16:50693:54147:1 gene:TanjilG_02782 transcript:OIW21415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATDAQHPPLGENTCGSLLNKLQEIWDEVGESDEERDKMLLEIDQECLDVYKKKVEQAAKSRAQLLQSLSDAKLELSSLLSALGEKSFAGIPEKTSGTIKEQLEAIAPILEQLWKQKEERIKEFSDVQSQIQKICGEIAGDFSPNDQTGTYAVDESDLTLEKLDEYQSQLQELQKEKSERLHKVLELVSTVHDLCAVLGMDFYSTVTEVHPSLDDFKDVHSKSISNGTLARLAKTVLTLKEDKQQRLHKLQELASQLIDLWNLMDTPLEERRLFDHVTCNMSTSVDEVTVPGALALDLIEQAEVEVERLDQLKASRMKEIALKKQAELEEIYAHAHIEIDPEAARDRIMSMIDSGNIEPTELLTDMDNQIAKAKEEALSRKDILDKVEKWMSACEEESWLEDYNRDDNRYNASRGAHLNLKRAEKARILVNKIPALVDTLVAKTRAWEEAHDISFTYDSVPLLAMLDEYAILRHERVEEKRRMRDHKKYQEQQNTEPEPVFGSRSSPARPIGNKKVAGPRVNGGSNGTPNKRLSLNAHQNGSRSSTKNGKRDNTRQSAPLDFVLMSKEDATSHVSGAETVPTSP >OIW21416 pep supercontig:LupAngTanjil_v1.0:Scaffold_116_19:9978:14916:1 gene:TanjilG_02783 transcript:OIW21416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERSEPALVPEWLRSAGSVAGSGNTAQHFASPSTNTDNSSVAHHTRNRYSKTDSDFDSSRSLFFERTSSSNSRRSAINGSAKHPYSSFNRSNHDKERDREKDRSSFGNRLGRDSCDPLATIFSVVKERDTLPRSRSIVSRKHNEILTRRVAADTKSGGSGNQNNANGIISGGNIGSSIAKAVFDKDFPSLGVEEKPEIVRVSSPGLGATTSQSLPVGSSALIGGEGWTSALAEVPTIIGSSSTGSIAVQQTVPTPPVSVASSIIAGRNMAEALAQTPSRACSAPQVLDKTQRLEELAIKQSRQLIPVTPSMPKALALNSSEKSKPNSAVRNAEMNVAAKIVPQQPGALHISSQSVRGVNAKVDSPKTSGKFTDLKSVVWENGASKDVPNPTNHSNSKPGNEHAVAAAAASAPSRNTNTLKCLVDRKPASINLKLGSTLDKKHLLSQVKSRNDFFNLIKKKTLMNSSTIIPNSVSTGSSMMEKSGEDNREVVSPSESPQSLRNGAEVISNGDCRAHEEVHRFSDNEEKDPILCATIYPDEEEAAFLRSLGWEENSDEGEEGLTEEEINAFYQECKKLGPTTFKLYPGRQPQSKLFESYASNLHEASAELSLSDPGSEA >OIW21410 pep supercontig:LupAngTanjil_v1.0:Scaffold_116_3:17872:21802:-1 gene:TanjilG_02777 transcript:OIW21410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSWFAANCFRLPSMDVGVIRRRASFAAGIVGGASVASAALCRSSSAKSSAPFACLSISTDTSIKEAVHTEKAPAALGPYSQAIKANNLLFVSGVLGLVPETGKFISDNVEDQTEQLLKNMGEILKSGGASYSSVVKTTILLADLKDFKKVNEIYAKYFPSPPPARSTYQVAALPLDAKIEIECIAAI >OIW21411 pep supercontig:LupAngTanjil_v1.0:Scaffold_116_6:32741:39721:-1 gene:TanjilG_02778 transcript:OIW21411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLSLLSLLIFHFCFSYSAINLCSAAVSEAVTCSGIVDQRHRSHKISLTDYGGVGDGKTLNTKAFREAIYRIQHLRRRGGTLLYVPPGVYVTESFNLTSHMTLYLAAGAVIKATQELWNWPLISPLPSYGRGRELPGGRYMSFIHADGVSDVVITGENGTIDGQGDVWWNMWRQRTLQFTRPGLVEFVNSRNIVISNVTFKDSPFWNIHPVYCSNVVVQFVTILAPPNSPNTDGIDPDSSSNVCIEDSYISTGDDLVAVKSGWDEYGIAYARPSFDITIRRVTGSSPFAGIAVGSETSGGVQNVLAEHINLFNMGVGIHIKTNIGRGGIIKNITVSHVYVQNARQGIKIAGDVGGHPDEKFNPDALPVVKGITIKNVWGVKIHQAGLIKGLKNSPFTEIFLSDINLHGETGPRSPSWKCSDVVGVAHQVSPWPCSQLSS >OIW22074 pep supercontig:LupAngTanjil_v1.0:Scaffold_1186:237:803:-1 gene:TanjilG_02907 transcript:OIW22074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYQNPDHESGSESNNQTRLYNPYKDLEVPIRNLYQLPTSPEHLFVEEARRKHRSWGENLTFYTGCGYIAGAVGGAGIGLVEAVKAFESGDTAKLRINRVLNTSGHSGRVWGNRLGVIGLLYAGIESGIAEARDVDDVWNSVAAGLGTGALYRAARGVRSAAVAGAVGGVVVGVALTAKQALKRYVPI >OIW21418 pep supercontig:LupAngTanjil_v1.0:Scaffold_119_21:35681:35872:1 gene:TanjilG_02920 transcript:OIW21418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKDKVEEVVDMKSCGLWRCSEKVTTWRGDLITPVVEESEEDDVGRREVWEKKARVHSLKKNT >OIW21417 pep supercontig:LupAngTanjil_v1.0:Scaffold_119_21:33609:34316:-1 gene:TanjilG_02919 transcript:OIW21417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVSFPRSDVLAWLLFLCSRLALRENILRLNGSDIRPRCDFYHYSRNMACLKCNIGRLEDQPTSEYQEHMWRRES >OIW20124 pep supercontig:LupAngTanjil_v1.0:Scaffold_11_11:9153:10847:-1 gene:TanjilG_01897 transcript:OIW20124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKLTMIARVTDGLPLAEGLDDGRDMKDAEFYKQQVKALFKNLSRGHNEASRMSIETGPYVFNYIIEGRVCYLTMCDRAYPKKLAFQYLEDLKNEFERVNGSQIETAARPYAFIKFDTFIQKTKKLYQDTHTQRNIAKLNDELYEVHQIMTRNVQDVLGVGEQLDQVSQMSSRLSSESRIYADKAKDLNRQVSHFAASCT >OIW20125 pep supercontig:LupAngTanjil_v1.0:Scaffold_11_11:14294:21322:-1 gene:TanjilG_01898 transcript:OIW20125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSDAVLDYAVLQLSPKRSRCELLVSSDGNTEKLSSGLVKPFLTHLKVAEEQVALAAQSIKLEIERHKNAGTWFTKGTLERFVQFVSSPEVLEMVITFDAEMSQLEAARRIYSKGAEDQHMDSQGGNGTQAIAAADATTKELLRAIDVRLGAVRQDLTTSCAHAAAAGFNPHTVSQLQHFAQQFLAHRLNEACTKYMSLYERRLDLISPWKQGSDDRELRSSVGSDMSIDTDDGTHLSPYHSEAQANAPELAKPSTWQQPKSFATFQRRSSSSNNLNQKYEVNDDNNQKEECPAPVPSESSPSTPSLGPAARRLSVQDRISLFENKQKENSSGTGSGPKPVVGKSIELRRLPSDVSLSTEKSVLRRWSGVSDMSIDVSGEKKDNGSPLCTPSSVSSVSQTMSNEEDKGRNNNSNSNSEVSADQVVRTDQQSSQDTSKVSNFDKERTSGSGGFKNHEERTFESQLRGSDDVGRKTPQLSSEVVTTSVMSDGGMKNNVVAIPSVIRGSHGHSRSQSAQFEGGVGVKLREGSVRNESGQSISKPDLSSFTRDREDSSFSSKHQKSEDSEVPKMKYQKPQPGSHEQISKPHGIRDEIRGANESCKLDLPGKEVMESQDDARVTSTAPSGQVQRVKNSKGNQGLHDELKMKADELEKLFAEHKLRVPGDQPGTARRIEPTDAHVEQAVNSQIRRSGVVESTPPLPSRTTACELTPSSSNMAMSDAKSLVKTMDTHEYGEVLRKNVSDFSFGDDSRGKFYEKYMKKRNAKLKEEWSSNRAEKEARMKAIQDSLEQSRAEMKDKFSGSISRPDSVLGAHRAEKLKYFKSNVKRDQHPIESLQNEDDGLSEFSEEKVYGTSRQSKKILPNRHMPSGTPRTTATSTSRSFGRRRDNPLAQSVPVFSDLRKENTKPSSGVSKTTRLQVRNYARSKSTAEEMQGIQEEKPKRTQSLRKNSANPAEFKDLPPLNADGVVLTPLRFDMDQSDLDPYDQSPTSYLKKGNSIDSGFAGNAVRTKASMVSDTHKNEEFEELKSEVEDSLYMATEEQDVIQTMAIEGSAYSNGKVSLSQESDKSGSEVGDSTRSFSQVDPISVSQMPTAFPSTFNVVGSLQDSPVGSPVSWNSHMHHPFSYPHESSDIDASVDSPIGSPASWNSHSLTQVENDAARMRKKWGSAQKPFLVPNSSQNQPRKDVTRGFKRLLKFGRKSRGSESMVDWISATTSDGDEDTEDGRDPTNRSSEDLRKSKMGFSHGHPSDDSFNESELFNEQVQSMQSSIPAPPAHFRLRDDQISGSSLKGETSLT >OIW20123 pep supercontig:LupAngTanjil_v1.0:Scaffold_11_11:3406:4292:1 gene:TanjilG_01896 transcript:OIW20123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMGLGTTVGMGNLRNNIVGLGPIGNPIGIGAARGIARTRISTPMTSMFGMGSMGQSLINLSQASNITNALSQQLRSVNVTPSPTNLFASRLRMAHNRRSMLGSPQYGIAGISGAIQMHSTPAATTRPTAVAITAATTTTFTTLVAAATTATRNNVTVEISCFATTGGVTVYNGSSTTEPTSSAVSKPSTDESTNAYEPTTDELRGHYAAMSAGNPEACPASPQLSSQTFGSINSITNLPMDMQGVNKSNSVNNAQ >OIW20126 pep supercontig:LupAngTanjil_v1.0:Scaffold_11_12:18467:21752:-1 gene:TanjilG_01899 transcript:OIW20126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLHMEAPTRTSLGGSLASTEVSSLQKRKRVKKKKQGKVVQNKDNGPDFLLDCSSQKTDASVKDPLLESPTLPNLLRSQQIVEHNSKKNNKKRKRQKMKRKATENSVKNDFCSPNDVFSSKFSTPALDMPSEHPIQIVSTDPEMMQSCVEKDIHLGENEEKDQSMIKTAAENNKIECDFDEVKCTSNSVITNADGFAKDSGLEVVKLNVEQDINANASNPVDENGFVKNGSEDPVNFNLEPAMQDHHEDDSCKISDGPLTFGLIEKERELSKDQVASHSTDATEKIKLITSEQKVISTASVVDIPVMQLEEANSKQLDHIESTRNHMSFAGDVSELSLVSKNDSPSKISRFSLDSSTTNKSKNKLLIIDLNGLLADFVGYFSKGPEPDLSLKRRKVYKRPFCDDFLQFCFDRFHVGIWSSRAKSNVDKVIELLMGKFASKLLFCWNQSQCTRTEFTTVENTHKPLLLKELSKLWDKVDPNLPWEKGEFNESNTVLLDDSPYKALVNPMHTGVFPYSYRYDDDRDASLGPGGDLRVYLEGLAMAGNVQEYISSNPFGQRPIREANPSWGYYQKVIEAVKGRRKSKPSSAPPHQARSELVKE >OIW20106 pep supercontig:LupAngTanjil_v1.0:Scaffold_11_2:12473:17401:-1 gene:TanjilG_01852 transcript:OIW20106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERSEPALVPEWLRSAGSVAGAGNTAQHFASPSTNSDTSSVAYHTRNKYSKTDSDFDSSHSLFFERASSLNSRRSTINGSAKHPYSSFNRSHRDKERDREKDRSSFGNRLGHDSSDPLATIFSVGKERDTLPRSRSMVSRKHNDILTRRVAADTKSGGSGNQNNANGIISGNIGSSIAKAVFDKDFPSLGVEEKPEIVRVSSPGFGATTSQSLPVGSSALIGGEGWTSALAEVPTIISSSSTGSIAVQQTVTTPPVSVASSITAGRNMAEALAQTPSRARSAPQVLDKTQRLEELAIKQSRQLIPVTPSMPKALALNSSEKSKPKSAVRNSEMNVAAKIVPQQPGALHISSQSIRGVNAKVDSPKTSGKFTDLKSVVWENGASKDVPNPTNHSNSKPGNEHAVAAAAASAPSRNTNTLKCLVDRKPASMELKLGSTLDKKHLLSQVKSRNDFFNLIKKKTLMNSSTIIPNSVSTGSSMMEKSGEDNREVVSPSESPQSLRNGAEVISNGDCRAHEEVHRFSDSDEKDPIPCATIYPDEEEAAFLRSLGWEENSDEGEEGLTEEEINAFYQECKKLGPTTFKLYPGMQPLSKLFESYASNLHEASAERSLSDPRSEA >OIW20127 pep supercontig:LupAngTanjil_v1.0:Scaffold_11_27:9780:16846:1 gene:TanjilG_01900 transcript:OIW20127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKDQPIFVRDAVFKLQMSLLEGIHNEDQLFAAGSLMSRSDYEDVVTERSIANTCGYPLCRNALPSDRPRKGRYRISLKEHKVYDLHETYMYCSSNCVVHSKAFAGSLQDERCSVLDPEKLNNVLKLFGDMNMEPVEKLGKGGDLGLSSLKIEEKTETSTGEVSLEQWVGPSNAIEGYVPKQRDSNYKGSSRKNIKKGSQATHGKFTGDKNLILNEMDFTSTIIMQDEYSVSKVSSSDTETTADHEIKRTAVRKLPKKVGSKVDRENDGSIQELSSSFTSGLNLNTSEKEKEPLAKDLAKLSEAELKSSLNPCGKKKVVHSVSISERQSDVEQNKYERKSTELKGETSIVASNDGASTSNLDAIYVEEKFQIEKTTESCKTKPKSSLKSLGEKKQSHSVTWADEKINKSGSRDLCEFKEFADIKNKPDIVGNVDVVDDEERLRWESAEACAIALSQALEAVVSSDTDVTDAVSEAGILILPRPHDAVDEGTVEDVDMLETDSVTLKWPRKPGFSDLDLFDSEDSWYDPPPEGFSLTLSPFATMWNALFSWITSSSLAYIYGKDESFHEEYLSINGREYPRKIVLADGRSSEIKQTLATCLARVLPALVAELRLPIPISTMEQAMVCLLDTMSFVDPLPAFRTRQWQVIVLLFIDALSVSRLPTLIPYMTDRRASFHKVLNGTQLGLEEYESPIWSSNGVGPTDCERIRLWPLCMSEPHEFTGMERNIKNKPDIVGNVDVVDDEERLCWESTEACAIALSQASEAVVSSDTDVTDAVSEAGILILPRPHDAVDEGTVEDVDMLETDSVTLKWPRKPGFSDLDLFDSEDSWYDSPPEGFSLTVRQ >OIW20129 pep supercontig:LupAngTanjil_v1.0:Scaffold_11_27:28472:28714:-1 gene:TanjilG_01902 transcript:OIW20129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVETQISGEKADKVISKLGFDGIAKIDAWGRSGGVWCLWDSNSWNARVLQVADQLLSLEVRGVDGTDWILSVVFGSPNFS >OIW20128 pep supercontig:LupAngTanjil_v1.0:Scaffold_11_27:26167:26361:-1 gene:TanjilG_01901 transcript:OIW20128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLVNLNGELNISLLNRVVSKDILDKILALHPPSVAGPDDSVAWVGSKDGIFSVNSAYSIIVG >OIW20130 pep supercontig:LupAngTanjil_v1.0:Scaffold_11_30:587:5324:-1 gene:TanjilG_01903 transcript:OIW20130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNNCVGSSKSTTSTCSNSFCWYKKKKEASTKELKVEIENKNATKNVEHKPPQIMKFENEEIRAAQQKEPMKQTEETSSQVRQAKPAGPKKPHNVRRQASAGLKTESVLLRKTGNLRDFYNLGRKLGKGQFGTTFLCVEKATGKEYACKSIVKRKLVTDDDVEDVRREIQIMHHLVGSTDVISIKEAYEDVVAVHVVMELCAGGELFDRIVERGHYTERKAAKLARTIVGVIESCHSLGVMHRDLKPENFLFISQEEDSPLKAIDFGLSTFFKPGDIFNDVVGSPYYVAPEVLRKCYGPEADVWSAGIIIYILLCGVPPFWGESETDIFEAILHAEADFTSDPWPTISESGKDLVRKMLVRDSTKRITSYDVLRHPWIQVDGSAPDKPLDSAVLSRLKQFSAMNKLKKMALRVIAQNLSEEEIAGLREMFKMIDTDNSGQITFEELKVGLKKFGANLKESEIYDLLQAADVDNSGTIGYGEFIAATLHLNKIEREDYLVAAFSYFDKDGSGYITQDELQQACEEFGIKDTRLEDMMREADQDNDGRIDYNEFVDMMQSGNADMGKRGGKSSSSFSVRFREPRLVC >OIW20131 pep supercontig:LupAngTanjil_v1.0:Scaffold_11_31:19637:22774:1 gene:TanjilG_01904 transcript:OIW20131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAVSKFRSLNSTLSYLLSPSHVSHLSNSNSSNFSLSLLHTKPQHVSNNNNNSHTDTLSSLFNDITDILGADIATPDQSPSGFLFPGENPKVEIAFEDQPICTEGVCENAAKNGMLEKDNKFLVFEDSHLSMVVGEITAEIYRAGDDLSSIEEWLENLKYVFNPEVLDKVLKRCFKVPQLALGFFNWVKLKGGLGFSHTTQTYNTMLCIAGEAKEFGLVKKFMEEMNEYEVQKDVNTWTILVSQYGKAKKISEALLAFENMKRCGCDPDAVAYREIIRALCTSGKGDIAMEFYKDMVEKEMLLDVRVYKMLMNCMARSGDVAAVSLLGNDMTRLSLMPENCVHSCMLKSFCLSGRIKESLELIRDLKSKGLDLEPESFETLVRGLCKASRIADALEIVEIMKRRDIVDGKVHGIIINGYLGRNDIHKALDVFKSMKESGCVPTVSTYTELIQRLFRLLRYEEACTLYDEMLREGIKPDSVAITAMVAGHVSQNRVSEAWEFFKSMEQQGIKPTWKSYAVFIKELCKASRTQDIVKVLYDMQASKILIRDEVFHWVITYLENKGELDVKEKVQQMYTASKLNPEKCKESNTQVPLENKVEEDLGFEQSKSEKVDSALVDQPLKTYSEQDVHEIFRILSSSMDWPLIQENLEKSTIQFTPDFILDILHNCNVHGNTVLKFFSWVGKQTSYRHTAETYNMAIKIAGRGKDFKHMRSLFFEMRRNSYPITSETWTIMIMLYGRTGLTELAMNCFNEMKAGGYKPSRSTYKYLIIALCGRKGRKVDEAIKIYSEMTSVGCVPDKELLEIYLGCLCEVGRLLDARKCMDSLQKFGYRAPLNYSLFIKALCRAGKVAEALALVEEVDAEKYTLEQLTCGSIIHGLLRKGRLEEALAKLESMKKRGITLTVHVYTSLIVHFFKEKQVGKAIEVFKEMQESGYEPTVVTYSALIRGYMNVERPTDAWNIFYRMKLKGPLPDFETYSMFLTCLCKGGRSEEAMQLISEMLDSGIFPSSINFRTVFYGLNREGKHDLARVVLQQKSELIRKRKLIT >OIW20132 pep supercontig:LupAngTanjil_v1.0:Scaffold_11_31:27917:30841:-1 gene:TanjilG_01905 transcript:OIW20132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFHRSASTMSKRKLCITLSNFLRRTPLIPQCSTFNFSSPQIKHSSPLFTLQNPNFHKPICCYYSSSLGFLRFFGKRSWCSKYAEKLESCSSNDSKCWNCDVVPAASESTVPFLFCESCRCVQPVDDSVDYFQIFGLEKKHDIEDKNLESKYKGWQKKLHPDLVHSKSQKERDFAAEQSARVIDAYRTLSKPLSRGIYMLKLDGVEIDEEQTISDPELLAEVMEIREEVEEATNSEALNLILSQMQEKMQNWSTALADALQSQNFEEAKTSILRMTYYSRAIEEVIKKL >OIW20133 pep supercontig:LupAngTanjil_v1.0:Scaffold_11_32:11002:17674:1 gene:TanjilG_01906 transcript:OIW20133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNPLFIPLLFFFFFLCFEFCVVGTQMEREEKVSLELSEEILQSMEVGMSFKDYNCTISSMDFHKSSSYLVTASDDDSIRLYDITSGTCLKTINSKKYGVDLVCFTSHPTTVIYSSKNGWDESLRLLSLHDNKYLRYFKGHHDSSKCIFFPRVVSLSLCSRKDCFVSGSLDRTVLLWDQRAEKCQGLLHVQGRPAVSYDDQGLVFAIAFGGYIRMFDARMYEKGPFEIFSVGGDISDANVVKFSNDGRLILLTTADGHIHVLDSFRGTHLSTYNVTPVSCNSTLEASFSPDGMFAISGSGDGSIYAWSVRSGKEVTSWRSATSDTGPPVIKWAPGNLMFATGSSELSFWVPDLSKLGAYVGRK >OIW20137 pep supercontig:LupAngTanjil_v1.0:Scaffold_11_35:24559:26736:-1 gene:TanjilG_02113 transcript:OIW20137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMSHSKMISHHYSTHAFIQPSQLQSTTFVPEKRILQLVLDTLQRRDTYEIFAEPVDPNEARTISEAAKKVFDLLRTDPEKFELEFSETRRKVGRTNQGDFRDTTYMKSSEITTGVPSKTTTSSSRGTSNRKSLKANHGCSEIAKHVDARDLELPTENSRYKCFEVDRRCTYRALSLGEDESTFPTVYGKLKQLEYVNQQDVGYRDSLMLFVKDLGPSVQNIAKRKLLGCEIHTSSTSTPTRPYTFSTTTALMSQYPPLNRSVNHLNEMKNTRETIDLSGGKPSYINDKTDNVKLVGGTLIADRQTGSSPLEAKPQGSHNRYLGCDKVFSDSYYLRAHADDLNHGSKEVGKKSMMMLLEKSKLVNEEQLLVPNVENFQDAKIENRRKCVNSGFKLCVEYAIFEDTG >OIW20136 pep supercontig:LupAngTanjil_v1.0:Scaffold_11_35:22142:23043:-1 gene:TanjilG_02112 transcript:OIW20136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSIDTYGEGLERGDQSKNGFWGVLAQKAKEILEDEVNGKVVDETWQQQQQPPNSQTIHQTQLKASRHVAMATAAKAKLLLRELKTVKADLAFAKARCLQLEQQNKLLRDGDKGLNRADDDLIRLQLETLLGEKARLANENETYSRDNRFLREIVEYHQLTMQDVMYLHEGMEEVNELYPIHDARMMSMSTTHSSSSPLGNGKPIFPIPQQVVEQEDHNDKNTTSEEEAPPLSDHHHVN >OIW20134 pep supercontig:LupAngTanjil_v1.0:Scaffold_11_35:7377:10586:1 gene:TanjilG_02110 transcript:OIW20134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSKNQKPHVVCVPFPAQGHVNPFMQFSKLLRCKGFHITFVNNEFNHRRLIKSLGHDFVKGQPDFQFKTIPDALPPSDIDSTQDVPSLCIANQKHSYAPLKELVNNLNSSPGVPPVSTIIYDGIMSFAGKVAKDLGIPEFVFWTASACGLMGYLQYHQLVQRAIFPYKDENFETDGTFDTSLDWIIGMKDIRLKDLPSFIRDTKKVDSMFDFWDGEAQNCLRTPLIINTFQEFESDAFEVLRAKNPNIYNIGSLHLLGRHFPDKENGFKSSGSSLWKNDSKCIQWLDQWKPSSVIYVNYGSIARMSEKHLKEFAWGLANSNLPFLWIKRPDLVMGNSTELPQEFYDEVKDRGYITSWCPQEQVLNHPSVGVFLTHSGWNSTLEGICGGVPMIGWPFFAEQQTNCRYLSKTWGVGMDIKEDVKREEVTTLVKEMLIGEKGKQFRKNSLEWKNKSIEATNIGGSSYNDFFKLIKALQ >OIW20135 pep supercontig:LupAngTanjil_v1.0:Scaffold_11_35:19309:21340:1 gene:TanjilG_02111 transcript:OIW20135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQRVVEGITYLFELLVKFVLSVQKDNHHPQNKDDSYGLSWRLAVETNNVRGWKTVPPKCYKHVEKYMTGGQYEVDLKLIVDQILGYVSQISVASDGLDAWILDVDDTCISNISYYKAMRFGCDPFDSSKFKPWIMKGMCPANPVVLGLFNKLIERGFKVFLLTGRDQATHLQITTHNLHDQGFNGYHRLILRSAEYKGLSAVRYKSMIRKELEREGYRIWGNVGDQWSDLQGDSLGQRTFKLPNPMYCIS >OIW20138 pep supercontig:LupAngTanjil_v1.0:Scaffold_11_36:1377:2652:1 gene:TanjilG_02114 transcript:OIW20138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQNYNSLDAYYSRNCNNSAITTKQMLKASDNVNIGPCILFMISQYASVGLTTFMRFQGLLHAGVAHNRKLIVEWVPAWDLEDVTSQQDPDTYKVAWELLKVADDFLVPGGFSDRGVQGKILAAKYGRENNVPFLGICLGMKLLSLSLRDLSSVCMILLAHNLILKPKTLVSYLCQKKLADKVVAAGWYVVVPD >OIW20139 pep supercontig:LupAngTanjil_v1.0:Scaffold_11_38:6773:11229:-1 gene:TanjilG_02115 transcript:OIW20139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFAEWYRICELPGANDTASAHFISQLHQNILLKGDDVTDRFFRLLMELSVAHCLSTEVINSGAMQSPQQLQPMSFLAIDVYAKLVFSILKGSSKLILLSKILAVTVRFILEDVEEKKMSFNPRPYFRLFINWLLDLGSLEPVIDGANLQILTAFANAFHALQPLKVPGFSFAWLELISHRSFMPKMLTGNAQKGWPYIQRLLVDLFQFMEPFLRHAELGDSVRLLYKGTLRVLLVLLHDFPEFLCDYHFTFCDVIPPSCIQMRNIILSAFPRSMRLPDPSTPNLKIDLLQEITQSPRVLSEVDAVLKAKLMKADVDEYLKSRQQNSSFLSDLKEKLLLSSIEAGSVGTRYNVPLINSLVLYVGMQAIQQLQGRSPHAQSSANAFPLAVFSVGAALDIFQTLIMDLDTEGRYLFLNAVANQLRYPNTHTHYFSFILLYLFAESNQEIIQEQITRVLLERLIVNRPHPWGLLITFIELIKNPRYNLWNRSFIRCAPEIEKLFESVSRSCGGPKPVEESMVPGWV >OIW20140 pep supercontig:LupAngTanjil_v1.0:Scaffold_11_47:6161:10903:1 gene:TanjilG_02116 transcript:OIW20140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATDAYILKESALLSMRALKSLFTLIQEIITILVLPFRGRRKVSSHQVEKDEKRHDCHRKGTVVRVPAKMVPWKNSISISSNGGGGGGGGGAAVKVVDQVVMVRREMAVRRVMEENDDESCVREYWLLGTRRGDTIFTQSWTPVSVQISGRYSDFAKQLNANGYKVYGMDWVGHGGSDGLHGYVHCLDDAVSDMALLDPKVEAKIVGAAFTSPAVGVEPSHPILLVLAPILSFLLPRYQWRSAYKKGLPVSRDPQALIAKYSDPLVCTGPLRVRTGYEILRITGYLQQNFRKLRVPFFVLHGTADCITEPEASKKMYEQASSCNKSIKLYEGFSHDLLFEPERDVIARDIIRWVNSRM >OIW20142 pep supercontig:LupAngTanjil_v1.0:Scaffold_11_56:31679:32248:1 gene:TanjilG_02122 transcript:OIW20142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLPVLDGKNYERWHIQMKALFGYQEVLEVVQHGYQIIDEEGTEAQRAAFRESKKKDCKALCMIHQCVDESNFEEIANVKTAKEAWDTLEKSYAGAEKVKKVKLQTLRREYELLQMKKGESIAEYFTKIGSLSNLMKGCGEAVRDQLVVEKVLRTLTSKFDHVVVAIEESKDLESFKIEELQSSLEAHE >OIW20141 pep supercontig:LupAngTanjil_v1.0:Scaffold_11_56:25188:29068:1 gene:TanjilG_02121 transcript:OIW20141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITLTDFYHVMTAMVPLYVAMILAYGSVKWWKIFSPDQCSGINRFVALFAVPLLSFHFIASNNPYKMNLRFLAADTLQKIIVLVVLAIWSNVTKRGCLEWTITLFSLSTLPNTLVMGIPLLKGMYGEFSGSLMVQIVVLQCIIWYTLMLFMFEFRAARILISEQFPDTAGSIVSIHVDSDVMSLDGRQVPLETEAEIKEDGKLHVTVRKSNASRSDIFSRRSQGLSSTTPRPSNLTNAEIYSLQSSRNPTPRGSSFNHTDFYSMMASGGGGGGGRNSNFGASDVYGLSASRGPTPRPSDYDEDVSVGVGKPKFHYQSGTGTGHYPAPNPGMFSPSSGSKHVSNNVNNANVNVNANTKRTNANANVNGQAHQKQEDLHMFVWSSSASPVSDVFAAHEYGTHDHKEVKLSVSPGKVENQRETQEEYLEKDEFSFGNRGTDNQHEGDKVGEGKPKTMPPASVMTRLILIMVWRKLIRNPNTYSSLIGLTWSLVSFRWNVEMPAIIAKSISILSDAGLGMAMFSLGLFMALQPRIIACGNKVATFAMAVRFLTGPAVMAAASIAVGLKGVLLHVAIVQAALPQGIVPFVFSKEYNVHPDILSTA >OIW20143 pep supercontig:LupAngTanjil_v1.0:Scaffold_11_56:34001:34195:1 gene:TanjilG_02123 transcript:OIW20143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQPSSRLNDYEIFSDSGITAEGELVHMALLAEMEPIDFHEAMKTDQWLEAMKEELKSIEKNQT >OIW20144 pep supercontig:LupAngTanjil_v1.0:Scaffold_11_58:2841:7835:1 gene:TanjilG_02124 transcript:OIW20144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIDLKLSRSNRIYHPSESLEGKVIIKTHSSISHYGIHITIKGSVNLQVRGGSAGIVESFYGVIKPIPILNRTIEVKSSGKIGSGTTEIPFSLNLRKRDENLERFYETYHGANINITRGYLHKSLSATVEFIVESDKDDLLQRSLSPEMVIFYITQDTQRHPLLPELKSGGFRVTGKISTQCSLSGPISGELTVEASAVPIHSIDIQLFRVESILLGEKIVTETSLIADGDVCCNLTLPIYVIPPRLLTCPTTLAGPFSVEFKVAIVISFQSELSKLNKKADPRTPRLWLAMETLPLELVRTK >OIW20145 pep supercontig:LupAngTanjil_v1.0:Scaffold_11_58:8340:11176:-1 gene:TanjilG_02125 transcript:OIW20145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPKAEIFELNNGTMQVLVSNLGCTITSLSVPDKDGVLSDVVLGLDSLDSYQKGLSPYFGCIVGRVANRIKDGKFTLDAVEYSLPLNNPPNSLHGGHVGYDKKVWEVVEYAKGKTPSITLKYHSHDGEEGYPGDITVTATYTLTSSTTLRLDMEGIPKDKPTIINLAQHTYWNLAGHDKANILDHSIQILANHVTPVDQNSVPTGEIMPVKGTLFDFTSEKRIGNTINEVGIGYDHNYVLDCGEEKAGLRHAAKVRDPSSSRVLNLWTNAPGVQFYTANYVNGVAGKGSVVYEKHAGLCLETQEFPNAINQSNFPSIVVKPGEKYQHSMLFEFSIERS >OIW20147 pep supercontig:LupAngTanjil_v1.0:Scaffold_11_60:9050:9798:-1 gene:TanjilG_02127 transcript:OIW20147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCHGLSDGGSATLEVSARPTDVRNYPTRHCVTKPAPREEGLTHQEIRVGPRGTVEALDASPTSPTCPDDTKPKHQPAPGRVRPGFGMEDGSVELPTTPWKNAPACLGQLAGALGGSPMACHPDPPRNAWNIIPKHFPKLRPCNIEASPLNI >OIW20148 pep supercontig:LupAngTanjil_v1.0:Scaffold_11_60:10612:11629:1 gene:TanjilG_02128 transcript:OIW20148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLPPSAPATCHRHAGAFFHGVVGNSTESSSMPNPGRTWPGAGWSFGKMCASSWTYPAPRTRLRCIKGLASASRSHSKFLMRPSHLSRFRFCDSVTGWVVAGVPRLLVLTGPARKDVVLGEAFRGIAAARRGAIPIIQKCVVVLDWSHGAELVVVASHGRSETSSVISPARKDVVFGEVLRGASAIIRKCVVGWFSWLKSRCGGVRRTQQCDLSV >OIW20146 pep supercontig:LupAngTanjil_v1.0:Scaffold_11_60:5028:7151:-1 gene:TanjilG_02126 transcript:OIW20146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSNTLISFCIIFSSFIHSGFSSDPSLDQLLGGLGDISALQDAQCMQKLLPCKTALSSSTISNPSRACCNPLNEILTNDTECICSFINNPQLLVSMNINKDDLLKLPDACGLDADISMCDNVTSTPPSTPSADLTTTVPVDDAPPAEESKASTKVITHYGIVHFGGPGFVALLTALIFSAY >OIW20150 pep supercontig:LupAngTanjil_v1.0:Scaffold_11_60:20561:21013:1 gene:TanjilG_02130 transcript:OIW20150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYFNFQVNITARNPNKNTIVYYRKITAIAWYKDNAFAYVSLTPFDQGKKNTSFLQTAMFEGSSLIKLKPRQLAEYYTETRLSVYNDLAVDLDIIVRYKYWGIKSIRFNPPIVQCRRLRVPLISNGTSIVSFNYTKCSNGYFFVDGNADDN >OIW20149 pep supercontig:LupAngTanjil_v1.0:Scaffold_11_60:14673:15275:1 gene:TanjilG_02129 transcript:OIW20149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCRSCCICFTICTLITILPVIFTEIFSPSSVKFHVTDTLLTQFNLTNNNTMYFNFKVNITARNPNKNTIVYYRRITAIAWYKDNDFAYVSLTPFDQGKKNTSLLQTAVFQGSSVIKLKPRQLAEYYTETRVGVYNDLAVDLDMRVRYKYGRIKSTRFNPPIVQCRLRVPLISNGTSLSSFNDTKCSNSYFFVDRDAGDG >OIW20151 pep supercontig:LupAngTanjil_v1.0:Scaffold_11_63:18551:20070:-1 gene:TanjilG_02131 transcript:OIW20151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLFLSRNLASNFLYPFLFSKKISVDLFPKQLENMMCLVSPRTGRHLQRYDNGCRQVVGCIPYRYKSNETHDHEIEVLVISAQKGQGMQFPKGGWEIDESMEQAALRETIEEAGVVGNVESKLGKWFYKSKSQAIMHEGYMFPLLVNEQLDNWPEMNFRKRRWMTVAEAKEICPHSWMNEALDVLVSRQTQPQPKL >OIW20152 pep supercontig:LupAngTanjil_v1.0:Scaffold_11_68:16382:19899:-1 gene:TanjilG_02132 transcript:OIW20152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLSELINLDLAETTTEKIIAEYIWIGGSGLDIRSKARTLPRPVSDPSKLPKWNYDGSSTNQAPGKDSEVILYPQAIFRDPFRRGNNILVICDAYTPAGVPIPTNKRHNAAKIFNHPEVVAEEPWYGIEQEYTLLQKDTNWPLGWPIGGYPGPQGPYYCGIGADKSYGRDIVDAHYKACIYAGINISGINAEVMPGQWEFQVGPSIGISAGDELWAARYILERITEIAGVIVSFDPKPIPGDWNGAGAHANYSTKSMRKDGGYEIIKKAIKKLELKHKEHIAAYGEGNERRLTGKHETADINNFVWGVANRGASVRVGRDTEKEGKGYFEDRRPASNMDPYVVTSLIAETTILWKP >OIW20107 pep supercontig:LupAngTanjil_v1.0:Scaffold_11_7:4751:6314:1 gene:TanjilG_01880 transcript:OIW20107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCCTSKPENHSLENHFHNKLVISPTSSSNCSSFTCTNKSNSIASSSSLSSASSSLTYKDRPFSNEFLWSCYKENPHINGINSLKDATYSFSKPKAPSQAKPSLESMKQSLPQKKRVRSNSPVNQTRQKSFRKEVPDHQSHNYSSYNALASGMLRSPSPTRRLNGSKSLPNPMNGSKNNATHSHYVSSSTRKVIIKPTSTPNNSSRRVVHSGLRHREKCSHRNNVSNKIDETMVEEVMCNMDIDNSGLVEDIDNPLVSFDCFIFL >OIW20108 pep supercontig:LupAngTanjil_v1.0:Scaffold_11_7:7488:9346:1 gene:TanjilG_01881 transcript:OIW20108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSQYLVSSHNHNQEAQSRFFDPSWLKKQPNVPMNFIWPKESLEDAHEEFQAPVLDLEGFLKGDNEATLHAAKLISKSCMSHGFFQTQSSMWGYSGAHANRFSSKLPWKETLSFPFHDNNLEAVVTNYFSSTLGEDFEQAGVAFQKYCEAMKGLGMKVIELLAISLGTEPHCDPTSVTILHQDDVGRLHVFADNKWQMVKPRPDALVVNIGDTFKALSNGRYKSCFHRAVVNQYKERRSLAFFLCPKEDKVLRPPEDIVLKDGTKQYPDFTWSNLLEFTQKYYRADEATMHNFTKWLLSSKPQTPSS >OIW20153 pep supercontig:LupAngTanjil_v1.0:Scaffold_11_80:6938:10048:1 gene:TanjilG_02133 transcript:OIW20153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKKNDSNDKNHQQHHREEEDLEQEQEGDQEEEEENNEILKKRISNHPLYGLMVETHLECLKVGDISNLDTALKIDHQMQTMNKQNLGMFSHSELDLFMEAYCMALGQLKVAMEEPQQKSMAFINNMHSQLRDLTMATMPAPDESAADATSSSECKSRRNSTS >OIW20155 pep supercontig:LupAngTanjil_v1.0:Scaffold_11_86:15290:19603:1 gene:TanjilG_02135 transcript:OIW20155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAFDEECDYLFKCVLIGDSGVGKSNLLSRFSKDEFRLDSKPTIGVEFACRKIKIKHKLIKAQIWDTAGQERFRAITSSYYRGALGAMLVYDITRRATFVNLRKWLHELREFGNEDLVVILVGNKSDLGQSREVEKEEGKLFAETQGLCFMETSAFKNLNVEEAFLQMITKIYEITRQKTLEAKMNGTKLSLANGKEIHICDDEVTATKHANCCTN >OIW20154 pep supercontig:LupAngTanjil_v1.0:Scaffold_11_86:7629:12605:1 gene:TanjilG_02134 transcript:OIW20154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSSKLTLYYRLRTALSRTLSPPTPFSPFFHHRRRHHRSFSSPTAATETLSSVDQHCHPWPEWVSFVDTLNTRGYITKPSSSPSEDRSVYANMNLLKDPCLSFARDRYDIFKSLSLKDIQAVVEGGCPNLLRKAVNSAKRLRVHVQLDEGDVCSACNLRDSCDRAYVILKEFEADARTVDIVRILMFYALDPLVLSGEEKLPGREVTESSVRKLLSQLVELSDSSPAPAPAPTRPKTTTQDATAKGQPPSFMTNKLSKDVEMKKGDWMCPKCNFMNFARNTQCLNCNEDKPGDLNVPTVEMKKGDWTCPECSFMNFSRNTRCLKCKKEGPPKMFNTDEVERKKGDWTCSQCGFMNFASNAKCLRCPELRPNTHPGDWNCRKCDFMNFSGKLKCFRCQEPNPSPKKHPGDWSCPKCDFYNYSKNMACLKCNTGRPGDQPTCEYEEHVWRRSR >OIW20156 pep supercontig:LupAngTanjil_v1.0:Scaffold_11_89:23389:29167:-1 gene:TanjilG_02136 transcript:OIW20156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGGTLVDGIRRFFNRRNSAPSLNNLDHHEQNNNDVDDDDNNNVVFVNDLDPNDHHHSEFASFNLKLLKVPSHSHSKQKVSNMDHKKKGAPEAEFFTEYGEANQYQIQEIIGKGSYGIVGSSIDTQTGEKVAIKKINDVFEHVSDATRILREIKLLRLLKHPDIVEIKHIMLPPSRREFKDVYVVFELMESDLHQVIKANDDLTPEHYQFFLYQLLRGLKFIHTANVFHRDLKPKNILANADCKLKICDFGLARVSFNEAPSAIFWTDYVATRWYRAPELCGSFFSKYTPGIDIWSIGCIFAEMLTGKPLFPGKNVVHQLDLMTDLLGTPPEESISRIRNEKARRYLSSMRKKQPVPLSKKFPNADPMALNLLQRLIAFDPKDRPTAEEALSDPYFHGLSNVDREPSTQPISKLEFEFEKRKLTKDDVRELIYREILEYHPQMLEEYLRGGDLTTSFMYPSGVDRFKRQFAHLEEHNANGERSTPLQRQHVSLPRERVATPKEGNNQNNGSNLQSPPGSDVTDSGNSDPRNLVKSASITSKCIGLKQSKESEEEPITETSNETVDELIEKVAALNAHLNHDS >OIW20109 pep supercontig:LupAngTanjil_v1.0:Scaffold_11_9:7859:8293:-1 gene:TanjilG_01882 transcript:OIW20109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKVLPPHAEITNKATDTIQKCVYRFITTLTSEANKQCKSDKRKVVAAKDLLSAMEGLGLDNFVEPLLAYHDSYLENEVKHLIMGGDNGKRVENDVMGLTPQHQESSGGSNSIPVTPNFNPRSVSSSASCNAMENFDLLPFLDP >OIW20119 pep supercontig:LupAngTanjil_v1.0:Scaffold_11_9:80248:80895:-1 gene:TanjilG_01892 transcript:OIW20119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGLVARQRKEELQRVNDKLLQINAALRKEAKIESYGPASGYAPIGDSEVIVDPKKQEVIFKLKTGKNFLRNQQPDKAFTEFKIALELAQNLRDPIEEKKAARGLGASLQRQGKYRDAIKYHSMVLAVSEREGEDSGNTEAFGAIGDCYTELGDLEKAGQFYDKHIDMLEKD >OIW20111 pep supercontig:LupAngTanjil_v1.0:Scaffold_11_9:20970:25641:-1 gene:TanjilG_01884 transcript:OIW20111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATATMATAAGAAALLYYTLNRKLQSRTIDDDDEEHTNDTPMNVPLGIDRVSHRLIQAPATWLETISTLSETLRFTYSETLGKWPIGDLAFGINFLLKSQIVFGFYDLQDILAVSTFKESKVAKGNYHVDSVFGGNDSLQLKGADIIAELKYLLNLLTLCWHFSKKPFPLFLEETGYTQENVLLQEPKAGILKPAFTIIADHNMGCFLLLIRGTHSIKDTLTAVTGTVIPFHHSVVYQGGVSDLVLGYAHCGMVAAARWIAKLATPCLLEALRHHSDYEVKIVGHSLGGGTAALLTYILREQMELSTTTCVTFAPAACMTWELAESGNSFITSVINGADLVPTFSAASVDDLRSEVTASAWINDLRNQIEQTRILSTVYRSASALGSRLPSIATARAKVAGAGAILQPVSNGTQVAMKRAKSMAQAAWTHPNLNLTSWSCMGPRRRAVGSHSNTKGEDNSPRSSSSATEESSDQLICSPKKGVNAKNMNLPVSSSVDEWSSEIECANERISDAAGNSDHDDGEHMTDHDNYEDQISEVELWQRLEHELYDRHDGEVEEIREEEDAAIAEVTDGHTRSSAPETKEVHRFFPAGKIMHLVTFHSDANEHENDSSSSSISSDSSEPDVTKIGIFLTPRSLYRKIRLSQTMISDHFMPIYRKQIESLIKELEEESTEDHRTQVVL >OIW20117 pep supercontig:LupAngTanjil_v1.0:Scaffold_11_9:60350:63505:-1 gene:TanjilG_01890 transcript:OIW20117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAGVISLQPNLLFNIIIIMFFNIFQMTYSMSEDEALLSLKKSFSNAQALDTWVAGTSPCTEDDQWVGVACSNGQVTRIRLGDMGLQGKIDADALHQLKALRTISFVKNSFNGSIPELSKIGFLKAIYLSENKFSGEIPKHYFHEMRSLKKLWLDGNEFTGQIPPSLSKMPQLMELHLENNKFNGTIPDLDSPALVDFNVSNNKLEGRVPTTVLRFNESSFDGNSGLCGEKFGIKCDENRKMEAPSPISAEMNGDTIIIHNGNAPQTDEGKINAAAIAGIISSCIVVILLFIILVSRSRRKKGKEDFDAIIVKQNNEEAVEVQITAPMKREVSAEPIRKSTSNKKGGSGHHNVKGVGELVMINEEKGIFGLPDLMKAAAEMLGNGAFGSSYKAVMANGVAVVVKRTRDMNALEKDGFDAEMKKIANLKHWNILTPLAYHYRKDEKLVISEYVPRGSLQFLLHGDRGSSHSELDWPSRLKIVKGIAEGMRYLHTELASSDLPHGNLKSSNILLGPNYEPLLVDYGFSHMINPASAAQELFAYKAPEAASQGQVSHSCDVYCLGVVILEILTGKFPSQYLSNGKGGTDIVQWVASAISEGRELELLDPQIATSRNNSQGEMKKLLHIGAACTENNPHQRLDMAEAVRRIEEINNEGGKGSRTIEVLPSLRDGYADSHHVLGAQEHGNDQSSRREGSNSFGSKDNFDFDYLYLNYRIAL >OIW20120 pep supercontig:LupAngTanjil_v1.0:Scaffold_11_9:83513:86041:1 gene:TanjilG_01893 transcript:OIW20120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSYPDTVVDHRSSGKALGKSNFSQTCTLLSHFLKEKRSSGASMGGKNEPKGNTKDLISNLQNSDGALRLNASALDFLPQLVENPCIKKSNDRTPGPETPQLTILYAGKMLVFDAFPPEKATEIMELATKLASDNSTIKESPHSVPITTEKFKGSKVPQTNTDSENPRPGNQVVGPDMRYPRRASLVKFLEKRKERVISKGPYQINNPNPTRHEGNSSGCEAEDQCSKQLDLNL >OIW20122 pep supercontig:LupAngTanjil_v1.0:Scaffold_11_9:99898:100230:-1 gene:TanjilG_01895 transcript:OIW20122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKHHPDLIMCRKQPGIAIGRLCEKCDGKCVICDSYVRPCTLVRVCDECNYGSFQGRCVICGGVGISDAYYCKECTQQEKDRDGCPKIVNLGSAKTDLFYERKKYGFKKR >OIW20118 pep supercontig:LupAngTanjil_v1.0:Scaffold_11_9:73430:75958:1 gene:TanjilG_01891 transcript:OIW20118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSYPDTVVDHRSSGKALGKSNFSQTCTLLSHFLKEKRSSGASMGGKNEPKGNTKDLISNLQNSDGALRLNASALDFLPQLVENPCIKKSNDRTPGPETPQLTILYAGKMLVFDAFPPEKATEIMELATKLASDNSTIKESPHSVPITTEKFKGSKVPQTNTDSENPRPGNQVVGPDMRYPRRASLVKFLEKRKERVISKGPYQINNPNPTRHEGNSSGCEAEDQCSKQLDLNL >OIW20112 pep supercontig:LupAngTanjil_v1.0:Scaffold_11_9:27813:30787:1 gene:TanjilG_01885 transcript:OIW20112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDLVMVIQIMLKLNERIVAKPGSMCFMSGSIEMGNVYSPENELGMLQWLFGKSVTSIVLRNSGPSDGFVGIAAPSLARILPIDLAMFNGGILCQPDAFLCSVNDVKVSNTVDQRGYNVAAGAEGFLRQKLSGQGLAFILAGGSVVQKNLEVDEVLAVDVSCIVAVTSTVDIQIKFNGPTRKAVFGVDNAVTALLTGPGFVFIQSLPFHRLSQRISRAVTYPNMRENRKVLIQIAVFFLMAYVVLLSSLILTDV >OIW20113 pep supercontig:LupAngTanjil_v1.0:Scaffold_11_9:35724:36507:1 gene:TanjilG_01886 transcript:OIW20113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVCRSGFAPTPSLRDTLQESRMEKDNASEENLWPKFLSSILYEEVQKMTKKNNEDELEERSDNGCKWVKTDSEYIVLEI >OIW20114 pep supercontig:LupAngTanjil_v1.0:Scaffold_11_9:39795:41257:1 gene:TanjilG_01887 transcript:OIW20114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRDGRKLMGTLRSFDQFANAVLEGASERVIVGDLYCDIPLGLYVIRGENVVLIGELDLEREELPPHMTCVSTEEIKQAQKTDREASDLKGTMRKRMEFLDLD >OIW20121 pep supercontig:LupAngTanjil_v1.0:Scaffold_11_9:90331:92381:-1 gene:TanjilG_01894 transcript:OIW20121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIFKDAFQGSSQIRSTLHCSNCKVDMHQRFLSVIFLTSNILMFSTPCKALAETCEAGNSSFNMPLLLAIALIGATVGGLVARQRKEELQRVNDKLLQINAALRKEAKIESYGPASGYAPIGDSEVIVDPKKQEVIFKLKTGKNFLRNQQPDKAFTEFKIALELAQNLRDPIEEKKAARGLGASLQRQGKYRDAIKYHSMVLAVSEREGEDSGNTEAFGAIGDCYTELGDLEKAGQFYDKHIDMLEKD >OIW20116 pep supercontig:LupAngTanjil_v1.0:Scaffold_11_9:51559:53418:-1 gene:TanjilG_01889 transcript:OIW20116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSPPMTPENLPLSEAEKIILRWDSTASEEAREKMIFDGDRHEVDLYLQAVDQIQRSISSTSISDDTNKVNPAVQIAMARLEDEFRNILISHTIPIEADSLTEQDLEQQPSSSSSPIHREAIDDESNYVHDEGNKLFRFNSEGTCSNVSVNSSYRSTSSIREIDLIPSEAVYDLRCIAERMISSGYLRECIQVYGSVRKSAVDLSFRKLGIEKLSIGDVQRLEWEQLETKIRRWIRAAKVCVRTLFASEKRLCEQIFDGIGTCIDDACFMETVKGPAIQLFNFAEAISISRRSPEKLFKILDLHDALMNLMPDIDFVFDSKSSDSIRVQAAEILSRLAEAARGILSEFENAVLREPSKVPVPGGTIHPLTRYVMNYISLISDYKVTLNELIVSKPSTGSRCSGDPSTPDMDFEEIEGQTPLAIHLIWIIVILQFNLDGKCKHYKDASLSHLFIMNNVHYIVQKVRGSSELREMIGDDYLRKLTGKFRQAATSYQRATWVGVLHCLRDEGLHVSGGFSSGVSKSALRERFKAFNAMFEEVHRTQCVWLIPDSQLREELRISISEKLIPAYRSFLGRFRSHIESGRHPENYIKYSVEDLEDDVLDFFEGIPVSLHLKRRSN >OIW20110 pep supercontig:LupAngTanjil_v1.0:Scaffold_11_9:14623:15200:-1 gene:TanjilG_01883 transcript:OIW20110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSATMISDPIVIPAPETQPAAISSIIVAQSEVEFAICDCCGLTEECTQAYIEGIRERYNGKWVCGLCAEAVKYEIVRSKRLISTEEAFTKHMNFCKKFKTLSPPLNPTMHLISAMRQILRRSLDNNSPRIRSTPFMKVWDVIRNKKQENENFVFDWTRNGRVDTG >OIW20115 pep supercontig:LupAngTanjil_v1.0:Scaffold_11_9:43680:46948:1 gene:TanjilG_01888 transcript:OIW20115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWLSLLLSLLLFSLRVEASVHDYKADKFTAKGNAFVVHGGSEGIYSFNPIHNDTSLLAANGDSYIRFERIIFRRNKDFTNFSSLPIQAVVFEVEDRETIGGSAYGGQRAVCCTGDLAKLGVCTEGQVIHRPSAENSDWPQVFGVSFDMDDEEAMLPLKSIQITKTGMYNLYFIHCDTRLKELVVEGKTVWKNPSGYLPGRMAPMKFFYQFMSFAFVLLGIFWFSQYARFWREVFPLQNCITLVITLGMFEMALWYFDYAEFNETGIRPTGTTIWAVTFGTVKRTVARLIILIVSMGYGVVRPTLGGLTSKVVMLGGTFFIASEVLEMVENVGAVSDLSGKARLFLVLPSAVLDVFFILWIFTSLSATLNKLQTRRMMVKLDIYRKFTNALAITVVVSVSWICYELYFKSNDIYNEQWQNAWIIPAFWQVLSFSLLCVICALWAPSQNSTRYAYRDDGSDEFDRDDTTLTLIKPSKISSKDFGIVPEARPVQGSNGSSNGDLEEDKTE >OIW21419 pep supercontig:LupAngTanjil_v1.0:Scaffold_120_10:2577:3074:1 gene:TanjilG_03443 transcript:OIW21419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFPVGYTEFLIPGLFLKTLTFLGFLRNLVSNLFHYLGISEFHETDVVWPEPNHNNNNLQISDTRKPSFSALLIRELLPAIRFSDLDSSSAAVAAAESGCAVCLYQFSDEDEIRCLRNCKHIFHQGCVDRWIDHDQTSCPLCRTPFVPDDMIDDYNQRLWATSGY >OIW21420 pep supercontig:LupAngTanjil_v1.0:Scaffold_120_27:3533:5959:-1 gene:TanjilG_03454 transcript:OIW21420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQPTASVKDRPAFAMITDAEKKNLISPGKTTLIEPTSGNMGISLAFMAAMKGYKIVLTMPSYTSLERRVTMRAFGADLVLTDPTKGMGGTVRKAYELLESTPNAFMLQQFSNPANTQVHFETTGPEIWEDTNGQVDIFVMGIGSGGTVTGVGQYLKSKNPNVKIYGVEPAESNVLNGGKPGPHEITGNGVGFKPDILDMDIMDKVLEVRSEDAINMARQLALKEGLMVGISSGANTVAALRLANMPENKGKLIVTIHPSFGERYLSSILFQEIRKEAENMQPVQVD >OIW21422 pep supercontig:LupAngTanjil_v1.0:Scaffold_120_34:17020:18645:1 gene:TanjilG_03456 transcript:OIW21422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEICTALMLLMVITAYLLWFTFISRSLKGPRVWPLLGSLPGLIENCERMHDWICDNLRACGGTYQTCICAIPFLAKKQGLVTVTCDPRNLEHILKTRFDNYPKGPTWHAVFHDLLGDGIFNSDGDTWLFQRKTAALEFTTRTLRQAMARWVTRAIKDRLCVILKEAELEDEPVDVQDLMLRLTFDNICGLAFGWDPQTLGPGLPENDFAMAFDRATEASLQRFILPEVLWKIKKWLGLGMEVSLSRSLVHVEKHLSSVIEKRKVELLSQQKDGTIHDDLLTRFMKKKESYSDKFLQHVALNFILAGRDTSSVAMSWFFWLMIQNPRVEEKIVYELCSVLIETRGNDMEKWMVEPLGFEELDRLVYLKAALSETLRLYPSVPEDSKHVVADDVLPDGTFVPAGSSVTYSIYSAGRLRSTWGEDCLEYKPERWLSLDGKKFTMHDSFKFVAFNAGPRICLGKDLAYLQMKSIAAAVLLRHRLEVVPGHQVEQKMSLTLFMKNGLKVKVHKRDLRGILESIQKEKDGGIQGKESADLRCNGVVA >OIW21424 pep supercontig:LupAngTanjil_v1.0:Scaffold_120_34:50609:55433:-1 gene:TanjilG_03458 transcript:OIW21424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTTKPKLKFPSITHCTETTSSFSSIAADLDGTLLISRSSFPYFMLVAVEAGSLLRGFVLLLSLPFVIVSYLFISEAIGIQILIFISFAGLKIRDIELASRAVLPRHTLLLTNVRKESFEVFDQCKRKVVVTANPTVMVDPFVKDFLGGDKVLGTEIEVNPKTKKATGFVKKPGVLVGKLKRLAILKEFGDDSPDIGLGDRESDHDFMSICKEGYMVHPSKSAKPVPQDRLKSRLIFHDGRFVQRPDPLNALITFTWLPFGFILSIIRVYFNLPLPERIVRYTYEILGINLIIRGHRPPPPSPGTPGNLYVCNHRTALDPIIIAIALGRKVSCVTYSVSRLSRFLSPIPAIALTRDRAADAARITELLEKGDLVVCPEGTTCREPFLLRFSALFAEMSDRIVPVAVNCKQGMFYGTTVRGVKFWDPYFFFMNPRPAYEVNFLDRLPEEISCKAGGKSSIEVANHVQKVLGEVLGFECTGLTRKDKYMLLGGNDGKVESMYNAKK >OIW21421 pep supercontig:LupAngTanjil_v1.0:Scaffold_120_34:6886:8654:-1 gene:TanjilG_03455 transcript:OIW21421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPRKRDKRGRKFRDVEKLKNDLENVWIGLYKVMINTLRFKRGVRSVERKGDGSLKPHSLLLHKPSWFDEVVMGGEKPLLGGGMKSWKEVVIGGEHAPLRYKKIESKSVINFSAPKHCSDFFKGSVIGELNNIEDLAREVFRVPRKVGVMQSVKDVEFDDSGNFGEGRWPKGGWLEGESCWEKNAKSIEDDDEVEELQPLEAIHKELCVVPIKETPCQGDLSKLGNTVKNSSLYSVPILALDSLDWTDETTNCLDKVVDKVMPLDIVDSMNPFVDSCPVLDASNVEGDEVDFLTSCAPQKGNKVKLGSVKGPRKRLGLSCKAQKGKTKIIVRPSHYPEPPDVIGDEEGLRRVFENYIFEHGAPSKGPAVRNRDPLIMSSHRRCSIIKRKQMNVVPNFLGPIAQKSCNRSSRKGVGRRSQGILQRLF >OIW21423 pep supercontig:LupAngTanjil_v1.0:Scaffold_120_34:19422:23542:-1 gene:TanjilG_03457 transcript:OIW21423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIAILPMSQEFNWNNATVGLIQSYFFWVYLLTQCRKVKEVGDLMTGEPVTEALMNGNHNYNSPKVVLGFGVIWWSIATLLTPIAAKLGLPYLLIMRAFMGIGEGVAMPAMNNMLSKWIPVSGRSRSLALVYSGMYLGSVTGLAFSPLLIQKFGWSSMFYAFGSLGSVWFYLMAQKRGWIADKLIMQSIEFLGPAFFLTQLSHVRTPAIAVLCMACSQSGLYSNHQDIGPRYAGVLLGLSNTAGVLAGVFGTAATGYILQRGSWNDDVFKVSVGTLVWNIFSTGEKVLD >OIW21426 pep supercontig:LupAngTanjil_v1.0:Scaffold_120_37:26834:38952:-1 gene:TanjilG_03460 transcript:OIW21426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSHGAAIYTMMFLFAFTFAYAGDIVHHDDVAPTRPGCENNFVLVKVPTYVDGVENSEYVGVGARFGPTLESKEKRASHTRVVLADPPDCCSKPKNKLTSEIILVHRGKCSFTTKANIAEDAGASAIIIINNQTELFKMVCEDNETDVNIGIPAVMLPQDAGINLEKHIRNYSIVSIQLYSPHRPLVDVAEVFLWLMAVGTILCASYWSAWTAREAAIEQEKLLKDASDEYVSTENVGSSGYVEISTASAILFVLFASCFLVMLYELMSYWFVEILVVLFCIGGVEGLQTCLVALLSCFRWSQDAAQTFVKIPFFGAVPYLALAVTPFCIVFAVVWAVYRRVSYAWIGQDILGITLIITVLQIVRIPNLKVGTVLLSCAFLYDICWVFVSKWWFHESVMIVVARGDKSGEDGIPMLLKIPRLFDPWGGYSIIGFGDILLPGLLVAFSLRYDWLAKKNLRAGYFVWAMTAYGLGLFVTYVALNLMDGHGQPALLYIVPFTLGTFISLGVKRGELKILWSRGEPKRACPHIEDDQQLLNH >OIW21425 pep supercontig:LupAngTanjil_v1.0:Scaffold_120_37:20270:23799:1 gene:TanjilG_03459 transcript:OIW21425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QMMKPYIWLQTSDGSIQQVEQEIAMFCPLICQEVIQKNMGSSKNCAICLPQQVNPAMLSLVLDYCRFHQVPGRSNKERKSYDEKFVRMDTNKLCEVTSAADSLKLKPLVDLTSRALARIIEGKTPEEIRDIFHIPDDLTEEEKLEPLKNITDDPRIRLLNRLYAKKRKELKEREKLTNVEAEERVDERSVDDLLSFINGKDSDQKGNKTSKNKKKNRRKKEQQKNSSLKEASELNKEEVNGNNIRHQGSEAIRIGQTSNLDYTEDGTFNDIVDFDDGDIDDEIDPALKEKIDREVEDFARRLNSNWPERMQDFLSSGRERNTTLLTTDRTGFLSRHACTFFCVSLFSLIHHMLCSLTINFISASLLKTFYFLVYLKYI >OIW21429 pep supercontig:LupAngTanjil_v1.0:Scaffold_120_37:77887:78162:-1 gene:TanjilG_03463 transcript:OIW21429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHHSSTTTNHSPPTRYLSPRSKRLKRTQSDKERGRERESERSNVSERGRGSDREDRNGRSRHMSQSPQQVHASAAVNAKPLDEVSDYIQP >OIW21427 pep supercontig:LupAngTanjil_v1.0:Scaffold_120_37:45252:50606:-1 gene:TanjilG_03461 transcript:OIW21427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVDDTMTIYVSSSGSVRNTGVPGTMTSITEIVEEPSINVVNDTIYVAVAKDVKDSQLNLIWALQNSRGNKVCILHVHVPAAMIPLMGAKFPASSLKEQEVQAYREIERQSMHKTLDEYLRTCQQMGVRAEKLHIEMDCIEKGIIELISQYNIQELVMGAASNKHYSRRMMDLRSKKAIYVCEQAPTSCRIQFICKGFLIHTRNRNSDGGNVDVTSPSVQEVPNSGAGHLPQLRSQSFELGQNHFVKLTNPAQELFRRVRSSNDGHESDGLSRRSPSISSTRSHNCSVEPVLTPNLIIEGSENAVELTLSNFSLDLHDSSPPSILDGGTYDTLYDQLEQVMAEAENARRDAYHEIVRRGKAEKEAIDAIRKVKTTEISYKEELKLRKELEEVLEKEKEELDNMKSERDKVKEELQHVLDQKSLLGSQITSNELMIKELEEKIISAVDLLRDYKDERDELQMQRDNALREAEELRRKQGESSSTQVLQFFSEFSFLEIEEATRKFDPNLKIGEGGYGSIFKGLMRHTEVAIKVLHADSMQGPSEFQQEVDVLSKIRHPNLITLIGACPESWTLVYEFLPNGSLEDRLCCKDNTAPLSWQSRIRISAELCSALIFLHSSKPHSIVHGDLKPSNILLDANLVSKLSDFGICRILSCGDSCSNNNTQFWKTDPKGTFVYMDPEFLASGELTPKSDVYSFGIILLRILTGRSALGIVKEVKYALDTGKLKTLLDPLAGDWPFVQAEQLTHLALRCCEMNRNSRPDLHSDVWRMLELMRASYGGANTFGLGSQGFHQPPACFICPIFQEIMSNPHVAADGFTYEAEAIKGWLESGHDTSPMTNSKLSHYNIVPNRAIHSAIQDWLQTQ >OIW21428 pep supercontig:LupAngTanjil_v1.0:Scaffold_120_37:65536:65953:-1 gene:TanjilG_03462 transcript:OIW21428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAAERMTAETAAIDAAVMFEKMTVEMFVTDAVVLAIHLLTLDRDSYIDVKD >OIW21430 pep supercontig:LupAngTanjil_v1.0:Scaffold_120_38:1204:2616:-1 gene:TanjilG_03464 transcript:OIW21430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIGDSISLNQWQSLICMLHSSVPQLNIIEQGMARITNYTFQGYDVSVIVFHSTHLVDIVNGPNGRILKLDSIQNGEIWKEMDVLVFNTWLWWYRDGPKQSWDYIQIGNKIIKDMDRMEAFKIGLTTWAKWVDTKVDTKKTKVFFQGISPQHYHGSDWNEPGVTNCAKETIPSTYSAGWPRPSYVLQDVMKTLTKPVKLLDITTLSQMRKDAHPSSYNAFKGMDCIHWCVAGLIDTWNQLLYTELM >OIW21433 pep supercontig:LupAngTanjil_v1.0:Scaffold_121_15:26454:37063:1 gene:TanjilG_03533 transcript:OIW21433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASARSWLQRLQPRDKMKAGSKKKEEDNDDNNGGNGDSNAPVDEVTKQKVAAAKQYIENHYKEQMKNLQERKERRAVLENKLADADVSEEDQNNLFKFLEKKETEYMRLKRHKMGAGNFELLTMIGKGAFGEVRVCREKTTGHVYAMKKLKKSEMLRRGQVEHVISERNLLAEVDSNCIVKLYCSFQDDEYLYLIMEYLPGGDMMTLLMRKDTLTEDEARFYVGETVLAIESIHKRNYIHRDIKPDNLLLDRYGHLRLSDFGLCKPLDCRTLEEKDFSMGQNVNGTRFTQNDEHATPKRTQQEQLQHWQQNRRTLAYSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYSDEPMTTCRKIVNWQSHLKFPVEARLSPEAKDLISKLLCNVSHRLGSKGADEIKAHPFFDGVEWNKLYQMEAAFIPEVKNELDTQNFEKFEELLHPQSDNQTKTAARTGPWRKMHSSKDLNFVGYTYKNFEIVNDYQVPGTTELKKKPSKLKRPSIKSLFETPEVPEVSDTSSPSKQSAQGSFLKLLPPQFEFSKSGKSLPSKSNKGM >OIW21432 pep supercontig:LupAngTanjil_v1.0:Scaffold_121_15:3125:7617:1 gene:TanjilG_03532 transcript:OIW21432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARARADGNPRKRLVTTVLVLVIVGALFYLYSRNTASSSIEYGRKSLKDVGWKGDESSSVTEEGDDSAIPKTIPVCDDRLSELIPCLDRNLIYQTRLKLDLTLMEHYERHCPMPERHYNCLIPPPPGYKIPIKWPKSRDQVWKANIPHTHLATEKSDQNWMVVKGEKIVFPGGGTHFHYGADKYIASIANMLNFPNNNINNGGRLRNVFDVGCGVASFGGYLLSSDVLTMSLAPNDVHENQIQFALERGIPAYLGVLGTKRLPYPSRSFELAHCSRCRIDWLQRDGILLLELDRLLRPGGYFAYSSPEAYAQDEEDQRIWRDMSDLVGRMCWKVAAKKNQTVIWVKPLTNDCYLKRAPDTRPPLCKLEDDPDAVWGVNMEACITRYSDQMHKAMGSDLVPWPARLTAPPPRIADFNYSTEMFEKDTEVWQQEVDNYWTMLGNKIKPDTVRNVMDMKANLGSFAAALKNKDVWVMNVVPENGPNTLKIIYDRGLLGTVHNWCEAFSTYPRTYDLLHAWTIFSDIIEKECSPEDLLIEMDRILRPKGFIIINDKPSVVLSIKKFLPALHWQAVAISGVEQGSDQGKDDAVLIIQKKIWLTSESVRVSE >OIW21434 pep supercontig:LupAngTanjil_v1.0:Scaffold_121_18:7580:12088:1 gene:TanjilG_03534 transcript:OIW21434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLEGGTGVPQLKWFGVEGDYNVMVIDLLGPSLEDLFNYCNRKFTLKTVLMLADQLINRVEYMHSRGFLHRDIKPDNFLMGLGRKANEVYIIDYGLGKKYRDLQSHRHIPYRENKNLTGTARYASVNTHLGIEQSRRDDLESLGYVLMYFLRGSLPWQGLRAGNKKQKYDKISEKKVSTSIEVLCKSYPSEFGSYFHYCRSLQFEDKPDYSYLKRLFRDLFIREGYQFDYIFDWTVLKHPETGSNSRGRHGTGKAAMNVGQPVQKPEKISGGREIREKFSGAVETFSRRNPAGATPLVETYSRRNPAGATPFVETYSRRNPAGATPLVDRSKYRTSDDVAVHKDLHHAQHGQTRYASSSRRALISSNKQISSGDHTGRFTSNGGRQTTAHRTYDTKQATLTPSGSIKGNRDDPLRSFELLNIRR >OIW21437 pep supercontig:LupAngTanjil_v1.0:Scaffold_121_19:21708:22055:-1 gene:TanjilG_03537 transcript:OIW21437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDSPTHEKSNKSRQSRKSTKLKDSSDESTRTRQIMQMDAFLGDGSLIRDLPDIPKKSRRKKSKDIPSGCESSKLRSKGQPTEPESPSEAIPKSRNKQRSLEENEPYERGVSEIS >OIW21438 pep supercontig:LupAngTanjil_v1.0:Scaffold_121_19:22793:23172:-1 gene:TanjilG_03538 transcript:OIW21438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSTVKGILRGFRYISQIFDNEKEKEIQIGNPTDVKHVAHIGWDGPSVNTPSWVIPWFLNFTTLIIIQYCRS >OIW21435 pep supercontig:LupAngTanjil_v1.0:Scaffold_121_19:9859:10011:-1 gene:TanjilG_03535 transcript:OIW21435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCNLLFFLTLDIPVPCRHGIMTIDGFAVMPWKSNEALRRFQAYQLNRRF >OIW21439 pep supercontig:LupAngTanjil_v1.0:Scaffold_121_19:26292:30745:-1 gene:TanjilG_03539 transcript:OIW21439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRGLLKNLASRSLSVAGKWQHHQLRRLNIHEYQGAELMSKYGVNVPKGIAVSSVEEAKNAINQVFPNENELVIKSQILAGGRGLGTFKSGLKGGVHIVKTEQIEDIAGKMLGQILVTKQTGPQGKLVSKVYLCQKLSLVNEMYFAITLDRKTAGPLIIACSKGGTSIEDLAEKFPDMIIKVPIDVFKGITDEDAAKVVDGLAPKGADRNQSIEQVKNLYKLFSESDCTLLEINPIAETADNQLVAADAKLNFDDNAAYRQKEIFTLRDTTQEDPREVAAAKADLNYIGLDGEIGCMVNGAGLAMATMDIIKLHGGTPANFLDVGGNASEGQVVEAFKILTADDKVKAILVNIFGGIMKCDVIASGIVNAAKQVDLKVPVVVRLEGTNVDQGKRILKESGLALITAEDLDDAAQKAVKAYK >OIW21436 pep supercontig:LupAngTanjil_v1.0:Scaffold_121_19:14327:19295:1 gene:TanjilG_03536 transcript:OIW21436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGCGGLWRRVFMMSSGATELNTFYPIRPECQNDVPVTRFKPRAGKTLSARRWHASFSADGRLNIAKVLRRIQRGGVHPSIKGVVWEFLLGCYDPNSTFDERNELKQRRRGQYDMWKAECQKMVPVIGSGKFITTPLIGDDSKPIDPSLVGVPTSDNKVLQWLQVLHQIGLDVVRTDRALAFYETEANQAKLWDVLAVFAWLNSDIGYVQGMNDICSPLIILIENEADCFWCFERAMRRLRENFRCSASSMGVQSQLSTLSQIMKTVDPKLHQHLEDLDGGEYLFAFRMLMVLFRREFSFADTLYLWELILRHNIDCFQLMWGMEYNPNIFSKYEEPDRTKAKESSSAINDKMLKQYGKFERKNVKTGNTQESYSLAIFLVASVLEIKNRRILNEAKGVDDVVQILGDITSNLDAKKACTEALKIQKKYLSKAKKA >OIW21440 pep supercontig:LupAngTanjil_v1.0:Scaffold_121_25:6385:11022:-1 gene:TanjilG_03540 transcript:OIW21440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTNMSYPKTEKLSEDGENDLLRYGLSSMQGWRTTMEDAHAAHLNLAPSTSFFGVYDGHGGNVVAKFCAKYLHQQVLKSEEYIAGDVAASLQKAFFRMDEMMRGQRGWRELAVLGDKINRSNGKMEGLIWSPRSGDGNDQGDEDWAFEEGPHSDYAGPTSGSTACVALIRNNQLFVSNAGDSRCVISMNGQAYNLSRDHKPDLEIEKERIIKAGGFIHAGRINGSLNLARAIGDMEFKQNKFLSAEKQIVTANPDTNTVDLCDEHEFMVLACDGIWDCLSSQQLVDFVHQELLLGTKLSVVCERVLDRCLAPSITIGAGCDNMTMVLVQFKVTSQCSAPAEEDQSSSNEQN >OIW21442 pep supercontig:LupAngTanjil_v1.0:Scaffold_121_28:38389:39776:-1 gene:TanjilG_03542 transcript:OIW21442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFCAPQEDESLRRWKEKLLGCLESDLNGQMDPEVKFHSIGIISDDHGEVVTPLPVDENKKDNVLFTLKEGCHYHLKLKFSVLHNIVSGLAYSNTVWKGGIQVDQTRGMLGTFAPQKEPYEHALKEDVAPSGALARGVYSAKLKG >OIW21441 pep supercontig:LupAngTanjil_v1.0:Scaffold_121_28:12216:12539:1 gene:TanjilG_03541 transcript:OIW21441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSVSSKDNRSELVELKVQMNEMFNMLKALQSEKGKNTQTTNVGPLSNPTQLPKGKFGQEASARQQKEEATKWHFYGMPPDYVSLYEEELNEPHGQSNAHLEQPTP >OIW21431 pep supercontig:LupAngTanjil_v1.0:Scaffold_121_6:11433:16045:-1 gene:TanjilG_03531 transcript:OIW21431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENIPESSSSSKGTKRRRDEEESGDGNTASLELDLTFSDTLVALRIMRAQFPHIPKVSVEPFILKSQLYSSVKDRTLVDRELETLRRDKVLRVFKLNTGQDDHAVMFLDDYINQIDRVIKRIEGEKGGENEVFQWFKTHVLDSKLETGIEHQELCSLLSLGGKVKDSHISILINAGIITRQLIDPNMYWFAIPSIGSLLKGLSQGRKEIISLLNRQRYKEMMLASLEKKRLRMSSLDMRFHLRDLIGSGHLRTDQTPSGLVIRVSKD >OIW21447 pep supercontig:LupAngTanjil_v1.0:Scaffold_122_23:2800:3752:1 gene:TanjilG_03595 transcript:OIW21447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPERDVVSWNAMLSGYVQNGYVDEAREVFDSMLEKNSISWNGLLAAYVQNGRIEEGRQLFESKLDWDLISWNCLMGGFVNQERLGDARRLFDQIPIRDDISWNTMISGYAQDGDLSEARKLFAESPSRDVFTWTSMLSRYVHNGMLCAMQANGLDEELFEAMPFRSISSWNTMVTGYCQNGGIDQAWKHYEEALNMFVEMKRDGESLNRATFSCGLSTCAAALELGKQVHGQAVKTRYEIGCLVGNALLGMYFKCGSIGEAYDVFEGL >OIW21448 pep supercontig:LupAngTanjil_v1.0:Scaffold_122_29:3588:4709:-1 gene:TanjilG_03602 transcript:OIW21448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSYSSSILPSSPPHRPINAYPNVNIINSFPNHPTSPATLAPPPPCNGDHPSYSKMICSAIRALKDENGSSKMTIGKYIAQEYKDLLPPNHDTLLTQNLKYLKDKNIIIMVKRSYKFPIARSDKPMSLSLSSSSFVSRGHDCLSKPNPDSKLTPQLEQNVEPKSTQLGLNDEGEFISSVAGATTEVMKTSQSCSLKVFGEGEGVSSTLRLENGKRVHRPPAKYQSFLSVDLIFDDHEKKPRRPSKAHLKPIVVPSATHSNDGGVPPPADAHELAQLPSNSVPIGSPKPRGRPKKNVVASPSIVGGSAAYGGSKKPAVARKPMKKSIGKPMGRPKVILSYFF >OIW21449 pep supercontig:LupAngTanjil_v1.0:Scaffold_122_31:6086:8438:-1 gene:TanjilG_03603 transcript:OIW21449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNGHCDSALRVFNAMSRRSSVSYNAMISGYLRNGKFHLARDLFDKMPQRDLFSWNVMLTGYVRNRRLGDARKLFDLMPERDVVSWNAMLSGYAQNGYVDEAREVFDSMLEKNSISWNGLLAAYVQNGRIERDLSEARRLFEESPSRDVFTWTSMVSGYVQNGMLDEARRVFDEMPEKNEVSYNSMIAGYVQCKQMDLARELFEAMPFRSISSWNTMITGYCQNGDIDQARKLFDMMPQRDSVSWAAIIAGYAQNSHYEEALNMFVEMKRDGESLNRATFSCALSTCADIAALELGKQVHGQAVKTGYETGCFVGNALLGMYFKCGSIGEAYDVFEGIEVKDIVSWNTMLAGYARHGFCKQALTVFESMKMAGLRPDEITMVGVLSACSHTGLTDRGAEYFYSMDKDYGILTAFITLTRVHVLVGIIGKSGDMAKINLMDSYLMYSLGLLICD >OIW21450 pep supercontig:LupAngTanjil_v1.0:Scaffold_122_33:5192:7011:-1 gene:TanjilG_03604 transcript:OIW21450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPEPIKAYRGYTPYRLSRGIFLWWLFAADRRRGMDLFFLNFDVENIHYC >OIW21446 pep supercontig:LupAngTanjil_v1.0:Scaffold_122_4:33557:41841:-1 gene:TanjilG_03578 transcript:OIW21446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRRRVMMIDENFPWEGTAVASNFSRKCEALAVYGLVDHGDEIDVIAPADILKQIFKMPYSKARLSIVAHRIGQTLVLNTGPDVEEGEKLIRMHNNQSKCVDQSLFLNFAKHSVRMEACDCPPTHHVPSEGKSNSSVLPGGKTPHIVENHDVVQAEAYNCNSKYSKVNQDSFYWGSKKNRRNKNHSPVKMVSHVGEKPRSGVQEDEKVRKVANDSFLRVLFWQFHNFRMLLGSDLLLFSNEKYVAVSLHLWDVTRQVTPLTWLDAWLDNIMASVPELAICYHRNGVVQEYELLKTDDIFLLKGISEDGTPAFHPYVVQQNGLSVLRFLRDNCKQDPGSYWAAKNRARCVRFFRKCLEFLDDSDHLAVRAIAHEQFARLILNYDDELNLTSESLAIECVPIVTEPEESFSDAENSNFESSIYGVLYLDVDDRSGEFEQIVGHVESEASAEMIAQPDMPASGELVAVDDIDLSNQEGAVPSRSPDVNSSACEVCPASTPMVQTVADPISSKLAAVHHVSQAIKSLRWMRQLQSNEPELMDHFNENHDRPPSSFDVSVCACGDADCIEVCDIREWLPKSKLDHKLWKLVLLLGESYLALAEAYIEDGQLHQALKVIHLASSVYGSMPSHLEDAKFISSMVSCSSLQRKHFDIDENTTLVHNVRDENVNGYLTIEMKSSTYFFWSKAWALVGDVYIKFHMIKGKETSIQDTRKPATRELRMSSEVVKEVKRLKKKLVQLNQNCSSCSLVNCSCQSDRANSGSSASSSSADVNFMTYGRKHSKPLSAKNANLLPLKDTGDECIHSTEKRKNSDNRILEHRSGVENRIDTLESSKNEDESLASMNSRTLEGSSEIENSCSGVVSQTGFISKERAKVRNGGIFKYLDGPLIGDVEHNLSAALKCYEEARKALLKLPSGLSELQSVVKKKGWVYNELGRIRLENKELSKAELAFLDAIDAFKEVSDHTNIILINCNLGHGKRALAEEMVSKLENFKLHSILHNAYNHALETAKLKYKESLRYYGAARLELSAIDDDADDFATCNLRNEVHTQFANTYLRLGMLLARDNSTAEVYENGSLEDARVRHTNPHDRKARKELRKHEISANEAIREALSVYESLGELHKQEAAYAYFQLAGYQRDCCLKFMDYGNKKNIVSKGENNTVRVKQYASLAERNWQKAMDFYGPKTHPNMYLTILMERSALSLSLSSHLHSNVMMESALANMLEGRLVSDTNVDTFSTNYPELHAKYWSQLQMLLKKMLATTLSSNANKSSLSQSSSTFTRFGEGGKIRELYKMSLKGADMVQLYAMYDLWISS >OIW21444 pep supercontig:LupAngTanjil_v1.0:Scaffold_122_4:28093:30757:1 gene:TanjilG_03576 transcript:OIW21444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASRNFIGETEPKQLMDEENNDDDDDYSPSAKKSKFDRFPLNSYEVGVVVGVFFVFSSGLFCIYITMPANEYGNIKLPRTVSDLRFLKDNLSTYARNHPAQFILGYCSTYIFMQTFMIPGTIFLSLLAGALFGVVQGILLVVLNATAGASSCFFLSKLIGRPLISWLWPEKLRFFQAEIAKRRDKLLNYMLFLRITPTLPNLFINLASPIVDIPFHIFFFATLIGLIPASYIAVRAGLALGDLKSVKDLYDFKTLSVLFLIGFVSIVPTLLKRKKIYE >OIW21445 pep supercontig:LupAngTanjil_v1.0:Scaffold_122_4:32018:32374:-1 gene:TanjilG_03577 transcript:OIW21445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISSQPFLVSLSLKSSSYEWSKVLVAQAKKQKVTKKSFKGRMRRRILMKRKTLTIEGSRKQASGIQRRVRALKKLIPNNKSIGLEGLYIETAQYILSLQMKVKAMQFMVNTLTGFDE >OIW21443 pep supercontig:LupAngTanjil_v1.0:Scaffold_122_4:21992:24175:-1 gene:TanjilG_03575 transcript:OIW21443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKRITKELKDLQKDPPVSCSAGRVPAFPCDLEAMGLNPRNTTSLLEMEIYLDNYTFYTCAGPVGEDLFHWQATIMGPADSPYSGGVFLVTIHFPPDYPFKPPKVAFKTKVFHPNINSNGSICLDILKEQWSPALTVSKVLLSICSLLTDPNPDDPLVPDIAHMYKTDRAKYESTARSWTQKYAMN >OIW22075 pep supercontig:LupAngTanjil_v1.0:Scaffold_1240:37:1444:-1 gene:TanjilG_03738 transcript:OIW22075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPKQRTARVSRNPELIRGIGKYSRSKVYHKRGLWAIKAKNGGVLPRHEPKAKAAAPAEKAPKFYPADDVKKPRLNKHKPKPTKLRASITPGTVLILLAGHFKGKRVVFLKQLPSGLLLVTGPFKINGVPLRRVNQAYVIGTSTKVDVSAVNVDKFDDKYFTKDAKKKNKKGEGEFFEAEKEEKNVLPQEKKDDQKTVDSALLQAIQSVPDLKTYLGARFSLKSGQKPHELLF >OIW21453 pep supercontig:LupAngTanjil_v1.0:Scaffold_125_12:3849:4982:1 gene:TanjilG_03752 transcript:OIW21453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVKRRLCSDSDIHVFHEELEEVSCPICMDHPHNAVLLHCSSHEKGCRSYICDTSYRHSNCLDRFKKMRDNSKDSPNLVNTSNSGNTFDIRLNMQSDMNDVNELYQNEINTLLYVGLPQGSTEGDAQDPTRDLDPNDEGILETADSETLQDRAVLEDLDVDSSSESKLSLKCPLCRGQVQGWEVIEEARNHLNMKKRSCSVDSCSFVGNYLELRRHARRVHPTSRPSDVDPVRERDWQHFEHQREYADIVSAIQSAIPDAMVVGDYVLENGDSVGRFSDEWEGNMGNANAAWLTTTILLQMIDGNRTIEIVRGSRPRTNSNVWRRQRRPAFDPHRRLADNLFGIRDNNNAGVSEDGSPVLRRRRRLSRTRSNEDQS >OIW21451 pep supercontig:LupAngTanjil_v1.0:Scaffold_125_2:6923:47406:-1 gene:TanjilG_03750 transcript:OIW21451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLTYEQRLQAVTRIVLDNDARAADTPPCQAEELGLRATLKPHQLEGISWLVRRYKLGVNVVLDLFILLCLYMNHGQMGLGKTLQAISFLCYLKVCQLSHGPFLVICPLSVTDGWVSEIVKFAPKLEVFKYVGDKEYRRSLRKKIHDHVKGQSIMLNVLLPFDVLLTTYDIVLMDQDFLSQIPWQYAIIDEAQRLKNSKSVLFNVLKDRYIMPRRLLMTGTPIQNNLSELWALMYFCMPSAFGTLDQFLSTFKDISDLTSVHAAPKVKGRLKILRSVLGAFMLRRTKSELIERGNLVLPPLTETTVYVIQLRKACSHPYLFPGIEPEPYEEGEHLVQASGKLLILDQLLQKLHSSGHRVLLFAQMTHTLDILQDFLELRNYSYERLDGSIRAEERFAAIRSFSSSSSNTGLNSEVDHNEAFVFMISTRAGGVGLNLVAADTVIFYEQDWNPQVDKQALQRAHRIGQMNHVLCINLVTEHTVEEVIMRRAERKLRLSLNVMGDNILEDEDKETSGVGTGDLKSIIFGLHMFDPTDINNGKNKDMDLPEINAIADKVIALRNEQTFDRDDRKFEVNPRNLLKEYDVKEGGSASFSRDLDLDEASYLSWVKKFEEVSKSSSDPIKELRSRRNLDEDNSVQLEYAKKKAEEKKLSKWKALGYNSLSVKDPVIPLDGDDITSVTGSVNSVYGDCTTPSNICPSEPAIVFSCVDTSGHWGHGGMFDALTRLSTSISDAYERASEHGDLHLGDLHLIQLEDGSDEQNMDGNAPKWVALAVVQSYNPRRKVPRSEISLQHLESCLSKAAFSAAQNSEEEEEEEEEEEEDEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEE >OIW21452 pep supercontig:LupAngTanjil_v1.0:Scaffold_125_4:38851:43800:1 gene:TanjilG_03751 transcript:OIW21452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAKPLHTFPTHIPQPRRQNPVQQKSLSLPTIPRAISISVPDTRVTIPAPPSIDIDDSITAFWDYQFLFVSQRYETTQPITLRIVDGSIPTDFPSGTYYLTGPGLFADDHGSTVHPLDGHGYLRAFTIDNVNKKVKYMAKYIKTEAQVEEHDPKTNTWRFTHRGPFSVLKGGRKVGNTKVMKNVANTSVLRWGEKLLCMWEGGDPYEIESRTLDTIGRYNMMDECDLEGYDKKYDVDIWEVAARLLKPLLYGVFKMPPRRLLSHYKVDSRRNRLLTVSCNAEDMLLPRSNFIFSEYDSNFKLVQKQEFKIPDHMMIHDWAFTDTHYIIFANRIKLDVLGSMEAVCGISPMISALKLNPSKSTSPIYLLPRFPNKSKGKERDWRVPIEAPSQLWLIHVGNAFEINHANGNLEIQIVASACSYQWFNFRKLFGYDWQNHKLDPSMMNIKGGNELLPHIIQVSIKLDSDYNCQECNVKPMQNWKKSSDFPIINPSFSGKKNRYLYAATTLGSRKTLPSFPFDTVVKLDLVNDCVQTWTVESRRFIGEPIFVPKGHDEDDGYLLVVEVS >OIW21459 pep supercontig:LupAngTanjil_v1.0:Scaffold_126_15:8062:10762:-1 gene:TanjilG_03826 transcript:OIW21459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKCKNNSKKLSYISVPSQIINSISSSSLQSLLDSSSTSKKSARNTFKLYRNPRLFFFTLFLLSLFAFIKFGSNLDIPFSPYPCAITTLPQFKDSYLHSKSNLGVVSNDGYSVHDAQKDEVLNGGDDSSVSLSKSLFANVQLQAQGPSGFETDEKGEFWKQPNGLGYKPCLGFSRDYRRGSEGVLRDRRKYLMVVVSGGMNQQRNQIVDAVVIARILGAALVVPILQVNVIWGDESEFADIFDLEHFKSVLTDDVRVVSALPSTHLMTRPVEGSPPLHVTPSWIRSRYLRRLNREGVLLLRGLDSRLSKDLPSDLQKLRCKVAFNALRFAKPVQEIGNRIAERMQSKGPYLALHLRMERDVWVRTGCLPGLSPEYDEIVNNERKQRPELLTGRSNMTYHERKLAGLCPLNAMEVTRLLKALGAPKSSRIYWAGGQPLGGKEALNPLINEFPHFYNKEDLALPGELESFAKKASLMAAIDFIVSEKSDIFMPSHGGNMGHAIQGQRAYAGHKKYITPNKRQMLPYFMNSSLPEAEFNRIIQELHQDSLGQPEIRTSKAGRDVTKYPVHECMCNDSHSHS >OIW21460 pep supercontig:LupAngTanjil_v1.0:Scaffold_126_16:3707:7337:-1 gene:TanjilG_03827 transcript:OIW21460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWVKNVKGVVLLLATVSFVLFEPATSLNRSSFPEDFLFGTASSAYQYEGAAREGGRGPSIWDTFTHSHQDRIADRSSGDVAVDSYHRYKEDVAMMKDIGFNAYRFSISWSRILPRGNLKGGVNREGVSYYNNLINELISNGQQPFITLFHSDLPQALEDEYGGFLNPKIEQDFADYAELCFREFGDRVKHWITLNEPVLYSTGGYGNGGSPPNRCSKWLANCSAGDSSTEPYLVTHNLILAHAAAVKVYRKKFKITQKGQIGVTLNSAWVVPISQSKEDKDAASRSLAFMYDWFMEPLYSGTYPAVMVNKVGERLPKFTRRQFFMVKGSFDFIGLNYYTSAYAVNTPCQQGKPTVFTDSCARLTSVRNGVLIGPKAASDWLYIYPRGIQDLLQYTKEKFNNPIIYITENGVDEVNDGKMSLDDRMRIDYFSHHLLYLQRAIQNGVRVKGYFAWSLLDNFEWNAGYSLRFGLVYVDYKNGLRRHHKRSALWFRRFLHQ >OIW21455 pep supercontig:LupAngTanjil_v1.0:Scaffold_126_3:44986:45297:1 gene:TanjilG_03822 transcript:OIW21455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKKKVSASGVSVCAIMVVVVTLVMMSVSHVVDAANCSPWELKPCMWAITTSTPPSTTCCQNLKDQRPCFCGYLRDPRMKDYVDPARASEVATSCGVPIPVCY >OIW21454 pep supercontig:LupAngTanjil_v1.0:Scaffold_126_3:30196:30408:1 gene:TanjilG_03821 transcript:OIW21454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVFLCFDFWFCTYLIFIFQLENVVKFGNVEEKLVDMDEGLTERRIVLEIQDYEGTGANRDHDPKSPGRV >OIW21457 pep supercontig:LupAngTanjil_v1.0:Scaffold_126_6:28289:30040:1 gene:TanjilG_03824 transcript:OIW21457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSVSKSMAFTFTLFLNTSFVMLFCIFVIFHFYSSENDDDVVVRNHHVFYGSSGEQNCKSLHSLSDYKAKCFYVKSNDPCVSDGYVNYLYLFYCKFGEFPLLGHTLLFLWLLVLFYLLANTASEYFCPSLENLSNLLRLSPTIAGVTLLSLGNGASDVFSTLVSFNGSGTNGVGFNTVLGGGSFVSCVVVGIVSISIRHRGIRVKKSAFVRDVCFLLLVLMCLFTILVSGEINFLGAIGFCLLYVVYVVVVYVSQTPLKGVCEDVEIDGYSSNGNDFSVPLLIGMEKGLIGSAENGAQECNIKIKKKCCSKKSSICAMLLHVLKMPLYLPRRLTIPVVCEDRWSKPYAVASAVLAPILLSSLCILNKENTFSISNIIIYGIGFSVAIIFGLVAFFATEMSSPPKKYLLPWLAARFVMSICWSYISAKELVALLISLGYICGVSPSILGLTVLAWGNSLGDLVTNLTMALNGGPKGAQIAISGCYAGPIFNTVIGLGLSLVFSTWSQYPSPIVIPRDPYLWETLALFVVGLVWALMVLIKRDMKLDAVLGGGLLVIYFISLFLRLIQTLGTLQLHDMLTLVFKR >OIW21456 pep supercontig:LupAngTanjil_v1.0:Scaffold_126_6:1342:2129:-1 gene:TanjilG_03823 transcript:OIW21456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLQSVSSLKADENRRRSNLGSLFSYDKAIPEEIIEKPVGLSLAEKAIGNNHRCTDCQAKGAVLCITCAGSGLYIDSILESQGIIVKVRCLAFGISLCDIRHKVILNVGEEVIWDPND >OIW21458 pep supercontig:LupAngTanjil_v1.0:Scaffold_126_8:25896:44882:1 gene:TanjilG_03825 transcript:OIW21458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPYPNCINSHQPFQFLLSNQPTHFLFPATFPRVSAHGKCRSSSVSLLFSVKNRKGNGFGVRGSLQSPEEVSDSVGGSVGLPQPYSVKIPVGDRHILVETGQIGRQASGSVTVTDGETIVYTTVCLDDVPSEPTDFFPLSVNYQERFSAAGRTSGGFFKREGKTKDHEVLICRLIDRPLRPTMPKGFYHETQILSWVLSYDGLHCPDSLAVTAAGIAVALSEVPMSKTVAGVRVGLVGDKYIINPTTEEMEHSELDLMLAGTDSAILMIEGYGNFLSEEKLLQAVEVGQDAVREICNAVEALVKKCGKPKMFDSIKLPPPELYTHVEEIAGDELVKALQIRNKIPRRKALSLLEEKVLEILTENGVVTNDAVPRSNAETIAEILEVEDEDEEVIVDGEVDEGDVHIKPTPPKPTPLFSEVDVKLVFKDVTSKFLRKRIVQGGKRSDGRTPSEIRPINSRCGLLPRAHGSALFTRGETQALSSSLLRLSSFTWVPVEKPHKYFSNITHERFVSFNDQLSLCYKHTNRMVDNQWQQRSSTAGGAQLAGVAFMHSISLAVATLGDKQMAQRIDNLIGVDDFKKFYLQYSFPPSCVGEVGRIGAPGRREVGHGMLAERSLEPILPSDDDFPYTIRVESTITESNGSSSMASVCGGCLALQDAGVPIKCSIAGIAMGMVLDTKEFGGDGTPLILSDITGSEDASGDMDFKVAGNEDGITAFQMDIKVGGITLPIMREALVQARNGRKQILGEMMNCSPPPAKRLSKHAPLIYIMKVKPERINLIIGSGGKKVRSIIEECGVEAIDTDDNGNVKIFAKDLASLERSKAIITNLTMVPSIGDIYRNCEIKSITPYGAFVEIAPGREAFNVGDRIDVKLMEINDRGQLRLSHRALLPAADLENSSVEQPAGKLTDDTAASQKSYDKGLSKKDTTSSKRRSEDDSVIPSKKFIRKLVSSSQDKPFTNKDKIKKSSNKAVGSVSGKDESSWVSGEPQN >OIW20163 pep supercontig:LupAngTanjil_v1.0:Scaffold_12_13:10260:10826:-1 gene:TanjilG_02965 transcript:OIW20163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYFSITPSVLSSLVLLFFLFVASSSASKVVDVKVICAQVQDPKLCLSVLNSKPGGAKGADLVTLAQYTINVARVKATNTVNLINILIAKSGSDPKAKEHYKICLTHFNKDEGALNDIDYVQELLKKGDYFGVGTAASAVLTDVDDCLTGEDPEDPPYPDKSNLPQYADVVQKIVEILLIISKYLTEK >OIW20157 pep supercontig:LupAngTanjil_v1.0:Scaffold_12_3:5300:11421:-1 gene:TanjilG_02959 transcript:OIW20157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCTANDLQEWKDFPKGLKVLLLDDDINSASEISAKLQAMDYNVSIFCNEHEALSAISSGPEDFHIAIVEASTSNSLGGFIFLENAKDLPTIMISNNHCLNTMMKCIALGSVEFLSKPLCEDKLRNIWQHVVHKAFNAGASVESESLKPVKESVVSMLELGKGNGQNETTISVDLEKVSSFTNNDPEQSPGSDKYPAPSTPQLKQGARLLDDGDCQEQTICSTEKESNELDGESKSVETTCGNLNAQSTSQQRESEKIPVKEEEHLADQSKSESRNAVSSCPRDIKVQSKTDSNRKSPKKVGALSNSCEKKANRKKMKVDWTPELHKKFVRAVEQLGIEQAIPSRILELMKVEDLTRHNVASHLQKYRMHKRRLLPKEEGRKWLNQSDPMQRSYCLQRPVMAFPQYHSNHTLSPAPVYPTWGQPGCQMPGMNIWNSPGYPLWQPTESWNRKPFPGMHADAWGFPVLPPPQSPCFSYPQSMPGLHNADAVDSKLIIPQNSFEHYPAEEIVDKVVKEALSNPWLPLPLGLNPPCTDSVVAELAMQGISNIPGTKGSNPS >OIW20158 pep supercontig:LupAngTanjil_v1.0:Scaffold_12_5:8603:14942:1 gene:TanjilG_02960 transcript:OIW20158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTMDSLIALVNRIQRACTVLGDHASDTFPTLWEALPSVAVVGGQSSGKSSVLESIVGRDFLPRGSGIVTRRPLVLQLYKTEEGIQEHAEFLHLPKKRFTDFSLVRKEIEDETDRVTGKSNKISPVPIHLSIYSPHVVNLTLIDLPGLTKVAVEGQPESIVQEIEGMVLSYIEKPNCIILAITPANQDVATSDAIKVARQVDPSGERTFGVLTKLDLMDKGTNALDVIEGRSYRLRNPWVGIVNRSQADINRKVDMISARQREREFFASSPDYAHIANRMGAEYLAKLLSKHLESAIRARIPRIASLINRTIDDLEAEMAHLGRPVAIDAGAQLYTILELCRDFDRVFKEHLDGGRPGGNQIYIVFDHQLPAAFRKLPLDRHLSLQNVRKVILEADGYQPHLIAPEHGYRRLLESSLNYFKGPAQASVDAVHFVLKELVRKSITETQDLKRFPTLQAEIAAAANEALERFREDSKKTTLRLVEMESSYLTVDFFRKLPQEAEKGGNLALSSADRYSEGHFQRISSNVLSYVGMVSETLRNTIPKAVVHCQVREAKRSLLDHFYTLLGKKEGRELAHLLDEDFVLTDRRQQCARRLELYRSARDEIDAVCWSG >OIW20159 pep supercontig:LupAngTanjil_v1.0:Scaffold_12_5:40035:40583:-1 gene:TanjilG_02961 transcript:OIW20159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFTLSLVLFLLFLFQCSSNDSNLIPQSCKEASNHDPNLSYDFCVSSLEANISKNNPPTKLEDLVGISIQITKTNGTNIIANILKLLNNQTFSQYTKACLKDCFDLYKGSISTLNDAMVAFKSKDFGTANIKLSAALDTSVTCEDQFKDKKGEVSPLTKENKVYFQLNVISLAFIQMFNQHT >OIW20160 pep supercontig:LupAngTanjil_v1.0:Scaffold_12_6:16892:17053:-1 gene:TanjilG_02962 transcript:OIW20160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGLERVGLTPDMDVLDDESSEEEMVDARSDSLVDMAASERVESTVTPIVTQP >OIW20161 pep supercontig:LupAngTanjil_v1.0:Scaffold_12_7:3343:4337:-1 gene:TanjilG_02963 transcript:OIW20161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSGHCANNFVSRSMTMGYGATSSVSSPRSGRRFYDARFEDHQPHFLQACFLCKKPLGDNSDIFMYRGDTPFCSEECRAEQIEFSTNIEINEAKEKKKNLSSSMKGIRKKEQTKSGSPNKAKGYSFSTGPVAA >OIW20162 pep supercontig:LupAngTanjil_v1.0:Scaffold_12_9:10238:11583:-1 gene:TanjilG_02964 transcript:OIW20162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVQAQYPSNVIFLNSRNGQEENDCSLQQPQSKGGYTPYNNNNGSANSRKRGREDIVANTTPNNIMNPLFSMQSHTPQLIELSQLHNQHQNVGSIGLGLSFDHQQQQRLQLQQQQQQQQHGNRTSSYLSLSSEGFSLQIKQERDEIDQFLHALGEDLQSTLAARRQRHYQELLRAAEEAVARRLREMEGEVDKATRRNAELEARAAQLSSEAQVWQAKARAHEAAATSLQVQLQQTIIAAGAGRCHGGDDGGAGLSCALDGGQAEDVESAYIDPDRVEVITSAAARAKCRGCEKRVASVVVLPCRHLCMCAGCDAHFRACPVCLTLKDSTVEVHLC >OIW21465 pep supercontig:LupAngTanjil_v1.0:Scaffold_131_15:27697:28125:1 gene:TanjilG_04909 transcript:OIW21465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFNCSNILGWDLGKLGRRDPELGAASLHDGDVPTVGSGGGRRWRCHPKPKRMAMLRESPSMVVVASCGGGAARVAPPSSSLTKPPAYHWAPNVSIADRMGMGMAILHPY >OIW21466 pep supercontig:LupAngTanjil_v1.0:Scaffold_131_16:21570:23177:1 gene:TanjilG_04910 transcript:OIW21466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMDYKPNNYEHNSQTTTAGQPARAAASYHQLFLALIFVLFERIKNIFQQLKDDCMMCWFNLQNMVRSLVNLMQIISPVGDDHNEGMKGEEFHIEKQNQKELEEDKENDINMVGQSSEHFCSVCLEFKGKSDMLRLRDKCNHPFCNGNISNHVAAQIQQIKDDCVQFRMELQRFSDMMENLMNRTSVVDKDHGQQKKTEEFHVQKQKEEDKENNTKVVDQPSECVCGICLDFIAESDMFRGGVDATSVIGVGQNGSSDIHANGHQST >OIW21467 pep supercontig:LupAngTanjil_v1.0:Scaffold_131_19:30584:34576:1 gene:TanjilG_04911 transcript:OIW21467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLSIKRAASTTVRSITSSISSPTFTRNLHASGGRKKIVGVFYKGNEYASQNPNFVGCVEGALGIREWLESQGHEYIVTDDKEGLNSVLEKHLPDLHVLISTPFHPAYVTAERIKKAKNLELLLTAGIGSDHIDLNAAAAAGLTVAEVTGSNVVSVAEDELMRILILVRNFLPGYHQAVSGEWNVAGIAHRAYDLEGKTIGTVGAGRIGRLLLQRLKPFNCNLLYHDRLQLDPELENQLGAKYEEDLDAMLPKCDVLVINTPLTDKTRGLFDKNRISKCKKGVLIVNNARGAIMDTQAVADASSNGHIAGYSGDVWFPQPAPKDHPWRYMPNHAMTPHISGTTIDAQLRYAAGVKDMLERHFKGEDFPEQHYIVKEGQLASQYR >OIW21462 pep supercontig:LupAngTanjil_v1.0:Scaffold_131_2:51417:54390:-1 gene:TanjilG_04906 transcript:OIW21462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELKLSESRDLTRIERIGAHSHIRGLGLDSTLEPRDVSEGMVGQTSARKAAGVILQMIKDGKIAGRAVLIAGQPGTGKTAIAMGMAKSLGLETPFAMIAGSELFSLEMSKTEALTQAFRKAIGVRIKEETEVIEGEVVEVQIDRPSASGAASKSGKLTLKTTEMETVYDLGAKMIEALGKEKVSSGDVIAIDKASGKITKLGRSFSRSRDFDAMGPQVKFVQCPDGELQKRKEVVHCVTLHEIDVINSRTQGFLALFTGDTGEIRAEVREQIDTKVAEWREEGKAEIVPGVLFIDEVHMLDIECFSFLNRALENEMAPILVVATNRGITTIRGTNYKSPHGIPIDLLDRLLIISTQPYTEDEIRKILDIRSQEEDVEMSDGAKHLLTKIGVETSLRYAIHLITAAALACQKRKAKVVELEDINRVYHLFLDVKRSTQYLMEYQNQYMFSETGEVDEDDINAMVS >OIW21463 pep supercontig:LupAngTanjil_v1.0:Scaffold_131_2:89588:91524:1 gene:TanjilG_04907 transcript:OIW21463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLELRMVQGSLFKKVLESIRELVNDANFDCSSTGFSLQAMDSSHVALVALLLRSEGFEHYRCDRNMSMGMNLNNMSKMLRCAGNDDIVTIKADDGSDTVTFMFESPTQDKISDFEMKLMDIDSEHLGIPEAEYHAIVRMPSAEFARICKDLSSIGDTVVISVTKEGVKFSTKGDIGAANIVCRQNTSVDKPEEATVIEMNEPVSLTFALRYMNSFTKATPLSSTVTISLSNELPVVVEYKIAEMGYVRFFLAPKIEEDEEDTKPQV >OIW21461 pep supercontig:LupAngTanjil_v1.0:Scaffold_131_2:34776:37749:-1 gene:TanjilG_04905 transcript:OIW21461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELKLSESRDLTRIERIGAHSHIRGLGLDSTLEPRDVSEGMVGQTSARKAAGVILQMIKDGKIAGRAVLIAGQPGTGKTAIAMGMAKSLGLETPFAMIAGSELFSLEMSKTEALTQAFRKAIGVRIKEETEVIEGEVVEVQIDRPSASGAASKSGKLTLKTTEMETVYDLGAKMIEALGKEKVSSGDVIAIDKASGKITKLGRSFSRSRDFDAMGPQVKFVQCPDGELQKRKEVVHCVTLHEIDVINSRTQGFLALFTGDTGEIRAEVREQIDTKVAEWREEGKAEIVPGVLFIDEVHMLDIECFSFLNRALENEMAPILVVATNRGITTIRGTNYKSPHGIPIDLLDRLLIISTQPYTEDEIRKILDIRSQEEDVEMSDGAKHLLTKIGVETSLRYAIHLITAAALACQKRKAKVVELEDINRVYHLFLDVKRSTQYLMEYQNQYMFSETGEVDEDDINAMVS >OIW21468 pep supercontig:LupAngTanjil_v1.0:Scaffold_131_20:12122:13739:1 gene:TanjilG_04912 transcript:OIW21468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPHVMVQESIPNGEFNTKPGPSIDSFTNTLASGGRKKIVGVFYKGNEYASQNPNFVGCVEGALGIREWLESQGHEYIVTDDKEGLNSVLEKHLPDLHVLISTPFHPAYVTAERIKKAKNLELLLTAGIGSDHIDLNAAAAAGLTVAEVTGSNVVSVAEDELMRILILVRNFLPGYHQAVSGEWNVAGIAHRAYDLEGKTIGTVGAGRIGRLLLQRLKPFNCNLLYHDRLQLDPELENQLGAKYEEDLDAMLPKCDVLVINTPLTDKTRYNSLL >OIW21471 pep supercontig:LupAngTanjil_v1.0:Scaffold_131_26:88024:88371:-1 gene:TanjilG_04915 transcript:OIW21471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKIQTGGGRQRWLVVLASTQHQNGLENKVWSSPLSPPHDADQTRISAMGETKCYQVAENERVEMEMGTSILTKLIQFGTLVYKGSTFDLLVYFLGLLGTLLPQELMKMRTEIEK >OIW21470 pep supercontig:LupAngTanjil_v1.0:Scaffold_131_26:63107:63676:-1 gene:TanjilG_04914 transcript:OIW21470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFWNYQRQEIEHVNDFKNHQLPLARIKKIMKADEDVRMISAEAPILFAKACELFILELTIRSWLHAEENKRRTLQKNDIAAAITRTDIFDFLVDIVPRDEIKDDAALAAGAILGATASGVPYYYPPIGQPAGGMMIGRPAVDPATGVYVQPPSQAWQSVWQTAAEDGSYGSGVSNGQGNLDGQRYVCLN >OIW21469 pep supercontig:LupAngTanjil_v1.0:Scaffold_131_26:30614:33320:1 gene:TanjilG_04913 transcript:OIW21469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHYSVQRFVAIVTFSFVFLGLFALLAGFGGGIRSEPVLSELLGLEEGSNNTLHIALHRKLLHRADTAIVEPNRIWGDKCSKADIVITQGPTTPLPSGIPTYTVDIMNVCVSGCDISGIHLYCGWFSSARLINPKLFKRLHYNDCLVNDGKRLVNGGSISFQYANTFLYPLSVSRVVCV >OIW21472 pep supercontig:LupAngTanjil_v1.0:Scaffold_131_33:10897:11142:-1 gene:TanjilG_04916 transcript:OIW21472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDKKEKRVKRTASGNSFIMRRKKYAPMDGEGASRVGASSSAIQGGTLKHEFRMKMDHNAFFTNDRKMEQMKRYEDQRLRV >OIW21473 pep supercontig:LupAngTanjil_v1.0:Scaffold_131_38:19760:36221:-1 gene:TanjilG_04917 transcript:OIW21473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASYTSISLCPYSLCFCSKPTRLPVSCSVAASQVRGTDGSNSKVPRKRTRKIEGPRKSMEDSVQRKMEQFYEGSDGPPLRVLPIGGLGEIGMNCMLVGNHDRYILIDAGVMFPDYDELGVQKIIPDTTFIRKWSHKIEALIITHGHEDHIGALPWVIPALDSNTPIFASSFTMEEHGIFIPSRLKTFRTRKKFTAGPFEIEPIRVTHSIPDCCGLVLRCSDGTILHTGDWKIDETPLDGNVFDREALEELSKEGVTLMMSDSTNILSPGRTTSESVVAEALLRHISASKGRVITTQFASNIHRLGSVKAAADLTGRKLVFVGMSLRTYLEAAWKDGKAPIDPSTLVKAEDIDAYAPKDLLIVTTGSQAEPRAALNLASYGSSHAFKLSKEDVVLYSAKVIPGNESRVMQMLNRISEIGSTIVMGKNEGLHTSGHAYRGELEEVLRIVKPQHFLPIHGELVFLKEHELLGKSTGIRHTAVIKNGEMLGVSNLRNRRVLSNGFISLGKENLQLKYSDGDKAFGTSSELCIDERLRVALDGIIVVSMEIFRPQNSDSLAENTLKGKIRITTRCLWLDKGKLLDALHKAAHAALSSCPVNCPLSHMERTVSEVLRKMVRKYSGKRPEVIAIAIENPAAVLADEINTKLSGKSHVGPGISTLRKVVDGDGKANQSTSMQIRGNTNEFEEYIENGVDDGIDVEGKNTTTSSGAEGDLFDSEDSGVFLKTSIASSPFEKSNKASNAYVTQKEHESNPKEDYSENTDDAKSEEMSNSEPKSEEKSNSELKYEEKSSSISKSEETSNSEPKSSKSVKRNKWKPEEVKKVIGMREELHDRFQIVKGRMALWEEISQTLLTDGISRSAGQCKSLWTSLVLKYEIATISENNITFKQELKNEKGSKKSWQYFEDMERIMSNDDARATK >OIW21464 pep supercontig:LupAngTanjil_v1.0:Scaffold_131_7:40226:42350:1 gene:TanjilG_04908 transcript:OIW21464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYSRRSRYSPSTSPYNRYQKYSPSPSLSGSRSRSRSRSLSRDAENPGNNLYVTGLSPRITKRDLEKHFAAEGKVIDVNLVVDPWTRESRGFGFVTMDSVEEADRCVKYLNRSVLEGRVIMVEKARRKRGRTPTPGRYLGLKTIRAHCRSPSDSPHHSPGYSSCRSPSYSPYRRSYSRSPYSDRSRSRSYSPDYRRRRSYSPDYRRRRSYSPYYSRRHRSYSRSRSPYSRSPVRYDSCDDHYYRRHYYRSISRSVSPDDHHYRRHSYRSISRSVTPRPRRSYTRSVTPVRRLSSRRNYSPSVSPRTKRSYSRSVSPTTRKRSSGRSHSSSKRSVGFLKRKHSHYREHDSRSTSVSASSRSVSRSNTTISASPSS >OIW21480 pep supercontig:LupAngTanjil_v1.0:Scaffold_132_120:4528:11133:1 gene:TanjilG_04947 transcript:OIW21480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPFCCVSAVSDQSSPVKTSPTPFPDFTATMPPPPSAATEIRSDSIPRHHAFSVECMRLSQREQQKPQPVALMDVKINDLVGNGISGILYKWVNYGKGWRPRWFMLQDGVLSYYKIHGPDKIIVNSETEKGSKVIGEESMRRISRNRNSNSYRHRRKPFGEIHLKVSSIRESKCDDKRFSLFTGTKRMHFRAETREDRVAWMEALHAVKEMFPRMSNSELMAPVDNVTVSTLKLRHRLLEEGVSEPAIQESEQIMRTEFAALQNQLVLLKQKHSILIDTLRQLETEKVDLENTVVDESQRQWNDQGASSTLRQGRLSEGTASDSEDDNERHDAAEEETDDDENGFFDTRDFLSSSSFKSNGSDYIVSSSSSDNEGIHAFEPEEDVHPSVRTAVTNYPHVKRRKKLPDPVEKEKGISLWSMIKDNIGKDLTKVCLPVYFNEPLSSLQKCCEEMEYSYLLDQAYEWGRQGNSLMRILYVAAFAVSGYASTEGRICKPFNPLLGETYEANFPDKGLRFFSEKVSHHPMIVACHCEGTGWKFWGDSNLKSKFWGRSIQLDPVGTLTLEFDDGEVFEWSKVTTSIYNLILGKLYCDHYGTMRIQGNRDYSCRLKFKEQSIIDRNPHQVHGVVLDRNGKIASTLFGKWDESMHYVNGDYSGKGKGHESLSEAHLLWKRSKPPKDPTRYNFTRFAITLNELTPGLKENLPPTDSRLRPDQRYLENGEFEMANSEKLRLEQRQRQARKMQERGWQPRWFSKEKASGTFRHIGGYWEARQEGNWDSCPDIFGQIPSDHLSDEGQITA >OIW21482 pep supercontig:LupAngTanjil_v1.0:Scaffold_132_126:6401:8686:-1 gene:TanjilG_04963 transcript:OIW21482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMNLNKTTSKDHEQMLISQEQQAKINEVRRLIGPLSDKESVYCSDASISRYLSSRNWNVKKATQMLKLSLKWREEYKPEEICWEDIADEAATGKMYRSNYNDKHGRTVLVIRPRNQLNTKTTEGQIKYLVYCMENAILNLPPHQEEIVWLIDFQGFSLSNISLKLTRETSHVLQEHYPGRLGLAVLYNAPKIFQSFFTMVKPLLETKTRNKVKFGFSGDRNTEMMLEDLFDMDNLESAFGGEYDTSFDINKYAERMKEEDKKKPSFWTLPNTLSSVPQQNLPSSHSIKLNADSDASNKEKLDSSLVTNPDQNHLVN >OIW21481 pep supercontig:LupAngTanjil_v1.0:Scaffold_132_126:3260:4249:1 gene:TanjilG_04962 transcript:OIW21481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAEACYQTIGTFQTHSYLNNFNHHRKLQNNLLKFMTKDFISSNGFSSKGSYHSGQRKCAIIRSLGSETSVVDPVSRSNTGETNKKSREAALILIRHGESLWNENNLFTGCVDVPLSKKGIDEAIEAGKRISSIPIDLIFTSALIRAQMTAMLPMTQHRSKKVPIVMHNESEQAKACSQVFSEDTKKQTIPVIASWRLNERM >OIW21483 pep supercontig:LupAngTanjil_v1.0:Scaffold_132_126:27673:28722:-1 gene:TanjilG_04964 transcript:OIW21483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMMDFYNSMSQLHSDPFRGELMEVLEPFMKTASPISTPSTSESPFIISNSCQLSTSYSCNTLSPNHFNSSSFLSTQQPIDSSSNNQNFIGFGQFGSSPSSLLGLNHLTPSQINQIQAQMHLQNMQNFQHNNTAFNFFSPKPIQMKHMGTPPKPIKLYRGVRQRHWGKWVAEIRLPKNRTRLWLGTFDTAEEAALAYDNAAYKLRGDFARLNFPDLKHQGSCVGGKYKPLHSSVDAKLQAICEGLADMPKQVKPKKAVTSTKRSKSKLGSKLSQPEESVSFDVMGLDNSCKMKTFSLSPVMTESEESEGSSPLSDLTFADVNEPQWEGDSDNFNLQKYPSYEIDWDSL >OIW21484 pep supercontig:LupAngTanjil_v1.0:Scaffold_132_129:4991:5308:-1 gene:TanjilG_04965 transcript:OIW21484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAFYPSPESSDNSRRFEHSPAEECGRLDPLGLGPRRSQGQCRVVGVGGYWWHKVEEVAAVAATAPPYGERWGVDKEEGGEVQECGNLLGEENLDVVLHGDMKMV >OIW21485 pep supercontig:LupAngTanjil_v1.0:Scaffold_132_129:8217:10439:1 gene:TanjilG_04966 transcript:OIW21485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAEKITLILVNLAGIMEKADESLLPGVYKEVGEALHTDPTGLGSLTLFRSMLQSASYPLAAYLAHRHNRAHVIALGAFLWAAATFLVAFSNTFFQMAASRALNGIGLALVTPAIQSLVADSTDDNNRGTAFGWLQLTGNIGSIVGGLFSLLLAPITFMGIPGWRLSFHIVGLISVVVGALVYLFAKDPHFSDKDTNASSKVSGKTFRSEVKNMVEEAKSVSKIVSFQIIVAQGVTGSFPWSALSFAPMWLELSGYSHQKTAFLIALFVIASSLGSLFGGKMGDLLSTRLPNSGRIILAQISSGSAIPLAAILLLGLSDDPSTVIPHALVLIIMGFFISWNAPATNNPIFAEIVPERARTSVYALDRSFESILSSFAPPAVGVLAQHVYGFKPIPEGSTVSQEILTDRGNAASLAKALYTAIGIPMALCCFIYSFLYHAYPRDRDRARMEALVESEILTKDREFESEDLFLRDFDGDAVLVNEDNTLLRRS >OIW21486 pep supercontig:LupAngTanjil_v1.0:Scaffold_132_129:11312:14554:-1 gene:TanjilG_04967 transcript:OIW21486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKKTKQIIDHLSKQTWDNYKALCLVRLPLISFLYERGWRQAFTIVGGFPGAEKEFEIMKGFLKPVLGGNILDASCGSGLFSRLFAKSGLFSLVVALDYSENMLKQCYEFIQQEENFPKENFTLVRADISKLPFASNSIDAVHAGAAIHCWPSPSAAIAEITRVLRPGGVFVATTFVRDGPLSYVPFLSGITKDLGGFSFLSEGELEDLCRASGLVGFKCIRNRLFVMISATKPI >OIW21474 pep supercontig:LupAngTanjil_v1.0:Scaffold_132_7:17927:18415:-1 gene:TanjilG_04940 transcript:OIW21474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLTLYLGMKRGKIACHKSEARFGTLLREGRLASRASRDEAFWRSQVNFGPPNPATDEKALWSKGKRVRCHTPCLQKVPRGRAIRRKRRPGSGFPTGRGTGDGHLEAHHDLQARPARPGKATRLGVRGSIVPAAPRTSSSSFLKSGGEGISFLQRKKKREQI >OIW21475 pep supercontig:LupAngTanjil_v1.0:Scaffold_132_7:25039:25659:-1 gene:TanjilG_04941 transcript:OIW21475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRLFRELYNNYIFPSTPITSFSPFLSYIVVTPLILGFEKDFSCHSHLGPIRIPPLFSFPPAPFPRNEKEDGRLELYYLSAYCLPKILLLQMVGHRVIKISCVFCGFPMLQLPYQFGRSGMDRLNIPLGSLVFTLLCGIHSRLALGITSSSGWNSSQNPTTSPTSLPPTVSRTSIETEWFHVLSSIGYSSLFLSLFPIAVSMSLQD >OIW21476 pep supercontig:LupAngTanjil_v1.0:Scaffold_132_76:28581:31160:1 gene:TanjilG_04943 transcript:OIW21476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLIISFSFFYLLFHVVHAYTFLDYIKPNFTASHFQFIDNNSGTFLLSQNRTFEAAIFNPGGQQTSLYLCVIHAASSSVVWSANRDNPISEFGIMNLTINGIVIVDEDGDVKWSTPPLRSLVDRLVLNEMGNLVLLDQSNVSLWESFQHPTDTIVIGQRLPIGTWLSSAASDSDLSAGNYNLTITASDAILQWYGQDYWKLSTDTKAYKNSNDMVEYMEINRTGFYLFGHDGVVPVFVVSFPLSDFRIAKLAISGQFVISSFSGTDWNREFVGPGDGCQIPLACGRVGLCNDKSSTPVCSCPPDFYVGSGNYSGCVPSNGSYSLPNACNSNDSQSNSSVVSFLKLGYGVEYFHNFYSDPVMLGVNVSVCKDLCSRNCSCLGVFYKNSSDSCYTVENELGSIISSDEGEDNMLGFIKVIVASTTTDDDDQTSQKNGFPVAASVLLPITGIIIIVSLVFLSCRRMKVSKAQDVQLGKSISHSSSNDMDAFYIPGLPRRFDYEELEDATDNFKTLIGSGGFGSVYRGVLSDNTVVAVKKIINIGIQGKKDFCTEIGVIGNTYHANLVKLKGFCAQGRHRLLVYEYMNRGSLDRTLFGTGPVLEWQERSEVALGTARGLAYLHSGCEQKIIHCDIKPENILLQDQFQAKISDFGLSKFLSPEQSGLFTTMRGTRGYLAPEWLTNSAISEKTDVYSFGMVLLELVSGRKNCYFRSNSHSVDDGNSGGGHSSNSSTTGLVYFPLFALEMHEQGNYLELADQRLEGRVTNDEVEKLVRIALCCVHEEPAIRPNMVAVVGILEGEIPSPQPRIESLNFLRFYGRRFTEASMIAEESEYNCILNQQGRNSTSQNSFSYISSETVSGPR >OIW21478 pep supercontig:LupAngTanjil_v1.0:Scaffold_132_76:93526:102992:1 gene:TanjilG_04945 transcript:OIW21478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGKVSFKVTLTSDPKLPFKVFSVPEAAPFTAVLKFAAEEFKVPPQTSAIITNGIMQQPNSLKPKRILLLPKRNVSKDVNKSHDMLLKESIPNTPSDSDGTPPSVLTFDEGSNPFAAQQQHHQKHVLDSKENNFIMPSLQVKSQNVDAHKKVQFSVQNNSAAKGAIDGKATELDNLSSHMSSLGFTEMDWVESGQLEPSIEESKLQKFHQGEHDTSLRAEGGVSSMLPKRTTVTQDLMQDFRNFLGQPVTQSSAMGTSCATTTSVNSSSAPMLNSTSHYSQLHLDSGSRVTAEPLGELNVNCITEDVMKSSSSSLKDPNRMSVDQAPITVQASGSSVDAELSFKERDSSKEQQGCNSKETSISKYTSCHDDKSTKGEDPADVNIQPQAPMTKSSSSEVKLESSKLEKRDKATSSKGSSTSRRKAYDPNLFFEVNGKLYQRLGKIGSGGSSEVHKVISSDCKIYALKKIKLKGRDYATAFGFCQEIDYLNRLKGKDNIIQLIDHEITDKALLKEVMKGSISNKDGRVKDDGYIYMVLEYGEIDLAHMLSQKWKELDGYNQTIDENWLRFYWQQILQAVNTIHDERIVHSDLKPANFLLVKGSLKLIDFGIAKAIMNDTTNIQRDSQVGTLSYMSPEAFLCNESDVNGNIIKCGRPSDIWSLGCILYQMVYGRTPFAEYKTFWAKFKVITDPNHEIIYEPVSNPWLMDLMKRCLAWDRNQRWRIPELLQHPFIVPPVPSHQPSLGQDQSCKLLQLIAESCTNDPETSQLCCQLQQVLNDPLNLITPHPLSARDQQLKLLSQISELSIQLQERLKNTDNK >OIW21479 pep supercontig:LupAngTanjil_v1.0:Scaffold_132_76:104616:110178:1 gene:TanjilG_04946 transcript:OIW21479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVLCGKSNTENEAATAIKNSNTFKLPQSGDFSIVLHSELDKTVMQQSFQVDSFMNSLSTNTFGRFLIWSPQLDTTHDVVSNNFSELPVGTVCVADVQTKGRGRSKNVWESPLGCLMFSFTLQMEDGRVVPLVQYVVSLAITEAVKDICDKNGLPFLDVKIKWPNDIYLHGFKVGGILCTSKYTSKKFNVSAGIGLNVNNEKPTTSLNSVLRELSVEGYQFRREDVLAAFFNKFEKFYELFINQGFQTLEELYYKTWLHSGQRVIVQEKNEDEVAEHAVTIQGLTSSGYLLAIDDDNQMCELHPDGNSFDFFKGLVRSKLS >OIW21477 pep supercontig:LupAngTanjil_v1.0:Scaffold_132_76:81484:84443:-1 gene:TanjilG_04944 transcript:OIW21477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEKVETIVAGNYLEMEREEEDSKSTSSKLSKLFWHGGSVYDAWFSCASNQVAQVLLTLPYSFSQLGMVSGIVFQLFYGVMGSWTAYLITALYVEYRTRKEREKVDFRNHVIQWFEVLDGLLGKHWRNLGLFFNCTFLLFGSVIQLIACASNIYYINDNLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLIMTTYTAWYMTIASLIHGQVEEVTHSGPTKLVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKMIYLIATLYVLTLTLPSASAVYWAFGDMLLTHSNALSLLPRTGFRDIAVILMLIHQFITFGFACTPLYFVWEKFVGVHETKSLLKRALVRLPVVVPIWFLAIIFPFFGPINSTVGSLLVSFTVYIIPALAHMVTFASAPARENAVERPPSMLGGWVGSYSINVFVVLWVLVVGFGLGGWASLLNFIHQIKTFGLFAKCYQCPHKA >OIW21490 pep supercontig:LupAngTanjil_v1.0:Scaffold_134_24:16958:19252:-1 gene:TanjilG_05139 transcript:OIW21490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQYSSKSEPPKSLMENLLGLLRVRVKRGVNLAVRDVRSSDPYVLLKMGKQKLKTRVIKKDVNPEWNEDITFSVLDPNLPILLIVYDHDTFSKDDKMGDAEIEISPFIEALRSNVTGLPSGYLKHVDHKLKIIGKRVDRHDNVCQ >OIW21492 pep supercontig:LupAngTanjil_v1.0:Scaffold_134_24:28955:31468:1 gene:TanjilG_05141 transcript:OIW21492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQWLILTYIVAVEVALAVIITLPSPKLLRNRIVSLVSLILQPALFVIPFAGFQLLDLYWKNEHRLTCTSEVCTATERDRYEKAIYKAQRNVILCVAAILIYWCISRICKYQKDLQTLEEVEKRHKSK >OIW21495 pep supercontig:LupAngTanjil_v1.0:Scaffold_134_24:48481:49504:-1 gene:TanjilG_05144 transcript:OIW21495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFRYAMVCSSNQNRSMEAHFLLKKQGLDISSYGTGAHVKLPGPSLREPNVYDFGTPYKHMFDDLRRKDPELYPFFPHQFLTPVFSFSSKSTPQIVDLHNRNHVLMKTVLIINLEVKDNHDEAAIGARITAYLCQEIEAVESWEESIDDIVAGFEKQHRQKLFECWSCLVNH >OIW21491 pep supercontig:LupAngTanjil_v1.0:Scaffold_134_24:22788:27422:-1 gene:TanjilG_05140 transcript:OIW21491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRASSNLASSLLSRTLAAASSPAPNRFLHSALYRSTTTGGSYDHRRWFSSLLRPYTAASLGVAGAMFTAVAATSVYQEALAKEPPPPEVIPKDVVLYQYEACPFCNKVKAFLDYHDIPYKVVEVNPLSKKEIKWSEYQKVPILVVDGDQLNDSSAIIDKLGQKILSKKKADEEDEETKWRRWVDNHLVHVLSPNIYRNATEALESFDYITSNGNFSYTEKFSVKYAGAAAMYFVSKKLKKKYNITDERASLYEAAETWVDALNGREFLGGSKPNLADLAVFGVLRPIRYLRAGKDMVEHTRIGEWYTRMESVVGESSRIKA >OIW21488 pep supercontig:LupAngTanjil_v1.0:Scaffold_134_24:6028:8424:-1 gene:TanjilG_05137 transcript:OIW21488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQYSSKSEPPKSLMENLLGLLRVRVKRGVNLAVRDVRSSDPYVLLKMGKQKLKTRVIKKDVNPEWNEDITFSVLDPNLPILLIVYDHDTFSKDDKMGDAEIEISPFIEALRSNVTGLPSGTVISRIQPSKQNCLADESCITYTSGKVIQDMILRLQNVECGEVEIQLHWIHLPGCKGL >OIW21493 pep supercontig:LupAngTanjil_v1.0:Scaffold_134_24:34447:35760:-1 gene:TanjilG_05142 transcript:OIW21493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLGWRRRKNNNHKGVKQNVEVVVPNHFMCPISLDMMKDPVTLSTGITYDRENVEKWFEVENCTCPVTDQIVKNFDMIPNHSLRIMIQDWCVENKQHGVERIPTPRIPISRFDVAELVIQVKASARGLDQHGCLELVHKMKKLCNEMDRNKMCIVENGAPGALASAFDTFANDSIERNVSVLEDILSALNLMFPLKLDAKIFLGSKASLTCMVWFLKHQDLSGKEKSVVTLKELLSFGDEKHVEALSEIEGVCELLVEFINKRISPIITKASMRVVWYLVSSSSHCSDKMKLTFVELGLVSSLLDILIESEKSLCEKALAIFDTLCSIEQGREKGYSNYLTVPLLVKKILRVSALATNHSISSIWKMCKLGEKDDEKRVLVEALEAGAFQKILLVLQVGCGDEIKEKATELLKLMNPYRAELVECIDSNYKNLKRSF >OIW21489 pep supercontig:LupAngTanjil_v1.0:Scaffold_134_24:11960:16594:-1 gene:TanjilG_05138 transcript:OIW21489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRASSNLASSLLSRTLAAASSPAPNRFLHSALYRSTTTGGSYDHRRWFSSLLRPYTAASLGVAGAMFTAVAATSVYQEALAKEPPPPEVIPKDVVLYQYEACPFCNKVKAFLDYHDIPYKVVEVNPLSKKEIKWSEYQKVPILVVDGDQLNDSSAIIDKLGQKILSKKKADEEDEETKWRRWVDNHLVHVLSPNIYRNATEALESFDYITSNGNFSYTEKFSVKYAGAAAMYFVSKKLKKKYNITDERASLYEAAETWVDALNGREFLGGSKPNLADLAVFGVLRPIRYLRAGKDMVEHTRIGEWYTRMESVVGESSRIKA >OIW21494 pep supercontig:LupAngTanjil_v1.0:Scaffold_134_24:38682:47110:-1 gene:TanjilG_05143 transcript:OIW21494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASESTEEEKTWENMLRRMLPAGAPLPDEEHLDYSIAVEYAGPPVTYDVPRVDPLEIGGAGASIRTSSIASSGAIPVAMPVHNRFSRFSRVRNGGFNREPRSPVESQRSSSVSRTQFDSRSGEVERSDFSGEVAEGEVTVDLLDSAVPVPNSAPLRSGGRVEGKRPITVTFHTPRDSEDDHDYDGSLSPRSVATEPVGSPFASVLSQPKKRWICSRCGNGNRLKEKEACLVCDSRYCSNCVLKAMGSMPEGRKCVSCIGMPIDELKRPTLGKCSRMLSRVCSPLEIKQIMRAEKECAANQLRPEQLIVNGRQLRQEELGEILGCPIPPQKLKPGRYWYDKDSGLWGKEGEKPDKIISSKLNIGGKLQMEASNGNTRVYMNGREITKVELRVLKAKFLYGNKFSNEEMQDIKLVIQSNMYKYLSILLEGREQFEEEAEKEFTLLDGEGSGSETAVDENKPTIYAINPRFKHFSNWLLDIMATGDLENFFPAATREYAPMVDEIWKDPAVQEAYKRREELHNLPDVAKYFLDRAIEISSNEYEPSEKDILYAEGVTQSNGVAFMDFSFDDRSPMSEIYNDNPPPLTKYQLICINSKGLRDGCKWLEMFEDVRVVIFCVSLSDYDQMWPTGTGELRNKMLASRDLFESLVRHPCFKNTPFVLLLNKYDAFEDKINKAPLSTCEWFGDFCPVRPHHNNHALAQQAYYYIAVRFKELYYIITGQKLFVGQTRARDRASVDEAFKYIREIIKWDDEKDDDIYEINQEDSFYSTEMSSPYNGPEQIPD >OIW21501 pep supercontig:LupAngTanjil_v1.0:Scaffold_134_26:68615:71239:-1 gene:TanjilG_05150 transcript:OIW21501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFANNLSPSSLPTSDPSFTCAENANSINKRKRRPAGTPDPDAEVVSLSPKTLLESDRYVCEICNQGFQRDQNLQMHRRRHKVPWKLLKRETPVVKKKVFVCPEPTCLHHDPCHALGDLVGIKKHFRRKHSNHKQWVCERCSKGYAVQSDYKAHLKTCGTRGHSCDCGRVFSRVESFIEHQDACNMGKLRTEPHHLQLQQPPACLSRTASSPSPSSETNFSTCPNWPQGLIVIPKSTKEPTLFNMNPTTSTIAATAEASISMKNNKLDPNLDLQLSTNTNTNTKTSNTYTIDVVAASLSPNKREDHHHYQKHSTQLQLSIGSSDNNEKNGQLNRNHHNSSPKESSNTCNEKQGMALLRVAMAEKMYAEEARKQAKKQIELAEQELTNAKRIRQQARVELDKAYALKEHAMKHINSTMLQITCHACKQKFPARNTTSTILDHETNNSLVLSYVSSAITIEGGEVENNNGKELHGKSNN >OIW21497 pep supercontig:LupAngTanjil_v1.0:Scaffold_134_26:15281:17679:-1 gene:TanjilG_05146 transcript:OIW21497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQNKIFFSSQNQIPDLSLNISLPNSAPSSICTEGGSSFSSSPFDIWRADTDEGVAFKSHSDSSIRGISPHTHTDIKLSLSNNNNNNPKSKTPSEAESPWRKNLVRSIRESQVTTVTNHHGVSPVSEGAVITRPFNGIPLYNSNFPSSLENSSSIERISSKFSPYAVNSSNSAFYNTYIGVKGVEPISRFNGTTMESLTPHQQLQYLNHHHHHQQQQQNQFGIGGSDFANGFVRSTMLHRLQSKKNMRAPRMRWTSSLHARFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQMYRTIKNTDKPVVASSSDGDEDFMSLSPPLNPNKNCLPNPRSEISNAFVDQSNSGYNSSNLWGNSSSR >OIW21500 pep supercontig:LupAngTanjil_v1.0:Scaffold_134_26:58223:59068:-1 gene:TanjilG_05149 transcript:OIW21500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGDNGLNMRNWGYYEPAMSFKSHLGLQLMSLMPEKPLLGARNAAVLSGNHGGFHHRDIGMPHATYPMDYMRDAWISSQREKFMNIIPTNPTFGGISETSSAYHIQMIQPPEVPQEEKAIEEEPIVDKVNITSKKRQGKFPKSPKVKKSKRDPHLPKDESAPSVQRERVPKKTAEIVINGIDMDITSIPIPVCSCTGTPQQCYRWGSGGWQSACCTTGMSIYPLPMSTKRRGARIAGRKMSIGAFKKVLEKLAAEGYNFSNPIDLRNYWAKHGTNKFVTIR >OIW21499 pep supercontig:LupAngTanjil_v1.0:Scaffold_134_26:46081:46632:-1 gene:TanjilG_05148 transcript:OIW21499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGTLSLYRECLRNHAANLGSYATDGCGEFTLDDMSLGGSGSGSLRCAACGCHRSFHRKVIMTQKASNGRDPEMITAEFMDHSDGGGGGDEGKVVANVEGERNGSGKKRFRTKFTNEQKEKMLGFAEKIGWKLQRKELDDEIERFCKSVGVCRQVFKVWMHNHKHTSSSLSAFIGNVSSLTQ >OIW21496 pep supercontig:LupAngTanjil_v1.0:Scaffold_134_26:3468:5968:1 gene:TanjilG_05145 transcript:OIW21496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPAAMATLFPSCKPSIFVGRTNLLSFRPKFQFFNKVRTSVSIPKQGHRSLSTGSRRGFVVRAASLSPEESSGSSSKFAPLQLESPIGQFLSEILTSHPHLLPAAVEQQLEQFQTDRDVDKGKEEPSASGTDLVLYRRIAEVKANERRKAIEEILYALVVQKFMDANISLVPALTPDPSGRVDSWPGQDGKLEELHSHEAYEMIQSHLSVIMGDRIGDPSSVAQISKLRVGQVYAASVMYGYFLKRVDQRFQLEKTMKILPNASEENSIQKPTLDDARFNGGEVRPHVMSHPEVSALPGGAFGYETKVSKLRSYVMSFDGETLQRYATIRSKESVNIVEKHTEALFGRPEIVITPEGAINSLKNETIKISFGGLKRLVLEAVTFGSFLWDVESYVDSRYHFVLN >OIW21498 pep supercontig:LupAngTanjil_v1.0:Scaffold_134_26:34146:41316:1 gene:TanjilG_05147 transcript:OIW21498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFPAKKLIDDPNNVVTEFIDGLVETYPGLQYLDGFPQVKVVLRADVAYATYDKVAVISGGGSGHEPSHAGFVGEGMLTAAICGDVFASPPVDSILAGIRAVTGPMGCLLIVKNYTGDRLNFGLAAEQAKSEGYKVETVIVGDDCALPPPRGIAGRRGLAGTVLVHKVGTMGVALTLCTLPGQVTSDRLGPGKMELGLGIHGEPGAAVADLQPVDVVISYVLKEILSTETNYVPITRGGRVVLLVNGLGSTPLMELMIVAGKAVPKLQLEHGLAVDRVYTGSFMTSIDMAGFSISIMRADPPILQRLDAATKAPYWPVAVDGNRPPAKIPIPVPPSLLAKSDEPRSRPLQLNEQGQILEAAIEAAANAILNLKDSLNEWDSKVGDGDCGSTMYRGAKAILEDIKNYPLNDAAETVGEIGSTIGRAMGGTSGIIYTIFFKAAYTQLKASPRSDATSRQWAEALTASIAAVSKYWGASAGYRTLLDALIPASLVLQEKLDIGNDPSTAFVLSSEAALAGAESTKHMQAQVTTCYGFFFIN >OIW21503 pep supercontig:LupAngTanjil_v1.0:Scaffold_134_27:17989:21784:-1 gene:TanjilG_05152 transcript:OIW21503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQKHQRQSSLVKVWESISQGLQIYPFNPDLLKGVVEVGHLHTTSNKLRWMLDDFCYKKPSVVLWLFALCYEMSRGGSQHRIRGLFEKALSNDRLCSSVVLWRCYIVYELDIAHDASAARRIFFRAIHSCPWSKKLWLDGFLKLNSVLTAKELSDLHEVMVDKELNLRTDIYEILLQES >OIW21502 pep supercontig:LupAngTanjil_v1.0:Scaffold_134_27:15046:16839:1 gene:TanjilG_05151 transcript:OIW21502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPMKVPKVTLNSGENMPIIGMGTATSPLPPPQALTSILVDAIEIGYRHFDTAAIYGTEEPLGHAVSKALELGLIKNRDEVFITSKLWNTDNHHHLVLPALKTTLKKLGLEYVDLYLIHWPVRLKPEVEGFNFTGEDAIPFEIKGTWKAMEECYRLGLAKSIGVSNFGIKKLTLLLENATIPPAVNQVEMNISWHQGKLREFCKQKGIHVSAWSPLGAYKVFWGSSAVMENPILQEIAVARNKSVAQIALRWIYEQGASAIVKSFNKERMKQNLQIFDWELRQEESDKISHIPQCRLYKAEMFVCENGPIKSLEELWDSDP >OIW21487 pep supercontig:LupAngTanjil_v1.0:Scaffold_134_3:2967:4937:1 gene:TanjilG_05129 transcript:OIW21487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILKKLSVYDFIMNAQYVCYEWRNICKDPLTWHTIVMSNTSIYINRHPHCRNSSPMTIMFDSWLKKMCKCMIDLSAGHLIYIDICDFGDKDLLEYIINSSSQIRSLRFNNCCIYDKKRLNVNELAKKLPQLYNLSICFCHAFSKDFLEAIGICCPRLTKLNLCKHYKCFDKENKIYNDEDGGDDGHDEDAFVIAKTMPQLLRLRLCQNKITNIGLVAILDGCPRLEFLRVIKCDYLNLKGSLLERCVRQIKYFQIDTEEVKNDDHWTQIL >OIW21504 pep supercontig:LupAngTanjil_v1.0:Scaffold_134_30:10684:18400:1 gene:TanjilG_05153 transcript:OIW21504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSVAMRRTTRVFGVVKGGDSARVLRSGRRIWPEYEDIKIRRGNEGDAWLKKPEKHKSSPSSRAKQEKALVDDANEGDGGITESVKQKRRRILSGDDRFFGMVYSRKRRRIGASSSSEILAGTVERGGSDVSEMFGLHFSRQRKDRCKFAVVVKLSCGGSGLFSRLLFLVLRHVRRFKVSLKVLSAFLLSEPISGVYSSQGVLFLKGNPTVSTGICQFFGITQFMPLFSVDFSAVPLCFTYLHSEMLLESILRSFTLVYNPVSVPSAVEEEIDFQESRTELQISCDSFEREPTEIGSVMPDVIEIKDSLSLQASVKGRRLSGWNGKYRNINSKSKGTQRRTSLRLKKARNASLVDRSNGALSYGLRSGQKRSIACAGSNKKLRSSVNSFTAVSSLEASSATVDSTKGLDSSHCSANILITESDRCHRVKGAVITLERPASNDWLFEVKKDGLTKYTFKADKVMRPCSCNRYTHVIMFSLDNGWKLEFPNRRDWVVFKDLYKECADNSIPATVAKCIPVPGVHNVSDYADSSSVPFHRPDTYISGNGDELSRSMTRKTANYDLDSEDEGWLSKFNNEFQEHVSGDNFELIVDALEKAYFCNRDAFCDEKSAAILCQDLGSKEVVEAVYSYWMRKRNQKRSSLLRVFQSYQAKRPPFIPQSVLRKRRSFKRQPSQFGRGKHPIAWQAIATEKGALEEQNALLKIKKAKASAKELKEFALQKRKRAQFLMENADLAIYKATMLVRIAEVAQGGESVDAFAAYFLVDAIATEKGALEEQNALLKIKKAKASAKELKEFALQKRKRAQFLMENADLAIYKATMLVRIAEVAQGGESVDAFAAYFLVDG >OIW21505 pep supercontig:LupAngTanjil_v1.0:Scaffold_134_30:20539:25782:-1 gene:TanjilG_05154 transcript:OIW21505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFRRREEERVPAALSPSSKLRAQHRLEADPDLNRRNIRDGLDPVQQRNLSPLKMDGVRRGGGAVGNKVVGRSDGFKRRDFDWQLGGRRSGRFRLQSPVDEQLRKRTHFNDGVMHRSCSPPPMGLRPRHEMANSMDYEVDDDEHLDVKHTYINREKGLIENRLGGGVGMVDHKYVIRGNEAGGSYMSNPDSSIPDMGVNVTSRYEEAGGHLPRPSRTVPMGRFDHGRLQHQESLSMDKIPITETHTGVEKVIYHATDGSYATISPSYMNDFAGTSHLRERDYGGSSVEMSRSDFLCSRGDGICLPTPYDLSRSGRKLAEPAGFSEHEQRPAVDTTRDPEIRHRNMMCHQRCEFSPTRSERGVYLNSKLQVREAQDDRLYQYDDLPRRIASHGRLRYEKVPMEYDNKETSSPYISYPDVDRTATSGNSYGNQRKGVIRDHPALQKPKYFDYQDMRRTSMASTQDEAYLHSGYSHLEGGKRVPQDYEISYMRAPEADRSSNLRSHYESRRDGGPGLQQERFQSSSLSKHNSEAYRQAARVQEMKQDIGIHDHSGRFMKRKYYANEDIEVCDSRAIKSSKWDATEEFQDAYENEEWVDDEDMNMLYSTRDTEFNHKTHEKYKREYNGMQSEEDFPSDEWILPQDSVGHVQKHSLRFQKYPNHNIKHHSKPSSSSWYKPQHFSKRNVIHKQPKVWKKHHSYHENKHTSNDESSEDWVSATESEPPEGSEEFKRMVHEAFLMYSKNLNLSSSLQKRYQEQGKAGSLYCSVCGRSSSKEFMDTQCLVTHAFMSHKAGLRAKHMGLHKAVCVMMGWDTIIPQDTVTWVPRVLPHAEALAQKDDLIIWTPIVIIHNISMHDDNPQNWKVVSMETIEAFLRGKGFVRGRIKLCLGKPADQSVILVKFLGTFVGLADAEKLHQYLSDNNRGRAEYEKVKSKGIESCDIKEANQIDKVENILYGYVGIAEDFDKLDFNSKKWSSIKSRKEIDDLDKAPVKTDEKR >OIW21506 pep supercontig:LupAngTanjil_v1.0:Scaffold_134_42:33907:38467:1 gene:TanjilG_05176 transcript:OIW21506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAPKLVYSVLTIVACSIIFRSSIVGAIWCVARIDVIASALEPQLDYACGHGADCSGIQPGGICFYPNNIYNHASYAFNSYYVRMGGAPGSCYFGGTATIAFTYPSENGFDEIGLKCATYLPPLELLEDMEARVEVEVEETELGEVRVGVGEGDGEDNELEAVNVDEYLNIGNLAVNELGKRNKEVIEDKGRLLAGTYARAPIVLEKGEGCKVYDVEGKEYLDLCAGIVVNALDHDDSDWLKAVLDQAAILTHVSNVFNSLPHVELAKRLVTSSLVDRVFFSNPGIEANETVNYIL >OIW21508 pep supercontig:LupAngTanjil_v1.0:Scaffold_134_46:49195:56905:-1 gene:TanjilG_05178 transcript:OIW21508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLQSKEFQIQGCNWKDVKHFSLYLMVADSFRAYLWDRTTHFKLTLINQVDGNKSVVKAYLEKAEKVKNLKNSVVDLEIELRMLRTKLVVAEVVLDIARKGLEKVEKSFKERDINAELGYGT >OIW21507 pep supercontig:LupAngTanjil_v1.0:Scaffold_134_46:33685:35098:-1 gene:TanjilG_05177 transcript:OIW21507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIADSFRAYLWDRTTHFKLTLINQVDGNKSVVKESQQIFNGRYRSCGWPFLNLNDFLDSQQGYLVNDTCIIEAYICVSHVALNTNIQNENLKNIVATNGSTSGKQEIESSDESERHSSTTCESSQRGNNVQGSDFTLRDILDFEKLREEEKTYVPLLVKVCTLHPSLIRSQNKKPDLSKVWAFISLGKVLLFLNTTKARDMNEDACNQLRILWDDLNKLSSGFDLTWLEPHIHNALGVKVQLEGSSKMKTLQNSVVAMDIKKERLIKELTATEAEFKVASKKLIEARKGFTEMDFNVALDYAMFK >OIW21509 pep supercontig:LupAngTanjil_v1.0:Scaffold_134_47:10358:10678:-1 gene:TanjilG_05179 transcript:OIW21509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVKNMNEEACKHLECLWEEAHLFGFNLTWLEPYIQSALNVKAYLEKVEKVKNLKESVIDLEIELRKLGTKLVVAKVVFETERRDSEEVENGFKERNINTEMDYGT >OIW21510 pep supercontig:LupAngTanjil_v1.0:Scaffold_134_48:9304:10745:-1 gene:TanjilG_05180 transcript:OIW21510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNHHGTRINLEKFTWEIESFSKNTKKLESKPFKIGGCKWKISMNPIGKRVGQLSLCLEVSDTQRKFNKGYRIWGLSFINLIDFCNPEEGYLMNDKCIVEAHIYVSDDEFNTNIQNENLNNIVATNGSTSGKQEIESSDESERHSSTTCESSQRGNNVQGSDFTLRHILDFEKLREEEKTYVPLLVKVCTLHPSLIRSQKKKPDLFKEWAFISLGKVLHFLNTTKARDMNEDACNQLCILWDELINKCSGFDLTWLEPHVHNALGVKAQLERSSEMKTLQNSVVAMEIKMLRLRGELAATEAEFKVASKALIEAREGFTEMDFDVALDYELFR >OIW21511 pep supercontig:LupAngTanjil_v1.0:Scaffold_138_2:6237:10238:1 gene:TanjilG_05489 transcript:OIW21511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKNNTPGQRARRPLSIFVVISLCCFCYLLGAWQRSGSGKVDKLALKVNKDMSHCNIVPNLSFESHHNLVETIEPSEPKAKVLKSCDVKYTDYTPCQEQDRAMTFPRENMIYRERHCPPQEKKLHCLIPAPKGYTTPFPWPKSRDYAYYANVPYKSLTVEKAIQNWVQFQGNVFKFPGGGTMFPQGADAYIDELASVIPIADGTVRTALDTGCGVASWGAYLLKRNVLAMSFAPKDNHEAQVQFALERGVPAVIGVFGTIHLPYPSRAFDMAQCSRCLIPWSGNEGMYLMEVDRVLRPGGYWILSGPPINWKTYYQTWQRSKEDLKAEQRKIEELAESLCWEKKYEKGDIAIWRKNINAKSCLRKSPKFCDSDNADDVWYKKMEVCKTPYPEVSSKSEVAGGELKKFPARLFAVPPRIAKGIIPGVTTDSYQEDNKLWKMHVNAYKRMNRLIGTTRYRNVMDMNAGLGGFAAALESQKSWVMNVVPTIAENTLGVVYERGLIGIYHDWCEGFSTYPRTYDLIHANGLFSLYQDTCNLEDILVEMDRILRPEGAIIIRDEVDVLNKVTKIVGGMKWEAKMVDHEDGPLVPQKILVAVKEYWTVGSSKSNSSNEE >OIW21512 pep supercontig:LupAngTanjil_v1.0:Scaffold_138_2:29130:33681:1 gene:TanjilG_05490 transcript:OIW21512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACKGFWECLLKLFNFLLTLTGLAIVGYGIYLLVEYNNVPDNALTVSTDDQTLVQLGRPMLMAVSLSNSIFDDLPKACFLKPAKYAVLVVLLILAELGCAAFVFFDKSWKEEIPRDKTGDFDMIYGFLKENINIVKWVALGIVIFEALLFLLALIVRAANRPADYDSDEEFINPRQQVRQPLLNRPAAPATGVPVAGTIDQRPSRNDAWSTRMREKYGLDTSEFTYNPSESQRFQQVNPQPTEERSRCTIM >OIW21513 pep supercontig:LupAngTanjil_v1.0:Scaffold_138_4:3541:4236:1 gene:TanjilG_05491 transcript:OIW21513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVISLGPGKFYGNSLPRPRFYTDVKFNDHRVDPPISISDPFMSWAEEAHWSMGGSSYKRLRLQGKIEGNANKLRSQLEHISEAQAQSPVQPARSDLVSEFDSPSPPDAPVAVKRRSYMSLIENEKEEDEVEFEGEEFKVVTRSVRRKRLVKKLGDEFDSVASENEGNDSKLDLSKNLSDGSDSDMVGLRTRKRRLMKGGEAVRKVVEEKSANSDSGNGVRSSPRLAKRLKN >OIW21515 pep supercontig:LupAngTanjil_v1.0:Scaffold_139_12:4933:7483:-1 gene:TanjilG_05524 transcript:OIW21515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLIPFSIFCLLLSTFPLFASSAVLFQGFNWESSKKGGWYNSLKNKIPDLANAGITHVWLPPPSQSVSPEGYLPGKLYDLDSSKYGNKEELKSLISALHSKGIKAVADIVINHRTAAKKDGRGIYCIFEGGTSDGKLDWGPHFICKDDTAYSDGTGNLDSGEGYPAAPDIDHLNPQVQKELSEWLNWLKTEVGFDGWRFDFVKGYAPSITKIYLEKTSPDFAVGENWNSLSYQNGKPDKNQDGHRGALAKWVEAAGGSVNAFDFTTKGILQAAVEGELWRLKDSNGKPAGLIGIKPENAVTFIDNHDTGSTQKIWPFPSDKVIQGYAYILTHPGTPSIFYDHFFDWGLKEEISKLTAIRLKNGINSKSSVDILAADADLYVAKIDNKIIVKIGPKNDLGKLIPSNFHVVTSGKDYAVWQ >OIW21517 pep supercontig:LupAngTanjil_v1.0:Scaffold_139_16:19393:23197:-1 gene:TanjilG_05526 transcript:OIW21517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWPYIGQTFQLYSQDPNIFFSSKQKRFGEIFKTNILGCPCVMLTSPEAARFVLVTKAHLFKPTYPKSKERLIGPYALFFHQGDYHMSLRKLVQRSISLDALRNMVPDIEALALYSMKSWGHGHVINTYREMKRFSFEVGILSNFGHIEPQLKDELKKNYWIVDTGYNSFPSSIPGTQYKKALLARKRIGKILSEIICERREKKLVGRDLLSCLLNWKGEKGEILSDEQIADNIIGVLFAAQDTTASVLTWIVKYLHNEPKLLECVKAEQKAIQKSNEGNQPLSWNQTRNMPITYKVVLESLRMASIISFPFREAVADVEYKGFLIPKGWKAMPLFRIIHHNPEFFPEPKKFNPSRFEVAPKPNTFIPFGSGVHSCPGNELAKLEIMIMIHHLVTKFRWEVVGSQCGIQYDPFPVPFNGFQARYWKESTS >OIW21516 pep supercontig:LupAngTanjil_v1.0:Scaffold_139_16:5031:6961:-1 gene:TanjilG_05525 transcript:OIW21516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLLSLSIFCLFLSSFPLFASPALLFQGFNWESSNKGGWYNSLKNTIPDLANAGITHVWLPPPSQSVAPQGYLPGRLYDLDASKYGSKEELKSLIAAFHAKGIKIIADIVINHRTAERKDGRGIYSIFEGGTSDARLDWGPSFICRDDTAYSDGTGNLDSGEGYPAAPDIDHLNPQVQKELSEWLNWLKTEVGFDGWRFDFVKGYAPSITKIYMEQTSPDFAVGENWNSLSNGQDMKPNYNQDDHRRALVNWVEGAGGVVTAFDFTTKGILQAAVEGELWRLKDSNGKPSGLIGIKPENAVTFIDNHDTGSTQKIWPFPSDKVMQGYAYILTHPGTPSIFYDHFFDWGLKEEISKLTAIRLRNGINLKSSVNIFAADGDLYVAEIDNKIIVKIGSKMDLGNLIPSNFRVATSGQDYAVWE >OIW21514 pep supercontig:LupAngTanjil_v1.0:Scaffold_139_6:4779:5455:-1 gene:TanjilG_05523 transcript:OIW21514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPWYLPGRLYDLDASKYGSKEELKSLIAALHSKGIKAVADIVINHRTAAKKDGRGIYCIFEGGTSDGKLDWAPHFICKDDTAYLDLLFYDHFFDWGLKEEIAKLTAIRLKNGISSKSSVNILAADADLYVAEIDKKIIVKIGPKMDLGKLIPSNFSVATSGKDYAVWE >OIW20170 pep supercontig:LupAngTanjil_v1.0:Scaffold_13_13:13459:13968:-1 gene:TanjilG_04640 transcript:OIW20170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYDLQHLHITSASLYCDNQSAIHIATNPVFHERTKHIELDCHTIREKCGRSLVKLLPIKSSLQLADALTKPLGPKDYSTINVKLDLLNICSPTCGGILDNQNQPSKHQVATYNSPKQGHSSLHTLQPQQQQQNTIPSHQSTKQTQLNQAHTLTNSEQLHYTTIVVVSR >OIW20168 pep supercontig:LupAngTanjil_v1.0:Scaffold_13_2:22673:23775:-1 gene:TanjilG_04621 transcript:OIW20168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METNGAAATGHYLYEDFEPFCKWLTEKEQETLEIDLKGFKKEQLKVQTKNKGFLTIYGERPLDASTSKWSRFHKEIKLSKNCIMNEIRANFYNGILSITMPKMVKARGKENTKEAFLEATEHVKDDTIENHSVLGVKTRKKRAIEVAVKVVAVVSVVVAIGSYIAIS >OIW20166 pep supercontig:LupAngTanjil_v1.0:Scaffold_13_2:14659:17278:-1 gene:TanjilG_04619 transcript:OIW20166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDWEEEQIPSLDLVLKDKTKSNWDDEDVDENDIKESWEDEDEPAPAPVVPAVKTTEKAPTKSSVKGAEKKAKQVEPVKEEPLDPMAEKLRQQRLVEEADYKSTKELFGGGSDEKNIDTFIPKSESDFLEYAELISNKLRPFEASYILLLVPLIMRLSTTSLTGADAKDIASSVTAIANEKIKTEKEANAGKKKGGKKKQLTVDKPDEDFVPADRYDALDDYDFM >OIW20164 pep supercontig:LupAngTanjil_v1.0:Scaffold_13_2:3470:4441:1 gene:TanjilG_04617 transcript:OIW20164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMMDLFQNPSSLKPDRFQTSLWAAKLVLMFMGFISILVLLKVAIIPYTFNLVLSTLPQFCASAKSWLSLPFIYIIVNFIIITIAASSNFHHKRTPFSDPHKHTYTPTMVSEICTHPTEPEIENNEPMEEAKEIGHEEQEKVVEDFGLPFNKYIIESSLKRKQINDPKEEEEAKEEEQVREVKEFGMSFNKFITHSSPFEKYSNDDDGDGDDSMEATWRTIMEGQGKIKKPQMKKSETWGARITKAEPFSENGEVDDHVAWAQNEFKKSETFNDRVSLRRDKSMSQEELNQRAEHFIKMFNNQMKLQRLESHQRFLDMVNGCV >OIW20165 pep supercontig:LupAngTanjil_v1.0:Scaffold_13_2:9132:11314:-1 gene:TanjilG_04618 transcript:OIW20165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQCSGIHRSLGVHISKVRSTTLDTWLPDQVSFMQFMGNVKANKHWEAELPPNFDRNGYGIEKFIRNKYTEKRWASKGGYHAATKLTDTIFNFNESPAAGTKSGIPRNRRLSLEESILVSHMAQVLPPVTRSRFKTKFSINILQHDID >OIW20167 pep supercontig:LupAngTanjil_v1.0:Scaffold_13_2:21427:21747:-1 gene:TanjilG_04620 transcript:OIW20167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRGASMVLSRANRIRSKLQTALEATILEVDDVSYQHAGHAAMKENSSDNKETHFNLKIVSPKYEGQNLVKRHRMVYDLLSDELSSGLHALSIVAKTPHETTASK >OIW20169 pep supercontig:LupAngTanjil_v1.0:Scaffold_13_9:21936:22340:1 gene:TanjilG_04622 transcript:OIW20169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITWSQKSDLGQEAIQVKQASGQAKNLTEPTRRRAIWTGQMHQDVGRMHQDVGREVRPNLSSGAPSKHMVMLTETHEQHQALGAWNIDIGRMVILTVAHVHHGAQVRQARRWVHGLASRAWQLEPSHNLAYTGQ >OIW22076 pep supercontig:LupAngTanjil_v1.0:Scaffold_1404:640:972:1 gene:TanjilG_05840 transcript:OIW22076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMQAEAGRKKRAQILESEEQAYINIADGKKSSVVLPSEPANRHINSLIWLCCANPLYDLQL >OIW21518 pep supercontig:LupAngTanjil_v1.0:Scaffold_143_12:31681:31947:1 gene:TanjilG_06132 transcript:OIW21518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAPARPSSCTRLHQIQHPSLGSKSPAPVCIAVPVHPLISPFNLKVQTSAHTLRHTKSIPFMPLILCSQVHHKSITSSLPATKDAHVA >OIW21519 pep supercontig:LupAngTanjil_v1.0:Scaffold_143_23:15404:15764:1 gene:TanjilG_06133 transcript:OIW21519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPRLCRFEAPSWLAMFLLLHSGSVEMIPTTMVVITELNGDFFCVDMDPRMAIWFVQGTIEFSTVQVVS >OIW21520 pep supercontig:LupAngTanjil_v1.0:Scaffold_143_26:9371:10356:-1 gene:TanjilG_06134 transcript:OIW21520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGVVPWSEMFGTFALAVGAAVGMEYWARWAHRALWHDSLWHMHENLNL >OIW21522 pep supercontig:LupAngTanjil_v1.0:Scaffold_143_31:17793:18563:-1 gene:TanjilG_06136 transcript:OIW21522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHTQISIVKAVSLAKVYEEKDSLQYKPKDQHQNHPHSYQVRIPNKTNISQKYNPPILSTPPTRPINPFQKNTNIKRMSQAELQLRRDKDLCYWCDEKFSFTHKFPNKHLMILQYDDEEHGQMEVDKDIAGDNLGESRSIEKDHNLSFNAMKGTNGMGTLKFHGQIGQVRVQILVDGGSSDNFLQSRITQVKSITNFWFRSIGGEWTVYDSRRTHTIANNQGSRTRVSGTSVPLTHSWCRSNFGHNLACHLGCSCS >OIW21523 pep supercontig:LupAngTanjil_v1.0:Scaffold_143_31:20352:20693:1 gene:TanjilG_06137 transcript:OIW21523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFFFFFIFQSASIIFRRGPRKIKNSKYYQVLGVSKSSNEDKIKQTYRRATKKKKGHNPFDIFESVSNSPTNVNTCIHYETNFTSCCGNGNNISLGQVAIFLKLAHHQGSYQN >OIW21521 pep supercontig:LupAngTanjil_v1.0:Scaffold_143_31:10445:13227:-1 gene:TanjilG_06135 transcript:OIW21521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLFRKPFNSALLLLSALVLVGFCLDLGFSYSHSHSHSHDHNHHQCGGHGHAHAHQHHHHHSDDAKERTLLPEELAEEEDFKLYGFGYPRDHDHHHYSEDKELSGLVLVGFCLDLGFSYSHSHSHSHDHNHHQCGGHGHAHAHQHHHHHSDDAKERTLLPEELAEEEDFKLYGFGYPRDHDHHHYSEDKELSGLEEQNVVGMLICKGAHLSLFACTRETIEGGC >OIW21524 pep supercontig:LupAngTanjil_v1.0:Scaffold_143_38:18771:21044:-1 gene:TanjilG_06138 transcript:OIW21524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTFSKFRKPLRLRRKNNYNTASRTFSAAPSSEEEPHVSSAHTVDENHLSLRFCIWTALRFESLGTHEYRNLVSRTLSDNNWLTLYWEALEVLKKNGVLITSESVRALIRSYSTIGLTEKAVECFGRMCELDCKPDAHTFNTILRAVLRKQLFSLAFSLYNMMLKSNCCPNDFTFNMLIDGFCKSGSIKSGLAMVDEMERGDILPDVVTYTAIISGLCHERKVDDACRLFNVMKERWDQPDLICYNVLINGFCKLGRLDEAVSFLRLLERDGFVLARNGYSSLIDGLFRAKRYNEAHSWYAKMLKEGIRPDVIVYTIMIRGFSEEGRVGEAVRMLDEMSRRGLVPDAYCYNGVIKGLCDIGLLDRARSLQLEVSEQDQFRDTCTYTILICGMCKNGMVGKAQDIFSQMEKLGCFPSVVTFNALINGLCKARKLDEAHLLFYKMEIGRSPSLFFRLSQGSDRVFDTVSLQKKVEQMCENGQVLNAYKFLIQLADSGVVPDVITYNILINGLCKAGNINGAIKLFKDLQLKGLSPDSVTYGTLIDGLFRADREEDALKIHGHMLKHECEHGFSVYRALMTWLCRKRKVSLAFNFYLEYLKNLPGREVDSIDVLEEYFVTGKVEQAIRGLLELDFKFGSFNSAPYTILLIGFCQVKKVDEALTILSVLHKFNININATSCVHLINGLCEEGKLDDAVDIFLYALDKGFVLGSRICKKLLECCIHTQNKKEYAIDLIGRMKSHGYHSGSYDYLEKMTLLHQ >OIW21525 pep supercontig:LupAngTanjil_v1.0:Scaffold_143_40:9037:15403:1 gene:TanjilG_06139 transcript:OIW21525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSVSIDSLLTNHQSQLGFVHHNGQSRPLGSNNILHLQKASKTPRSFSFLLSVQASEIKPHVAVQSKGRSSFAQTAAVKHLTGSVIRTQGLRFAVVVARFNEFITKQLLEGALGTFKNYSVQDEDIDVVWVPGSFEIGVVADRLGKSGKYHAILCIGAVIRGDTTHYDAVSNSAASGVLSAGLSSGVPCIFGVLTCDNLDQAINRAGGKSGNKGAEAALTAVS >OIW21526 pep supercontig:LupAngTanjil_v1.0:Scaffold_143_76:51231:51638:1 gene:TanjilG_06140 transcript:OIW21526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKFLKPNKAVILLQGRYAGKKAVIVRTSDDGTRDRPYGHCLVAGIKKYPSKVIKKDSAKKTAKKSRVKAFVKLVNYQHLMPTRYTLDVDLKDAVTPDVLQSKDKKVTALKEAKKRLEERFKTGKNRWFFTKLRF >OIW21527 pep supercontig:LupAngTanjil_v1.0:Scaffold_143_76:63763:65972:-1 gene:TanjilG_06141 transcript:OIW21527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVTNSSSTSFLVAHQPSHFSASLPSLHSTNKAPVFPIQHRENVRFTKLVASTETHKHNHNHNIGSFIDLLKACEQNGSVKNANCIHGCVLKSNFDDRDLVVFLNHAVHVYSKCGDFNAACKVFDGMSQKNMFSWSVMIVASNEHGYYNDGVLLFCMMLERGVVPDGFAFSAVLQSCVGLDSIELGEMVHSQVVVRGFLMHTVVGTSLLNLYAKLRKNESSVKVFNTMPELNDVSWNAMISGFTYNGLHLQAFNCFIDMIEAGVTLSNSTFATVSKAVGLLGDLNKCHEVHRYATRWGLDSNTFVGTALIDMYSKCGSLSNAQILFDSKFTSCLVNTPWNAIITGNSQSGSHLEALELFTRMCQNDVKPDVYTFCCVLNSIAALKCLKSLRETHGLALKYGFDVKAISALNALADAYAKCESLESVEKIFNRMEEKDIVSWTTMVTAYCQYSEWEKGLAIFSQMRNEGFVPNRFTFSSVITACGGLCLLEHGHQIHGLICKSSLDNETCIEGALIDMYAKCGNLTEAKKIFERISNPDVVSWTAIISTYAQHGLVEDALELFKMMEQSGAKVNAVTLLCVLFACSHRGMVEDGLKIFHQMEDTYGVVPKMQHYACVVDLLGRIGRLDEAVEFINRMPIEPNEMIWQTLLGACRIHGNAELGKSVAQKILSTRPQYPSTYVLLSNTYIESGLYEDGFSLRDVMRKQASAEK >OIW21529 pep supercontig:LupAngTanjil_v1.0:Scaffold_143_83:43857:52750:1 gene:TanjilG_06143 transcript:OIW21529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENQISKESEPKKVKAFNTTSLQISENDASSLVGSIVEKGISDSQNNNSFLFQLFPQPTVLPFPVARHRSHGPHWRPLSNKRGGGDDDDSDINVEDEGDKAFMEFDKVAAFAKPVQRMKKKGMDFRKWKEITQDDNSSLRKESDEYMSCLSVTTGKKNEKGSKSKKTSSSDNSVFASTKVDAKPQLDDSDGGFISSATNMEVDTSNKTDLLEKVKSTRISHNKEENEFVPEWDESCSDTVPDYNFSSLNMPKPEQNSLTSSIISSSTSNDFRSEQESVSLESDIDAENQARIKQMSPEEIAEAQAELTEKINPALLKLLQKRGQEKIKKQYSLKPKVVTGSEYVNQHVQNTQDAKYLPKEGDTLHTVMTPPSENKVDEEKNRMKSSTTASSSSWNAWSDRVEAVRKLRFSLAGDVVDSDPLSVLENVAERDYLRTEGDPGAAGYTIKEAVALTRSVVPGQRTFGLHLLSSVLDKALHYICKGRTGHMAKTENKADKSVDWEAIWAFALGPEPELILSLRICLDDNHNSVVLACAKVVQCVLSCDVNENYFHISERIASYEKDICTAPVFRSKPDINLGFLHGGFWKYSAKPSNILPFREDSMDDETDEKHTIQDDLVISGQDFTAGLVRMGILPRLRYLLETDPTAALEECIISILIAIARHSPSCANAVLNCQRLIQIIVHIFNVEKLEPRSSMIKSVNLLKVLAQSDRKTCLEFVKNGYFQAMTWNLYQSPSSIDHWLKLGKEKCKLGSALVVEQLRFLRVCIQYGYCVSQFSEMFPALCFWLNPPSFEKLIANNVLYEAASISREAYLVLESLAGRLPNLYSQQGLNNEQRESTGDTEVWSWNYVGPMVDLAIKWMATRSDPEVSKLFDGHQEGRSDFAFQHRSVVPLLWVYAAVTHMLFRVLERVTLGNTVNQQETNELVPWLPEFVPKIGLELIKHWLLSGSVSSRDPEGRESLMKVAYLRQKGDIEMSLASTSCLNGMVKIIATIDSLIRSAKTSISSLPCQKQSLSKEGKMLEDGILSGCLIDLRSIFSVCVSSVTSGWRHMQSIEIFGRGGPAPGVGIGWGILGGGFWSKKVLLAQNDARFLINLLEILQNASAAVPVTEETTFTMQMVNSALVLCLTAGPRDKVVIEKALDLLLHVSVLKYLDLCISNFFLNRRGKTFRWQHEEDYMHFSRMLSSHFRTRWLSVKVKSNAVDCSSSSGIKTSPKGNARLDTIYEESDTAPIPNPLCTSLMIEWAHQKLPLPAHFYLSPISTIFHVKRAGPQKVNSSHSIPDPTNLLEVARSGLFFVLGLEVLSNFQCADIPSPIQQVSLTWKLHSLSVNLLVGMEILEQEMDREAFEALQDLYGELLDKERFNRSKEVTSDDKKHLEFLRFQSDIHESYLIFIEDLVEQFSAISYGDLVFGRQVSLYLHRCVESSVRLTAWNTLSNARVLELLPPLEKCYSGAEGYLEPIEDNEGILEAYAKSWVSDALDRAAIRGSIAYTLVAHHLSSFIFGPCPTEKLLLRNKLARSLLRDYAGKQRHEEMFLNLIRYNKQPTSDSGEKLDGEKSLLEARLEVLVEACEGNSSLLTQVKKLKAVLEKSSL >OIW21528 pep supercontig:LupAngTanjil_v1.0:Scaffold_143_83:31952:32854:1 gene:TanjilG_06142 transcript:OIW21528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLAGLIDPEMNHKKSFHRRNDSTELDVFEAARYFSGYNEAISYNNSTFSQKNMREERHANRTRISLDMPMRTLLPQQFHGMEKQVVMKEKIHKTPSSPGGKLASFLNSLFSQSASKKKKSKSSSQSMKDEDESPGGRRRRRSSISHFRSSTNADAKSLYSSLSSGFRTPPHIQTPTKSCKEFRIFSDHKHAAKHNNGHVKPTTTLQNELKGEPTLLDDSYKHGSLLSEKQKIWLEKYSAEEKGVRKFNEVDDGADSDSSSDLFELPNYDLGYCSSGLPVYETTNMGNIKRGAPISNGPM >OIW21530 pep supercontig:LupAngTanjil_v1.0:Scaffold_143_83:54078:56190:-1 gene:TanjilG_06144 transcript:OIW21530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHEEKVTMDLVPPSDHLCYVRCNFCNTVLAVGIPCKRLLDTVTVKCGHCSNLSFLSTRPPYSPQNQTIDHTLTLQGFYGDSKKGRASSSSSSSTTSNESVSPKAAPFVVKPPEKKHRLPSAYNRFMKNKKICGKGDVGRDWKNAIMHHYLSFN >OIW21534 pep supercontig:LupAngTanjil_v1.0:Scaffold_144_12:16010:20805:1 gene:TanjilG_06152 transcript:OIW21534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLHSRRRRRHESSPGNFPPLFPPSISIPSSPLLHRTRHESSPGLPFPPLSPPPPVPESLHNHHESPSPLTSRNRRDSYPGPFPSFLSPSSSDPPTLTYHTRNETCPGPFFDTTPYHHESDDPSSTSSSPFYHYTNKSTLDLYGHEQEHELSWPFDKLSVDGLDHDDIKETAYEIFFTACRSSPGFGGRSAITFYSKHDNNGNEGTGSSVPVSQTSRVKRALGLKMLRSSLSQRIMMVSDGEGGGGGNGSGGGRWSSAPSSPMSRAMQSPGRPRRQMTMADVMRVQMRVSEQSDSRLRKTLMRTLVGQLGRQAETIILPLELLRHLKPSEFNDSHEYHLWQKRQLKILEAGILLHPSIPVENTNTFAMNLRDIIRSAEAKPLDTGKNSDTMRTFSNSVVSLAMRSPNGAPTNICHWANGYPVNIHLYMSLLQSIFDLKDETSILDEVDELLDLMKKTWSTLGINRPIHNVCFTWLLFQKYVVTGQIEPDLLCASHAMLTEVENDARKEKESLYVKMLASVLSSLQGWAEKRLLNYHDYFQADTIGQIENLLPLVLAASKILGYVTNLDGGEQEKGDKPTVDFSGDKVDNYIRSSMKNAFYKIMEASNANYVEFGTKKDISQVMLKIAQEIEDLAMNEKDNYSLILKKWHTTADAVAALTLNNCFGDVLTTYLSEMTSLTVEVLQVLQRAKKLEDILVQMVVEDCADCEDGGKTVVREMVPYEVDSTILNLMRKWIDESLNKGAYCLQRAKENETWNPKSKSEPYGKTAVELMNFAKITVQEFFRIPTEISEDLVQELAGGLEKQIREYTMFVAACGLKENYIPSLPSLTRCYRDSKLQKLLKIASPCSVSCEEPHLLGIDVDNHPHSCTSRGTQRLYIRLNTLHYLLTHIASLDKSLTLTPGIVPSKRHGVANKQTTKGNNNTSYFESANSSIQAACKHVSEVASYRLIFLDSAFFLYDGLYVGDVANGRINHALTILKHNIKLMTAILTEEAHALAVKEVMKASFDAFLMVLLAGGASRAFNESDHQIIQEDFQRLKQIFHTVGQGLVAENVVEKEGEVVEGVIGLMGMSTEQLMENLSIVTSETSGVGTIGNGHKLPMPPTTGKWNREDPDTILRVLCYRNDRAANHFLKRTFQIPKRR >OIW21533 pep supercontig:LupAngTanjil_v1.0:Scaffold_144_12:10570:10943:1 gene:TanjilG_06151 transcript:OIW21533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNMFYDIQSENMRHDPRHTVRKTGQGVYPPRSVPRSSVMLMRQLSRQDPCSSVILAAPCSMLMHPDGWFGAPRSRRLGQRMMRQAPGAKLIS >OIW21535 pep supercontig:LupAngTanjil_v1.0:Scaffold_144_12:29459:31579:-1 gene:TanjilG_06153 transcript:OIW21535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVSASRSPSLFSLLFLSSFLLVSQIHASSESVTLPFLDGLSYNYYFLTCPKIERIVRKHLEDVFQKDSGQAPGILRLFFHDCFAQGCDASILLNGVGQPDEKQNFANIGLRQEAIQTIENIRTIVAKQCLRVVSCADILVLAAREAVRQSGGPDFDVRLGRKDSLTFNVSAPNNLPAPFARTSDLLKIFGDRKFDTTDVVALSGAHTFGRAHCTSLVNRTIEDNPPIDPDFKNKLKATCPSNANPSTTVDLDNITPTKFDNVYYINLLNKQGVFTSDQDIAQDPRTKDIVRSFATDQKLFFNKFANAFVKVSQLGVLTGFQGEIRKTCFATNSGNGLDGIKSVVEMAEMF >OIW21537 pep supercontig:LupAngTanjil_v1.0:Scaffold_144_14:50908:51459:1 gene:TanjilG_06158 transcript:OIW21537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPSTFLSILFTLSLIFISHSSLSASIINFKLYQVVCIQYGIRVSPNIKGCLEALQSDPRIPSAENYIELSIFILEQAIKNSTLSQIFLKNMMKTDPSPAIKECATQDYTGIINAFENALANVLHDPQTAINYLHRDLGYAEGKCSAALKDDPKPYFEVNVLISHVYFYTAVAELSLNHLIDQ >OIW21536 pep supercontig:LupAngTanjil_v1.0:Scaffold_144_14:23507:24016:1 gene:TanjilG_06157 transcript:OIW21536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFSLFFTLSSILIISHASGSLYEELCKASGPDNTRCLELLKSDPKIVQAKDYGELSKTILQFGVKKGIEGQNYMKEVMKTNPSSAAIKQCATVLYDGVVGSFKSSLGELEMDPMTANYDAKVAGDGPTTCDRALAAEKTNNPKIAAINSNILLISKLAYLATDKIPQA >OIW21531 pep supercontig:LupAngTanjil_v1.0:Scaffold_144_3:3806:5569:-1 gene:TanjilG_06149 transcript:OIW21531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLQNFVGVNGGIKLPVGYRFCPTDEELVIHYLKRKVFSEPLPGSVISDFDVFHTVPWNLPGDSKEKRYFFSNRKGHVCGNISKVAIGSGYWKSIGKEKQIVASESRQVIGMRKTLIFSKGKCSNETRTRWFMHELRLVGSAASFYPFQMPVADFAVYRVFQKKKKLKTKGSNEKLISSWKVENIKPSFIDFTDEYGDDIGPPPPCSPCLSEGSEIISSI >OIW21538 pep supercontig:LupAngTanjil_v1.0:Scaffold_144_32:51514:54204:-1 gene:TanjilG_06159 transcript:OIW21538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTNNCKKHYVLVHGACHGAWSWYKLKPLLESAGNRVTILDLAASGTNLEKIEDVNTASQYSEPLLEFIASLPQNEKVVLVGHSLGGLSIALAMDKFPEKVALGVFLTAFLPDTEHKPSYVLEKYIERASEGGWLDTEFYPSGNKLAMLFGPKFLSSKLYQLSSTEDLELAKILLRPSSLFVEDLSQENNYFKHGYGSIPRAFITCTEDLGIPLEFQLWMIQNAGINHVEEIKGADHMAMISKPREVCDSLLLIASKYA >OIW21539 pep supercontig:LupAngTanjil_v1.0:Scaffold_144_33:24017:29444:-1 gene:TanjilG_06160 transcript:OIW21539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHATFLFGILALWSALSVIAEDRYQFFTWEVTEGTIFPLGVPQQGILINGQFPGPAIEAITNDNIVVNVINKLNEAFLITWSGIKQRRTSWQDGVSGTNCPIPPNTNWTYKFQVKDQIGTYTYFASTKIHKAGGAFGALNVAQRSVIDTPYLTPAGEFTLLIGDWFKNDHKVLRRILDAGKGLPFPDALLINGKKDAVVFTGEAGKTYKFRVSNVGLATSINFRIQGHSLTLIEIEGAHTLQEVYESFDIHVGQSATFLVTLKGSPSDYYIVASTRFTEPIVLTTTATLRYSGSNKKASGPLPIGPTTDVEWSIKQARTIRLNLTANAARPNPQGSFHYGTIPILRKIRLENSKSTINGKLRYAVNGISHINPNTALKLADWFNIPGVFDFTTIKDFPLHAGIPAKLGTSVFAITLHDFVEIVFQNNENSIQSWHMDGSSFYVVGYGNGAWTEDKRQLYNLVDGITRYTTQVYPNSWTAILVSLDNKGMWNLRSAIWERRYLGQEVYLRVWNNEQSLYTETNIPENALFCGKAKHLHRS >OIW21540 pep supercontig:LupAngTanjil_v1.0:Scaffold_144_47:8775:13784:1 gene:TanjilG_06164 transcript:OIW21540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTTQLVSLAPLFQETLKKILEIVDKARKNKRTRLVLRSTLIDLNPLLQEIKKYNENLDPPREEIKTLIKVKDARENGFSKFWSRIWCKEEDSTVVGSTNVDDDDDKQALVMAKDVKDTLIKVREILKVLSGKNFDEIFIRGPTKGPCSVPENQEFSVGLDEMLVKLKMEVMKDGGVSIIGLTGLGGSGKTTLATKLCWDTQVKGKFGENILFVTFSKMPKLEIIVERLFEHCGYQVPEIQSKEDAINELGFLLRKIGQSPILLVLDDVWPGSEPLVEKFKFHLSDYKILVTSRVAFPKLGTPYILKPLRHEEATALFHHIALLEGNKSNVPDEDLVRKIVRGCKGSPLAIKVIGKSLSHQPYKFWQTMVEELSRGHSIVDSNKKLLTCIQKILDVLEDKSIIKECFMDLGLFPEDQRIPVSALIDIWVEVYELDDDGKEAMDIINKLDSMNLANVLVARKNASDTDNCYYNNHFVVQHDLLRELTIYQSTQEPLKQRKRLIIDVNENKREWWLDEKQQGMIAHIFSKFLRFCVKSKPLKVSARTLSISNDETCSSDWSNFRADEAEILIFNLQTKEYSFPDFMEKMSELKVLIVTNYGFHPSELDNFKLLGSLSNLKRIRLERISVPSLATLKNLKKLSLYMCNMSQAFESNTIPVSDALPNLVELSIDYCKDMVELPTGVCDITPLVKLNITNCHKLCALPEEIGNLVNLELLRLSSCTDLEWLPDSIGSLSKITLLDISNCLSLPSLPEDIGNMSNLRNLYMTNCARCELPYSVINFENLKVICDEETAASWEALNPNLKIEVPQVDVNLNWLHAI >OIW21541 pep supercontig:LupAngTanjil_v1.0:Scaffold_144_52:2224:3057:1 gene:TanjilG_06168 transcript:OIW21541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSNSPCAACKFLRRKCTQECPFAPYFPPDNPQRFSCVHRVFGASNVAKLLNELNPSQREDAVKSLAYEAEARLRDPVYGCIGYISVLQHKLRQIQANIHSAKKELSTYLNPQAMQALQAMLENPNMIQPNGLVMPQQHQMGNPFQANIGFSPYGNTNVMVAPHNQMAIGDQRQDLLEAQQLAAEQEFLRLNGLEGMGGSGGDNGFNQMGVGPELALGTFDNVGPYEIQQQQGEHHHHHQHHVEEQLLLLPQQTQPQEHQLSLQQPQGGDRRSVGP >OIW21542 pep supercontig:LupAngTanjil_v1.0:Scaffold_144_57:8240:12264:1 gene:TanjilG_06169 transcript:OIW21542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQWWRSATSHLRTAASNHHNLPSPLSTFRTAPYHTIQAIPRDFTGSRVSARDRLQGRIPAVVFFQNLLEKDPASRSASKKHLLTVEKKQIKAILDSPEAPFFCTTRFPLQIRAGSGSKHLLESGNVFPIKIHRDEESGKILNLVFVWAEDGMDLKVDVPVVFKGEDVSPGIQKGGILNRIRPSLKYLGPSEHIPSKIEVDVSNLDIEDKISMHDIELHSSLKLLSKNENIPICKIVPTSLRNQDPLVSN >OIW21543 pep supercontig:LupAngTanjil_v1.0:Scaffold_144_57:19470:24307:1 gene:TanjilG_06170 transcript:OIW21543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRWLPTLSKRSRKSPPRRWQNDAEEDATTAAPSPTSIRSFASVVPQPLPLPELSSSAPTSTLLRQQRDWDCRLPSPKDLPSPTGVAGFRSLEERDATVVDGAATTGFRMRSVFASQETRRNMEHAESRSSRMVHQDHGGSESARDNYLVSVPARSASSSPFASPQKTGNGDFVPYYYVTPKGKQFWSAPEMPTSEAGQPPPAFFDLFALGGETSPPHQSPPQIRSPQRHLRSTSGPSSPIHSMLSFETPAARRESSVPLSVHPLPLPPGAALPSPSAAATSFSHAAAKSESFPMKSQWQKGKLIGRGTFGSVYVATNRETGALCAMKEVELYPDDPKSAECIKQLEQEIKVLSHLKHPNIVQYFGSEIVEDRFYIYLEYVHPGSINKYIRDHCGAMTESVIRNFTRHILSGLAYLHSKKTIHRDIKGANLLVDSAGVVKLADFGMAKHLTGYEAELSLRGSPNWMAPELMQAVMQRDNSSDLAFAIDIWSLGCTIIEMFTGKPPWSEYEGAAAMFKVMRDSPPIPETLSCDGKDFLRCCFKRNPAERPSAAMLLEHRFLKNSQQPDVSSSTQLYNGTSFMDKTHSSRALSINKSDPMSIPCAKIAKVKAAERRGFLVPSLDILPSYWDQ >OIW21544 pep supercontig:LupAngTanjil_v1.0:Scaffold_144_58:12613:22009:1 gene:TanjilG_06171 transcript:OIW21544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQSSINHDSLLSDSYPYLEYAEIVVVRHGETAWNADGRIQGHLDVELNEAGRQQAASVADRLSKEPKISAIYSSDLHRAFETAQTIASRCGGLEVIKDYDLRERHLGDLQGLVSREAAKTNPMAYKALISKNEDQELPGGGESIVQLYDRCTSALLRIGSKHKGERIVIVTHGGFIRSLYKWACPNGRPSGKIHNTSVNVFHLYDDDKWTLKVWGDISHLHQTGYLQSGFGGDRTSA >OIW21532 pep supercontig:LupAngTanjil_v1.0:Scaffold_144_9:3387:6957:-1 gene:TanjilG_06150 transcript:OIW21532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVSSASNLFVVGGEWKPSWTAGAAEEAEAVAAIPCSGHGRAYLDGLVLNGHEPVCECNPCYSGSDCSKLSSDCAANAGSGDPYFLEPFWMKHAASSAVLVSGWHRMGYSYSDGTYISELLVQYIRKLHETAGNAVTEGKYIIFGGGSTQLLSAAVYALSPNSSVSPAKVVATAPYYSVYRTQTQFFNSRDFSYEGDTTLWKNISKNDNTRFIEFVTSPNNPDGKLTKGLVQGSNVKTIYDRAYYWPHFTAIPSPADEDLMVFTISKLTGHAGTRFGWAIIKDEEVYEKMLDYVGLNTMGISREAQLRALKLFDVAVEGEGREIFEFGYTTMRDRWMRLKQVLSKSSRFSLQKLSSQYCSFFKRDRDPTPAYAWVKCERQQDKNCNDVLVAAGINGRQGSVYSADNRYVRLSLIRSQDDFEILINKLKTLVAKDQ >OIW21559 pep supercontig:LupAngTanjil_v1.0:Scaffold_145_25:3250:3420:-1 gene:TanjilG_06252 transcript:OIW21559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCDSGDDGSWTRRLFAVGIPTSSLVPMKVNSSPSSLFVSYSIGFGNVGLLFGFFR >OIW21558 pep supercontig:LupAngTanjil_v1.0:Scaffold_145_25:1310:1522:1 gene:TanjilG_06251 transcript:OIW21558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWIQRKQCLSSWNQFIIYILQISCDDSVINVASGKVAGLDNVIVEHPDLEPGSDHALSKVVVTIKTSLKL >OIW21552 pep supercontig:LupAngTanjil_v1.0:Scaffold_145_3:50780:51113:-1 gene:TanjilG_06245 transcript:OIW21552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMNSVSIFLLTKVKDSGPKGFNPGLIVLLVVVGLVLTFLIGNYVLYLYAQKTIAPRKKKPISKKKLKKERLKQGVSAPGE >OIW21554 pep supercontig:LupAngTanjil_v1.0:Scaffold_145_3:65094:68591:1 gene:TanjilG_06247 transcript:OIW21554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIIEILTRVDSICNKYDKYDVQKITDSNISGDDAFVRLYAAVDSDIDAALQKAETASKEKGKASAVAINAEIRRTKSRLLEEVPKLQRLAIKKVKGLSSQEFAARNDLVLALPERIQAIPDGTPAVPKQNGGWAASASRPEIKFDSDGRFDDQYFQQSEESSQFRQEYEMRKIRQDQGLDVIAEGLDTLKNMAHDMNEELDKQVPLMDEIDTKVDKASSDLKNTNVRLKHTVNQLRSSRNFCIDIVLLIIILGIAAYLYNVLKN >OIW21547 pep supercontig:LupAngTanjil_v1.0:Scaffold_145_3:11274:14582:-1 gene:TanjilG_06240 transcript:OIW21547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTSKSRAGTSKVPQKKSPTTRKTVRKLETPKSDSDKDKIPKVIEQRAPQSPIAETKRPTKKIKDLESQIVQLQEDLKRVKDQLNSSESWKRKAQQESEEAKKQLLIVSKELEESHQQLLDLSASEEDRLQELHKISQDRDREWQSELEAVQKQHSMDSAALLSAMNEIQKLKIQLERACESEATQTYNVESAHAEIQDLKMELAETLSIVEKLKNEASGCKESESKALEVVSKMEMQLETANKTVETLRLDGIKATEAYESLALELEQSRAQAKSYEGLVNKLQADLASAANKNILGPTNEKGLTRENEEINHLKSELNSAKSEVGQLKSALDVAQVRYHEEYIQNTLQIRCAFEHLERAKSESGQREAELYEELRRAKADIEELRENLLDKESQLLSISEEKGVLNSRIEENPCSEREYELVMELKTLDDDISELKEKLLDRETQLQNITTENGMLKMEMKKDLGKSKTADEADSATAAEQEALEKLGCTTEEANEINRRVARVNEQLDAAQAANSELEAELRRLKVQSDQWRKAAEAAASMLSPGNNGKFVERNGLLDSSFNSVTGKVNSPYSEDMDDDSPKKKNTNMLKKIGVLWKKNHQ >OIW21549 pep supercontig:LupAngTanjil_v1.0:Scaffold_145_3:24413:26916:-1 gene:TanjilG_06242 transcript:OIW21549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKGNLFSIVKKALSPDSKEKKDQKSCSSKKKWFRKQKLQTLEESCSGTDKSPAFPPPEEIKLTHGEKKSSYDNVVEVATSLVVEEHVPVPVPVVQTTAVKVEGPKISRLVRKPDDKVAAIKIQTAFRGYLARRALHALRGLVRLKSLMEGAIVKRQATSTLRSMQTLARVQSQIRSRRIRMIEENQALQRQLLHRHAKELESMRIGEEWDQSLQSKEQIEAKLLSKHEATMRRERALAYAFTHQQNGKNTCRSINPMFMDPTNPSWGWSWLERWTAGQTWEVRSLMDKDPNDHSSIRRSSRNNGAEISKSFAHFQLNSEKHSPIATQNQSHPTPSKPPSPSIAKNTKKPSLKGSWVMDDDSKSMVSVQSDRFRRHSIASSSVRDDESLTSSLSVPSYMVPTQSAKAKSRTQSPLATENGELEKGSFGTAKKRLSYPASPARPRRHSGPPKVNAEFTAASSVAS >OIW21556 pep supercontig:LupAngTanjil_v1.0:Scaffold_145_3:79562:80314:-1 gene:TanjilG_06249 transcript:OIW21556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKQFQFQFQFQFLHLMLIMPILFQTISAQLSPTPSGPTNITQVLEKAGQFTTFIKLLKASQVSDRINAQLNNSNQGLTIFAPTDNAFSSLKPGTLNSISTQEQVQLIQFHILPTLYTISQFQTASNPLHTQAGSSDDGEYPLNVTTSGNQVNVTTGVVDTTVSNTIYSDSQLAVYQVDQVLLPLALFGAPPTAAPAEAPAPTKPEKNVRASDAPSGSDSSVDASDAVGLDSLMKGVTFIVAVIIAIMIV >OIW21553 pep supercontig:LupAngTanjil_v1.0:Scaffold_145_3:54581:57282:-1 gene:TanjilG_06246 transcript:OIW21553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFEGEPDQNWNHMHADQHHLLPGMPNTSENGSLIYPVENMSTDSVSSPSRWNSTTRSNGYATSSLNVEVPPHQWVASDTSNNHFMHSSSAGAFFAVSENYAHHPSSSNYDGQAFHINDGFIDLTRGSRRGPRKRKSPEIPPVFERGSTSRYFNAGSSTDLPISSELRPEKPNIDYQYMPWDHATLTPTFRGGGLSIRGESSLRNVRSRSALDLESNIARTHLSSNHSHNYSASLPVEHSSMVDPSGQTSSVLARDWSQRSISPARGRPLLADTSAFSLEASHFLVGSGATASNASVDVGAYHQEQPSTSRNPTAPQSFHNNPTQAVRGVRSHYSQRSTPTFRASSGLCLGYVTPSDDGLPVVAENYTSRHPRPRSSTISRWRNNDRNGRSRISSDRYQSLVDEELLNLGERIGHVSTGLCEDLLSKCLIESIYCSSEQSQDEEACVICLEEYNNMDDVGTLKTCGHDYHVSCIKKWLSMNKLCPICKDSALSEDKKDNN >OIW21545 pep supercontig:LupAngTanjil_v1.0:Scaffold_145_3:1613:5907:1 gene:TanjilG_06238 transcript:OIW21545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDAAAVVQNRRLSIIDVSSADDSLILPLSTLSENEEQLDIFYTPNSKMFEDATTKFEHWDRKLNSNETTPSERENPKKNTKCNLRKSLAWDTAFFTNAGVLDAEELSSIIEGVEKDEKRENEEDMYKSCDSISTLGSDSLTFDESVEGDLFEDIRASIQKSCKKSKLASDHCKLPSGISGLQIDSSSKKVGMPSRNKMKAQPTSKIPTPSAGMQGLGKMTKRNPIVPQLPQKPVAMRRESSISRQSKVAGKSSLSSSSNSSSIISAKKVSIGDIHDKNEKDKAKRIIGGRVSSMSKASVIGGSRVNVPKPTPPAKSPSGPSVSTKTKSTTFSPSANNSSVGKSPSNSIKRKVGTGTLKLPSSISTVRTPSRIASSNKIDTGNSSLSRLTPVTKLSSSISPTSSVSDWSSELSSSTFMTNHMCDSSRTSIDSCSSRKILSDNEADQGTNSQVLQSNSLEGQEITGFISQTARNSPGGTVLPPARVKPSGLRLPSPKIGFFDGVKSSVRTPRGATQPHPVVPRGLRKHGVGSPSKCQNKAKLGKLQAVRSIVSIESRKPNNEQVNDLHPLNGVNDQESAQYGNQVDCLSKQVGLMDINFEKGEKLNGDSLFFSQPDISFEDKSNELVISSHKELLKNSSTPCLSISPTSFDMAASIRTPLAVKDSFYNMDESVFTESAVPEVKLTNLAIVESIMEENN >OIW21548 pep supercontig:LupAngTanjil_v1.0:Scaffold_145_3:17402:22809:1 gene:TanjilG_06241 transcript:OIW21548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTSWSEELASLVEDPGVRFTGDPNPTASFKTTSFDASNVESEESLKDQAIGFLMAWCEIVMELGRGCRDILQQNLLNEDSYVVRKIGGPCSKVSKRLSFFNHFLPEDRDPLQAWFVVFSVFVLAFAAISVDLNRDPLTKVMKVAMHPPNASRILLPDGRYLAYHDQGVPAGRARFSLIAPHSFRSSRLAGIPGIKPSLLEEYGVRLVTYDLPGFGESDPHPGRNLNSSAMDMLHLANAVNVSDNFWVLCHSSGCVHAWASLRYIPERIAGAAMLAPMISPYEPHMLKDELKRTWGRWLRRRQFMYSLAYRFPKLLSFFYRKSFLPENHDRIDKLLSFSLGKKDEILIEEPAFEEFWQRDVEESVRQGKVQPFIEEVVLQVSKWGFDIEELHVQKKCQTRGILLWLKSMYSEAECELAGFLDPIHIWQGLDDRVVPPSMTEYIGRVLPEAMIHKLPNEGHFSYYFFCDECHKQIFSTLFGTPQGPIEQQKETAFEENNEDVLHKSSLFNIE >OIW21555 pep supercontig:LupAngTanjil_v1.0:Scaffold_145_3:73664:78476:1 gene:TanjilG_06248 transcript:OIW21555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEMLYTIFILNFVLLCQFIFLQPLVSASGGKPENSAELFERVSESIKVKQYTEALDDLNAAIEADPNLSEAYLFRASVLRQLCRYDQSERSYKKFLELKPGHSAAEKELSQLLQAKSALETAQSLYDSGNFTKPLEYIDKVVLVFSPACTTAKLLKVRLLIADKEYEGAVAESGFILKEDENNLEALLLRGRAFYYLADHDVATRHFQKGLRLDPEHSELKKAYFGLKKLLKKSKSAEDNVGKGKLRVAVEEFKSALAVDPDHLAHNVHLHLGLCKVLVRLGRGKDALSSCSEALKINEGLTEALVQRGEAKLLTEDWEGAVEDLKSAAQKSPQDMNIRETLMRAEKALKMSKRKDYYKILGISKTASAAEIKRAYKKLALQWHPDKNVDNREEAEAKFREIAGAYEVLSDEDKRVRYDRGEDLEDAGGMGGGGGFNPFGGGGQQYTFTFDGSFPSGGGFGGGFPGGYEFHF >OIW21557 pep supercontig:LupAngTanjil_v1.0:Scaffold_145_3:82459:87060:1 gene:TanjilG_06250 transcript:OIW21557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNNNNNTASNGVMSPASSSAAAATVGLKTYFKTPEGRYKLQYEKTHPSALLHYAHGKTVTQVTLAHLKDKPAPSTPTASSSSSFSTSSGVRSAAARLLGGSNGSRALSFVGVNGSSSKSNGGTTRIGSLGASTSSTSMANPNFDGKGTYLIFNVGDAIFISDLNSHDKDPIKSIHFSNSNPVCHAFDQDAKDGHDLLIGLSSGDGGDGAFAVAHADGNLYNKDSAGDSSFPVIKDQTQFSVSHARYNKSNPIARWHICQGSINSISFSNDGAYLATVGRDGSLRVFDYSKEFLVCGGKSYYGALLCCAWSVAPLGTLQPAPSMRDVPKISPSVAHHAYTEPLSGLIFTQESVLTACREGHIKVWVRPDIVESESINSEALLATSLKDKPSLLSKISNSSYK >OIW21551 pep supercontig:LupAngTanjil_v1.0:Scaffold_145_3:48061:49444:1 gene:TanjilG_06244 transcript:OIW21551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYMEYKSTFITCFFLFLFLNLPYFSIGVPQYEALDSKIYEIDYRGPETHTSVPPPHDHSHGLMGSNAIKEDKVKKVHG >OIW21546 pep supercontig:LupAngTanjil_v1.0:Scaffold_145_3:7589:8462:-1 gene:TanjilG_06239 transcript:OIW21546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAARKLKSHRRRQRWADKSYKKSHLGNEWKKPFAGSSHAKGIVLEKIGIEAKQPNSAIRKCARVQLIKNGKKIAAFVPNDGCLNYIEENDEVLIAGFGRKGHAVGDIPGVRFKVVKVSGVSLLALFKEKKEKPRS >OIW21550 pep supercontig:LupAngTanjil_v1.0:Scaffold_145_3:40756:41736:1 gene:TanjilG_06243 transcript:OIW21550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLSSKPISSPGRTDKFPPPLMRFLRTNVGSKSKGRSRSKSNSRTMFLRKKNTNIETTQEPSSPKVTCMGQVRVKRSSKSKQPNTTTTTRAAASDGAPARCPCLWIKKNAFSIKTCKCRCKPFWPKWGFLFCVGRFRRKSNKMKEASTKTELETEHVDKEQDKSEYEKRAMNGDDFSSFASNSSTPPINALLLTKCRSAPYRSSSLASRFWGSPLRNEEETEEKQGNENENRGSSYSENELPHLERNSVSEEESKRVSENEEKLVFFKELEDSLVRDRVGSMNKTEKVEGLKKRENGEGDYEAPCPIVLTRCKSEPARTGFKLILR >OIW21560 pep supercontig:LupAngTanjil_v1.0:Scaffold_145_51:1281:2687:-1 gene:TanjilG_06253 transcript:OIW21560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMSDDNGVVNHVAMLPSAGMGHLTPFLRLATFFLSHNIKVTLITPHPTHTPSESQLLSRFQSSFPQVNQINFNVESSLSTQSPNSHIAIPYFQMIDDIRTFAAKALSHLLSSLSPPLSFFVSDYFMLSSVLSITQSISLPNYVLFTSSASFFALFSYFSTLPSSLSEHETVEIQGIPPIPISSISPYLLVPNSIFKKVFIFIEDSSQITKFDGFFINTFEALEQQLLEAVNASKVLPGMPPLLPFGPFVPCEFEKEGDQWRKPLKWLDDQPRGSVVFANFGSTTEFGWDQIREIADGLVRSGIRFLLVVKDKKYFNEDDKKEEAGLQEVLGYELVDRIRDKGLVMKEWVYQSGILSHEAIGGFLSHCGWNSIVEAAWNGVPIFGLPQRGVQKMNAEVVERSGWGTWNKNWGWIGECLVKGEEIGDAIKVFMNKESFKIKASKIKVAARKARSVGGDCEVTLHKLFKK >OIW21561 pep supercontig:LupAngTanjil_v1.0:Scaffold_145_56:2161:3337:-1 gene:TanjilG_06254 transcript:OIW21561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMSDGNGVVHHVAMLPSAGMGHLTPFLRLATFFLSHNIKVTLITPHPTHTPSESQLLSRFQSSFPQVNQINFNVESSLSTQSPNSHIAIPYFQMIDDIRTFAAKALSHLLSSLSPPLSFFVFDYFMLSSVLSITQSLSLPNYVLFTSSASFFALFSYFSTLPSSLSEHETVEIQGIPPIPISSISPYLLAPNSIFKKVFIEDSSQITKFDGFFINTFEALEHQLLEAVNAGKVLPGMPPLLPFGPFVPCEFEKEGDQWRKPLKWLDDQPRGSVVFANFGSTTKFGWDQIREIAYGLVRSGIRFLLVVKDKKYFNEDDKKEEDGLEEVLGYELVDRRQLGMVYQFLGGLNEGIKR >OIW21562 pep supercontig:LupAngTanjil_v1.0:Scaffold_145_61:69:1413:-1 gene:TanjilG_06255 transcript:OIW21562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSTSNVQSKKQHQRMNPFQKRQFTTIIGNQAKGAMSEQICKKKKITKKCPSMSLDNNQEYEDEHEGEDEHEGEDEQEEGVQEGEDREENDEIYEVGEDINHQNEQVDESNNSTEQVARSSDFCPLVYTNWKAVPDKDLIWAYVKQKYIIPDVGKRVVFAIRNDAWRRHKCVIKEEHFSKYKTTYERLNNRPKDIPESHFKELIRYWSLRNIQEMSEQNSKNKAQQKWRHRTGPVNFGVIRERLVWYFNYKRNYLY >OIW21564 pep supercontig:LupAngTanjil_v1.0:Scaffold_146_2:21349:21528:1 gene:TanjilG_06306 transcript:OIW21564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLEVVLYGGSVIYTRGGSWWFAMAFRFEASLLTMQQDESLSKRAHDAPETHYITSHA >OIW21563 pep supercontig:LupAngTanjil_v1.0:Scaffold_146_2:15214:17470:1 gene:TanjilG_06305 transcript:OIW21563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTKQQSKEAEAAAKVAAKDLEEVNRTRDHDLGSVDKQQQDQQKPGVIGSVLRAVQGTYENAKEAVVGKGDHPVEPNTTRTEVVYVTNDDDLGTGEVRDISADKSRGVYDSATGKAKEYKDYTAEKLKEASDKAGSKVGEYTDYATQKAKEAKDTTANKAGEYKDYTAEKAKEAKDVTKNKAGEYKDYTAEKAKEGTNYTAEKAKEGKDTTVGKLGELKDSAAGVVKRTIDYLGGKKDETVNKTAETWDATKEKTADAAEAAKNKTAETWDATKDKTANAAEAARQKASETAEAAKNKTAETWDAAKNKSAGAAEAAKNKTADAAEAAKQRAWEAKEAAKNKSADAAEAAKNKSADAAEAAKDKTSETTEAAKQRAWEAKEAAKNKTSETTEAAKQRAWEAKEAAKNKTSETTEAAKQRAWEAKEAAKNKASETTEAAKETVGGNDEETRRKMEGLNIGGKEYIDGRAVARGGDKVTIKVEENRPAAVAETLKAADQMTGQTFNDVGRLDDEMEDEIVTTRLDRRT >OIW21566 pep supercontig:LupAngTanjil_v1.0:Scaffold_146_40:31281:31646:1 gene:TanjilG_06308 transcript:OIW21566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAYLLIAVYQAKREARALKSGRTIKEIFHSKEEYEDEEEEEDEDEDEEEEEEEEEEEAMIPNIVWYSIDVVVPVPEHVITPHVEEHRAREEPVPYTPGSSSIPTPRWRMTPISPTLQNLV >OIW21568 pep supercontig:LupAngTanjil_v1.0:Scaffold_146_40:85740:86165:-1 gene:TanjilG_06310 transcript:OIW21568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGRSRFLSFPLVIGAVIIGVVSGKAIFGVPLEEYWKKKHEEEAAASAAKENDSTSS >OIW21567 pep supercontig:LupAngTanjil_v1.0:Scaffold_146_40:79595:83894:-1 gene:TanjilG_06309 transcript:OIW21567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDRIEEDEKEKESLNSLPPHRKAHSYSQQLRGPSTHKRQHQIRKHSLDDDRLIKSSLYDSDSDDDFFKRSSSTTTTTTNNNSVVVDEHGSDYSSSLNQSQCKETLHEFIGSGGTTGIFRAPIRAAVHPSRPPCLELRPHPLRETQVGRFLRNIACTEAQLWAGQECGVRVWEFHNAYQPGNGLGGKVRRGDEDAAPFYESVDTSPTLCLTIDNANRLVWSGHKDGKIRSWRMDQNPFVTPFKEGLSWQAHKGPVLAIVITSFGDLWSGSEGGAIKIWPWESVEKSLSLSPEERHMAALLVERSFIDLRSQVTVNGVCSISSQDVKCLLSDHVRGRVWCAGPLSFSLWDARMKELVKVFNIDGQAENRVDMSSVQQDQGGLVEDEMKVKSISTSKKEKSQGNSFLQRSRNAIMGAADAVRRVATKGAGAFVDDTKRTEALVQTSDGMIWSGCTNGLLVQWDGSGNRVKDFSPHPCAVQCFCTFGTRIYVGYVSGIIQILDLEGNIIAGWVAHNCPVIKLAVGNGCVFSLATHGGIRGWNIASPGPVDNIIRSELAAKELIYTRQHNVGILIGTWNVGQGRASQEALHSWLGSIVSDVGIVVVGLQEVDMGAGFLAISAAKETVGLEGSAMGQWWLDAIGKALEEGKAFERMGSRQLAGLLISLWVRKNLRTHVGDIDAGAVPCGFGRAIGNKGGVGLRIRVYDRIMCFVNCHLAAHLEAVNRRNADFDHIYRNMVFSRSSNLAAGVSTAVHTLRGTNALGVTSEEPKPELSEADMVVFFGDFNYRLFGISYDEARDFVSQRCFDWLREKDQLRAEMKAGKVFQGMREALIKFPPTYKFERHQPGLGGYDSGEKKRIPAWCDRIIYRDTRSAPVSECNLDCPVVSSILQYDACMDVTESDHKPVRCKFNVKISHAERSVRRKEFGDIMTSNEKIRSMLDELSYVPESTVSTNNIILQNQDTSCLVITNTSKKDEVVYKITCEGQYSVKNDGEAPNYSPRGAFGYPRWLEVTPAAGIIKPDQNVEVSVSHEDHHTSAAEEFSDGIPQNCWSEDTIDKEVILVVHVQGSSSVQTYDHKIHVRHCFKAKPVRIDSSKSNNARKNQVT >OIW21569 pep supercontig:LupAngTanjil_v1.0:Scaffold_146_50:5025:6276:1 gene:TanjilG_06311 transcript:OIW21569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGDEEKEQLFPVYSNRSQKDMSAMVSALVQVIGGTQNSVHEKDSLTSSEIQQSQPSQDQGNIRRRHYRGVRHRPWGKWAAEIRNPKKAVRVWLGTFETAEAAALAYDQAAFSFKGCKAKLNFPERVPITNNTQFVSTTENFYPHPPNYSSPSQLHGAVSSCSSSSSGLVSQQNQEELVQFSMQFGASSCTSEPARKSEMDGTL >OIW21565 pep supercontig:LupAngTanjil_v1.0:Scaffold_146_6:28234:37093:-1 gene:TanjilG_06307 transcript:OIW21565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDKQEDESSDFTSEDEGTEDYRRGGYHAVRISDTFKNGRYVVQSKLGWGHFSTVWLAWDTQYSKYVALKVQKSAQHYTEAALDEITILQQIAEGDLDDKKCVVKLLDHFKHSGPNGQHVCMVFEYLGDNLLTLIKYSDYRGMPINMVKEICFHILVGLDYLHKQLSIIHTDLKPENILLLSTIDPSKDPRKSGVPLIIPNTKDKTVLESRAAKETKTSNGELIKNHKKNIKRKAKQAAHGCVGKDTSEGVDGNHEASGAVECSPTASSSREQASSSSGTGQLLHADGTKSKEQGNKRGSRTMRQKLLASADLKCKLVDFGNACWTYKQFTNDIQTRQYRCPEVILGSNYSTSADLWSFACICFELATGDVLFDPHSGDNFDRDEDHLALMMELLGMMPRKIALGGRYSRDFFNRYGDLRHIRRLRFWPLNKVLMEKYDFSEQDANDLTDFLVPILDFVPEKRPTAGDCLLHPWMNAGSRLLEPSVPSSNHNRAADAAISDQKIKDKDEREALEAGMGNIAINSDAKQLLPSPSKKAFQGSHK >OIW21571 pep supercontig:LupAngTanjil_v1.0:Scaffold_146_80:43610:51720:-1 gene:TanjilG_06334 transcript:OIW21571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGRSHRPHNTDPPEDWGDGSWTVDCICGVTFDDGEEMVKCDECGVWVHTRCSRYVKGEDTFACDKCKGKTAAAASAMHNTEETEVAQLLVELPTKTISMENHNKHNQIAAARVASSRSRLPLKLWNEKPIEDRVHVQGIPGGDPAFFAVQGKPSIFGPQLWKCTGYVPKKFNFQYREFPFWNSDNDNDNGAGVLFSFSKDTGTVLSPPVAALGDMKSDEKTMEAKALKEVNMVGSEDVLNVQNGVNKERTFLRPFVVHSSKKKKEELGPSQSKDRSGKQQRVKVTEKEDDPKRRSLHSSKTEFMPASDAKQLESCEERGLKILKADTQSIKNKNVKDTVVKEHYSNDHFVVDTIMEEPNNNMATTEGSSDALHPDTSRHDFSVGDALAEEKTGHKAPGLVEMPSKMDHTVTSVLKPDSVGNASIKEKDGDFLVVDNADNDLCSSAPELRDNRVSQELDCNLHPISAKCKVKLKSEDDDDDICRKRPKFHCSPVNDLKNNEKPSDHISDIGNANDAVVTSLTPHEEKVGVFERVLEAIANDHSKKVDALSGDVCHEKQEPEGFEGSVTAQNGFSEAKDGSGSAKDPLKAEKLECPHKLLAFPRKASPTSSTTNSKSLARALKSDDTEIPNPRTKHAVMADCNINSKNERCPSDAARDGLSRKSVKERPKSSLNSNVKGPHASMSIQSSVSKQVTPDAGDSVHCSSSKASFVYQAASILGSSETNASSNHQKALQVQNKISFSDQQRVEKPNQTNVHPSSKLNQNHAMNPSPLSNSSMLSDEELALLLHQELNSSPRVPRVPRARHAGNLPQLTSTCATSIPMKRTSSVGGKDHSLVPRRKYKDVSRDGSCSSRELEDEGKRIEKEKVPFSSDRRKQDMTYVEDASAKEECLASTSAINSTNNVVSAIAKSSSPSPPEDQNLSSVRNSPRNISDDDTATVRRPVHRTLPGLINDIMSKGRRMTYEELCNAVLPHWHNLRKHNGERYAYSSHSQAVLDCLRNRHEWARLVDRGPKTNTNRKRRSKHDTEESNDDGNGKERTAKEVEGADFELQREEFPKGKRKARKRRRLALQGRAVKDVRSRQKTDLLTDEDNGPLSNSSEESMFSEDEIQGGRIGPAGNTSDEAASD >OIW21570 pep supercontig:LupAngTanjil_v1.0:Scaffold_146_80:3618:11607:-1 gene:TanjilG_06333 transcript:OIW21570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMADSDFPMDNGASCLPIPPEEENRIVSELVKESELNLKDGNLYFVISNRWFSSWQRYAEPCLGMLSTDEQSSNSQHADEVHSETAARPGPIDNSDIISNENNCDNKNLDIHRMLGEGTDYVLVPQKVWERLLEWYKGGPELPRKLISQGVGQKQYSVEVYPLSLKVTDARDNSKSIVKLSKKATIKELYELVCKIKDVEQKKAHIWDYFNLKKNTLLNVSDQTLEDASLTMDQDVLLEVSLDRDCSSHSGMDSMGNELALIPLEPPRSSLSIAGGSAMSNGHSTGSSFNLYQGSSSGSSLTSMGDKNGDVYRGERGGLAGLQNLGNTCFMNSALQCLAHTPPLVEYFLQDYSEDINMDNPLGMHGELALAFGDLLRKLWSSGQTAIPPRVFKGKLARFAPQFSGYNQHDSQGELALAFGDLLRKLWSSGQTAIPPRVFKGKLARFAPQFSGYNQHDSQELLAFLLDGLHEDLNRVKQKPYIEMKDSDGKPDEEVACECWKNHMARNDSLIVDVCQGQYKSTLVCPVCGKVSITFDPFMYLSLPMPSTVTRTMTVTVIYGDGSCLPMPFTVNVLKHGSCRDLCTALGTACCLKSDEMLLLAEVYEHKIFRYLEIPLEPLASIKDDEHIVAYRLKKGVRKMKLEIMHRDKSITEVHERKCRKIGAGVFHMG >OIW21572 pep supercontig:LupAngTanjil_v1.0:Scaffold_147_10:5476:8133:-1 gene:TanjilG_06349 transcript:OIW21572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRPIVPLQQQARGEEVVGGGKEQKKNGAVDGKNRKALGDIGNLDLVKGVEIKPNRPITRSFCAQLLANAQAAADAENNKKLAIPNVANPKPNVADGIVAKRVAPKPAEKKVTAKPKPHEIIEINPAEEVQKHKKKDGGEKKKKSQTLTSVLTARSKAACGLTKKPKEQIIDIDAGDSGNELAAVEYIEDMYKFYKLAENESRPHQYMDSQPEINERMRAILVDWLIDVHTKFDLSLETLYLTINIIDRFLAVKTVPRKELQLVGISAMLMASKYEEIWPPEVHDFVCLSDRAFIHEEILAMEKIILGKLEWTLTVPTPYVFLVRFIKASVPDQELENMAHFLSELGMMHYATLMYCPSMVAASAVFAARCTLNKTPIWNETLKLHTGYSEKQLMNCAQLLVSFHSTIGGEKLKVLYRKYSDPERGAVAFLSPAKNLIV >OIW21573 pep supercontig:LupAngTanjil_v1.0:Scaffold_147_19:15683:18626:-1 gene:TanjilG_06350 transcript:OIW21573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLSLDHWRSYFRSKNLDIFDIIDHAIMVAASDCPKEFSLRRDGIAERLFSCKLTRCVGCDRVELAVSVGNGDDEYDNFNGGCKSGFDRDDVGVEFEAGARKESKVNGGGDKEDHGDMDVNHFSNFSFGDAEALTDEIEEESQYVREVLRIKDILLNREEESDSVLFESLRRLQLMELTVDRLKATGIGKAVNPLRKHGSKEIRQLTRTLIDGWKEIVDEWVKATTSIAASEGTPDSVNISVVDDDEEGLPSPPMDEGAFFVAQAGSIELSQFFDGIDDYGNPRQSGQFSRNRENARKPSVDSHDIEKKKLQASNQISITNKDNKSQLPTKIEADVRLNKPVTADSGPGRPPKSSMQRKSNMEPKMQPKIENTITKRPPIGQQDKSKYSDDAAAEVKLEATKRKLQERYQQAENAKRQRTIQVMELNDLPKQANVHRNPHFKPGNNNRHWGAHPRR >OIW21574 pep supercontig:LupAngTanjil_v1.0:Scaffold_147_29:9420:14633:1 gene:TanjilG_06351 transcript:OIW21574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVENTFQTNFSHREGADSLIDSKYYDDDGRPKRTGGVWITSSHIITAVIGSGVVSLAWSIAQMGWVAGIVVMLFFSVVTWYTSAFLADCYRTGDPITGPRNYTFMDAVSSILGGWNVTWCGIAQYSNLFGTAIGYTIGASISMMAIRRSNCFHDSKGADPCHMSANPYIISFGIVQIFCSQIPNIHEIWWLSIVAAIMSYTYSTIALGLGIAKVAENRTFKGTLTGVRIGDVTKDQKIWGIFQGLGNIAFAYSFSMILIEIQDTIKSPPSEVQTIKKATKISILATTFFYLLCGCSGYAAFGDTVPGNVLTGLGFTNPYWLIDIGNAAIVIHLVGGYQVFVQPLFAYVEKEVSKKWPEVDNRTFKISIPGLSPYNLNLFSLLPCGDVYPTDEDPKME >OIW21575 pep supercontig:LupAngTanjil_v1.0:Scaffold_147_47:7180:12323:1 gene:TanjilG_06352 transcript:OIW21575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QAYWPSIVIKKWLNIKPKVYDFSEDEVDIENEAESEDDGASCKGYKIRHRRGKSETLRAQYINTKEVRITIGTWNVAGKFPSKDLEIEEWLCTEEPADIYIIGFQEVVPLNAGNVFGAEDNTPIPKWEAIIRRTLNKSSEPENNLKCYSAPTSPIHASAASNILANTIHANALDIETLDYDALEQKGVKNIIGIGKNLHLRKIYGVVDLKNKLDWPELPLDAIPEVDSSPKLRRVLSSSARIGFNLMDNNASVYGSGMKRSHHSYGNLSFFRKEQQVMPEVVTDSVDVSDILSDEEDDSFLELPNNQDGDDNGLGNMKLYPKYVRIVSKQMVGIYVSIWVQRKLRRHINHLKVSPVGIGLMGYMGNKGSVSVSMSLFQSRLCFVCSHLTSGQKDGAEQKRNSDVHEILRRTCFSSVFDKDQPKTIPLHDKIFWFGDLNYRINMLDVEIRKLVALKKWNELMNYDQLSSELNSGHVFEGWKEGLINFPPTYKYEFNSDKYFGEKPREGEKKRSPAWCDRMLWLGKGIKQLKYGSAANKLSDHRPVSSIFLVDVEVFDYTKLQRALNFTSAVVHPEVFFNDDVVDLQSH >OIW21576 pep supercontig:LupAngTanjil_v1.0:Scaffold_147_47:15451:20446:-1 gene:TanjilG_06353 transcript:OIW21576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSFLHPSSSLFIPTSHSSTLRTLSPSILHSPTTTRIFAPYSVKCDLAEPSNIMNRKPIIPVLNETTTPKFMEKTGNKNGNRLKLFSGTANSALSQEIAWYMGLELGKISIKRFADGEIYVQLQESVRGCNIYLIQPTCPPANENLMELMIMIDACRRASAKNITVTQGRESIAAKLVANLITKAGADRVLACDLHSGQSMGYFDIPVDHVHCQPVILDYLASKNIGSNELVVVSPDVGGVARARAFAKKLSDAPLAIIDKRRHGHNVAEVMNLIGDVKGKVAVMVDDMIDTAGTIAKGAALLHEEGAREVYACCTHAVFSPPAIERLSSGLFQEVIITNTIPVGEKNYFPQLTILSVANLLGETIWRIQDDSSVSSIFQ >OIW21577 pep supercontig:LupAngTanjil_v1.0:Scaffold_147_49:5135:5356:1 gene:TanjilG_06354 transcript:OIW21577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNKSPSKQESKDVARESLIAISNSSPEKVLDSNSALESKKSDGVAVTNSDGDEKFRSELISISYEESPDVKI >OIW21578 pep supercontig:LupAngTanjil_v1.0:Scaffold_147_49:10868:13619:1 gene:TanjilG_06355 transcript:OIW21578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VRDLRRLIARNNDSPLDNLSLILRGNALCDMKNGDDVYIKLNDGDCLMVAVKPKPPVKDGYDNDDDDEDLKFQLPRSSSPWKRRLYSFLHDKLKLPDIILMVIFTLSLKAWVFIIMWFIFAPVAHRWDLGPLYILATGFCLILFNLGKRQAGDVSAYSIFNEDFQELPGTLNADRLDRDIRAGQF >OIW21579 pep supercontig:LupAngTanjil_v1.0:Scaffold_147_59:6259:8860:1 gene:TanjilG_06359 transcript:OIW21579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSTTLKSDKDPSSSSQPPSRAFLNTVQEITSLYSSLPPRPSIHEVEAATCIVDTVNNEEQTKLQELSMQKPPHDVPHNLFSVLQQLKKTLVMFQCYEQRKEALHVVQLEKMFHTFGDLIQKASVLVSGDTQINKFSTLVDPFEKIERDVVIVDEILVKKKEEEQEKNDFEAERGSSVKGFLSSGSESCEKLSLMKVATLLENFANNGATTLELRGKLVDQMEWLPLSIGKLSEVTEIDLSENRIMALPITIEGLKALTKLDLHSNQLINLPNSFGELISLTHLDLHANRLKSLPASFGNLINLIDLDLSSNVFTHLPETIGSLSSLKILNVETNQLEELPYTIGNCSSLSVLKLDFNELKAFPEAIGKLELLEVLTLHYNRVKRLPSTVGNLCNLKELDISFNELEFVPENLCFAVNLKKLNLANNFADLRALPKSIGNLEMLEELDISDDQIRALPESFRFLSKLRVFRADETPLEMPPKEVVKLGAQEVVQYMADFATRSDAKILPSKKKNKKGFWFWFCSIFCPQQIR >OIW21583 pep supercontig:LupAngTanjil_v1.0:Scaffold_147_70:33925:42172:-1 gene:TanjilG_06363 transcript:OIW21583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKVFNKLRNLDAYPKVNEDFYSRTFAGGVVTVVSAAVMLFLFFSELRLYLYTVTESQLLVDTSRGETLQINFDVTFPAVRCSMLSLDTKDISGEQHFDIRHNIMKKRIDANCNVIEVKKDGLGAPKIERPLQKHGGRLGRDEEYCGSCFGAESSDHHCCNSCEEVRDAYKKRGWALTNVDLIDQCKREGYVQSVKDEEGEGCNVHGSLELSKVAGNFHFAIGKSFLHSAIFLTDLLALQDSHFNISRQINKLSFGDHYPGLVNPLDGVKWVQGASLGMYQYFIKVVPTVYKDIRGHVINSNQYSVTEHFKSSDEGVIPGVFFFYDISPIKVTFKEEHLPFFHFLTNICAIIGGIFTIAGIVDSSIYYGRRTIKRKREIGKYR >OIW21580 pep supercontig:LupAngTanjil_v1.0:Scaffold_147_70:12774:20009:-1 gene:TanjilG_06360 transcript:OIW21580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVADEHYQDDNKELQPLLVFGPPLIFEVFESRNSHKYLFLKAFSIQLPLLEFLTTQNVDPSSIRTILCNQLQPITANVIRLLPSLALIVTTTIGNDHIDLPECNRRGVQVVNVGSQSTEDVADMAVGLLIDVLYRISAADRYVRKWVPSKPWNLPPGSKLGGKRVGIVGLGRIGGEVAKRLEAFNCRIMYHSRHKKPFVSYTFYSNILELASNSDVLVLCCSLTDETRHMINREVMLALGKEGVIVNVGRGALIDENALVQCLMKGEIRGAGLDVFENEPEVPKELITLDNVVLSPHAAALTSDYFTDTFSFLSNIKDIFQQECSKLR >OIW21586 pep supercontig:LupAngTanjil_v1.0:Scaffold_147_70:85484:92127:1 gene:TanjilG_06366 transcript:OIW21586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEERDTERGSSMDSTESRWVFQEDEDASEIDDFDGDSPMIDSEDEENGEHRLIRTGPRIDSFDVEALEVPGAHRSDYEDISTGKRIVLVFQTLGVVFGDVGTSPLYTFSVMFRKAPINGNEDILGALSLVLYTLILIPLLKYVLVVLRANDDGEGGTFALYSLICRNAKVSLLPNQLSSDTRISGFRLKVPSPELERSLKIKERLETSLTLKKILLLLVLAGTSMVIANGAVTPAMSVLSSVNGLKVGVDAIGQDEVVMISVAFLVILFSVQKYGTSKVGLFLGPALFIWFCSLAGIGIYNLVKYDSSVLRAFNPIYIFYFFARNSTKAWYSLGGCLLCATGSEAMFADLCYFSVRSVQLTFVFLVLPCLILGYLGQAAYLMENHADAGQAFFSSVPSGAFWPTLLIANIAALIASRAMTTATFSCIKQSTALGCFPRLKIIHTSRKFMGQIYIPVINWFLLAVSLVFVCSISSFDEIGNAYAIHSMIIFVSIKYVPIAVVPQSERFLFRRVCQKSYHIFRCIARYGYKDVRKENHQTFEQLLMESLEKFIRREAQEKSLESDRNDDSSSEDEYSGSRVLIAPNGSVYSLGVPLLADFTETNIPIFEASTSEDVNPQFPNPPVLDAEQSLERELSFIRKAKESGIVYLVGHADIRARKDSWFMKKLVINYFYAFLRKNCRRGIANLSVPHSHLMQVGMTYMV >OIW21581 pep supercontig:LupAngTanjil_v1.0:Scaffold_147_70:25084:27310:-1 gene:TanjilG_06361 transcript:OIW21581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPNLTLILFILSIWAIDLSHGASSHHAPAPSVDCSTLVLTMADCLSFVTNGSTTTKPEGSCCSGLKSVLKTDPACLCEAFKSSAQFGVVLNVTKATTLPSACKVSAPSATNCGLSETPASVPVGSLSPQPSISPAAARSVNGPENELTHSPAPSPAIRNTASALFPISLGSLLVCLLVAATFSGF >OIW21582 pep supercontig:LupAngTanjil_v1.0:Scaffold_147_70:32985:33191:1 gene:TanjilG_06362 transcript:OIW21582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLGNKVKFFDPLSLAGTIKDGVYIADTEKLERLKLTEIKHARIVMLAMLIFYFEAGQGKTPLGALGL >OIW21584 pep supercontig:LupAngTanjil_v1.0:Scaffold_147_70:45940:48533:-1 gene:TanjilG_06364 transcript:OIW21584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMLHAPQNPIQNDKVAEKLTFDVMQHQPNIPSQFLWPDHELQSLTSPELEVPTIDLKAFLSGDPKAIETACLQVSEACKKHGFFHIVNHGVDGKLKSEALNLLDDFFCMPLSEKERAKRKVGEHEGYANSFIGRFSSNLPWKETLSFFYSTDSSVQSVEDYFVKVLGEDFRKFGNMYQDYSEAMNNISFVVMEILGLSLGVSREYFRDFFEGAKSIMRLNYYPPCQKPDLVLGTGPHCDPPALAILNQDQVGGLQVLVDGTWYSIVPKEDAFVVNLGDTFMALSNGIYKSCLHRALVNDKIVRKSIAFFLCTNAEKVVTPPKELINKENPRLYPDFNWPTFHEFTQKHYRCNPKTLDAFSNWVKEEELITGNPNVATAIKYIK >OIW21585 pep supercontig:LupAngTanjil_v1.0:Scaffold_147_70:53682:72845:-1 gene:TanjilG_06365 transcript:OIW21585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHFGRTGPPDISDTYSLLILNITFRTTADDLFPLFDKYGKVVDIFIPKDRRTGESRGFAFVRYKYADEASKAVDRLDGRMVDGREITVQFAKYGPNAEKMHRDDNRDRDYRRRSRSRSYDRYERDRYRGRDKDYRRRSRSRSASPDNKTRRRGRYDDERHSRSPRRSVDSLSPARHSPSPRRSPSPKKVISPQRSASPRKSPRGESPDNRRHDRRSASPRSVSPRGHPDASRSPSPRNSNGDLQDNVWDEFVETDDHIVPNAGDKHKDQFAVQGDSCKKSLQELQGIKRTDYVRNYDIQSEEELYLPNLNRKEGMLKKGSSWSHKPEGLFSSCEGDSCKELKRLTSDNTKMSDHCFKSSNVDSSSSKLCADDTIMGNKCMVGDDNVSQYSINHTSQTDNELSFLDNDLWMDIGNFEDVDRTMSCDLTFGMESLDNEDGFAWLSSSHGTEGSDDALKSGFKFASAEMCPLKSLSDYNIALKENIEGLPINDCNQKTSPIDEKLGSQMDVDHDAVPAPLSTCSESDMISGNTNDRMPEEKGKMSKPLTGKRRNLENGDFVRPYAHMEEYANLKQPFGTSSSGVTSQGSIQKHKQNMDSSILIQNSQMNQNCCEYDYSRGALGDFVCSDSQLLNGGFKSENIANPLPFQNPGSALQVCHKFENENEGHSEVGGVSIGFSQEIDSSNLHESSSMSSALDNISLQAASFCQLQRVMDQLDIRTKLCIRDSLYRLARSAEKRHTNANTNGQIGGDVETFKAMKTPDANNCTGFMDIETNTNPIDRSIAHLLFHRPSDPSMFPRNDNIPFKSSSMVHGIVTNLPVMNETPICQEESPAGVEKKSLRVTPS >OIW21587 pep supercontig:LupAngTanjil_v1.0:Scaffold_147_79:4634:7572:-1 gene:TanjilG_06373 transcript:OIW21587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLDNLLLDEEIQVLSKTETNPKKPGWKAMPYILGNETVERLANFGIQTNFMVYLLREYNMDQVLAANILNTWSAVSNVLPLIGGFVSDAYLGKFLTISIASFASFTGMVIVTLTSWVPHLHPTPCSLQQQQHGVCEGQNSFQLGVLFLALFWLSIGTGGITPCSVPFAIDQFDLTTVEGREGTRSFYNMYYVIQTVLLMINVTVVVKIQDSFSWTLGFALPTTFMFIAIIFFFSGAKIYAYIKPEGSIFSKIYQVLVAAKHKRHLHLPDRMDIGGAFYDPPLENGEESKLPLTKEYSCLNKAALITEDELNADGSSKYSWSLCSVQQVEELKCMLKMLPIWLTGIVVNIPVGQLALFSISQAFKMNRKLGHIYEVPPGSIIVITMVTVIIVIPLYHRFLSPALGKICKQEGGLTTLQRIGFGHVFGILTMLVAGLVEHKRKGLAISQGNSDGVAPMSVTWLAPQFIILGLSEGFSIIGHTEFFNKESPDNMRSIGYSLLFLQTSFASNLSSFIVNTIHHFTGKHGQHDWLDSDINKGKLENFYFIIAGLGILNFFCFISCSRRYSYKTFVNV >OIW21588 pep supercontig:LupAngTanjil_v1.0:Scaffold_147_79:17479:20423:-1 gene:TanjilG_06374 transcript:OIW21588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEKKAEKRDNKNDQRIWSWGAGTEGQLGTNKLQDEHLPQLIHQPSLSSVSDLACGGAHVIALTSGGKVMSWGRGNSGQLGHGEVVSNSLYPKIVTSLNAYFITHVSAGWSHSAFVSDSGCLFTCGDGSFGQLGHGDYTSHCTPLKVSFFANQHVAQVACGMRHSLVLLKDGSQNQVYGFGSGKRGQLGVSKDKIKSINLPQVISAFEDAEIVQIAANGDHSASLSVDGHLYTWGRGFKGFEDVHSPQCLNSSLNFSKATLGWNHALALTGEGEVYMLGGNHLGVLSDHQSINRPKQIPVDFREANLEKVPGLDGIKIADIATGSEHSIIVTGKM >OIW21589 pep supercontig:LupAngTanjil_v1.0:Scaffold_147_84:877:3174:-1 gene:TanjilG_06375 transcript:OIW21589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGKLYGGPNMTDMLQNERLPCSSEVLESLWVHNSDPASFQGPKSVIDFENISSSRIRERLFFQALDKEENCDEDYDSCLHQPGKKRRLTSEQVQFLERNFEVENKLEPERKVQLAKELGLQPRQVAIWFQNRRARFKTKQLEKEYGTLKASFDRLKVDYESFIQENQKLKDEVNSLKNKLIPRDKETENSDDKSSSPNAVNTPYHKEEPIMKDLISSNTPKTTENESKLQLPIMVTCKVEDANSAKSDVLDSDSTEDRNNHPSSFVMETATDSSHAFEQDNSDFSQDDEDELSEKLFTLPCLPKVEDACYDENPEHSCNFGFQVEDQTFCFWPY >OIW21590 pep supercontig:LupAngTanjil_v1.0:Scaffold_147_96:8674:12131:1 gene:TanjilG_06376 transcript:OIW21590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFRSIVRDIRDGFGSLSRRSFEVRLPGHHKGKSHSSVHELEEQPPVVQNSRWNSLPPELLRDVIKRLEASESTWPARKHVVACAAVCKSWREMCKEIVSSLEFSGKITFPVSLKQPGARDGTIQCFIKRDKSKMTYHLFLCLSPAVLAALLVENGKFLLSAKRTRRTTYTEYIISMDADNISRSSSTYIGKLRSNFLGTKFIICDTQPPYNNAQLSPPGRSRRFNSKKVSPKVPSGSYNIGQVAYELNVLGTRGPRKVHCTMNSIPISALEPGGTVPGQPELLSCPLEDSFRSISFSKSIADSARFSDIYGAANVDDEGKDNQPLILKNKLPRWHEQLQCWCLNFKGRVTVASVKNFQLIADTQPVAGAPTPSQPAQSDYDKVILQFGKVGKDMFTMDYRYPLSAFQAFAICLTSFDTKLACE >OIW21591 pep supercontig:LupAngTanjil_v1.0:Scaffold_147_98:9535:10680:1 gene:TanjilG_06377 transcript:OIW21591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHIAKTEYQGSVLSHRGGKIHSMEGPSLLLELDSFQKQVTDRFNELCSVENGDLLSLSWVRMLLDSFLCCQGEFRIILHNHRAQVLKPPLDRLVSDFFERSVKALDVCNAIRDGIEQIRQWQKLLEIVLCALDHKRSLGEGQFCRAKKALVDLAIGMLDEKDSSAAVSHRNRSFGPNNTGRDHHNSNHQHRSLRQSRSLSWSVSRNWSAARQLQAIGNNLSPPKANDLVASNGLALSVYTMNSVLLFVMWALVAAIPCQDRGLHVHFSIPRQFSWAAPMLSLHERIMEESKKRERKNSCGLLREIHQIEKCARVVNGLADSVRFPLTEEKEKEVRQGMRDLSHVCEILKDELDPLERQVRDVFHRIVRGRTEGLDSLGRPS >OIW21592 pep supercontig:LupAngTanjil_v1.0:Scaffold_149_26:9440:10282:-1 gene:TanjilG_06408 transcript:OIW21592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHHCIWINNCVGHANYKVFIIFVMYAVIACVYSLVLLVGSVVYDDGLRNDEKNGGSFRTVYVFSGLLMVPLSIALCVLLGWHIYLILHNKTTIEYHEGVRALWLAEKVGSIYKHPYDLGPYENLTSVGT >OIW21593 pep supercontig:LupAngTanjil_v1.0:Scaffold_149_35:15150:18214:-1 gene:TanjilG_06439 transcript:OIW21593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKGLMEQDLKKLDVTKLHPLSPEVISRQATINIGTIGHVAHGKSTVVKAISGVQTVRFKNELERNITIKLGYANAKIYKCEDERCPRPMSYKAYGSGKEDAPMCDAPGFENSKMKLLRHVSFVDCPGHDILMATMLNGAAIMDGALLLIAANESCPQPQTSEHLAAVEIMRLQHIIILQNKVDLIQENVAINQHEAIQKFIQGTVADGAPVVPISAQLKYNIDVVCEYIVKKIPIPERNFISPPNMIVIRSFDVNKPGFEVDDIKGGVAGGSILRGVLKVNQFIEVRPGIVVKDENGNIKCTPIYSRIVSLFAEQNELQYAVPGGLIGVGTTMDPTLTRADRLVGQVLGSVGSLPEVFVELEVNFFLLRRLLGVRTKGTERQGKVSKLAKGEMLMLNIGSMSTGARVVAVKNDLAKLQLTSPVCTSKGEKIALSRRVEKHWRLIGWGQIQAGITLDVPSAPFSAEDIKE >OIW20174 pep supercontig:LupAngTanjil_v1.0:Scaffold_14_15:13906:14933:1 gene:TanjilG_05705 transcript:OIW20174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRQGSGSLLKFASWSLYDEQGRSINKESIYISESSPEVVPQAYCKQFQEDFSLFLRSRSEELVEGGRMVLILLGRRGKKHVDRGISVLWDILSRSLAILVSQGEVEQEKVDSYEVHFYAPSCEEIEEEVRKEGSLKLERVEMCELEKSEQSMDYSTKVAMAIRAIQESMISNHFGERILDSLFENYARLVHEEMIKEDIKHITFVLVLRKI >OIW20171 pep supercontig:LupAngTanjil_v1.0:Scaffold_14_2:9333:9914:-1 gene:TanjilG_05702 transcript:OIW20171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIALFSVPTPHQALFYKYSNNSLPSLTYPFSSKLIVPLCSSSSTSTSATKASPSPLSDEFPLPQYATKLSGCKACGREKMEKGCNGEGRIQGGIATVPGFGWWPIKAYRPCPGFVAAGGRYRRQGQSMDEVAFGRGGAPSPSLGTTTDSNPR >OIW20172 pep supercontig:LupAngTanjil_v1.0:Scaffold_14_2:10871:13953:-1 gene:TanjilG_05703 transcript:OIW20172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPYLNGGTGVEMMELSPAAVAFSERRTGSSMMEELVPEITTHALSYLDYPSLCRLSMTNSLMRKAANDDNAWKALYHKDYTLEQDSVTPTNGWKAYYAATRAIVNVNTEFFNIVRDKSIPSMSRFWLNADYVKCIRASGELFSGYNAVIQSWQLVFNWEQGLNFQVRDVRARVLTDMAWVTMKTYVDLDTGPFNMTNVFEFHNGRWYMVHHHSSVMNGEVEQHNVHG >OIW20175 pep supercontig:LupAngTanjil_v1.0:Scaffold_14_27:8847:12033:-1 gene:TanjilG_05706 transcript:OIW20175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFVCGLPLLECVYFVGCARWIWKRCLYTAGYESENWGLATSEEFEPVPRMCRLILAVYEDDIHNPVWAPPGGYGINPDWVILKKDYDQNQGRVTPYMIYLDHDHAEIILAVRGLNLAKESDYAVLLDNKLGQAEFHGGYVHNGLLKAAGWVFDAECEILKKLVAENPTYMLVFSGHSLGAGVVALLTLLAIQNRDNLGIPRNKIRCFALAPTRCMSLNLAVRYADVINSVVLQDDFLPRTTTALEDVFKSLFCLPCLLCLMCIKDTCTLEEKMLRDPRRLYAPGRLYHIVERKPFRTGRFPPVVRTAVPVDGRFEHIILSCNATADHAIIWIERESQQALDLMQEKDRHMEIPTEQKMERNKSLARDHSDEYRAALQRAIALDIPQAYSPLSYGTFNEVDVGEVSERSSGQGSSMSNKKQTENWNEFVGRLFDVDDSGHMVFKKTFL >OIW20173 pep supercontig:LupAngTanjil_v1.0:Scaffold_14_3:19167:22234:1 gene:TanjilG_05704 transcript:OIW20173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EFRLALLRDSNKPNLFADRVFDMFDIKHNGAIDFGGFVRSMSIFHPNAPEEKKIEFAFKLFDVRQSGYIEHKETIKEADTDGDGKIDKEEWKQYVAKNPSLLKIMTLPYLKWVSLLINETLRICLI >OIW21598 pep supercontig:LupAngTanjil_v1.0:Scaffold_150_17:9707:11786:-1 gene:TanjilG_06722 transcript:OIW21598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRFSKPNKYYVEGSQKRNFLVDIKGPALAFLPVLAFEGGTRRNIPKFEVGTMIYVRVVTAKPGMNPELSCTDASGKSPEFGALKNGYMFECSTGLSRMLHISPTCPVLEDLRKKLSFEITVGLNGRIWVNSSSPSTTIIVANAIKNLEALNEAQQNIIVDKVLQKIQ >OIW21597 pep supercontig:LupAngTanjil_v1.0:Scaffold_150_17:6953:8658:1 gene:TanjilG_06721 transcript:OIW21597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKALTKVTSFKVVLSNSWITKKAKQELSNISGDITTFSNTVEEKAKWIFNKLKGKPLKSLSNLLREYNLPPGLFPQNIICYEFDETKGKLVVYLPSPCEVSFKDSSVIRYAPRVKGVLTRGKLSAIEGMKTKVLVWVKVTSVAVEGYKSDKVWITAGVKKARPKDAYDAPRDAVRVAEF >OIW21599 pep supercontig:LupAngTanjil_v1.0:Scaffold_150_23:2681:4787:1 gene:TanjilG_06723 transcript:OIW21599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKTTTHCIPALGANRRKQLRAWEVKLVKKMAISGDLIRVSATLPLCHSHSQFPLRTSSFPFPKVDFHGYLNGASSISEFAPKYSSLTIVRRNMHGYYKPVCGVTGGAKFSSKPVSQEAESFLLDAVNMSFFERLNLAWKIIFPSAVSRKSSNARIAKQRLKMILFSDRCAISDEAKQKIVSNVVRALSDFVEIESQDKVQLSVSADTDLGTIYSVTVPVRRVKPEYQDADELGMITNVEYKDTGVSSGSVDVTFDFFVPDEMS >OIW21600 pep supercontig:LupAngTanjil_v1.0:Scaffold_150_25:11354:14857:-1 gene:TanjilG_06724 transcript:OIW21600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCTSKPNGKKSSGHKRKNKKSSKRRGNINAATSLPDVPLKRLSNAGSRVGDFPFGDYVNLDFERPSSATCRRSEVSNMKFHLTQLQYHSHTQIDANGKFQDEAWFDSVSIIESDSDDDFSSVHGDCFPFTGNALGSVSNTQLLQYESASCIVDSGCKYEEFYESYLKIDGGNHKSGDKTQESSSKQSTVYMLSVKRQSVDVNEKSELCASERFVFRPRAGLQIPVSTIEKPFPGSWSAVSPSVFKLRGESFFRQVIKLDKQKCPAPEYCPYVPIGVDFFVCSKKIDHIAQHVELPFVKEHEKLPSLLIVNIQLPTYAASMFLGDADGEGMSLVLYFKLSENFDQEVSPQFQESIMRLVDDEMEKVKGYTKESLVPFRERLKILVGVVNPEDLHLNSAERKLVQNYNGKPVLSRPQHKFYKGANYFEIDLDIHRFSYISRKGLDSLRDRIKHGILNVGLTIQAQKPEELPEQVLCCLRLNRIDFVNHGQIPTIVTLDNNGPVEVAVDQWGERKEKIE >OIW21602 pep supercontig:LupAngTanjil_v1.0:Scaffold_150_29:31476:34784:-1 gene:TanjilG_06726 transcript:OIW21602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLFVFFWCLSGYDRKAQKELQHQTKASLIADEGKRLIPARKPQAIIVARRPDSGGKEGTVITLLANHFLVKFDPTQKIYHYNVEITPHPSKDVAREIKQKLVNNNSAVILGALPAYDGRKNLYSSVEFQNDKLEFYISLPIPTSKLKSCGEMYDLKEKHEQLKLFRINIKLVSKIDGKELSNYLSKEGDDWIPLPQDYLHALDVVLRESPTEKCIPLGRSFYSNSMGRSKDIGGGAVGLRGFFQSLRPTQQGLALNVDFSVTAFHESIGVIQYLQKRLEFLRDLSQRKTTQLTCEERKEVEKALKNIRVFVCHRETVQRYRVHGLTEEATENLWFADRDGKNLKLVTYFKDHYNYDIQFRKLPCLQISRSKPCYLPMELCVICEGQKFLGKLSDDQTAKILKMGCQRPGERKTIIEGVVRGPVGPTSGNQEKEFKLQVSREMTKLTGRILQPPKLKLGDGGHVRNLTPSRHDRQWNLLDGHVLEGTKIERWALLSFGGTPEQKSNIPRFINQLSQRCEQLGIFLNKNTVISPQFESIQVLNNVTLLESKLKKIQRTASNNIQLIICIMERKHKGYGDLKRIAETSVGVVTQCCLYPNLCKSSSQFLANLALKINAKVGGCTVALYNSLPSQLPRLFHIDKPAIFMGADVTHPHPLDDSSPSVAAVVGSMNWPTANKYISRIRSQTHRQEIIQDLGAMVGELLDDFYQEVEKLPNRIIFFRDGVSETQFYKVLQEELQSIKCACSRFPGYKPLITFAVVQKRHHTRLFPFETDQSSTQNHSMYENIPPGTVVDSVITHPKEFDFYLCSHWGVKGTSRPTHYHVLWDENQFTSDELQKLVYNLCYTFVRCTKPISLVPPAYYAHLAAYRGRLYLERSESLGLFKSTSTISRAAPPKTPPLPKLSENIKKLMFYC >OIW21601 pep supercontig:LupAngTanjil_v1.0:Scaffold_150_29:19966:25556:1 gene:TanjilG_06725 transcript:OIW21601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKNGWQLPYHPLQVVAVAVFLALGFAFYVFFAPFVGKKMYQYIVMGLYTPLITCAFGLYIWCAAADPADPGVFKSKKYLKFPDKKKHDGQKDSKPGGELTSSMHDGNTSTVGPTSVDKEALGTETSLKDTAIAIEKKSASPSPSTCFQLVYYPCAYICSCSSSNDESSDQQISEDGMFYCSLCEVEVFKYSKHCRVCDKCVDHFDHHCRWLNNCIGKKNYQQFFALMVSALLLLILQWLTGILVLICCFVERKQFSMDISAKLGSSFSLVPFVIVVAVCTILAMIATLPLAQLFFFHILLIKKGISTYDYIIALREQDLEQQGIGGQQSPQMSTVSSLTGLSSASSFTNFHRGAWCTPPRMFLEDQFDVVPPETASVSSLGKKSVREESVKKKNHAPVKISPWALARLNAEEVSKAAAEARKKSKILQPVTRHDTPFRLEPDHNSGSSGRRMVPRIDNNRRRASKRVRMPADLPMESLTRFSANNIIDKGFSGTSSLAPLQVEARSAFQKSQAVSSSAGIIASSPESSLDSPDIHPFRVSSTDAEEARRLAGLSAVGAAALKGIPLSRSTSDGYDASGGEDSDRVPTRIVQRSTNWSNLLFSVDQDQMAFEPKSSSSMVHNRKL >OIW21605 pep supercontig:LupAngTanjil_v1.0:Scaffold_150_39:18239:21022:-1 gene:TanjilG_06743 transcript:OIW21605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLTISDELLGTFAPIVVYWIYSGIYVVLGLFSENYRLHTKQEEDEKNLASKGAVVKGVLLQQVVQAVVATLLFAVTGNDSQDTNQNVSLLVSARQFVIAMLVIDTWQYFMHRYMHHNKFLYKHIHSQHHRLIVPYSFGALYNHPLEGLILDTIGGALSFLISGMSPRISIFFFSFATIKTVDDHCGLWLPGNLFHIFFNNNSAYHDVHHQLFGNKYNYSQPFFVMWDKILGTYMPYTLEKKVGGGFETRPCKDHKDD >OIW21606 pep supercontig:LupAngTanjil_v1.0:Scaffold_150_39:25081:27778:-1 gene:TanjilG_06744 transcript:OIW21606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLPQIAKKLGLSDSKLVIRKAAELRRLSDLQFDCSAIGVGEVAKAVICLEIAATRLGTLFDRSCAVKLSGMSERAYIRSYNSLHNGLGVKVKLDVRELAIQFGCVRIIPFVRDGLKLYKDRFLSSLPASRRASADFTRPVFTAAAFYLCAKKHKLKVDKIKLIELCGTSESEFSSVSTTMKDLCHDVFGVAKEKKDAREVQSNRDLLDVLPCKRKPEDGGYLSDDEPELSSYKKRKQMEKGDYEKWKSSVLASNEENKTKACKRTTQTSLRFFKEAPDTKKLEAI >OIW21603 pep supercontig:LupAngTanjil_v1.0:Scaffold_150_39:11513:12700:1 gene:TanjilG_06741 transcript:OIW21603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVITGSTASSSIHQLQAFNSQNNNTNHLRDASFSSYLNSNEKAFAESSQNLDPFISNKNDATLEGVKKEEDGEIEVFEAEKYFNGEEVESTTVAKIDAKEYQYQEDKQTALESREYTVQRGIPSVRSESSWNSQSALLQSAVRNRKNKVQKKSFLASLGCKCYCSDKNSVDVSDHAGEVSFKKTPTYGIPHEKKTPKNLFNADLDANHSVKISNPRAELLINKDVYFHNQERLGMELSRENSLAAFSTVNSSLGNQLVKMQLEEIGTPRKSLQVFGSPILDRRSSRSLTLDKKFSMPSWEGSPKMEENDFSANSGGNYHNDDAESDASSDLFEIESLTGKSNSTTFHARPTSNVASGCASPLKCLTIVSHLSRSCETETEEALLYYFQQQYNCTE >OIW21604 pep supercontig:LupAngTanjil_v1.0:Scaffold_150_39:13546:15033:1 gene:TanjilG_06742 transcript:OIW21604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVITGSTASSSIHQLQAFNSQNNNTNHLRDASFSSYLNSNEKAFAESSQNLDPFISNKNDATLEGVKKEEDGEIEVFEAEKYFNGEEVESTTVAKIDAKEYQYQEDKQTALESREYTVQRGIPSVRSESSWNSQSALLQSAVRNRKNKVQKKSFLASLGCKCYCSDKNSVDVSDHAGEVSFKKTPTYGIPHEKKTPKNLFNADLDANHSVKISNPRAELLINKDVYFHNQERLGMELSRENSLAAFSTVNSSLGNQLVKMQLEEIGTPRKSLQVFGSPILDRRSSRSLTLDKKFSMPSWEGSPKMEENDFSANSGGNYHNDDAESDASSDLFEIESLTGKSNSTTFHARPTSNVASGCASPSFYAPSEASIEWSVVTASAVEYSAMSDYDDQGSVATIRSPLRTSLTSSNGKTKGSRQVLRRRPAMLLGCKSHKAVGVADDAFTASEKPRSNSQSRRRSDTFSQVTRFQEETTEGKFGARHGQHAYAVPPLQRSH >OIW21596 pep supercontig:LupAngTanjil_v1.0:Scaffold_150_4:14880:18803:-1 gene:TanjilG_06720 transcript:OIW21596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTKVQNLPGYYSMRDLNEESSSCGWPLFYGDKTLTNGQYYNNHLPTVTADACSVSDKDVVKRTMLEHEAVFKNQVYELHRLYRIQMDLMNEVKRKELHKNQIPIPASFSTDPLASQITIEDGKKWHISVVEGIHSHLGSIKGISNQSGVLFPSPNRCNSKDIEVLEPRPSKVQRKMFDLELPADVYIDTEESEKLSDEKINGPTSFLLDRNCKNGKESDVKLFCGNGVKTSHEDTLRSEQFSRRRNDLADLNEPVQVEETNGSHYVHLRSHNPYQGKAECSNPSESAKQPKLFGLSREQLHSSHHITDNLPRKNGYLENNGSGKGWIKSASDAGQSKSSIQYVPQAPKLEKSLFSSQTTHDALSKVHEACASDYITGGSKTNSLMEKTVSGIDFSERNREYSINKHPESVVPLPRPGFFAVSPSSDLSKSWSHSASSWEMANSSLSQKLMSVQTPSCLNSSGALSRSSQSHKSNGFLDDRWPLNINSKPNSGFRCGVPMQNEFHAGSLSRSKEPSTNIASTSYDYLNHNNDCKIIAEHSFNSGSYKGSNSNFNNMKSRNIDLNVMLSNGSSNNLVSQSGIGIMDGEQKHVEHHAVLPWLRAKTACKNEVKIADRGIHAGESCVFNVVSLSKKDETGTGPSGKFMHNVTSVSCSNDIEPKRTEVSGSSSSKKILGVPIFDTPHISPKKELSSITSSSISIPNLSDVDPVENNRKNWLFDMNLPCDADGVELGKEAATETIISRERSPIKEANSRNQFDLNLCMSEDETSLTTIPCDEVKMKATIDLEALAVPENEEDSVPEEKPLENSLESPKGPLDTVEQTHEELMRQAAEAIVVLSSLPCDQVDGVISKPSESPMVDPLSWFVDVISSCKDNLESKLDNSRGKTGDDNVESSYEGMDSFEAMTLKLPETKEEDYMPKPLVPENFIVEETTTSLPSRTRRGAARRGRQRRDFQRDILPGLASLSRHEVTEDLQIFGGLMRATGYSWHSGSTRRSCSRNGSGRGRRQVQVAPSPRPVVATNETCTPLMQQLNNIEVGLEDRSLTGWGKTTRRPRRQRCPAGNPPSIPLT >OIW21594 pep supercontig:LupAngTanjil_v1.0:Scaffold_150_4:2505:5362:1 gene:TanjilG_06718 transcript:OIW21594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVECVALSTMATCGKNRLYGSSSSWMEPFKPFKRIQINSFTSRHCVWNQCVLLTGCPYVLRRSGFKAEAGWMFRGGEQGLDASAEHSESANEDILMFFFQIDLATRVQYALNMEEYNIAQQLRNKLTEVEEEVIKQQQSKRGLSSKSEAQDKGLSIIRLRSDLQKAIENEDYALASELRDKISKLEAESLAASAKALAHENAQYAFRLGQKVRHRLFGYRSVICGMDPVCSESSSWMENAQVKKLSRGSTQPFYQVLVDVHADPNILVAYVPEENLLAPDQPDTGRFDHPYISFLFYGMDSAGDYIPIKQLREKYNRPRHELPFDEDGGKA >OIW21595 pep supercontig:LupAngTanjil_v1.0:Scaffold_150_4:7398:9848:1 gene:TanjilG_06719 transcript:OIW21595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLYMPLFRSCSTLRPLTQLHAHLVITGLHNDPLASTKLLESYAQMGSLQSSRHVFDTYPSPDSFMLGVLIKCYLWNHLFHQVISLYNHHQTQNCSFVYPSVLRAASGLGDLVMGRKIHGRIIKSGFGNDPVIGTSLLGMYGESWCIDDARKMFDEMLERDLFSWSSIISCYVENGKPREGMKMFRSMVCEGIRPDSVMLLSVAAACARVGCLGLAKSVHGYVIRNGMVGDASLSNSLIIMYSQCGYLCIAEGLFESLTDRSTACWTSMISSYNQNGCFQEALDSFIQMQESEVEPNAVTMISVLYSCARLGRLKEGKSIHCFILRKAMNADDLDLGPALIDFYAACWKITTCQKLLYLIGNSNVVSWNTLISFYDREGLYEEAMVLFALMLAKGLVPDSFSLASSISASASAGSIQFGQQIHSHAMKRGFMDEFVQNSLMDMYSKCGFVDLAYTIFDKISQKSIVTWNCMICGFSQNGISLEALNLFDQMYLNCLEINEVTLLSAIQACSNLGYLEKGKWIHHKIIVSGIQKDLYIDTALVDMYAKCGHLQTAKRVFDTIPEKSVVSWSTMIAAYGINGQITAAISLFTKMVESGIKPNEVTFMNVLSACRHAGLVKEGKLYFNSMRDYGIEPNAEHFASMVDLLSRSGDIDAAYEIIKSMPLPVDASIWGALLNGCRIHGRMDLIKNINQELREISTDDTGYYTLLSNIHAEGGNWYESRKVRSKMEGMGLKKVPGYSSIEIDRKIYRFGAGDTSEWQMKEIYMFLENFQSLAQGQDVECYNTMYGTSMFFEDYNVQNLQRGTSNSIWNKSVPV >OIW21609 pep supercontig:LupAngTanjil_v1.0:Scaffold_150_42:32883:35610:1 gene:TanjilG_06747 transcript:OIW21609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVDLESLAEATSGAIGSLLSTTILYPLDTCKTKYQAEARSHGLQKYKNLSDVLWEAVSTRQLLSLYQGLGTKNLQSFISQFIYFYGYSYFKRLYLEKSGNKSIGTSANLIIAAAAGACTAIATQPLDTAASRMQTSAFGKSKGLLKTLTEGNWSNAFDGLSISLLLTTNPAIQYTVFDQLKHRVLTNKPNKDDKRKSPVALSAFMAFLIGAVSKSIATVITYPAIRCKVIIQAADQDESTSGTKVKSQKTLSSVLYGIWKSEGILGFFKGLHAQILKTVLSSALLLMIKEKISATTWLLEEEASIHCG >OIW21610 pep supercontig:LupAngTanjil_v1.0:Scaffold_150_42:49350:52448:1 gene:TanjilG_06748 transcript:OIW21610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEDTIFEHPLFGDKISSTFPRRFQDISNIREVPDHQEVFANPSRDESLIFELLEYKPDVADNGSATWFLQDLAREQDAEGCVVIEQSGVLKAPGLMYRNTLPVISTAVGQMTISKGRQGREAQNLVKVYLANLRVKGVETDVLITAYEPIVINPLSESTDTVGAGVAVPASQAGCKPMDEVFKLAVTSFKVCDWSLF >OIW21607 pep supercontig:LupAngTanjil_v1.0:Scaffold_150_42:5815:10238:1 gene:TanjilG_06745 transcript:OIW21607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTSLMRCSHANSLFRTFKPLNSMLNTSLKFSSIPINKVKAYDALLLDAGGTLLQLAKPVEDTYAAIGTKYGLTVTPAEIKQGFKRAFSAPWPEKLRYQGDGRPFWKLVVSEATGCSDEDYFEEVYKYYATGDAWHLPDGAYETITVLKDAGVKMAVVSNFDSRLRKLLKDLNVLNLFDAVIISSEAGYEKPDPRIFQAALDQVNVEAGKALHIGDDQKADKVGANAVGIDCWLWGVDVKTFSEIQIHILNSEA >OIW21608 pep supercontig:LupAngTanjil_v1.0:Scaffold_150_42:17363:18700:-1 gene:TanjilG_06746 transcript:OIW21608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKYITSEELKEHNKAENLWISIQGKVYNVSEWSKVHPGGDVPILNLAGQDVTDAFLAYHPGSAWKYLDNFFTGYHLKDFKVSEVSKDYRKLAYEFSKLGLFDKKEHVTSYTLASVAAMFLIVLYGVLRCNSVWAHLGSGMLLGLLWMQSAYVGHDSGHYMVMTNSGFNKLAQIISGNCLTGISIAWWKWTHNAHHIACNSLDYDPDLQHMPVFAVSSSFFNSLTSRFYGRVLKFDFFARFLISYQHWSFYPVMVIARVNLYLQTFLLLFSRRRVPGRAMNIIGILVFWTWFPLLVSCLPNLPERVMFVFVSFAVCSIQHIQFCLNHFAANVYVGAPSGNDWFEKQTSGTLDISCSSWMDWFFGGLQFQLEHHLFPRLPRCQLRKISPLVIDLCKKHNLPYRTLSFWEANQWTIRTLRTAALQARDLTNPDPKNLLWEAVNTHG >OIW21611 pep supercontig:LupAngTanjil_v1.0:Scaffold_150_45:5087:7924:-1 gene:TanjilG_06749 transcript:OIW21611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGLGIVPVLPFWNNLLYQFNASGLVSQVKVLYSDMVYCGVVPDVFSFNVLVHSLCKMGDLDLALGYLRNSDFVDTVTYNTVIWGFCEKGLTNQGFGLLSEMVKKGICVDSVTCNILVKGHCRIGLVQYAEWVMYNLVDGGIRQDVIGLNTLIDGYCKAGLTSHALDFMGNGWTISIRPDIVTYNTLLNAFCKNGDLVRAESLVSEILGIRRDGESGQLQSYVGETQAETRDLRPTVATYTTLISAYCEHRGIEESLFLYEQMIMNGIMPDVVTCSSILYGLCRHGKLAEAALLLREMYEMGIDPNHVSYSTIINSLFKSGRVMEAFNLQSQMVVRGISFDIVLCTTILDGLFKVGKSKEAEEMFRTILKLNLIPNCVTYSALLGGYCKLGDVELAELVLQKMEKEHILPNVVTFSSIMNGYAKKGMLSKAADVLWKMVERNIMPNTYVYAILIDGYFRAGEQEAAARFYKEMKSQGLEENNFIFDILLNNLKRVGRMEEARSLIEDMRSKGLDPDIVNYTSLIDGYFKEGNESEALSIVQEMTEKNTRFDVIAYNALVKGLLRLGKYEPQSVFSKMTDLGLAPDCATYNTLINSYCIKGNTENALVLLNEMKNYGIMPNAVTYNILIGGICKTRAFEKAMIVLNEMLAMGFVPMPITHKFMLKAYSRSGRADVIFQIHKKLVAMGLKLDKTVYNTLITVLCRLGMTRKANAVINEMAISGITADIVTYNALIRGYCTGSHVEKAFNTYSQMLADGISPNITTYNNLLGGFSAAGLTRETDKLVSEMKERGLVPNATTYNILVSGHGRAGNKQDSIKLYCEMITNGFVPTTGTYNVLINDYAKAGKMRQARELLKEMLARGRIPNSSTYDILICGWCKLSYQPEMDRSLKLSYRTEAKNLLREMCEKGHVPSESTLSYISSNFSVPGKKGDAQRLLKLFTQKNNV >OIW21613 pep supercontig:LupAngTanjil_v1.0:Scaffold_150_45:50277:52464:1 gene:TanjilG_06751 transcript:OIW21613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYKVVFTDHSLNGFGDLGSIHMNKVMQFTLADVSQAICVSHTSKENTVLRSGLRPEKVFVIPNAVDTSMFKPALERPSGPEVIIVVISRLVYRKGADLLVEVIPEVCQLCPNVRFIIGGDGPKRVRLEEMREKHSLQDRVDLLGAVPHARVRSVLISGHIFLNSSLTEAFCMAILEAASCGLLTVSTCVGGVPEVLPDDMIVLAKPDPGHMVQAIQKAISMLPKIDPQVMHNRMKELYNWHDVAKRTEIVYDRAMKCPDVDLLERLSRHLSCGALAGKIFCMVMIISYLLWHLLELWQPADDIEEVPDVFLSHKSGGEMLAKN >OIW21614 pep supercontig:LupAngTanjil_v1.0:Scaffold_150_45:58127:60088:1 gene:TanjilG_06752 transcript:OIW21614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLENLLLEAAGRTGSAGRNRNFPPSRTRREGSYSNGGSDSRDDDSDGLNYGSRKPSRPQVPLRKRLDPAERDDDDIGSHEEADEGDDRSDHKGDSSDDSDIGDDLYKDDDDRRKLSEMTELQREMILSDRAAKKDDKNLLGKIASKRDKGKAVAPRKQSPPMPSSRVRYSVRSADRSAKNEALNELRAKRLKQQDPEAHRRQREASKGSAPRHFPPQKRNTVTSASLSNSSHSESESHSDDDDSTGDGGFADSDDDRTLAGSQGLLFQDIKEITIRRSKLAKWIMEPFFEELIVGCFVRVGIGRSETGPIYRLCMVKNVDASEPDKHYIFENKNTYKYLNLVWGNESSSARWQMAMVSNSPPIEEEFEQWVKEVDRSGGRMPSKHDVLEKKEAIQKITTFVYSAATVKQMLQEKKSALSRPLNVAVEKDRLRGEMEIAKSKNDEAGVRRIKKRLQELEASRQAQEKDVKALRLAEMNRKNRFENFKNASEMKRVNIDLKAGEEGYDPFSRRWTRSRNYYASNPGEKAATGNNSADGVVADAGSKGTGAPLTADGMVATAADLEAAADAGKLVDTIAPVDQGTVRNVLHNFNLPISLTSLQKFGGAQGAQAAYMARKQQIESKIGVRVPESDGKKHTMALSINDYKRRRGLL >OIW21612 pep supercontig:LupAngTanjil_v1.0:Scaffold_150_45:30307:39417:-1 gene:TanjilG_06750 transcript:OIW21612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYESVVYEGDVVLGGAVIHPSEKIIRLKEIRISHFSQPSERCSPLAVLHALASPNVCFKMESKTPQQQDGLFHLHSLCIRENKTAVMLFGSEEIHLVAMYSRNNDRPCFWGFVVASELYNSCLVMLNLRCLAIVFDLDETLIVANTMRSFEDKIEALQRKINSEVDPQRIAGMQAEVKRYLDDKIILKQYAENDQVVDNGKVIKVQPEIVPALSDSHQSIVRPLIRLLEKNIILTRINPQIRDTSVLVRLRPAWEDLRGYLTARGRKRFEVYVCTMAERDYALEMWRLLDPDSNLINSKELLDRIVCVKSGLKKSLFNVFQKGFCHPKMALVIDDRLKVWDEKDQPRVHVVPAFAPYYAPQAEASNTVPVLCVARNVACNVRGGFFKEFDDGLLQKIPQIAYEDDIKVALSPPDVSNYLVSEDDVSASDGNRDPILFDGMADAEVERRLKEAIMSAASSIPVATANLDPSLTTSLQCTAMPSCSGSVPPPTAPSSLLQFASVPFLQPATVNKPMGQVAPSEPTLHSSPAREEGEVPESELDPDTRRRLLILQHGQDIRDNTSSEPPFPIRQPLQASAPRVLSHGAWFPVEEIDSQQLNQEVPKGFPLDSEPLCIEKHRPRRPSFFSKLDTSISSDKIIHESHQRLPKEMYHRDDCSRLSHNTLSTYHSFSGDDIPLIRSSSCHRDHDSESRHCLLHADNTVRIVQEIALKCGTRVEFTSCLVGSTELLFSVEAWFSGKKIGQGLGRTRKEAQHKAAEESITHLADIYLSHAKADSGSTYGDVNGFPRANGNNGHVSNVNSPGNQSWLKEDSVSSSTSLDPSRVLDRRFEVSKRSMGSVSALKELCMMEGLGISFQSLPAPVSTNSAQKDEVYAQVEIDGQVFGKGTGLTWDEAKMQASEKALGSLRTMLGQNIQKRQGSPRSSPVLPTKRSRQEHPWNHQRIPSSGRDPGNSPVP >OIW21615 pep supercontig:LupAngTanjil_v1.0:Scaffold_150_46:13504:14991:1 gene:TanjilG_06753 transcript:OIW21615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTRKGEGHHHIVMVPFTAHGHLIPFLSLARQIHERTNLTITIATTPLNIQYLHSAISSSPNTNINLAELPFNSTQNDLPPNIENTEKLPLPHIIKLFQASKSLETPLHSLISQITQQEGRPPLCIISDVFLGWVSNVATSFGINNISFTTCGAYGTLAYISMWTNLPHRKVESENFWVPGFPKNYTFHVSQLHKHIREADGSDSWSRFFIPQIALSMNSNGWICNTVEEIEPLGLKLLRNYLQLPVWTVGPLQPPTSQNSSKNHAGKESGIPIETCIEWLDSKDQSSVLYICFGSQNTISATQMMALAEGLEESEKPFIWVIRPPFGFDMNGEFIETWLPKGFEKRIRESKKGLLVHKWGPQLEILSHKSISAFMSHCGWNSVLESLSHGVPIIGWPLAAEQAYNVKMLVEEMGVSLELTRGVDSVVSKENVKKVIEVVMDQELGKGKEMKDKANQIAVHLKEAIVENGEEKGSSVKSLDDFVSTILSSKAII >OIW21616 pep supercontig:LupAngTanjil_v1.0:Scaffold_150_46:18292:25098:1 gene:TanjilG_06754 transcript:OIW21616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAAETLPYGVVSKGDLGANSNSSTTAKKSRQSDRRRRRRKQKKNKQASQEPHANSAEDSDDTKENTNLHQVVEQVEIEYVPEKAELDEGFDEEFRKIFEKFSFTGVTGSEDNDKKDESDENATASKKADSDSEEDENDNEKKEKGGVSNKKKKLQRRMKIAELKQICSRPDVVEVWDATAADPKLLVFLKSYRNTVPVPRHWSQKRKFLQGKRGIEKQPFQLPDFIAATGIEKIRQAYIEKEDSKKLKQKQRERMQPKMGKMDIDYQVLHDAFFKYQTKPKLTSLGELYHEGKEFEVKLREMKPGMLSHELKEALGMPEGAPPPWLINMQRYGPPPSYPHLKIPGLNAPIPHGASFGYHPGGWGKPPVDEYGRPLYGDVFGVLQEDQPNYEEEPVDKTKHWGDLEEEEEEEEEEEEEEEEEMEEEDLEAGIQSVDSLSSTPTGVETPDVIDLRKQQRKEPERPLYQVLEEKEEKIAPGTLLGTTHTYVVGSGTQDKSAAAKRVDLLKGQKSDKVDVTLQPEDLDALENVLPAKYEEAREEEKLRSQREDFSDMVAENENKRKRKMQEKEGKSKKKGFKF >OIW21617 pep supercontig:LupAngTanjil_v1.0:Scaffold_150_46:32062:32907:1 gene:TanjilG_06755 transcript:OIW21617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSSKQVSSNGLDWNNNLLEAEKLEFLPKPHHQMKKQQQGEQSSETLKCPRCDSTNTKFCYYNNYNKSQPRHFCRACKRHWTKGGTLRNVPVGGVRKNKRVKKPIIPTTTTTTSSTTSTSIVNGFLSNNMNNIQTSLDQKNIPSSLYQALIGQQQNLMNMRDLESKHFGNCSMTMSSTLPLHQNQKPLFPFSTTSSFYTNPCYVSPSLRSSSNTVYNYVEESTMNSVIPTPSTSGINTQTWEIPATSGGMGISNYWSWEDIDSLVSTELKDPWDDSDIKP >OIW21618 pep supercontig:LupAngTanjil_v1.0:Scaffold_150_49:1295:3471:1 gene:TanjilG_06757 transcript:OIW21618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPKNCSKALDKKDWEDVTCSVSMEYPHNVAKRTPTFGEEEKRFCEHLHQETQAFLLFPVAMDIIGDDALHPLLEHVEDQSDNIKYEHDGVAPEGCAASAIAMQRLPATLCRIIARPSCDVFVNHRGIDTKRNVAGLIHDRLTKMGVRSFLDSMNMKPGDKLFDHIDRAILGSKVGVAVFSPRYCDSYFCLHELALLMETKKKVVPIFYDIKPSQLVVKDNGTRPAKELQRFSLALEEAKYTVGLTFDPLNGYLLCY >OIW21619 pep supercontig:LupAngTanjil_v1.0:Scaffold_150_51:14850:17192:1 gene:TanjilG_06769 transcript:OIW21619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEVNMSSFSHYMDDEYEKLFRRVNPPRVVIDNESCKNATVIRVDSANKYGILLEVVQILTDLNLIITKAYISSDGGWFMDVFNVTGQDGNKVTDEAILDYITKSLGPESCFTSPMRSVGMKQTMDYTAIELLGSDRPGLLSEVSAVLTNLKCNILNAEVWTHNARAAAVMHVNEETGSAIIDPQKLSLIKELLCNVLGGGNKNRVAKTVVTDEVTHTERRLHQMLFADRDYDRVNDDDFDEKQRPNVNVVNWFDKDYSVVTIQSKDRPKLLFDTVCTLTDMQYVVFHANIDAEGPEAYQEYYIRHIDGSPVKSDAERQRVIQCLEAAIERRVSEGLKLELCTTDRVGLLSDVTRIFRENSLAVTRAEVTTKGDKAINTFYVRGASGCIVNSKTIESIQQSIGNTILQVKGCPNDSKSVPQDSPTRSLFSGIFRSRSFVNFGLVKSYS >OIW21621 pep supercontig:LupAngTanjil_v1.0:Scaffold_150_55:33635:34399:1 gene:TanjilG_06771 transcript:OIW21621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTGNSSNDGNHNSGGNKDDEGWGSKFLKMASAAAATAAVAGGLIAIMSSSLPQQPPFQASARRVIKEQGVAVGYY >OIW21620 pep supercontig:LupAngTanjil_v1.0:Scaffold_150_55:19963:23770:1 gene:TanjilG_06770 transcript:OIW21620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGKGVLRKNFQPRSCSEKKVLRTNQTNGKFKNVITIDIDNDDVDDVVILEFHDCRQRSFHGSSVPSKEGVCTPQSVISIDDDDDESDDADHTGNIADRFGESDSDASSSKRFSPSPSRMQIHIDVDDSHVNEKKSNKHKIRQTCSAKTAARNRYGLDSSESESSKSDCSDCEVIEVCDLWEKASVKRKRRWFNDQFCPDQHASSSGIPCNTDTEVGREAKQHPGSPVYSGPSNGKYVKRSQSSFSTEDDSQVNEIYSNLGAEKPFKDSGKKVDEESSKSVRPEFMEETQSLHRSTDTEGGERTKNKEFPPGSKYKYNSFCSVVTGASLSEKELGGKESKITSLGREETYERQVDDSGSVVKDKAGGASMPEKELGDKESKTTSLDHEANERQVENNGSALRSKDGILSEVNTVDSNCPAFDERNVNCDGLVLNAQNVGFNASNQIDIINEREKLKETDEYKRVIEEEWASRQRQLQIQAEEAQRLRKRKKAEAQRLLDMQRRQKERIAEVRESQKKDEEFMNMKEQLRAEIQRGLNKLETQCRDMASLLRGLGIHVRTAYKKALLKFHPDRASRDGIRGQVEAEEKFKLISRMKDKLSLTSYH >OIW21622 pep supercontig:LupAngTanjil_v1.0:Scaffold_150_59:13438:16947:1 gene:TanjilG_06772 transcript:OIW21622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEANGIVHDGSSIGAVRREKREVGDKSMEDLSKYAHSPAHLAVARRDHAVLRGIVSALPRLAKAGEVNTEAESLAAELRADEISAVIDCRDVPGRETPLHLAVRLKDPVSAEILMAAGADWSLQNEHGWSALQEAVCNREETIAMTIARHYQPLAWAKWCRRLPRIVASANRIRDFYMEITFHFESSVIPFIGRIAPSDTYRIWKRGSNLRADMTLAGFDGLRIQRSDQTFLFLGEGYASEDGNVTVPPGSLLVLSHKEKEITNALEGAGTQPTEAEVAHEVSLMSQTNMYRPGIDVTQAELVPNLNWRRQEKTETVGNWKAKVYDMLHVMVSVKSRRVPGAMTDEELFAVEDGESMIAGENGEEYDDVLTAEERMQLDSALHMVNNDGMCEDEEHGGFDSQENGSATSFDNSEANGVVKEKKSWFGWNKKHSKSSTDETEDSKASKKISKFGPEGSNQRSGNPQKSTSEFLKEDSGDNKKGKDKNIRKKKKKGTISESKNESEYKKGLRPVLWLTPDFPLKTDELLPLLDILANKVKAVRRLRELLTTKLPHGTFPVKVAIPIVPTIRVLVTFTKFEELQPAEEFSTPLSSPAHFQDAKSKELEGSTSWISWMKGTRGGQSSDSDSHRYKDEVDPFNIPSDYKWVDANEKKRRMKAKKARSKKHKKQMSGKSGDGLHQVTEEVDEH >OIW21623 pep supercontig:LupAngTanjil_v1.0:Scaffold_150_70:14206:14730:-1 gene:TanjilG_06776 transcript:OIW21623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNPKVFFDILIGKLKAGRVVMELFADVTPKTAENFRALCTGEKGIGRAGKPLHYKGSTFHRIIPEFMCQGGDFTRGNGTGGESIYGAKFVDENFKLKHTGPGILSMANAGPNTNGSQFFICTSKTSWLDGKHVVFGKIVDGYSVVQEMEKVGSNGGSTSEPVVIEDCGQIKDN >OIW21624 pep supercontig:LupAngTanjil_v1.0:Scaffold_151_10:30657:37385:1 gene:TanjilG_06782 transcript:OIW21624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRVKGRTVIEVGADGVAIITIIHPPVNSLSLDVFRSLKESFDEASKRDDVKAIVVTGAKGKFSGGFDITSFGGLQEEKEYTSPGSISFDIIADTIEASKKPSVAAIDGLALGGGLEVALACTARLSTPTALLGLPELQLGIIPGGGGTQRLPRLVGLAKALEMILTSKPVKGEEAFSLGLVDAVVSPDQLVNAARQWALDILERKRPWVPSLYRNDKIEPLGEAREILNFARAQTRKRAPNLNHPLVCIDVIEEGIVSGPRAGLLKEAEAFQELLLADAARSLIHIFFSQRGTSKVPGVTDRGLVPRPVKKVAVLGGGLMGSGIATCLILSNYHVILKEVNEKFLDAGLNRVKANLQSRVKKGKMTQERFEKAISLLKGTIDYESFKDVDLVIEAVIEKISLKQQIFADLEKYCPPHCILATNTSTIDLDLIGERTKSQDRIIGAHFFSPANIMPLLEIVRTKQTSPQVIVDVLNVGKKIKKTPVVVGNCTGFAVNRMFFPYAQAAILLVEHGADIYQVDKALTKFGMPMGPFRLVDLVGFGVGLASSTQFIENFPERTYKAKLLPLLIEEDRAGQASGKGFYLYDNKRKARPDPQLKSYIEKARSIAGITVDPKLAKLSENDIIEMILFPVVNEASRVLDEGIAVKATDLDISSVMGMGFPPYRGGIIFWADSLGSEYIYSRLEEWSKLYGPLFKPSAYLAQRAAKGIPLSEPVEQANSRL >OIW21625 pep supercontig:LupAngTanjil_v1.0:Scaffold_151_18:4892:6493:1 gene:TanjilG_06783 transcript:OIW21625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELSFFIGVIGNIISILLFLSPVPAFIRIIKHKSTEDFSSIPYICTLLNCSLWTYYGLIKSGEYLVATVNGFGIVVETIYLTLFIIFAPKTVRIKTVILAGIMDVVMLGAAIGVTQLAMKGEGRINAVGIMGAGLNIVMYGSPLAAMVDNVQIIC >OIW21627 pep supercontig:LupAngTanjil_v1.0:Scaffold_151_28:5156:6298:-1 gene:TanjilG_06785 transcript:OIW21627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRSLSQANRVFSFASNALSFPMYRRGYATGCDSSIRGYDRIGSRSGIMGKIEETSGAKNGFDASASTWVPDPVTGYYRPINNTHEIDPVELRQMLLNHKTRSS >OIW21626 pep supercontig:LupAngTanjil_v1.0:Scaffold_151_28:2844:3142:1 gene:TanjilG_06784 transcript:OIW21626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSTVDIKDDSRGKAVHSAKIEIVLRKTTNVDELTVSVVAKDGGNGETEEQNAWSFSKITPEMVKICSLY >OIW21628 pep supercontig:LupAngTanjil_v1.0:Scaffold_151_28:53565:61943:1 gene:TanjilG_06786 transcript:OIW21628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQSNAAATAAIYDGGSLHNAGPATTDAGDAVMARWLQSAGLQHLASPLASTAIDHRLLPNQLMQGYGAQSAEEKQRLLKLMRNLNFNGESGCEPYTPNSQTFGGGATLDGFYSPEFRGDFGAGLLDLHAMDDTELLSEHAISEAFEPSPFLPGDNGTFEDDFNPLNSKLERGEADADESISLLVNDKESSTRENNVAKIKVVVRKRPLNKKELAKNEDDIVTVSDNAYLTVHEPKLKVDLTAYVEKHEFCFDAVLDEHVANDEVYQATVEPIIPTIFQRTKATCFAYGQTGSGKTYTMQPLPLRAAEDLVRQLNHPVYRNQRFKLWLSYFEIYGGKLFDLLSDRKKLCMREDGRQQVCIVGLQEFEVCDVQIVKEFIEKGNAARSTGSTGANEESSRSHAILQLAVKKHGEVKESKRNNDGNDTRSGKVVGKISFIDLAGSERGADTTDNDRQTRMEGAEINKSLLALKECIRALDNDQIHIPFRGSKLTEVLRDSFVGNSKTVMISCISPGAGSCEHTLNTLRYADRVKSLSKSGNPRKDQAPNPIPQANKEVSSTSSLPAGAAVEDFNDQHQEKTTDMGRKFVERENSLYSTAADVVEKQPSSFSPSYLFSGREEKGLGSASMDRERFEVKNTYSGSSSQKMNSYSQNDTDEKMQHVSSPRRKGSKDEKSERAAKWMKRDANGNGSDIPTISKQHSTGNYATVTTGSRVHGAESSPDGNISAMLEEEEALIAAHRKEIEDTMEIVREEMKLLAEVDQPGSLIDNYVSQLSFVLSRKAASLVGLQARLARFQHRLKEQEILSRKRVPR >OIW21629 pep supercontig:LupAngTanjil_v1.0:Scaffold_151_28:67709:72664:-1 gene:TanjilG_06787 transcript:OIW21629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVITSNRKRSEECMNLNETNNSSSPIHNSSSYKKPKFSSSTPTQPLSSSNSTLVSRISRYPEATIPLVREVHAPCRPRKFDFLTKPRRESSFEGRVGGDFRSNIDMGNFYSRKYEKAKREAVASIRSLPKGKEVIDLDTPKGKEVIDLDNDSHRYKVSEDSSIEEEVRVVKDDDIVEIYDLDPMVVDDGIQQKSISAVDSELTDSNLKTIVRAEKKWDALDLSHQHDFSSVHVYKKLLESVRGRDTTIERLKFEIELHEKRKDALALLGPKKEPMEVVEEVPQEPFIPLMQEEINEVENAFSANRRRILVTHENSNIEISTEKLQCLRPGVWLNDEVINLYLELLKEREKREPKKFLKCHFFNTFFYKKLRSGRSGYDFKSVRRWTSQKKLGYGLVECDKIFVPIHKEIHWCLAVINKKDEKFQYLDSLKGMDNHVLKVLARYYADEVKDKTGKDIDVSSWEKEFVEDLPEQENGFVF >OIW21630 pep supercontig:LupAngTanjil_v1.0:Scaffold_151_36:9287:17517:-1 gene:TanjilG_06788 transcript:OIW21630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYFSPSWLISPSCYPLSIDSSSSSAQLLVQWLRFIFLSPCPQRVIISTVDLIFLLTFLAFAAQKLYSRFTSNANSASSITKPLLQEKDSDYKVTLWFKLPLLITILLAIVYTVLSIFAFTQSNLASWIKIEALFRLAQAITNIVIVILLVHEKKFKASKHPLSLTIYWIANFVITLLFAASAVVRLVTVDEKSRLELNLRVDDVFSLVNLPLSVFLFIVAMKGSSGIHIVRMHDVVESSRTNRRISLDRTMSPYANSSLLSKTIWLWMNPLLNKGYQTPLKLEDVPSLPLDFRAEKMSELFQRNWPKPEENSKHPVGVTLFRCFWKHIVFTGFLAVIRLGVMYVGPMLIQSFVDFTSRKDSSPSEGLTLILILLLAKSVEVLSVHQFNFHSQKLGMLIRSSIITSVYKKGLRLSSSSRQAHGTGQIVNHMAVDAQQLSDMMLQFHPIWLMPLQVAAALALIYNYVGLSAIAALLGTSLVFVFTLVRTKKSNSFQFQIMTSRDLRMKATNELLNNMRVIKFQAWEEYFGNKIRRFREAEHGSIGKFLYYFAINMGVLSTAPLMVTVLTFGTATFLGIPLNAGTVFTITSVIKILQEPVRTFPQALIQISQAMISLGRLDEFMTSKERDEKAVEREDNCDRDIAVEIKDGKFSWDDENGNEALRVEELEVKKGEHAAIVGTVGSGKSSLLASVLGEMFKISGKVRVCGTVAYVAQTSWIQNATIKENILFGLPMNIQKYQEAIRVCCLEKDLEMMEYGDETEIGERGINLSGGQKQRIQLARAVYQDSDIYLLDDVFSAVDAQTGSFIFKECIMGALKHKTILLVTHQVDFLHNVHSIMVMRDGTIVQSGKYDELLKAGLDFGALVAAHESSMEIEETSEKAGDSAQSPKLARIASKEKESIAEKQPQDQPKSDKGTSKLIEDEERETGRVDLKVYKHYFTEAFGWWGVVLMLAMSLTWIISFLAGDYWLAIATADDSPIPSFTFIIVYAIIAGVACVVVMVRSILFTYWGLKTSQSFFIGMLHSIIHAPMSFFDTTPSGRILSRVSTDLLWVDISIPMLVSFVMVAYFSLVSILIVTCQNAWETVFLLIPLFWLNNWYRKYYLASSRELTRLDSITKAPVIHHFSETISGVMTIRGFRKQSEFYQENIDRVNASLRMDFHNNGANEWLGFRLDFMGVVFLCFATVFMIFLPSAIVKPEYVGLSLSYGLALSGLLSFTITMTCSVENKMVSIERIKQFTNLPQEAPWKIPDRSPPQNWPSQGNIELNNLQVRYRPNTPLVLKGISLHIQGGDKIGVVGRTGSGKSTLIQVLFRLIEPSAGKIIVDGINICTLGLHDVRSRFGIIPQEPVLFQGTVRSNIDPLGLYSEEEIWKSLERCQLKDVVAAKPEKLEASVVDGGDNWSVGQRQLLCLGRIMLRRGKILFMDEATASVDSQTDAVIQKIIREDFADRTIISIAHRIPTVMDCDRVLVIDAGLAKEYDKPSRLVERPSLFAALVKEYSNRSSEL >OIW21632 pep supercontig:LupAngTanjil_v1.0:Scaffold_151_37:7931:8832:-1 gene:TanjilG_06790 transcript:OIW21632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEELSELKKTLNVEVEQLRTEFEDLRTTLQQQQQDVTASLRNLGLQEVLDVKQARWQETKIEEIVQEGQQVLPEDEEHNGKVTEN >OIW21631 pep supercontig:LupAngTanjil_v1.0:Scaffold_151_37:5091:6293:1 gene:TanjilG_06789 transcript:OIW21631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNEEGQITELYIPRKCSATNRLITSKDHASVQINVGHLDESGVYNGNFSTFALSGYIRAQGDADSALDRLWTKKKVEVKQQ >OIW21633 pep supercontig:LupAngTanjil_v1.0:Scaffold_151_37:23821:24783:-1 gene:TanjilG_06791 transcript:OIW21633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYRTLELNVVSAKDIKDVNLLSKMDVYAVVSISGDSQNVKKMKTPVHRDGGTNPTWNFQVKFTVDESLAHQNRLSLEIKLFSERTFAGNTLIGTVYVPVKELIENPGDGSFRHVSYQVRTQSGKAKGLLNLSYKFGDKVAAPMKSVPGAAYPPPAAAYPPPATAYPPPAASSKQEQPMSYPPSATGSKQEPVMAYPAGGAWGSSTAPPPHGAVPYAAPPQQHGYGYPPPQQSGYGYPPIQQPGYGYPQQPGYGYGYPQPQQSGYGYPPVQQQGKKKNKFGMGLGAGLLGGALGGLLIGDMVSDVADYDAGFDDAGGFDF >OIW21634 pep supercontig:LupAngTanjil_v1.0:Scaffold_151_41:2554:3590:1 gene:TanjilG_06792 transcript:OIW21634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRWWQRQGAEHFPTTRLLSRVPSLLFFVHTIDNELEDSSQIQGVVRNSLALCSSVYLLISFFGFLLFVEGTLNDVLANFDIDLGIPFSAVLNDVVRLSYAAYLMLVFPVVFFSLRLSIDGLLFSKSRPLVLDNFRFASLTISLIGVIFLGANLSMIISSKLPLGK >OIW21635 pep supercontig:LupAngTanjil_v1.0:Scaffold_154_10:8326:8484:-1 gene:TanjilG_06939 transcript:OIW21635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRFFPRQYLHMFKHKSISSAFVLIPSPFWFQRWSPMNSGDGRTEMPHSPTI >OIW21636 pep supercontig:LupAngTanjil_v1.0:Scaffold_154_11:45640:50506:1 gene:TanjilG_06940 transcript:OIW21636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKFSKQFEGQLIPEWKEAFVDYWQLKKGLKKIQLSNNTNNISNNHQSISAPKSIISSLRNYSLYSNQHREHGPIQVHRKLASSTIRDDMYETELLEQFTETDATKEFFACLDQQLNKVNKFYRTKEEEFMERGDSLKKQMEILLELKSTFLEKQGKGVCSEDSKEDQSISCTFSNEEDSVRNRELKEEMHETRTDDKKEESFSDSHRVDEVGKSMQVKREDGKLRTNTGRIINCHGKNLRINIPSMTPSRTLSAITYLVPEDLLNQSSRKCGTEGGMIHVNKKRLHHAEKMIKGGFIELYKGLGYLKVYRNLNMLAFLKILKKFDKVTTKQILPIYLKVVESSYFNSSDKVMKLADEVEELFVKKFAEDNRRKAMKYLRPSQAKESHAVTFFIGLFTGCLLALLAGYVIMAHVTGLYRPQQHSIYMETAYPVLSMFSLMFLHFFLYGCNILAWRKTRINYSFIFELAPAKDLKYRDIFLICTMAMTTVVGVMLLHLILLTKGYSFAQVQYIPGLLLLAFLLILMCPFNIIYRSSRYRFLCVIKSIVLSPLYKVVMLDFFMADQLCSQVPMLRNLEYVTCYYITGSYKTQDYGYCMRTKHYRDLAYAVSFLPYYWRAMQCARRWLDEGERSHLVNLGKYVSAMLAAGVKVAYEKDGSVVWLCLVVIMSSAATMYQLYWDFVKDWGLLQINSKNPLLRNELMLHRKAIYYFSMGLNLILRLAWLQTVLHSSFENVDYRVTSLFLAALEVIRRGLWNFYRLENEHVNNAGKFRAVKTVPLPFHEVDEEE >OIW21637 pep supercontig:LupAngTanjil_v1.0:Scaffold_154_12:10700:11770:-1 gene:TanjilG_06941 transcript:OIW21637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMFWALVLASLCCQLPSINAQAPTKFSPTTPTTHSSPTTTQPPIAASSPLVTSKPPTATPPVAKVAPKSSPVTSPAPKVAPALSPKAPPPQLPKSPPVPAPTLPPPLPPPPKISPTPVQTPPAPAPVKATPVPAPAPVSSPPAPAPAPIIQVPAPAPQTHKKRKHKHKHRRHQAPAPAPTIIHKSPPAPPTDTTADSDTAPAPAPSLNLNGAPSKQGRNIWATAGLAIIVLLATTGYSC >OIW21638 pep supercontig:LupAngTanjil_v1.0:Scaffold_154_15:18864:28285:-1 gene:TanjilG_06942 transcript:OIW21638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITLTGFMFLLVSALLGYIYSPQLDSAPPRWVNFAHGLLLFLYQTFDAVDGKQARRTNSSSPLGELFDHGCDALACTFEALAFGSSAMCGRSTFWFWLISAITFYGATWEHFFTNTLILPVVNGPTEGLMMIYVSHFFTAIVGSEWWAHRFGESLPFLNWLPFFGGIPTYAAVLYLMIAFGVIPTVTLNVSNVYKVVKARNGSMLLALAMLYPFVALVGGVLVWDYLSPADILRTYPHLAIIGTGLIFGYLVGRMILAHLCDEPKGLKTGMCMSLLYLPFAIVNAVASRRNDGIPLIIFVRVPLVDERLVLLGYCVFSGKDLHGVTMNGEVGPPQLGVVANKLGGRHSSINIGNKSFAFGPRGQDNGCVKTMKLPHHVEGNELNRISDPPGDAYVDDLFHLLDNQSGVTKKEA >OIW21639 pep supercontig:LupAngTanjil_v1.0:Scaffold_154_17:7391:8767:1 gene:TanjilG_06943 transcript:OIW21639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSCIPRCKVAKIMGWLQIILGGLVILVSILSLTKFYSAGLLLPNEDICQHFYTVKDVYGDFDAKVLSDRIGEVLESLETLQGKLESKVQEMEKNKGILDKKFLEDEIVRPIHSVNVALRHIRVPKIEGRNNTEKDDPLINFFITEEIRKYITPKENRVGKINLYGTNKVYNTIGHACVMYKKELEEYMDYDIGSYCDDDWNLAQKLMLNGCDPLPRRRCLTRASMVYQRPYPINESLWRLPDHRNVRWGNYQCRNFECLSSKNPKRGYSKCSSCFEMEKEKLKWVSNSSVSTDFLILDVLETKPGEIRVGLDYSIGTGTFAARMREHNVTIVSTAINLGAPFNEIIALRGLVPLYVSLNQRLPFFDNTMDLIHTNGFMDGWIDMLLLDFILYDWDRILRPGGLLWIDNFFCNRKDMDDYMYMFLQLRYKKHKWAISPKSKDEFYLSALLEKPPRAI >OIW21640 pep supercontig:LupAngTanjil_v1.0:Scaffold_154_25:6491:8060:1 gene:TanjilG_06944 transcript:OIW21640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKGGKKKSSSSSKSSLFYEAPLGYSIEDIRPNGGIKKFRSAAYSNVSNMAMQVSAIGFEGFEKRLEICFFHPGLFSDPEGKGLRSLAKSQLDEFLAPAECTIVSSLSNEDVDSYVLSESSLFIYAYKIIIKTCGTTKLLLSIPHILALAESLSLKVMSVRYTRGSFIFPGAQSFPHRNFSEEVAILDGYFAKLGAGSVAYVMGGLDKVQKWHVYSASADRVNPSDSVYTLEMCMTGLDKEKASVFYKDLSGSGAVMTVNSGIRKILPDSKICDFEFEPCGYSMNSVEGAAVSTIHVTPEDGFSYASFESVGYDLTKMNLNKLVKRVLACFQPTEFSIAVHTDHASKFFEQNFLLDVEGYCLEERNHEGFGMGGSVFYQKFEKTFESGSPRSTLKCWKDEDEEE >OIW21641 pep supercontig:LupAngTanjil_v1.0:Scaffold_154_29:3013:4582:1 gene:TanjilG_06945 transcript:OIW21641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKGGKQKSSSSSKSSLFYEAPLGYSIEDIRPNGGIKKFRSAAYSNVSNMAMQVSAIGFEGFEKRLEICFFHPGLFSDPEGKGLRSLAKSQLDEFLAPAECTIVSSLSNEDVDSYVLSESSLFIYAYKIIIKTCGTTKLLLSIPHILALAESLSLKVMSVRYTRGSFIFPGAQSFPHRNFSEEVAILDGYFAKLGAGSVAYVMGGLDKVQKWHVYSASADRVNPSDSVYTLEMCMTGLDKEKASVFYKDLSGSGAVMTVNSGIRKILPDSKICDFEFEPCGYSMNSVEGAAVSTIHVTPEDGFSYASFESVGYDLTKMNLNKLVKRVLACFQPTEFSIAVHTDHASKFFEQNFLLDVEGYCLEERNHEGFGMGGSVFYQKFEKTFESGSPRSTLKCWKDEDEEE >OIW21642 pep supercontig:LupAngTanjil_v1.0:Scaffold_154_38:2485:8949:-1 gene:TanjilG_06946 transcript:OIW21642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKDTPNADTHHTRLGLLKDQVHLVKRKDSDRYEITSIKYQLSFEKGFFIVIRACQMLAQKNDGIIMVGVAGPSGAGKTVFTEKILSFMPSIAVISMDNYNDSSRIVDGNFDDPRLTDYDTLLQNLHDLKEGKSVQVPIYDFKSSSRTGYRTVEPPSSQVVIIEGIYALSEKLQPLLDLRVSVTGGVHLDLVKRVIRDIQRAGQEPEEIIHQISETVYPMYKAYIEPDLQTAHIKITNKFNPFIGFQSPTYILKSTRNLTADQIKSLLPEGFKETTEQIYDIYLLPPGEDPKTCQSYLRMQNKDGKYSLMFEEWVKDSPFVISPRITFEVSVRLLGGLMALGYTIATILKRNSHVFSDDSICVKLDWLEQLNRHYIQVQGRDRLVVKCIGEQLGLEGSYTPRTYIEQIQLEKLVNEVMALPDDLRTKLSLDEDLVSSPKEALSRVSADMRNKNMRSGMSQSYTNQRDKNLAKVTGYVANNREFGERNSDSSTRPENQGAMNQLTEQISALSDRMDEFTNHIEELNTKLTINKNSPTHQNMSAQAESCNGSAPTSYFMTSLSNGSLSGSKMPNSSSSSQLAKDSTFMDEIAGIVRGQRQIMHQLDNLSNLLRGSLEEKPQQARTNSRKSIATDLDHTRTRVMVAMAVGCLGIVLMKGLWTRN >OIW21643 pep supercontig:LupAngTanjil_v1.0:Scaffold_154_41:3838:4392:-1 gene:TanjilG_06947 transcript:OIW21643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPSEIRGIHYPTPEYQNPFLVPSNFILQQSDHIPNSHPNSLLNNLPNNHYPSLGHEFVPPSYLSSNSTTSDEADELNLNIFNERKQRRMISNRESARRSRIRKQKHLDELWSQVVRLRTENHNLHDKLNHMSESHDKVLQENARLKEEAFNLRQMVENMQIGNSFACTFRDFDEVPINTPQIS >OIW21644 pep supercontig:LupAngTanjil_v1.0:Scaffold_154_52:2314:2748:-1 gene:TanjilG_06948 transcript:OIW21644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKWSTMERWVGKSKAKLMLKRVKGSDIGDSSLRHLNAKDLKGRDKVEGLITSVGEEDVGLVYVHVLDCLSEPLVEVNVRVSKGIIRLVESDVLEVGESSLHPKVLGSIIPDKDIGVVRNGKKETSLILKPPYQRSIIGGKKGVV >OIW22077 pep supercontig:LupAngTanjil_v1.0:Scaffold_1554:167:787:1 gene:TanjilG_07140 transcript:OIW22077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFCMIRAKRMCCMSGQGDASGRSKQSRSEKKSRKAMLKLGMKPVTGVSRVTVKKSKNILFVISKPDVFKSPASDTYIIFGEAKIEDLSSQLQTQAAEQFKAPNVSTSASKPESSSTAQEEEDEDVDETGVDPKDIELVMTQAGVPRPRAVKALKAADGDIVAAIMELTN >OIW21645 pep supercontig:LupAngTanjil_v1.0:Scaffold_157_27:2705:8821:-1 gene:TanjilG_07171 transcript:OIW21645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTPVNIIVGSQVWVEDPEIAWIHGEVAEINGKKAKILTIDGKSVVKEISSIYPKDTEAPPAGVDDMTKLAYLHEPGVLYNLACRFSLNEIYTYTGNILIAVNPFRRLPHLYDIHMMEQYKGAAFGELSPHLFAVADTCYRAMINENGSQSILVSGESGAGKTETTKMLMRYLAFMGGRSNTEGRTVEQQVLESNPVLEAFGNAKTVKNNNSSRFGKFVEIQFDKNGKISGAAIRTYLLERSRVCQVSDPERNYHCFYMLCAAPPEDIKKYKLGDPRQFHYLNQSNCYKVSNMDDAKEYLETRNAMDIVGINLDEQDAIFRVVAAILHLGNINFVKGKEFDSSKLKDDKSLFHLRTVAELLMCNEKSLQDSLCQRVIVTPDGNITKLLDPDAAALSRDALAKTVYSKLFDWIVDKINNSIGQDSNAISIIGHVFKMEQEVYTKEEINWSYVEFVDNQDVLDLIEKKPGGIIALLDEACMFPKSTHETFAQKMYQTYKAHKRFRKPKLAQTDFTINHYAGDVTYQADYFLDKNKDYVVAEHQALLWASKCNFVANLFPPLHEETSKQSKFSSIGSQFKQQLQSLMETLSTTEPHYIRCVKPNTVLQPGIFENNNVLNQLRCGGVLEAIRISCAGYPTKRTFEEFLNRFGMVALDVLDGSDEKKSSIAICDKMGLKGYQIGKTKVFLRAGQMAELDARRAEIISKAARRLQRQIMTYLTRKVFITQRKATIHIQKTWRAKLARKLYEQMRREAASIQIQKHVRAHKERMHYSSLQASTIVIQSGLRALAARNEYSYRRRTKASIKVQVNTYLEDLRVCE >OIW21646 pep supercontig:LupAngTanjil_v1.0:Scaffold_157_28:5090:5431:1 gene:TanjilG_07172 transcript:OIW21646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFHLHLPYIHFLHNHYDKKDVKDIPKGCLAILVGQGEEQQRFVIPVIYFNHPLFMQLLKEAEEEYGFEHKGPITIPCQVEEFRTVQDMIDRENSHHHHHHHHHNSHVWCFKV >OIW21650 pep supercontig:LupAngTanjil_v1.0:Scaffold_157_30:46937:54348:1 gene:TanjilG_07176 transcript:OIW21650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYVLRVRFASFFTGAATASFAALYILHKDYKLAHQSFNQQIKDIHESLDGRISSLEKLKQSETSPQVEATE >OIW21648 pep supercontig:LupAngTanjil_v1.0:Scaffold_157_30:21237:27696:1 gene:TanjilG_07174 transcript:OIW21648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASANVAAAASATTARGASIQIPPSQSRKEWRAVNEHHHSSRNPDDEVLENARHGQSDERTIYEVQQGREPIDADFCSITVDGTLGNDILQQQLHNVVRQRQEVLQVEIELKAQIIARNEIIEMRSTFDAQLKEHAINASKLQEQLREREQTIHELERKMEEKDMELHTIKLDNEAAWAKQDLLREQSKELATFRREREHSEAERAQHIKQIHDLQEHFQEKERQLVELQEQQRVAQEAIMYKDEQLREAQAWIGRVREMDVFQSTTNQTLQAELRERTEQCNQLWIGFQRQYAEMERLHLQTIHQLQLELAEARERNGTFNDDSLSQMNSKNDVSQFGSENGNQFDLNRCNASGGNNGQLPNESPDNVPPFASTGNATMQTDRAPGVPITPSSLLVPPSYFPPGQVTSLHPFIMHQGVPNSVASHVPQSHVGHFHPVPAMSPLQQWQNHQVVSDGSQVSIQDDPTSCQNDQNLLRSDAKFNYEMSINGQALHRDYFDARVQQNEEPQTVISSSTGETQLLQTIDKGQLVASQQDQSLQQISSQFSDSLRLNSFESNGEIKEQNAGANDGPEEQVEEHASSSVIASAVTSHSANHNETVQSNSTEPIFPEAFTSAGQTISTTITKTSETSLLDERSLLACIVRTIPAGGRIRISSTLPNRLGKMLAPLHWHDYKRKYGKLDDFVASHPELFMIEGDFIQLREGAQKMVAATAAVAKVAAAAAASSPYSSYMPTVAVTPMAQSHRLKKAPSIDSKNIKTDKNLQEYAVISSNIGDDTLNGVFNVAGGLSNAKVAGKSKDAREMNGPESRAVHSSVQLAVDNGGSLDRSSISSAVNPGSANGRAVQSFALKQQSRATGAAYPSRR >OIW21647 pep supercontig:LupAngTanjil_v1.0:Scaffold_157_30:1753:8306:-1 gene:TanjilG_07173 transcript:OIW21647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHCCSKGVAADNETNATDHRLKPPHQPVASPSPVMPSVNSVSTASDATPGRHTSANSFSVNSPFPSPLPAGVAPSPAMTPGRKFRWPLPPPSPAKPIIAALQRWQGKMAKPKDGPIPEEQQGEGGGEGERTLDKSFGYGKNFGAKFELGKEVGRGHFGHTCWAKGKKGELKGQSVAVKIISKSKMTSAIAIEDVRREVKMLKALSGHTNLVKFYEAFEDVNNVYIVMELCEGGELLDRILERGGRYPEDDAKAIIVQILDVVAYCHLQGVVHRDLKPENFLFVSKVENAGMKVIDFGLSDFVRPDQRLNDIVGSAYYVAPEVLHRSYSVEADLWSIGVISYILLCGSRPFWARTESGIFRSVLRANPNFDDSPWPSISPEAKDFVKRLLNKDHRKRMTAAQALTHPWLRNERIAIPLDILIYKLVKSYVRASPLKRAALKALSKALPEDELIYLRAQFSLLEPKDGSISLENFRMALMKVATDAMKESRVSDILNLMEPLAYKNLDFEEFCAAAISVYQLEVHQEWEKIATTAFEHFKEAGNRVISVEELAQEMSLGPSAYSLMSDWITKSDGKLSFVGYTKFLHGVTVRSSNNTRQRQLV >OIW21649 pep supercontig:LupAngTanjil_v1.0:Scaffold_157_30:29484:31976:-1 gene:TanjilG_07175 transcript:OIW21649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNKDPPLNNISTEMIQKYLEENKELILAALEGQNLGKFAEAAQYQAKLQQNLSYLAKLADAVSLPQIEPQGQAMQQPHVAISQQQPHVAISQQQPHVAISQQQPHVAISQQQPPVAMSQHQPHVAMSQQQPELSTLNLPFDLNDQQEHDLSAPKLPFQMNEQQHKLPDFFQQQQQFMPGPTGSFPSPNIGIYQALPTRLGNLSHTPESSQIGSDVNSLWSLGMPPSGPY >OIW21654 pep supercontig:LupAngTanjil_v1.0:Scaffold_157_35:39930:61472:-1 gene:TanjilG_07180 transcript:OIW21654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVENVGTKIDSSSQNLDNSVDSSETTKVEESKPVNGSIIGDQNLNGVYNHHDNVPNTVSVPNSNYKVQMGQVGNGFVGNGVQNQQRVLNNNGYGGVNGENGEESFKKDMRDLEDFLSKLNPMAEEFVPLSLTNNLGYLAGPGAAGFGYPNNFLFPNNYGNVNGQNNRRRKNGNGNNQGKRRVGNKVDMERREEMVRRTVYVSDIDQLVTEEQLAALFLNCGQVVDCRVCGDPNSILRFAFVEFTDEEGARAALSLSGTMLGYYPLRVLPSKTAIAPVNPTYLPRSEDEREMCSRTIYCTNIDKKLTQADVKHFFESICGEVQRLRLLGDYQHSTRIAFVEFTVAESAIAALSCSGVILGSLPIRVSPSKTPVRSRFPRTSMH >OIW21652 pep supercontig:LupAngTanjil_v1.0:Scaffold_157_35:13686:14111:-1 gene:TanjilG_07178 transcript:OIW21652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNFLAPCTCMSKHGKFVRVVKPDGKVLKFNSPINVKDIMTNFPAFGIGISKEATHLLSLNHEMNAGRLYHLIPSMCSPPIIKKQGNAKRIKVVITKQQLQQLVTKEISIEDILTEVKTFDVDFSYKQKPKLETILEENEW >OIW21653 pep supercontig:LupAngTanjil_v1.0:Scaffold_157_35:24421:25792:1 gene:TanjilG_07179 transcript:OIW21653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQNVSTQVGDTTCTKIFVGGLAWETKRDTLKRYFDQFGEILEAVVITDRNTGRSKGYGFVTFKDQNSAERACQNPYPVIDGRRANCNIAALGAQKIDHSARQKLRSPSWNRVPTQFQGTSTYFNQHIPQYTFQYPPYRYPGYPSPQGIYGMQNYHNAYGGQQLPFRLLPAYYLPFYDHSRQFIPAAYVKKTQFPEMSSQELTVAGLSEPISASPSSSSTGLITGTVAAGTGVLGSPPEQKHSA >OIW21656 pep supercontig:LupAngTanjil_v1.0:Scaffold_157_35:75650:77286:-1 gene:TanjilG_07182 transcript:OIW21656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSELACSYATLILHDEEIGITAEKISTLLKAANVNVESYWPSLFAKLAQKRNIDDLILNSGGGGAAAVAVAAPAAAAGGGAAAAAPAAEEKKEEAKEESDDDMGFSLFD >OIW21655 pep supercontig:LupAngTanjil_v1.0:Scaffold_157_35:72706:73401:1 gene:TanjilG_07181 transcript:OIW21655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGPSMPFEFNVEVSTIPFDENDEAIYQSLAQVHMGDFFSIKIHVTNRIICLTREELQQPLSRIPYFESSYETTGLLACPQFLESGLVSVFPDNIIPPLLLHTITPHVMTFARDLCESGGETRACCMFNLVLDIVVEKLYDDVVNMMIEESAREVRTVPASKAAIESLKKVNLEKGMTKESCSVCLEELIEEEEVLAMPCKHMYHQECITNWLQRCDTCPLCRFSMNKSST >OIW21651 pep supercontig:LupAngTanjil_v1.0:Scaffold_157_35:7626:10338:1 gene:TanjilG_07177 transcript:OIW21651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLHQNHHHRPLSQPFDSDSSVAGDGDGPTHTNYMSNWTNHMNLVTTTGAYSAIDGAGSVPVRTLQQPFDISAYYASGYPAFKSSADVMAASLGFPFTSAQWKELERQAMIYKYMVASVPVPPHLLIPSYSPSTLVNGGFNLRLSSSDPEPGRCRRTDGKKWRCSRDVAPNHKYCERHMHRGRPRSRKPVEVHTNNNNNQNQIKRARNDSNPFPTSDNITTRKGGSTSQCVASGTSQQYLDSSSISLHDFGVKTRNFDSVASVPSNKESRSLEWMLNGDPISLGASHSEFQSLMHNKVGLATESSFSDTEPQYLNSFALYNSGVLDQQNQHPPMFLNPIDFPMENLESPKPRGSIDAWSTEQNNGNTNKTNVGSIGKLSLSSLELSMGGGCVHEDISTIDMGLGLMESGNNTQHNETKTHLSNWLTPSLSSSHWVASTTLGGPLAEVLRPSTFTNDAASNPSSSVITHAESSSSPLGTLVSSPSGVLQKTLASFSDSSSNSSPRVASSRANNSDIAFRFNQK >OIW21657 pep supercontig:LupAngTanjil_v1.0:Scaffold_157_38:6965:9304:-1 gene:TanjilG_07183 transcript:OIW21657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLSSPLLDMFENPTMFGVFSGLVLFLGPMWLAFFFGLVVGWLWKPKWASTGKEKLTSSLAKSFDFPLPSSFSPSNVVFSPLNRYSSPPRLNSIEMQSPNSKTLVVNKRVDKKASSSSSSTSNSIDVSNICTIEDFHHLFQLVEETDGGLPWVQVMDRSTPNMYYQAWRREPKDGPPQYRSSTIFEDATAEIVRDLFWDDEFRSRWDDLLIKSTILADCPTTGNMKVHWIRKFPFFCKDREYIIGRRIWESGRAYYCVTKGIDCPSVPRVDKPRRVDVYYSSWCIRAVESKRNKGQLTACEVLLFHHEEMGIPWEIAKLGVRKGMWGTVLKIEPGLRAYQEARAAGAPLSRPAFMAQVNTKISPQYLQSMGTTENSPETEAVITAQKPKRVNVPKMLVIGGAIALACSLDKGLVTKYVIFGVARRFANIGKA >OIW21658 pep supercontig:LupAngTanjil_v1.0:Scaffold_157_94:20940:23286:1 gene:TanjilG_07187 transcript:OIW21658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHSSCLLPSPSSLFHNTKTKHHFLTIPSSKPLTLKQLSSINNTSLSHNISSKTHRFTFTSFVAQTSDWAQQEDSNTATFEEQENSETEAAGVSEWETNAEDAEGEGEGEEEGGEFEDGGFLEPPEEAKVFVGNLPYDIDSQKLAVLFEDAGTVEVAEVIFNRDTNQSRGFGFVTMSTVEEADNAVEKFNRYGLDGRLLTVNKASPRGSRTERPPRNFENGLKVYVGNLPWDVDNNRLEQIFSEHGKVENARVVYDRDTGRSRGFGFVTFSNETEMNDAIAALDGQSLEGRAIRVNVAEDRPRRSSF >OIW21660 pep supercontig:LupAngTanjil_v1.0:Scaffold_158_2:19227:20218:-1 gene:TanjilG_07280 transcript:OIW21660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSADAEPRKPRILLAASGSVASIKFANLCHCFSEWAEVRAVATSASLHFIDRTSIPKDVVLYTDEDEWSSWKKLGDNVLHIELRRWADIMVIAPLSANTLAKIAGGLCDNLLTCIVRAWDYSKPFFVAPAMNTFMWNNPFTERHLISIDELGISLIPPVTKRLACGDYGNGAMAEPSTIYSTDFNSAQLSFSEIQSDHIGGVY >OIW21659 pep supercontig:LupAngTanjil_v1.0:Scaffold_158_2:13077:13370:-1 gene:TanjilG_07279 transcript:OIW21659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACYSGCDGSWMRGLFAVGTSLLPFVPMKVDSSPSPLFDFSFRLVLFMLVLLCPLFQGFPVYESGEGENSVVSWFAMVSGLGLCETNNKGAAELLAR >OIW21661 pep supercontig:LupAngTanjil_v1.0:Scaffold_158_7:2285:6800:-1 gene:TanjilG_07281 transcript:OIW21661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFLSNPYFLSILSAALALLFFQIVLRKLSKSHRKKYHPVAGTVFNQLMNFKRLHHYMTDLAGKYKTYRLISPFRNEIYTADPMNVEYILKTKFENYGKGSYNYENLKDLLGDGIFTVDGEKWREQRKISSHEFSTKMLRDFSTSIFRKNAAKVANIVSEAATSNKQLEIQDLFMKSTLDSIFQVAFGTELDSMCGSNEEGNKFADAFDTSSALTLYRYVDVFWKIKKFLNIGSEAILRKKTKILNEFVLKLINTRFQQMEISKDDSAVSLLDTSCVFFGITKGIPSAYEYENNPFERKGGDILSRFLQMKEFDSTYLRDIILNFVIAGKDTTAATLAWFIYMLCKYPAVQEKAAEEVKEATHTTTISSCAEFVSCVTEEALEKMNYLHAAITETLRLYPAVPVDAKICFSDDTLPDGYSVNKGDMVSYQPYAMGRMKFIWGDDAEEYKPERWLDENGIFQQESPFKFTAFQAGPRLCLGKEFAYRQMKIFSAVLLGCFSFKLHDETKSVNYKTMINLHIDGGLEVKAFHRD >OIW20194 pep supercontig:LupAngTanjil_v1.0:Scaffold_15_102:24419:24601:1 gene:TanjilG_06595 transcript:OIW20194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILVAIVAEVMEEYTVLVARVVEQVLRFAPIPRRVRFLILDSLPFASSRPTTIRALPRGS >OIW20193 pep supercontig:LupAngTanjil_v1.0:Scaffold_15_102:5843:7753:-1 gene:TanjilG_06594 transcript:OIW20193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKNKNEQNNENKESSKAVLLKALIHCEGCSSKIIKCLRGLEGVDQITIERENNKVIVKGDIVKDPMKVLETLQKKYSKNVELISPKPKPEKPKIEEKKEEPKVVLPEKTVVLKMYIHCEGCESDIKKIIGRIEGAQSVEVNRETSQVIVKGTMETTTLVEYVKKQLGKHSEIIKNEQKKEVKPKEIHEKPNNNEPIILYSYPPQYSTQYLYPNQTFNDENVFACSIM >OIW20196 pep supercontig:LupAngTanjil_v1.0:Scaffold_15_108:6596:7888:-1 gene:TanjilG_06597 transcript:OIW20196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEKKLSNPMREIKVQKLVLNISVGESGDRLTRAAKVLEQLSGQSPVFSKARYTVRSFGIRRNEKIACYVTVRGDKAMQLLESGLKVKEYELLRRNFSDTGCFGFGIQEHIDLGIKYDPSTGIYGMDFYVVLERPGYRVGRRRRCKARVGIQHRVTKDDAMKWFQVKYEGVILNKSQQIS >OIW20197 pep supercontig:LupAngTanjil_v1.0:Scaffold_15_108:8959:10127:-1 gene:TanjilG_06598 transcript:OIW20197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREIKVQKLVLNISVGESGDRLTRAAKVLEQLSGQSPVFSKARYTVRSFGIRRNEKIACYVTVRGDKAMQLLESGLKVKEYELLRRNFSDTGCFGFGIQEHIDLGIKYDPSTGIYGMDFYVVLERPGYRVGRRRRCKARVGIQHRVTKDDAMKWFQVKYEGVILNKSQQIS >OIW20195 pep supercontig:LupAngTanjil_v1.0:Scaffold_15_108:3418:3780:1 gene:TanjilG_06596 transcript:OIW20195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICSGKHGVDGIFKKRNGIIRGKDILSNSIGTSMDTVDESSSFAMLNYKVALSPLKLSTTDMSSVKNTSQIQTSENSVDHDSDVDSPCWKGTMAICPTPSKTSGLKKFIMLRKQPKNTTV >OIW20198 pep supercontig:LupAngTanjil_v1.0:Scaffold_15_111:16539:18615:-1 gene:TanjilG_06599 transcript:OIW20198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQDGTKRQGEVLDHVHEGGDENSSVSVEGAVIRAVDGVSLGGKISISGEVGSSKETGNNSGLNKEMNEGPEEVKGPNKEKIIDTLTVVDEGTSNNSKHLVNQEVLETVVVIDSVQNEHVNGDNRKLEAKVTESGLREVPREDLNGVPETDKSSCVIDIRRSNRKGLSDSSDGERVCRICYLASGRLSNASAVGTDGSTNGRADLIHLGCACKDELGIAHILCAEAWFGLKGNRVCEICGETAKNITGIADRRFLVEWNERGHMDEESTSSRLIECWQGQPFCNFVMAFLGLNLCPPTYEPSIFDKLPA >OIW20200 pep supercontig:LupAngTanjil_v1.0:Scaffold_15_113:30320:31876:1 gene:TanjilG_06601 transcript:OIW20200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEIISKRSNTNKKETSNLLLGRFEIGKLLGHGTFAKVYYATNIKTGEGVAIKVIDKEKILKGGLVAHIKREISILRRVRHPNIVQLFEVMATKAKIYFVMEYVRGGELFNKVAKGRLKEEVARKYFQQLISAVEFCHARGVYHRDLKPENLLLDENGNLKVSDFGLSAVSDQMRQDGLFHTFCGTPAYVAPEVLGRKGYDGAKVDLWSCGVVLFVLMAGYLPFHDQNVMAMYKKIYKGEFRCPRWFSPDLSRLLIRLLDIKPETRIAIPEIMENRWFKKGFKQIKFYVEDDKLCNVDDSLMDNEDDAASVVSDYSISESDSEIEIRRRNAPLPRPASLNAFDIISFSKGFDLSGLFEEKGDETRFVTAAPVHKIISKLEEIAQLVSFSVRKKECRVSLEGTREGVKGPLTIAAEIFELTPTLVVVEVKKKGGDRAEYEKFCNTELKPGLEKLTAEESATSSFSATPIKSHLLRVQSEPINLPSDIECPRYINADIESPHYIPSDVESPIYMPSDSDT >OIW20199 pep supercontig:LupAngTanjil_v1.0:Scaffold_15_113:2744:3935:-1 gene:TanjilG_06600 transcript:OIW20199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPFFIFLILIALFVLVESSCNIKDQDLVSKAFHFVSGFNPSWFQTKASSSSNCSITQIKKIELASKNLIGTISWGYLRNMSKLEILDLSENSLQGHVPNWLWTSSTLLIVNLSNNRFRGNIASKPITQNDSFSSLQSLNLSHNRFTNQFYISGFSNLKSLDLSHNNLVTLPSGFENITNLHLLDLSNCNIKGNRFKKFGKSAFIHAGNNFNYYNASKIPNLHSTTTTPPQVEKQNPVQLYTTEDQKKKQKSKTMIVAASCGALVLLLLLSTWVLFKYRNMRQKMTKKNKWAISKPVSFNSIKMEKSGLSAFRTESGTSWVADLKEPSSAAVVMLEKPLMNDRT >OIW20201 pep supercontig:LupAngTanjil_v1.0:Scaffold_15_115:10213:14337:1 gene:TanjilG_06602 transcript:OIW20201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTTCNIFGFWLRNYVCLIFVWGIQDCWSLSDEGLALLEFRARITFDPYVALENWNLNDCDPCKWFGVHCFDGKVQMLDLNELSLEGTLAPELGKLSHLKYLVLCKNKFSGTIPKELGNLVMLELLDLRENSLIGSIPAEIGKISLLKNFVWHNKFKQWKTTYSLVIPMKFTLMKYFNIFALQLLGNDARHDPEENFYDLPTSNELDIAQNEENIVNSARRKLLDQSSNLAAAPYSGQPTIELSYVPTTLSSGDFPAVPSAKMEQHQSHSPFDSLHDASDQYSHGNQQHSANGVSGNLWKYIIIIAVVAMLIIAIIVILCIWRKKAAKVINPWKTGISGQLQKAFITGVPKLNRVELETACEDFSNIINSYDECIIYKGTLSSGVEIAVVSTLISSSHEWSKSMEMNYRKKIDTLSRVNHKNFVNLIGYCEEEKPFTRMMVFEYAPNGSLFEHLHVKEVERLDWSERTRIIMGTAYCLHYMHHDLNPPVSHRNLNSSVISLTDDFAAKIGDITYGNIISPITKTTGDDSKKFDLPPHADPETNVYNFGILLLEIISGKLPHSEEQGNLVNWAADYLNDKRNISYMIDPTLQSFKDNELDAICEVIQDCIQPDPRLRATMREITTKLREVLGISPEQVVPRLSPLWWAELEILSLEAA >OIW20202 pep supercontig:LupAngTanjil_v1.0:Scaffold_15_115:22300:23259:-1 gene:TanjilG_06603 transcript:OIW20202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIFKTPFNGYSVKFSPFYENRLAVATSQNFGILGNGRLHVLDLSHDPSLPPITELAAYDTADGVYDLAWSESHDSLLVAAVADGSVKLYDLALPPTQNPIRSFQEHTRETHSVDYNPVRRDSFISSSWDDTVKLWTLDRPTSVRTFKEHAYCVYSAVWNPRHADVFASASGDCTVRIWDVREPGSTMILAAHDAEILTCDWNKYDECLIATGSVDKSIKVWDVRNYRVPITVLNGHGYAVRKVKFSPHSRNLMVSCSYDMTVCAWDFMVEDALVSRYDHHTEFAVGVDMSVLVEGLIASTGWDELVYVWQHGTDPRAH >OIW20176 pep supercontig:LupAngTanjil_v1.0:Scaffold_15_12:4900:8649:-1 gene:TanjilG_06510 transcript:OIW20176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLKSRGEGASRSFISQRWALLLCLGSFCAGMFFTSRMWTIPEPKGLARPTAPEGENLSVVSEGCNSRILQDMEMKRETKDTYKEASKSQNSKETLDKTISYLEMELAAARTTQESIRSGAPIPEDLRITESFGKRKYLMVVGINTAFSSRKRRDSVRATWMPQGDKRKKLEEEKGIIMRFVIGHSATSGGILDRAIEAEDRKHGDFLRLNHVEGYLELSAKTKTYFATAVNLWDADFYVKVDDDVHVNIATLGETLARHRSKPRIYIGCMKSGPVLYQKGVRYHEPEHWKFGESGNRYFRHATGQLYAISNDLASYIAMNQHLLHKYANEDVSLGSWFIGLDVEHIDDRRLCCGTPPDCEWKAQAGNICVASFDWSCSGICRSAERIKEVHKRCGEGENALWSATF >OIW20177 pep supercontig:LupAngTanjil_v1.0:Scaffold_15_12:23055:23547:-1 gene:TanjilG_06511 transcript:OIW20177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGSIAVGIYILVRAVDRFVANYNSFPGEFDSAMDEDIPRLKSTAIGLLSDLGCNGATLAEDLINEMCRFGAAELHAVAALVGGIASQEVIKACCLSSFT >OIW20203 pep supercontig:LupAngTanjil_v1.0:Scaffold_15_123:36640:37149:1 gene:TanjilG_06604 transcript:OIW20203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNNIRCCISCILPCGALDVIRIVHSNGHVEEISSNIKASDIMKAYPKHVLKKLSSSSTLDDGGGGGGVVPKIVVVPPDTELQRGKIYFIMPLPSQRKKKKDHSRSNSHNNNNSDRNVSVANLVASSDGYLSDILSEKKLCTQRDRRSSGRVAMWRPHLESISESPCDL >OIW20204 pep supercontig:LupAngTanjil_v1.0:Scaffold_15_134:3172:4071:1 gene:TanjilG_06605 transcript:OIW20204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDFPDSLTPPSTNHRHLPVREDCWTEEASSTLVDAWGRRYVELNRGNLRQKDWQDVADAVNALHAHSKKTHRTDVQCKNRIDTIKKKYKIEKARVAASNGVVSSSWPFFERLDSLIGPNFIKKIATSSPSPSPPVALPLLPYRKIQSPVAAPVTSVVLPQKRSASAVMDDGYFRRNYSAMAAAAAAAEADDDDEEEEDYEEEEEEMMEDEGRGSEVEEGERYKGKEGMRRLAKAIESFGKMYERVEEKKLRQLMDLEKQRMQFAKEVEIQRMQMFMDTQIQLERIKHGKRSGSNSEIQ >OIW20205 pep supercontig:LupAngTanjil_v1.0:Scaffold_15_139:8010:10349:-1 gene:TanjilG_06616 transcript:OIW20205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRSQLRLIFMQFSSITFGMAAIYSLYIINKSGGLIYYKDYGSSGRMDTNDSLRVASLWHSMHAISQQLSPVSGCTGIELLQADTFDLHCFQSLTGTKFFVVCEPGTQHMESLLKFSYELYTDYVLKNPFYEMEMPIRCELFDINLAQAVQKDRVAFLGR >OIW20206 pep supercontig:LupAngTanjil_v1.0:Scaffold_15_149:4363:10762:-1 gene:TanjilG_06617 transcript:OIW20206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSDIGVKASCVHDWKKGWPLRSGGFAQLCIKCGVMEEWKPGILDPLTAYEMSVFCNNFHRQKMGWMECKFCNKPIHCGCVVSRSLFEYLDFDGIGCVSCVASQLRMQTRNTENPNGSISSTKNNAVDQQSALIDGTLFKDSVDEGKLMQLCRIVEASEPSRWNNDQRDSIISCSGQNIQEVKCSFGEVDTGFSNVFKPPVQSLIFSKFETNRSTWDIKNTVTPEPTAQPSLNMFLGNPSGNKTALPPSSGEIVDARLEGKASPPFHQGQRSRPIFPKPLKIGLSMNPETDKGTTSHARIARPPADGRGKSQLLPRYWPRITDQELERLSGDLKSTVVPLFEKILSASDAGRIGRLVLPKACAEAYFPPISNSDGLPLRVQDVKGNEWTFQFRFWPNNNSRMYVLEGVTPCIQAMQLCAGDTVTFNRIDPGGKLVVGFRKASNSIDTQDASTFSLSNGISTKGTTNSGGTENLPLDSSYADLLQSIKGNGESHFNGHPEHLHFGAGAVGFLTTENFEKTNNQSPQQPIPVSEKKRTHNIGPKSKRLRIDNEDAMELRLTWEEAQHLLRPPPSVQPSIVTIEDQVIEEYEEPPVFGKRTIFRACSSGGKEQWAQCDDCSKWRSLPVDALLPPKWTCYENGWDGSRSSCSVPEEMSSRELENLLKPSKDFKRRKSLENGKSIKVHEPSGLDALAIAAVLGENLINPAVSSAGVTTKHPRHRPGCSCIVCIQPPSGKGRHKPTCTCNVCMTVKRRFKTLMLRKRKRQSEHEADAAKEDHNHQRDESETNGALKSKDDTRITSHLEKEGDRGQPDVGESSAGQIDLNSHPNRADIEMDVTGLSMSVNHLEITNHQVREYMTLNGLKSFNSEVQADQHSLLLTQSNGEGHEYFPAWKGERGEVYNHLNQSQNNLS >OIW20208 pep supercontig:LupAngTanjil_v1.0:Scaffold_15_149:54352:54772:-1 gene:TanjilG_06619 transcript:OIW20208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDPPVALLLPAINYDVQPPRTIDDDSTSMDHDQHSSITNVHQDKISSVGLVAHCYKPEGTGNMHQVVRDRMHQVHTGKAHQLVHILGQDYRINWICLGQQ >OIW20207 pep supercontig:LupAngTanjil_v1.0:Scaffold_15_149:43773:52692:1 gene:TanjilG_06618 transcript:OIW20207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYVPPHMRNTSSTTVDNNTKLAFPSSNSNSNSNNNNSLYNGSRRTSAAPPPSRIVAAPDPVFPPWQPSQRVSRMNPHQIEEVCLRLNLDVTVASDSPAAPTPIESFTDMCLDPSIMKDIAYHDYTRPTSIQAQAMPIALSGRDLLGCAETGSGKTAAFTIPMIQHCVSQTPIRRGDGPLALVLAPTRELAQQIEKEVKAFSRSIESLKTAIVVGGSNIEKQRSELKAGVEVVVATPGRFIDHLQQGNTSLSRVSFFVLDEADRMLDMGFEPQIREVMRNLPDKHQTLLFSATMPVEIEVLAKEYLSSPVHVKVGKVSSPTTNVLQNLVKVSENEKIDRLLDVLVEEASQAEKSGHPFPLTIVFVERKTRCDEVTEALIAQGLSAVSLHGGRSQGERESALHDFRSSSTNILVATDVASRGLDVTGVSHVINLDLPKTMEDYVHRIGRTGRAGSTGLSTSFYTDRDMFLVANIRKAIADAESGNTLSFATGKFARRKEKEAAAAQKEANFALSKNLGIGAASVNIEDKYKFMMSSSNNKGEGTADSAWDD >OIW20209 pep supercontig:LupAngTanjil_v1.0:Scaffold_15_150:4777:7732:-1 gene:TanjilG_06620 transcript:OIW20209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEAQNNLKEEVPEVVPFDPTKKKKKKKITIVDPADDPVDKLAEKTENLSVSFSPAVSEGVDSTFAGLKKKKKKPVEISNLNDESGDVAEDLDALDPAEEDEGDIAPLQPRYPWEGSDRDYEYEELLGRVFNILRENNPELAGDRRRTVMRPPQVLREGTKKTVFVNFMDLCKTMHRQPDHVMAFLLAELGTSGSLDGQQRLVVKGRFAPKNFEGILRRYINEYVICLGCKSPDTILSKENRLFFLRCEKCGSGRSVAPIKAGFVARVGRRNAGT >OIW20211 pep supercontig:LupAngTanjil_v1.0:Scaffold_15_150:41954:44962:-1 gene:TanjilG_06622 transcript:OIW20211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPADSPYTGGVFLVSIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRVKYEATARSWTQKYAMG >OIW20210 pep supercontig:LupAngTanjil_v1.0:Scaffold_15_150:30446:31258:-1 gene:TanjilG_06621 transcript:OIW20210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVQSTLHLQETERSHFEGDPPCKTKTKVHHHHHRKKLLRIILTDLDATDSDSSGDEENSPKLRRVKREITHVTINFPSLHSSSKTTTPSTSPSSSFSSGYNPTRFKSRMTRPKRNITGNSRRGSQFRGVRQRPWGRWTAEIRDPNQRKRVWLGTYDTAEEAAAVYDEAAVKLKGPKAVTNFPHAASKKTEEVAAVPVSRDGFASPTSVLAKNGDTTPFDSFHYDVVDAFGFDIDVPLSLTDVNTVMLSQRFGNVQFGDFDTDEFLTWLT >OIW20212 pep supercontig:LupAngTanjil_v1.0:Scaffold_15_161:4359:6257:1 gene:TanjilG_06632 transcript:OIW20212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVQLALKQVLIMQSEEQDFEQSLMSKKNKALHNDCLELYENTIFHLNLTIECMHGKRSCSPFDAQTWLSTAHTNIQTCPTAASELNAVDFKVSKLSNNVTEMISNSLAINMDFLKQNANNIHQTANGGSKVFPSWVSSSDRKLLMTSSSSIKADLVVAKDGSGNFRNIQDAINEAAKRESKTRFVIYVKKGTYVENIKVDVNNEYIMLVGDGKTNTTITAVEGYKFIARDISIINTAGPAKGQAVALRSSSDMSVFYRCSIVGYQDTLYVPTQRQFYTKCSIYGTIDFIFGDAAVVIQSCNIYARKPLQGQANMITAQGRDDVHKNSAISILNCRIKAAPDLKPNVDKVATYLGRPWKKYARVGVMYTFLDTLVNPKGWSPWNSSDFALDTLYYGEYKNYGPGSSISGRVKWHTFHALKSTYEVFQFTADALFSGPILMPTTDVSISTGLRSLS >OIW20213 pep supercontig:LupAngTanjil_v1.0:Scaffold_15_172:3091:5252:-1 gene:TanjilG_06633 transcript:OIW20213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNSTSFLLLLSLLTFVPSCLCSTKFGGYLYPQFYDYSCPRAQEIVKSILANAVANEPRIAASLLRLHFHDCFVKGCDASILLDSSGSITSEKGSNPNRNSARGFEVIDEIKSTLEKECPHTVSCADILALSARDSTVLTGGPSWEVPLGRRDSRGASIKGSNNNIAAPNNTFQTILTKFNLQGLDIVDLVALSGSHTIGNSRCTSFRQMLYSQTGNAKVEFTLDQYYAAELRNLCPRSGGDQNLFVLDYVTPTKFDNNYFKNLLAYKGLLSSDEVLLSKNQESAELVKQYAENNDLFFQQFAKSMIKMGNISPLTGPRGEIRKNCRKINT >OIW20178 pep supercontig:LupAngTanjil_v1.0:Scaffold_15_19:8487:9859:-1 gene:TanjilG_06566 transcript:OIW20178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTGTSTPTTEKSSDPEPELPTRIQQPPAAVTIKPLSFSNGVLKRHHHQIVNATANVAATTAAIIYKECLKNHAASLGGHAVDGCGEFMPSPNVTAGDPASIKCAACGCHRNFHRREPDEPPISTTTHVIEYQPHHRHLPPPPPPTFQPPPSRSPNSSSPPPISSSYYPSAPHMLLALSGGAGLSVPPESTAAPANIAGIGGSSASPRKRFRTKFSQEQKEKMHEFAERVGWKMQKRDEDLVMEFCNEVGVDRSVLKVWMHNNKNTFGKKDVVALVTTTTTTTNNTNNNASNGVVLESENVLEQPQNDDSGNVGMNIMIDIMIYNT >OIW20214 pep supercontig:LupAngTanjil_v1.0:Scaffold_15_191:8345:11762:-1 gene:TanjilG_06634 transcript:OIW20214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDITNKNKETLLERKRSKYTRTVSHVNDELHSFRSYLRWMCVDQSNPFTAALSWFVFLLFAVAIPAASHFFLACPSCDAKHSRPFDAVVQLSLTSVSALSFLCLARFVSKYGLRRFLFFDKLCDESETVRMNYMAQLNRSLKILSWFVGPCFVAEGAYKIWWYASGATQIPFLGNVYLSDAVACILELCSWLYRTTVIFLVCVLFRLICHLQILRLQDFANFFHVDSDVASVMTEHLRIRRHLRIISHRYRAFILLALVLVTGSQFACLLLTTKPTNEFNIYKTGELALCSMTLLSALCILLRSATKITHKAQDITGLAAKWHVCATLDSFDGGAQGDILTAQISNERSFPIVGTDGESEADETGDEEDEINTTKLIPSYAYSTISYQKRQALVNYFENNRAGITVFGFILDRSTLHTIFGIELSLVLWLLGKTIGIS >OIW20180 pep supercontig:LupAngTanjil_v1.0:Scaffold_15_20:59480:63747:1 gene:TanjilG_06568 transcript:OIW20180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTALECWSSRASTSTTTTNDDDDTVEQQVLMRTHHRSEDSPTPRPEPVKDPSLVQKKLHKLTRNVYEVIASLKKVLEPSNNKMEPSRKVVWGTVLRNLTQLYPGSQLPEKLLSNIRKHYDSLPLSYSQAGFDVKDVFLHIKLMEQALEDDQPAILIQEECDDEIQLQGSMFKLTFACNSAISWPAMSGALDSSSICCKKIQIFEKKGSTLGIVLLLVLSGASQDKSVRTKVESALKFAMKKPKPSSVKLPFGLCGCQEENSKKREPGEIEEDNGNACYGNGFDKWSQNIQLQVPLPCSSFLVAVDEWQTIQSGGNEIEKWLLSADSLEFGELIGPNSYKGICMGKKVAIEKLRGCDKGNSYVFELRKDLLELMTCGHKNMMQFYGVCVDENHGLCVVMKFMEGGSVHDLMLKNKKLQSKDIVRIAVDVAEGIMFMNDHGVAYRDLNIQRILLDRHGNACLADMGIVTACKSVGEAMDYETDGYRWLAPEIIAGDPESVTETWMSNIYSFGMVIWEMVAGEAAYSAYSPVQAAVGIAACGLRPEIPKDCPQTLKSLMTKCWNNTPSKRPQFPEILALLLRSKQQ >OIW20179 pep supercontig:LupAngTanjil_v1.0:Scaffold_15_20:35005:35652:-1 gene:TanjilG_06567 transcript:OIW20179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSASGNPSSVAGASATTPHGEGPSPAPPPSRYESQKRRDWNTFLQYLRNHKPPLTLARCSGAHVIEFLKYLDQFGKTKVHITGCPYFGHPNPPAPCACPLKQAWGSLDALIGRLRAAYEENGGRPESNPFGAGAVRIYLREVREGQAKARGIPYEKKKRKRSTVTVSAVNSSSGAISVASGSDGDGGDNTTTIGGGYTVSDSLTSTTNVTTTMS >OIW20216 pep supercontig:LupAngTanjil_v1.0:Scaffold_15_200:21528:28941:1 gene:TanjilG_06636 transcript:OIW20216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGVGAVNDFRGASNTLDLNHRLENDYKGGLFDASQYAFFGKGVTKDEVELGGLEDEDDYMPALAFNEEEFFLNTKEADDLRSLSDIDDLTTTFLKLNKVVGGPRSAGVIGERGSRENSSASEWSQRDDIPYWFDQHAYESEGSQDGKRWSSHPHSSVTHLQESKPMYRTSSYPEKQPQEQYRLQHCSSEPVSNWFFDQPFYDSETSEDGKRWSSQSQSSIGHFKESKPLYRTSSYPDERQELTRFSSEPILLPKSSFTSYPLPGGRSQQASPNHSTGRLNIPYHAGGAHMTSSSQNRSHLFNSGLQLSRSKHESHFSGNVRQLITGSPLNHQIQNQLVHQAGIYPGDHPNLLSNMLQKQLHHHSGSVSPHLMTQLQQHRLHYPVQQPAGYLSGFQSNLFNSQFSSGSSLVSKYEHMLGLGDVREHKPKSSKKGRQSHRFSQQGSEASSQKSSFMFRSKHMTSDEIESVVKMQLGVTHCIDPYVDDYYHQACLAKKTDGAKLKHSFCPTQIKDIPPRTRSNSESHGFLQIDASGRVSFSSIRLPRPLLEVDLPNSSVTGSSEQNISQKALEQEPLFAARVTIEDGLRLLLEVDDIDRFLQSNQLQDGGTQLRRKRKVLLEGLATSLKLVDPLGKNGHKVGLAAKDDLIFLRLVSLHKGRKLLAKYVLLLVPGSELMRIVCMAIFRHLRFLFGAIPLDPAAAETTSGLAKVVCQCVQGMELGDLSACLAAVVCSSELPPLRPLGSTAGDGASLILVSLLERATELLTDPQAVCNYNIGNRSFWQASFDEFFGLLTKYCMNKYRSMTQSLHIHGTPNVTAIGSDSAKAISREMPVELLRASLPHTNDHQRKLLLDFAQRSVPAVGFNSFAGSSGGHVNSETVLS >OIW20215 pep supercontig:LupAngTanjil_v1.0:Scaffold_15_200:12120:14845:-1 gene:TanjilG_06635 transcript:OIW20215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNGLPSLGRVKLADLAPSEGLPTDSYKISVSTLSQSLAQFSAVIIQFPASDGALLRSGLESARLYFHQRASYPPPDIIHTGDSHEWCRTSGYYADPHLWQETYDYRPGLTLSEPNNSIEFPPAGLPDIFALFGKAARNILDAISFHLNLRSSPFTEILDNVPLRNKEISSSVLSVCCHARPSFQGGQHHNIATQEDGQLVMYPPDHDHQVDKSLISLVKSDRAGLHVRDYQGRWILVDGDLGPQEAILYPGLALYQATAGYVNPALHKTEINMEANMYGRSSFAFKLMPKSMTSLSCSEMRAAGHGVEAQFQLPVAVDDFMQRSHPTDLFNRPGFQCFNFPPPQDSPWIDENAGTGSMKIPMRRTKQNSKNKPLPPSKRLRLEAQRVLKERVQDIADKKGIKLRFCNLKECESHIHTLDSPCANIRMEIGWPPAVPFVHPHDLPNKAKLGFLEAYEPGWTAAHHNLERLVNTPLTFTVALFW >OIW20217 pep supercontig:LupAngTanjil_v1.0:Scaffold_15_200:30655:34184:-1 gene:TanjilG_06637 transcript:OIW20217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVFTYLWLWTSSCIQVKAANHTLKPGDSLNGTNQLCSENGYYCIGFVEQLGGLSYLTIISNIKNNTWEVWIANRNEPIFNNSGLLSLDQSGVLKIESQGGKPIILYSPPPQSTNNNSILATLLDIGNFVVQQLHPNGSTKSVLWQSFDYPTDCLLPGMKLGVNHKTGQNWSLVSWVSESFPGPGSFRLEWEPREHELIMRRQEQVIWKSGKLINNRFFEHIPEESQHIYQYNQVSNDDEDYFTFTTPNTKEPTKWALFETGELTGSEGKEIAGADMCYGYNNDRGCQKWKIPTCRNPGDVFESREGYPNTFGNDTSIEPNKSYGIGDCQASCWSKCSCVGFKSYDDSGGTGCIFFFGKTLDGVTLVSVGQKFNMLVPKPQHKGTNKWIWIGAAIATFLLIICSAILCLAIMKRKYMLKDKRRMETETQGLADSDGSTGVKDLEDNFSKGHDFKVFSYASVKEATTNFSSKNQLGEGGFGPVYKGVLPTGREVAVKRLAKTSGQGTVEFKNELMLICELQHMNLVKLLGCCIHGQERMLIYEYMPNKSLDFFLFDDTRSKLLDWQKRFNIIEGLSHGLLYLHKYSRLKIIHRDLKASNILLDENMNPKISDFGMARIFTQHQTIVNTNKIVGTYGYMSPEYVMEGSFSTKSDVYSYGVLLLEIVSGRMNNSSCDAADQLLNLVGHAWELWNDGVCLELMDPSLNDTFVLEEVHKCIHIGLLCVEHYAKDRPDMSDIISMLTNKTAPVTMPRRPAFYVGRQMGERGRSSKSIESNTFYTKEISDSSELESR >OIW20182 pep supercontig:LupAngTanjil_v1.0:Scaffold_15_22:27616:35333:-1 gene:TanjilG_06570 transcript:OIW20182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGSTLDGTSEPNNSNATTITVTVKFGGTTIPISISPNSTIKELKSLLLPATNVLPRGQKLIFKGKVLEDPVTLIASKLVNGSKIMLVASQGLYQGDGPVLKKAQVAPRSRKDSQSGSGNDMGKVPVKNRLERWKVTGVVALAECNLEAIPDEVWACGPSARVLDCNSNSIKDVPIEIARLTGLEKLFINANDLLDRSISWGGLTTLTHLTVLSLNQNCLTTLPSSLGSLTSLKELHVSNNKLGGLPKEIAHLTKLEVLRANNNRMSTISELIRNCHSLVEVDFSSNFLSELPETFGSLSNLKALHLSNNGMKSLPSKLFKTCLQLSTLDLHNTEITIDLLRQHMMVEKQQLHDSDIHVAFLQFEGWDSFDERRRSKHQKQIDFRVGVSRDFDEGADKN >OIW20181 pep supercontig:LupAngTanjil_v1.0:Scaffold_15_22:12829:18385:1 gene:TanjilG_06569 transcript:OIW20181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPRKRSCEGVVVEKETNNNSNFINSCKKNPIASLDHTDTADSTLSNRNQSFSGGSSNNRESRGSGSAVEGDSIMALGASNMDIDEDLHSRQLAVYGRETMRRLFGSSVLVSGMQGLGVEIAKNLILAGVKSVTLHDEGTVQLWDLSSNFVFSENDVGQNRAAASVSKLQELNNAVVVLSLTTELTKEQLSNFQAVVFTDITLAKAIEFNDYCHSHQLPIAFLKTEVRGLFGSVFCDFGPEFTVVDVDGEEPHTGIIASISNDNPALVSCVDDERLEFQDGDLVVFSEVCGMKELNDGKPRKIKNARGYSFTLEEDTTNYGIYEKGGIVTQAKQPKVLNFKPLREALSDPGDFLLSDFSKFDRPPLLHVAFQALDKFISELGRFPVAGSEDDAQKLISVASNINDSLGDGKLEDINPKLLRQFAFGARAVLNPMAAMFGGIVGQEVVKACSGKFHPLFQFFYFDSVESLPTEPLDPNDLRPVNSRYDAQISVFGRKLQKKLEDSQVFVVGSGALGCEFLKNLALMGVSCGNQGKLTITDDDVIEKSNLSRQFLFRDWNIGQAKSTVAASAAASINPGFNIEALQNRVGSETENVFNDTFWENLSVVINALDNVNARLYVDQRCLYFQKPLLESGTLGAKCNTQMVIPHLTENYGASRDPPEKQAPMCTVHSFPHNIDHCLTWARSEFEGLLEKTPAEVNAYLSNPSEYTNAMRNAGDAQARDNLERVLECLDKEKCETFEDCITWARLKFEDYFANRVKQLIYTFPEDASTSTGAPFWSAPKRFPRPLQFSSSDQGYLIFAMAASILRAETFGIPIPDWVKNPQKLTDAVDRVIVPDFQPKQDAKIVTDEKATSLSTASIDDAAVIDDLIIKLERCRLNLLPDFRMKPIQFEKDDDTNYHMDVIAGLANMRARNYSIPEVDKLKAKFIAGRIIPAIATSTAMATGLVCLELYKALDGGHRVEDYRNTFANLALPLFSMAEPVLPKVIKHQDLNWTVWDRWIVGDNPTLRELLDWLKVKGLNAYSISCGSCLLYNSMFPRHKERMDKKVADLAREVAKMEIPSYRRHLDIVVACEDDDDNDIDIPQVSIYFR >OIW20183 pep supercontig:LupAngTanjil_v1.0:Scaffold_15_25:4474:9171:1 gene:TanjilG_06571 transcript:OIW20183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQSQSSNLNNEGLALLRMKEKVVRDSLGGLSSWNGEYGVIDPCSWSGVECSYGNVVTFILRNNSFYGDIPKEILQLKELEVLDLGYNNFSGSFPSDNLNSNPSLTILLLDKNDYLANLSPEEYNLKMISEVHVHEKHVLADLVSVLVSVVAFVCFRSSKVVTVKPWTTGLSGQLQKAFITGVPRLERAELDAACADFSNIIGSLPDGTVYKGTLSSGVEIAVVSSAVTSSQKWSKNMEAQFGKKIEALWRVNHKNFVNLIGYCEENKPFTRMMVFEYAPNGTLFEHLHIRELEQLDWSMRMRIAMGIAYCLEHLHQLKPPISHRNLLSSSIYLTEDYAAKLSDLSFWNDTVSTKKGSEAKHLLETTSAYIKGNVYSFGVMLFELITGRIPYAVGNGFQVDWAAEYINVQPLRELVDISLITLKAKEIEKWCQVINNCVNPDPEKRPTMREVTNKLKEITAMGPDGATPKSSTLWWAELEIMSNDLS >OIW20184 pep supercontig:LupAngTanjil_v1.0:Scaffold_15_25:17202:19846:-1 gene:TanjilG_06572 transcript:OIW20184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYAHGIVLTPFLKPGSTFSSLFSQQHRHPLLFPSSYCGFSLKFCDGVSSTRGCKFQNPFFVAAKPTSERWFRTTKSVELDQFVTSDDEEMGDGFFEAIEELERMRREPSDILEEMNDRLSSKELQLVLVYFSQDGRDSWCALEVFDWLRKENRVDKETMELMVALMCGWVKKLIQEQDGVGDVVDLLVDMDCVGLRPGFSMIEKVISLYWEMGEKEGAVLFVEEVLRRRIPYVLEDDPEGHKGGPTGYLAWKMMVDGDYRGAVRLVIRFRDSGFKPEVYSYLIAMTAVVKELNEFAKSLRKLKTYVRAGLIAELDPEDVELTEKYQSDLLSDGVRLSNWVIEDGSPSLHGIIHERLLAMFICAGRGIEAERQLWEMKLVGKEADADLYDIVLAICASQKEDTATARLLTRMEMASLPQKKKSLSWLLRGYIKGGHFNEAAETVMKMLELGFHPEYLDRVAVLQGLRKRIQEFGNLDTYLKLCKSLSDANLIGPCLVYLYIRKYKLWVVKKV >OIW20185 pep supercontig:LupAngTanjil_v1.0:Scaffold_15_57:1709:4397:1 gene:TanjilG_06573 transcript:OIW20185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVIVCENSILFPFLSPPTYYQAKKNTSRTILSVSLSHKRLCICCTFAVPVAKSKPQRGDRALCSPVEKDKKDNNSTKKKEHHLWKKRDSAQSGQKALTLVKIVSQLPNEKEAVYGALDKWTAWETEFPVIAAAKALKILKKRGQWIRVIQVAKWMLSKGQGATMGTYDTLLLAFDMDQRVDEAESLWNMIIHTHMRSVSKKLFSRIIALYDHHDMPDKIIEVFGDMEELRVKPDEDTVKRVGNAFRKLGQEDKRNLVIKQYGLKWKYIHFNGERVRVRREEAWQED >OIW20186 pep supercontig:LupAngTanjil_v1.0:Scaffold_15_79:5132:28834:1 gene:TanjilG_06587 transcript:OIW20186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGDSAQLFIVLIDGQGAHISLGLQLENHRVIVTQIASKLGGLKKAKEYLSKCLYYVNIGSNDYLNNYFLPEYYPTSTIYTPEQYAEALIDELILNLQVLHQIGARKFSLNGLGLVGCIPQAITLRGKNGSSTCVEEDNKAVLLFDDKLRSLVDKLNKEFIDSKFVFVDQAMIKSENYPMLKEIYKLNIKFDQCDYGFHFRYEGYDKSVLRS >OIW20187 pep supercontig:LupAngTanjil_v1.0:Scaffold_15_80:2769:7465:-1 gene:TanjilG_06588 transcript:OIW20187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLTSIRTSDSLSATLVNSIQALGRGFDVTSDIRLLYCKGAPGSRLVQLDEEHTRDLEIEVSHGVVVPNVSVDIDCSLGKSGIKMIPVCSFYEMAKYFNQRSGVAGHIPLGSFNSMFNFTGSWMVDAAGTKSLAMVGYFIPLVEVKLTKQNLVLNEEVKRAVPYSWDPASLASFIENYGTHIVTSATVGGRDVVYIRQHQSSSLSAPDIENYVKDIGDDRFGGVKNVSDSGPLKYKEKDVTVIFRRRGGDDLEQDHTKWVETVKLAPDIINMKFTPIVSLLEGVPGVKHLARAIDLYLEYKPPIEDLQYFLDFQITQVWAPEQNNLQRKEPVCPSLQFSLMGPKLYVSPDQVTVGRKPVTGLRLSLEGSKQNRLAIHLQHLVSLPKNLQPHWDTHMAIGAPKWQGPEEQDSRWFEPIKWKNFSHVSTAPIEYTETSIGDLSGVHIVTGAQLGVWDFGAKNVLHLKLLFSKVPGCTIRRSVWDHNPFTPASQRSDGASSSSTKKTPEDKKEDSSIHSGKLAKIVDTTEMSKGPQDIPGHWLVTGAKLGVEKGKILLRIKYSLLNY >OIW20188 pep supercontig:LupAngTanjil_v1.0:Scaffold_15_89:869:3728:-1 gene:TanjilG_06589 transcript:OIW20188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNSSRNGNNPDAVVVNVRDDIAIDVSVPIWQKLLAEVLGTYFMMFAGCGAVVVNLDNEKVVTHPGISVVWGLVVMVLVYTLGHISGAHFNPAVTIAHASIKRFPLKEVPLYIVAQVIGSLLASGTLRLVFDGKESQFIGTIPAGSDLQACVIEFIITFYLMFVVSGVATDNRAIGELAGLAVGSTVCLNVLFAGPITGASMNPARSLGPAIMYNEYKSIWIYMACPILGAVAGTWVYHFIRYTNKPLREITKSASFLKGGSGAK >OIW20190 pep supercontig:LupAngTanjil_v1.0:Scaffold_15_89:17136:20069:-1 gene:TanjilG_06591 transcript:OIW20190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLECVVIPLLFLFVSIPYPNVATSLNVSNVCNEEERMALLKFREGLTDPANCLSSWVDKDQYCCNWKGIECDNHTGHVQKLDLKSCSISTISGELNISLTNLKYLTYLDLSYNDFLGIPIPDYIGSLSMLHYLDLSNSNFSGMVPSNLGNLFNLHYLHISKSFSPIWVIDLSWLSTLSSLQYLHMESVIIKNTSHEWFQAINMIPYLIELSLSSCNLHILPQSFPFSNITSLSLLDLSSNNFTSSIPSWLFNNISTLTELFLSASTLIGPFPKLERGNLCMLQSLDLTDNDNLNGDISEMLEVLSLCKNHSLERLDLSNNHITGKLPLSLGKFNNLYHLDLSYNSLWGSIPASIANLSKINYLNLQDNLMNGTIPDSIGQLTQMKDFNLQHNFWEGTMTKFHFYNLTNLNTFYISSKNNSLALKISNDWVPPFKKLYHVEIHDCEVGPTFPSFLRNQTILREIIIQNAGISAEIPNWLYNMASQIWQLDLSHNKISGYLPKELNFSSDVRTLINLNFNQLKGSIPLFYTAYTLLLSNNLLSGTIPANIGHKMSQLMYVDLSNNHLNGSIPLSIDRIQDLSYLDLSNNYLVGEIPMFWRDMQRLETIDLSYNSFSGQIPTSICSLPNSLSIIDLSNNNLSADLSSAFQNCTWLKTLDLSNNRFFGSLPKEITKNLPSLSELQLRENAISGSIPEELCGLPFLHLLDVSNNNLSESIPACFGGMHGFKLPQTYYNINNIDYSLSIPGYVPYNKHIKLVLKGTTYEYIDQMLVQSIIDLSNNHLSGDIPENLTKLLHLGALNLSWNHFTGTIPKSIGSLTDLEALDLSNNNLSGPIPPSMTSLTFLSYLNLSYNNLYGQIPEANQFGTFTDPSIYEGNSELCGKPLTTNCSSLLPSHESNEEEETNDADDDDKSERLWLYVSIAVGFISGFWFVCGSLVLNRSWRHAYFKLVYDTGDKFLVLIAVNLARAKCRFGPGRN >OIW20189 pep supercontig:LupAngTanjil_v1.0:Scaffold_15_89:7788:8717:-1 gene:TanjilG_06590 transcript:OIW20189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSGVVTVYGNGAITETKKSPFSVKVGLAQMLRGGVIMDVVNAEQARIAEEAGACAVMALERVPADIRAQGGVARMSDPQLIKEIKQAVTIPVMAKARIGHFVEAQILEAIGIDYVDESEVLTLADEENHINKHNFRIPFVCGCRNLGEALRRIREGAAMIRTKGEAGTGNIIEAVRHVRSVMGDIRVLRNMDDDEVFTFAKKIAAPYDLVMQTKQLGRLPVVHFAAGGVATPADAALMMQLGCDGVFVGSGVFKSGDPAKRARAIVQAVTHYSDPEVLAEVSCGLGEAMVGINLNDSKVERFASRSE >OIW20191 pep supercontig:LupAngTanjil_v1.0:Scaffold_15_89:24366:25546:1 gene:TanjilG_06592 transcript:OIW20191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNEAQGSSNTLPPFVSKTYEMVDDPSTNSIVSWSPSNISFAVWDEPEFSRLLLPKFFKHKNFSSFVRQLNTYGFRKVDHELWEFSHDDFVRGELHLLKNIHRRKPVHSHSMQNLQGYEDTHLTDSERKSLKYEIEKLKHDKEQLLLVKQRHAQERKMYEEQIGCWNDRLIKFEKKQQDMLSSISLVLQKPMAFSLLHVSENIDRKRRLSRSGNFDEARIKDPIGTSQVFPRENAKSMDILFLNMEKMDRLESYMEFWENIVVDISDALVQGHSNLDFDDSISCTESQAISSVLIDVNVQPKPFGIDINSEPDVTVVPDLDASKIQHVGMTPVATGVNDLFWEQFLTENPGSSKT >OIW20192 pep supercontig:LupAngTanjil_v1.0:Scaffold_15_98:2198:7639:1 gene:TanjilG_06593 transcript:OIW20192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQPKVVLATASYDHSIRFWEAKSGRCYRTIQYPDSVRQVNRLEITPDKRYLAAAGNPHIRLFDVNSNSPQPVMSYDSHTNNVMAVGFQCDGNWMYSGSEDGTVKIWDLRAPGCQREYESRGAVNTVVLHPNQTELISGDQNGNIRVWDLTANACSCELVPEVDTAVRSLTVMWDGSLVVAANNHGTCYVWRLLQGTQTMTNFQPLHKLQAHKGYILKCLLSPELCEPHRYLATASSDHTVKIWNVDGFTLEKTLIGHQRWVWDCVFSVDGAYLITASSDTTARLWSMSTGEDIKVYQGHHKATICCALHDGAEPAPC >OIW21662 pep supercontig:LupAngTanjil_v1.0:Scaffold_160_18:6182:7241:1 gene:TanjilG_07546 transcript:OIW21662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVQCYKTCEQSCQQKNQHESLGQKVAGLFKGHHSDHSNAGVQTQTQCSTQTQVHASHSGHAIAKTQTTQCCSTEIKTNANIHAHATGATNCHGRSRREHKRNLVQKIKDGLSGHSSDSSSDSESDNENCHKRKVKLNQGAAVLIISGVRG >OIW21665 pep supercontig:LupAngTanjil_v1.0:Scaffold_161_11:15608:18284:-1 gene:TanjilG_07570 transcript:OIW21665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEKENFELDRNQDPMSYSTGMNLDWRFGGYNPPNSSVGLVSMGNSINVNRGDLIGSSSCSSASMVDSFGPNFWDHPPNSQNMGFCDINVHNNGSSSNRIGIRRDGHDHRAAFEMGWDTPHPMLKGDGFIPNGPGMFPRSLSQFPTDSGFIERAARYSCFGGGNFGEMVNSYVVPQSMGLYAATGGGTMHGTRNALVGNGLKSAPGGQSQENNLNVVEAAKDLSPSVEQHLATKGSPLKNDKRCEIPGICQDEGKQALVTPADESDRAESSDDDGGGGGNADDDGDGQDDSPMLEGTSGEPSIKGQNSKKRKRSGKDADDDEGNGAPKLLGEGAKDNPESPQKGDQQPTSTTKASGKNAKQGSQASDPPKEEYIHVRARRGQATNSHSLAERVRREKISERMKFLQDLVPGCSKVTGKAVMLDEIINYVQSLQRQVEFLSMKLATVNPRLDFNVEALLAKDILHQRPGLSSVLGFPLEMSMAYPQLHPSQPGIIHPTLPSMGNTSDILRRTIHPQLAPLSGGFKEPNQIPDGWEDELHNVVQMNFAPMSFAPSAPLNSEDVDGNVAANQMKVEL >OIW21664 pep supercontig:LupAngTanjil_v1.0:Scaffold_161_11:3592:9972:1 gene:TanjilG_07569 transcript:OIW21664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVLSRSITAVSLALVPSLTSTNAKRGSIFRSAFLPPQNGPIKCIYPLKWNQQQRINKRVSVKCEAAVAEKEDATDDAPGEKFEYQAEVSRLMDLIVHSLYSHKEVFLRELVSNASDALDKLRFLSVTDPSLLGDAGELEIRVKTDPDNGTITITDTGIGMTKEELIDCLGTIAQSGTSKFLKALKENKDLGADSGLIGQFGVGFYSAFLVAQRVVVSTKSPRSDKQYLWEALADSSSYVIREETDPEKLLPRGTQITLYLREDDKYEYAEALKIQNLVKNYSQFVSFPIYTWQEKSRTVEVEEEEEPKEGEEPQPEGEKKKTKKTKTEKYWDWELANETKPIWMRNAKEVENDEYNEFYKKAFNEFLEPLAHTHFTAEGEVEFRSILYVPGMGPLNNEEVVNPKTKNIRLFVKRVFISDDFDGELFPRYLSFVKGVVDSNDLPLNVSREILQESRIVRIMRKRLVRKAFDMIQDISERENKEDYKKFWENFGRFIKLGCVEDSGNHKRITPLLRFHTSKSEEELKSLDEYVENMGENQKAIYYIATDSLQSAKTAPFLEKLVQKGIEVLYLVDPIDEVAIQNLQTYKEKKFVDISKEELDLGDEDEVKERDTKQEFILLCDWIKQQLGDKVAKVQLSKRLSSSPCVLVSGKFGWSANMERLMKAQALGDTASLEFMRGRRILEINPDHAIIKDLNAACKHEPESSEAKRAVELLYDTALISSGFSPDSPAELGGKIYEMMALALGGRWGRSEEEADADASTVEADSSTVEVAKEPEVFEPSEVIAESDPWTTD >OIW21666 pep supercontig:LupAngTanjil_v1.0:Scaffold_161_16:4678:7588:1 gene:TanjilG_07588 transcript:OIW21666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRYMEDKGCYNHGPKTSQNTPNRNGAAATTQVSHKQRTTMGKSTPSKWDDAQKWLVGLSKVGEKSQSKKSKPRNSNADDLRLITNVPQKEHGYSSSEKEEENYDTTPSTSTSTIHSESETKKVECDESINIKPLENTIQVQSICFRDMGTEMTPIASQEPSRSATPIRATTPATRSPIHSETLTPMRGQNGLEDSSKGQHKGSLEGSSPYKKMMSEKKVEDHARKLSPLETRAIAWDEAERAKYIARVLYMKLHPVTPQESSSSPCFVLADCTLDASFPTHPKRVVKAERMKALAEERLTNKLAATKRIAEEKRANAEVKLNEKALRTTEIADCIRRTGHVPSSISFSFNFKLPSICW >OIW21663 pep supercontig:LupAngTanjil_v1.0:Scaffold_161_3:11654:12100:-1 gene:TanjilG_07567 transcript:OIW21663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKESPKLSNNNSVNQNRPRRSRSTGFRFTNLLPRSHSLVQRGENLERFTLRLPEEVRNQLVTSTLSRTKSLGVAFTPESSERRGYRTRSVGSGCGRNNLERLDQSDRRMFRWMSRAGSNISKKVTEFNKDDVGERSSDRLFSGKENDM >OIW21667 pep supercontig:LupAngTanjil_v1.0:Scaffold_164_3:2879:4507:-1 gene:TanjilG_07747 transcript:OIW21667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTSQKHGTSYGSGRFYVEPVQNLESYCLPSSENLDNYSSSDNSSRATYPSVQTFEHSCTLESSSTSNNFLCQNYPSTASFSPNSSPVSKSYVSRPQHSLEIINGSPENDSCLTHDLDDLRHKIRELETALLGPDADMLDIYGAIVPEESDSFFLQTEKWKQITEMISRGDLKEMLYTCANAMAGNDMETTEWLMSELRKMVSISGKPIQRLGAYVLEALVAKMASSGSTIYKALKCKEPTGNELLSYMHVLYEICPYFKFGYMSANGAIAEAMKHESRVHIIDFQIGQGSQWVSLIQALANRPGGPPKIRITGVDDSVSAYARGGGLDIVGKRLSTLAQSCNVPFEFEAVRVSASEVQLKDLELRPDEAVAVNFAIMLHHMPDETVNSQNHRDRLLRLAKNLSPKVVTLVEQEFNTNHVPFLPRFIETLNYYLAIFESLDVVLPREHKERINVEQHCLAREVVNLIACEGVERVERHELLNKWRMRFTMAGFTPYPLSSFVNSSIKNLLESYKGHYTLEERDGALYLGWMNQVLVASCAWR >OIW21669 pep supercontig:LupAngTanjil_v1.0:Scaffold_164_9:5143:6713:-1 gene:TanjilG_07760 transcript:OIW21669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSLTELILWDNPLSGSIPKGLGNCSSLETLAIYGNELVGPIPPDIGNLQSLKWLFLYKNNLNGTIPREIGNLSSCLELDFSENFLVGDIPSEFSKISGLNLFFLFENQLTGVIPNEFSNLKNLTLLDLSMNHLTGPIPVGFQYFTRMYQLQLFDNNLTGIIPQGLGPHSPLWVVDFSDNSLTGRVPPHLCRNSWLMFLNLASNMLYGNIPTGLLNCDSLAQLLLVGNRLTGCFPLELCKLANITAIELSENRFSGPLPHEIGTCQKLQRLHIADNYFTMELPKEIGNISQRVTFNVSSNLLTGESHPKLLSAKIFRGLISVRTALLVPCQMNLMSGNLFSGEIPPRLGSLSSLQIEMDLSYNNLSGSIPSQLSNLNMLEYLFLNNNHLDCEIPSTFNLLSSLMGCNFSYNNLSGPLPSTKIFQSVDISSFIGVNKGLCGPPLSDCNANQSSRADPSAKSVHSPLGKSVMIIAATVGGVSLILIAVIL >OIW21668 pep supercontig:LupAngTanjil_v1.0:Scaffold_164_9:4467:4772:-1 gene:TanjilG_07759 transcript:OIW21668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNGSLGELLHGSANNLEWPIWFMIGLGAAEGLSYLHHDFKPKIIDRDIKSNNILLDENNEAHVGDFGLAKVIDMPQSKSMSAVAGSYGYIAPGKLLFLHI >OIW21672 pep supercontig:LupAngTanjil_v1.0:Scaffold_165_11:9973:12773:1 gene:TanjilG_07817 transcript:OIW21672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVTAGVSDTVIAIRDKLRGKIGQTKVQRYWPGKVPEWADDETEDVAADIRPTKEAALEQAFPRREENAALVRGDDRRLRRLRESRIDNREEVRADHRRIRQAEIVSTIEEEARRQEGLDLEEEDVDAMTEKRLKLREKALQRQQEELLPQEEEEEEEEEEEEEESEYETDSEEEYTGVAMVKPVFVPKSERDTIAERERLEAEELALEEATKRRLEERKIETRQIVVEEIRKDVEIQKNLELEANIADVDTDDELNEAEEYEAWKVREIGRIKRDREEREAMLKEKEEIEKVRNMTEEERREWERRNPKPAPPPKQKWRFMQKYYHKGAFFQDDPDDRAATVGSDNIFARDFSSPTGEDKMDKTILPKVMQVKHFGRSGRTKWTHLVNEDTTDWNNPWTYNDPLRAKYNDKMAAMNAPIAKPKGSKKLKDWETR >OIW21674 pep supercontig:LupAngTanjil_v1.0:Scaffold_165_11:69129:70019:1 gene:TanjilG_07819 transcript:OIW21674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTGKSKKGAAGRKGGGPRKKSVSRSFRAGLQFPVGRIGRYLKKGRYAQRVGTGAPVYLAAVLEYLAAEVLELAGNAARDNKKNRIIPRHVLLAVRNDEELGKLLAGVTIAHGGVIPNINPVLLPKKTGASASSSSSSKEPKSPTKAAKSPTKAAKSPKKAAKSPKKAA >OIW21673 pep supercontig:LupAngTanjil_v1.0:Scaffold_165_11:19067:21543:1 gene:TanjilG_07818 transcript:OIW21673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEARRQEGLDLEEEDVDALTEKRLKLREKALQRQQEEVLPQEEEDEEEEEEEEEESEYETDSEEEYTGVAMVKPVFVPKSERDTIAERERLEAEELALEEATKRRLEERKIETRQIVVEEIRKDVEIQKNLELEANIADVDTDDELNEAEEYEAWKVREIGRIKRDREEREAMLKEKEEIEKVRNMTEEERREWERRNPKPAPPPKQKWRFMQKYYHKGAFFQDDPDDRAATVGSDNIFARDFSSPTGEDKMDKTILPKVMQVKHFGRSGRTKWTHLVNEDTTDWNNPWTYNDPLRAKYNDKMAAMNAPIAKPKGSKKLKDWETR >OIW21670 pep supercontig:LupAngTanjil_v1.0:Scaffold_165_3:17761:20166:-1 gene:TanjilG_07815 transcript:OIW21670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQTESTEASVNVNVQTETTNDSNHTSLDSILAEAAAYGNKDQDAESIEEKAQKALECPCVADLRSGDCGSQFSEAFLCFLKSTAEEKGSDCVHPFVALQSCIKANPNAFSKDILGEDESHEVEPIQEYKIIPPTWSKESQSSKSRL >OIW21671 pep supercontig:LupAngTanjil_v1.0:Scaffold_165_3:22630:24588:-1 gene:TanjilG_07816 transcript:OIW21671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTLNGIFGARRRRFHGVFNCLCSMLVFFFFYNREDIIRNPLLRHSSYLGNHQNAILRNGSSHIAVVHRRMIETSLNTSSVLGENRDEDVAVSNPGFCAGLHHHDGYDSPCEFLKVNPQCSSEGYIDYLRVFYCKCQNFRLLGYLVFFVCLAALFYLLGNTAADYFCPSLEHLSKLLKLPPTVAGVVLLPLGNGAPDVFASIAAFVGTETGEVGLNSVLGGALFVTCIVVGTVSLCVADKEIRIDRRCFIRDISFFIFTLVALFLILVVGKVGVGATIAFVSIYVVYAFIVAANEILRKHAHRLKWDSVTPLLPVQGSVFSQGYEEDISIYSSLLDIDTESDPPRLPPSLPQWMWSSNVAIYSNQGNKINSDERPPWGWSDESMENTRLSFSVSNLFLLMELPLSIPRRLTIPMVNEEVWSKPYAVASASLSPILLAFLCSTQDNVSYQSTILFYCIGVTIGCTLGFLAYKYTVADHPPHQFLILWVLGGFIMSVVWFYIIANELVALLVAFGVIFSISPSILGLTVLAWGNSMGDLMSNVALALDGEDGLQIALSGCYAGPMFNTLVGLGISLLLGAWSKKPDLYVVPKDSSLFYTMGFLITALLWALFVLPRNNMHPSRILGMGLITLYFVFLSFRVCTAMGLVTIAGLS >OIW21679 pep supercontig:LupAngTanjil_v1.0:Scaffold_166_11:19997:27823:1 gene:TanjilG_07839 transcript:OIW21679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTESNNQWEAEEAIGGNTEALEALRELIIFPLHYSRQAQKLGLKWPRGLLLYGPPGTGKTSLVRAVVRECGAHLTIISPHSVHRAQAGESERILRKAFSDASSHVALGKSSVIFIDEIDALCPRRDSKREQDVRVASQLFTLMDSNKPTSSTPGVVVVASTNRVDAIDPALRRSGRFDAEIEVSVPNEEERFQILKLYTKKIPLDHSVDLKIVAASCNGYVGADLEALCREATLFAVKRSSSNTNEDADNFSLTMEDWKNARSAVGPSITRGVTVEIPKVTWEDIGGLQELKKKLQQAVEWPIKHSAAFSRLGISPVRGILLHGPPGCSKTTLAKAAAHAAQASFFSLSGAELYSMYVGEGEALLRNTFQRARLAAPSIIFFDEADVVASKRGDSSSNSATVGERLLSTLLTEIDGLEEAKGILVLAATNRPYAIDAALMRPGRFDLVLYVPPPDLETRYEILCVHTRKMKTASDVDLRRIAEDTELFTGAELEGLCREAGIVALREDISATVVGNRHFQIVKNSLKPALTEAEIESYSSFMKTSSQALPKHFESEGGNAYGVESDEMMIFQQGRCGGNGGGAKKIEGCGGNSNNGVAAETRLLLCDNDSDNNNFLG >OIW21678 pep supercontig:LupAngTanjil_v1.0:Scaffold_166_11:2346:6431:-1 gene:TanjilG_07838 transcript:OIW21678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKEKEKYPRDLLQRFNMSSSGGSGSDNHHQHQNQFFNHNQQEHGEEHGEEDKEEGIELNLGLSLGGKFGVDKYGAYKSNKLTRSSSVVGTMPLFREDEAAASPSSVMAAPRAAIMRTSSLPVETEDEWRKRKELQTLRRMEAKRRRSEKRGSKSERESSATAGGGGLEEVEGASAAAGIMGLNRFGGGSSKVGPPFWGMGAKQVVLGDVLGKGKVDGAGFQGLFAQPSSQGSIGSQGGSSISVSEMESKPFLGTSNCGKASSPASNQSLQERSGKDAIGSSRTKTNENITRASRAAEMENPYKRSPHAAQNMGREIGTNSMGDMPCVFTKGDGPNGKRIEGILYKYGKGEEVRIMCVCHGNFLSPAEFVKHAGGGDVANPLRHIVINPSAAPFL >OIW21680 pep supercontig:LupAngTanjil_v1.0:Scaffold_166_13:2177:4369:-1 gene:TanjilG_07840 transcript:OIW21680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDERSDKIIGLTSCPTAEFLIRNTVRSSSSDDPSIPGKLLRLVFHDCFVEGCDASLMLQGNNTEQTDPGNRSVGGYSVIESAKRVLELFCPGTVSCADIIALAARDAVEIAGGPMIPIPTGRKDGMVSVASNVRPNIVDTSFTMDEMVKIFSAKGLSLFDLVVLSGAHTIGEAHCNTFRDRFQEDSMGKLIDKTIDNSYANELKKQCPSSDMASVTVNIDPETSMVFDNQYYKNLVVHKGLFQSDSVLLNDDSTRKFVEDFANDQELFFESWDQSFMKLTSVGVKTGDEGEIRRICGATNA >OIW21682 pep supercontig:LupAngTanjil_v1.0:Scaffold_166_13:10501:13760:-1 gene:TanjilG_07842 transcript:OIW21682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIAVEGCMHGDLDTVYKTLQHLEKFNNTKIDLLLCCGDFQAVRNSDDLKSLNVLPKYRTMNSFWKYYSGAEVAPYPTIFIGGNHEASNYLWELYYGGWVAPNIYFLGAAGVVRFGNVRIAGLSGIYNARHYNLGHFERPPYDQSTIRSVYHVREYDVHKLNQVQEPIDIFLSHDWPVGITDYGDSNELLRRKTYFRQEVEEKRLGSKPAAQLLEKLKPHYWFSAHLHCKFPALVQHGEGGPLTKFLALDKCLPGRDFLQIVEIESEEGPFEIHYDEEWLAITRRFNSIFPLTTKGADFRCVNLGMEDCRQMVRSRLQERGFKPFDFVRKAPCYDPSQSNYHGSSAVHSRNPQTESLLQLLELPYLLDSNPESKGLSPYPSPSIQRGPVGYDSEDIPIDDVDDDDDDDDKELPKVDDVETETLNS >OIW21681 pep supercontig:LupAngTanjil_v1.0:Scaffold_166_13:7758:9287:-1 gene:TanjilG_07841 transcript:OIW21681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTRFMLLTSPPPPTNTHHFLHSPTPPSSLSLLSTTHHKKTPSMLQSSGTRINSTNTKSTWNFLDLKSEQQPEALDIDLPWYNPSDRPRFDVIVVGAGPAGIRLAEQVSLYGIKVCCVDPDPLSVWPNNYGAWVDEFDNLGLDDCFDKTWPMACVYVDEGRTKYLDRPYGRVGRNKLKRNLLEKCVSNGVRFCKSKVWKIDQQEFESTVFCDNGVELKGSLVVDASGFSSTFTEFDHRIKKKNEGFQIAHGILAEVDGHPFDLDKMVLMDWRDTHLGNEPYMRVNNSKIPTFLYAMPFDSNLIFLEETSLVSRPVLSYIEIKKRMVARLRHLGIRVKRILEDEKCLIPMGGPLPQIPQNVMAIGGTSGVVHPSTGYMVARTMALAPIVAFAISECLGSNRMIRGKPLHHKVWNSLWTSERRLNREFYTFGMETLLKLDLNGSRSFFDAFFDLKPHYWKGFLSSKLTPMELAQLSLSLFGHASNRSRFDIVTKCPIPLAKMIGNVALESI >OIW21683 pep supercontig:LupAngTanjil_v1.0:Scaffold_166_13:15792:18407:1 gene:TanjilG_07843 transcript:OIW21683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVNPFLLHTNTSFPLHLPSPPPFNQYSPQSQLCTLSSHTHSFKPFSSSRTKHGRYRAVADIKTETLVDGEDHKVLVGPSSEEERRGERVVADYDWEEEWYPLYLTQNVPEDAPLGLKVFDKQLVLFKDGNDHFHCYEDRCPHRLAKLSEGQLIDGRLECLYHGWQFEGEGKCVKIPQLPADAKIPRSACVKTYEVRNSQGVLWVWMSRKTPPNVSKIPWFENFARPGFQDVSTTHELPYDHSILLENLMDPAHIPISHDRTDWTAKREDAQPLLFEVTERTDRGFAGWWGKEKDGSKPNFLRFEAPCVLQNNREIVGENGEKNYFTGLFLCTPTGQGKSLLIVRFGTTKRSPIAKLFPKWYFHQNASKVFEQDMGFLSSQNEILLKEKVPTKELYLNLKSSDTWVAEYRKWMDKVGHGMPYHFGHSTISLPEEPAVVEHAPAGLVAGLSASSPAKGGIGTMHAPNLANRYFRHVIHCKGCRTVIKAFQFWKNALSAVAIALAASAILVSGRQWKALLLVSASLCSIGVFACSTAITMNTTNFIRTHRRL >OIW21675 pep supercontig:LupAngTanjil_v1.0:Scaffold_166_5:4497:4804:1 gene:TanjilG_07827 transcript:OIW21675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPAKGKSSKLEEWGINPQIFSYLLLHDPEVAAAEVDGGRRGDGSGGGEFLSSMVVCLGEGRPCLRMVHDHIKLF >OIW21676 pep supercontig:LupAngTanjil_v1.0:Scaffold_166_7:13453:15530:-1 gene:TanjilG_07828 transcript:OIW21676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAILTDVQVALVKSSFEEFNANIPKHTHRFFTLVLEIAPTAKDLFSFLKGTNEVPQNNPDLQAHAGKTYEAAIQLQVNGAVATDATLKNLGSVHVSKGVIDAHFPVVKEAILKTIKEVVGDKWSEELNNAWTIAYDELAIIIKKEMKDAA >OIW21677 pep supercontig:LupAngTanjil_v1.0:Scaffold_166_7:20621:23756:-1 gene:TanjilG_07829 transcript:OIW21677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGNVHVRLREKGDGQKWSCCTSLSSLLGSALFVVGRVCQYDLNEDIIPKYSKDSNIVNEEGGQTLAEFPNSIELGKFGPGQDPNNKVHSTTSLVVFFLLVAAASAPARYERPDERSTELHPYSPGPFTSLSPGGWPPILGLPIFKRIPGSIWLSIKVIL >OIW21703 pep supercontig:LupAngTanjil_v1.0:Scaffold_168_109:2826:3449:-1 gene:TanjilG_08113 transcript:OIW21703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSNALKQRLTHDDPTTTTMNNQRKSGGGGGGGGSSRRDKRMVMAKRGLRSLSIAVSLPLSLTLLSMYLGLSLHTQPQPHTHDDNSMASTKPFWFPPSWVLHLLCPASSFLMGISAWMVWADGGFHRNPMALLLYLTQIMFTVLWDPLVFGFGTIRVGFIVCLGLFVALFGCMRVFGQVNSVAADLIKPCLAWTAFLSIVNLKLVYL >OIW21687 pep supercontig:LupAngTanjil_v1.0:Scaffold_168_12:427:3127:1 gene:TanjilG_08090 transcript:OIW21687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKAKKTPKFAVMKKVITSKAIKSYKEEVLNPNKKDLLKEKLPRNVPSQSSALFFQYNTALGPPYHVLVDTNFINFSIQNKLDLEKGMMDCLYAKCTPCITDCVMAELEKLGQKYRVALRIAKDPRFERILCTHKGTYADDCLVERVTQHKCYIVATCDRDLKRRIRKIPGVPIMYITKHRYSIERLPEATMGGASY >OIW21689 pep supercontig:LupAngTanjil_v1.0:Scaffold_168_12:8996:10921:-1 gene:TanjilG_08092 transcript:OIW21689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLLFPCFGGYMANHSLVLHNAAVTLEEHANHSLGLDNSSVTLGETANHSLGLDNAAVTLAEPPLVIGQEFPDVETCRRTLKDIAIAMHFDLRIVKSDRSRFIAKCSKEGCPWRVHVAKCPGVPTFTIRTLQGDHTCEGVRNLHHQQASVGWVARSVESRIRDNPQVKPREILQDIRDQHGVAVSYMQAWRGKERSMAALHGTFEEGYRLLPAYCEQIRKTNPGSIASVVATGQENCFQRLFISYRASIYGFINACRPLLEVDRAHLKGKYLGTLFCAAAVDADEALFPLAIAVVDTESDENWMWFMSELRKLLGVNTDTMPRLTILSERQRGIVEAIETHFPSASHCFCLRYVSENFRDTFKNTKLVNIFWNAVYALTAAEFESKIAEMIEISQDVISWFQHFPPHLWAVAYFEGVRYGHFTLGVTELLYNWALECHELPIVQMMEHIRQQMVSWFNDRQDLGMRWASILVPSAEKRIMEAIADAHCYQVLRANEIEFEIVSTERTNIVDIRSRECSCRRWQLYGLPCAHAAAALISCGHNAHMFAEPCFTVQSYRMTYSQIINPIPDKSQWREQGDGAEGGGGGARVDIMIGPPKTRRPPGRPKKKVLRVENFKRPKRIVQCGRCHMLGHSQKKCTMPI >OIW21688 pep supercontig:LupAngTanjil_v1.0:Scaffold_168_12:5475:7847:1 gene:TanjilG_08091 transcript:OIW21688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLFTHSLEFFISPKIVQNCKIHQNPSWNKVQSFKPFRDDKGTGWSKVVASCKLKDFGALDLNQNLSLYGQFSIPLKEESKEEKEKQNYYVNMGYAIRTLREDFPQIFCREPRFDIYRDDIVFKDPLNTFIGIKNYKSIFWALRFQGRIFFKALWIDLTSVWQPAENVIMVRWTVHGIPRVPWESRGRFDGTSEYKLDKEGKIFEHRVDNIAMNKPTKFYVISVEELLHSIGCSSTPRPTYFEISSSTKRT >OIW21690 pep supercontig:LupAngTanjil_v1.0:Scaffold_168_16:4469:8872:1 gene:TanjilG_08093 transcript:OIW21690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAREGISSGVTVIGAEAPSAYHVAPRSEAPNQVHVPEAAASVAAASVISPVSVGLDGTAVKKKRGRPRKYGPDGSVNTMALSPMPISSSGPHSNDFSSGKRGKLHGMEYKQSKKIGLDHLGDFNACSDGTNFMPHFITVNAGEDITMKVISFSQQGPRAICILSANGAISNVTLRQHDSSGGTLTYEGRFEILSLSGSFMPTENQGTRSRSGGMSVSLASPDGRVVGGGVAGLLIAAGPVQVVVGSFLPSNQQDQKPKKPKSDYAPTTVTEAIAVSSAPPPVNAEKDVMGGHVLQNSGTLNTSLAPPSAFRRENWVNLHTMPDSRKSATDINISLADS >OIW21691 pep supercontig:LupAngTanjil_v1.0:Scaffold_168_16:13241:15765:1 gene:TanjilG_08094 transcript:OIW21691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKQHANWSPYDNNGGSCVAIAGSDYCVIAADTRLSTGYNILTRDYSKISQLAEKSVMASSGFQADVKALQKQLSARHLIYQHQHNKQMSCPAMAQLLSNTLYYKRFFPYYAFNVLGGLDNEGKGCVFTYDAVGSYERVGYSSQGSGSTLIMPFLDNQLKSPSPLLLPAQDAVTPLSEAEAIALVKTVFASATERDIYTGDKLEIVTLNASGIHREYMDLRKD >OIW21692 pep supercontig:LupAngTanjil_v1.0:Scaffold_168_16:18369:20851:-1 gene:TanjilG_08095 transcript:OIW21692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMAYSSNFCNLKFLTKSNTTSSLPRTILCQKQHHNPTDQINRRELILKSSELATIGAIFNLSGKKPEYLGVQKNPPALALCPATKNCISTSENINDLTHYAPPWTYNREGRKNPVSREEAIEELIEVIETTMPDKFTPRIVERKEDYIRVEYQSSILGFVDDVEFWFPPGKGSTVEYRSASRMGNFDFDVNRKRIKALRLVLEKKGWASQDTI >OIW21693 pep supercontig:LupAngTanjil_v1.0:Scaffold_168_19:18525:18968:1 gene:TanjilG_08096 transcript:OIW21693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTQAALEQVQCFGRKKTAVAVTYCKRGRGLIKINGSPIELVEPEILRFKAFEPILLLGRHRFAGVDMRIRVKGGGHTSQIYAIRQSIAKALVAYYQKYVDEQSKKEIKDILVRYDRTLLVADPRRCEPKKFGGRGARARFQKSYR >OIW21694 pep supercontig:LupAngTanjil_v1.0:Scaffold_168_19:24880:36878:-1 gene:TanjilG_08097 transcript:OIW21694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFSDSETSTEYKPFKQISRDRLLYEMLRSARSGDSKAWKVLIMDKVTVKVMSHSCKMADITDQEVSLVEDLFRRRQPLPSMDAVYFIQPSKEKAYVFFSSPIPKELVNHIKSDTSVLPRIGALREMNLEYFPIDSQAFITDQETALEELYGNIENTRRFNTSMNNMAIRIATVFASLKELPNVWYRSAKESDESTPFSARELVPTKLANAVWDMVSKYKSTIPNFPQNETCDLLIVDRSMDQIAPVIHEWTYDAMCHDLLNMSGNKYMHEVPSKTGGQPEQKEVLLEDHDPVWLELRHTHIADASERLHEKFTNFVSKNKAAQIQQSGRDGSELSTRDLQKMVQALPQYTEQVEKISLHVEIAGKINKIIRETELRELGQLEQDLVFGDAGAKDVINFLRTKQNTNPEYKLRLLMIYASVYPEKFEGDKATKLMQLAKLSPDDMKVISNMQMLAGSSNKKSPATGSFSLKFSNQKTKQAARKDRTEEEEETWQLFRFYPMIEELIQNLNKGELPKSDYSCKNEPSPIVTEGSVRSKQRTQTAPTTAPHSMRSRRTANWARPRSSDDGYSSDSTLKNVTADFKKMGKRIFVFIIGGATRSELRVCHKMTTKLKREIILGSSSIDDPPQFLTKLKLLCEPHMAMDGLKMF >OIW21699 pep supercontig:LupAngTanjil_v1.0:Scaffold_168_25:45165:45818:1 gene:TanjilG_08102 transcript:OIW21699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFLASVDVERKRGQKGGSKVRVERDDSDISTYSVRSDKDREEVINSVLLEKGRKKGQQFESCSAGVDIEERNKGVAGEKGLQKVSPRDEEEELDGVRKKVKEDGCCSKVIGLRVARSGLINYKKKGTVFRKKRRRSWALFLKPNIKKGKELFCKTPLSRSTWASLRKWFPLRVNWVALKRALLLKGKRIQLQEKFKAPWLRFNLLQKITNQGKIW >OIW21697 pep supercontig:LupAngTanjil_v1.0:Scaffold_168_25:33741:34831:1 gene:TanjilG_08100 transcript:OIW21697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGWSAENAKRAYLQSLKMAKRGKEPDVAEFISALAAGKNARLMVVASAGTASSTTLALAAAGHQTGGRVVCISSDQNESKASRKAVGANGDCVEFVVGDAKTLLLGDYKGSDFVLVDCDITNAREIFLAAFEGAKKDGRALVVGYNVRHRASRWRQLRASFLPIGEGLLVSKIDPNVKSTNVNIDQKRKGHWIVQVDNCTGEEHIFRVPSPYSYRKVQLQA >OIW21698 pep supercontig:LupAngTanjil_v1.0:Scaffold_168_25:40874:42175:-1 gene:TanjilG_08101 transcript:OIW21698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLVWSPETASKSYLDTVKLCEKFKESEVAEFLSAMAAGWNAKFIVESWSNNGPIITSFGLAVAARNTGARHVCIVPDEPSTVQYTKAMAEIGVSPPPEVVAGEAEKVIPRLLGLDFLVVDCKQREFDRVLRVAKVGNRGAVLACKNAWQRNVSGFRWNKALEKGTRVVRSVFLPVGKGLDIAYIGSTSGKVVTTNKGPSRWFKHIDQQSGEEHLFRK >OIW21696 pep supercontig:LupAngTanjil_v1.0:Scaffold_168_25:23898:27296:1 gene:TanjilG_08099 transcript:OIW21696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKGRGIQENIFESRGFGLHRNVMSMPSIFCGKDPFDDPFFNDPFHQTMFGPRTMQNTSREKGVVIEELGSDDEGGNHFAETGNNEDFVEPSIEHPDDDYDDVNAERKNSDATYKNAHQKIEPPKVHNFSSQTSRVTYGGVDGAYYTSTRTTRIGVDGVVIEENKEADSTTGQATHRITRGIKDKVLSVLKKLDSDGKVDTSHTLQNLSEDELEGFEEEWKGNNIGQLPEWKGRYAMHRNEGSGSSEQNRNQVWTPFPSFGQAGRATGFASNYETGSNASGGTKKVNEYVYCGIFG >OIW21695 pep supercontig:LupAngTanjil_v1.0:Scaffold_168_25:12390:20536:1 gene:TanjilG_08098 transcript:OIW21695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRSGTTMAWNVFKFCTALRGLGSIMILLVLGVVGVTYYAVVLTNYGPVLYGGGFDSLTAFVVLILFHGLLVMLLWSYFSVVFTDPGSVPPNWRPALDEERGDADPLVASEFNNGVSSDPSSQRIRYCRKCNQLKPPRCHHCSVCGRCVLKMDHHCVWVVNCVGALNYKYFLLFLFYTFLETTLVTASLLPQFLAFFGDGEIPGTPGSLATTFLAFVLNLAFALSVMGFLIMHISLVVSNTTTIEAYEKKTTPKWRYDLGRKKNFEQVFGMDNRYWFIPSYTEEDIRRMPALQGMDTPRTLLLSVSNRNVTFNQFGLMELHLQGTLLSPLPQFLAFFGDGEIPGTPGSLATTFLAFVLNLAFTLSVLGFLIMHISLVVSNTTTIEAYEKKTTPKWRYDLGRKKNFEQVFGMDNRYWFIPSYTEEDIRRMPALQGLDYPSKPDFDSQ >OIW21700 pep supercontig:LupAngTanjil_v1.0:Scaffold_168_25:98251:101457:1 gene:TanjilG_08103 transcript:OIW21700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLSISTLILIMIPLSATIIAFSLTTTAAASNLLVSLLNEEANALLSSRWWSDQDITKHCNWSGIVCNEVGSITAISTPLNYSLSGHCLWDINVTAFPNLVHLDLSEMQICGEIPVEISGLMKLTHLDLSHNYFTGKLPITIANLSQLVMLDISYNYMSGAIQQELRVLNKLVTLDLSVNNFIGSIPSSLSHMTSLTHMNLSSNKLDGELPSTLVNLTQLVMFDISYNSIHGGIPYQFSRLTSLMHLNLSCNQLYGELPTTIANLTQLVAFNVSFNSFAGVIPQELGNLKNLVTMDLSGNKFNGSISSSLGNLIQLQNLDLSRNDISGSIPLEIEHLINLKVLDLSDNKISGVIPVHIFANLTQLQNLHLSHNNITGLIPSWIGKLYKLQVLNISHNRLEGSIPLGILIHCNYVQLSYNSLNGSIPTQIGNLTYLDLSYNNLVGRIPEALESVSHMDLSYNSFDDTCCHFCASRYGHEMLIGNDNKRTGHLCNSIAPFFNFTERIVIYIAIGCLICACCVRGGHYIYRDQHREFKNKDRRIIRNGDLFSIWNYDGKIAFEDILKATEDFDIKYCIGTGAYGSVYKAQLPNGKCVALKKLHKTESENESFYRSFCNEVKVLTDIRHRNIIRLYGFCLHNKCMFLVYEYMEKGSLFYNLVSDNEAEELNWRKRVNIIKDIAYALAHMHHHCTPPILHRDISSNNILLNSELQAFVSDFGTARLLHHDLSNQTLVVGTFGYIAPELAYTMTVTTKCDVYSFGVVALETMMGTHPRELISSLSKPCTQNMMVKDILDSRSSLPSLRKDMQDVVLVLTLALACLRPDPKSRPSMQEVANELSVSKGLMHFNLCDISINQMRNQEIYVIGKKLAQL >OIW21702 pep supercontig:LupAngTanjil_v1.0:Scaffold_168_30:10894:17851:-1 gene:TanjilG_08112 transcript:OIW21702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSGSASWAMLKGIVISIPVLVLSASVTASFLFFLLSSLSSCSCPRHSDTPVSRTSSTGVGVPESFHDTIPLSTKKEDVEWVINQIHANGLHMHDNVLLKGINPQKRAQQLEDLRHALFLHMPNKLVWTGLERLASELKPYDGRIFVSHNIKFCSQLGREECTKRLMSLGLEYIANKTHNSLLFNHYEIWFELRRVKA >OIW21701 pep supercontig:LupAngTanjil_v1.0:Scaffold_168_30:7711:9489:1 gene:TanjilG_08111 transcript:OIW21701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHVSYFRKYGKTFKKPRRPYEKERLDAELKLVGEYGLRCKRELWRVQYALSRIRNNARNLLTLDEKNPRRIFEGEALLRRMFRYGLLDETQNKLDYVLALTVENFLERRLQTLVFKSGMAKSIHHARVLIRQRHIRVGRQVVNIPSFLVRIDSQKHIDFSLTSPLGGGRPGRVKRRNQKAAAKKASGGDGDEEDED >OIW21684 pep supercontig:LupAngTanjil_v1.0:Scaffold_168_4:16235:18107:1 gene:TanjilG_08087 transcript:OIW21684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGIIEPSLMALARKYNQDKTICRKCYARLHPRAVNCRKKKCGHSNQLRPKKKIK >OIW21686 pep supercontig:LupAngTanjil_v1.0:Scaffold_168_6:15212:16211:-1 gene:TanjilG_08089 transcript:OIW21686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEEDKYIQASTKGKNVQSFSSCSEPQHQNPRKKKNKLEKNKRRFSDEQIRSLEIIFESESMLEPRKKLQLARDLGLQPRQVAIWFQNRRARWKSKRMEQEYRKLRDEYDKLASRFESLKNEKESLQLQLQKLRELVGTCYDGRREMKGGKENSTEKGGLEDRECMNYSDDQNEKNIRSEKSEERGQEQHHVLRMDEHEEILPLASTLEKWYNVDPNGSLDQPCSSSQWLDFWT >OIW21685 pep supercontig:LupAngTanjil_v1.0:Scaffold_168_6:7709:13186:1 gene:TanjilG_08088 transcript:OIW21685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMASSLIAPTSTSFFQSKKDIGLSPSSSRHRCHKPASRRICCSIAAPHQAQRQPSTTGSVKTAMTMTEKIFARASEKSYLSPGDNVWVNVDVLMTHDVCGPGSIGIFKREFGENAKVWDREKIVIIPDHYIFTSDERANRNVDILRDFCNEQNIKYFYDIKDLSNFKANPDYKGVCHVALAQEGHCRPGEVLLGTDSHTCTAGAFGQFATGIGNTDAGFVLGAGKLLLKVPPTLRFVMDGEMPPYLLAKDLILQTIGEISVAGATYKSMEFVGSTIESLNMEERMTLCNMAVEAGGKNGIVPADNTTFKYLEGRTSVPYEPVYSDLQASFLSEYRFDVSKLEPLVAKPHSPDNRALARECKDVKIDRVYIGSCTGGKTEDFLAVAKVFLAAGKKVKVPTFLVPATQKVWMDLYSIPVPGSGGKTCSQIFEEAGCDTPASPSCGACLGGPKDTYARLNEPQASSFASVCVSTTNRNFPGRMGHKEGEIYLASPYTAAASALTGFVTDPREFLQ >OIW20251 pep supercontig:LupAngTanjil_v1.0:Scaffold_16_105:28081:31033:1 gene:TanjilG_07410 transcript:OIW20251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMATCVGSGVAVKTPHYHPIFPSSSFLSSSPTSSRFQVTLASQTTRSIIERKCFVVKEDKEELSDTNETILHSFSPLPLLYAAALLPGAGAVTSVFEPFVEIVKSFDLPGWLVHWGHPGNMAVVLFAMGGYGTYLGFRIRYSDDVEEKAKAKDLHPKLLAGMFFFFALGATGGVTSLLTSDKPIFESPHAVTGVIGLGLLTIQTILPSLFEGNPGLRNVHGILGSGIMTLFLVHAALGLQLGLSY >OIW20250 pep supercontig:LupAngTanjil_v1.0:Scaffold_16_105:14605:25447:1 gene:TanjilG_07409 transcript:OIW20250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTIPSSISITLISRKLSVTNFFQPTAISLTRFHLRAALTNNATTRTRRSRRLKSDAEICNDIHQFLIDVGLPENHIPSTKELLNYGRKDLANIVRRRGHKQIEELLTSSLNASIHYLNTENSLDKRMVLSQNVKMDSLVDDVTTSTKILLGDNSSSLDTDSTQSLDECTSIPVDSSANSSMDNGLGELKDLAEEVNKVPIELTFTDDYSSSREIHYPNFDNQSFIPIEISGESSFETNSCGDFESEDTMGKLVRGTALPLTVSSVENHSNTSFNDSDLDTKDEMFSHLESSMELSLEQKVANFIQNGDLDPVEDHVSGISNGNDSQERKVYTEPEPVVDIPLNTHPPEENNNMELNVSSLTSKEVISSGMWEQVLVDDHMQHEDLTVHNHKNFNDEAPKVQNQSEINQLKFMLVVVVKHQKELELSRLKEQIEKKKFALSVLQTKTEEEISKARKYVSEKDTELQIAEESLSGLKEVQIEFCGEGDVVEVAGSFNGWHHRIKMDPQQSISVVELGESRRSKFWSTMLWLYPGVYEVGASSSNAYATDPCICTT >OIW20256 pep supercontig:LupAngTanjil_v1.0:Scaffold_16_110:99884:100819:1 gene:TanjilG_07415 transcript:OIW20256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSHRCVCVIAVIFVVVIGIAECRQFQKNELVDGFGGGGGGAGGGFGGGKGGGFGGGQGGGIGGGGGAGGGAGGGIGGGNGGGIGGGGGAAGGAGGGIGGGHGGGIGGGGGAGGGAGGGFGGGRGGGIGGGGGAGGGAGGGIGGGNGGGIGGGGGAGGGAGGGIGGGHGGGIGGGGGAGGGAGGGIGGGNGGGIGGGGGAGGGAGGGFGGGHGGGVGGGGGAGGGAGGGIGGGNGGGIGGGGGAGGGAGGGIGGGNGGGVGSGLGGGGGAGGGAGGGAGGGAGGGAGGGFGGGAGGGFGGGAGGGVGGGF >OIW20258 pep supercontig:LupAngTanjil_v1.0:Scaffold_16_110:117024:118145:-1 gene:TanjilG_07417 transcript:OIW20258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSTRGMARNAEEWVQEREDMRREREEQRSRNEMNEARMKRLEEALVQLTTRARVPNPRDEGSEFGGEHDFRANRGGERWRKLEIPIFSGEDAFGWTHRVERYFVLKEVTEEEKMQAILMALDGKALSWYQWWSRCNPHSSWEGFKVAVVRRFQPSMVQNPFERLLALKQEGTVGDYVEEFEKYVGALREIELEFVKGVFLNGLRDDIKAEVRLFEHPSLSELIHKSLLIEEKNVIVRGRENNNHFARSTSYSRSSAPTKSITVDSKPWTERKSDQSSVGSVSLNKNTSQAGEGGRSRGTGFKHLTAVEMKEKREKGLCFRCDEPFNRDHRCKNKQLRMLLMEEDEEESEEGSEVAMENNCNLFSCHFGQWRG >OIW20252 pep supercontig:LupAngTanjil_v1.0:Scaffold_16_110:25970:28362:-1 gene:TanjilG_07411 transcript:OIW20252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPNDSSSSNAHQNQFSEGSLDAGKEKSTEKEVFVNHAEIAWHQQRKEWIGNQSKNLQRPPKDSIMSLTTSYEDLLSSTEPFLQSISLPEMVDFLVDIWHEEGLYD >OIW20253 pep supercontig:LupAngTanjil_v1.0:Scaffold_16_110:39513:41578:1 gene:TanjilG_07412 transcript:OIW20253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCLACLLPLFLVPIVNLLPVLFDLILGKIYGLFGWEYRKPVRAPPACPIKPAAAKTKNDSKQAEANAEPAPIGHVKPGNEDVKQD >OIW20257 pep supercontig:LupAngTanjil_v1.0:Scaffold_16_110:110688:113327:1 gene:TanjilG_07416 transcript:OIW20257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRSSSENLILVNREPDGVAIVTINRPGSLNSLTRAMMGQAGLFCSGVDLTAAEDVFKGDVKDAETDTVVQMERCQKPIIGAVRGFAVTAGFEIALACDILVAANGSKFMDTHTRHEWHIEIVSGYRIGETSSNQILQIVTVLLSFVPQTVSCFVILISVADGGRFGIFPSWGLSQKLSRIIGVNKAREVSLTAAPLTEEVAERLGLVNHVVEEGELLKKSREIADAIVKNNQDMVLRYKSVINDGIKLDLGRALSLEKERAHDYYNGMTKEQFRKMQEFIASRGSKKQSKL >OIW20254 pep supercontig:LupAngTanjil_v1.0:Scaffold_16_110:44133:57209:-1 gene:TanjilG_07413 transcript:OIW20254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHLDPVKNIVQKKRSARRSSGHWTHASKANRSGNDADVPPGFPPKYVGNMISAYECQHLSNQCSSADTSDHGAVEKILLLSKLYEKDDIEGSIGGLKEDFEDANRIGRSRLSRDKANLSGTQDEHNDSSMTNVQELEQRINKLEVEFEQRIEILQRETTKLMKARPICDRNLYFPSRLFEADVTTTYARWWKQSVLDHLDPVKNIVQQKRSARRSSSRWTHASKANRSSNDAGVPPGFPPKYVSNMISASTSDHGSVEKILLLSKLYEKDNIEGSLGGLEDDFEDANWSEGSRLSTDKASLSGTQDEDHSDSSETNIEELEQRINKLEAEFEQRIEKLQRETTQLIKTRWKIMEGGEKGRKMQWMALSKVYLLMNLMVLIWLS >OIW20255 pep supercontig:LupAngTanjil_v1.0:Scaffold_16_110:93050:93755:1 gene:TanjilG_07414 transcript:OIW20255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSHKCVCVIAVIFVVVIGIAECRQFQKNELVDEFGGGGLGGGGGGGGAGGGTGGGNDGGIGGGGGVGGGRGGGIGGGGVAVGGGIGGGAGGGVVDGLGGGGGAGGGAGRGEGRGSSGGAGGGSSGGGAGGASSGGSGGGGGFGGGVGVGGGAGEGKRLWRWCRWRC >OIW20261 pep supercontig:LupAngTanjil_v1.0:Scaffold_16_114:35512:37694:-1 gene:TanjilG_07518 transcript:OIW20261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSLCMSLNIAATFKGLSLSSSSSSSFFKGGSSSFLHVGPTSFVSIPHRTPLTIQNAHKKGAGSTKNGRDSCGKRLGVKIYGDQVAKPGSIIVRQCGTKFHAGKNVGLGKDYTIFSLIDGVVKFEKYGPDRKKVSVYPREVTPENPNSYRAKKREYFRMRRERKKAREEESILKYQQLLAAATEAATN >OIW20260 pep supercontig:LupAngTanjil_v1.0:Scaffold_16_114:27786:31684:1 gene:TanjilG_07517 transcript:OIW20260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLGGNRDKHCVDLVLIRFLFLKVMACNHCHQCHLQGPCDMNASDDRNFGRKELNFNRCVTQALSVAGAAKRVKKMSNSNDNQSPPTRHSVEGFLKGWFLYCLGGVVDGEDNENKHVRGGFGLAKEESLMGRTPGKNASSKRVDKSEPVRKSKRVPKRRVLVGEFSDDDNVDDEIRYLEKMKTPKVPAAYKAEQKLSRKERKVSSVSNIENAISSKAHDDDKERSRSDRVSEDIDVKNEKEVRSDAELDDKIKGKQMKESVDELMDSKREMTLTMRQQARLSSKDSSGSGAGLIEFPDGLPPAPPRKQKKKLSETEQQMKKAEAAQRRKVQLEKAAREAEAEAIRKILNQDSSRKKREEKMKKRQEELAKEKAANIQKPNTIRYVYGPNGITVTFPEEMGIPSILKQPPISYPPPREKCAAPSCTNPYKYRHSKLKLPICSLQCHKAVEKMTADGTRVQEKMVTDETRVQEKIAADETRIQEKMVADETRIQEKIADDGTSVPEKMAADGSSAPEKMAADGTSAPEKMVADGTSVPEKMVADGTSALEKMVADGTSAPEKMAADGTRVQEKTADDETSVQEKMAADETHVPQKMVANETKAQEKATDETSFPEKMLTGETCVPEKMAADETSVQMMMVTDEASV >OIW20259 pep supercontig:LupAngTanjil_v1.0:Scaffold_16_114:9310:12827:1 gene:TanjilG_07516 transcript:OIW20259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHLGGNWFDGLSNSVKRRRSQMSRRPRRDSLPAFEGRGLNPLFSTPSSEDASQISRHKNASNAINFNTRHRVSHASGNVDMYGGRNSEGPRQSGDSKDGVGNENKVKKLKLKVGGMTCTIHANPAGNTAPENGLPKKSSQSSDGAKPQEKKQSYSNSNHSSDKRSGLQRHPWKDLSRSGFGLEKEESLMGRISGKNIDGNRGDKSEAVRKSKRVPKRRVIGEEFADDDDEIQYLEKLKTTKVSAEYRDEQDFSTKHRKLSSVSNMENGASSTSHKVGNRRSSSDRVSEDKDNEDEDAGFDAELQDKKRKKQRNESDDISMDSKREMTLTTRQRVLQSSKDASGSSASFIEFPNGLPPLPSRKQKEKLSETEQQLKKAEAAQKRRMQNEKAARESEAEAIRKILGQDSSRKKREDKVKKRQEELAQEKAANAHMLPPNTIRTVIGPNGTTVTFSEDIGIPSILRSPPISYPLPREKCAGPSCTNPYKYRHSKLKLPLCSLECYKAVEKIAADEASAQENMAADEASAQENMAADEASAQENTAADEASAQENTAADEASAQENTAADEASAQENTAADEASAQENTAADEASAQEKTAAE >OIW20263 pep supercontig:LupAngTanjil_v1.0:Scaffold_16_126:25740:28969:-1 gene:TanjilG_07520 transcript:OIW20263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVMLLIDDLESLSGISRCRICHEEDSENSSNMESPCACSGTIKFAHRDCIQKWCNEKGNIICEICLQQYEPGYIAPPKISEKNDEAMSIRREEEESNRRIEERVEGVVTESECSYAADRTAFSCRSLALTFTILLLVRHLFSTCTNGTEDYPFSMLTVIMLKASGIIIPMYIIIRIIGAIQNSIQHYYQDYYYNRSMSDGDEENQ >OIW20262 pep supercontig:LupAngTanjil_v1.0:Scaffold_16_126:11603:15151:1 gene:TanjilG_07519 transcript:OIW20262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELHDNTQKPHIVCVPFPAQGHVNPFMQLSKLLHYNGFHITFVNTEFNHRRLVKSLGQDYVKGLPDFQFETIPDGLPYSDIDATQDVPVLCDATTKHCYEPFKELVKKLNSSNEVPSVSYIIADGIMGFAGKVAKEFGIPELQFWTASVCGFQGYLQYDELVRKGILPFKDENFSTDGTLETSLDWISGMKDMRLKDIPSFIRVTNLDDIMFNFLGSEAQNCLKSSSIIINTFQELETEVLQVLMSKNPNIYPIGPLHLLGKHFPNKSFKSNGSSFWKNDPKCMQWLDQWEPSSVIYVNYGSITVMTKDHLNEFAWGLANSNLPFLWITRPDLVKNEESIGLAQEFLDEVKDRGYITSWCIQEQVLAHPSIGVFLTHCGWNSTIEGISEGVPMIGWPFFAEQQTNCRYISKTWGIGVDINEDVKREEVTKLVKDMVKGERGKEIREKCLEWKKKAIEASDIGGSSYNNFYRLINETLHYHAAYNPSSDSQV >OIW20268 pep supercontig:LupAngTanjil_v1.0:Scaffold_16_127:95012:96920:1 gene:TanjilG_07525 transcript:OIW20268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNETVHILLHQLKMKLKCSSWSLIASHLPGRTDNEIKNYWNSHLSRKIYTATTTTTATITTATTTTVPRIMDIPPKRRNGRTNRWAMKKNKIYALKTKLNNHQESPKQSYQSVVTHKNHEAVPLAPTPSLEFDNFTMVMDFMVFGEEIGDGPSKGSSKQEDENERGTVVDEKYCLMEEDAIINNMLCINGEKEKSDPENSVQYQEFINDGWGLNFNEIMDTCFMEEPSGLLSLTFGEEIENNNNNNNININDLVPNGAAKNEQTCTTHIENNSVNQSSNGESGELLCSSSSMVTGLDDSNNNSNWDWGSAIQLNGNDDLSESLEKKENLLTWLLEDDDWGMDYNQLGDIDSEVDLMLAWLSS >OIW20266 pep supercontig:LupAngTanjil_v1.0:Scaffold_16_127:46560:49265:1 gene:TanjilG_07523 transcript:OIW20266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTSPSLSPSEKKHWWLTNRKIVEKYIKDARSLIATQEQSEIVLALNLLDSALAISPRLDQALELKARSLVYLRRFKDVADMLQDYIPSYKMANDDSSYVSSDSSSQQLLSSSSSESQEKDQIFKCFSVSELKKKVMAGISKSCNKEGQWRYLVLGQACCHLGLMEDAMVLLQTGKRLASAAFRRESVCWSDDSFTIPFTGDTTNLPQQPSTPPRALLTESESITNLLAHIKFLLRRRTAALAALDAGLYSEAIRHFSKILDGRRSAPQGFLAECYMHRASAYRSSGRIAESVADCNRTLALDPTCIQALETRAALFETIRCLPDSLHDLEHLKLLYNSILRDRKLPGPAWKRHNVRYREIPGKLSALTTKIEELKSRLASGESGKVDYYALIGVRRGCSRSELERAHVLLTLRHKPDKATNFIDRCELANERDLETVKEKAKMSSLLLYRLVQKGYTSVMSNIMDEEVAEKQRKKALQAIQVQKTNQHEMMNNKDKLVTKRRSNNNNNVSVENKTNITSTTVNPAVFKGVFCRDLTVVGNLLSQVGFNRPIPMKYEALSC >OIW20264 pep supercontig:LupAngTanjil_v1.0:Scaffold_16_127:1925:4108:-1 gene:TanjilG_07521 transcript:OIW20264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELHDNTQKPHIVCVPFPAQGHVNPFMQLSKLLHYNGFHITFVNTEFNHRRLVKSLGQDYVKGLPDFQFETIPDGLLHSDIDATQDVPILCDATKKHCYEPFKELVKKLNSSDEVPSVSYIIADGTMGFAGKVAREFGIPDLQLWTASACGFVGYLQFHELVRRGFLPFKDENFSTDGTLDTSLDWISGMKDIRLKDLPSFIRVTNLDDIMFNFLGSEAQNCLKSSSIIINTFQELDSEVLQVLMSKNPNIYPIGPLHLLGKHFPNKSFKSNGSSFWKNDPKCMQWLDQWEPSSVIYVNYGSITVMTKDHLNEFAWGLANSNLPFLWILRPDLVKNEESIGLAQEFLDEVKDRGYITSWCIQEQVLAHPSIGVFLTHCGWNSTIEGISEGVPMIGWPFFAEQQTNCRYITKTWGIGVDINEDVKREQVTKLVKDMVKGERGKKIREKCLEWKKKAIEASDIGGSSYNNFYRLINEALHYHAAYNSSSDSQV >OIW20265 pep supercontig:LupAngTanjil_v1.0:Scaffold_16_127:21038:31496:1 gene:TanjilG_07522 transcript:OIW20265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKFSLLITTFFILLCTLHHNGEAHDPFACDPKDVTTKNLPFCKVSLAISERVNDLIGRLRLEEKVNLLVNTASGVPRLGIKSYEWWSEALHGVSNVGPGTKFGAQFPGATSFPQVITTAASFNASLWEAIGRVVSDEARAMYNGGVGGLTYWSPNVNIFRDPRWGRGQETPGEDPVLAGTYAVHYVKGLQGTDGNRLKVAACCKHFTAYDLDNWNGVDRFHFNAQVSKQDIEDTFDVPFRMCVKEGKVASVMCSYNQVNGVPTCADPTLLKRTIREQWHLDGYIVSDCDSVGVLYSLDLDCGPFLGLHTQDAVKKGMLSEGDVNGALVNTLTIQMRLGMFDGEPLSQAYGNLGPKDVCNPVHQELALEAARQGIVLLKNNGPSLPLSPQHHRTVAVIGPNSDVTITMIGNYAGIACGYTSPIQGIGKYARTIHQPGCANVACSNDNQFGPALDAAHQADATILVMGLDQSIEAETKDRAGLLLPGLQQDLVSKVADASRGPTILVLMSGGPVDITFAQNNHRIAGILWAGYPGQDGGAAIADILFGAANPGGKLPMTWYPQEYLTNLPMTNMAMRPSQSKGYPGRTYRFYKGPVLYPFGHGLSYTHFVHTIASAPKLVSIPLNGHHHSNTTNFSNKAIRVTHARCGKLSITLHVYVKNVGSIDGTHTLLVFSAPPLGGGNWAPQKQLVAFEKVHVDAKAQKLVLVNIHVCKLLSVVDKLGIRRIPMGEHVIHIGDVKHHVSLQATTLGIIKS >OIW20267 pep supercontig:LupAngTanjil_v1.0:Scaffold_16_127:56272:60234:-1 gene:TanjilG_07524 transcript:OIW20267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHRRTKLLFLLCAICYSLNAIAGKSYYDILQVSKSASEEQLKKAYRKLALKYHPDKNQGNEEANKKFAEINNVYEVLSDSEKRNIYDRYGEEGLKQHAASGGRGSGMGMNVNDIFSSFFGGGSMEEEEERIVKGDDVIVELDVSLEDLYMGGSLKVWREKNVLKPAPGKRRCNCRNEIYHRQIGPGMFQQMTEQVCEQCPNVKYVREGYFITVDIEKGMQDGQEVSFYEDGEPVVDGESGDLRFRIRTAPHDLFRREGIDLHTTVTLTLVQALVGFEKTIKHLDEHLVNISAKGITKPKEVRKFKGEGMPLHMSTKKGDLYVTFEVLFPTSLTEDQKTKIKETLG >OIW20224 pep supercontig:LupAngTanjil_v1.0:Scaffold_16_13:10878:17780:-1 gene:TanjilG_07315 transcript:OIW20224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTGYRAEEVLGRNCRFLQCRGPFAKRRHPLVDSTVVSEIRRCLEEGVEFQGELLNFRKDGSPLMNRLRLTPIFGDGEITHVIGIQFFTEANIDLGPVQGFAIKESAKSSDRFSSLRPLPLGDRNVTHGACGIFQLSDEVISLKILARLTPRDIASIGSVCRRLYELTKNEDLWRMVCQNAWGSETTRVLETVPGARRLGWGRLARELTTLEAAAWSKLTVGGAVEPSRCNFSACAVGNRVVLFGGEGVNMQPLNDTFVLDLNSSNPEWRHVQVTSPPPGRWGHTLSCVNGSHLVVFGGCGTQGLLNDVFVLELDAKPPTWREISGLAPPLPRSWHSSCTLDGTKLIVSGGCADSGVLLSDTFLLDLSMEKPIWREIPVTWTPPSRLGHTLSVYGGRKILMFGGLAKSGPLRFRSSDVFTMDLSEEEPCWKCVTGSGMPGAGNPGGTAPPPRLDHVAVSLPGGRILIFGGSVAGLHSASQLYILDPTDEKPTWRILNVPGCPPRFAWGHSTCIVGGTRAIVLGGQTGEEWMLGDLHELSLASSAI >OIW20269 pep supercontig:LupAngTanjil_v1.0:Scaffold_16_131:7272:13060:-1 gene:TanjilG_07526 transcript:OIW20269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLVIFQGELVLCLVALFCGLVVALVVALLMAWSCSWPSWPGLALLMALPWSWPDWPWLVWLGPGALLLRSTKMEGTVFAPAIEGIKTVKSEQGEILSQPFLDVCKHILPVIDKFGAAMALVKSDIGGNISRLESKYASNPSRFNYLYSLVQVEVETKTAKSSSSCTNGLLWLTR >OIW20225 pep supercontig:LupAngTanjil_v1.0:Scaffold_16_18:19475:27906:1 gene:TanjilG_07316 transcript:OIW20225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANGKGISLEEIKNENVDLERIPVEEVFEQLKCSKEGLSSAEGANRLEIFGPNKLEEKKESKFLKFLGFMWNPLSWVMESAAIMAIALANGNGKPPDWQDFVGIICLLVINSTISFIEENNAGNAAAALMAGLAPKTKVLRDGKWCEEEAAILVPGDIISIKLGDIVPADARLLEGDPLKIDQSALTGESLPVTKNPGDEVFSGSTCKQGEIEAIVIATGVHTFFGKAAHLVDSTNQVGHFQKVLTAIGNFCICSIAVGMLAEIIVMYPIQHRKYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLSVDKNLVEVFAKGVDKDHVILLAARASRTENQDAIDAAIVGMLADPKEARAGAREVHFLPFNPVDKRTALTYIDADGNWHRASKGAPEQIMILCGLKDDAKQKVHAIIDKFAERGLRSLAVARQEVHEKTKESAGGPWQFVGLLSLFDPPRHDSAETIRKALNLGVNVKMITGDQLAIAKETGRRLGMGTNMYPSSSLLGQHKDESIASLPVEELIEKADGFAGVFPEHKYEIVKKLQERKHICGMTGDGVNDAPALKKADIGIAVADATDAARGASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVFGFMFIALIWKFDFSPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLKEIFATGIVLGGYMALMTVIFFWAMKETTFFPRKFGVRPIHNSPDEMTAALYLQVSIVSQALIFVTRSRSWSFVERPGMLLMGAFVIAQLIATIIAVYANWSFAKIKGVGWGWAGVIWLYSLVFYFPLDFLKFAIRYVLSGKAWVNMLENKTAFTTKKDYGKEEREAQWALAQRTLHGLQPPETSSIFNEKSNYRELSEIAEQAKRRAEVARLRELHTLKGHVESVVKLKGLDIDTIQQHYTV >OIW20228 pep supercontig:LupAngTanjil_v1.0:Scaffold_16_26:72558:72779:1 gene:TanjilG_07319 transcript:OIW20228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQDKMHQMTKIDRAFLTETDQNMMQDHMHQMTETDKAHKRTRQTQGAQAHQADTRRTSAPDDRGTWDLTHDS >OIW20229 pep supercontig:LupAngTanjil_v1.0:Scaffold_16_26:79412:84018:1 gene:TanjilG_07320 transcript:OIW20229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQEVPKNKDESLNLKITCKSHVKPEKKIGRKECQLVTFDLPYLAFYYNQKILFYKGDGDFEGMVKKLKDGLSIVLEEFHQLAGKIGKDEEGVFKVEYDDDMEGVEVTEAVLGDEIGVADLTVAESTEILKELIPYSGVLNLEGMHRPLLAIQLTKLKDGLAMGCAFNHAVLDGTSTWHFMSSWAEICSGSPSTSTSPFLDRTKARNTRVKLDLSLPEPKGQLNGDAKPEPKGQPNGDAKPEPKLREKIFKFSESTIDKIKSTVNENSSLDSSKPFSTFQALSTHIWHHVTHARNLKPEDYTVFTVFVDCRKRVNPPMPEAYFGNLIQAIFTVTAAGLLLAQPPQFGASLIQKAIVAHNAKAIDERNKEWESSPKIFQFKDAGVNCVAVGSSPRFKVYDINFGWGNPENVRSGTNNKFDGMIYLYPGKNGGRSIDVELTLEPEAMGRLEQDKEFLMEV >OIW20226 pep supercontig:LupAngTanjil_v1.0:Scaffold_16_26:13318:19544:1 gene:TanjilG_07317 transcript:OIW20226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLRTPSSVTVFSLSLTIPSRHLSPPPFHRNLTSIPLLRQCTKTTPSLTSFFSKKQPRSNPSVAVRSQLRYPIISPNDHWGTWSTIFAIGAFGLWSEKTKIGSMVSAALVSTLVGLAASNLGILPYDAPAYAVVLEFLLPLTVPLLLFKANLLQVLRSTGTLLLAFLLGSVASIVGTLVAFLIVPMRSLGPDNWKIAAALMGSYIGGSVNYVAISEALGMSASVLAAGVAADNVISVVYFMVLFALASKIPPEAAAPTTDDTKYMESDYDANLHVLQTATALATSFLICKGATYLTKLYGIQGGTLPGVTAIVVILATLFPRHFGSLGSAGHTVALILMQVFFVVVGASGSIWNVINTAPSIFMFALVQITIHLVLVLGLGKLFKLDLKLLLLASNANIGGPTTACGMAKAKGWESLVVPGILAGIFGISIATFLGIGFGVMVLKHL >OIW20227 pep supercontig:LupAngTanjil_v1.0:Scaffold_16_26:21519:22376:1 gene:TanjilG_07318 transcript:OIW20227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPYEVEVKIASAHRLKNVNWRHGATRPYAVVWVDPNNKFSTRVDESGDTEPNWDQNLLLPLPLGPIENFTLYLDIVHAGSEDDTKPLIGSARLKLADVIDYGGIGERVSKTLNLTRPSGRPHGEVEVKVVVKDRSYHASGEYYTPPYGVPPSRQQPPREYGHPNAGPQYESSYYSPAPQSGYPYAGGSYGQGSYGDAYNEPLQTASYGQGGYGYAAAPVEEKKSKFGGMGTGLAVGALAGVVGGIALAEGADYIENKIADDAAEKVEDDIGYDNDDGYDEDDF >OIW20230 pep supercontig:LupAngTanjil_v1.0:Scaffold_16_28:30942:34752:1 gene:TanjilG_07321 transcript:OIW20230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENINNTVHTVNAAATAIVTAETRVQPTTAPKKRWGSFWSQYWCFGSRKTSKRIRHAVLVPEPVAPTGPAPIASPNSSSTIVMPFVAPPSSPASLLQSDPSSATHSPAGLLSLTSLSINAYSSCGPATIFTIGPYAYETQLVSPPVFSNFTTEPSTAPYTPPPESVQHTTPSSPEVPFAQLLASSLDRAHKINGTQKFAVYNYECQQYPGSPGAQLKSPGSVISMSGTSTPFHDKRLNLEFRKGETLKILGYEHFSTRKWSSRLGSGSLTPDSAGQGSRLGSGSVTPDGVGLASRLGSGAVTPDGLGLDSRLGSGSLTPDGACPTTQGSICVPNQISEQASLANSENGHQSNATLVNHRVSFELTGEDVARCLANKTGMLLRNVSRSSQGIVANGAVDRERIQRDTNSCCDMCSFKTNDRPDNALGEGVQCCQRHHFATFSKDFNFDNRKGDASINAANGPEWWTNKKFNGKEGRSANSWAFFPMLQPEINRV >OIW20232 pep supercontig:LupAngTanjil_v1.0:Scaffold_16_29:4932:5102:-1 gene:TanjilG_07323 transcript:OIW20232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPKDLIMQETNQDMLMFERVYKLQQEKFDSRILGCQIKGMKWVQKCLMVLYIVIV >OIW20231 pep supercontig:LupAngTanjil_v1.0:Scaffold_16_29:2001:3539:-1 gene:TanjilG_07322 transcript:OIW20231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAKTAINNNKEKKKIITPCCNKIGLKRGPWTREEDEVLSNFIKKEGEGRWRTLPKRAGLLRCGKSCRLRWINYLRPSLKHGHIAPDEEDLILRLHRLLGNRWSLIAGRIPGRTDNEIKNYWNTYLSKKLISQGIDPRTHKPLINSCSNSTITPLPNHSPKLIPLTPINHHHPIPSPHHHINIFNQDFDPNITTTTTTHDYLDQHQATNANITNDDVSKIGLVIRSNEQNLLCSNNEDHCSDDDVFSSFLNSLINDDHALSVCDNDLSPPAYGNGVYHHNE >OIW20233 pep supercontig:LupAngTanjil_v1.0:Scaffold_16_29:5724:16758:-1 gene:TanjilG_07324 transcript:OIW20233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLWTWFRRKRASGFSASSTAQEVTQGIHATALTAIVTGATSGIGIETARVLALRGVHVVMGIRNMESGREVKEAILRDNSNAKIDMMELDLSSMESVRKFAFQFNSCGLPLNILVNNAGIMATPFKLSKDNIELQFATNHIGHFLLTNLLLETMKRTAIEQRKEGRIVNVSSRRHQLSYPEGIQFDKINDKSGYNSLSAYGQSKLCNVLHVNELARHKGYLIGGMPGIGGTYQEMFEEDEGSAITANSVSPGPIATNLYRYHGLIDGSSFFWTWNWKGYSIRYQYSGNHGPALVLIHGFGANSDHWRKNISVLAESHRVYSIDLIGYGYSDKPNPREIGDGSFYTFETWATQLNEFCLDVVKDEAFFICNSIGGVVGLQAAVIAPKICRGILLLNISLRMLHITKQPWFARPFIKSFQRLLRDTAAGKFFFKAVATKESVRNILSQCYHDTSKVTDELVQVILSPGLEPGAAEVFLEFICYSGGPLPEDLLPLVKCPVLIGWGDKDPWEPIEMGRNYSNFDSVEDFIVLPNVGHCPQDEAPHLVNPLVESFVARHAKSSSTTSKVN >OIW20234 pep supercontig:LupAngTanjil_v1.0:Scaffold_16_35:8105:13743:-1 gene:TanjilG_07325 transcript:OIW20234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNQFDCTKLNPVRRVRLVAKVRGFSGPVGEASRTVNWVSVNKPEGENSEGVTISFGEQSSSQYLVDYCYEDHEENEIIFIREVKPLISAVFEGHNSTVIAYGARGSGKTHVIQGSDEKQGLAALSISEFLSMAEKNGKCIALSFYEVDHQEHAVDLLNPGQPPILVFEDRGRIQFKGLTQTPVKSIAEFQNLYLTACSARKATPKKGYEHACRSHMGLTVHVFSKNETIETGLVSKMNFVDLTGYEDARKKSIDVSCHAEINKINKSIYALLNVCQALSTNDNRVPYRESKLTRILQDTLRGTSKILMVACLNPSFCQDTVYMVSLASRSCQWKHKTPLESTKKNESSINKGTTSQKSKINKSMPIPFKPTEKNESSTNKVPASQKSQMNKSTPTPLESTKRNETSTNKAATSQKSQINKSIPTPLKSTKKNESSANKMVTPQRKQLNKSISAPLDSAKKNESSAKKVVTSHKIQVPKSISTTAKRLPGSRSNMYEKKDVVAKPATKGRKLFDEASHSAKADKAMPLSAMGSTSETSMLEEDNSLPDASSNVKLNVMVEEGICGDGEDQDHQENHAPYVGACSEVQEGMSTENSSLMSNEVGSPPISSQLRDLSNSLKLLYSSTPLSVQIPEMECTPLNDQISTDIVEPKTPITEQNMRVIDRWDVMNAKSPWEAFSMRGSGMKNSLVQEYLKLLNTADKDELKKLKGIGEKRATYILQLRQESPEYFKSVKELMKKEVGGLFS >OIW20236 pep supercontig:LupAngTanjil_v1.0:Scaffold_16_45:16749:23530:-1 gene:TanjilG_07327 transcript:OIW20236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASITPTCSPTSLQLRFAFNAPNFKKFPTTLTLHSRIPCFNHRFRLICNVSERVPGEASWVGSDSEPDGFSGWNGSEGGEQSNNNKSHKKEPYGGVVGVGVAGVLLLSGIAFAAFSLSKRTGSRSEQQLKPLTAQQDVLLSSDDHNDGNTEHANAGNKVEQANDNKEGQIDTSNDHSSPELDNIHGDYRIVDDSDDTKNTSNGIHGATEHISVLEDIQHHSALDDKLVEVEEIPFNVEQGNIPNDDARSTHRSAEQQDETTSSSGSKISDISNTSSSTADNETEIGRVSVNPDSSNTISDPEFYPQDDQKNFLSASSQESPNVNKMPQVSAVGNKVYFEEQRVPRNDLFRESSTDTLTDRQIASDNNEVNESIPESPNSGPFFSVPGIPAPSVVSAAVKVLPGNVLVSAAVDQVQGQALAALQVLKVIEPDAQPGDLCTRREYARWLVSASSALSRNTISKVYPAMYIDNVTELAFDDVTPEDPDFCSIQGLAEAGLIESKLSRGDIQFSADEDNSPFYFSPESPLSRQDLVSWKMAIENRQLPEADRKKLYRLSGFIDTDRIHPNACPALVADLSAGEQGIIALAFVFTHSSYFGVGYIQGYTRLFQPDKPVTKAQAAIALATGDASDIVSEELERIEAESVAENAVAAHSALVAQVEKDINASFERELLIEREKINSVERMAEEARLELERQKAEREEENISLIKERAAIESEMEVFSRLKHEVVDQLQSLMNDKVAISYEKERIIKLQEQAEVENKEISRLQYELEVERKALSMARAWAEDEARRVREQAKALEEARDRWERHGIKVVVDDDLRKEASAEVTWLNAGEQFSIQGTVHRAETVLDKLKKMSTEIRGKSRNTIDKIVHTISIFISNLREWAFKSGKQAEELKDAAISKAGKSAHELQQSAFEIGFAIKEGAKRVAGDCREGVEKLAQKLKT >OIW20235 pep supercontig:LupAngTanjil_v1.0:Scaffold_16_45:7637:9161:1 gene:TanjilG_07326 transcript:OIW20235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKQPSTGLFVGLNKGHVVTKRELPPRPSDRKGKTSKRVHFVKNLIREVAGFAPYEKRITELLKVGKDKRALKVAKRKLGTHKRAKRKREEMSSVLRKIRAGGGAGDKKK >OIW20237 pep supercontig:LupAngTanjil_v1.0:Scaffold_16_53:2167:7961:-1 gene:TanjilG_07395 transcript:OIW20237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKEDGVNNHGAEVQDIESNGLLDGKEEIGMHWSEFSDRNMSNTQPLLAKRTNITSQIAIVGANLCPIESLDYEIFENELFKQDWRSRKKVQIVQYVILKWGFALLIGLGTGVVGFFNSVAVENIAGSKLLMTTSLMTKNKYFEAFLLYAGINMGLAAAAAALCAFIAPAAAGSGIPEVKAYLNGVDAHSILAPSTLFVKIFGSILGVSAGFVVGKEGPMVHTGACIASLLGQGGSRKYGLTWKGFRYFKNDRDRRDMITCGAAAGVAGAFRAPVGGVLFALEEAASWWRSALLWRTFFTTAVVAIVLRALIQFCGTGKCGLFGKGGLIMYDVSAYKVSYSGADLVAVLILGTVGGILGSIYNYLVDKVVRTYSIINEKGAAFKILLVITISLLTSCCYYFLPWVAPCTPCPTNITTSCPSVDESGQYKRFQCPPGYYNALASLFLNTNDDAIRILLTSGGFHVFSLFIFFLAVFFLGIITYGIAIPSGLFIPVILAGAAYGRLVGIIFDPVITLDTGFFALLGAASFLGGTMRMTVSLGVILLELTNDLLLLPLVMLTLLVSKTVADSFNKGVYDQILHIKGLPYLEAHAEPYMRNLVALDVVTGPLITFSGIEKVRNIIHILESTGHNGFPVIDEPPFSETPELCGIVLRSHLLVLLKGKNFSRERVPAGQSIFNKISALDFAKAGLGKGIKLEDLDIEEEEMDMYIDLHPITNASPYTVVETMSLAKAAILFRQLGLRHMCVVPKSQGRPPVVGILTRHDFMPEHVLGLYPHMKSHKWD >OIW20238 pep supercontig:LupAngTanjil_v1.0:Scaffold_16_54:5789:9320:-1 gene:TanjilG_07396 transcript:OIW20238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCVGDVMEGRQNRSRSYRNGVMRRSLSSKQKCHDLWILSSTHYSNKDIFLRELISNASNIKLDKEKKILSIRDRGIGMTKEDLIKNMGTIAKLGTSGLYLVLVVLLERIFTVFGVCFYPVYLVSDYVEVISKHNEDKQEVCVGIKDDGEFAISEDTWNEPLRCGTEIRLHLKDEAGEYLEESKLKELVKRYSEFINFPIYIWASKEVDVEVPADDDCNEEDESSESSSLDEETEEDSDKKPLQVIYFTDPVDEYLMQYLMDYEDKKFQNVSKEGLKLGKDAKDKELKESFKDLTKWWKMPLPSANMERIMQSQALADASKQAYMCSKRVLEINPRHPIINELRERVVKNPEDESVKHTAELIYRTALFESGFLLDNSKDFASRVYDSVKSSLDISPDAAVEEEGKMTLKRSRLKVSRKKSSVPKLKLAMMMSRSCRFFCCLCLGLAL >OIW20239 pep supercontig:LupAngTanjil_v1.0:Scaffold_16_56:16045:18706:1 gene:TanjilG_07397 transcript:OIW20239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALHLLRRSLGSRYFANPNFRTFSYSSYSTPIRATLFPGDGIGPEISESVKEIFQAAGVPIEWEEHYVGTEIDPRTQSFLTWESLESVRRNKVGLKGPMATPIGKGHRSLNLTLRKELNLYANVRPCYSLPGYKTRYDNVNLITIRENTEGEYSGLEHQVVRGVVESLKIITRQASLRVAEYAFHYAKAHGRERVSAIHKANIMQKTDGLFLKCCREVAEKYPEIRYEEVVIDNCCMMLVKNPALFDVLVMPNLYGDIISDLCAGLIGGLGLTPSCNIGEGGIALAEAVHGSAPDIAGKNLANPTALLLSGVTMLRHLDLHDKADRIQNAILNTIAEGKYRTADLGGSSKTTEFTAAIIDHL >OIW20240 pep supercontig:LupAngTanjil_v1.0:Scaffold_16_59:6533:6886:-1 gene:TanjilG_07398 transcript:OIW20240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTKFLRLCLNKCIKMIHCAACDYTYQSLHESYSNIPSDVPKGHLVVYVGANHKRYVIKVTLLRHPLFKALLDQAREEYDFIADSKLCIPCDEHLFLTVLRCATSPNNERVCECLCL >OIW20241 pep supercontig:LupAngTanjil_v1.0:Scaffold_16_66:9798:12564:1 gene:TanjilG_07399 transcript:OIW20241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCFAFYYGQKKDDPKILQSLSGLSELNSANVSDTGSDSLRRNAFPSLSQRPSNLKVFTVSELKSATKNFSRSVMLGEGGFGCVYKGSINFVDDPSRKIEVAVKQLGKRGTQARVCLLFFHLESYANIVAFLMSGGILVKEDKGHKEWVTEVNVLGIVEHPNLVKLVGYCADDDERGIQRLLIYEYMPNRSVEHHLSLRTETHLSWRMRLKIAQDAARGLKYLHEEMDFQIIFRDFKSSNILLDEHWNAKLSDFGLARLGPSEGLSHVSTEVVGTMGYAAPDYFQTGHLTSKSDVWSYGVFLYELITGRRPIDRNRPKGEQKLLEWIRPYLSDGKKFQLILDPRLERKHVSKSAQRLAIIANRCLVKSPKSRPKMSEVLEMVNRIVESSTSPSPQPPLKSVTSVETSQDIEIQNKKRVIDPKTVYCNWFRTWTPKLLGAS >OIW20242 pep supercontig:LupAngTanjil_v1.0:Scaffold_16_66:20357:22258:1 gene:TanjilG_07400 transcript:OIW20242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLYENSDVIHWGMNLFDTDPGYNPGYYGDVIQHNTSDVYDGHYFHNHYDMEYNEVENDEIIARTLQEEFSQLDIADAEHSRYAQVHEEQFHAEPACDWHNASTMNYCPQGHDYAQEGLHDAEHSSSCCSPCEAEEYSLELTDNYPLDDEIGRRLSHMIPIPHVPKINGEIPSTDEATSDHQRLLDRLQLYDFVEHMVQGDGNCQFRALSDQLYNTPDHHKFVRRQVVNQLKSHPEIYEGYVPMEYSDYLEKMSKSGEWGDHVTLQAAADSV >OIW20243 pep supercontig:LupAngTanjil_v1.0:Scaffold_16_67:4845:5468:-1 gene:TanjilG_07401 transcript:OIW20243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSEVVFHHTFSQSKTNVSTTTTTTVSDNNASITVARRLPATSSRSTKDRHTKVNGRGRRVRMPPLCAARIFQLTRELGHRSDGETIEWLLRHAEPSIIAATGTGTVPAESVSTASATFASSAPSVPCNVQPVSSASGGSHGMFATVALQQCQPSCRLDLCQPEAGLEYAAVAAATNGYRHMPFTALLLQPATAEDTQQKESLSEQ >OIW20221 pep supercontig:LupAngTanjil_v1.0:Scaffold_16_7:68001:71683:-1 gene:TanjilG_07312 transcript:OIW20221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVIKTQQISSRAIEKVVVHPLVLLSIVDNYNRVAKDTRKRVVGVLLGSTFKGTVDVTNSYAVPFEEDDKDPSIWFLDHNYHESMFSMFKRINAKEHVVGWYSTGPKLRENDLDIHGLFNDYVPNPVLVIIDVEPKELGIPTKAYYAVEEVKENATQKSQKVFVHVQSEIAAHEVEEIGVEHLLRDVKDTTISTLATEVSGKLTALKGLDARLKEIRGYLDLVIDGKLPLNHEILYHLQDVFNLLPNLNVADLIKAFAVKTNDMMLVIYLSSLIRSVIALHNLINNKMLNKEHERAEDSKSVAVPSAGAS >OIW20219 pep supercontig:LupAngTanjil_v1.0:Scaffold_16_7:27215:28793:1 gene:TanjilG_07310 transcript:OIW20219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSTSKATWTPEFHKIFVDLCVEETLKGNKPGTHFTKEGWANLLESFYAKTGVRYDKIQVKNHYDLTRKQWKIWVKLIGDFTMKWDPETNKFGASVEDWHNYIKENPEAAQFQFKEIQFKDKLDIIYDGEVQTGETKTIIRLKRQSHASATSPLYGKEQEKKRKSVGRDCGLNNSVVVNAIPINMISSEQSFSSSPPPIVKAKWTPPVHKIFLDICLQETLKGNKPGNHFTKEGWKNIIDSFYSKTGLNYDRLQLKNHWDLIKGQWKIWCKLLGTSYMKWDPSSQKFEASEEDWTKYLQSNPDAAQFRLKELQFTDILETIFNGTSTTVTEEIEPAVYQRKSDDSEVTFPLHKVEANTAKLDDKTNYPSDAVGSRNVNIQKNATAISSTEGKRNYTIGECIECLDSMEEIEQGSDLYLFALDVFLKQEYREIFLQLKKPNLRVSWLLRLQSAGAPLL >OIW20220 pep supercontig:LupAngTanjil_v1.0:Scaffold_16_7:61816:62186:-1 gene:TanjilG_07311 transcript:OIW20220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSKAPKKVNLLDHNSIKHTIDESVSEIVKSGGYVEDVRLSNVKMLIGTIIIVVALFSQFYKKKFPENRDLLIACIILYPLLKFWNI >OIW20218 pep supercontig:LupAngTanjil_v1.0:Scaffold_16_7:16948:20992:1 gene:TanjilG_07309 transcript:OIW20218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGSTRFFTIGLVAAWYSSNIGVLLLNKYLLSNYGFKYPIFLTMCHMIACSLFSYVAIAWLKVVPLQTIRSRVQFFKISALSFIFCVSVVFGNVSLRYLPVSFNQAIGATTPFFTAVFAYLMTFKREAWLTYLTLVPVVTGVIIASGGEPSFHLFGFIICIAATAARALKSVLQGILLSSEGEKLNSMNLLLYMAPMAVFFLLPATLIMEKNVIGITVALARDDVKIIWYLLFNSALAYFVNLTNFLVTKHTSALTLQFVLS >OIW20244 pep supercontig:LupAngTanjil_v1.0:Scaffold_16_75:24225:28175:-1 gene:TanjilG_07402 transcript:OIW20244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANQPESSDSKGTKKDFSTAILERKKAPNRLVVDEAINDDNSVVVLHPETMEKLQLFRGDTILIKGKKRKDTVCIALADDTCEEPKIRMNKVVRNNLRVRLGDVISVHQCPDVKYGKRVHILPVDDTIEGVTGNLFDAYLKPYFLEAYRPVRKGDFFLVRGGMRSVEFKVIETEPGEFCVVAPDTEIFCEGEPVKREDENRLDEVGYDDVGGVRKQMAQIRELVELPLRHPQLFKSIGVKPPKGILLYGPPGSGKTLIARAVANETGAFFFCINGPEIMSKLAGESESNLRKAFEEAEKNAPSIIFIDEIDSIAPKREKTHGEVERRIVSQLLTLMDGLKSRAHVIVMGATNRPNSIDPALRRFGRFDREIDIGVPDEVGRLEVLRIHTKNMKLAEDVDLERISKDTHGYVGADLAALCTEAALQCIREKMDVIDLEDETIDAEILNSMAVTNEHFHTALGSSNPSALRETVVEVPNVSWEDIGGLETVKRELQETVQYPVEHPEKFEKFGMSPSKGVLFYGPPGCGKTLLAKAIANECQANFISIKGPELLTMWFGESEANVREIFDKARGSAPCVLFFDELDSIATQRGSSVGDAGGAADRVLNQLLTEMDGMSAKKTVFIIGATNRPDIIDPALLRPGRLDQLIYIPLPDEESRYQIFKSCLRKSPISKDVDLRALAKYTQGFSGADITEICQRACKYAIRENIEKDIEKERRRNDNPEAMEEDIEDEEVAEIKASHFEESMKYARRSVSDADIRKYQAFAQTLQQSRGFGSEFRFADTSSGAGGAAAATSDPFASAAGADDDDLYN >OIW20246 pep supercontig:LupAngTanjil_v1.0:Scaffold_16_79:17198:17792:1 gene:TanjilG_07404 transcript:OIW20246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKYFGNAYRGDPGVPHTDHQRFYNYWIGATIFSALLWKDPYMWQLTNQWNDHDVTDSNPVNSLFAWG >OIW20245 pep supercontig:LupAngTanjil_v1.0:Scaffold_16_79:12626:13559:1 gene:TanjilG_07403 transcript:OIW20245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLGFIVGFLGALVLFHAAYSTIQYRALLKITEEEFSGPPLNVVVELFIGLVICIWAALTLPGKFLSIHPDSDENSVPFYARPTLFEFCCWSLSVLGFTWQLL >OIW20223 pep supercontig:LupAngTanjil_v1.0:Scaffold_16_8:23744:24013:-1 gene:TanjilG_07314 transcript:OIW20223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIDRTVLQFSSSSSSSLTFSAKVHPLVIFNICDCYVRRPDQAERVIGTLLGSVLPDGTVDIRNSYAVPHNESVDQVLLHILYLLFSI >OIW20222 pep supercontig:LupAngTanjil_v1.0:Scaffold_16_8:15680:20210:-1 gene:TanjilG_07313 transcript:OIW20222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIDRTVLQFSSSSSSSLTFSAKVHPLVIFNICDCYVRRPDQAERVIGTLLGSVLPDGTVDIRNSYAVPHNESVDQVALDIEYHHNMLLSHQKVNPKEIIVGWYSTGLGVTGGSALIHEFYSREVSNPIHLTVDTGFTLGEGTIKAYVSNNLSLGDRQIAAQFQEVPLDLRLIEAERIGFDTLKATTVDKIPSDLEGMEASMEHLLALIDDMYKYVDDVVEGRVAPDNKIGRFISDAVGSLPKLPPSAFDKLVNESLQDHLLLLYLSSITRTQLSLAEKLNTAAQIL >OIW20247 pep supercontig:LupAngTanjil_v1.0:Scaffold_16_80:5462:8960:1 gene:TanjilG_07405 transcript:OIW20247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLFPQLGLLFSLTLFFSFSFWVGRAMDCSGNGITKTIIVDQSGKGNFKLIQDAIDSIKENNDQWVKVHIKAGTYREKVNISKYKPCVFLEGEGKDVTTITYGEYVNQKTWDNATFVSSPPNVIVVGITFENTYRNSEVSKFTEAPAAAIFGDKTAFYKSGFIGFQDTLLDSNGRHYFKYCYIQGEVDFIFGNGQSYYEECLINATQGKSPPGFITAQARGLENDTSGFVFRKGIVFGDGQVKLGRAYGPYSTVIYHGTYFSEVVSPEGWDPWNRIGHESQLTYAEVDCIGPGSNTTNRVKWRKTLTSEDLRKFSLSQFVNQDGWLSKLPITIM >OIW20248 pep supercontig:LupAngTanjil_v1.0:Scaffold_16_80:12001:12363:1 gene:TanjilG_07406 transcript:OIW20248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKSKYVLRVFLSAKHMTANVVEWNERRVVAEASTVEHAISNAFEWGRSCNEKAAESIGEVLAMRLKTEEPGARSGVHIDVENEIKKKGVECKEGIWAVINALRNRGVKVITDEDHNKGS >OIW20249 pep supercontig:LupAngTanjil_v1.0:Scaffold_16_94:19048:20967:-1 gene:TanjilG_07407 transcript:OIW20249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEQKEQPKNETEKKTDDAAAPVVYKLDLHCEGCVKKIKRTVRHFEGVEEVKADISANKLTVTGKVDPTKLQEKLIEKTKKKVELVSPQPKKEVAGDKPPEKKAEEKKADEKKTDDKKPEEKKPEEKKPKESTVVLKIRLHCDGCISKIRKVIQKYKGVESVNADGSKDLVTVKGTMDVNDLVPYLNQKIKRKVEVVPPKKEEDNKKEKAGGDDKKDGGGDKKDGGEKKEIKEGGGGGDGGKKDEAAAPIAKVMEVYKMDYPSYQLPPPAYWSDQNFPGQTSHALEVHPQYHPNHGYQYMDQGYPNHGYMNQGYMAPPPQVPYYMHPNHPPPQMFSDENPNACSIM >OIW21707 pep supercontig:LupAngTanjil_v1.0:Scaffold_170_13:1558:3587:1 gene:TanjilG_08327 transcript:OIW21707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGICILHRFSSIYYCSISNKLISSCLLIYFGFFVFEIGISRDSMHKRRATGGKKKAWRKKRKYELGRQPANTKLSSNKTVRRIRVRGGNVKWRALRLDTGNFSWGSEAVTRKTRLLDVVYNASNNELVRTQTLVKGAIVQVDAAPFKQWYLQHYGVEVGRKKKTASKKETAEEGEAAAAEETKKSNHVQRKIEKRQKDRQLDSHIEEQFGGGRLLASISSRPGQCGRADGYILEGKELEFYLKKLQKKKGKGAA >OIW21708 pep supercontig:LupAngTanjil_v1.0:Scaffold_170_13:24654:27390:-1 gene:TanjilG_08328 transcript:OIW21708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWQSSSSSPSTMDVQQATILNNSQSDQTQNCFYNNQTWDKSSDQNNLKFDSALSSMVSSPAGSNSNMSSENFVIRELIGKLGNIGGCNDEILPHSQYQQSFVAPSYGNKNNNNSTNTSCYSTPLSSPPKLNINNNLVKPMMALNSSSTVAEFSTDPGFAERAAKFSCFGSRSFNGRKTQLGLNNNHEMSQRSNLLMENVKLTRVSSSPSLKVLGIGSQIGIQENKNSPMKVVTSQEESTISQQNPNGDNGGKPSSYMNSRKRKVSSKGKAKEISTSAMGISLHAHEASEDSNAKRYKSNEGDGNGPMKEEEESKEGGSNGSEDKHIKGNSKQPEPPKDYIHVRARRGQATDSHSLAERVRREKISERMKLLQDLVPSCNKVIGKALMLDEIINYVQSLQRQVEFLSMKLATVNTRMDFNIESLIAKDIFQSNNSLAHPTLPLDSSAQGFYGQQQPQNSTIHNNILNGDVSHNSMDTLDDSVYQNIAMQLSSLNESGSQYPVAFSEGDLNAIVQMGFGQTTNRKSPQSQSFNVSFFCLMQAQIKYTYENEAMFQPFITPELKHRGLGRF >OIW21704 pep supercontig:LupAngTanjil_v1.0:Scaffold_170_2:12097:12369:1 gene:TanjilG_08324 transcript:OIW21704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRHIELSYSGYEAFKVLAKNYLDVESHSLFPIIEKLLGETHMTLADVAENLTPKSNHEDSESCFQSLIKSLEEPKKKEEEMKKWNEQLA >OIW21709 pep supercontig:LupAngTanjil_v1.0:Scaffold_170_33:4577:5245:-1 gene:TanjilG_08334 transcript:OIW21709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFYVCSDEGVVAVEPAAAASVIPGEPMDILTALQLVLRKSLAYGGLARGLHEAAKAIEKHAAQLVVLAEDCDQPDYVKLVKALSAEHNVSLLTVPSAKTLGEWAGLCKIDSEGKARKVTGCSCVVVKDFGEEHEAYNVVLQHIKAN >OIW21705 pep supercontig:LupAngTanjil_v1.0:Scaffold_170_7:5802:6104:-1 gene:TanjilG_08325 transcript:OIW21705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLRQIKNLGFLREGELETLGHKKGISVTLIQPSLNLTKLTLVRWDMRKENGTNSSNYVLRSSWMNVVNSNQLEEGDKVQVWSFRVQEELHIALVKSLNV >OIW21706 pep supercontig:LupAngTanjil_v1.0:Scaffold_170_9:4990:8412:-1 gene:TanjilG_08326 transcript:OIW21706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHCVNHRSFTIFSLSSSSSSQSPFLLSSLPKPHLKFSSFLPNKLNTSLCFILRNIPNRPFNIKASVGPSHCEFSSLNSPLEPRSLLGKFLTGVLQNHPQLFHVVVKEELKLLSDGRDGAVARMMLTQDSDEGMLHRRIAQVKENECQIAIEDVMHCLIFHKFSEIRVPLVPKLSSCLYNGRLEILPSKDWELESIHSMEVLDIIREHVSTVTGLRANSSVNESWATTKIRKYWLARVYVASILYGYFLKSASLRYRLERSLSSVNHDLHLDHRTALSPLSFHDMCSSRSKDVTFGHKSDMQSLWQGIIKQQEEEVEDLKCYVTGFHSGSLQRFAKLRSKEAVKLVESYSHALFGNLESGLVENDDIILTSFSSLKRLVLEAIAFGSFLWETEDYIDNVYKLKDHEAV >OIW21710 pep supercontig:LupAngTanjil_v1.0:Scaffold_171_2:19826:20284:-1 gene:TanjilG_08396 transcript:OIW21710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPINSVSTSTSTSPSTGIKLWKSPIPYLFGGLALMMALISVALVILVCSYRKRSSQSSSTEANEDVKQTMSKNIESNSEPELLVIMAGDDKPTYLAKPIITSSSYCTCTCENRESTAYPSKLRTSTSTTYLVTMESTSSSSSTTNEDGLSS >OIW21711 pep supercontig:LupAngTanjil_v1.0:Scaffold_171_3:307:714:1 gene:TanjilG_08397 transcript:OIW21711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGIKTLDLLYEEMTKKKSIVPSNWHDRLRSKKGFPVGDSPDLESFLTSIKKLDDLDPQSNNPKDTLSREAINPTNSTNLRKFSRDGPIVQNSSYRRQPRKQSHPTKRIFPSSSSNTNIVVVLDIDQKAKSTSFI >OIW21716 pep supercontig:LupAngTanjil_v1.0:Scaffold_172_19:686:4952:1 gene:TanjilG_08423 transcript:OIW21716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQISTTTITENNNNNKVHQFLNEVESHKRILENSTNLFTTLSNHYSSLQKSLSEKSNSIDFKLKSLQFNSTETLDSLVHKENIIPERETAAVALIEEQKDAALAELQKPLPGNIDISAVLKSLCRRMDSTALLRFIVSKRKESALLRSKISAALAEAVDSPRLVLEAVEDFLNSKSAKSGATDKRWACGILIQAMVPESGAKGVRFSRRIAERALEVVERWKGQMDGESGSGAAEAVMFLQMVVCFGLRNRFDEEYLRKSVMQFASRRDMAKLAATLEFGDKMIDIIDELVKNGKEVEAVYFASESGLTERFPPVDLLKSFIRNCRKNASAILKKGNNRQDDSYTELNSIKALIKCVEDHKLESEINLDSLRRRITQLEKNTGDRKKSSASGSKPPNKHAYGTGRKRGCRGSGSSRPEKAAKFSSYPSSSPSFSRRSLAPSLQLSPATRYSAPYNYPSHTIYDGSTANPYAATYGTHIQTPAGLQQHYSLPVDNLASSGYPSTSSYAMGQTSYGGVYDYGNAAPPSYQNQTSYMG >OIW21717 pep supercontig:LupAngTanjil_v1.0:Scaffold_172_19:47846:51755:-1 gene:TanjilG_08424 transcript:OIW21717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELHASIFQPSSSLLSRFHTVKHAADFVSPFSLFISNSLRPSFFTFTTSKVPNCFSKGLSCSSTPGCNGSDEKNNDDDNDTWFLDDYQLISDCDKLIEAFVLDKSALIDWRRVLVLNKKWNNIRHHFFKHCQDRADNEKDPMMKNKLLWLGMKLKEIDEDVQRYSELMKMIKGTPSDISEVVSRCHKDFTKEFLVHLHTVAESFDDPKVQNDLVKLRDACFTAVKSYDAAAESTGALKTAELNSPHIISSDLDAVCRNIDNLDGRSQCFNPDSVARLLRSCYNVKEIGMIHAVVLKRFRDSIIYVDNNLICSYLRLGKLAQARRVFDGMSRRNTVTWTAMIDGYLNFNLVDEAFMLFQDSTKHGVQENSTMFVCFMNLCPKRMDLKLGKQIHARVLKSNWRNLIVDNTVIHFYAKCGEISSAFQTFDCMAERDLVCWTTMITACSQHGLGHEALLMLSQMLGDGFLPNEYTICSALKACGENKALKFGTQLHGALVKKICKIDVFIGTSLLGMYAKCGETVNSKKVFDRMRIRNTATWTSIISGYARNGLGEEAISVFRLMMRRKVHVNKLTIVSVMMACGSIKALLFGREVHAQVIKSINHTNLHIGSTLVWFYCKCKEYSHAIKVLQHMPFRDVVSWTAIISGCAKLGLKSEALECLQEMMEEGVLPNSYTYSSALKACANLESPTQGKLIHSNASKTPALSNVFVNSSLISMYVKCGNVADAFQVFDNMPKRNLVSWKAMILGYVMNGQYKEALKLMYRMEAEGFVVDDYIHANVFTACGGIDPVDID >OIW21713 pep supercontig:LupAngTanjil_v1.0:Scaffold_172_2:8122:16318:-1 gene:TanjilG_08420 transcript:OIW21713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVAATTYSAVGYSLFSGSQRRSTPRLKMSLRSDSFSVEPITVLTKLKHECATPLPLLQKVADAMCAQMRAGLAADGGPGLPMLPTYVHDLPTGKEKGLFYALDLGGTNFRVLRVQLGGKDERVIATEFEQVSIPQGLMFGTSNELFDFIALRLAKFAAKEHGRFHFLPGRKREIGFTFSFPVNQTSIDSGILIKWTKGFAVSGTTGKDVVACLNEAMERQGLDMRVSALVNDTVGTLAGAEYYDNDAMVAVILGTGTNACYVEQTSAIPKLQGHASSSGEMIISTEWGAFSNGLPLTEFDREMDAASINPGEQASEIARRVLLKMAEVDGLFGNSAPQNLSKPFILGTPDLCAMQQDNSGDLQAVGSLLYDKTGVEFNLSARKTVLEVCDTIVKRGGRLAGAGIVGILQKMEENSKSFISKKRVVVAIDGGLYANYPQYRAYLHDSVKELLGTEKSNKVIRFILLQNRQGKTRLAKYYVPLEDSEKHKVEYEVHRLVVNRDPKHTNFVEFRTHKVIYRRYAGLFFSLCVDITDNELAYLESIHLFVEILDHFFSNVCELDLVFNFHKFTYLFMKVYLILDEFILAGELQETSKRAIIERMGELEKLE >OIW21712 pep supercontig:LupAngTanjil_v1.0:Scaffold_172_2:4917:5626:1 gene:TanjilG_08419 transcript:OIW21712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSKLLVASILASLLLLHLVDAAQSIAMEHVLQGAVYHHVHVFVKELVELVADGATVFHQVLLETKKCVLVMQA >OIW21715 pep supercontig:LupAngTanjil_v1.0:Scaffold_172_7:13401:17297:1 gene:TanjilG_08422 transcript:OIW21715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLTFLPEKSNPKKKQQLPKHKHKQKQKEKEKQKQPSSWDQIKNLITCKQIEGSRVHDPSKVGYSKLRCSCSSICRDVIHGNTRVVHRADNSSPESSTLGQETGLLTRKPHTPGSSSTRSGKSNGGATYTSSSSRGIHQFRKLSGCYECHMIIDPSRVPIPRSTVYACSQCGEVFSKMESLELHQAVRHAVSELGPEDSGRNIVEIIFQSSWLRNNGPMCKIERILKVQNSQRTIQRFEDCRDTVKTRALNSTRKNPRCAADGNELLRFHCTTLTCALGSRGSSALCAAVPGCGVCTVIRHGFRGGDGCKGVRTTASSGRAHDSVQCGGGESRRAMLVCRVIAGRVKRVVEDAPLLLEEENVSVVGSYDSVAGQRGIYSNLEELLVFNPRAILPCFLVIYKVLPSSK >OIW21714 pep supercontig:LupAngTanjil_v1.0:Scaffold_172_7:3832:4098:-1 gene:TanjilG_08421 transcript:OIW21714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLFHRFRKVLMRLIFLVPCPRSSSSSDSRQINSHDRFEPPKTSCSSHYSSYSHYNEAIADCIEFFNKSAQDGFSHGPKSDVVYNNTL >OIW21718 pep supercontig:LupAngTanjil_v1.0:Scaffold_174_24:7531:8580:-1 gene:TanjilG_08523 transcript:OIW21718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLTPTICKDTQTPPLTQPINLNPTKSLSFTNGTLKHHSSSTTTTTTATVPPSSSTAVSYKECLKNHAASIGGHAIDGCGEFMASSTAIPTDPRSLICAACGCHRNFHRRDPQEPQPPPPPTFLTCFYSTTPSSVTPTAPPPPPQLPHRAMSQSTSPSLSSSPSHSPSPMSSTPSSPPPLSHVPPSSYAAPHMLLALGNNNNAYSIDYQNRNFHSSSLVLKTETISLSGRKRYRTKFSLEQKEKMFRFSEKLGWRMQKGDDRSVQEFCSEIGVARGVFKVWMHNNKNTLRKKSESAPQIEKTDSDKEVGNGNGDGIINSFNINSSSNNDIHKNEDNCADVHVSFNGLSS >OIW21720 pep supercontig:LupAngTanjil_v1.0:Scaffold_174_37:20563:22269:-1 gene:TanjilG_08526 transcript:OIW21720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMVYADLGSLSLYSNYGVTVSTSQDCCASNVGSSCGFPFMRECNIARNFVEHPNNCDIVMEGKGSDFSDGVGENSEKINQNANINEENPNENLSGKEVDTTGQSKLCARGHWRPAEDSKLKDLVALYGPQNWNLIAEKLEGRSGKSCRLRWFNQLDPRINRRAFTEEEEERLMQAHRIYGNKWAMIARLFPGRTDNAVKNHWHVIMARKYREQSSAYRRRRLNQSVYRRMDEDTPSFVSKDTTITGTEPSPTPYCLNLPNNLPSFSYASSSSFHGAGSGGVEYGLNGSHNMIGGREGIISSSKQVPPHFGFYSQQTPFELFSGARSNNQTTYWDNKSNVAAPSQLQPHGFYPHFNTYLMPMQQHQNYNNFYSFSSNSTVSVMLASELSLSAMAEHRDNEAVLSSDPPADAISTPPFIDFLGVGATTT >OIW21719 pep supercontig:LupAngTanjil_v1.0:Scaffold_174_37:16050:16421:1 gene:TanjilG_08525 transcript:OIW21719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQSLKCIQHGVRLCKEEVILIIENLGVNVEEDGEGIEEFGEQEIALALEKEPTFAEVEEAFNVFDENKDGFIEAKELQRVLRLLGLEKDLVQCQRMINVVDQNGDELIDHNEFVKLIEQSFG >OIW21721 pep supercontig:LupAngTanjil_v1.0:Scaffold_174_48:978:2835:1 gene:TanjilG_08544 transcript:OIW21721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIFFERSNRAGGDELHATGEVVRKCGLCQESDMVLKKNRDGNFMVGCLGFPQCRNAVWLPGSVSEAAVTTNTCNICTPGL >OIW21722 pep supercontig:LupAngTanjil_v1.0:Scaffold_174_67:3630:8864:1 gene:TanjilG_08545 transcript:OIW21722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRKITIIIYIAVILQISARWNPAEACRPMIHEAPVFYPTVEEFEDTLGYIAKIRPQAESYGICRIVPPDSWVPPCPIKEKHVWENVKFPTRVQQVDLLQNREPFTKKRRGRKRKHRKISEIRTYRGTAPSGSDSVHRFGFKSGSEFTLHAFQQYADQFKACYFGFNDGKVSDNDNQKRQEPSVDEIEGEYWRIVEQPTDEVEVYYGADLETGALGSGFPKKSSLTNSDSDQYALSGWNLNNFPRLPGSALSFEECDISGVVVPWLYVGMCFSSFCWHVEDHHLYSLNYLHWGDPKVWYGVPEKHAEGLEAAMKKHLPKLFKEQPSLLNELVTQFSPSILKSEGVPVYRTVQHSGEFVLTFPRAYHTGFNSGFNCAEAVNVAPVHWFVHGQNAVELYSLQHRKTSVSHDKLLFGSALEAAESLAEVTLHGKENIKTSKWISACGKDGILTEAVKARITLEKERLDCLPAHLKMLKMDDDFDIVEEKECFSCFYDLYLYAIGCKCSPDKYSCLRHSNSFCSCAMDKRFVLFRNTTNELTTLIEALEGEPHAIEAWSKRNSGVVSANAGDALMVEPDIESVVQKTKSCEEGKNSACCEETKEKSNSNVSSSPHSNVSSELVHSLPRHETSGGPCGTKDQHNYKINDTKLVTNNEVMLEKTDSMDLDTDVKHDEHVKNSSTFDGYKLFGVDLQMSSESGEQPKLLKTGVAGSSNAIISSTDQSFRIQNFGSFVDPVSFGTVLFGKLWCSEHSIYPRGFMSRVRFYSILDPARICNYISEVADAGFLGPLFKVSMEEHPSETFTSTFPDKCWKTVLERVNQEIVKRKNLGETKLPALEHLKKLDGHEMFGFLTPAIVKAIEAQDPYHRCVKYWTNKEFVRISSESANGDKLNYSSIKEAADANRLKAKLFGVNLMLQEQDNKGGNFPSFEEMKPNLQGLLKKASADELSTLHKLFSFDEQLARSRVAFAALIEEIEKSKQK >OIW21723 pep supercontig:LupAngTanjil_v1.0:Scaffold_179_6:12880:20377:-1 gene:TanjilG_08765 transcript:OIW21723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANWISSKLKIDQQAAESLRKNENLRSDELSIDYAPAKPGSSVSLKNQLKKKTADNNNDYRGKLHSDHNFNVLKTTVPTSPKSKPTPTLTDADWTELLSSPNQSFGTRGDPNHGNGVSGTRGLSRNYSRKQKSSSSVSLVSDVKRNPKSGSRSLQRLNSVKEVKLSGKGSSDDGKDSISTSSGSTERISNVESETDARGSVDKLVDETNDKGNEENDFSYSYRECSPQEDLQEENRSLGAETMPVSVVDKVHEAKMSGDVGHGQLRSSISRNELNAVSRNSTSNGLRRVSSMASDGSPVSDSDSGSTSDSESEHEREERRKKRERVLAEKAAAKAINAIKERENMIDQQAAESLRKNENLRSDELSIDYAPAKPGSSVSLKNQLKKKTADNNNDYRGKLHSDHNFNVLKTTVPTSPKSKPTPTLTDADWTELLSSPNQSFGTRGDPNHGNGVSGTRGLSRNYSRKQKSSSSVSLVSDVKRNPKSGSRSLQRLNSVKEVKLSGKGSSDDGKDSISTSSGSTERISNVESETDARGSVDKLVDETNDKGNEENDFSYSYRECSPQEDLQEENRSLGAETMPVSVVDKVHEAKMSGDVGHGQLRSSISRNELNAVSRNSTSNGLRRVSSMASDGSPVSDSDSGSTSDSESEHEREERRKKRERVLAEKAAAKAINAIKERENMVAKLEGEKQSLEKILEERAKQQAQEASQLQSTMMETMEAVELEKQKHNNTRMEILTRLAKLETANADLARSLAAVQSNLEVKVKQVAELRQHIASKELVLDELRRSIKDPQQTGASQLASKGVELEREILEAEHSLVDDKVAQLQEKARKLEADIEITRKEIAEPTEVEAELKRRLHQLTDHLIQKQAKVESLSSEKASLMFRVEAVSRLLEENISAYSATDMNTVSSSSDLESGLWELSNSKLKPMLKARFHSGKRQLGSLLVQLDYIFMTGAVFLKRNPMAKLWALIYLVCLHLWVVYILMSHSGPSNEGRSGAVISLENINNTGGA >OIW20311 pep supercontig:LupAngTanjil_v1.0:Scaffold_17_101:18976:19554:-1 gene:TanjilG_08285 transcript:OIW20311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLRLLKLESEECVDEPSDEDGGEASTGISEDNGLWRTEDSWESSYIFDVLSESGIDTAQHILNSLDCPVNLSVFDELEKRYSDWTTCSRSERRLFFDRINSGIINIHDQSVNAMPWVSFETKTISSKLAETGIQDGLYRLLGSQAKVKDDAMGKVLVMESQWLDLKNGIDVIGREVEILLLDDLVAEIAGM >OIW20312 pep supercontig:LupAngTanjil_v1.0:Scaffold_17_101:23576:28325:-1 gene:TanjilG_08286 transcript:OIW20312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSRIHNFNVASRNQPQGSKQIRRTGQLRNLSPDSGSSGDGVADKDSFSFKFGWKSSKQRSGTPIKKLIAEEMTGETESKRRSPGVVARLMGLDGLPFQQSANKQHKGSSENHLQRAIQLEKNQCRGTSYDGGRSSRRSSKDQQEFKDVFEVSDIAKVESNRYSMQGSSDLKITNAEMSFIEQKFMDAKRLATFQDLQSSKDFLDTLEVLDSNKDLLLKYFKQPDSLFKKHLNDLQAAPSESHLGHVEAIKLSDIEKYEHEFNWKSYRETTRLSYSRPHYKHGDGYPSYIDRRHAMHSSPKSSKLQFKERDIKDAVPTKIVVLKPNLGKVQNGNRIVSSPFSSHTFLVQHGNDTEFPDVRFRDTEQYQMKILPDTAKHSRQNSLESREIAKEITRQMKSSLNNGCINSSSKFRGYVGDDSSCSASGNESPETPATSGNESVETPATWGTSVGLNSRSRRSSHSSESSVSREAKERLSERWKVAHKSQKVQAINKSSTLAEMLANPEKEMKFASSDSMPIGESSRNRFSCNGEPARRVEPLGISSRDGWKDGYIGSLPRSKSLPASSTAFGSPRTIFPIEALRDERLMMPMEAFKRERKRAPKSRDQRHGTNTRSTKYGHKKPWSLHPSNVDGNEFSLDLDTIKNKMKINLEEDSPKLEVLVTESFASIPRDTIVVTDDVVDVATEKAVGSSESEPSEKVVLELSSCEIIKADTDDADKDNSMQQELSAESSCCKDADQPSPVSVLEPSFTDDLSPCSDCFGSLSADLQGLRMQLRLLKLESEECVDEPSDEDGGEASTGISEDNGLWRTEDSWESSYIFDVLSESGIDTAQHILNSLDCPVNLSVFDELEKRYSDWTTCSRSERRLFFDRINSGIINIHDQSVNAMPWVSFETKTISSKLAETGIQDGLYRLLGSQAKVKDDAMGKVLVMESQWLDLKNGIDVIGREVEILLLDDLVAEIAGM >OIW20313 pep supercontig:LupAngTanjil_v1.0:Scaffold_17_101:44294:45106:-1 gene:TanjilG_08287 transcript:OIW20313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQTLVPIFSFLIEPICVATVAASLFFLRRHYKQTVAVTPAAPPPETTTELLYDEENDTDERLNDDAEALKSLVQDNIREGKIDEAIRAIDGLIEIEPDEVEWPMVKAQIYVYKEEHELAFNGFEDVLKKDRFRVDALHGLMLVTFELNKPIDDLLSRIDEAVKFYKIEKRDSEVRDLKLLMAQVKVIEEDFDGAVRVYEEIEKEEPEDFRSYLCRGIVYTMLRRKDEAEEQFEKFRKLVPDDHPYKEYLEDNATEFSLKLAQEGMATKR >OIW20310 pep supercontig:LupAngTanjil_v1.0:Scaffold_17_101:5196:7191:1 gene:TanjilG_08284 transcript:OIW20310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFTRCTLILTILCFILIQELEINGGNQPIMVAADDKIDCAGKCSYRCSKSPPDADCPFLCDNCCKVCNCVPSGTAGNNRNECPCYEKLANYFGKPYCP >OIW20314 pep supercontig:LupAngTanjil_v1.0:Scaffold_17_109:3021:9905:1 gene:TanjilG_08288 transcript:OIW20314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPVTPDQTKKVGMGITPSPSPFLTPRPERRRPDSRGSDWNYNRTTHDKDKETNVQVLLRCRPLSDDEQRLNVPKVVSCNENKREVTVVQTVANKQVDRVFTFDKVFGPKSQQRSIYDQAISPIVHEVLDGFNCTVFAYGQTGTGKTYTMEGGMRNKGGDLPAEAGVIPRAVRQIFNILEAQNADYSMKVTFVELYNEEITDLLSPEDNSSSRPIEEKPKKPITLLEDGKGTVILRGLEEESVYSVNEIYTLLERGASKRRTADTLLNKRSSRSHSVFTITVYVKETVIGDQELIKCGKLNLVDLAGSENILRSGAREGRAREAGEINKSLLTLGRVINALVEHSTHVPYRDSKLTRILRDSLGGKTKTCIIATISPSSYCLEETLSTLDYASRAKNIKNKPEANQKVSKAILLKDLYLEIERMKEDVRAAREKNGVYISHERFAKEEAEKKARSEKIEQLENDLSLSEKQVDKFRELYLTEQEQKLDLECDLKDCKVNLEKTSNVLHNLQENYSLLVSMLKGKECTISKLQKSENALVERAKEMCKDLQNASDDINLLSSKLDQKEKMETENKKLISNFGSLLNESLKGLHTTIMGSVSQQEKQLRCMEDRVDSYLSSKCDAAQALESKIKKMSEIYTSGVGTLKDLANTLKINALSGMEQIEAKVSSQILAVEEFLATAVLEAKDVISNIQNSLDEQKQLLAFSIQQQEEGLQQSLTSARVVSEATVNYFNDIQLRSSRVMQIIEETQYERIHQLANFEMKFKEEVAIEEGQALKKIAAILTALTSKKTTMVSEASRNMQDTSVQESKRLQQELLNMQQVSKVATKEISQYVENTKDHFVEQIFSASDIKAALESCHLECSKTVDCSRQQWESAQLCFTNLHKSNIAEIECTVKEHVLMNHALNQEFMSATLSMDSDYDAGTCKLLADVKGALMLDHENKKEIDSMTGQLLEQLNSVQDNHGESISNIHVHAEKCLVTDYMVDQNTNSISQKRIVSVPSPASIEEMRTQITEDNTSIENRLKCIQAESKIPRLAASPNRTPFADVN >OIW20315 pep supercontig:LupAngTanjil_v1.0:Scaffold_17_109:14515:15003:1 gene:TanjilG_08289 transcript:OIW20315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKRMRENEEKESIALANTLMLLTKSFNKNHVSLEFECKTCGRKFSSFQALGGHRTSHKKPKLIGNQEIRAETNKPKMHECSICGQKFSLGQALGGHMRRHKVAATNEEGFDSINDVIAKVPFFKRSNSKRVMCLDLNLTPLENDLKLLFGNKAPQVQLSLL >OIW20279 pep supercontig:LupAngTanjil_v1.0:Scaffold_17_11:19043:23148:1 gene:TanjilG_08239 transcript:OIW20279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSTRDEQHQTVPLSVLLKRELLSEKIERPEIVHGQACQSKKGEDFTLLKTDCQRLVGDGVSTYSVFGLFDGHNGSAAAIYAKENLLDNVLSAIPPDLNRDEWVAALPRALVAGFVKTDKDFQQKAQKSGTTVTFVIVERWVVTVASVGDSRCVLESSEGGIYYLSADHRLETNEDERVRITSSGGEVGRLNTGGGAEVGPLRCWPGGLCLSRSVGDMDIGEFIVPVPHVKQVKLSTGGGRLVICSDGVWDALSAEVALDSCRGMSPEVAAPHIVKEAVQAKGLRDDTTCIVVDILPQEKPPSVPHTKKPVKGMLKAMFRKRSSESSYVDKDYLEADVVEELFEEGSAMLSERLDTKYPLCNMFKLFMCAVCQVEMKPGEGISIHEGTPNPGKSRPWDGPFLCESCQEKKEAMEGKRASGRVSSGSDE >OIW20278 pep supercontig:LupAngTanjil_v1.0:Scaffold_17_11:1020:1256:-1 gene:TanjilG_08238 transcript:OIW20278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGTVGAVRRGCVRLVVRQTLARLGVVLRGAAWLLEIAGRQTLVQLGAVLREKGRKAGGKTQGREEDADVGKRKAEAI >OIW20316 pep supercontig:LupAngTanjil_v1.0:Scaffold_17_116:8099:9954:1 gene:TanjilG_08290 transcript:OIW20316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSSECLDDGDMTHDNSSRNVSKRAHQWSMDGPEVDLFPNKKHEVEAPNNLLPEIPSSSISSWGNPSSFHSLTGHFTQQLFDLDAATMNFEDRNISSVTIDNKLCAERKDNMDPFGGDSLFGLSMSNTLQYPQPDFNYDGIRKVKVIEVNESENVVSVPTNNPYVREVSSTLSNPQEYTEGDNSISTCLSYNNGDANIISMDDTYGRTDSNLMSMGQSYNKGNDRLSIHQTYKEICNTISMDQGFSKVDSNVIPIAPSYNKDDGNSMSRDHVFTKVDNGTISMGHTYCNEGNNMPFISHPYNKGESTIISFGGCDDDTIPSDLFISGYELLMGQAPSHNSEAVNLNELVSSNSNLLSSAAHASASENVTNRKGELKMSKKATSNNFPSNVRSLLSTGMLDGVTVKYKAWSREKELRGVIKGAGYLCSCETCNFSKVINAYEFERHAGCKTKHPNNHIYFDNGKTIYGVVQELRSTPQNMLFEVIQTITGSPINQKSFSIWKESFMAAALELQRICRKDEVNQLL >OIW20284 pep supercontig:LupAngTanjil_v1.0:Scaffold_17_12:59067:62370:-1 gene:TanjilG_08244 transcript:OIW20284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVRVTFSYSLHVTQNLLSSSLTRAATTPRFQDSWTRACVSQSNDNNPTLHRNYHFAPATPILASAILGGHAANPIALGMFSLLRSSVTLTEGIFGISPVKPGKIIPFLQVSKWLPCSEPVNGLGGSESGKLCLSVSDSSSSSGSGSRIGNRRSWVSWLLNISSGDAKSVFTAMSVYLLYRSSLAEPRSIPTSSMYPTLNVGDCILAEKVSFFFRKPNVSDVVIFQVPPNLQAAGYNSAEVFIKRIVAKAGDCVEVRDGKLLVNGVPQEEEFVLEPLAYQMDPVVVPEGYVFVMGDNRNNSFDSHNWGPLPIKYILGRSMFRYWPPSEVSDSVKYGLLSGDFSSRRSSPSGVS >OIW20281 pep supercontig:LupAngTanjil_v1.0:Scaffold_17_12:19603:24106:1 gene:TanjilG_08241 transcript:OIW20281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAVGKLRRYLSSGAYRVSGPFLPFGGAVDIVVVQQKDGSFKSSPWYVRFGKLHRVLKPNEKIEVNISVNGVEPDFHLYVNHKGEAFFVNEEDEESVLYSSSSGDEIDDAQSPGSRVHFRSKSYNFDSDKLISAARVDANNGKIVDRTGSGRARILGLVFGQRSFKGGNEDGVELMERAEIAADLLELKWSTNLPYDQPPHRGSKKGIGNNMENGLYPSKIKEEGCSCSGQDDLSSKSNFEVPEKTGKEENGINVSCLSAADNVVQNVAVNEIPVSRRRVNFAEDGEFVRNGKDVVYDTTRPDLQLHGEEVQCLPSGECGQVQDHADVFGAATGVVPEVRDCSHSDVGMMYDVADAGNPHKFQKSRTINLGRTRCSAKKLRAKTPTSEQLASLNLKEGRNIVTFSFSTTMLGTRQVDARIYLWKWNTRIVISDVDGTITRSDVLGQFMPLVGIDWSQTGVAHLFSAIQENGYQLLFLSARAISQAYHTRQFLFNLNQDGKVLPDGPVVISPDGLFPSLYREVILRAPHEFKIACLEDIRALFPPDCNPFYAGFGNRDTDEISYLKVGIPKGKIFIINPRGEIVVNRCVDTKSYTSLHAVVNGMFPPTNSSEQEDFNSWNYWKVYSMITNFEDVMHQLEHEPS >OIW20283 pep supercontig:LupAngTanjil_v1.0:Scaffold_17_12:54806:56869:-1 gene:TanjilG_08243 transcript:OIW20283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISSYFPLSFFIFLLQITAFLSSPIPNPSSNLTHILQDVLKAITAKQKWDLKDVRVLKLDIGKVRFGTFRSYEFRIGSGKNNLTLKFSDKVSSWNKFAIPKSDLGFLIHQISYLAVLDGIKLDGPFELRVDGIHNLSLSLPMNVSYTGLKRIIVGEGITVEVRGAQEISLYHSAGLDLQMNGSTMFRKRKSDLFPFLQSTCMPLIPIRILGSASLVAYRARNPSTYIGTTLISEDTVELLPEKCYDDHTYRKRACPIDSLSLRLSMVKEILKSLLVRKILEDQFFGFLKAVIKASVSVKFPLELEIDVGSNITLGRTLPDWRTRPSVGRVWFEILARVEENRLKPVSIKKLKPFIESDSVSWASLLSNMSYTKMRPVLLPPEALTLDVKW >OIW20280 pep supercontig:LupAngTanjil_v1.0:Scaffold_17_12:8768:9709:1 gene:TanjilG_08240 transcript:OIW20280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIHQHQKNIENNVKKQIEEGEKLRHQNKQDHANIKQQSPPPSTSSSPTHEFSFTISLNSSSTTLFDDKSKAPTPSLALDLSPADDIFFHGHLLPLHFLSHHTSSPRSSTNSMDSFTLPIKELLQDENLTKDNSVSCCTSNRSNITIDIINNKNNNIESNNIGTKGEGNKKTKHVFSLFGLTKGPKGYEDKDKVDKENNKKKLKFDMIHTLKKYLRIVLFKGAREKVRLHRQSQSYSYSGNVTPRNKQDLRGWRGKFSAPASMRTSPTNSGLLLATSGIPSASDSTMEELQAAIQAAITHCKNSIAKEEKLKC >OIW20285 pep supercontig:LupAngTanjil_v1.0:Scaffold_17_12:65854:70766:1 gene:TanjilG_08245 transcript:OIW20285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACESCGNEERLNVRRPHFYEVYSSTSTSERLKIPAGFLRYMESWTYDLVSLVGANGHIWPVRLVKQDNDLFFHHGWPTFVEDHQLECGDLLVFRYDAHLHFTVQVFDKSRCEKETAFHSQCNQNCCEFDNINGHKRDRKEISYLDAVGKELTQYEIVKPISVFRETEETSKECSANDVPVPFHMKNRNGYEEAAIMCRGGKEDDRYIPNGVSISNLSAHDEKKVARSFTSPFPYFVRIMKSFNVSGSYTLVNNLEEVTFSLLSLYISNPCLYWFKNGIMQNIPYQFSMVHLPNSKIKIILHNLKGEHWTVNSVPTTRVHTSHTLCGGWMAFVRGNNIKVGDICIFELVHECELRVRIAGVGKDGLDNQVEKLASCGPGAGHAITCHKTTTYMPMNSKVSSKCVIKVDQSDKKCSKNGQEATLSIDLKKSGRASNTSKKMGLCPQSKVAHKKLVAQRRHRVEDDLSAHAKAGLRMLFTLDEQRVAQAFNSPFPSFVKIMKMFNVSGSYTLKIPYQFSAAHLPSYKTEVMLRNSRGECWTVNSVPDAKGRTVHTFCGGWMAFVRDNDINFGDTCIFELVAQCEMQVYISGVGKEGLDHHNEQVKLNRLATIPSTC >OIW20282 pep supercontig:LupAngTanjil_v1.0:Scaffold_17_12:38470:42592:-1 gene:TanjilG_08242 transcript:OIW20282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEITEEQRKRAEANRLAAIAKRKALSESQQNHQQQQPWHLFKCQKHPHNLIPPKLPSPVPHKFLARLEICSADSFSITPLPLSNSPFPGRDNCIATLTHILSHVMLSHYTQINAGGCACVYKLDEYNAVLKCLKAAAEIEVEEIPWGTFNVVEKLSHSLRWMPVRPEHLSDDTVDELIAKLPRSLIDALLPFQLDGLRFGLRRGGRCLIADEMGLGKTLQAIAIAGCFMDEGSILVVCPAVLRFSWAEELERWLPFCLPADIHLVFGHQDNPVHLKRRPRVVVISYTMLHRLRKSMLDREWALLIVDESHHVRCSKKKSEPGEIQAVLDVASKVNRIVLLSGTPSLSRPYDIFHQVNMLWPGLLGKSKHEFAKTYCDFKYVKGIQGKYFADCSKGVRLEELNVLLKQTVMIRRLKEHVLQQLPPKRRQIIRLLLKRSDIVAAKNAVGALKFDGSESSSDEDFEILDAPDGKLSYQELGIAKISGFREWLSLHPLIAEPENASKMIIFAHHHKVLDGLQEFVCEKGIGFVRIDGTTLARDRQSAVVSFRSSPEVKIAIIGILAAGFGLDFSTAQDVVFLELPQSPSVMLQAEDRAHRRGQTNAVNVYIFSAKDTLDELLWKNLNKSLHRVSSTTDGKYDAMKEIEVEGISYLDGSLKADSCQKESVCKNASSETPLDNQSSPINSKEPEANQADKSDERISFANKSLSTANIADDNVSSLDLGNDSVSDENLGVDVSNAEERCSEKSFEEKDQITELQDKKSIPTTEADGNLAVDVVEATGPCSSQADSLRFEVSSYTGRIHLYTCILATDTRPQPLYQNFRPEELELLSSVADDEERKIESVSVKDNTAYRHALVEFANEWKKLRPIERKKLLGKPLQLPLVVELCYLYESNNHNNKGLLNGGSKRRITPLMDISHPLPSDAVWKKVYLRGHGKKEKEYTQGWSLTDVPLCKLCQEQCMSSNAKAPEYFEDLFCNLVCYEEYRMRTSSRFVRHELFQIEHGVCTICQLDCHKLVQHIKPLSLERRREYIQKVAPKVAKRKNMLEKLVNDPTEGNAWHADHIIAVYQGGGECKLENMRTLCVACHHDVTAAQCAERRVVRANAKKQLKEVMTKLKNDMKGAAGSNIDVQGSSILEDELLVKVPGSAYTLADKS >OIW20286 pep supercontig:LupAngTanjil_v1.0:Scaffold_17_12:82525:85595:1 gene:TanjilG_08246 transcript:OIW20286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEQSCEKCISWADDIYWSHFQVLHFAQFLSTGFDQHLALPKTFSDNLKRKLPEKAGVRGPGGVVWNIGLTTRDDTLYFMQGWQEFVKDNSLKEKDFLVFKYNGGQSQFDVLIFDGESFCEKAASYFVRKCVHTEHVGGCSSKRKDTDNFVEQGNTPSNAGVERAAHEKSVHDNAVRVPAPVPLGTPASERTLNAGIESASPEQLMHANGDTVAKVPLTTAEKGTGEVVSAVKNARKRRGRPPKGSSPSQEVVDWVTDSEPVAQSAENIESYTSNRRSVTEHEINSAYLLAQAACTNNSLLIVMRPTHVYKRFYLPIPNNWIMQHLSLRSQDLILRMGTTEWRVKYCFHRNRYIGGLTVGWRNLTLDNKLEEFDVCVFEPASKVNDTMIIDMKIFRVVDDIAPPTMVKSPGKKGKKRAINDIQT >OIW20317 pep supercontig:LupAngTanjil_v1.0:Scaffold_17_123:12951:16324:-1 gene:TanjilG_08291 transcript:OIW20317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENNQTQHWLERAKPFIAVIFLQLGFAGVDVLFKAAMNKGMSNYVLVVYRHTIAFIVITPFAFILDKKVRPKMTMSIFMKIVALSLLEPVIDQNLYFLGMKYTTATFAVAMTNIIPAITFFMAYILRIEKIKIKSIRSQAKVVGTLVTVSGAMVMTLMKGASLGSLGTHVDNNHNQQHNSVTDIQHTIKGTIMIITGCFSWSCFVIIQSITLDTYPAELSLTSLICLLGSIEGAVVAMIMERGNSSVWFVKWDIKLVAIIYSGIVGSGLAYYVQGVAMQTKGPVFVTAFSPLCMIIVAIMSSIILAEQLFLGRVIGAIIIVLGLYLVVWGKSKDHNTRPIAKDPILVDKQNAEEGNARMEHCNDEVITIGSSGVRITTQD >OIW20287 pep supercontig:LupAngTanjil_v1.0:Scaffold_17_18:14414:15754:-1 gene:TanjilG_08247 transcript:OIW20287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTNTIPRPQFMNSEQPRISTTEAFSDEEYHSIDPCFNDPSRMSGECSPMMMSPWNQTTNSPFSKPQWSQNYDQAAPKNALIGSLVREEGHIYSLAASGDLLYTGSDSKNIRVWKNLNEFCGFKANSGLVKAIIISGQKIFTGHQDGKIRVWKVSPKNPSVHKRSGTLPTLKDIFKSSMKPSNYVEVRKHRTALWIKHSDAVSCLSLSNDKAYLYSASWDRTIKVWRISDSKCLESIPAHNDAVNSVVCGLESLVFSGSADGTVKVWKREQRGNRTKHEAVQTLLKQECAVTALAVNPSGSIVYCGSSDGFINFWEQEKQFAHGGVLKGHKLAILCLSAAGTLVFSGSADKTICVWKRDGVIHTCVSVLTGHDGPVKCLAVEEDREAAARGDPRWVVYSGSLDKSVKVWSVSEANNQIPQHMADVDSFPPSESDGSYSSSGRPNYN >OIW20288 pep supercontig:LupAngTanjil_v1.0:Scaffold_17_20:9864:24713:-1 gene:TanjilG_08248 transcript:OIW20288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSSADDVELRRACESAIEDPKQKILISIRVAKTHGIFGKPSKLGRQMAKPRVLALSTKSKGQSTTAFLRVLKYSTGGVLEPAKLYKLKHLSKVEVITNDPSGCTFTLGFDNLRSKSVAPPQWTMRNIDDRNRLLLCILNICKDVLGRLPKVVGIDVVEMALWAKENRPSVSTPRNVQDGGPVASSVPETELKVNVEKELVSQAEEEDMEALLGTYVMGIGEAEAFSERLKRELHALEAANVHAILESEPLMDEVMQGLESATICVEDMDEWLGIFNVKLQHMREDIESIETRNNKVVMQSVNNKSLIDDLDKLLERLHVPSEYTASLTGGTFDEARMLQNVEACEWLTGALRGMEAPNLDPAYANMRAVKEKRAELKKLKSTFVRRASEFLRNYFASLVDFMISDKSYFSQRGQLKRPDHADLRYKCRTYARLLQHLKSLDKNCLGPLRKAYCSSLNLLLRREAREFANELRASTKASRNPTVWLEGSTGSGQNANATDTSTVSDAYAKMLTIFIPLLVDESSFFAHFMCFEVPTVIPPGGVANGNKTGYDDDDMGIMEIDENDSKSGNTSSELATLNELLQELLGGTHEDFYAVVDWAYKIDPLRCISMHGITERYLSGQKADTAGFVRLLLGDLESRISKQFSRFVDETCLQFERNERIVRQTAVLPYIPRFATLATQMEQYIQGQSRDLVDQAYTKLVDIMFASLEKVAQTDPNLYDLANIVSTLVKFYHEASEAYEQACTRHISMIIYNQFERLFQFAKKIEDLMLTVAPEEIPGHPGLSKMDLRKMLKSSLSGVDKSIAAMHKKLQKNLTSEDLLPSLWDKCQKEFVDQYGSFAQLVAKVYPTENIPSVAEMRDLLASM >OIW20290 pep supercontig:LupAngTanjil_v1.0:Scaffold_17_20:47685:49836:-1 gene:TanjilG_08250 transcript:OIW20290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSLSSLTSSFSSLTFSSHISQKPNTLSFPRTESLSRVPNFRFPSLSVTATVLASPSEPETADLRKYVKTRLPGGFAAQTIIGTGRRKSAIARVVLQEGTGKFIINYRDAKEYLQGNPLWLQYIKVPLITLGYENSYDVFVKAEGGGLSGQAQAITLGIARALLKVSEDHRKPLRQEGLLTRDSRVVERKKVGLKKARKAPQYSKR >OIW20289 pep supercontig:LupAngTanjil_v1.0:Scaffold_17_20:42150:45628:-1 gene:TanjilG_08249 transcript:OIW20289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFHDHHNNKSVSISVSDDEPDELGKMRVRARRKRKKLSHKRFLRNFLFKYWMLLIIIPAACLLIFEVSAISRKPNPNLKQVNSETITRESRHSLGNEEVHGNLNRLDPTTHVVAGVRERCLKLLPPEKLEQLDIPAEEGSSSPIDKLLYVTSESDTSFLGGNAALSQLRTEGTRFNLFTGNQTFEERDKSFEVVKETTTVHCGFYSVNGGFKISDEDKSYMQACKVVVSTCAFGGGDDLYQPIGMSEASLNKVCYVAFWDEITLKAQELVERRVGENGFIGKWRVVVVRDLPFSDQRLNGKIPKMLSHRLFPQAKYSIWVDSKSQFRRDPLGVLEAVLWRPNAVLAISEHGARGSVYDESKAVVRKNKAKPEEVKVQINQYRKDGFPENKRFDGKKALCEASVIVRKHTPLTNRLMCVWFNEVVRFTSRDQLSFPYVLWRLEAFKNINMFPVCTRKDLVNSMGHIRKAKPLQG >OIW20291 pep supercontig:LupAngTanjil_v1.0:Scaffold_17_21:16703:17617:-1 gene:TanjilG_08251 transcript:OIW20291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDNNNNNDKYLCSEETIHVAMTLDTTYIRGSMAAVLSVLQHSSCPENIFFHFIYSSNTSLLHTTISKSFPYLKFQLYNFDNSIVSGLISTSIRSALDCPLNYARSYLANLIPPCVKRVVYLDSDLILVDDIAKLAATELGQNENTVLAAPQYCNANFTSYFTPTFWSNPSLSLTFSNRKACYFNTGVMVIDLVRWRKGDYTTKIEEWMELQKRMRIYELGSLPPFLLVFAGNIVSVDHRWNQHGLGGDNFRGLCRDLHPGPVSLLHWSGKGKPWVRLDANRPCPLDALWAPYDLLQTPFSWDS >OIW20292 pep supercontig:LupAngTanjil_v1.0:Scaffold_17_32:5721:6472:-1 gene:TanjilG_08252 transcript:OIW20292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGFGESTSRSPPSPSYSRNNNNNSDAGNFECNICFDLAQDPIITLCGHLFCWPCLYRWLHFHSKSQECPVCKALVEEEKLVPLYGRGKTSTDPRSKSIPGDNIPHRPAGQRPETAPPPERNTFPQPGFGYTGGLGGFAPMATARFGNFTLAAAIGGFLPSIFNFQLQGFHDGPIYGGDAGFPYGFSNSFHGGGHFHRHAPHRDWMEFRMPTEATAIFLFC >OIW20293 pep supercontig:LupAngTanjil_v1.0:Scaffold_17_49:4978:5316:1 gene:TanjilG_08253 transcript:OIW20293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHDTPHEARCASVKTGAPGTTPRSGLVHQAQYASPKLDAPNTAPRSELLYQKQSALVRCDASDMGHGPSIMHQAQGTSVRIGASCIRHGADMAHQVWARHTVLGVPSQTEV >OIW20294 pep supercontig:LupAngTanjil_v1.0:Scaffold_17_52:2500:5094:-1 gene:TanjilG_08254 transcript:OIW20294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVHEDYPSGSMVDFACDADGYPILAVSDLAVHTKDLTANPKCSLLVARDPEDRTDLVITLRGDAISVSEKDEEAIRAAYLARHPNAFWVDFGDFHFLRIEPKEFSGGEYKAAKVDPIAQFSKPVVSYMNNDHAEDTKVIVKHWTSVPVDFADILDLDSLGFNVKAGYQGSTFKLRVPFPRRAADRK >OIW20295 pep supercontig:LupAngTanjil_v1.0:Scaffold_17_52:9626:14305:-1 gene:TanjilG_08255 transcript:OIW20295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRMAIKIELVRTTSPGDVKINKDDVFQLIQAHQEKVARLPPVEEIRTVLDRSVHGMLSTFSKVHEDYPSGSMVDFACDADGYPILAVSDLAVHTKDLTANPKCSLLVARDPEDRTDLVITLRGDAISVSEKDEEAIRAAYLARHPNAFWVDFGDFHFLRIEPKEFSGGEYKAAKVDPIAQFSKPVVSYMNNDHAEDTKVIVKHWTSVPVDFADILDLDSLGFNVKAGYQGSTFKLRVPFPRRAADRK >OIW20271 pep supercontig:LupAngTanjil_v1.0:Scaffold_17_6:5818:9496:-1 gene:TanjilG_08231 transcript:OIW20271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDVESKAHSKSSSTSAEAELISLAETPKENVEVTNPHDNHSSIEGSINTFSNNVVELETHLLVTELSELAMSPNVYEGQILGQGEYLPIDNSASPSNATMVHVTEQSHQGTVAANFEPGALEDIFKGQQVDGFAVTSISDVDNLMKLSTSSSETKELHNELKELKINLPETEFTDVHIDSSASSSIPNATDYVIEESQQGTAAANFEPGSLEDIFKVHQVDGSNVSAGSDIDNQMKLLDSPSETKQLENEIDSPQTKVTDVAVGALDLPTLSKQMAARKALIDTTAPFESVKEAVSKFGGIVDWKAHRMQTVEKRKIVEQELQKVQEEIPVYRKRSEASEQEKVQVLQELDSTKRLIEELKLNLERAETEEHQARQDSELAKLRVEEMEQGIAEESSVAAKAQLEVAKARYTASIMDLTSVREELDALRKEYASLVIEKDEAMTKAEEVVGASKQVEKTVEDLTIELISTKELLESAHAAHMEAEEQQIGTVMARDQDSLNWEKELKQAEEEVKRLNQKIESAKDLKSKLDKASTLLLDLKAELNSYTDSKSDGGEGESKGEPEKKTQTEIQAAVASAKKELEEVKLNIEKETSEVKHLKISAISLKDELEQNKSALASIRQREGMASITVASLEAELDKTRSEIALVLNNEQQGRERMAQLPKKLQQAAEEANRANLLAQAAREELRKVKEGADQAKAGETTMKSRLLAAQKEIEAARASERLAIAAIKALQESESARRNNEFDASSGVTLSLEEYYQLSKQAHQAEEEANMRVAAVNLEIELAKESELKTLKKLNEVNREMSERRESLKIAMNKAEKAKEEKLGVEQELRKWRSEHEKRRKAGELSKGTVNQIKNQKASLDDRSKEAKNLDQSHNAAIPVQYLSSPKAYVHANSNATGSSLDTAIVKKKKKKSFFPRILMFFARRKSHSTH >OIW20273 pep supercontig:LupAngTanjil_v1.0:Scaffold_17_6:38287:46077:1 gene:TanjilG_08233 transcript:OIW20273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITDKQSGFLDTLKMERVRTILTYTYPYPHEHSRHAVIAVAVGCLFFISSDNIQTLVEKLDNNIKWWSMYACLFGFFYFFSSPFIRKTIKPSYSNFSRWYITWILVAAVNHLPSFLSMGVDLRMNLSLFLTIYVSSIVFLLVFHIIFYGLWYIGLVSRVAGKRPEILTILQNCAVLSVACCVFYSHCGNRAMLRERPLDRRNSNWFSFWKKEERNTWLAKFLRMNELKDQVCSSWFAPVGSASDYPLLSKWVIYGKIPCNGSCPGSSDKISPIYSLWATFVGLYIANYVVERSTGWALTHPLSVEEYEKLKKKQMKPDFLDMVPWYSGTSADLFKTAFDLLVSVTVFVGRFDMRTMQAAMSKVHDGNQQGDLLYDHFSEKDDFWFDFMADTGDGGNSSYAVARLLAQPSLRALKGLSVHTLRRADLLLIGGDLAYPNPSAFTYERRLFLPFEYALQPPPWYKAEQIAVNKPEVPCGAELKQYIGPQCFIIPGNHDWFDGLQTFMRWWIFGLDLALHGDIDVYQFKFFSELVKEKVQEDDSVIIITHEPTWLTDWYWHDATAKNVTHLINDYLKGRCKLRMAGDLHHYMRHSHVKSDGPVHVHHLLVNGCGGAFLHPTHVFSKFEKHNEVSYECKAAYPSFDDSRRIALGNILKFRKKNWQFDFIGGIIYFILVFSMFPHCQLSHILQHDTFSGHIRSFFGTVWNGFIHILEHSCVSLAGAILLLIAAYAFVPSKVSRKKRAIIGILHVSAHLAAALILMLLLELGVEICIRHKLLATSGYHTLYQWYRSVESEHFPDPTGLRARIEQWTFGLYPACIKYLMSAFDVPEVMAVSRSNICKHGLQSLSRGVAMTYYASVFLYFWVFSTPVVSLVFGSYLYICINWLHLHFDEAFSSLRIANYKAFTRFHIKSDGDLEVFTLAVDKVPKAWKLDPKWEGETKHPQQLSHMRRFPSKWSAATSHQDPLKTVKIVDHFVIERTNKKDSGNVDKEPVQ >OIW20270 pep supercontig:LupAngTanjil_v1.0:Scaffold_17_6:1727:3200:1 gene:TanjilG_08230 transcript:OIW20270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSDNVKSEAILDQMKLHLATDAGKNLVKKIGLVYQFRIAPKKLGFDEVVYTVDLKKGEVIKGPYEGGKPDATFSFKDDDFVKISLGKLNPQIAFMRGAMKIKGSLSAAQKFTPDIFPKPSKL >OIW20272 pep supercontig:LupAngTanjil_v1.0:Scaffold_17_6:19777:23016:-1 gene:TanjilG_08232 transcript:OIW20272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQSNDSDSSSQQHSEQQNQPQQHRQPQPPPHVAVAQHWLPVQYPAMVMPHHMLPPQPYAPPPPYLPYHHHQYQHVPHVTHHQQQHHHHGSKSGENKTIWVGDLFHWMDESYLHRCFASTGEISSIKVIRNKHTCLSEGYGFVEFYSHATAENVLQNYAGILMPNTEQPFRLNWATFSTGDKGSDNVPDLSIFVGDLAADVTDSLLHETFSARYPSVKSAKVVFDVNTGRSRGYGFVRFGDDNERSQAMTEMNGVHCSSRAMRIGAATPRKSSGYQQGGQSNGASNQAEADSTNTTFRMDFGSPWNGAYYGGPVYDGYGYALPPPHDPRIYAAAYGAYPAYGGYQQQVS >OIW20274 pep supercontig:LupAngTanjil_v1.0:Scaffold_17_6:47414:49688:-1 gene:TanjilG_08234 transcript:OIW20274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLMAKPIQQLYCFSFSPNHNSLFSKISTTTNSPTQIRCCFASSVNSAPPVLKKRNRYRKMYPGESTGITEEMRFVAMKLRNTKAQDRGEGEGGDSDTWQPSMEGFISYLVDSKLVFDTVERIVDESENVSYAYFRKTGLERSEGLSKDLEGFKEQGVVIPNPSSPGLSYAEYLEELGERSAPLFLSHFYNIYFSHISGGQVIAKQVSKSLLEGKELEFYKWEGEVTELLKGVRENLNMLSEVDY >OIW20276 pep supercontig:LupAngTanjil_v1.0:Scaffold_17_6:59655:63231:-1 gene:TanjilG_08236 transcript:OIW20276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESIRIRKEYKPVELMKWVKELEGEFSSKDVAVELPRHLKQRIVDEILERLRSLNNNSTGRAKEQEAVENWRKERLKVRGTSNSTISHEEAGILARALESDWDAFSEEIGLWIPVNVTNEEHVDKPEGVEEFEEDILPGRPLQPECNAELHTDYGGDAVRWGLTHHKDSAADCCQACLDQAKSAKEGEKKCNIWVYCPSEFGCHSPDIYQHKYMECWLKYAEKPKPTFKDRYPEWYRNSHPSAPVIIPWASGVVGA >OIW20275 pep supercontig:LupAngTanjil_v1.0:Scaffold_17_6:54745:57927:1 gene:TanjilG_08235 transcript:OIW20275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKSSLEKIQPPTYGNLVTILSIDGGGIRGLIPATILEFLESKLQELDGEDARLADYFDVISGTSTGGLVTAMLTAPDQNKRPLFAAKDIKPFYLQHCPRIFPQHRGLCGTILANLMRSLGGPKYNGKYLHGVVREKLGNIRLHETITNVVIPTFDIKCLQPTIFSSYQLKKSPCLDAKLSDICISTSAAPTYLPAHNFKIQDPKGKIHEFNLIDGGVCANNPTLVAMNEVTRQIIQENSDFFPIKPMEYSRFLIISIGTGTPKNEEKFNANMASKWGLLGWLTHGGSTPLIDVFSQSSADMVDFHLATVTQAFHSENNYLRIQDDTLIGANSSVDISTKENLEKLCQIGENLLKTPVSRINLENGRSEPIENGETNEEALIRFAKILSHERRLREMRSPHTKKAFY >OIW20296 pep supercontig:LupAngTanjil_v1.0:Scaffold_17_60:14750:17657:-1 gene:TanjilG_08256 transcript:OIW20296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSTLACSLSRELHSKGKLSYILDGDNLRHGLNKDLDFEPEDRTENIRRTGEVAKLFADAGLICVASLISPYRRDRDTCRAMLPDANFIEARLQLCEARDPKGLYKLARAGKIKGFTGIDDPYEPPINCEIELKQEYGVCPTPTLMAGNVVTYLEEKGFLEC >OIW20297 pep supercontig:LupAngTanjil_v1.0:Scaffold_17_68:4406:15093:1 gene:TanjilG_08257 transcript:OIW20297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPSSVKFLLLLLLLFTFSTFVLSTDSKNNTFRDREATDDSLAYPQIDEDALVNSKCPKNLELRWQTEVSSSIYASPLIADINNDGKLEIVVPSFVHYLEVLEGSDGDKMPGWPAFHQSTVHSSPLLYDIDKDGVRELALATYNGEVLFFRVSGYVMSDKLVVPRRKVLKNWYVGLNSDPVDRSHPDVHDDQLVQEATIKNSMSYMNGSKHELNSSSASLAETHPGTNDKHELNSSSASSTETHPGTNDKHELNSSSASSTETHPGTNDKHELNSSSATSYPGTNDKHDLNSSSTTSIETPPGTKDVSHPVPEKKKNESQIEENIKLPTIVDNSSVNTGSVETVNAENKTSTGRRLLEDNNSKGAEHSGAESKDSKEGIHAATVENEEGLEADADSSFELFRNNDELADEYNYDYDDYVDESMWGDEEWTEVNHEKLEDYVNVDSHILSTPVIADIDNDGVSEMIVAVSYFFDNEYYDNQEHMKELGDIDIGKYVAGGIVVFNLDTKQVKWTAELDLSTNTANFQAYIYSSPTVVDLDGDGNLDILVGTSYGLFYVLDHHGKVREKFPLEMAEIQGAVIAADVNDDGKIELVTTDTHGNVAVWTPKGDLIWEKHLKSLIPQGPTVGDVDGDGHTELVVPTQSGKIHVLDGRDGSSVGRYPFQTHGRVMNNVLLLDLSKHKEKKKGLTIVTSSFDGYLYLIDGPTGCTDVVDIGETSYSMVLADNVDGGDDLDLIVTTMNGNAWRLPGQGRNNVANRYNREGIYVTHPSRAFRDEEGKSFWVEIEIVDNYRYPSGHQGPYNVTTSLLVPGNYQGERTIKQNQIYNQPGKYRMKLPTVGVRTTGTVLVEMVDKNGLYFSDDFSLTFHMHYYKLLKWLLVLPMLGMFGVLVILRPQGSMPLPSFSRNID >OIW20277 pep supercontig:LupAngTanjil_v1.0:Scaffold_17_7:3018:3344:-1 gene:TanjilG_08237 transcript:OIW20277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGADFGACLNGMVQQFYRLLHVSYKHEEDDVMGSVFIGGDMGRERIGIGIVAMRDDLRSFSARLKNHGFSEDDNVVVVGTVDEEDEGFNFSSNGIVGTRQVTKNLDL >OIW20298 pep supercontig:LupAngTanjil_v1.0:Scaffold_17_70:10332:12158:1 gene:TanjilG_08258 transcript:OIW20298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPIEPPLQPLRSSTSCNRHPDELFTSFCPSCLCERLSGLDPTSSSSSSRKPSTSSTAAAALKSIFRPPPASSLLPELRKTKSFSSSRNDPAFSAIPVEPQRKSCDVRARTSVSNILIEKKTILNSNEDEGNTKNLEEKDNQEEDVVEDGVGIRVLEELNSGYVNLEIEEEEEEKDELEVKTMKAHIDLNSSQPKKSSKDSKGGFWSAASVFSNKLQKWRQKQKMKKHRNETESEDVSTTLPVEKPIGRQFRETQSEVAEYGLGRRSCNTDPRFSLDIGRMSFDNDPRFSFDEPRASWDGYWIERTTVPRMPSMLSVVEDAPVHVLRTDTQIPVEEPISDDDDGDDDSNVPGGSAQTREYYDSSSRRKKSLDRSNSIMKTAAAIVAEMDELKCSSIANTNANVNASKLGGLIDGDLSSNSMRDDCCLETVDLGFKDGASVIGNGDQKRLKKSRRWSKAWSIWGFIHRRGGNKDEDEDRYSSANNGARHSFSESWQDLRGDRNGNGDGRGAFNGGLFRSNSSVSWRNAQSVGGSFGTLRRNVSQSNGHGKKAKDEFVLERNKSARYTPKDIDNGLLRLYLTPSRGSRRNSSTKSSNQSYSIARTVPRMY >OIW20299 pep supercontig:LupAngTanjil_v1.0:Scaffold_17_75:8938:11890:1 gene:TanjilG_08269 transcript:OIW20299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFNKKPSNELLEPAEDMGFGLEVRKISSKRQSSSKTAAKESSLMPQSYLSLYDKFESKNGIGPEDNNLKQKGKRFAEEKIQKREGVEKSAAESDELVKHMSNLPGYLLRTEKGEKFQEKAFSVGVLDWSRLEQWKHKHTSAPTSNFTSFNSSESSSRTANKSSPSVRSKVKLDNNKVLLSLGIRPSDTERLHEITKHPISNVKQIESSKSGAKSIGDDQRTTPLAFKPFEKSHSDISVEKERRNSYQKRPSQLGNIASNMRHHGVSHIPNENANRTGDGSKHNMESLQEYNHKKKERNHKSICDMGHLSVKPKHKGVSSKEMSSSSSGNGKKESNFVIGCKHGDGKPSKIVQFCHEEVLQSSSSEDFQLSESRTSPYEFFSESSQTSLSHVSFPEEDCTEDVCSKTPHSSALPSLPGLSSETMQHRISTETKMSSMNSAGPCIENDVSLDTKLRTQCVFSNLKQSLDQETAELTARRGMVNPSHNRRFSFSLNRIGRSFSFKEGSALPQFNSIYVSAKSSPVTPQSSVRWNNPSKDANNHNRAMSSPLWRLLDPIFKHKPSSDIQHPAESSQTCPGSKNSISYKTVDVNESLNAEKSKGSPVHGLLQLTIKNGLPLFKFVVSNESKIFAATTSKSLASLEKDDLGCSFTFYLVNEIKKKSGGWMSHGSKEKSCGYSYNVVARMKFSCSKTTEPINQNSRRQSLVREYILSGVEVGHTDQTQLKLIQSRELAAIVIETPFENLSDEGLHGDTSLLKKECLKCSADERCLCSSCVNGISDSTTVILSGALHGSPNTGEPSPLIYRWKNGGLCDCGGWDIGCKLLVLSNQKHGPNIPKSSKPYHDCFQLFVQEGDEQNTPIFTLSPLKDGFYSIEFNSTITHLQAFFISVVVLSCQKLPSSFEMNSMLEEILKEPSSKNNSRLQGKAPMKYSPTPPLSPVGRV >OIW20301 pep supercontig:LupAngTanjil_v1.0:Scaffold_17_75:22848:25521:1 gene:TanjilG_08271 transcript:OIW20301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSKCGEFGYTIKLFDRMSDRNTVSWAAMITGFSHNLRFREAVNTFCQMRVEGEITTQFALSSVLQACASLGSIQFGSQLHCLVVKCGFCFELFVGSNLTDMYSKCGKVLDACKVFEEMPCKDEVLWTSMIDGYVKNGVFEKALIAYKKMVIDNVFIDQHVLCSTLSACSALKASSFAKSLHSIIVKFGFEHESFIGNALTDMYSKSGDMVSASNVFHIDSGCRNIVSFTAIIDGYVEMDQIEKAISAFVDLQRWGIEPNEYTFSSLIKACSNHAKIEHGGQFHGQVIKFNFVRDPFVCSTLVDMYGKCGLLDHSIQLFDEIENPNDIAYNTLVGVFALHGLGKNAVETFNWMIHRGLKPNAVTFINLLKGCSHTGMVADGLNYFYSMDKIYGVVPREEHYSCVIDLLGRAGKLKEAEDFINSMPFEPDAFGWCSFLGSCKIHGDKERAKVAANRLMKLEPENSGAHVLLSNIYAKEGQWEDVRSLRKMIRDGNMKKLPGYSWVDIGNKTHVFGVEDSSHPQMREIYEKLDSLLDQIKQIGYVPRTETVLIDMDDTLKEKLLHNHSERIAVAYSLIAMPTGKPIIVKKNLRVCEDCHSALKYISKVTGRNIIVRDISRLTELINPISCCFTFKPALAQSTLRGFSDEFWGVTMRC >OIW20300 pep supercontig:LupAngTanjil_v1.0:Scaffold_17_75:13389:14755:-1 gene:TanjilG_08270 transcript:OIW20300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLLSRGTLGSVDLCSRILVLSSTRKEFNFFPGSFINNFKKFHHVSLPSFTQTSLVLGKSLGRGYQVNLLPGQCPNLQMGRLRRQRVKSEDSEGILSSENIALDEQTLEEELQHAITDENYAKAAEIRDTLKTLQKDSKTTVFGVNSRFYDSFRNGDLAAMQAMWAKRDEVCCVHPGLKGISGYEDVIESWNIVWANYEFPLEIKLEDIKVHARGDMGYVTCMEFVKTKGGRWGGQFVTNVFEKINGQWFICIHHASPVDL >OIW20302 pep supercontig:LupAngTanjil_v1.0:Scaffold_17_75:53363:57415:1 gene:TanjilG_08272 transcript:OIW20302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFYIGREASKLWKRICAEVTTEINLLAENWKYLLAGLVFQYIHGLAARGVHYLHRPGPILQDVGFILLPELGKEKAYISETLFTIIFLSFVLVRILPSIVFNFHMLSLWVNSVSIWTFHPFILKNRKIYTVLIWCRVLAFLVASQFLRIITFYSTQLPGPNYHCREGSKLATLPRPDNALEVLLLNFPRGVVYGCGDLIFSSHMIFTLVFVRTYHKYGTRRWIQQLGWLLAVVQSLLIVASRKHYTVDVVVAWYTVNLVVFFVDKKLPELPDRSSAVVTLLPVSTKDKDGRTKEENHKLLNGNSGDPADWRQRTQVNGKIVENGNTLHADSAMNGA >OIW20303 pep supercontig:LupAngTanjil_v1.0:Scaffold_17_77:10317:14770:1 gene:TanjilG_08273 transcript:OIW20303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWGNVTTEDLVDALREVDWSSPPRPPSEFFSRFTLPRSYSKWNSRLKCNLYYYRTNYFILIVTVLILGFLRRPLAIVAAILTALSIAFLNDSFAGTFSEKVTRTVRRFSPHLAAKMRPSLTPVIRGRPSSKRAIYICGQPRWVFVLISSSACFFLWFLSAGLLNVLWALAIGLLVTILHASFRTPNLKARLNTFREEFRAVWRNYSEL >OIW20304 pep supercontig:LupAngTanjil_v1.0:Scaffold_17_78:23296:23835:-1 gene:TanjilG_08274 transcript:OIW20304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLLSLVGRNCWVIGSDGLTLPIPSRTILSSEFDQCVMEQWVVDECSVLWTWRRYSPTGLVCRMMDSVWEVRLCRLLTHGMGASVDGSHLLVSNNTDFAMGSDQPFKRFFGGRDQVYRNE >OIW20305 pep supercontig:LupAngTanjil_v1.0:Scaffold_17_82:4103:4315:-1 gene:TanjilG_08275 transcript:OIW20305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENTFKMTLLLVVTVLTLLVLKLQPAECSSMFPLNPCTQPSCIERCKQIVGVKFISAGCKDSTWCLCLG >OIW20306 pep supercontig:LupAngTanjil_v1.0:Scaffold_17_90:4638:11002:1 gene:TanjilG_08280 transcript:OIW20306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSQSGSSRRSFSYAKRTSESAVPHSINRRSFSYSRLPIGLTGERTVKSLRLSKALTVPDTTTVYEACRRMAARRVDAVLLTDANAMLCGILTDKDIVKRVIAQDIKLEDTLVSKIMTKNPVFVLSESLAVEALQKMVQGKFRHLPVVENGEVVGLLDIAKCLYDAIARMERAAEKGKAIAAAVEGVEKQWGSSVSAPNSSFIETLREQIFKPTLSTIIPDNSKVVTVSPEDSVLITTKKMLELHASSAIVTVDDKPRGILTSKDILMRVIAQNLSLESTPVEKVMTPNPECAVIDTPIVDALHTMHDGKFLHLPVVDRDGSVVAVVDVIHVTHAAVDTVSQVGNNEVATSMMQRFWDSALASTPNDDDDESRSDNSFKMASEGGETGRSLPYLSSSMTNTFSFKVQDKKGRMHRFTCDTRTMTEVITSILQRLGNDIDPNNLPQIMYEDEDHDKVVLSSDSDLAAAVHHARIAGLKGLRLHLDYIETHGFGKGSSSRSLKYADSESWASAYSAVAAGAAFVAGLGLLTYLKRPS >OIW20307 pep supercontig:LupAngTanjil_v1.0:Scaffold_17_96:14542:16810:1 gene:TanjilG_08281 transcript:OIW20307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRISNLKLPDHFPSILLLILTVSSLFLYPTSYVFAAVQQQQHQPIKTIVVLVMENRSFDHMLGWMKKAINPLINGVTGDECNPVSTKNPRKDAICFSDDAEFVDPDPGHSFEDVLQQVFGSSSSSIPSMNGFVEQALSLSQNLSETVMKGFRPESVPVYAALVREFAVFDRWFSSIPGPTQPNRLFVYSATSHGSTSHVKRQLATGYPQKTIFESLHGNGLDFGIYFQNIPTTLFYRNLRKLKYISKFHQYDFKFKKDARDGKLPNLTVIEPRYFDLKGFPANDDHPSHDVANGQMLVKEVYEALRASPQWNETLLVITYDEHGGFYDHVETPFVNIPSPDGNTGPAPYFFKFDRLGVRVPTIMISPWIKKGTVISGAKGPAENSEFEHSSIPATIKKMFNLSSNFLTHRDAWAGTFEDIVGELTSPRMDCPVTLPDVTPLRSTEAKEDAGLSQFQSEVVQLAAVLNGDHFLSSFPDEMSKKMSVKEAHEYARGAVSRFIRASKEAIKLGADESAIVDMRSSLTTRTSTHN >OIW20309 pep supercontig:LupAngTanjil_v1.0:Scaffold_17_98:22586:27746:1 gene:TanjilG_08283 transcript:OIW20309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPEIMQLQKYDAKADLWSVGAILFQLVTGRTPFTGNNQIQLLQNIMKSTELQFPPDNRSLSIDCKDLCQKLLRRNPVERLTFEEFFNHPFLSQKQPERDESLRNRSTSRLVGEFCSTESDPLRRTEENYQEDCLPFFLDDDSSGAEGSPSFSRKKSSVKSTYGFDLNTMLDKLEVDQASPILNSINYTSKYGSVAQIPANTTRRLDNHKISRNLTDPPESPELRFAIPNSKVMDSLENIDQDYVLVSGPPMDVSSSSVSVSKMSHSQYRPGSIPRDPSNTMTRLSAPMPIVGIPTSSTYQIGSSESQDSAPGTSHGSIDTGDEQPSAHCMTRIKSLKQCASAITELVNEKAASAMEGTANPETSRYRRGTSKRHFSSGLEGCLLGNTQGSKDILSEIESEFLREFEHAEELAKGIEPGNAEMPDAMETIFQSALAFGRHGGVEELMGEMDSAAALYSKAVHLLVFLLVEATSLILNPPFSLTNSDRYRLRTYIDILNNRQGYSRSQRMTLLKCDDSQGKGIGIADGCQLTQEKLFYISVISDGQM >OIW20308 pep supercontig:LupAngTanjil_v1.0:Scaffold_17_98:14732:17041:1 gene:TanjilG_08282 transcript:OIW20308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQATGRSRVVGDYIVGRQIGAGSFSVVWHGRHKVHGTEVAIKEIGTLRLNKKLQESLMSEIFILKQINHPNIIRLHDIIEVPGKIHLVLEYCKGGDLSLYIQRHGRVLEATAKHFMQQLATGLQVLRDNNLIHRDLKPQNLLLSRNDERSVLKIADFGFAR >OIW21730 pep supercontig:LupAngTanjil_v1.0:Scaffold_181_12:5244:7471:1 gene:TanjilG_09067 transcript:OIW21730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGAQLQKHIDATLGSGNLRDAVKLPPGEDINEWLAVNTVDFFNQVNILFGTLTEFCTANNCPTMTAGPKYEYRWADGVTIKKPIEVSAPKYVEYLMDWIESQLDDETIFPQKLGAPFPANFRDVVKTILKRLFRVYAHIYHSHFQKIVSLKEEAHLNTCFKHFVLFTWEFHLIDKAELAPLQDLVESIIQF >OIW21736 pep supercontig:LupAngTanjil_v1.0:Scaffold_181_13:57938:59213:-1 gene:TanjilG_09073 transcript:OIW21736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGKLSELLVSGGRAATLFDTIGSPRTPMDMNMKMQSPKGLKSYDLGGVGLGIVVALDKKSNEIGHEVLPKHAVCTSNLNRSTPIPVHTIKNQHGFFQKIVNEIDVGSSEDYTYVTHHMPNKTITKVYYDGVEDGIMRHIINNNNNVGVFRRTPTTPIIVEDEPSFPTSDFLRSCHLCRKKLHGQDIYIYRGEKAFCSMECRSRQIMMEEHNEVCRSEASRSVDLSTSPYTRDQMFSTGIIAL >OIW21734 pep supercontig:LupAngTanjil_v1.0:Scaffold_181_13:25919:29321:-1 gene:TanjilG_09071 transcript:OIW21734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVRFFPLIIILIIVTSLIHLALSVSETESLLKLKQSFTNADNSLSSWIQNVTPCGNWVGVVCFRNIITGLHLSDLGLSGKIDVDALMQIPTIRTISFVNNSFSGSIPQFNKLGAIKSLYLTNNQFSGPIPLEFFSQLGSLKKVWLSNNKFSGDIPHSLTELDLLKELHLENNEFSGQIPFLKQQMTSFDVSNNKLEGMIPENLVGFGAKSFSGNEKLCGKPLDKACDYYTLPTGGENSGGYLTGLGVKVIVILVFAVAAALIFLFMKNKKRHEHDFSIISRSNSSIDEDQLHQVVQVHAPSSKNSKFSTNSSKKGSSHGEHKSESKRASTTRGGSMGDLVMVNDEKGVFGLADLMKAAAEVLGNGGLGSAYKAAMANGLSVVVKRMREMNKIGRDVYDAEMRQFGRIRHNNILNPLAYHYRREEKLYVTEYIPKGSLLYVLHGDRGSCHADLNWSTRLKIVKGIARGCGFLYTEFSNYDLPHGNLKSSNVLLSDDYEALLSEYAFYPLINPNAVQSMFAYKTTDYTQYQKVSQKTDVYCLGIIILEIITGKFPSQYHSNGKGGTDVVQWVYTAISERREEELIDPELKNNTSSLNQMLQLIQIGAACTESNPEQRITMKEAIRRIEEVRV >OIW21733 pep supercontig:LupAngTanjil_v1.0:Scaffold_181_13:20302:21450:1 gene:TanjilG_09070 transcript:OIW21733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLYDVQRNNVPVKSVVYNDLFNFLIKQNKVGDAVVLIRELMRTHYYPETYTINILIRGLCRAGEINEAFKLLDDFMSIGCSPDIFTYNILIHGLCRIHEVDRARSLLKEVALKGECAPDVVSYSTIISGYCRLSKMEDGILLFDELIMSGIKPSTYTFNALIDGFGKAGDLNSALAFYGKMLIHGCLPDVATFTSIIDGYFRAGQVSHALEMWHEMNRRNICLSLYTFSVLVSGLCKNSRLHEARDILRLLKQSNIVPQPFVYNPVIDGYCKSGKVDEANKIVAEMEEKKCKPDKLTYTILIIGHCMKGRMHEAIGIFDKMLRVGCAPDEITINNLTSCLMKAGMSSEADRIKEALIRKPISGTKFVLNKTTNVYMPIAAY >OIW21735 pep supercontig:LupAngTanjil_v1.0:Scaffold_181_13:39699:41844:1 gene:TanjilG_09072 transcript:OIW21735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSSEFSDQKPLRLPEKTSFSHTCNLLSQYIKEKGSFGDLNLGITCNTEPSGLPVTSCQSATTMNLFPTKENNMAPKNLTAMDLINSSAVKSANRGPKAAELTIFYGGQVIVFDDFPADKADELMSFAGKGISQSNTYTLSQPSFAHNLVRTSADSCAPVIPQPSLRPPVVCDLPIARKASLHRFLEKRKDRIAAKAPYQITRSMEISNKSVESMSWLGLGSKSHQI >OIW21731 pep supercontig:LupAngTanjil_v1.0:Scaffold_181_13:1004:5579:1 gene:TanjilG_09068 transcript:OIW21731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSKTTPNNRSKTNPPFSASLRRRSLRLAASIDGDSSPPTSVRVFNQPNPSPLDSTVTNSVDGIGVLNLRSGKNVVKRGMDQRSTVNKLCDEEEIGNEDLEKDDLVVNDSVVVDEVRLGSEFEMNESGDEECDYSKRGKRKLSGNVSEGSSLGRSRKKLSREENGFGDDVVVCEGELRQESEMKDLNLNCLEENVALQDREGSRNFDCKNSKENGSRRSGRRNLSEEEKGEVVMVDGNLSGHVEFELGAESEIKDSEDNVELPDREGSRNFDCNTKEHGSRRSSRRNLSKEEKGKAVMVDGDLSGDVEGELGIESEIKDSDVNCSDNNVELPDSEGLRNFDYNSKERGLRRSSRRILSKEEKGKVVMVDGDLSGDVGEGGLCIESEIKDSDVNRSEDNADLPDREPSNTREHGSRRNANTNAREHGSRRERFRDIARENASRFAYFNLDGRDEDRQSPERPEVEPEIEDWPGPFSTAMKIIKDRMVKSVQPGGVSAERSLVDSIKWVPKTDKTNIGSSFSVPPLQELCLKILVKNVDAIASLDSVPDALRHKLSQLLCDSRKINGHFFELLVGGSPTEIRLRDCSWLTEEQFTTSFQMSDTANLVVLQLDQCGRCLADYVILATLAQSPRHLPRLTSLSLSGACRLSDGGLRALISSAPALRSINLSLCSLLTSSSVYILADSLKSMLKELYLDDCQGIDAALIVPALMELEHLEVLSVAGIQSVGDEFVRDYIIARGHNLKELVLKDCINLTDSSVKVVAEHCPGLCVLDLTNLCKLTDLSMGYLTNGCRALHTLKLCRNPFSDEAIAAFLETNGESLKELSLNNIKKVGYHSTLSLANHAKILHTLDLSWCRNLTDNALGLIVDSCLSLRLLKLFGCTQVTDVFLNGHSNLEIQIIGLKMSQVLQHVKVPNPHQAALNYSSVSVNLA >OIW21732 pep supercontig:LupAngTanjil_v1.0:Scaffold_181_13:6934:15357:-1 gene:TanjilG_09069 transcript:OIW21732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQRFGSPPTSTPEENALFLDILHEAPLFAHRKAARVVGSAGYATLAIGAEWIFRPLQDRISQDLISPVLCSCDVLLLLLTGIFQQYLVYQVHKIRLQGYYSFSQKLKFIVRIPFAITAYGTAAMLLLILWKPYTGFLTISAILRYYDGRLSDQQMALLQYQRENLHFLSEEILRLQESLSKYERTDDRSTPQVDLAHLLAARDQELRTISAEMNQVQSELRLARSLIAERDSEIQLVVTTNNQYVEENERLRAILGEWSTRAAKLERALEAERLSNLELQRKISMLRNHPHALTEATEHGA >OIW21737 pep supercontig:LupAngTanjil_v1.0:Scaffold_181_15:12080:14432:1 gene:TanjilG_09074 transcript:OIW21737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTQFTTSSISARNMALFEGLRPSAVQFPCVGHVKIGNLTQRTLKGIIVKASTVVAPKHTAIKPLGDRVLVKIKEAEEKTEGGILLPTTAQTKPQGGEVVAIGEGKTFGKNKVGISVESGEQVVYSKYAGTEVEFNGTKHLILKDDDIVGILNTDDVKDLKPLNDRVLIKVSEAEEKTAGGLLLTEATKEKPSVGTVIAVGPGSLDEEGNRKPLSVTTGNTVLYSKYAGNDFKGKDGSDYIALRASDVIAILS >OIW21738 pep supercontig:LupAngTanjil_v1.0:Scaffold_181_18:16877:17314:-1 gene:TanjilG_09075 transcript:OIW21738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVLDSLLQPLPFNYYFTFITSNHLLWTWLALITTTITFWKIRPSPSFLKPDYNSVPTQTEPVITTPPLLKPSVLTEGIDGVRKVKFKVYYEEDEVQCKCSEKEELLTMTVTEDWNEEDELEWWERVLRLRNGQNENGWYTFFRT >OIW21724 pep supercontig:LupAngTanjil_v1.0:Scaffold_181_2:24851:26194:1 gene:TanjilG_09061 transcript:OIW21724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDNSSLPSGSSPKPNPDLVEEESDSVIQKGHNNNDNVTSLETIVQRFQDSMSIDDNNKRHKFWETQPVGQYKDVGDFTLIEGPIEPPTPLSEVKQEPYNLPAAYEWTTCDMDSQETCDEVYLLLMNNYVEDDENMFRFNYSKEFLRWALRVPGYYRSWHIGVRAKASKKLVAFITAVPARIRVNDEVVKMAEVNFLCVHKKLRSKRLAPVLIKEVTRRVHMENIWQAAYTAGVVLPTPITTCQYWHRSLNPKKLIDVGFSRLGARMTMSRTIKLYKLPDSTVTPGFRKMELRDVPAVTRLLRNYLSQFVVAPDFDEHDVEHWLIPRDNVVDSYLVESPENHDITDFCSFYTLPSSILGNQAHSTLKAAYSYYNVSTKTPLPQLMNDALIVAKQKDFDVFNALDIMHNESFLKDLKFGPGDGQLHYYLYNYRVRSGMKPSELGLVLL >OIW21739 pep supercontig:LupAngTanjil_v1.0:Scaffold_181_35:103:618:-1 gene:TanjilG_09076 transcript:OIW21739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVLDSLLQPLPFNYYFTFITSNHLLWTWLTLITTAITFWKIRPSPPFLKPDYNSVPTQTEPTITTPPLSKPLVLTEDIDGVRKMKFTVYYEEDEVQCKCSENDEKEELLTMTATENWNEEEEEEELEWWERVLRLKNGENENGWYMFQDLTELNGNVVRLWDGGLRFQSG >OIW21740 pep supercontig:LupAngTanjil_v1.0:Scaffold_181_42:7564:7950:-1 gene:TanjilG_09077 transcript:OIW21740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKEGGKLKKLKSVLKKWNSFTINNNKQSPHTINTATNDVSSSSSSSTLTTELRPVYVGKKRRRYLVSSDVVDHPLFRELVDKSDDDDVEEDTINVACEVVLFQHFLWMLENAHPKPESSDELLEFYA >OIW21741 pep supercontig:LupAngTanjil_v1.0:Scaffold_181_42:9895:11795:-1 gene:TanjilG_09078 transcript:OIW21741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKAVAPLFNRHKHSSRPFKDYYSDWFSTLKNNLLPLLRRSISGESPTILSTHVEMLHQHFQSYYHSLDAAATSDPYQLLHQPWRNSLEKPLLWLGDIHPFLFTNLARSFLDEQETATDDDDFGSRREFHDDRHHDGVFDENRREDSDGFESPHVGYRECLDLYEHRPWQVAMAWRNPSEALTTRMDQIECGLRVIVPTLNNRMKNAEGAFVDRVVGDWFNCRERKGAAKVAVGADVKSHMEELVSIFLYANRLRRSVLVDIMSATSVYQAALFLEGLAQFLIGFRDHELVHAVEQCKSLSIGHNGRECRSVCH >OIW21725 pep supercontig:LupAngTanjil_v1.0:Scaffold_181_6:20865:29524:1 gene:TanjilG_09062 transcript:OIW21725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSKFDLSSRSPDRPLYTGQRGSHITASLDRSGSFRESIENPILSSLTNMSRSSSSATQEDVMSFFNYVRFDQKLVAPELKSNHQTDFKQHISAALGIPPDESPSTSAKSKQLLSPVPEDIKGIKDGLHANVRRGRERAKMFSEALSGFNKVFPSISSKKRSRAEGFSNDHSTFTSSDRSVLGQSIGKVGVQCHALTGGFEHEHQKSEERIKNVVPNKRTRTSLVDVRMDVRTNSVVRPSGTVDRDKEMLRIPSNGVFQGEERTLPIGGDGWEKSKMKKKRSGIKPDGSPSTAMMKPVNTSHETKQGMQQRLATDARSKFSNDSHSFRPVVGSSGVGKSDGISPQSGSSIRVSAPRIDQDNNSATNDRRDHPVNSDKEKVNIRAVNKATVRDDFNSASPNLNAKRKTSVRAPRSGSGVASKLSPVIHRAAVSNDWEMSHCTTKPPAGVGTNNRKRVASAQQSSPPVVPWQRPQKCSRTARRTNFVPIVSSNDDSPASDSVSDVAANDLGSGFARPTGGTSPQKIKLKGDSLSSAALSESEESGVAEIKPKEQGRKKEEIDQNAGQNVQKVSNLVLPTRKKKLVSGEKHGDGVRRQGRTGRSFPSTRSQIPVEKLGNIGTSKQLRSSRIGFEKSESKAGRPTSRKLSDRKAYARQRHTAISAAADFLVGSEDGHEELLAAVKGVINSAHAFSSPFWKQMEPFFSLINEEDITYWKQKRILESSGLMSAPVPSNIDYCQAVVSGFGLIACERGVGPGGQRGAGIVTEQLQVAKSDHNAIPLCQRLISALISDECCSQSEDLKFDTYDTEFETNGDLELSGLDHHSQANYPFTCHSAYNGYRTTGKPEHIETESDIVDIPPTGLNSRFVSSVNGFLHDKALMPSLTCSDSHYDALDLNDRLLLELQSIGISPELVPETQQADDEGICEDINSIEEHYLGQISKKKVLLDRLLRSASVTKELQERDFEHRALDKLVVMAYQRYMACCGRSSSGGKNSSSKVAKQAALGFVRRTLERCHQFEDTGMSCFNEPLFKGMFLAASSQLSIVRQLDGLEAESAKPYAASLSVEARTAFMGSQQSPLQFSQNMDNHDLNSSDIHPAINNSSEKNNGKESLWSHRVKKRELSLDDVGGTIAPSGIGGSLSSCTKGKRSDRDRDGKGQSREVLSRNGTTKTGRSASSSAKGERKSKSKLKQKATQNSISVNGLLGKLSEQSKPLLSSVSESNEMSTNNNAKEKDKFGSGKLDDDHETIDLSGLQLPGMDVLGVPDDLDAQGQDLGSWLNFDDDGLQDNDCMGLQIPMDDLSDLNMNI >OIW21726 pep supercontig:LupAngTanjil_v1.0:Scaffold_181_6:32396:36317:-1 gene:TanjilG_09063 transcript:OIW21726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSLRYCYNEVAPFSALVIVQFTEVGVNILFKEATNKGLSYYVFIFYSFAISTLILLIPLPFIYLRSIGLPSFNFSLLCKVFSLGFLVFSAQLCGYKGIEYSSPSLASAVSNLLPAFIFILAVFFRMEKVNLRSSSTQSKIMGSVTSIAGALVVIFYKGPTILNAASPSQPPSLDSLLVSSQRNWILGGSLLAVAYILMSIWYILLTHVIKLYPSEFIVTFLCNFCATIIAVPVCFLAEANLGAWKLKPDIALVAVIYSAFFSTIISTIIQTWSLHMKGPVYVSIFKPLSIAIAAAMSVIFLGESLYLGSVVGAVILCIGFYAVIWGKSKEELIEDDENLTLSNLRFQSNSMDPLLQR >OIW21727 pep supercontig:LupAngTanjil_v1.0:Scaffold_181_6:40712:48348:-1 gene:TanjilG_09064 transcript:OIW21727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGAHYNPRSVEAVFRDFNGRRSAIIKALTTEKENLCLYGFPTEKWEVNLPAEEVPPELPEPALGINFARDGMQQKDWLSLVAVHSDAWLLSVAFYFGARFGFDSADRKRLFTMINDLPTIYEVLTGNAKKQSKENSPVSNHTSNKSKSGSKGRGSESRKYTKQAKDEDEDEEEERLVEDEDEGHCGACGEIYASETVESGFITDTVWCFHEENLCLYGFPTEKWEVNLPAEEVPPELPEPALGINFARDGMQQKDWLSLVAVHSDAWLLSVAFYFGARFGFDSADRKRLFTMINDLPTIYEVLTGNAKKQSKENSPVSNHTSNKSKSGSKGRGSESRKYTKQAKDEDEDEEEERLVEDEDEGHCGACGEIYASETVEFWICCDICEKWFHGKCVKITPARADHIKHYKCPLCSGNKRARP >OIW21729 pep supercontig:LupAngTanjil_v1.0:Scaffold_181_7:29046:32146:-1 gene:TanjilG_09066 transcript:OIW21729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTQMSKKRKFVADGVFFAELNEVLTRELAEDGYSGVEVRVTPMRTEIIIRATRTQAVLGEKGRRIRELTSVVQKRFKFQENTVELYAEKVNNRGLCAIAQAESLRYKLLGGLAVRRACYGVLRFVMESGAKGCEVIVSGKLRAQRAKSMKFKDGYMISSGQPVKDYIDSAVRHVLLRQGVLGIKVKIMLDWDPKGKQGPKTPLPDIVTIHTPKEEEEYIQPPPAVIANEIEVPLA >OIW21728 pep supercontig:LupAngTanjil_v1.0:Scaffold_181_7:23188:26889:1 gene:TanjilG_09065 transcript:OIW21728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKLPKNQVVLQIPEIEEPSYAQRETESTSISMQQNTHIGSSAKSYKDSSITELKDFTHATSVHVSSFTSSPSPESKYLSPKPTKPPKVPPESITRRRSLVRSEFSKPKSRLVEQSYPNEVKIVEEKSHLRSSKNSPSASSPANKFVAATTPRGNHSPAPVTPRTPLIRAMDEDEDEDEDEDEEVYKTADIEVRKRTSKKLKVMVLIEWFAFVCIMGFLIVSLTMHKLKNVVIWSLELWKWCVLVMVIVCGRMVTGWFMNALVFMIEMNFWLKKKVLYFIYGVKKSVRVFIWLSLVLLAWGLLFNRGVTRSKKTNKILNYITRALASCLIGAAIWLVKTLLIKLLASSFQCTRFFDRIQESFFHQYILRTLSGPPLMEMAEMVGKVASTGQLSFRTMNGKKEGKKEEVIDVDKLKKMKQEKVSALTMKGLINVIRTSGLSTISNTLDSVDDEEQEDKEITSEWEAKAAAYRIFKNVAKPGNQYIEEEDLLRFMNREEVDNLLPLFEGASGTGKIKRKSLKNWLVKVYLERKSLVHSLNDTKTAVDELNNIASVVVLIVILIAWLLMMGFLTTQVLVFISSQLLLAGFMFGNTAKTVFEAIVFVFVMHPFDVGDRCVIDGVQMIVEEMNILTTVFLRFDNEKIFYPNSVLSTKPISNFYRSPEMSDSVEFAVDFSTTVETIAALKARIKGYLESKPQHWRPGHNVIVKDIENIDKMKMGLYVNHTINFQNYGEKNSRRSELVLELKKIFEDLKIKYHLLPQQVHLSHIAGGGPS >OIW21744 pep supercontig:LupAngTanjil_v1.0:Scaffold_182_13:14933:17578:-1 gene:TanjilG_09086 transcript:OIW21744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSDEEISDALKSLFREPNPTTFTTLNQLVEQLQSKLGVHDLTHKLDFITHQIHLLFGSHHQQQQHAPPPQQLPQRQFLHPHHPQQQKIPKDHFALHQSPNFQTATTTVPASSAFQNFPSGSVSPVKPEAVAVAVTDAAGVSSEAPPLPASSEVPKESSQPKAKRRGGPGGLNKLCGVSPELQVIVGQPALPRTEIVKQLWAYIRKNNLQDPSNKRKIICNDELRVVFETDCTDMFKMNKLLAKHIITLEPTKQPVPKKQKVELESGTKSAEPAPSIIVSDALANFFGIAGREMIQSEVLKRMWEYIKVNQLEDPANPMAIVCDAKLQELFGCESISALGLPEVLGLNHMFRRS >OIW21745 pep supercontig:LupAngTanjil_v1.0:Scaffold_182_13:55582:55959:-1 gene:TanjilG_09087 transcript:OIW21745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKLTRSRAIWSRQMHQDVGQMHQGAERVHQSTGRGTNPDRGTKLSLTEAQGPDLIRALGAGPGLTEAQGPDLIKALGAGPGLTETGCTRLGVGVAHRPAGRGQALSQALGAQSGQLGMATLAQP >OIW21746 pep supercontig:LupAngTanjil_v1.0:Scaffold_182_17:17639:22749:1 gene:TanjilG_09088 transcript:OIW21746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTSVVPANRRRTALQPEDADFETTEGVKAIASFEEMGIKDDLLRGIYQYGFEKPSAIQQRAVMPIIQGRDVIAQAQSGTGKSSMIALTVCQIVDTSVREVQALIVSPTRELASQTEKVILAIGDFINIQAHACVGGKSVGEDIRKLEYGVHVVSGTPGRVCDMIKRRTLRTRAIKLLVLDESDEMLSRGFKDQIYDVYRYLPPDLQVVLISATLPHEILEMTNKFMTDPVRILVKRDELTLEGIKQFFVAVEREEWKFDTLCDLYDTLTITQAVIFCNTKRKVDWLTEKMRNNNFTVSSMHGDMPQKERDAIMAEFRAGTTRVLITTDVWARGLDVQQVSLVINYDLPNNRELYIHRIGRSGRFGRKGVAINFVKSDDIKILRDIEQYYSTQIDEMPMNVADLI >OIW21742 pep supercontig:LupAngTanjil_v1.0:Scaffold_182_2:29831:33456:-1 gene:TanjilG_09084 transcript:OIW21742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESDLGKLFIGGISWDTDEERLKEYFGKYGEVIEAVIMRDRTTGRARGFRLEMESDLGKLFIGGISWDTDEERLKEYFGKYGEVIEAVIMRDRTTGRARGFGFVVFADPAVAERVIMDKHIIDGRTVEAKKAVPRDDQQSINRQAGSVQTPAGPGRTKKIFVGGLPSTIIESDFKKYFDQFGIITDVVVMYDRNTQRPRGFGFITFDSEEAVERVLYKTFHELSGKMVEIKRAVPKELSPGPNRSPLIGYNYGLNRGASSFLNSYAQGYNMSPLGGYGVRMDGGFSPLASGRSGFSPFGNTAYGMGVNLDSGLSPSYGGASNYGSNLGYGRIFNPLYNSNSSRYTAPIGYSGGNGRSDSLMNSPSRNVWGNGGLNNANNAVGPGAFLGSGSGNFGVSIGNNGTNWGPSFLARGGGAASGHATGSNVYEGGDSSLGLGGAGYGRNSGIGVTSPSTFNASTVGYEGSYEDLYRSGSGSAYNDSTWRSATSEIDGSASFGYGLGGIASDDPAKSSEGYVGNYHVTNRQSTRGNIFCYTLVS >OIW21747 pep supercontig:LupAngTanjil_v1.0:Scaffold_182_26:2362:6222:1 gene:TanjilG_09089 transcript:OIW21747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKANHNYLELGTSKVMWSISASELAKIIECVNGKGRLVLPVFYRVDPSEVRHQRGSYGEALAKHQKTKNVGEWRLALHKAANLSGWDFKQGYEYVFIGKIIDEVSKKLNHIPLHIVDYPVGIEPRVREVNKLLRVGFDDDKVKMVGICGIGGIEQNFQIGLIIATRYLSGIATNSQQLLLTQHKQKHQGCNMARQSAHHHWNKLQQIILMQQNAEWTLMQIYQVCSLKE >OIW21748 pep supercontig:LupAngTanjil_v1.0:Scaffold_182_26:16041:17358:-1 gene:TanjilG_09090 transcript:OIW21748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRMHSSSKGISSSALPYKRTPPSWLKISSQDVEENICKFAKKGLIPSQIGVILRDSHGIAQVRSVTGSKILRILKAHGLAPEIPEDLYHLIKKAVFIRKHLERNRKDKDSKFRLILVESRIHRLARYYKKTTKLPPVWK >OIW21743 pep supercontig:LupAngTanjil_v1.0:Scaffold_182_6:6011:10526:-1 gene:TanjilG_09085 transcript:OIW21743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKMRRMVNEIAYTHNKAHLSPLHRSLVPLLFVSSSLYRLALSLRHFLYQNGFFPIHRLEVPVISVGNLSWGGNGKTPMVEFISLWLAHSGISPLILSRGYGGGDEIKMLQRHLLETPIKLGIGANRAAVAGHFIQKYGYTDSRKSSWYEKQDSLDSGKIGVVVLDDAMQHWSLWRDLNIVMVNGLTFRGNLQLLPLGPLREPWTALRRADVVVIHHADLVSEHILKDIESMIQRIKASIPIFLTKMDPTCLFEVGVINDKIPLTAIHKTTILCLSAIGSAESFVKLIQKMGASYVDRVDFSDHHAFLAKDMELIRARLGELESKFGSKPIVIVTEKDYDRDPEILKQLYPFKVFVLCSTLKVVPYRGGTKDSFKKFIKDQLKLQVPAGH >OIW21749 pep supercontig:LupAngTanjil_v1.0:Scaffold_184_2:4833:7201:1 gene:TanjilG_09171 transcript:OIW21749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEPQEVQLCLKGQSAATLLGKLYYDKGGNSKWMGAFVQFVGFPILLPCYYISSLKSTTTNNFNWKQPSTSTSIIVLMYVTLGFIAAFECYFFSLGLWYLPVSTYAIISSSQLAFNAFFSFFLNSMKFSPYIINSLVLLTISSILLIFQTEIETEVDHSIESSKKKQVIGFICTIIASIGEGLLLSLTQFAFEKVLKRETFNVVMDVIIYQSIVATCVTFIGLFASGEWNGLQNEMEKYEMGKTSYVLNLVFIAVTWQLYSIGSVGLIFEVSSLFADALSVLAEPIVPILAVIFFHETMNGIKAISMVLAIWGFTSYIYHHYLDKTKFDIENRNTNQV >OIW21759 pep supercontig:LupAngTanjil_v1.0:Scaffold_184_35:7973:8278:-1 gene:TanjilG_09181 transcript:OIW21759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLIVESGQSFSRDDATNQIAQCLNYIIGQGGDAPPSECCNGVRALVSSVPTTPDRRDVCECLKGAVAAFPTIKDDLGNSLFKKYGVSVEFSISKDINCQT >OIW21753 pep supercontig:LupAngTanjil_v1.0:Scaffold_184_4:40879:41079:-1 gene:TanjilG_09175 transcript:OIW21753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTCKITMLLFSVYILATLVSGHSGLAESVVEDVAAIPPTPMESAGLHHGASAVFSAMVVVVAWFI >OIW21756 pep supercontig:LupAngTanjil_v1.0:Scaffold_184_4:63885:64757:1 gene:TanjilG_09178 transcript:OIW21756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANTKPNFKPVFTRQVWAYNLIPEFHLISKLISHYSFIGMDTEFPGSVFNFPNTESYNYHNLTPSDNYTLLKANVDALKIIQVGLTITDASGNLPDLGTKYRYIWQFNFRDFNLACDWYAPDSIALLQRQGIDFAYNATHGIHSAQFGHLMIYYGLLYNYNLTWVTFHGSYDFGYLVKIITRRILPTRLEEFLWFVKVMFGDNVYDVKHMMRSCQSLYGGLDRVARTLNIDRVGTSHQAGPDSFLTLRVFQKIRDTYFIDEEHKKHVSVLFGLELESGMKLQDFVNFIYM >OIW21751 pep supercontig:LupAngTanjil_v1.0:Scaffold_184_4:28615:33256:1 gene:TanjilG_09173 transcript:OIW21751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSLNPPTLRATPLTPFLTKSSSSTAKPKRISFITASTTTTTAATVSAPQREKDPKKRVVVTGMGLASVFGNNVDEYYEKLLSGESGISLIDRFDASKFPTRFGGQIRGFSAEGYIDGKNDRRLDDCLRYCIVAGKKALENADLGSDNLSKINKQRAGVLVGSGMGGLTVFSDSVKALNEKGHRKITPFFIPYAITNMGSALLGIDIGFMGPNYSISTACATSNYCFYAAANHIRRGDADLMIAGGTEAAIVPIGLGGFVACRALSQRNDDPKTASRPWDKDRDGFVMGEGSGVLVLESLEHALKRGAPIIAEYLGGAVNCDAYHMTDPRSDGLGVSSCIRSCLEDAGVSPEEVNYINAHATSTLAGDLAEINAIKKVFKDTNGIKINATKSMIGHCLGASGGLEAIATVKAITTGWLHPSINQFNPEPAVDFDTVANVKQQHEVNVAISNSFGFGGHNSVVAFSAFKP >OIW21755 pep supercontig:LupAngTanjil_v1.0:Scaffold_184_4:53747:55337:1 gene:TanjilG_09177 transcript:OIW21755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRKVREPKEETVTLGPAVRDGEHVFGVARIFASFNDTFIHVTDLSGRETLVRITGGMKVKADRDESSPYAAMLAAQDVATRCKELGITALHIKLRATGGNKTKTPGPGAQSALRALARSGMKIGRIEDVTPIPSDSTRRKSGRRGRRL >OIW21752 pep supercontig:LupAngTanjil_v1.0:Scaffold_184_4:36343:39639:1 gene:TanjilG_09174 transcript:OIW21752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPLFLRTPTFHTLKPFTLPSTFNIKSVSILNFAKMEGSEIVEQVQENLRVKKVFVAGATGSTGKRIVEQLLAKGFAVKAGVRDLDKARTTFSSDNPSLQLVKADVTEGSDKLAEAIGDDSEAVVCATGFRPGWDLLAPWKKKRLLLNDHEVTGSNPGNNLFACRAKVAYIYPPQDPLGGSLVHYTILILTACSFDITPLVNDYIMQVDNFGTVNLVEACRKRNVNRFILISSILVNGAAMGQLLNPAYIFLNVFGLTLVAKLQAEQYIRKSGINYTIIRPGGLRNDPPSGNVVLEPEDTLSRGNISRDQVAEVAVEALALPEASYKVVEIISSPDVPKRSYHDLFGSISQR >OIW21758 pep supercontig:LupAngTanjil_v1.0:Scaffold_184_4:78942:83539:-1 gene:TanjilG_09180 transcript:OIW21758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKDEEEMRGEIEERLINEEYKIWKKNTPFLYDLVITHALEWPSLTVEWLPDREEPPGKDYSVQKMILGTHTSENEPNYLMLAQVQLPLDDAENDARHYEDDRADVGGFGCANAKVQIIQQINHDGEVNRARYMPQNPFIIATKTVSAEVFVFDYSKHPSKPPLDGACNPDLRLKGHNTEGYGLSWSNFKPGHLLSGSDDAQICLWDINSNGKNKTLEATQIFKVHEGVVEDVAWHLRHEYLFGSVGDDQYLLIWDLRSPTASKPVQSVVAHQSEVNCLAFNPFNEWIIATGSTDKTVKLFDLRKISAPLHTFDCHKEEVFQVGWNPKNETILASCCLGRRLMVWDLSRIDEEQPPEDAEDGPPELLFIHGGHTSKISDFSWNPCEDWVVASVAEDNILQIWQMAENIYHDEDDLPEESTKAS >OIW21757 pep supercontig:LupAngTanjil_v1.0:Scaffold_184_4:68488:76874:1 gene:TanjilG_09179 transcript:OIW21757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDQPMPTRWSFQDFKLNYDAKFGRKRVVESNESDDKAVSNGDSLGNVSNGNVNPVRTSDRAIYEQFQTQGQNLTQSNGLSQNPVDERPPKSLLPPFESADMRALGESLSRDIIRGSPDVKWESIKGLENAKRLLKEAVVMPIKYPKYFTGLLSPWKGILLFGPPGTGKTMLAKAVATECKTTFFNISASSVVSKWRGDSEKLVKVLFELARHHAPSTIFLDEIDAIISQRGEARSEHEASRRLKTELLVQMDGLTQTNELVFVLAATNLPWELDAAMLRRLEKRILVPLPEPDARREMFGQLLPPVPGEEQIPYDLLVERTEGYSGSDIRLLCKETAMQPLRRLMSQLELGEEVVPEEELPKIGPILPEDIETALKNTRPSAHLHAYKYEKFNDDYGSQILQ >OIW21754 pep supercontig:LupAngTanjil_v1.0:Scaffold_184_4:43417:46344:-1 gene:TanjilG_09176 transcript:OIW21754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKKHRAIEGVGASSIMELKAQLYQSQQDSRKSKELGGVDFDYQRAKKKITTKDAFSAKNSGVDARAHKDKLELKAVKDGAVSYAALEKKAELYDKLMRGELSDEEDKEKYCVDFFRKGFEKDEPSQPQGHDVSETVLVKNEDADRDASMLFNIKPIGLGRISGAVDNAEHKRNVREVHEEANRAREKASEIKNRRQEQKAAQREKVKQAYLRKKLEQLKATANGSVS >OIW21750 pep supercontig:LupAngTanjil_v1.0:Scaffold_184_4:5731:6528:1 gene:TanjilG_09172 transcript:OIW21750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLALIYVTSGLLAVLECYFFSLGLSYLPISTYAIISSSQLAFTAFFSFFLNSMKFSPYIINSLVLLTFSSTLLMFQTETESEHSIEGSEKNQVIGFICTILASAGEGLLFSFTQLAFEKVLKRESFNVVMNVIIYQSVVATCVAFVGLFASGEWKGLRNEMDEYEMGKTSYVLNLVLIAIIWQLYSIGSVGLIFEVSSLFANALGALSDPIVPILGVILFHETMDGIKAISMVLALWGFISYIYHHYESKTNSNAENIDTNQENE >OIW21762 pep supercontig:LupAngTanjil_v1.0:Scaffold_184_41:104515:123424:-1 gene:TanjilG_09184 transcript:OIW21762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKMLIDSEIEEGTNFDFDLFVIGAGSGGVRAARFSASFGAKVGVCELPFHPISSETIGGVGGTCVIRGCVPKKILVYGSSFGSDIEDARNYGWELSEKVDFNWKKLLEKKTNEINRLNGIYKRMLSNAGVKLFEGEGKIVGPNEVEVTQLDGTKLSYSAKHILIATGSRAQVPNIPGQELGITSDEALSLEEFPKRAVILGGGYIAVEFASIWQGMGSTVSLVFRKELPLRGFDDEMRAVVARNLEARGVILHPRTNLTQLIKTDDGIKVITDHGEELVADVVLFATAMARKMLIDSEIEEGTNFDFDLFVIGAGSGGVRAARFSASFGAKVGVCELPFHPISSETIGGVGGTCVIRGCVPKKILVYGSSFGSDIEDARNYGWELSEKVDFNWKKLLEKKTNEINRLNGIYKRMLSNAGVKLFEGEGKIVGPNEVEVTQLDGTKLSYSAKHILIATGSRAQVPNIPGQELGITSDEALSLEEFPKRAVILGGGYIAVEFASIWQGMGSTVSLVFRKELPLRGFDDEMRAVVARNLEARGVILHPRTNLTQLIKTDDGIKVITDHGEELVADVVLFATGRAPNTKRLNLEAVGVELDNTGAIKVDEYSRTNIPSIWAVGDVTNRFNLTPVALMEGTCFAKTVFGSQPSKPDYSNIPYAVFSIPPLSVVGLSEEQAIEQANGDLLVFTSSFNPMRNTISGRQEKTVMKLVVDAETDKVLGASMCGPDAPEIMQAVYIRPPHASLGENIMHWAAHLIVVRSRHDNAQ >OIW21761 pep supercontig:LupAngTanjil_v1.0:Scaffold_184_41:71576:71881:-1 gene:TanjilG_09183 transcript:OIW21761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMIVEPGQTFSCNDATNQIAPCLNYIIGQGGDAPPTECCNGVRALVSSVPTTPDRRAACECLKGAAVGFPAIKDDLANSLFKKCGVSVEFSISKDINCQT >OIW21760 pep supercontig:LupAngTanjil_v1.0:Scaffold_184_41:64781:65837:-1 gene:TanjilG_09182 transcript:OIW21760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMIVEPGQTFSCNDATNQIAPCLNYIIGQGGDAPPTECCNGVRALVSSVPTTPDRRAACEWLKGAAAGFPAIKDDLTNSLFKKCGVSVEFSISKDINCQTIP >OIW20344 pep supercontig:LupAngTanjil_v1.0:Scaffold_18_101:8078:8525:-1 gene:TanjilG_08889 transcript:OIW20344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLNIIHIGSRIGQVGVDFGTCLNGMVQQFYKLLPMSYKQEEDDVIGSVFIGGDMGSERIGIGILSMRDYLRSLSARLKNHGFFEDDNVVVAETVDEEGGGFNFGSNGILGTRQQV >OIW20345 pep supercontig:LupAngTanjil_v1.0:Scaffold_18_108:7286:10208:-1 gene:TanjilG_08890 transcript:OIW20345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSDLHSFQPSNVYAEPWWRGIGYNPIAQTISGANATNSSSLDCPNGDSESNEGQSLSNSSLNEEDDAAAKDSLLAAPNQTENYGQEQGMQHTSASAPSVLEDAHTQTPQLELVGHSIACATNPYQDAYYGGMMTAYGHQQLGYAPFMGIPHARMPLPLEMAQEPVYVNAKQYQGILRRRQARAKAELERKLIKARKPYLHESRHQHAMRRARGSGGRFAKKSDAEASGKEKDMGSGPVLSSHSISSSGSEPLPSDSAETWNSPNMLQQDARGSKAQSRLETPNYESGSGSYHNHNGMQSSTYHLPPGERAEEGNCSGQQRGIISSEHASQRRLAIQ >OIW20347 pep supercontig:LupAngTanjil_v1.0:Scaffold_18_128:30863:31558:-1 gene:TanjilG_08892 transcript:OIW20347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETKDTHIVEIPVDQNHRRHLCSSPSSMFEAIEDHPLTEISESPGYLLLLKLWQREENLFAQHIASKETRLYSIKSELFHLCSFFFIFHGFFLTLLFTSWANTNQNNNNNNSVCKKWWVPSMVSLYTSLVFVVLAQVKVDRYWRVWAQLHREKSDSRTVGRCIQELRMKGANFDLSKEPLCGNKKKGMKSSSVEIKWKLVTWCSNYLLTICLFCFTALVFPASKFILCGL >OIW20346 pep supercontig:LupAngTanjil_v1.0:Scaffold_18_128:5247:10171:-1 gene:TanjilG_08891 transcript:OIW20346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKASGGGAEKKRVRRSSAPDPNSDAPPRKQAAKKDVFQVFAEKVRDNKDLVSRWAVLQETRVEYFRGKDFVNFIKNHPEVKDVLESDKNLETEEIANILLGKSLLVRCDRVVKTVRPGKKKLSTWPAHLEIFPEQVFSENDAFFAWTFVKRHPLWQTLLSFFWPVLTLAICLFPVYPHRCKLLILYSCAGVLFLILSLLLIRGAIFGVLYILLGKRVWFFPNILAEEATLGELFRFWPKKDEEERPTWTKRLFYTLVAMLVILLLRHHAPDEAARARYQKRVSNIVDDVLEWSPTLALSGMMDKQQNVANATGSADAASHASKTDPEHESPPHGDDAKSYTGQYDIKKVGDNVEDAGEENKHHD >OIW20348 pep supercontig:LupAngTanjil_v1.0:Scaffold_18_131:15913:32977:1 gene:TanjilG_08893 transcript:OIW20348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLYNAWLPPPVAAQTAAERDSFHRLVSILNSSFRSDDPDSVYSTLKYISVLDLFIKAKSDVSLEDVQSLIQTGLELFHLSRNKLYAQVRWGNLLVRLLNRYRKKVALTIQWRPLYDTLTSTHFTRSTGPEGWRIRQRHFETITSLVQCSRKFFPSGSAFEIWSEFKSLLRNPWHNSTFEGSGFARLFLPTNLDNQAFFTHDWIKECMDLWQSIPNCQFWNSQWADVIARVVKNYHNVDWEDFLPSLFARYLNMFEVPVANGSGSYPYSLDVPRYTRFLFSNKTATPSKATAKSIVYLLKPGSSAQQHFEKLVNILEQYYHPSNGGRWTYSLERFLFHVVIQFQKRLQNEQLGINNSRRAEQHLGESERVFFVNSVLKLIDRGQYSKNEHLSETVAAATSILSYVEPSLVLPFVASRFRMALETMTAIHQLKIAVMSVAFVGRSLFFTSLSASSMKPLDIGGGDDAFIDLVGLSLSNALLGMDANDPPKTMATMQLIGSIFSNLALLDDNIDDLSFMPMIRFSEWLDEFLCRLFSLLLHLEPSSVVNEGLQSSAASGTFLVDDGPYYFCVLEILLGRLSKSLYNQALKKISKFVRTNILPGAIAEVGLLCCACLHSNPEAAVSQIVEPILVSVISSLKGTPGTGFGGGTFEASASTKVKSTISPALEAAIDYQLKILSVGITYGGPALLRYKDQFKEAIFLAFDSPSWKINGAADHLLRSLLGSQIHYYPIDQYKCVLSHPDAASLEQWISTKDFSNDERLTPKWHIPCDEEVQFVNELLDIHFKSALDDLLKICQSKIHADQGDEKEHLKVTLLRIESTLQGLFSCLPDFVPGSRNEMVDDSNHMFLIAGATGCTVGSTALREKATEIVHAACKHVLEKNSDDSILLILIIRIMDALGNYGSLEYDEWSSHRHSWKLESAAIIEPPINFIVSSHSKGKKRPRWALIDKAFMHNTWRSSQATYHLYRTSGNFFPSDHVTLLMDDLLSLCLHSYETVHLLAGKALVKLIKKWPSMISKCVITLTHNLQDPNAKEYAVLGSCSVLSSQAVLKHLTTVIFKDHVLKIVFMDPKSFSSFILAILSSSHHESLKAQKAINELFVKYNIQFSGVSRSFFQISDKDNIGGLAFSDLVSQIGSLSFDSTGLHWRYNLMANRVLLLLALASRNHPNSSSKILSETAGHFLKNLKSQLPQTRILAISALNTLLKESPYKLSPIEKSAVLEDLQGNFKSSLEGALTRAFQEEGFFNETLTSLSHVHIITDTETATRGNQGDSSFQSLADKSITRFYFEFSSSWPRTPSWVSFLGSDTFYSSFARIFKRLVQECGMPVVLALKDAVDEFTTAKERSKQCVAAEALAGVLHSDIDGLSGAWESWLMPQLKNIILAQSVESVSEWANCIRYAVTGKGKHGTRVPLLRQKILDSLMTPLPPTVATTITAKRYAFLAAALIEISPQKMPAAEMQLHNTLLKEVLGSMCHSSAQVREALGVTLSVLCSNLRLYHSSCNHNDNGRNSNVDDLMKDESWVTFLTERAAEAVVNIQIATQSDKVANPIDTSSQNGHSDGDSQDDMKWMETLLYFIISSLKSGRSSYLVDVIVELLYPVIALQETSNKDLSTLAKAAFELLKWMIVWEPHLEKVVSVILSAADDSNWRTRSATLTYLRTFMYRHTFLLSSSKKQEIWRTVEKLLVDNQVEVREHAAAVLAGLMKGGDEKLAKDFRDRAYVEANVVQKRRKSRNSSSGSAVASIHGAVLALAASVLSAPYDMPSWLPEHVTLLARFSGEPSPVKSTVTKAVAEFRRTHADTWNVQKEFFTEEQLEILADTSSSSSYFA >OIW20349 pep supercontig:LupAngTanjil_v1.0:Scaffold_18_131:33168:34650:-1 gene:TanjilG_08894 transcript:OIW20349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRIPCCEKDNVKRGQWTPEEDNKLSSYIAQNGTRNWRLIPKNAGLQRCGKSCRLRWTNYLRPDLKHGQFSDSEEQTIVKLHSVFGNRWSLIAAQLPGRTDNDVKNHWNTKLKKKLSGMGIDPVTHKPFSHLMAEIATTLAPPQAAHLAEAALGCFKDEVLHLLTKKPINFQAQHSTEVLGNDFTNYINYRPEEKEGTVEKIKLGSLKAICEETKTIPSNKPWDSTAAASASFAMQYSVLPTMPPFNYCPASFCNKGDDASPWSQSVCTGSTCTAMDQQSQLHEKLEENGDDSETTKEMRNLSNIFNSDSVLWDLPADDLINSMV >OIW20350 pep supercontig:LupAngTanjil_v1.0:Scaffold_18_131:36475:40291:-1 gene:TanjilG_08895 transcript:OIW20350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDNTTGTVWTAVAHIVTGVIGSGVLSLAWAIAQLGWIGGPLAMILFASITLFTSFLLSDTYRSPDPENGPTRSSSYLDAVNLHKGEGNGRLCGVFVNVSLYGFGIAYIITAAISMSSIQKSIIYRSIGSEPASEAGDVYNMLIFGVIQLVLSQIPNFHNIQWLSVVAAIMSLGYAFTGMGLAVVKVAENGHAEGSTKGIPTSSEMAKIWLVAQALGDIAFSYPFSVILIEIQDTLKSPPPENVTMRKASTISVFITTFFYLCCGCAGYAAFGNDTPGNLLTGFALSKPHWLVDFANVCIVIHLVGAYQVYSQPLFANFENWLRMKHPDSEFVNHIYLWQLPLLPAFELNFLRLSFRTAYVASTILIAMLFPYFNQILGVLGGIIFWPLTIYFPVEMYLSKCNIEAWTAQWIMLRTFSIICLMVGLFTLIGSIEGIVSAKIG >OIW20351 pep supercontig:LupAngTanjil_v1.0:Scaffold_18_134:7486:10264:-1 gene:TanjilG_08896 transcript:OIW20351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVGPAVLRAVSPAVEKIISSIIVESFQRGVGDKAVNQLDKSITSKLEATVARQIQGQFQTTGKQALHDALKFSFETSLFPAFEMSCKAMFEQVNATFQKGMTEHSTAVQQRFESEHTSLAMTLRDSINSASSVTQTLSREVVEGQRKLLALAAARTNSGTLNPLAMLLNSGPLLHKKVEVPLDPTQELARLTSEQKYEEAFTAALQRSDVLIVSWLCSQVDLHGLLSMVPLPLSQGVVLSLLQLLAFDISNDTSRKMAWMTDITAAINPSDPMITLHVRRIFEQVYQILNHQRSLPTMTGPDLSSNPSFVACHQLYAYDMQMIFLISRNVQICLLNF >OIW20352 pep supercontig:LupAngTanjil_v1.0:Scaffold_18_134:11120:11392:-1 gene:TanjilG_08897 transcript:OIW20352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARESCSTSGEAYLSEGLGVVDCIVRDSLAQPSNASEDDLQEAKDVHEKVSDSSTSMAVSPSFAPTTKGKKQKGKNSQASCPSSPYRTTC >OIW20353 pep supercontig:LupAngTanjil_v1.0:Scaffold_18_145:11312:12865:-1 gene:TanjilG_08898 transcript:OIW20353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDGSEEFKLVSPSISNEGRLPRHYTDEGQGAKKNISPPLEWYNLPQGTKTLALVVEDIDAPEPDGPIESWTHWVVVNISPSVKKLSEGFSGKEMGGEYAGIKEGNNDLKVSGWHGPRLASNGHKIQFKLYALDDEVHLGNKVTKEKLLDTIPGHVLGEAVLMAKY >OIW20355 pep supercontig:LupAngTanjil_v1.0:Scaffold_18_163:19375:21108:-1 gene:TanjilG_08900 transcript:OIW20355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYFGSHDHSPEVTEADFDQVTETHHEFLCSYLGSSEKAIFYSYTKHVNGFAAILEEEEAANIAKPPTIVRVFLNKGTKLQTTPSWEFMLMDDNGLIHPSSLFEKARFGEDTIIGQLNTAPYANLDVWGCKNLEALTVSESDVTTPTLNSLQFLCVRHCPNFMSFPKGGFAAPKLTLLTINYCDKLNSLPEKMHHLMPSLKELQLQGCPKIESSTMTTLKIKICNKLNEGKQNHSDPLFARLEGLASVQSPSSSYT >OIW20354 pep supercontig:LupAngTanjil_v1.0:Scaffold_18_163:16765:17789:1 gene:TanjilG_08899 transcript:OIW20354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIYQHTNSSSTQWTVVHDKAFQRAIVMLPENIPNRWEKMTHHVPGNWSPEDLKQRYEKLEHDLLMIVSGEVEFPELLNKVEPAEVHQGTLAVPDDWKKGTLWTLEEHRLFVRGLEKCGKGDWKGIATEFVVSRTPSQVASHAQKYFLRQNTTNDDRKRKSIHDITTGESDQTSVSLPRFNKKT >OIW20356 pep supercontig:LupAngTanjil_v1.0:Scaffold_18_164:8270:9613:1 gene:TanjilG_08901 transcript:OIW20356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRRNVHYTPLAVDEDDYIGDRGRTFDPRFDYTPKSLDKVPWKSIVLALFLLFLGTGLLFLSYFISTGHMGGDQSQAYGLLVLGFLSFLPGFYETRIAYYAWRGTKGYRFSAIPDY >OIW20318 pep supercontig:LupAngTanjil_v1.0:Scaffold_18_2:2478:7890:1 gene:TanjilG_08822 transcript:OIW20318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMEEEKPVSDNRMLVYVPPHPLIKHWVSVLRNEQTPSPIFRNAMAELGRLLMYEASRDWLPTVSGEIQSPMGVASVEFIDPREPVAVIPILRAGLALAEHASSILPATKTYHLGISRNEETLQPTTYLNKLPKKFAEGSKVFVVDPMLATGGTIVAAVTLLKERGLDNKQIKVISACAAPPALQKLSEQFPGLHVYTGIIDPVVNEKGFIIPGLGDAGDRSFGT >OIW20322 pep supercontig:LupAngTanjil_v1.0:Scaffold_18_48:26196:27621:-1 gene:TanjilG_08826 transcript:OIW20322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLECLSFKSMTLTPPPSSSITNLPPPPHSQGNSTEATTPATSTRSSPSANVSREYDLAVQANSCDNIRSIIQAPPQDQLHYFDVNGESDNENDSSHHRQVLAQVLQPDHESIREALHSHAPRSTLTRLVSNYFDHSESTCDLCLQLHRSVHRTRYIYTPLYDLIAVLPDDSGSLSKHHCGRAYDIFVEFDQHDNPFFFPHAPRNFANIRHSFSELKQQIEIRRSKSHSRIRLIRHATNGCVMCLIVTAVGVMVSTVFFTMQAVAGCAVITAAPFCSNRCIPHPKKSERKELVRLKQLDAAAKSTYVVNDLDTIDSLVDRLQAAVEGDKALVRFALERGRERHPIQEVLKQLRKNQQSLQHQLEDLEEHIFLFINTVNKARSSLLQEICLHQIL >OIW20321 pep supercontig:LupAngTanjil_v1.0:Scaffold_18_48:11920:20447:1 gene:TanjilG_08825 transcript:OIW20321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSQGMIHGEKKGHEVIRLERESVIPILKPRLVMTLANLIEHSTDRAEFLKLCKRVEYTIRAWYLLQFEDLMQLYSLFDPVHGAQKLEQQKLTLEEIDVLEQNFLTYLFQVMEKSNFKIVSDDEIEVALSGQYLLNLPITVDESKLDKKLLKKYFESHHHDNLPDFSDKYVIFRRGIGIDRTNDYFIMEKMDMLIARFWAYLLRLTRLEKLLSRRPKKHSKKDPKKDDDINYEADGEDLSVERIRLENMQLSFRKLLGKTLIQEPTFDRIIVIYRRAGTKSKTERGIFVKHFKNIPMADMEIVLPEKKNPGLTPMDWVKFLVSAIVGLVAVVSSLEMPSADWWVIIAVLSTVIGYCAKTYFTFQQNMAQYQNLITQSMYDKQLDSGKGTLLHLCDDVIQQEVKEVILSFFILMEQGKATRQDLDHWCEELIKEEFGEECNFDVDDAVDKLEKLGIVTRDTIGRYQCVGLKRANEIIGTTTEELVLKARQGNTTS >OIW20323 pep supercontig:LupAngTanjil_v1.0:Scaffold_18_49:4088:7144:-1 gene:TanjilG_08827 transcript:OIW20323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSLAEILGGAAGTVALVGIVIILVWYYCLSHNRSASRTSETGSSDPSQVGRHGVTKLANRDTRRFDMEELFLATNNFSDKNMIGEGKFGEVYKGLLQDGMLAAIKKRRGVATQEFVDEVRYLSSIQHRNLVILIGYCQENNLQFLVYEYVPNGSVSSQLYGASQQSQEKLDFKHRLSIAQGAAKGLAHLHSLSPRLVHKDFKTANVLVDENFIAKVADAGLHNFFGRVDIAASSSQVEADEIFLAPEVKEFRGFSEKSDVYSFAVFLLELLSGKEATESASLYSSQNLVEWVQSNQDHSITSNIIDHRLGSFTAEGIEDFIRLIVRCLDHSSERRPAMSYVVMELDRIHDNEMNLTTMMGEEGTPTVTLGSQLFKATT >OIW20324 pep supercontig:LupAngTanjil_v1.0:Scaffold_18_49:22861:23303:1 gene:TanjilG_08828 transcript:OIW20324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGEKGSDIATERFFKKVLCRDKRLPSVDDYDGEGDDNRVVQLKRRVRCESVIVVVPLSAPDWNTSIGFPSLSMSPTFESYASTTSFNLH >OIW20325 pep supercontig:LupAngTanjil_v1.0:Scaffold_18_52:4961:9157:1 gene:TanjilG_08829 transcript:OIW20325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIETCYTCKEKGHWSWDCPLKLNSLNNKSFLLSPNHYSNNNKSYSNSPNQHSLPPVNIWCRCGHGFCEVKTVLSHKNLGKKYYACPIKRGKQCAGFVKWCECDGGKINETDLQPPLYKYPECECGAGVCEKVQATRSPDLVKYYFACPVGERHGSCGYRVWEDELLKNETIVTIHQSGQRTLNDFSEDVQHNKTDNDLGEGDYLLVEHSKRMTAEEKSESDSENPSSMAVSEFAQKEDIVHFAAPSKGVGIPEFEFVDSLQDQDLSTTSMICCQENVFERHIFAGAVAAASFDGRLLFFIPTRSLKLPQPQPCLCCIFPSFNPIIVPKQGSIGNGPNVEFNQLAISNLSQHVQLSTGCHTEVTPEKSPGSVRKLMSKEKKQRQIVLFAQQQLLNDLESLDPNEHECMKEAAEATYDVLDNLGVNYKQFYVHVCDYIDLSSSIAEMDKSNENSLTLDEYNKRVKEAKARFAQVQDDCFNTETLLRESNQLRQSLIEEISHLEAMLHEKQTQLKSCELENLKIETHLGNLRKFLVEGDANLKVTTEEAKVARQLNEERQAKQIAAKTALENARLELEN >OIW20326 pep supercontig:LupAngTanjil_v1.0:Scaffold_18_54:17256:17817:-1 gene:TanjilG_08830 transcript:OIW20326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADQRRKRLNGASMVVYGFPEEHRTKRKNLGPVWNDLTLKSHVSLEWDGNQKRVVAKREQIGISWRQMRPLANFVPNGHNILADVFVVPQEIFGLDDLVDVLSYEVWNMHLLEEEKNLVLQFLLSDLERNQCVQELLSGDNFHFGNPFLKWQDLLIFSL >OIW20327 pep supercontig:LupAngTanjil_v1.0:Scaffold_18_56:639:1780:1 gene:TanjilG_08869 transcript:OIW20327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPSAQPNYNKIEFLVKNADRVELMAMLGIFGSIMSAIQISILERNELESIKWTAGAGIPFFGFAVAMFMFYSLVPVLLKTNGATMLNLSLLTSDMWSVLIRIFAYHEKV >OIW20328 pep supercontig:LupAngTanjil_v1.0:Scaffold_18_56:3545:7524:-1 gene:TanjilG_08870 transcript:OIW20328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKWYYYLLLGIIDVEANFLVVKSYQYTSLTSVMLLDCWSIPIVMLLTWIFLKTKYRFKNITGIVVCIAGLVLVVFSDVHAGDRSGGSNPRKGDLIVIAGSTLYAISNVSEEFLVKNADRVELMAMLGIFGSIMSAIQISILERNELESIKWTAGAGIPFFGFAVAMFMFYSLVPVLLKTNGATMLNLSLLTSDMWSVLIRIFAYHEKVDWMYFLAFGAVVAGLLIYSGDDKDENEEAPNVGEENPQPRKQYEEANSGNDSKLVHLLQD >OIW20329 pep supercontig:LupAngTanjil_v1.0:Scaffold_18_56:9782:10891:1 gene:TanjilG_08871 transcript:OIW20329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDINNNFPHDLEYEIQLRLQPKSLSRFQSVSKSWGNQILDPCFRHIYHMRSKKNPKIIFFKNQVRYHMAEWTCFNTKTLSLCNIFTTKGTSVCPTNTMMVTPQGIMCYVGIKNNMMESQIFLYSFISKLLLELPMDSSSGFSKAPIASFGIGYIPSIHRFKLALLFINVTPSNLPYPHFKCQVIDINNDTLGDGTRNYSNWRLMDEHITDLVDSSHSTVLVQTTLNWKSCCNAVVISFDLESEKFRRVNYPSGINDISLYSTNKLYLVNLQEKLGLLIVDSITHDASLWKLQDHGSHTWEKIYKFSLGEAFCINHFWPIYFQDEEMVIHSKDSVILYNFNKKTFKKLDINVNLNDPPVGMYYDTLCNG >OIW20332 pep supercontig:LupAngTanjil_v1.0:Scaffold_18_56:23875:30722:-1 gene:TanjilG_08874 transcript:OIW20332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKVIGQTVRDLKRGVNKKVLKVPGIEQKVLDATSNEPWGPHGTLLADIAQATRNPHEYQMIMAVIWKRINDTGKNWRHVYKALTVLEYLVANGSERVIDEIREHAYQISTLSEFQYIDSSGRDQGNNVRRKSQSLVLLVNDKERIIEVRQKAAANRDKFRNNPSDGMYRPGSYSNSAYGDRYDDDRYGNREEDRNGHGYGREREGGYRDDDRSSRDGDRYSRDYEERYGRDGYRDEDTRGRSQSVDYKNESRSRSSDRDRDRSYEDDGHSSRGSNAKPDDRSLEARLERKISEQSIGAPPSYEEAVGESRSPVHSERDVETSAGSAPRGSSPHANDNPSQTAAPTGSSPSVSNNPIEATAASSAAYGNQEIAPPDDYFDPRVPPAGNKDFEMGGVIDDSSDCSVFHVTWCDTDWSAAPAISNNVALAMSNNVAPATSSNVEMDLLGSLSDSFALALVPTTSATETPEGNTDTVSTASFAAPPAGSSNFNQSFEDPFGDSPFKAVPSAETAPSQPQAYQSFEPSQSSAHNANFGFGDSFSVVYSASGAGDTQPFSTNSQFLSQDLSSSQQETDILADILPPAPLPGMASQNISAPAGSQASPSYLASSGQMATPFSEPTGELTQQSFSPATSQSVQQGFSAPAGQFPQQAFSAAPNGQPGQVQSPFSAPNGQLGQVQSPFPAPTSQHGQQTFSSHAGQPNLHGFSLSTGQSVQPPFASQGGQLAQSSGHMYGGFNSQGGSPGAPNMSSQSQNGYNGHMNSGNFQPQAPTGQPSQSTNFPHHGGSPSHTTFPVASHSPTNQASQFNNQSFIGQQGNAAPFSSPFTHQPLAPNASQIAASMGSNSLVSQPSKDKFETKSTIWTDTLSRGLVNLNISGPKTNPLADIGIDFDSINRKEKRMEKPTIQAVTSTITMGKAMGSGSGIGRAGAGAIRPPPNPVMGSNMGMGNGMGMSMGTNNGMGMGMGGYGGMNPSMGMQMGMNNMGMGQGVQMQPPTGGYHQGSNMPNNYNPAMGPGGYSQQPYGGYR >OIW20333 pep supercontig:LupAngTanjil_v1.0:Scaffold_18_56:36810:39254:1 gene:TanjilG_08875 transcript:OIW20333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVRDALNSALDEEMSADPKVLLLGEEVGEYQGAYKISKGLLDKYGPERVLDTPITEAGFAGIGVGAAYYGLKPVVEFMTFNFSMQAIDHIINSAAKSNYMSAGQISVPIVFRGPNGAAAGVGAQHSQCYAAWYASCPGLKVLTPYSAEDARGLLKAAIRDPDPVVFLENELLYGESFPVSAEVLDSSFCLPIGKAKIEREGKDVTITAFSKMVGFALKAAEILAKEGINAEVINLRSIRPLDRSTINASVRKTNRLVTVEEGFPQHGVGAEICASVIEESFGYLDAPVERIAGADVPTPYAANLERLAFPQVEDIVRAAKRACYRSVQVAATA >OIW20330 pep supercontig:LupAngTanjil_v1.0:Scaffold_18_56:12412:16967:-1 gene:TanjilG_08872 transcript:OIW20330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHVKALREFLESRGIKQCPICFDGLSAKLVQNHGFPFCFAGGFAMSAVRLGLPDTGLISYGEMVDQGQLITQAVSIPVIVDGDNGYGNALNVKRTVKGYIKAGFAGIMIEDQVSPKACGHTQGKGVVSRQEAVMRIKAAVDARKESGSDIVIVARTDSRQAVSLDEAFIRIRAFADAGADALFIDALASKQEMKAFCEVCPQIPKMANMLEGGKTPILSALELEDIGYKFVVYPISLLGVSIKAMQDALTAIKGGSVPSPGSMPSFEEIKDIVGFNAYYEEEKLYTASTNLRIPEKDSSNDSFSGIWSRTLRIKLTGRDGIEKLDLRSPAGFLAGITNIIPGLGGINIKTLLDGVAEDVGGKVLLDVNDSIGDRIQVFVE >OIW20331 pep supercontig:LupAngTanjil_v1.0:Scaffold_18_56:17507:21187:1 gene:TanjilG_08873 transcript:OIW20331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKKETPAKALRTILDSQGIHQCPASFDALSAKLVQAAGFPLTFTSGFSISASRLGFPDTGLISYGEMLDQGRLITQAVSIPVIGDADNGYGNALNVKRTVKGYIQAGFAGIMIEDQVSPKACGHTQGRKVVSREEAVMRIKAAIDARNESASDIVIVARTDSRQALSLDEALSRVRAFADAGADVLFIDALTSKQEMTAFCNMLEGGGKTPILSPMELEDIGYKLVAYPLSLIGVSIRAMQDSLTAIKGGRIPPPGSLPSFEEIKDIVGFNAYYEEEKRYATTAIQQHSMTESSSPYSRQQRGEVDTEQKSPNPTVEVITPDVYNKYGADNSRDPFPGIWSRTLKIKITGRDGSNKLDLTIPAGFIDGITNIVPALGGVNIKDLLENATGDVEGKLLLDINDTMGDRIQVFLG >OIW20334 pep supercontig:LupAngTanjil_v1.0:Scaffold_18_57:1244:5961:1 gene:TanjilG_08876 transcript:OIW20334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSSLKKLRGLALHNHNHKNETQHNIKDKGDILPLSQLNELAQATKDLRDMRDCYDNFLSAAAATANSAYEFAESLRDTGSCLLEKTALNDHEDTGKVLLMLGKMQFKLQKLIDNYRSHIFQTITIPSESLLNELRIVEEMKRQCDEKRDVYEYMVAKYKERGKSKGGKGECFSLQQLQIARDEYDQEATLFVFRLKSLKQGQSRSLLTQAARHHAAQLCFFKKAVKHLETVEPHVKSVTEQQHIDYHFSSLEEDVDEGDDEDDDNEGYNENDDGELSFDYGHNEKEGDVSTSRNSMELDQVEHTIPRGSTAAAAKENLDRLQRNLFSFRVRAGSQSAPLFPDNKPDSSEKLRQMRPSLSRKFSSYVLPTPVDAKSPITTGSNNPLPSRTRTSLNEPTKNLWHSSPLEQKKYGKTFGDDLAGSMVRNSQSVLKDSNNNNTTSTRLPPPLVDGLLSSNRDDISAYSKKIKRHAFSGPLTSKSNPWPNKHVSVESMELFSGPLLPTPIPQPPSSSPKVSPSASPTLMSSSPKISELHELPRPPTNYPSNSRLLGLVGHSGPLVSRGQKVSAVNNLLASSNTASPLPTPSQAMARSFSIPSSGARVAALHGSRGLEPSHRSSISEDIASPPLTPIAPLPTTSQSSSDG >OIW20335 pep supercontig:LupAngTanjil_v1.0:Scaffold_18_58:621:3653:1 gene:TanjilG_08877 transcript:OIW20335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLKSSDRNKVQTPSPSPLPSSAARLWRPAAQRILRNQWPKLVSYRDKWTSISSSATSDATAIVNSHLSQRYMPNINLGVLSDMPHIRTRASFKLFQQQELHRTKLLLSYKKMVGIVSDMISASRSMRCYFKGAKNSPLLQFSCNSEDESDSGDGGGIPVFTFLSISAHEKFAEELVQMFSLELFLKRLLVLEFLSIGYDTSLVNQLHWSTQLYAGEFDDLRDCNLYCHETCRPVPPRLRDGKSDIADLRFDSQPNPEVLQVLCIMPYVHMCPLFHCEVSILEELIDII >OIW20336 pep supercontig:LupAngTanjil_v1.0:Scaffold_18_59:11879:23088:1 gene:TanjilG_08878 transcript:OIW20336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNQQHTMVSMTEKSSDVKIAVNVANENLTETKPMQRRTSARIQAVKEKTSRQKEEAVAEGDEGKTSGSAAAEKPKRRYNKRSRKEENVAVGEGNNTVLELKNETVVDGDVISEQQKKTKGNAKCEAMMKIKDERENKMDFENADNVIKPEKTDSLKVKETIRLFTKHYLHFVQEEEKRCAKAEADRKAAKAAKKASKSNVGILMIQNNEILNPEKKVGTISGIEVGHQFYSRAEMVVVGFHSHWLNGIDFMGQSYAKVHKNYEYPVAVAIVLSGMYEDDLDNAEDVVYTGQGGHNLIGDKRQIRDQKLERGNLALKNCVEQCVPVRVVRGHESSSSYTGKIYTYDGLYKVVNYWAEKGISGFIVYKFRLRRLEGQPLLTTNQVYFTCGRVPQSVAEIRGLVCEDITEGQEDMPIPATNLVDDPPVPPTGFTYSKSIKVAKNVKLPMDATGCKCKDKCVDPTTCECALRNGSDFPYVSRDGGRLIEAKDVVFECGPNCGCGPGCVNRTSQRGLRYRLEVFRTAKKGWAVRSWDFIPSGAPVCEYTGILSRAEDMETVLENNYIFEIDCLQTIKGIGGREKRSTNAAFLENLLDKSDEKGSENAPEYCLDAGSTGNIARFINHCCEPNLFVQCVLSTHHDLKFARVMLFAADNIPPMQELTYDYGYALDSVLGSDGKIKQMPCYCGATGCRKRLF >OIW20337 pep supercontig:LupAngTanjil_v1.0:Scaffold_18_64:13029:15443:-1 gene:TanjilG_08879 transcript:OIW20337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSFKARLDKAFGSLPIPSSSLNSLWSLTNDQINHPKPNPEPEPKPDPEPEPFSDPRSRSSSSSGLRVELEKDIEDLDDVDDDDDKAPRGGESKPDDYDEEQWEIKSGIGLDCTLDYEDEEDHFDKQAIGKENSGSRLYMKDINDDDIGITSRNVLLTTYTDFGRDPRANHLAARIRLKQDDEAASKKIDSLHVSEKSEPGIGSGDAVNPKSILKRKDNPSEPKSRKRVRFDSECDDRGNDDEPERTRDVPEPKSSSMEEDLASNQTSKSQAFASAVPDYIRNPSRYTHYTFDSSGDMDDKSNKEACMSFLSQLRESKAAAGTGSQADDALDDLPSVTFISKKKSGDATMGESEMLLKQKLDVGKELTHRGPFTLGIAVGDTENSDVCAMEEDEAEVVEDTKKSSQKSNRQYRKKTQDELEEPVV >OIW20339 pep supercontig:LupAngTanjil_v1.0:Scaffold_18_65:13765:24002:-1 gene:TanjilG_08881 transcript:OIW20339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFGGSSLASAERMREVASLILSFPEERPIIVLSAMGKTTNLLLLVLFLSFFSFRSMTADDLGVERSVIGKHLEELEQLLKGISMMKELTPRTQDYLVSFGECMSTRIFAAYLNKLGVKARQYDAFEIGFITTDDFTNADILEATYPAVSKRLHDDWVSDPAIPIVTGFLGKARKSCAVTTLGRGGSDLTATTIGKALGLPEIQVCIKNIVVHIKVWKDVDGVLTSDPNICPQAEPVPFLTFDEAAELAYFGAQVLHPLSMRPARESDIPVRVKNSYNPKAPGTLISRERDMSKAVLTSIVLKRNVTMLDIVSTRMLGQYGFLAKVFSTFEDLGISVDVVATSEVSISLTLDPSKLWSRELIQQELDFVVEELEKIAKVKLLQNRSIISLIGNVQRSSLILEKVNISLVVNDSEAEQCVRALHSAFFETEFSEFEKEYISGNGSVAALS >OIW20338 pep supercontig:LupAngTanjil_v1.0:Scaffold_18_65:7770:9516:-1 gene:TanjilG_08880 transcript:OIW20338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACTIDFRCLDEGFGGKTYKRKREEAQSQNDAAIAAANNDETVSMETDDTLPPPAKRSAIASSENPDKPVFGSPTYDGVIAGRISGRKWKQPRKQRASAVHVFHKKTTFEERDREKQIKKAYKERITELKEEIRLNKVEKRKKREEREKKKQENVLKSGTRFQKITNPNTLKKIAKSKQRKQLKVVPDDFLKKFAYRIRHANLCDLVVCLCSDDRVKGSNLGNTRDSIIHSNPGSPGYPGGGGIFRDSSGSCLVDTLPPPAKRSAIASSENPDKPVFGSPTYDGVIAGRISGRKWKQPRKQRASAVHVFHKKTTFEERDREKQIKKAYKERITELKEEIRLNKVEKRKKREEREKKKQENVLKSGTRFQKITNPNTLKKIAKSKQRKQLKVVPDDFLKK >OIW20340 pep supercontig:LupAngTanjil_v1.0:Scaffold_18_68:2989:17585:1 gene:TanjilG_08882 transcript:OIW20340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFLLACAEQLPHKIPLYGTLIGLINLENEDFVKKLVANTQTKFQDALDTGNYNVIRILMRLMTVLMCSKVLQPSSLVVVFEMLLSSAATTVDEEKGNPLWQPCADFFITCILSCLPWGGAELVEQVPEEIERVMVGVEAYLSIRRHISDDGLSFFENDDEIERGPGDKDFLEDLWDKVQALSSNGWKVESVPRPHLSFEAQLVAGKSHELGPISCPSLPNPPSVPSGIANGKQKHEAELKYPQRIHRLNIFPPNKTEDLQPIDRFVVEEYLLDVLLFFNGSRKECASFMVGLPVPFRYEYLMAETIFSQLLMLPQPPFKPIYYTLVIMDLCKALPGAFPAIVAGAVRALFEKIADLDMECRTRLVLWFSHHLSNFQFIWPWEEWAFVLDLPKWAPQRVFVQEVLEREVRLSYWDKVKQSIENAPGLEELLPPKGGPNLNFGGEDGKENNEHVLSGELNNMVKGKTPVREIISWIDESVFPNNGLEVTLRVVVQTLLNIGSKSFTHLITVLERYGQVIAKVCPDQDKQVMLIAEVSSFWKSNTQMTAIAIDRMMGYRLVSNLAILRWVFSSENIEQFHTSDRPWEILRNAVSKTYNRISDLRRELLSLKKNISSAEEAAKEAKSELDAAELKLTLVDGEPVLGESQFRLNRMKSRAEKAKDEVVSLQESLEAKEALIARAIDENEALFVLLYKSFSNVLTERLPQGSEARTLRELKAAQVDIMAVDTEEQPSSMELDDENQRPQNSQTNGGKAKSAYSVGEKEQWCITTLGYVKAFSRQYAAEIWPHIEKLDAEVFTEDAPPLFRSVVYSGLRRSINDA >OIW20341 pep supercontig:LupAngTanjil_v1.0:Scaffold_18_69:19538:22023:1 gene:TanjilG_08883 transcript:OIW20341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNKYVDKTSVSSWNSIIADLARSGDSIEALRAFSSMRKLNLFPNRSTFPCTIKSCSALFDLRIGMQAHQQAFIFGFEYDVFVSSALVDMYSKCGNLSDARALFDEIPHRNVVSWTSMIAGYVQNGAGYEAICLFKDLLSEERRNAWFEDDNFVDSVMVGSVLSACSRVCWKSVTEGVHGFVIKRGFERFLGVGNTLMDAYAKCGKMDVSRKVFDGMDEKDAFSWNSMISEYAQNGLSAEAFHVFSDMMKSGKVTCNAVTLSAMLLACANSGALQVGKCIHDQVIKMALEDNVVVGTSIIDMYCKCGRIEIARKAFDRMREKNVKSWTALVAGYGMHGRAKDAMEVFYNMVRSGVRPNYITFVSVLASCSHAGLLKEGWHWFNRMKCEFNVEPGIEHYSCMVDLLGRAGYLNEAYELIKEMKVSPDFIIWGSLLAACRIHKNVELGEISARKLFELDPSNCGYYVLLSNIYADAGRWDDVERMRVSMKSHGLLKTPAFSIVELKGLTPEACNFIWPLFVMVNLYLEHDV >OIW20342 pep supercontig:LupAngTanjil_v1.0:Scaffold_18_69:22441:23130:-1 gene:TanjilG_08884 transcript:OIW20342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAILEGSNSPNQGHDHCKWREDVIQMLEEFGDSYRVLAIAYNQLKSKSNFHSGSLSASIASKTIHTSCNKRATFNLEDTKLKKGCNRNEKSQEYHDLLLADTCNMKLKLEPECRDIQMEDRMTDFTSIENGPMKIRGLELNRRIEDPSMINFKSDNMWSSLKYQLTKLTEDNLHQLVELVRRNDEKRDTIRRLQLEVEALKHENKALQVSLRYSNADSECDQPRVSTA >OIW20343 pep supercontig:LupAngTanjil_v1.0:Scaffold_18_70:31929:34883:1 gene:TanjilG_08885 transcript:OIW20343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSDSSNRRNSIIPNFLYSSSSKTLPIHNNLTAAADAGVPRSRGPMIPAPSEPGKIELYSPAFYAACTAGGILSCGLTHMTVTPLDLVKCNMQIDPAKYKSISSGFGVLLKEQGVKGFFRGWVPTLLGYSAQGACKFGFYEFFKKYYSDIAGPEYAAKYKTLIYLAGSASAEVIADVALCPLEAVKVRVQTQPGFARGLSDGLPKFVKSEGKLGLYKGLVPLWGRQIPYTMMKFASFETIVELIYKHAIPKPKSECSKGLQLGVSFAGGYVAGVLCAIVSHPADNLVSFLNNAKGATVGDAVKKIGLLGLFTRGLPLRIVMIGTLTGAQWGIYDAFKVSVGLPTTGGPAPAAAVADAKA >OIW20320 pep supercontig:LupAngTanjil_v1.0:Scaffold_18_9:68920:73999:1 gene:TanjilG_08824 transcript:OIW20320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIKIFMFSFIVTSILFYLLFISTKLTTPISTFNPAINYFNISSKTTKAYPVTFAYLISASTGDSVKLKRLLRVLYHPGNYYLIHMDYGAPEEEHRDVAEYVANDPVFGKVGNVWIVGKPNLVTYRGPTMLATTLHAMSMLLRICHWDWFINLSASDYPLVTQDDLIQAFSEIPRDINFIQHSSRLGWKLNKRGKPMIIDPGLYSLNKSDIWWIIKQRSLPTSFKLYTGSAWTILSRSFADYCIKGWENLPRTLLLYYTNFVSSPEGYFQTVICNSEDYKNTTANHDLHYITWDNPPKQHPRSLGLKDYRKMVLSSRPFARKFKKNDHVLDKIDSELLKRYHGQFSFGGWCSKGGKNSACSSLRNENYGVLKPGPGSRRLKNLLTKIFSDRFFYKQQCR >OIW20319 pep supercontig:LupAngTanjil_v1.0:Scaffold_18_9:27646:28927:-1 gene:TanjilG_08823 transcript:OIW20319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGILFIYIGIAVSLAAGVVALVSALIQSLYGCWASPRFEYATRILQVSIASPPNKIKSMVFSSICIGVLFCCFLVSGIGGARALENKTNLAAFFIFLILLSLGWTMQFLKNAMLVTVSRLKYMQFSGGADIETRVAFRDTIKYLSGSVSIGSILVPVIGLFRGFARSMSSIGGDTHEFMFSCVSCYMKVASFLVTRGNRWAFVHVAVYNKGVVQASSDTWEMFIRTGMEQLIDLDLTAAFCFLSGVATGSICSLVGGIWTIVVHKNYAIEASIYAFLIGYFMCRLAMAWPQACVTAYYVAYSENPQSTQFDATIPVRLEQLQRSQALQIV >OIW20360 pep supercontig:LupAngTanjil_v1.0:Scaffold_19_3:49016:50716:-1 gene:TanjilG_09520 transcript:OIW20360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCASSKYKLRQIHAFSIRHGVPLNNPDMGKHLIYTIVSLSAPMSYAHHVFAVLHNPNVFTWNTMIKGYAETENPIPAFHLYRYMVMSGVEPDTHTYPFLLKAVAKSLNVREGETIHSVTIRNGFESLLFVQNSLLHTYATFGHTESAHQMFESMKERDLVAWNSVINGFALNGRPNEALTLFRKMSVEGVEPDGFTMVSLLSACAELGALELGCRVHVYSFKVDVQLVRRSMLNDGVKKTPGYSFVELGNRVYEFTIGDRSHPQSQDVYALLEKITELLKLEGYVPHTANVLADIEEEEKEQALSYHSEKVAIAFMLLNTAPGTPIRVMKNLRVCADCHMAIKLISKVYDREIVIRDRSRFHHFRGGSCSCKDYW >OIW20358 pep supercontig:LupAngTanjil_v1.0:Scaffold_19_3:31568:34093:-1 gene:TanjilG_09518 transcript:OIW20358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSGSDVHWWGAVSSGFDNLTNGFIVSDQKLNQTYANSSFVVRLMENVTWNMINVLSVWDLPTASDFGHVEFANVTTLAKSPAPSPAPSPEGDGKKENHLGRVHTEPTMFENCKVLSKKFRVRWSLNLKEDSIEIGLEAATGFMNYMAFGWANPKSTGSKLMLGADVAVTGFKEDWMPFVEDFFISKYSECVKNSDGSAQGVCPDSVYQGSDRVGLLNDTWLIYGHRKDGVSFVRYRRPLNKVDGKYDHPVDHLANMTIIWALGKIRPPDTIQSYYLPQNHGGLPFESFGHLVLNVSDHVNDCVGPLDAEDKEDQDVIIADAKVPLVVTSGPALHYPNPPNPAKVLYINKKVAPVLRVERGVPVTFSIQAGHDVALYVTSDPIGGNATLRNLTETIYAGGPEFEGVKASPTELIWAPDRNTPDNIYYHSLYEQKMGWRVEVVEGGLSDMYNNSVVLDDQQVTFFWTLSKDSISIAARGEKKSGYLAIGFGSGMVNSYAYVGWVDDNGVGRVNTYWIDGKDASSIHLTNENLTYVRCKTENGIITLELTRPLNPSCSGENRPECKNIIDPTTPLKVVWAMGSRWTSDHLSERNMHSFKSSRPILVLLMRGSAEAEQDLLPVLVVHGFMMFLAWGVLLPGGILAARYLKHLKGDGWYKIHVYLQYSGLSIVLLALLFAVAELRGFYVSSAHVKFGIAAIFLACIQPVNASIRPKKSENGEQTSFKRVVWEYFHIMVGRSAIVVGIAALFTGMKHLGERYGAEDVHGLNWALVIWFLLIALAVIYLEYRERQQISRRIFGRSNWVLGNLEEDDSVDLLRPTRTPADKEPQSSAVMEVQLEPLNR >OIW20357 pep supercontig:LupAngTanjil_v1.0:Scaffold_19_3:6678:26672:1 gene:TanjilG_09517 transcript:OIW20357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFKPLDWYCQPVARGVWTTAVENAFGAYTPCAVDSLVISVSHLILLGLCIYRIWLITKDFTVKRFCLRSKLYNYILGLFAAYCVAESLFRLIRGMSILNLDGQTHLAPFEIVSLIVEALTWCSMLVLIGVETRVYIHEFRWFIRFAVIYSIVGDVVLFNLIISVKEFYSRSVLYLYISEVVCQALFGILLLVYIPTLDPYPGYTPIRSELVTDAAYDELPGGEMICPERHANILSKIFFSWMNPIMRLGYEKPLTEKDIWKLDTWDRTDTLNNNFQRCWAEESQKSKPWLLRALNASLGGRFWFGGFFKIGNDISQFLGPLILNQLLQSMQNGDPAWIGYIYAFSIFVGVVFGVLCEGQYFQNVMRVGFRLRSTLVAAVFRKSLRLTHEARKQFATGKITNLMTTDSEALQQICQSLHTLWSAPFRIIVALVLLYQQLGVASLLGALMLVLMFPLQTFTISRMQKFSKEGLQRTDKRIGLMNEILAAMDTVKCYAWESSFQSKVQNVRNDELSWFRKASLLGALNGFILNSVPVVVTVISFGVFTLLGGDLTPARAFTSLSLFAVLRFPLFMLPNIITQVVNANVSLKRLEELLLAEERVLLPNPPLEPGLPAISIKNGYFSWDAKAESTTLSNINLDIPVGSLVAVVGSTGEGKTSLVSAMLGELPPIADSTAVMRGTVAYVPQVSWIFNATVRDNVLFGSAFDPARYGRSINVTELKHDLELLPGGDLTEIGERGVNISGGQKQRVSMARAVYSNSDVYIFDDPLSALDAHVARQVFDKCIKGELRGKTRVLVTNQLHFLSQVDRIILVHEGMVKEEGTFEELSNQGPLFQKLMENAGKMEEYQEENVDIETPDQKSSSKPVANGALADHAKSGSKPKEGKSVLIKQEERETGVVGLNVLRRYKNALGGFWVVFVLFACYVLTEVLRVCSSTWLSYWTDQGTSVGYNPSFYNLIYAALAFGQVMVTLSNSFWLILSSLRAARKLHEAMLHSILRAPMVFFHTNPLGRIINRFAKDQGDIDRSVAPFVNMFLGQMFQLFSTFVLIGIVSTMSLWAIMPLLVLFYGAYLYYQSTAREVKRLDSVSRSPVYAQFGEALNGLSSIRAYKAYDRMAYINGKSVDNNIRFTLVNMGGNRWLGIRLETLGGIMIWFTATFAVLQNGRAENQQEFASTMGLLLSYALNITTLLTSVLRLASLAENSLNAVERIGTYTDLPSEAPSIIDDSRPPPGWPSSGSIRFEDVVLRYRPELPPVLHGLTFTIFPTDKVGIVGRTGAGKSSMLNALFRIVELERGRILIDDCDIAKFGLADLRKVLGIIPQSPVLFSGTVRFNLDPFNEHNDADLWEALERAHLKDVIRRNSLGLDAEVSEAGENFSVGQRQLLSLSRALLRRSKILVLDEATAAVDVRTDALIQKTIREEFKSCTMLIIAHRLNTIIDSDRIILLDGGKVLEYDTPEELLSNEDSAFSKMVRSTGAANAQYLRGLALSGDKSGREENKNVDGHRKWMASSRWAAAAQFALAASLTSSQNDLQRLEIEDEHSILKKTKDAVITLQRVLERKHDKEIEESLERHQISPDGWWSSLYKMIEGLAMLSRLTRNKLPQSDYSLEDSSIDFDQADM >OIW20361 pep supercontig:LupAngTanjil_v1.0:Scaffold_19_3:65807:70024:-1 gene:TanjilG_09521 transcript:OIW20361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPTSSDVVLKNRFLGYLIWQSIPTTLIFFLFSLLTSSFHKTLFFPLLTSFLSFHLSNLIFSSALSLISSPYPHRPASPFDLAAPFLCFIFLPSGAPPSPEFRLRAKASLCFVVFVAGSGLSAAISMCALIGIGGFEDGWVWVIGRVGLRGFFVGLMFGLHYVFMRRWILDFPIIQQRPPYFNFKMGIPSASRRAFQLSAVAFLFSAILLVILPHPLGGSTATRGFFTEQIFFSIGSFAVFLCWEVTHHLHLVLHTKRSVLAPPKGSAAAETNPSEHLLSALEESNPTSLLRYLAYLDLCMVSENNVDTWRRAAFFEESGETYKRVIAVCLRPLEHLASTLGEGLGNSVDKPAQLSNQLSSPTDAQLDSKYLEPLYNFQLYAWCSRTVASLTACSRKEDKFGVAQLSGSNAAVISTLLSCLLAVENFMGKKTNLQSPNQLLGPAGIRWATVNSGRVDVAASKRRNGPMNSKAYAIADVLKTSIYQVVSAFHDEMLAGAKASLLDKDWITSDKPLFGTREMLIQKLRMFLDFRAT >OIW20359 pep supercontig:LupAngTanjil_v1.0:Scaffold_19_3:46464:47826:1 gene:TanjilG_09519 transcript:OIW20359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHHVRAHSSPLLSTSSSDTGQPQDDSGVEGLATNIKLLLKLIQEHNGCSTKDNDERKCNRVNGMMFILDETRSRVQKIQSSTKRKAELRRCNTDVRSNIPTPKDKKLHPDVIVDEKEKLRRELNASLVARQSLQAMCSSLGKEKQIMASELARKAQELAEMEEYMGDLKARNDMLLERLHAASSELEEKKNNGVDMEGNIALQEHSKALREQLQKSIDAYRSLKRKLRDIQEGNKEMHATMDQMDLEVQAGIDRIHGFKEEIVPANEQIQDEISALEHLFESLSMKISKHTQKKT >OIW20363 pep supercontig:LupAngTanjil_v1.0:Scaffold_19_35:51574:52149:1 gene:TanjilG_09523 transcript:OIW20363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADYDTHHHHQQQQTLSKETAFQALNTIIQLHFEKTLEKKRAIDLQKKELHKLFQIFFIFLTLIFFSQSNSPRLQCRHCWIPITLLSMAHLIFYVSVAQTLRCINGFKYQRRCHKLTLGLATEKLREMKMRLSNNSEYNGVVVGDEEFEIHYQEPPESYFGKFKRNWALHFGFLILIYAFMVSSSVVLLCF >OIW20364 pep supercontig:LupAngTanjil_v1.0:Scaffold_19_35:76754:78181:-1 gene:TanjilG_09524 transcript:OIW20364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYRIESLKIKTAAGIAKLVGVIACLAGVATLALYKGPPLEFLSHHHLLNYHEIKPHGIHESSSRWIKGCFLLLLSNSFFGLWLVLQSFVINVYPSMLLFTTIQCFLSSIQSFVIAIAVEREIEQWKLAWNVRLLAGIVVTGVTYCLQTWIIEKKGPVFLAMSSPLALIMTIISSALVLGVIIRLGSILGGIALIVGLYCVLWGKSRERMPESSLDIELGSG >OIW20362 pep supercontig:LupAngTanjil_v1.0:Scaffold_19_35:12534:14316:1 gene:TanjilG_09522 transcript:OIW20362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENNLQQQVRETDTSGGWPVVSVSDGFKAEEHGGEEDGDRNSTANRWPREETMALLKIRSEMDTAFRDINPKAPLWEQVSRKLLELGYHRNAKKCKEKFENIYKYHRRTKEGRFGKSNSKNYRFFEQLEALDNNPLPSPPCSSLLDGQVGKVTEAYVVPNDVIKDAVPCSIRFPNGNMVNVDHNNSSSTNSSEGSREEKKKRLTQFFEGMMREVTENQERLQRKLMEVLEKCEKERKAREEAWKVEELARVKREREVLAQERAISAAKYEAVLVLLKKFTENAGTVVHLPETIMVTNEKENNNHMQENVNNGGSVVHKGKDKEECGNVSVGSFMQMSSSRWPKDEVEALIKLRTEMDLQCQGNGSKVPLWEEISSAMKSIGYDRSAKRCKEKWENINKYFKRMKEKNKRKPEDSKTCPYYHHLEALYCNNNKKPKKVDESGGSSSNELRPEELLLHIMGSQEERPQQLESSSEDGERENVDDQNQNGPSIAIMG >OIW20366 pep supercontig:LupAngTanjil_v1.0:Scaffold_19_42:32575:33278:-1 gene:TanjilG_09526 transcript:OIW20366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRYQRVEKPKLENPIKENEIRVTTQGRMRNYITYATTLLHERGSDEIVLKAMGRAINKTVVIAELIKRRIIGLHQNTVIGSTDITDTWEPLEEGLLPLETTRHVSMITITLSKKELDTSSTG >OIW20367 pep supercontig:LupAngTanjil_v1.0:Scaffold_19_42:36968:42674:-1 gene:TanjilG_09527 transcript:OIW20367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEKESTSIPLSQAENASPSNINDPEDPAKSPPNSPNYSTRRACCFVLQSWVSKKFMTGCVVLFPVAVTFFITWWFIEFVDGFFSPMYTRLGVDIFGLGFITSLVFVLLIGVFVSSWMGATVFSFGEWVIKKMPFVRHIYSASKQISAAVSPDQNTTAFKEVAIIRHPRVGEYAFGFITSTVTLQKTKMKKSVVFLSPRTFCILVIFFWLTPKML >OIW20365 pep supercontig:LupAngTanjil_v1.0:Scaffold_19_42:14754:16820:1 gene:TanjilG_09525 transcript:OIW20365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSEEFRSILETSGVDVWSLIDTAIVVAATDSGEELKRRRDGITERLYSAPSVPPRCQNCDGVVGGGNCSVATANGSQVKKQQRSLSPKRQPPQRKQQRRFASSPETPQSLENDNDNEENENDLDPYGGLFDDEQKKILEIKEQLEEPDQSEESLVDLLQNLADMDITFQALKETDIGRHVNQLRKHSSNDVRRLVKLLVKKWKEIVDEWVKTNPLGETATLMADGDSPPVMKTTQNGHHQSPDFAYSPNPQNGSSGSDRNEAELKPKVIPSHREAPPPKSTPPFVHNPPPAFLQNRQREPRESNFDAERLASARKRLQANYKEAENAKKQRTIQVMDIHELPKSKSKNTFFGKNKGSGGSQGKHW >OIW20368 pep supercontig:LupAngTanjil_v1.0:Scaffold_19_43:23445:25070:1 gene:TanjilG_09528 transcript:OIW20368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEGVNNSTLIMPMIKFPGMNREMEMSAMVTALTHVVAGDVPSGGDNFIIHQPRIVGAIEGGDVNVKPNIAYASSTPSLISSSNYMGGSALKRNRDDDRSFGDDFSHGGGSSPAIQTNTESSNNWNNSIRTTTTTTETVQMGNNPIYEYRATNNNMRQQEPKRKYRGVRQRPWGKWAAEIRDPFKAARVWLGTFDTAEAAARAYDEAALRFRGNKAKLNFPENVTLRQQQQQQQQQPFHSNPSPLATQWNTSNAAAATTTTSFVAIPTSTEPIVHTELLHHPLHGSSSRYFYDYYQPSDYNSAMNLYDDKNMVMVSTMTSNLQSSTSLTLASSSSSSSQTSTIPWLYSKSNQLQPWSASGHSQSSSG >OIW20369 pep supercontig:LupAngTanjil_v1.0:Scaffold_19_43:29637:36620:-1 gene:TanjilG_09529 transcript:OIW20369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGSPVTSPPLEKPSPIFYNYASKIDHGKPSMTRLLSCLNDAVTTLVQQAMQYIDQTPDLETRIELIKTLNSVSAGKIYVEIERARLVKRLAKIKEEQRLIAEAADLMQEVAVETFGAMTKTEKIAFILEQVCLCLDRQDFVRAQILSRKISTRVFDADASKEKKKPKEGDNIVEEAPADIPSLPELKRIYYELMIRYYSHNNDYLEIFRCYTAIYGIPSVKENPALWTPVLRKICLYLFLSPHDPMQSSLLNSTLEDKNLSDIPNFKLLLKQLVTMEVIQWTTLWDAYKNEFQNEKNFGKSLGITVKRLAELLCHSAQEAEKHLSDMVVSKALVAKIDRPMGIVCFQATKDSNDILNSWAANLERLLDLVEKSCHQIHKETMVHKAALKV >OIW20370 pep supercontig:LupAngTanjil_v1.0:Scaffold_19_43:43856:45869:1 gene:TanjilG_09530 transcript:OIW20370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSETKGDRKATLDAASWFFNVVTSVGIILVNKALMAKYGFSFATTLTGLHFATTTLLTTILKSLGYIQNSHIPLADIIKFVLFANFSIVGMNVSLMWNSVGFYQVCSGLF >OIW20371 pep supercontig:LupAngTanjil_v1.0:Scaffold_19_43:48391:53279:1 gene:TanjilG_09531 transcript:OIW20371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSETKGDRKATLDAASWFFNVVTSVGIILVNKALMAKYGFSFATTLTGLHFATTTLLTTILKSLGYIQNSHIPLADIIKFVLFANFSIVGMNVSLMWNSVGFYQIAKLSMIPVSCFLEVVLDKVRYSRDTKLSITLVLLGVAVCTVTDVSVNAKGFIAAAIAVWSTALQQYYVHFLQRKYSVGSFNLLGHTAPAQAASLLLVGPFMDYWLTSKRVDAYNYSLTSTLFIILSCTIAVGTNLSQFICIGRFTAVTFQVLGHMKTILVLILGFIFFGKEGLNLQVVLGMLIAIAGMIWYGNASSKPGGKERRSFSIPITKTPDYSAVPLSDVPDEKL >OIW20372 pep supercontig:LupAngTanjil_v1.0:Scaffold_19_49:4693:8277:1 gene:TanjilG_09532 transcript:OIW20372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPKHIQQSFSLFLFFFFSLFTFFPFSSPSPQQLLNFKATLPDPSILSTWLPTQNPCSFNGVTCNKNTNQLTSINLTSIPLTVNFTVISTHLLSIDHLQILTLHSTNLTGTITPFKKCSTSLTTIDLSFNSLSGSVYDLTTFSLCTTLQSLNLSNNLLEYSSLSQPSLKPWTLSLKLIDLSHNMISGSEFLPWILNHGCDGLNQLHVQGNKLTGETDFSGCSNLQYLDLSGNNFSVQIPSFGECISLQFLDISSNKYFGDISQSLSYCNKLSYLNVSSNQFSGLVPVFPSGSSLQFLYFDNNHFFGEIPVRLASNLCSTLLELNLSHNNISGTVPSEFTTCSSLQLLDISHNKFTGELVVSVFAEMSSLKKLVLSFNEFTGSLPESFSKMVGLESLDLSANNLSGSIPKGLCQDPKNSFKELYLQNNNFSGSIPSTLSNCSNLVALDLSFNYLTGTIPASLGSLSNLRDLIMWLNQLHGEIPQELMYMTMLQNLILDFNFLSGNIPSGLSNCSNLNWISLSNNRLSGEIPSWIGKLSNLAILKLSNNSFTGRIPPELGDCKSLVWLDLNTNALTGPIPPELFKQSGEITLHFISGKTYAYIKNDGRKECHGAGNLLEYAGISQVQLSRISVMKRNSCNFTRVYEGMVRPTFNRNGSMIFLDISYNMLSGSIPKEIGAMYYLTILNLGHNNISGSIPEELGKVKDLNILDLSYNRLEGEIPSTMAGLSLLTEIDFSNNYLSGSIPESGQFDTFPAAKFMNNSDLCGVPLNKCVSGIGPSASAQHQNSHRRQASLVGSVAMGLIFSLFCIFGLIIIAVETKNRRKKKEAELDAYIDGNSHSGNANSGWKLTSAREALSINLATFEKPLRKLTFADLLEATNGFHNDTLIGSGGFGDVYKAQLKDGSAVAIKKLIRISGQGDREFTAEMETIGKIKHRNLVPLLGYCKVGEERLLVYEYMKYGSLDDVLHDPKKAGIKLNWSARRRIAIGAARGLAFLHHNCSPHIIHRDMKSSNVLLDENLEARVSDFGMARLMSAMDTHLSVSTLAGTPGYVPPEYYQSFRCSTKGDVYSYGVVLLELLTGRNPTDSADFGDNNLVGWVKQHAKLKISDVFDPELMKEDPNLEIELLQHLKVACACLDDRSWRRPTMIQVMAMFKEIQAGSGMDSQSTIATEYDGLNDLEMVEMSIQEVPELSKP >OIW20373 pep supercontig:LupAngTanjil_v1.0:Scaffold_19_54:1603:7544:-1 gene:TanjilG_09533 transcript:OIW20373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTFVNQSGFEEQDQIPAQMGFLPFPPNLTLPPLKDFTAIATNSLSCVAASSENYATTTVQKPWQDLTSSFGGEGQLFSTNRSRVNSWAWREVTDCIMGKRSEGDEHHHQQHVGVSAMKMKKMKARRKVREPRFCFKTLSEVDVLDDGYKWRKYGQKVVKNTQHPRSYYRCTQDNCRVKKRVERLAEDPRMVITTYEGRHAHFPSNEREESQSPSRFTNFFW >OIW20374 pep supercontig:LupAngTanjil_v1.0:Scaffold_19_64:9063:13023:1 gene:TanjilG_09576 transcript:OIW20374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVNAEKDIGVVDDNDRRGSTTIPLKQQHFVLVHGMSGGGWCWYKIRCLMENSGYKVILVGHSAGGMSVTQACHKFANKIRVAVYLAATMLKLGFWNDDDLKIGVPDFSKFGDVFELGFGLGHHNPPTSALVKKEFQREIFSHLSPHEDCTLAAMLLRPGPMVALTSAKFRDDGEVEKVPRVFIKTKHDKVVTPEQQEAMIKRWSPCTVYELDSDHSPFFSTPFLLFGLLVKLAASDVGCTR >OIW20377 pep supercontig:LupAngTanjil_v1.0:Scaffold_19_64:44541:45675:-1 gene:TanjilG_09579 transcript:OIW20377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSCAGADRLQTGMRGAFGKPQGVCARVAIGQVLLSVRCKDNNSHNAQEALRRAKFKFPGRQKIIVSRKWGFTKFNRSDYLRYKSEGRIVADGVNAKLLGRHGSLSKRKPGQAFIDSVTA >OIW20375 pep supercontig:LupAngTanjil_v1.0:Scaffold_19_64:28062:31561:-1 gene:TanjilG_09577 transcript:OIW20375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLQFSIVALVRLSFILSHLDLIVADLNSDTQALLEFASSVPHGPRLNWNDSFSICTTWIGVTCNSNRTRVIGLHLPGIGLTGSIPENSIGKLDALRVLSLHSNGLRGKIPSNILSIHSLQVAHLQHNNFSGLIPSSVSPKLIALDISFNSFSGIIPPALQNLRRLTWLYLQNNSISGPISDFNLPRLKYLNLSYNNLNGSIPISIKTFPYTSFVGNSLLCGPPLNHCSLISPSPDYQPLSPSTTQNQEPTTHKKKFGLAPILALVIGGIAFISLLVLVIIVCCFKGKNRKGSSILKGKASSCAGKTEVSKSFGSGVQEAEKNKLFFFEGCSYSFDLEDLLKASAEVLGKGSYGTAYKAVLEEGTTVVVKRLKEVMVGKKEFEQQMEIVGRIGCHRIGLTGSIPENSIGKLDALRVLSLHSNGLRGKIPSNILSIHSLQVAHLQHNNFSGLIPSSVSPKLIALDISFNSFSGIIPPALQNLRRLTWLYLQNNSISGPISDFNLPRLKYLNLSYNNLNGSIPISIKTFPYTSFVGNSLLCGPPLNHCSLISPSPDYQPLSPSTTQNQEPTTHKKKFGLAPILALVIGGIAFISLLVLVIIVCCFKGKNRKGSSILKGKASSCAGKTEVSKSFGSGVQEAEKNKLFFFEGCSYSFDLEDLLKASAEVLGKGSYGTAYKAVLEEGTTVVVKRLKEVMVGKKEFEQQMEIVGRIGCHSNVMPLRAFYYSKDEKLLVYNYMPGGSLFFLLHGNRGAGRTPLDWDSRVKISLGAAKGIAFLHSQGGPKFTHGNIKSTNVLINQELEACISDVGLAPVMNTSPTISRANGYRAPEVTDSKKITQKSDVYSFGVLLLEMLTGKTPLMHPGHEDVVDLPRWVRSVVREEWTAEVFDEELLIGQYVEEEMVQMLQIALVCVAMVPDMRPKMDEAVRIIEEIKHHELKNRTSIESESNVQTP >OIW20376 pep supercontig:LupAngTanjil_v1.0:Scaffold_19_64:35922:41185:-1 gene:TanjilG_09578 transcript:OIW20376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLKTPFNSGGKFNADFAKKVFDKPAAAVSSAFSRYSTAIGLQIEAFFKRNYLFLFGAVGVLICGMLWKFMFWIANAFVGISEGLAKYGFLALSTAIVSFAGLYLRSRFTVNPDKVYRMAMTKLNTSAGILEVMGAPLSGTELRAYVMSGGGENHIQMSRPLFQSLLRRHNHHHHLHRFSHSRSTTLRDPFPLPPKPNPSPPSHHPFSNGTFSQFSLPNYSSAARFVPKKSGAGNTTFSRFMSLKTPFNSGGKFNADFAKKVFDKPAAAVSSAFSRYSTAIGLQIEAFFKRNYLFLFGAVGVLICGMLWKFMFWIANAFVGISEGLAKYGFLALSTAIVSFAGLYLRSRFTVNPDKVYRMAMTKLNTSAGILEVMGAPLSGTELRAYVMSGGGFTLKKFKPSIRSRRCFLIFPIRGSERKGLVNVEVKKKNGQYDIKLLAVDIPMATGPDQRLFLIGDEQEYKVGGGLISELREPVVRAMAATKEFDEIDEIEEEEDAERKRQKAETERQEAERKHREEIEKIENSGT >OIW20379 pep supercontig:LupAngTanjil_v1.0:Scaffold_19_66:15982:17288:-1 gene:TanjilG_09588 transcript:OIW20379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASWKFPSLLILFTLFSCSFSYTFTITNNCAYTIWPGTLAGSTSPRLSTTGFRLDSGQSVKLTTVPGWSGRIWGRTGCKFDTMGVGNCETGDCGGKLECDGNGAAAPTSLFEITLGAGNGQDFYDVSMVDGYNLPILALPKGVYGGACNATGCMTDINLGCPRELQVLGGNGYQVGGVVGCRSACEAFKLDKYCCSGEFANPNTCQPSYYSTIFKRACPRAYSYAFDDGTSTFTCKASEYVIVFCPRNNENQKPNPASPPPPMRRPHPKGHMVPSSSNIVLLPLPVPIMLLVVTLGFSVFVEKPWPLI >OIW20378 pep supercontig:LupAngTanjil_v1.0:Scaffold_19_66:6446:10124:-1 gene:TanjilG_09587 transcript:OIW20378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQGKKQGTTLVVPNGLPQQFQNPIHEIQTRFKQLESGFKLWLSKQSLPVEAAVVTATSAGQGAAIGAFMGTLSPDASSVFPTPPPSASLNPQAMASLKQAQALAGGPLVQARNFAVMTGVNAGITCVLRRLRGKEDVQSSMMAAFGSGVMFTLVSGAGGPNQATNALTSGLFFALVQGGLFQIGQKFSQPPTEDTRYIRTRNMLQNLGLQGYEKNFKKGLLTDNTLPLLTDSALRDVKIPPGPRLLILDHIERYRFCSIRNHVVVLHCLCMFLMLIFDFRDPELKRGE >OIW20380 pep supercontig:LupAngTanjil_v1.0:Scaffold_19_68:5620:7898:1 gene:TanjilG_09589 transcript:OIW20380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSIGNVESGNQNSEKAKSSSIFRYNSPFVQVILIGLVCFCCPGMFNALSGMGGGGQVNATASNNSLTALYTFFAIFGILGGGIYNILGPHLTLFAGCSTYVLYSGSFLYYNHHQHQTFAIIAGGILGIGAGLLWAAQGAIMTSYPPVNRKGTYISIFWSIFNLGGVIGGLIPFILNYHSGSGAATVNDGTYIGFMAFMALGAVLSLSILPASKVVRNDGTRCTNILYSNVVTEFIEILKLFYNWKMLIMVPAAWSSNFFYTYQFNHVNKTQFTLRTRGFNNVFYWGAQMLGSVGIGYVMDFSFKSRRKRGLVGICVVAVLGSSIWVGAIANQIQRNGHETLDFKDSSSHYAGPFLLYFSFGLLDAMFQSMVYWVIGALANDSEILSRYVGFYKGIQSAGAAVAWQIDNHSVSPLNQLIVNVVLTTVSYPLMLVLVLRAVKDNNGSEEEPVKQVAPSSADNDFNQ >OIW20382 pep supercontig:LupAngTanjil_v1.0:Scaffold_19_69:14452:19331:-1 gene:TanjilG_09591 transcript:OIW20382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATMFKSNSIRSLKQLTQTLAKSSTHHRNPLTQFSSVRYFRNGRDPLSRNYEIIPPMNWGIRIVPEKKAFVIERFGKYVKTLPSGIHFLIPFVDRIAYVHSLKEEAISIPDQSAITKDNVTILIDGVLYVKIVDPKLASYGVENPIYAVIQLAQTTMRSELGKITLDKTFEERDTLNEKIVEAINVAAKSWGLECLRYEIRDISPPRGVRAAMEMQAEAERKKRAQILESEGERQAHINIADGKRSSVILASEAARMDQVNRAIGEAEAILAKAKATAEGLAVVSQSLKENGGPEAASLRIAEQYIQAFSNIAKEGTTMLLPSSASNPANMMAQALTMYKSLVGNVPSDKQNYGTSTGAIAGQLEGNNSSEVKDERSTIATTNFREEEAEEFGWTLLLLQVAVALVKGLHTVFD >OIW20386 pep supercontig:LupAngTanjil_v1.0:Scaffold_19_69:55772:60736:1 gene:TanjilG_09595 transcript:OIW20386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASNLIATINLFLLFFSSSVFSSEDHHHHHKFDNIYAKEILSAAQKEKDWLVSVRREIHEHPELAFEEHNTSALLRMELDNLGIPYTYPVAKTGIVAQIGSGSRPIVVLRADMDALPLQELVEWEHKSKIDGRMHGCGHDAHTTMLLGAAKLLNQRKDKLKGTVRLIFQPAEEGARGASEVIKDGALEDAEAIFGFHIDHTTLTGAIASSPGPILAAGCVFEVKIVGVGGHAALPHKSVDPMLATSFAILAVQQLVSREVDPLHSQVVSITFVKGGTALNVIPAYVEFGGTLRSLTTDGLYHLRKRLKEVIEGQVSVHRCNAYVDFKEKYYTPYPAVVNDKDLHLHVERVGQLLLGPDNVHAAEKVMAGEDFAFYQEIIPGAYYSIGIRNEKVGSVHSPHSPFFFLDEDVLPIGAAMHTAVAEVYLNEHTV >OIW20384 pep supercontig:LupAngTanjil_v1.0:Scaffold_19_69:39513:42975:1 gene:TanjilG_09593 transcript:OIW20384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRISRIGSFAISSSIKENQQQPCITCTTFNILAPIYKRIDDEDPTCRESDYRAYWLARNHRILDWLLHERSSIICLQEFWVGNEELVNLYEKRFGDAGYASFKLERTNNRGDGLLIAVQKEYFNILNYKELHFNDCGDRVAQLLHVELAFPCSQWRNIDVRQEILIVNTHLLFPHDSTLSLVRLHQVYKILQYVESYQKDFHLKPMPIMLCGDWNGSKRGHVYKFLRSQGFESSYDTAHHYTDADADKWVSHRNHRGNICAVDFIWLLNPDKYRKLLKASWTEAVFSMFKSLLRRASLTESDAFAFLKADNEDCITYSGFCEALRQLNLFGHCYGLSVEETKDLWVQADIDGNGVLDYKEFQQQIWNSTSLDQRDDNKNEEHDNGSNDAREHTIGFSVKNAVLFPPEVEKGRWPEDYSLSDHARLTVVFSPIRMPCSQMIC >OIW20390 pep supercontig:LupAngTanjil_v1.0:Scaffold_19_69:99010:103469:1 gene:TanjilG_09599 transcript:OIW20390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTGETQITPTHVSDEEANLFAMQLASASILPMVLKSAIELDLLEIIAKAGPGVHLSPIDIASQLPTTNPDAPVMLDRILRLLASYSILTYSLRTLHDGKIERLYGLAPVAKYLVKNEDGVSLSALNLMNQDKVLMESWYYLKDAVLEGGIPFNKAYGMTAFEYHGTDPRFNKVFNKGMSDHSTITIKKILQTYTGFEGLKSLVDVGGGTGAVINMIVSKYPHLKGINFDLPHVIQDAPSYPGVEHVGGDMFVSIPKADAVFMKWICHDWSDEHCLKFLKNCYEALPKNGKVIVAECILPVAPDTSLATKGVVHIDVIMLAHNPGGKERTEKEFEALAKGAGFKGFRVFSNAFNTYIMEFLKSV >OIW20387 pep supercontig:LupAngTanjil_v1.0:Scaffold_19_69:66368:71353:1 gene:TanjilG_09596 transcript:OIW20387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGVGSSKDWSYYASNPPNLSAFATSFGVNQPNSNDASTTFVDSSEFLYGSVSQTQYPKSHNNDFILNPKRDLNSTQPSRPPSMAQLVNVDSMGLPPKDSSNELGSTQWHNFNSMGLSRNPMDGFSYGQSSNDTRPGLVKAQPHYPSHVLAPIGTPIPSAAATNYSSSAPGFAPLEGSSLGDYANKSPDLGFSGQRAGLWNQTPEFNNGKGKQIADITGLVGEERMKQGDLNSGALLLPHQLVGQGKNSVPINAGQLEDKPCQWETVKPMPFECSTASFMRSPPVTLESYLADKYVADSGSGNLLLPYTGSYDKDLRQHDKPSGVGTVSSPRTGFGMDLNTGNIIADGDLGNNKFYNIKQAYDMFNPGTTGGFDSSYLRMHLERDDHSSSNNAMISENHVSRHIVHDIFKEGLGFQNYHASVDNLSSKVSAIEDVNSVEKFYDGGDRYNPAVDSPCWNGAPAAHFSPHEASVALPPEYVHKKADCFGSVIHEPQNFLLDNNNNMKYSCGNSNRYHHPEMCIAGSPIECSETKEESEYSNSDGTVSAGSFQSESSYGCGLQYLDDDTETEEDSVASTMPKYVGESGSSHTLHQYLDDDTETEEDSVASTMPKYVGESGCSHALHQYLEENKLTIQKRHNLCFGGADAGSNVNESVEHSAPYPAGYALLNRSLALDAPKTGGADSKCNVNKCMAALYTPEDDHFKRSLTVDALNTLEESAGKVSTKKLSFPVLVDTMHNLSELLLFHCLNDACELKERDRNILKNVINNLNTCALENAKQFTSAQEYLPLKPENFRGAGESCHLQQNSSFEKPQLTEVVPDSAKVGFENLPVQEAENLHFRYEKPQWNLSDSLSSWDKAEMTKEDKTTKALMKKILSENLRDDEEADSEILLYKSLWLEAKAAALCSVSDRARYNQMKIEMENHSHKQKDMEQSNPEVVPSLSENQSSVTKSHIESNLDSFLQDMVAFDATFEKHHTTGLQFSADMNKPNALTPEGTDTEDLDSSIHDSVVSCTDEEVEDDEASVIARFRVLKARADKSCINTANPVEPSGIAAEKLSPRQKNNQSQINFYQDSSIPCKNEAADYEASVMTRFQFLKSWIEYSSSFPSERKLLDDDTSDDASEDQNFDVHVNPSEADLISYTTVDKSIPNEEFLMGSPQKVELCVTCEDDNQLLTNYSDDIASDWEHV >OIW20388 pep supercontig:LupAngTanjil_v1.0:Scaffold_19_69:74610:76997:-1 gene:TanjilG_09597 transcript:OIW20388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPGPIVEPATEPVTDQQILSSEDTTLKTKPLPQENDDAPLVEDVKDDDKDEADDDEDDDDDDDEDGAQDGTEGSKQSRSEKKSRKAMLKLGLKPVTGISRVTIKRTKNVLFFISKPDVFKSPNSETYVIFGEAKIEDLSSQLQNQAAQQFRIPDIQAPKTNPDHGAAGALPDEEEEEVDETGVAPHDIDLVMTQAGVSRSKAVKALKTHDGDIVGAIMELTT >OIW20389 pep supercontig:LupAngTanjil_v1.0:Scaffold_19_69:80718:81849:-1 gene:TanjilG_09598 transcript:OIW20389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDQSAEEELHSDSPKEKNHEEESFTPEKEECYECNELNIYSPKEVTPSSISFIACLKRKEDMKRFEETNDCFILDFDPFVSLELFKPSLNDNDDDADLSVIAEKGPVPCRDYPHSRHFCLKFPFSTTPHESCCEMCFCYVCDLAAPCKYWTQPLDPHCNADSSCYWMEQRKMQKIIGIAEEAQ >OIW20383 pep supercontig:LupAngTanjil_v1.0:Scaffold_19_69:22238:23658:-1 gene:TanjilG_09592 transcript:OIW20383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTILSSETMNIPEGVSIKVHAKVIEVEGPRGKLVRDFKHLNLDFQLITDEEGKKKLKVDAWFASRKTSAAIRTALSHVENLITGVTKGYRYKMRFVYAHFPINASISGDNRAIEIRNFLGEKKVRKVDMLDGVSVVRSEKVKDELVLDGNDIELVSRSCALINQKCHVKNKDIRKFLDGIYVSEKGTILEE >OIW20391 pep supercontig:LupAngTanjil_v1.0:Scaffold_19_69:104453:108617:-1 gene:TanjilG_09600 transcript:OIW20391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTKVLSSGIRYSTLPESYIRPESERPRLSEVSECENVPVIDLGSHNRIQVVQQIGDACKCYGFFQVINHGVPLGIAKEMGEVAYEFFKLPVEEKMKLYSDDPSRTMRLSTSFNMNKETVHNWRDYLRLHCYPLDKYVPEWPSNPHTFKEIVTKYCKEVRELGFRIQEHISESLGLEKDYMKNVLGEQGQHMAVNYYPACPEPELTYGLPGHTDPNALTILLQDQNVAGLQVLKDGKWLAVNPYPDAFVINIGDQFQALSNGIYKSVWHRAVVNVDKPRLSVASFLCPYDEALISPAKPLTENGTGAIYRGYTYAEYYKKFWSRDLVDKEHCLELFKNN >OIW20381 pep supercontig:LupAngTanjil_v1.0:Scaffold_19_69:3666:4689:-1 gene:TanjilG_09590 transcript:OIW20381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGCMSSPKDLELHEGEEAPVESPNSPKNAEGETVAQENKEGDEKEESLVEVTETKEEIKEEKVEAVVENNEEEKKEEVKVEQLKDEPLVTL >OIW20385 pep supercontig:LupAngTanjil_v1.0:Scaffold_19_69:44958:48332:1 gene:TanjilG_09594 transcript:OIW20385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVDYGQPRREEEMAVMSLNSLPLGFRFRPTDEELIDYYLRQKVNGNGEEVWVIGEIDVCKWEPWDLPDLSVIRNKDPEWFFFCPQDRKYPNGHRLNRATNHGYWKATGKDRKIKSSSTLIGMKKTLVFYTGRAPKGKRTNWVMHEYRPTLQELDGTNPGQNAYVLCRLFKKQDESLENSNSNEVEQTTSIPLVANSSPEEIQSSLDVVSGSALQVTDDKYQAVPESSEGTISNVINYSDRYNTCDGKNQTLELAAKEEQPLDLDVFFNPKNEFDGIFPPIDEHIPPYFGYQANSESDGLYELQYGTNETNVSDFFESVVNWDEVSYENSGRDKQKSNAELFQNDWQMASPPDVSMGQVFNEANDYEQPISYNNTVGSGDTGIRIATPQVQNEQPNEYISQVYNASNDYEQQINHNNMAASGDTGIKIRTRQVKNEQPNGHMGQVYNVPNDYEQPINYNNTVASADTGIKIRTRQVQNEQPNGNSAVQGTAQRRIRLLMKPAISSSCAQEWHNFKPDTEGEKTASENHAADESSTATGGVKESRKIPESIDSRKISLQVSNAVEEACDLEVTISNIGNNLFVREDKVAYIYSPQISLGGNLRHWVTPF >OIW20392 pep supercontig:LupAngTanjil_v1.0:Scaffold_19_76:4200:7623:-1 gene:TanjilG_09626 transcript:OIW20392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKQNQSTMALLPLFLILSISAEMEGAKKSYGVNSSDNNSVKLFVFGDSYVDTGNFRNSGAYKPPSGMTFPGYPAGRFGNGLVLTDYLASYLKIETPTAYALKNSSNLQNGINFAYGGTGILKTLVDGPNLNVQIDSFEQLIKQNTYSKSDVQSSIVLVNAGANDYTKFVLKDRNLFEIVKFRKSLIDELKVNIRQNSALMNPLEPCCALESVGYDCGKVDEKGQKKYTLCEKPELSFFWDNAHPSQNGWNSVFKQLEPSLSQITGK >OIW18250 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_1095:40708:45236:-1 gene:TanjilG_00354 transcript:OIW18250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTEAAVEEVVAVPAPEPEIGSKNKLERQWTFWLDNQSKTKQGAAWGSSLRKIYTFDTVQEFWCLYDQIFKPSKLVGNVDFHLFKTGVEPKWEDPECANGGKWTVVSNRKTNLDTMWLETLMALIGEQFDDAEDICGVVASVRQRQDKLSLWTKTAANEAAQVMMCLPLGLEFMIFIYLYDQIFKPSKLVGNVDFHLFKTGVEPKWEDPECANGGKWTVVSNRKTNLDTMWLETLMALIGEQFDDAEDICGVVASVRQRQDKLSLWTKTAANEAAQPLMQLAGWVFCTVIDKVLNPCLNQKDVRKVPESCASHKGQHLNQQDELTFSGALP >OIW18249 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_1095:31810:33327:1 gene:TanjilG_00353 transcript:OIW18249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTSICVGSSFYGSWGTSMVGEDYTMLAKSVPSQVRMGRGKPVRLQPMMKNVNEGKGIFAPLVVITRNIVGKKRFNQIRGKAIALHSQVITEFCKSIGADAKQRQGLIRLAKKNGEWLGFLA >OIW16577 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_137:31699:36814:-1 gene:TanjilG_00062 transcript:OIW16577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCLAITNSLATTRHCNFPAIFNFGDSNSDTGGLSAAFGQAGPPHGESYFHHPAGRYCDGRLIIDFLAKNLGVPYLNAYLDAVGSNFSHGANFATAGSTIRPQNTTLHQFGGFSPFSLDVQFNQFNDFQRRSKIFQSKGGVYETLLPKAEYFSQALYTFDIGQNDLAAGYFHNMSIDQVKAYVPDVLAQFKNIIKYIYDHGGRSFWVHNTGPVGCLPYMIELFPSKAHRMDKAGCVASYNEVAQFFNHELKAAVFELRKKLPLAAITYVDVYSLKYSLISQAKKHGFEEPLRACCGHGGKYNYNVHIGCGAKIKVHGKEVLIGKPCKDPSVWVNWDGVHYTQAANKWIFDQIVNGSFSDPPIPLNMACHKQL >OIW16576 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_137:6126:8636:-1 gene:TanjilG_00061 transcript:OIW16576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLPDELWRRILEIGIGSRGFTYKDLCCTSISCRRLHRLSGEDLLWNRLLSSDFSSANTLSSKSFLTSSSAKSIYKFRFERDKERRIAAHTRAVLRKKSEIVERSRKLTVIESQMGQEATKMKESTAELSNLRRVRQASVALNVWQPEVVRGRQKQMVEQCVVPAESRIRALEMELRLCKQQLMGLEKSYKDEKRRLDTAKEELLSMTYHPITEHKPMNKGETEHTVKRKKLKR >OIW16613 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_141:8166:13368:-1 gene:TanjilG_00063 transcript:OIW16613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVDPRQKLLSTKETSKEEEELSLVKRVWEESKLMWVVAAPGIFTRFSTFGINVISQAFVGHIGSRELAAFALVYTVLVRFVNGVLLGMASALSTLCGQAYGAKEYGMMGVYLQRSWIVLTLTAIILLPFFIFTTPILILLGQDESIAEVAGTISLWSIPIMFAFIVSFTCQTFLQSQSKNVIIAFLAAFSIIFHVCLSWLLVIKLNLGLPAAMIATSLAYWIPNIGQLIFVTCGWCHETWKGFSFLAFKELWAVVKLSLSAGAMLCLELWYSTILVLLTGNMENAEVEIDALSICLNINGWEMMISLGFMAAASVRVANELGKGSAKAAKFSIVVTVLTSLTIGFILFLFFLFFRERLAYIFTSNKEVADAVGDLSPLLSISILLNSVQPVLSGVAIGAGWQSIVAYVNIGCYYVIGIPVGLVLGKIIDMQVKGIWIGMLFGTFVQTVVLVIITYKTNWDDQVIIARNRVNRWSKVDGTDQETKINRSDD >OIW16641 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_143:17523:21640:-1 gene:TanjilG_00064 transcript:OIW16641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVLRTPTFRPQPPPRASANSLKLSSVAVVSFRQSTSFRRIPSPLISLRSHKLHSLRFVKFVPFAFDGNTEAPQVQEPEVQFSDTLMSQDSPDAAVGIEDIIDEDVVSDADETSASPFQVLLQSYKEAFANNDEAKVAELESSLKSIEDEKLDLEGKIASLSEELSTEKNRILRISADFDNFRKRTERDRLSLVTNAQGEVVESLLGVLDNFERAKTQIKVETEGEEKINNSYQSIYKQFTEILTSLGVKPVETVGRPFDPLLHEAIMRENSTEFEDGIILQEFRKGFTLGDRLLRPSMVKVSAGPGPAKPEQEATLEEQVTGEISEAGIENESNTETESA >OIW16644 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_148:41995:46341:-1 gene:TanjilG_00067 transcript:OIW16644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSAFEIGIKIRKAVVISIRGCYRSVCNHPFLVCFLWFLLLLYRHFPFLFSLLVSASPVLICTAVLLGTLLSFGQPNVPEIEKEENVTHSISSFQTGFSEGDTIVADRDESYFVKGYSEYTNDVEESGIDEASLEGERDNSGEEVRGLLSDVLVDDENLEDVQPEKPVKEEVEREFRNFELGERSREVCEENLRSGGVSSDEEAIDKQYVLVEKVNDDNENEKTPGDWVDFSASSSWKRMEKNDDDDDEEEEEELKEDSVESGSDQAESSSPDASMADIMPMLDELHPLLDLDAPRPAHMSRDGSDAASEKSQKSDDDSIESDEEDAENRGEVEEDGVDERDDEEEEEMEGGKEDESKSAIKWTEDDQRNLLDLRSLELERNQRLENLIARRRAMRLMVEKNLIDLDSADIPINVAPIAMPRRNPFDFPDESYADMGLPPIPGSAPSILQPRQNPFDIPYDPSEEKTDLKGDDFQQEITMFTQKDKDTIFRRHESFSMGPSVLGMSKHERHDINWKPVFVTESMASEGTSYTSFQRQSSEVSDSKMSSVPDTESVSSVDQDERKFSEKDLSQEAELISNNDIASNGGPEHGSQSSGENDSVEMIKVEESNVHPDEVEILLGGVENPTEKQFYPETEGVKIHEQLNAGETHSIREPTDEDYSSRSSRSSLSEVIDNILDETTKKKEILKQEDGEVGESGISTHASVEESIFEQMSGEVQEIQHVDPVYDSSPPGAGKLHSFPSVSSDSATEFYERSLPPVSVEMSDDVADKESELHDQRLEGNTSGNEETQGASSQLHMEVINELRSEKSEDINQHNVSGDVLSAVATNFLDQNVSTMAKGLPSDIESVEDVTNSGLVHNQDLADHISADSEIIPQQNVDSPDSNHQTDSEKSLLSGNETVEEGAVPNEISRFHSANMSEFVQDSDGTKHVEKVSPDKEDIYQIEHDKVVLSSSMEQDNHDTHHDLDKNMDSLTSDSHHKVDVESSSNLENHLSNSDKLVAEPSSTDHHESLVDSAQGFGTANDGAEELHDVIDKVPLSVSSVTSETSDIPEFSSTPREVDLAADRQPENETEDQNEAVERLDPSAEDMSQVTEENIKEHDDIKEIDEEFLSELDTVGDFSVGDANISLRTDIVDDKIVDTQGNSLPNDVNTAEVEQDIPVLEARSLEDINLDFKQLQEGVGDKEAGLPSSVKDQLVSEESKDHAEVNSDLQVIEGRSMEDTNTSFNQIIEGNHGELPKLLDLKDGSAKIEQNDVGSTKVIENIDAATIADDLSKISVDKPENAHVSGLS >OIW16642 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_148:12061:17477:1 gene:TanjilG_00065 transcript:OIW16642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVDPRQKLLSTKETSKEEEELSLVKRVWEESKLMWVVAAPGIFTRSSTFGINVISQAFVGHIGSRELAAFALVYTVLIRFINGVLFGMASALSTLCGQAYGAKEYGMMGVYLQRSWIVLTLTAIILLPFFIFTTPILILLGQDESIAEVAGTISLWSIPVMFAYIVSFTCQTFLQSQSKNVIIAFLAAFSIIFHVCLSWLLVIKLNLGLPAAMIATSLAYWIPNIGQLIFVTCGWCHETWKGFSFLAFKELWAVVKLSLSAGAMLCLELWYSTILVLLTGNMENAEVEIDALSICLNINGWEMMISFGFLAAASVRVANELGKGSAKAAKFSIVVTVLTSLTIGFILFLFFLFFRERLAYIFTSNKEVADAVGDLSPLLSISILLNSVQPVLSGVAIGAGWQSIVAYVNIGCYYVIGIPVGLVLGKIIDMQVKGIWIGMLFGTFVQTVVLVIITYKTNWDDQVIIARNRVNGWSKVDGTDQETKLNRSDDHFSVMI >OIW16643 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_148:33631:39161:1 gene:TanjilG_00066 transcript:OIW16643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDDTLQKQLNKLSCIKSEEVMDHMLCTLWKTRKTGLQPSDKSHFQSILHLSSLSELDPVLVCLRSLIRKCVYQNLTGDELLKLFPTDLPLDAQSILILSLQKNHPQWKKDASREQDFLPRTSVAYQIRTNVPPSFTLVPSSEISTPPWPRQDDSFARRNRNDFEISAPLVADVNLSGLPACFQPDTAPAENMENLPCLKSMAWTMENDGSSPADRLAIISLKLHDYSKSPSDETEVKFQLTRDTLEAMLKSMTYIREQLSVVGIYSEPENKKQKQ >OIW16659 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_149:10480:14413:-1 gene:TanjilG_00068 transcript:OIW16659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFKKLKEKTLSPEQQQAKIGEVKKIIGPIVDKFPSLYSDASVLRYLKAGKYSTKKAAKMLKRTIKWRFEFKPEKIRWDDISQEAATGTLYRADYLDKQGRIVLVMRPGGIQGTNSASVQIKYLVYCLENAILNLSSNQEQMVWLIDFEGWSTSSISLKFTKEIAQVLQGHYPERLGLAIFYNPPKIFESFLKMAKPFLEPSTLKKVTFAYPNNSRSCILIEELFDKDKLETYFGGKNTAGFNYEAYAQKMREDDQKMSNFIDSGCSSPSNYFNNDVNESQHSDDNDSEDEISGDEAVCSNLKEDDEIIQGEMTCSHHDSKK >OIW16665 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_150:3940:4576:-1 gene:TanjilG_00069 transcript:OIW16665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQLGEGLCKLRKMEFTDGPFHKFEKEISSVLDFIYQTQIELAACGEDMCVTMDQSERSYQLLGDYASGKRVYDLELGHDASVYIVFCPQGCSGAIEPPRVKLPLLKATTEHLIPYEY >OIW16666 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_153:49117:49587:1 gene:TanjilG_00070 transcript:OIW16666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFSGQINAGCGLSCIGKRLNEEEEYQGEEDINVNYCEACFLNHGLEPRSNQCGGMHKEPLDTYSINLGPCFSKPINLLHSEFRPDANLVGPKSKLNMHEPPISLVIREAPCAPVLIAPNGPGLLAATEASGAGVAGDQIGKDVPLGNAPLLHSDF >OIW16699 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_154:6410:7960:1 gene:TanjilG_00071 transcript:OIW16699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERATMMKTFHDNLTPKSPPQTLRDRDASFSYIQPYHHHHHTIVSDQSRSGLDDSITDELSIFDAHKYFNEVPNNDNNIHKVTTTTTTTITNNNNSRVSPLVTNNSSNMEIMESEINTDTTRYSSASSSVEGYGNMMRNYKAHSFHAATPTASSEASWNSQIGLLSHPEGAIPVSIMNTTNPTNPNNKVITSFSKPIWFLRKKCPCSGKKSVQVKEPKITQIPPPQIPQTQKDHQSLNLKIQQDPDLTLKDNIIPITPNNWVVATTKSQRFHNSQSHQVVTSSNGFTFPVLNLNPNSSNTNTNTNTSIKLHVPNGAILEKELDPVRDSLETFQPPTNNDTMKLATISQSTSPVNNNAMLNEDAESDASSDLFEIETFSTATATQSSYPSAMYRRRDSMEDASSNNGFFFCRRSMDERSTAATECYEPSEASIEWSVTTAAAEEESIGVGVVQSNRGGEKWKKKGSLVSCRSEKAVSVGPKPVMRAMDEQRGATCSSKPPLARSKNNTPSLSLPLGR >OIW16710 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_159:25103:33135:1 gene:TanjilG_00073 transcript:OIW16710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKQIITLKENVRMMLVPAKEKASRPLTVSNLIDLIQRLGLHYHFEHEIEEVLQQICNNYVENGIITLNEDLHSLSLLFRLLRQQGCHISPDIFKKFKDDQQGKFKENMTEDVEGMLSLYEASHLRIHGEDILDEVLAFTSSHLNLISTKLSPSLSAKVNNSLNKPLRKNTHRLVARHYISAYEEEPFHDETLLLFAKLDFNMLQKQHQEELGNISKWDISCMDFLPEYMKCCYKAMLDTYEEIDQEMAKEGRSFCVIYAKNEMKRVVQAYFVEAKWFKGNYTPTVEEYMSVAQVTSSYISLMSIAFIGMGSIATEKVFQWLSNGPKIVNASTILFRLMDDIVSSEFEKERGHVSSALECYMKQYGVTKQDATDEFQRQVINAWKDINEECLEPIEVPKSLLERVVNMSQATHVLYKDGDGYTHSKGFTKKNIVDLFLNPCIV >OIW16709 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_159:16990:17784:-1 gene:TanjilG_00072 transcript:OIW16709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSSLLLVLSYSVSERRCQGWPWEISLLALPLFWGMVVPEVLSIFPARDIQVFWPPPDKVDSSSIGFYCVAVLEGDVGLLGNGWYHHSPKGLPQPPTGFVVHVRHSVSFGVVTMMKVGHQIRCLSLSTIVVLVDMKAYGYGSDLKLVKFLSFYAQSWVTRFEVRL >OIW16719 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_163:69737:70755:1 gene:TanjilG_00074 transcript:OIW16719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSQGGEIQGPNTSYGSLARQGSLYNLTLDEVQNHIGNLGKPLGSMNLDEFLKTVWTAEVSQVQPSGLGSGNMQQGQNELASVSSLNPQGSLTLSMDLSKKTVDEVWRDIQQRKSSTSEDKKSQDTEPILGEMTLEDFLFKAGVGTEDSAVAMSGVEVDANVASQHSASQQGHWMQYQLPSMKKPNQHQNQENNMMTCFIAGPAVQQSFHVAMNPGYSETMMAMSPSSLMGTLSDPQAQGRKRDASGIVVEKTVERRQKRMIKNRESAARSRARKQAYTQELEIKVSRLEEENERLRRRHVCFDFSVSMHL >OIW16086 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_17:12428:17893:1 gene:TanjilG_00043 transcript:OIW16086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQVRPNAGSRGVRFGEVAAPRSHSFGLKAARLFRQNATRMRVMATGNVSQASTAASSENVLEWVKQDKRRLLHVVYRVGDLDRTIKFYTECLGMKLLRKRDIPEEKYTNAFLGYGPEDSHFVIELTYNYGVDKYDIGSGFGHFGIAVDDIAKTVELIRAKGGKITREPGPVKGGNTVIAFIEDPDGYKFELLERGPSPEPLCQVMLRVGDLNRSIAFYEKAFGMELLRTRDNPEYKYTIAMLGYGPEDKSAVLELTYNYGVTEYDKGNAYAQIAIGTDDVYKTAEVIKLAGVGGKVTREPGPIPGISTKITACLDPDGWKTVFVDNVDFLKELE >OIW16085 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_17:1994:5723:-1 gene:TanjilG_00042 transcript:OIW16085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGVLADAGTSKRAHLYEYKITNYFIFSCIVGALGGSLFGYDLGVSGGVTSMDDFLKEFFPDVYERKHAHLTETDYCKYDDQILTLFTSSLYFAALISTFGASSLTKNKGRRASIIVGSISFFMGAVLNASAVNISMLIIGRILLGFGIGFGNQAVPLYLSEMAPAKVRGAVNQLFQLTTCLGILIASLINYGTEKIHPWGWRLSLGLAVVPAIVMFLGGLFCPETPNSLVEMGRMEEARTVLEKIRGTRNVDAEYEDLVEASIEAQAIKNPFQNLLKRKNRPQFIIGAIAIPAFQQLTGNNSILFYAPVIFQTVGFGNDAALYSSVITNVALVLATLISMGFVDKFGRRAFFLEAGAEMIFCMIATAIVLALAFGGGKQLSYGVSIFLVIVIFLFVLAYGRSWGPLGWLVPSELFPLEIRSAAQSVVVCVNMIFTALVAQLFLMSLCHLKYGIFLLFGGLIFIMSCFVFFFLPETKQVPIEEIYLLFEKHWFWKKIVGDGSERSNGIV >OIW16744 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_171:11303:15776:-1 gene:TanjilG_00075 transcript:OIW16744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLYSLKATCSVFVYSLIVCLLAFRCCADANQTSILVVNATSNFSARRIPDNFFGVFLEEINHEVSGGLWAELVSNRGFEAGNATSNIYPWSIIGDNSSIYVSTDLTSCFKRNKVALRMEVLCGGTKPCPCSGVGISNPGFWGMNIEEGKKYQIVYYVKALAMADLQISFTGTGDKKLASVNVTVGNTTNWTRMVNILEANASYHNASLQITTTTKGVYWLDQVSAMPMDTYKGNGFRNDLFKMVAELKPKFLRFPGGCFVEGNTLNNAFRWKDTVGPWEERPGHLNDVWNYWTDDGFGYFEGLQLAEDLHALPIWVFNAGISLKEQVNGSDLSPYVQDALDGIEFAKGCPTSRWGSVRAAMGHPKPFDLRYVAIGNEDCWKRNYLIFHDKIKYSYPDIKIISNCDGSDKPLNQPADLFDFHIYTSSTDMFAKSTKFDKTSRSGPKAFVSEYAVWKEDAAMGSLLAAVAEAAFLIGLEKNSDIVEMVSYAPLFLNINDKRWIPDAIVFDSYQHYGTPSYWVQKVFTESSGAIFLPTTLNTNSSNSLIASAINLKGSEDNQNYIRIKVVNFGVTPENLLICVSGLNSTVRHLGSTKTVLTSSNLMDENSFLDPIKVVPHQSPLESAAEEMNVILPPYSVTSFDLLI >OIW16082 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_2:7098:7817:-1 gene:TanjilG_00039 transcript:OIW16082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELQDSETDTSTNSSFSPLPPTFTITESNLSFSEPQLPEPDHKKPKKRSRDSNTNHPVYRGVRMRAWGKWVSEIREPRKKNRIWLGTFTTPEMAARAHDVAAITIKGTSAILNFPELAGLFPRAESNSPRDVQVAAAKAAAMEVSEPQTTSYLSSSSTSCSSCCESHEEELGEIVELPHLGTSFESPDPVNNELVFFDPVDVWPYSQPWYQSIYDGGYYVGDHISMQELLRDCDALWL >OIW16087 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_23:5342:7384:-1 gene:TanjilG_00044 transcript:OIW16087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKFEKMLVALFICAIVPNILLVSANFSKSMYLTWGVQHASILGEDLNLVLDQSSGSAAQTKRSFLFGSIEMLIKLVPGNSAGTVTAYYLSSTGSQHDEIDFEFLGNITGQPYIVHTNIFTQGKGNKEQRFYLWFDPTADFHNYTIHWNPTEIVWYIDSLPIRVFRNYENQGIAYPNKQGMRVHTSLWNADDWATRGGLVKTDWSNAPFRVRFHHFRARACKWNGPLSINQCASNVHANWWTSPIYKHLSYAQKGQLNWVRKNYMIYDYCTDTKRFNGQMPSECSKPQL >OIW16751 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_275:1373:3073:1 gene:TanjilG_00077 transcript:OIW16751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNYARNFFFGSSSSTATQPQQPNTMNMNQRNHPPYLHPQPNPQTPSGASHFLSHLPNPQTPSGASHFLSHLPNPQTPSGASRFPSYLPNPQTPSGASHFPSHFQLLLAQTQYAHALAQAQIQARLQPQSQAHARLQPRSQAHVTLQPQPSNPLLQSQPHPFVANLHNNINTNVANVANTMAASGNANRVNLRPIRPKKKELSQKQMLKKVATLLPESPLYTQLLDFENQVDAELDRYKFELQEAFKRPSYVQKTLRVYVFNTFSNQNIHANRNGEEASWSLKIVGRILEDNKDIFEAGTSSQASKSPSCPKFSSFFKKITIYLDENLYSDDHVIIWDNARSPIEQDGLEVKRKGDKEFTARIKMELKNVPEKFMLSPQLSKLLGYQLETRPRIIAALWLYINSRKLEISNDPFSFICDPSLQMVFGANKMEFQEAIKKLPQHLSLPQPISLEHEIKLSGNPTSETECYDIQVFAHPPLDNDISSILAGRESQKQIEFYDDIISSYIKKVHEHQRRRAFFHSFSNSPEDFINASIASQSKDMKLVAGDASDSAENKQRSKFFNQPW >OIW16473 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_28:2718:5818:-1 gene:TanjilG_00045 transcript:OIW16473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQMIKVLFITTLLFLAVDAKHKLRRKNYKLPTNGKIQQTPYFRFLPYQDEKIPFNPEADNKIPGEKKGDFEDTIGDANIPENEGLAKPDFEIESDGHWDLINQNSGVSAMHINLLPTNKIIVFDSKIYKPSRLNLAPGMPCVPYKDDQTKEDKLDCAAHSMEYDIETNEVRPIAVAAGDPWCSCGGLAPDGTFVSAGGFGDGGKTLRYIGGGQCQGPKDQCAWREYDNLLVDVRWYASQLLLPNGNFILVGGRRSFSYEYIPKEGVASKKSFFFPFLYETSDIDENNLYPFVHLSTDGNVFIFSNNRSLLLNPTTNKVVRTFPVLRGGSRNYPASGMSALLPIDLNAAEDANNIMAEVIVCGGNVPDAFQLAETKKTFLPALDDCNRLIITDPKPKWDTELMPSKRTMGDALVLPNGQILFINGAQKGTAAWWDADEPNYTPVIYNPEKPKGKRFKVLKASEIARMYHSTSAVLPNGKIWVGGSNTHNNYRNLDRFPTETRVEAFSPPYLNKDFDKYRPTIDETTLTKKLKYGDLIELEFSVEDGAELTKNDIKVTMYSPPFTTHGVSMGQKLLILKNDGIINVSKGTFRIKVVAPPSNVVAPPGYYLLFVVHRGLPSKGAWVHIQ >OIW16474 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_28:10932:11168:1 gene:TanjilG_00046 transcript:OIW16474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHHVETILKYDGRATNTNLQSLYTTDEKTVPLKFPSNKYDMIMKKEANRTNNNVVAVLMATFSVYTHLNYPSKASFGC >OIW16758 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_283:12241:13971:1 gene:TanjilG_00078 transcript:OIW16758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNYARNFFFGSSSSTATQPQQPNTMNMNQRNHPPYLHPQPNPQTPSGASHFPSHLPNPQTPSGASRFPSYLPNPQTPSGASRFPSYLPNPQTPSGASHFPSHFQLLLAQTQYAHALAQAQIQARLQPQSQAHARLQPRSQAHARLQPRSQAHVTLQPQPSNPLLQSQPHPFVANLHNNINTNVANVANTMAASGNANRVNLRPIRPKKKELSQKQMLKKVATLLPESPLYTQLLDFENQVDAELDRYKFELQEAFKRPSYVQKTLRVYVFNTFSNQNIHANRNGEEASWSLKIVGRILEDNKDIFEAGTSSQASKSPSCPKFSSFFKKITIYLDENLYSDDHVIIWDNARSPIEQDGLEVKRKGDKEFTARIKMELKNVPEKFMLSPQLSKLLGYQLETRPRIIAALWLYINSRKLEISNDPFSFICDPSLQMVFGANKMEFQEAIKKLPQHLSLPQPISLEHEIKLSGNPTSETECYDIQVFAHPPLDNDISSILAGRESQKQIEFYDDIISSYIKKVHEHQRRRAFFHSFSNSPEDFINASIASQSKDMKLVAGDASDSAENKQRSKFFNQPW >OIW16789 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_286:10234:12419:-1 gene:TanjilG_00079 transcript:OIW16789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERTLWGHLPLLLRASTKESIEYILETLWRTRKTGLDSSDRRIIQDILQLQNDSDLDPLLLCLRMLMRRCVYENTSKDDIPKLFPNEVLPELQKLLTLLLQKFQQEWQEELLKDQNIVPRLKAMTWNMGNLDKESSDPVAVINMKLQGDAQLHSRELDVKFQVATDTLDTVLKAMHCIRDQFSTTDETPNGH >OIW16790 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_290:13184:14589:-1 gene:TanjilG_00080 transcript:OIW16790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRPLKQPQKRITDKHDFLDGLPDDLVVFILSKVSSTASSPSEFINILLTCKRLNRLGLHRMVLSKAGPKVFDFKAKNWSEHVHRFLKQCVNAGNLNACYTLGMIRFYCLKNRASGLSLMAKAAMKSHAPALYSLAVIQFNGSGGTKQDKDLRAGVALCARASLLGYIDALRELGHCLHDGYGVKKNLSEGRRLLVQANARELALVIRSVTSASPSLSQSDPSSSLTWRAGDYSVCPLLSDYVYNVAVPEVQPVGLFMREWFEMGPGRVELGNGLRLCGHIGCGRPETRAHEFRRCSVCGKVNYCSRGCQALDWKLRHKMECSPEEVVVQGNDDGYGGVELVNDGVI >OIW16807 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_301:5620:5961:-1 gene:TanjilG_00081 transcript:OIW16807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFVLLLRITLQYKACELFILELTIHTEENKRRTLQKNDIATAITRTDIFDFLVDEIKDDDALVAAGMVGATISGVPYFYPLIGQAGGGGMMIGRPAMDHGSGVYAQAWQLVW >OIW16813 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_306:14402:17124:-1 gene:TanjilG_00085 transcript:OIW16813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQKCIAPKLQDSNNSHSMEEPPELTEPNEQSLTKLTMFPITLKFEELVYKVKLEQKGACWGSKWTCKEKTILNGMSGVVCPGEILAMLGPSGSGKTTLLTALGGRLGGKISGKITYNNNPFSSSIKRRTGFVTQDDVLYPHLTVTETLVFTALLRLPNSITRDEKVQHVERVIAELGLTKCRSSMIGGPLFRGISGGEKKRVSIGQEMLINPSLLLLDEPTSGLDSTTALRILRTIKRLASGGRTVITTIHQPSSRLYYMFDKVVLISEGCPIYYGPASTALDYFSSVGFSTCVTVNPADLLLDLANGIAPDSKHATEQSESVEQEKKVIREALISAYDKDIATRMKVELTSLEENNYNISKDACTRNHIKHQQWCTSWWYQFKVLLQRGVRERRHEAFNRLRIFQVISVAFLGGLLWWHTPESHIQDRVGLIFFFSVFWGFYPLYNAVFTFPQERRMLIKERSSGMYRLSSYFLARTIGDLPLELALPTAFVCIIYWMGGLKPDPMTFILSLLVVLYSVVVSQSLGLAFGAILMDIKQATTLASVTSFVFLIAGGYYIQHIPPFIVWLKYLSYSYYCYKLLLGVQYKENDYYECSEGVLCKVVDFPPIKSTGLNHVWVDVSIMALMLVGYRLVAYLALRRIR >OIW16814 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_306:33718:40957:1 gene:TanjilG_00086 transcript:OIW16814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTTVAGLAPGLSRKLKKVLESRTDTPDLLSSLNTLSSFYDDNTPQSRRNLRSTIEKRALSINREFIDASLAAQLALDNVENEVNALDECCERIAKALNSCSASTGDIISTTERLKQELETTTQRQEIAACFLRDYQLSPEEINALRDEELNENFFKALSHVQEIHANCKVLLRTHHQRAGLELMDMMSVYQEGAYERLCRWVQAECRKLGDTDNPEVGELLKTAVRYLRERSGLFKYCAEEVANMRHNALFRRFISALTRGGPGGLPRPIEVHAHDPLRYVGDMLGWLHQALASEHELVQVLLDPDAVVDSGPTTAKQLPNTSEKGSGKTESDLVFVLDRIFEGVCRPFKLRVEQVLQSQPSLIVSYKLSNTLEFYSYTISDFLGRETALCNTLWVLKDAAQKTFFDILKGRGEKLLRFPPLVAVDLSPPPAVREGVSLLLEIIDTHNGMMFPASGQKPAFDPVISAILDPIIQMCEQAAEAHKSKGAGHLSRRSRMSSDNGQLTRSSVDAILSSSSSASFSQTSETPSMIFLINCLCSIQQPLAGHEVAAEYVKRLGGMIDNHVRVLVVKEVDGILRRCNLLEKMPHFHNSIHNEGNNEVGTPLAEMEDTSPNILSECLKALFGLLLGSESSLPEFEQMQVPRLRSDASAGVARSLAEAYELIYNVIMDPKNGYPDPRSLARHPPNQIRTILGI >OIW16812 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_306:4320:9149:-1 gene:TanjilG_00084 transcript:OIW16812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGEEGGIRLSKRFSDDKGSGEVDYKNKSGTAWSHSFLNQKPWHPLSYPNQRRKWIAEQTHATRERRSDEVAREYAQEQEFFRQTALISKKEKEKVELMQAVSFMYVRPPGYNAESAKAAELAEEKRKEDISKGIQESNSAADGPSSSMPPNSVHGNDHLSEDKKKRRPRDVFGRPLPTEEDFDVLKNAPRLETGVAGRAKPFGVEVRNVKCLRCGTYGHQSGDRECPLKDAIMPSEQSRLKRDDPLNAILAHTENTEPLKWELKQKPGISPPRGGFKPDDPNQQIVAEDIFDEYGGFLSMGDMPELLTNFVKKPKKSKKSKRKKQHQVHSESESSSDDGERNSKKKKVKVINKNQNYAKSSSSDTSDFEKDRRKSRHTSSYSSEDSDSRKVDRSRKTKREHPFSSKGSDRAKHGRYKHGRQLHSFTSDDSDPDEYGGSHKNGGKHYYSSKDSDCDIDDQSRKSRHKHKKKRSRKRHSYSSEDFSPAARGNRKSKDEHSYSSDDSDHERQPIVKRSSRKYSSTAPSDSQNHSKNCGFDRYQGSPKSRDAHSYSTEDSDVERGDQDRKIKEKCSRTSIHHGHEQRKKRDCYSSRDSGAGGYHRSEKRRYQRSYSSDCDDYEQIHSKLRRNSHKH >OIW16811 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_306:1917:3083:1 gene:TanjilG_00083 transcript:OIW16811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIGRAFGSFAGTALMAAAKKTTATTATVKTTVSSSARPSNKAGIQKVVPVSSELGKFVGASQVSRTDAVKKVWEYIKLQNLQNPENKREIFCDAKLKTIFDGKDKVGFTEIARLLSSHFTKSG >OIW16815 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_346:26613:29056:-1 gene:TanjilG_00096 transcript:OIW16815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCRYDYGETQRNKCEACFRQFNKLEHLVEHMRISYHSVHEPTCGICRKHCRSFESLREHLIGPLPKQECKDIFAYRGCNFCLKVFDSPNSRWIHQEKCQLTNTGIIGRFSNMGIRDNLTIGGGARGPQVVALACKMVGGGSDGSLDLCARVCMTDEHENIIFHSYVKPPIPVTNYRYETTGIRPEYLRDAMPMRQVQKRIQDFLCNGESMWTIRARGGKARTLVGHGLDHDLECLQIEYRAEKIRDTAKYPPLMKTSKLSNSLKYEIQNGIQDPYDDCVATMRLYMRMRSQVHKIEDHPLASDTRNRNNFASWRQSELERMSSEQMLEISRSDFYCWCLDS >OIW16842 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_387:11233:12509:-1 gene:TanjilG_00104 transcript:OIW16842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNVPKTRKTYCKNKECKKHTLHKVTQYKKGKDSTAAQGKRRYDRKQSGYGGQTKPVFHKKAKTTKKIVLRLQCQGCKHVSQRAIKRCKHFEIGGDKKGKGTSLF >OIW16841 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_387:8273:10534:1 gene:TanjilG_00103 transcript:OIW16841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPSTLRRAIGLVKDQTSIGLAKVGNSTSLSDLDVAIVKATRHDEYPAEEKYFKEILSLTCYSKAFMSACVNTLSRRLSKTSSWTVALKTLMLIQRLLSDGDSAYEQEIFFSTRQGTRLLNMSDFRDNKKSNSWDFSAFVRTYALYLDERLENRMQNRRGRRSRFGFDDDEEEHRERGRDRYRERDKYTEREKDREIKATPLPKMKSEQLFSKMQHLQLLLERFLACRPTGGAKTHRLVIVALYPIVKESFQIYYEITEILGTFIDRFTDMEVTECIKVYDIFCRVGKQYDELDLFYGWCKCIGIGRSSEYPDIEKVTTKKLEIMDEYIKDKSILAQTMKHKEKNDRAEAKEQEPEKDIYAIMALPPPETFHEELTEEVKEEIKEQEPKEQKVVQTEGDLLNLGDDIVTHQEHGDQLALALFDGAAPTTTNVTLALPWHAFDDESDWETALVQSTSNLSNQKQSLGGGFDTLLLDGMYKQASTNAAMQGPGYGLSGSASSVALGSAGRPTMLALPAAPTSGSGSSSAFADPFAASMAVAPPAYVQMSEIEKKQRLLQEEQMMWMQYAKGGMQGQAAFTKLQPNNNTYMGGYPQNYGNYYYH >OIW16843 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_389:25818:27118:-1 gene:TanjilG_00105 transcript:OIW16843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDNNVNLPPGFRFYPSDEELVVHFLHRKASLLPCHPDVIPDLDLYPYDPWELDGKALAEGNQWYYYSRRTQNRVTSNGYWNPMGIEEAVVTSSNNKRVGMKKFYVFHIGEANDGGSKTNWIMQEYRLSDSASSSRSSKRKTQQKTTDHSKWVICRVYEHNDKDDDDDGDGGIELSCLDEVFLSLDDLEEISLPN >OIW16844 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_389:39476:49301:-1 gene:TanjilG_00106 transcript:OIW16844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELGSSEEDAREEQQCLYEAYNELHSLAQDLHTPFDAPAVLVVGHQTDGKSALVEALMGFQFNHVGGGTKTRRPITLHMKYEPQCDSPHCYLLSDVDPSLYHHKSLPQIQAYIEAENVRLEHDSSQFSAKEIIIKVEYKYCPNLTIIDTPGLIAPAPGRKNRALQAQARAVESLVRAKMQHKEFIILCLEDCSDWSNATTRRIVMQIDPELSRTVIVSTKLDTRIPQFARPSDVEVFLSPPACTLDSCILGDSPFFTSVPSGRVGSGSDSLYRSNDEFKQAVCFREIEDVAALEEKLGRPLSKQERSRIGVSKLRFFLEELLQKRYIRSVPLIIPLLEKQYRGATRKLSDINQELSTLDEAKLKEKGRAFHDLFLTKLSLLLKGTVVAPPDKFGETLQDERINGGAFVGADGVQFPPKLTPNAGMRLYGGAQYHRAMAEFRFVVGGIKCPPITREEIVNACGVEDIHDGTNYSRTACVIAVAKARDTFEPFLHQLGSRLLHILKRLLPISVYLLQKDDEYLSGHEVFLRRVASAFNNFAESTAKSCHEKCAEDLVSTTRYVSWSLHNKSRAGLRQFLDSFGGTENSNVGNNPTENVPSQTSSHDKEDTKPQPDVKLSHLASGTDSSTSNQTTETKLADLLDSTLWNRRLAPSSERIVYGLVQQIFHGIREYFLVSTELKFNCFLLMPIVDKLPALIREDLESAFEDDLDSVFDITNLQNSLDQQKRDTEIELKRIKRLKDKFKKIHEKLILHQAT >OIW16860 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_394:34985:35422:-1 gene:TanjilG_00109 transcript:OIW16860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSARRNTMKLRTSNDNVSPLKIEMYDHHRGLYLKRFTENIDDGGWPLTSYYFFADELFTSPTFSANKYNSMSTKASGNNSLGNSSFGSRCRFSLSAFSSMEITPPAEEEVFVMDDIQVRIMSGTKSGRSSSSSYGSPSRHCHGG >OIW16862 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_394:76104:77058:-1 gene:TanjilG_00111 transcript:OIW16862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANKGVSVAASLCPWSGHPFHPLMPTRIREEDNEPRDSRKKDPSSSYRWNLGGSSSSAKSRSDEDALRVSVAASLCPWSGHPFHPLMPTRIREEDNEPRDSRKKDPSSSYRWNLGGSSSSAKSRSDEDALRSRC >OIW16859 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_394:12061:13957:-1 gene:TanjilG_00108 transcript:OIW16859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNRGSFGRGSRRGGRVARGRGQFHSTNPSMRPKSESQSWKSPTSAGSSIRAPLRRSFADVLEPAKLAPDDWSPLDDDIPIVLPDSSDKAPTRDEVHAYISNTVKHPFTKKRLPVFEEICKENEEQDFLDRHTWQPPNPRQFSEKSWRRGGSN >OIW16861 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_394:37679:39610:-1 gene:TanjilG_00110 transcript:OIW16861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLNMNALLSPHDADLAKNDNFGDTVLCSNGIGFGETNKTSYRCTESNLGMKFSNVPADDGCRLVLGLGPTPMANDGSYNSLGFNKKKSLSNFFPQHIPSEWNSILQLGLSRTNEASSMLDCSGSSEIDMNMSCFSSQGYGENNFPRIPVIDEGSTSAKKSGGYMPSLLLAPRMDNGKILVQTQEFMLGTETQLCLEPSNATYYSLGTTSDPRTAFITSENRTSNPKRCRFFGCTKGARGATGLCIGHGGGQRCQKPGCNNGAESRTAYCKAHGGGKRCQELGCTKSAEGKTDYCIAHGGGRRCGHPGGCTKAARGKSGLCIRHGGGKRCRIEDCTRSAEGQAGLCINHGGGRRCHYLGCSKGAQGSTLFCKAHGGGRRCSFAGCTKGAEGSTPLCKAHGGGKRCRHGGSGICPKSVHGGTDFCVAHGGGKRCVVSGCTKSARGRTDCCVRHGGGKRCKFEGCEKSAQGSTDLCKAHGGGKRCNWGDGKCEKFARGKSGLCAAHSSMVLDRESSKGSLIAPGIFHGLVPIASTACSSFISTGVSTVVSGSYDSMEAPPAKRQQLIPNEVLIPVSMKSLSAYPYLSTTKKPTEQDRIIRIGTAELPSCSSVHKGFDFNLPEGRVHGGDLMLYLDGNLNNSLNGI >OIW16858 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_394:8398:9831:-1 gene:TanjilG_00107 transcript:OIW16858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIITTFSLSSTNPFSFSFSSSPSINRFRFNPHSSFQLSTPPQPKHTGAIIIGAGLSGLSAATHLNSHNIPFLLLDSSNSVGGRVRTDSVDGFLLDRGFQIFITAYPEARKVIDYDSLNLCKFYSGARIFYGNRFHTVAHPIRHFFDSVKSIANPIGSIKDKLLIGSNIVRVLTKSDQEIFDSDEIATIEMLKKLGFSDSIISTFFRPFFGGIFFDTELETTSRLFDFIFKCLAVGENTLPANGISAIPEQLAASLPTGSVLLNSEAVSIDLNHSESLQSVKLRNGDVLTSELGVIVAVQEPVAVNLLAGKNNKINPVQRKPVRSTVCIYFTANRDQIPLDPVLYLNGSGKGIVNNMFFVTNVAPSYGPPNKVLVSVSLIGLFENESDDDLTVKVVQELSGWFGEEMVRKWKHLRTYRVGFAQPNQSPPTDLKKNPRVEPGLYVCGDYLTSATFDGALVSGRRAAECLLKDRVVTLV >OIW16863 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_397:4089:8018:-1 gene:TanjilG_00112 transcript:OIW16863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACLENRGCSVFLCFLIAFVTILLNFGHVLGQTSPVFACDAVKNPALAGYGFCDNSLPINARVADLVSRLTLQEKIGNLVNNAVDVSRLGIPRYEWWSEALHGVSMTGPGVRFSNVVPGATSFPMPILTAASFNATLFETIGRVVSTEARAMHNVGLAGLTYWSPTINIFRDPRWGRGQETPGEDPVLSSKYASGYVRGLQKSDDADPNKLKVAACCKHYTAYDVDNWKGVSRLTFNAVVTQQDLEDTFQPPFKSCVVDGNVASVMCSYNQVNGKPTCADPDLLKGVIRGQWKLNGYIVSDCDSVEVLYKSQHYTKTPEEAAAKSILAGLDLNCGNYLGQYTEGAVKQGLVDEASINNAVSNNFATLMRLGFFDGDPTKQRYGNLGPKDVCTPENQELAREAARQGIVLLKNTPGSLPLNAKAIKSLAVIGPNANATRTMIGNYEGIPCKYISPLQGLTTFVPTSYASGCPDVHCTNAVIDDAKSVAASADATVIVVGASQAIEAESLDRVNLFLPGQQEHLVTEVASVSKGPVILVIMSGGGMDVSFAKTNYKITSILWVGYPGEAGGAAIADVIFGFHNPSGRLPITWYPQSYVDKVPMTNINMRPDPATGYPGRSYRFYKGETVFSFGDGISYSTFEHALVKAPQLVSVPLSKGHACHSLECKSIDVVDEHCENLAFDLHLKVKNKGKMSGSHTVFLFSTPPAVHNAPQKHLIGFEKIHLVGKSEALVSFKVDVCKDLSIVDEFGIRKVALGQHQLHVGNLKHPLGVRI >OIW16874 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_399:20093:21910:1 gene:TanjilG_00114 transcript:OIW16874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRGFMCNSQASTAVCMSTRDARSVIVPRKPDKSVFLDDTRLINYAKYSKLVQSRRPNPLPKIKQSQSIEPRELQVATTDSVFQVVVLRVAIHCQGCAGKVKKHLSKMEGVTSFSIDVESKRVTVMGQISPMRVLESISKVKRAEFWTP >OIW16875 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_399:24254:30019:-1 gene:TanjilG_00115 transcript:OIW16875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGGPDFNPPPPGNGGQYLLSLIQKPHNLPPQQPQPPLQPQQSPTLDPAVAVMGPSIHVSSPWQINGPDHPQQYPHQQQNILPTWSSYQPNLFGLPHNPFPPIRDPYSATQIPVANSTLGFGDDLRRLGFFIEGNNNNSGNNNVLDALLQQQKQQELKLKFGSLPIDGFAHEPQLPTNVDSLMNLKFNNISSNGGYGRNFNVENPNRNPNFSNQGVVENERIGVGNRGFHGVGDFGSEPSRRKDQWGSGIRRKGSEFDNSSELGVRNENVQHGMARNVRRGGNVVHEMRLPEQLDHPGPPSGSNLHSVTASDFEESRLKFKGNVVEDGVRDKFRGDGRLRKEGVADSGRGSGSNGNEVDVLGEQLGGSLLLEDESEDKNNSKQRRRDKDARQSDSRGQWLLSQRARNYKRQMICRRDIDSLNVPFLSIYQSLIPPEEEKVKQKQLLSLLEKLVIKEWPKARLYLYGSCANSFGVSKSDVDVCLAIEEAHMDKSKIIMKLADILQSDNLQDVQALTHARVPIVKLMDPVTGISCDICINNLLAVVNTKLLWDYSRIDARLRQLAFIIKHWAKSRKVNETYHGTLSSYAYVLMCINFLQQRRPAILPCLQEMNTTYSVTVDDVDCAFFDQVEKLNDFGHCNKETIGQLVWGFFYYWAYCHDYTNTVVSVRTGSIISKREKDWTRRIGNDRHLICIEDPFEISHDLGRVVDKHSIKVLREEFERAANIMQFDPNPCVTLFEPYVPS >OIW16873 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_399:10995:17263:1 gene:TanjilG_00113 transcript:OIW16873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLFHLSAILVFDLVLKVSGNAEGDALSAFKANLNDPKDVLQSWDSTIVNPCTWFHITCIDDSVTRVDLGNAELSGPLVPDLGQLPNLKYLELYGNNLTGNIPNELGNLTNLETLDLYTNKLTGPLPDTLGNLKNLRSLRVNNNTLSGKIPISLTTIASLQVLDLSYNNLSGDIPVNGSFSLFTPISFKNNTYLIQKSTPAAPLPPPQQSTSGNKNAGVIAGGVAAGAAVLFAGPAILLAYWRRKRPQYYFFDVPAEEDPEVHLGQLKKFSLHELQVATDRFSNKNILGRGGFGKVYKGRLTDGSLVAVKRLKEERTQGGELQFQTEVEMISLAVHRNLLRLEGFCMTPTERLLVYPFMANGSVASCLRESKESQPPLDWPKRKHIALGAARGLAYLHDHCNPKIVHRDVKADNILLDDEFEAVVGDFGLAKLMDYKDTHVTTAVRGTIGHIAPEYLSTGKTSEKTDVFGYGVMLLELITGQRAFDLARLANDDDVMLLDWVKGLLEHKNFDTLVDANMQGDYDEEGVEQLIQVALLCTQGSAMERPKMSEVVRMLDGDGLAEKWDEWQKGEIIRQDFNHTHHKSANWMMLDSTSNIQPEELSGPR >OIW16475 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_41:1035:1640:-1 gene:TanjilG_00047 transcript:OIW16475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSTVEHLTKKLSMLSFLDVSYCNKIGAPALETIGKNCTMLEVFYRNMHPIDTSDKPFDDDEAIAISTTMPNLKHLGIAYQLVKTEGLLQILSNCPKLELLDLRGCWGVNIENISLEKDFPNVKVLGPHVVDYHENNGWDDFSEPSEYLGWDFFVDEYYDDDDEEESDSDDIWDEEGLEEIQFTFYQGIENAGMFVPPSP >OIW16877 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_418:18546:19799:1 gene:TanjilG_00126 transcript:OIW16877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNMGWNTSQLEPNTPMMLSTQQQNLEGCYNVSNLSSSFHNQIQEMQKAQTEHKYPNSEKLIYESEAATTSKVMNEMQNTNLSQHAATMRVKLGGGTSLREGLTSQSFHSFAVNNYMQDFNMAYNYNHYQQQQFIINGTQNGKSLDSFDYLFSASNSNTETSMEDADCKNIWNNFNYSSAASSGEVSESNSSNDGRNKNMHCPINELDETLSQTSSDQHINQVNTLESNKTNCSNYMFKAGSNFRLISQNPQKSKKPRWENSPYSSNINFQQPNSSASSCIEEHDPEAIAQMKEMIYRAAAFRPVNLGLENVEKPKRKNVRISNDPQTVAARQRRERISEKIRVLQNMVPGGSKMDTASMLDEAANYLKFLRSQVKALENLGNKVGSMNYPHNTIAFSFNPSFPMQTPLTYPSRFEA >OIW16878 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_418:30487:32723:1 gene:TanjilG_00127 transcript:OIW16878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPINEKEMMLEGRFVMPQSNAFGHTFRNYDAESGRQEGVDNFYRRNHIYQSVDFVEMSIWECCELLNEVVDESDIDLDEPQIQHLLQTAEAIRKDYPNEDWLHLVGLIHDLGKLLLLPSFGGLPQ >OIW16879 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_419:9430:11495:1 gene:TanjilG_00128 transcript:OIW16879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKINSNEALVIVLFVFVFLESCSYAQDTPFPAIVTFGDSAVDVGNNDYLPTLFKANYPPYGRDFTNHQPTGRFCNGKLATDITAETLGFKSPAPAYLSPQASGNNLLIGANFASAASGYDEKAAILNHAIPLSQQLDYFKEYQSKLAQVAGSNKSASIIEDALYLLSAGSSDFLQNYYVNPLINQAFTPDQYSSYLVGSFTSFIKNLYGLGARRIGVTSLPPLGCLPAARTLFGFHENGCVSRINKDAQGFNNKVNSAATNLQKQLPGLKIVIFDIFQPLYDLVQSPSKSGFVEARKGCCGTGTVETTSLLCNPNSLGTCSNATQYVFWDSVHPSEAANQVLADSLIIAGISLIG >OIW16904 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_426:10740:12859:-1 gene:TanjilG_00132 transcript:OIW16904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKATRWLKGLFGMKKEKNHNDSNLVSMVHDNNEKRRCSFAKQGKDIAAASPNSHVPLSPSGNSAWLRSYITESENEQNKHAIAVAAATAAAADAAMAAAHAAVAVVRLTSQGRGTLFSGSLEKWAAVKIQSFFKGYLARKALRALKALVKIQALVKGYLVRKRAAATLHSMQALIRAQATVRSQQARRSLSKDNRFLTEVLARKSMERFHETRSEFHSKRLPASYETSLNGFDESPKVVEIDTYKTRSRSRRFTSTMSECGEDTPRHAISSPLPSPLPGKISVPECKHVQDFELYFNVDECRYSTTHNTPRFASYRRPNAPATPAKNVYGDTYFQPYCNSNGYMANTKSFNAKVRSHSAPRQRPEPKKKLSLNEMMAARNSLSSVKMQRPSSYFQAQESWNLRDC >OIW16905 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_427:360:4824:1 gene:TanjilG_00133 transcript:OIW16905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSPEEFVSSLKQYGVTKPISTAGPMGADLQKTKELEKFLVDSGLYETNEEAAKREEVLHRLGQVVKAWVKQITCLRRYTDQMVEDANAVIMTFGSYRLGVHGPGADLDTLCIGPSYVNREEDFFFTLHDILANMDEVTELQPVPDAHVPVMKFKFDGISIDLLYASISCLVVPEDLDIADISVLHNVDEPTVRSLNGCRVADQILKLVPNVEHFRTALRCLKFWAKRRGIYSNVTGFLGGVNLALLVARVCQLYPNAIPSMLVSRFFRVYTQWRWPNPVMLCPIEENELGFSVWDPRRNPRDRTHHMPIITPAYPCMNSSYNVSTSTLRVMMEQFQYGNRICGEIELNKVHWNALFESYVFFESYKNYLQVDIVAADVDDLRAWKGWVESRLRQLTLMIERDTLGKLQCHPYPHEYVDTSRQCAHSSFFMGLQRKQGEIVQEGQQFDIRGTVEEFRHSVNMYMFWRPGMDIHVSHVRRRQIPSYVFPDGYKRLRPSRPTPQMDSSPKSFNENEVSGAEHRERNHKRKHDGRVGVKQNVVLKKQASSPPADGVAKSNPCLGSVDAVSDRHELTNAESVNLSNNKQDELNRIQSPEPECASNSSVITSVTSGGGSPEDVGSASVSGCVEDNASGVEGMINGRFEDTTYGADSVIHVETVVAPKDEVLQEALHEQMEEIESSIDGERSSLWSFKIYKSGTIAIEVEKA >OIW16926 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_443:18964:22072:1 gene:TanjilG_00135 transcript:OIW16926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGRSRNEEKKLELRLGPPSEYWSLNEHQDEDKVSSFLQNSTIPKKILVKGKDDSQLCCSKVVEFQNGDKKGFSPSYGNTSMPNRFQKRSAPGPVVGWPPIRSIRKNIASGSYEKQYQHNAKKVSSKIDNTYKGLFVKINMDGVPIGRKVDINAYGSYEKLSSAVDNLFRDLLLATQRDSCAIRTQNKEEKVIMGVLDGSREYTLVYEDNEGDKLLLGDVPWHLFVSTVKRLRVVKSSDLSGFVCKQDNIHNLTQQ >OIW16925 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_443:12654:16795:1 gene:TanjilG_00134 transcript:OIW16925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKVGVVATVAAAATACAVAALLTRRYAKKSRKWRKSTAILKDLEEKSATPVGKLNQIADAMTVEMHAGLASEGGSKLKMLITFVHNLPTGNEKGLFYALDLGGTNFRVLRVQLGGKEGGIISQEFTEVSIPPSLMVGTSKELFDFIATELAKFVAQEGEDFQVPVGEKRHLGFTFSFPVMQTSIASGNLIKWTKGFSIDDAVDQDVVAQLTKAIERQGLDMSVTALVNDTVGTLAGGRYENKDVIAAVILGTGTNAAYVERAQAIPKWHGPPPSSGEMVINMEWGNFRSTHLPLTEYDNELDVESLNPGEQIFEKIISGMYLGEIVRRVLLKMAEEGFFGETVPEKLKEKFILRTPDMSAIHHDSSADLNLVGSKLKDILEISDTSLDVRRVVVELCNIVATRGARLSAAGIFGILKKLGKDTINDGESQKSVIALDGGLYEHYTEYRKCLENTLKELLHESVSDKVIIEHSNDGSGIGAALLAASHS >OIW16939 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_450:26019:28058:-1 gene:TanjilG_00137 transcript:OIW16939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRNQSRRQVAAMKQALFDQGLLDEQFIQLEELQDAANPNFAEEIVTLYYRDSSRLIVNIEQALKERNGLDFNKLDNIMHQFKGSSSSIGAKKVKAECTMFRECCRARNAEGCMRSFQQLKKEYAALRKKLEAYFQLARQVGPSERACRPK >OIW16940 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_450:54422:66725:-1 gene:TanjilG_00138 transcript:OIW16940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVFASGRYSRRSSHVDEDEEALKWAAIEKLPTYDRLRTSIMQTFGESDQIHGNNKAQHKEVDVRKLDVNDRQQIIDKIFKVAEEDNEKFLRKFRNRTDKVGIRLPTVEVRFQNLTIEADSYVGSRALPTLPNVAMNIFESGLGMCGISTTKRTKLTILKNASGIIKPSRMALLLGPPSSGKTTLLLALAGKLDPELRVKGEITYNGHKLNEFVPRKTSAYISQNDVHVGEMTVKETLDFSARCQGVGTRYDLLTELARREKEAGIFPEAELDLFMKATAMEGAESSLFTDYTLKILGLDICKDTIVGDEMHRGVSGGQKKRVTTGEMIVGPTKTLFMDEISTGLDSSTTFQIVKCLQQIVHLTEGTILMSLLQPAPETFNLFDDIILIAEGQIVYQGPRQHIVEFFESCGFSCPQRKGTADFLQEVTSRKDQEQYWADKNKPYRYVTVTEFANKFKRFHVGMQLENELSVSFDKSNAHKAALVYNTYSVTKMDLLKACWDKEWLLIKRNSFVYIFKTVQICIIAIIAATVFLRTEMHQDSEDNASLYIGAILFSMIMNMFNGFAELALTIGRLPVFYKHRDHLFHPVWTYTLPNFLLRIPISIFESLVWMLVTYYTIGFAPEASRFFKQLLLVFLIQQMAAGMFRVISGVCRTMIIANTGGALMLLLVFLLGGFILPKRAIPDWWVWAYWVSPLSYAFNALSVNEMYAPRWMHANTSSDGTTTLGLAVLRNFDVYAKRDWYWIGAAALLGFTILYNVLFTLALMYLNPFGKKQANLSEEDADELEAEGDFDEAPRIVRPPASNNESALRSLSTADGNKSREVAMQRMSSQANPGGVRNADSTLDSATGVAPKKGMVLPFQPLAMSFDTVNYYVDMPAEMRAQGVTEDRLQLLRGVTSAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDIKISGYPKNQETFARVSGYCEQTDIHSPQVTIRESLLYSAFLRLPKEVSKEEKIQFVDQVMDLVELDNLKDAIVGLPGVTGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLMKRGGQVIYSGPLGQNSHKIIEYFEAIPGVPKIKEMYNPATWMLEVSSIAAEVRLGMDFAEYYKSSALFQRNKDLVKELSTPPPGAQDLYFPTKYSQSTLGQFKSCLWKQWLTYWRSPDYNLVRYFFTLTCALMIGTVFWRIGRHRESSTDLTMVIGAMYAAVIFVGINNCQTVQPIVAVERTVFYRERAAGMYAPLPYAIAQVFTEIPYVLFQTVYYSLIVYAMVSFEWKVEKFFWFFFVSFFSFLYFTYYGMMTVSITPNHQVASIFAAAFYGLFNLFSGFFIPRPKIPKWWVWYYWICPVAWTVYGLIVSQYSDVDDPIFVPGNPRNFTVKEYIEDHYGFKSDFMGPVAGVLVAFTVFFAFVFSFCIKALNFQTR >OIW16938 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_450:2177:8243:1 gene:TanjilG_00136 transcript:OIW16938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPMNCTDTSRVCTSFLAFKPQQNQTLSVIQSMFDVLPRDITVEGNDWNYIFIRKNCSCANGIKKYVSSTTFTVKSNGGLVYDLVMDAYDGLGFLPNSTRMARNGAVVSLRLFCGCSSGLWNYLMSYVLRDGDSIESLASRFGVSMDSIESVNGIDDPDNVTVGSLYYIPLDSVPGDPYPVKNAATPPAPVPAPSVDNFSVTDDQVNHKAHLPYGWIIGGLGVGLALIILSIFLCVCLRSEARSHEKDAQGNIRKFHILRNPSFFCGSGRYICGKSVDQKQTDGESSHHQVTIPKVSSLGPDIFDMDKPIVFAYEDIFSSTDGFSDSNLLGHGTYGSVYYSLLRDQEVAIKRMTATQTKEFMSEMKVLCKVHHANLVELIGYAASHDELFLVYEYAQKGSLRSHLHDPQNKGHSPLSWIMRVQIVLDAARGLEYIHEHTKTHYVHRDIKTSNILLDASFRAKISDFGLAKLVGITNEAEASTTKVVGTYGYLAPEYMSNGLATTKSDVYAFGVVLFEIITGKEAIIRTEGTVTKNPERRSLVSIMLAVLRNSPDSMSMSSMKDYIDPNMMNLYPHDCVFKMAMLAKQCVDDDPILRADMKQVVISLSQILLSSVEWEATLSGNSQVFSGLVQGR >OIW16944 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_451:30809:31486:1 gene:TanjilG_00141 transcript:OIW16944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLVKYIKEVRVRYAGFGKDEDEWVNVKGGVRQASTPLEPSECHKVKDGDIVLCFKESDDYALYCDACVLKITRQEHDPVECNCIFTVQYEYDNSEADVYGKDICCRPSEEKSVALALPMQSIESLWG >OIW16942 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_451:13442:13864:-1 gene:TanjilG_00139 transcript:OIW16942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIQCVAVSSGSESGDSTTIESRIRKEIAVEEIEASGGLNGGSEKIARHEKEGVTREGGSIFEEAQSGDGRRFSDRRSEVLKHFLTVEGKMKLWVIFILILQRARPRYKPILGFNFKFATVLLRVAELVCPFSIYFVVN >OIW16943 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_451:24552:28372:1 gene:TanjilG_00140 transcript:OIW16943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQLSLVKSPFEQFSIDEEMGGKPLDRNCYEEIAIKLRHDVASFLNFRVMSTGELEVRVRYAGFGKDEDEWVNVKGGVRQASTPLEPSECHKVKDGDIVLCFKESDDYALYCDACVLKITRQEHDPVECNCIFTVQYEYDNSEADVYGKDICCRPSEEKSVALALPMQSIESLWG >OIW16953 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_458:11928:12500:-1 gene:TanjilG_00144 transcript:OIW16953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPHGDSLPRADFCKNSNISKPPKLLYEHLQRTISDISFELTTKEVIDDLKLAPISEVEDAKCECCGMCEECTQEYINLMRDKFFGKFVCGLCSEAVKEELEKNGGKKEDALSAHISACVSFNKYGRAFPVLFQAQAMKEMLKKSTEDCRIRAKSISPRDKEGLKKGLISRSSSCISAFTKELNDIKIAN >OIW16955 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_462:1812:2384:-1 gene:TanjilG_00145 transcript:OIW16955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPHGDSLPRADFCKNSNISKPPKLSYEHLQRTISDISFELTTKEVIDDLKLAPISEVEDAKCECCGMCEECTQEYINLMRDKFFGKFVCGLCSEAVKEELEKNGGKKEDALSAHISACVSFNKYGRAFPVLFQAQAMKEMLKKSTEDCRIRAKSISPRDKEGLKKGLISRSSSCISAFTKELNDIKIAN >OIW17004 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_466:9602:10171:-1 gene:TanjilG_00146 transcript:OIW17004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPHGDSLPRADFCKSNISKPLKLSSEHLQRTISDISFELTTKEVIDDLKLAPISEVEDAKCECCGMCEECTQEYINIMRDKFFGKFVCGLCSKAVKEELEKNGGNKEDALSAHISACVSFNKYGRAFPVLFQAQAMKEMLKKSTEDCRIRAKSISPRDKEGLKKGLISRSSSCISAFTKELNDIKIAN >OIW17007 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_470:9230:9802:-1 gene:TanjilG_00147 transcript:OIW17007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPHGDSLPRADFCKNSNISKPPKLSYEHLQRTISDISFELTTKEVIDDLKLAPISEVEDAKCECCGMCEECTQEYINLMRDKFFGKFVCGLCSEAVKEELEKNGGKKEDALSAHISACVSFNKYGRAFPVLFQAQAMKEMLKKSTEDCRIRAKSISPRDKEGLKKGLISRSSSCISAFTKELNDIKIAN >OIW17010 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_480:59480:60151:-1 gene:TanjilG_00150 transcript:OIW17010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTETSSSETTTATKHNNNNNNNVCIKTESPKQSKPKRSRDNNKHPLYHGVRKRNWGKWVSEIREPRKKSRIWLGTFETPEMAARAHDVASLSIKGQSAILNFPELVDMFPRPVTCTPRDIQAAATEAAAMVKFDPQSSHGSEEELSEIVELPNIGEKSFDSVESGTEFLLLDTMDSWMYPSIGLEETELLTTFSDQSFAQHSFIADNSFSESEIEIHIPVWSL >OIW17009 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_480:38960:41004:1 gene:TanjilG_00149 transcript:OIW17009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYFVGGYFGAGANQYSPEHRYGEPKSGVPFAVDDLLDFSNADAIMSDGFFDNVVGNSNDSSTVAGTVDSGNSIVSGTIVPCAFAGGDSQFSGGELCVPYDDMVELEWLSNFVEDSFSTEEDLKTLQLLSGGAVITSATTKPHTPDSSSSSDIDTTRNTQFLHAETPLPGKARSKRSRAAPGDWSKRLVHLVTPPKTKEEESLNLNTNNNNNSVECSGRKCLHCGADKTPQWRTGPMGPKTLCNACGVRFKSGRLVPEYRPAASPTFVSTKHSNSHRKVMELRRQKDVQRHHHHHHQHQELINQTSIFDVSNGGDDYLIHGNHIGQEFRHVM >OIW17011 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_480:63093:68086:-1 gene:TanjilG_00151 transcript:OIW17011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSRRAVESFWRMRLIDSATSDEDKVTPVYKLEEICELLRSSHVSIVKEFSEFILKRLEHKSPIVKQKALRLIKYAVGKSGVEFRREMQRHSVAVRQLLHYKGQLDPLKGDALNKAVRNSAQDVISAMFSEENTPAPAEDVNRRIQGFGNTNFEAPSGDKKSFISEVVGIGSASIKQGLNSLTQGHSLMKNNSGNYRGPDLQSSFTIETEDGGKYEPVAYRNETQSSFGLPKNQSSGPWNQDSRVTTIEISNGDSSASYSGGKTREDRLLETIVTSGGVRLQPTRDAIQVFLREAAKLDTQALCHALELKLQAPMWQVRMKAVCVLESILRKKDDDNFSYVASYFTENKNDVLRCSESPQASLREKAIKVLSLLGGVQPNNSTANSEKAVKVESTNVAELPDLIDTGDSNDYHSTIKSTDDQNLANLTSYTPLVDDLFGDFSGSIGASHELKNDDDPFADVSFVTSEKKEQVDDLFSGMTVGDDKQVDHGSHGQGNKSDPQLFDIFASNSEQGNHEEFVSDLMTGLSMDENASSMKQKATSPSVQSESLFSGLNNHVPDNTLGGMLGSQAVGFNVNPIFPTGHLPYNGVMLNHPYSSQPLNYGAMGLLAQQQFLATMANFQHLSNSNVRDDGVAQSAGTGGKTALPDIFQPKFSTPTPSSMANSSKKEDTKAFDFISDHLSSARDPRRVI >OIW17008 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_480:4715:11596:-1 gene:TanjilG_00148 transcript:OIW17008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMSCKDGTKNGMDNGKYVRYTPEQVEALERLYHDCPKPTSIRRQQLIRDCPILSNIEPKQLKVWFQNRRCREKQRKEASRLQTVNRKLTAMNKLLMEENDRLQKQVSHLTTLATKDTSCESAVTSGQHSLTTKHPPRDASPAGLLSIAEETLAEFLSKATGTAVEWVQMPGMKPGPDSIGIVAISHGCTGVAARACGLVGLEPTRVAEILKDRPLWFRDCRAVDVLNVLPTSNGGTIELLYMQLYAPTTLAPARDFWLLRYTSVLEDGSLVICERSLKNTQNGPSIPPVPHFVRAEMLPSGYLIRPCEGGGSIIHIVDHMDLEPWSVPEVLRPLYESSVMLAQKTTMAALRQLRQISHEVSQSSVTTGWGRRPAALRALSQRLCRGFNEALNGFTDEGWSTIGNDGADDVTILVNSSPEKLMGLNLSFANGFPSVSNSVLCAKASMLLQNVPPAILLRFLREHRSEWADNNMDAYSAAAVKVGPCSFSGSRVANYGGQVILPLAHTVEHEEFLEVIKLEGIAHSPEEAMMPREVFLLQLCSGMDENAVGTCAELIFAPIDASFADDAPLLPSGFRIIPLQSGKEASSPNRTLDLTSALDIGPTKNKASNDYSGNSGCVRSVMTIAFEFAFESHMQDHVASMARQYVRSIISSVQRVALALSPHLSSHAGLRSPLGTPEAQTLAQWICNSYRCYMGVELLKSNIEGNESVLKSLWHHSDAILCCTLKAMPVFTFSNQAGLDMLETTLVALQDITLEKIFDDHGRKVLFAEFPQIIQQGFACLQGGLCLSSMGRPVSYERVVAWKVYQSVKMKI >OIW17015 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_486:14945:16565:1 gene:TanjilG_00152 transcript:OIW17015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQDWDLYAVVRGCSTTTSTTTTTATTTSSASSSSFATCYNPAASSSCGFFSQPCQSLSLSNPFEAKSSSSIEELHELCKPFFLKSHPPPSSSSFSYSSSSPKSRHTQQKQLPHHYADSATTPRSKRRKNQLKKVCQVAAENLSSDIWAWRKYGQKPIKGSPYPRGYYRCSSSKGCLARKQVERNRSEPTMFIVTYTGEHNHPAPSHRNSLAGSTRQKPLPQETATGEESDKVCAKTTSPETSGAEEEVEFAAQSATKSESKEDIEDLMNDDEEENEFGLPDMVLNDDFFEGLDELTGSIASPNGVCFGDPFPASVSLPS >OIW17017 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_490:11227:18020:-1 gene:TanjilG_00177 transcript:OIW17017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELRSGFPLFLQQFKSLLKKNLLLSWRNKRATLLQILSPLFFIFLIFAIDRAIRANNLASSIYKSVKDPPAIPISAITPCEEKFFIKRPCYDFVWSGDDNPKFHTIVKSFKDKFEVDTWLLSNPLRCPGAIHFRERSDTVISYGLQTNSTSVQKRGHYEDSTNKFQLPLQLAAEREIARYLIGDSNLSWNVFLKEFAHPAKPPPFSSVGLIGPSFFLAIAMFNFVLQMSSLVTEKELKLRQAMSMMGLYDSAYWLSWLTWETVITLISSLLIVLFGMMFQFPFFLKNSFGILFFVFFLFELSMIVVGAGIPYIFGFSTTFRVIWSFFPPNTFAQALQVLSSAVQTPEDHGLRWSDRGKCGPYEFCYLTINDIYKWLLGTFFLWFVLAIYFDNIIPNASGVRKSIFYFLHPSYWVGKGGQKVKEGGVCSCLISAPHEEHSLPDDEDVRQEENIVKHQQAEGVVDANVAVQIYGLSKTYPGTMNIGCCCKCKRTPPYSALKGLWVNFAKDQLFCLLGPNGAGKTTAISCLTGITPVTNGDALIYGHSIRSPSGMSNIRKLIGFDILWDALSGEEHLQLFATIKGLSPNSIKSVTETSLGEVRLTEASKVRAGSYSGGMKRRLSVAIALIGDPKLVILDEPTTGMDPITRRHVWDIIENAKKGRAIILTTHSMEEADILSDRIGIMAKGRLRCIGTSIRLKSRFGSGFIANISFYGNNVDHSPADEYAVSTTHREAVKQFFKNRLNVKPKEESNKFLTFVIPHDREELLMNFFAELQYREVEFGISDIQLGLTTLEEVFLNIAKQAELESAEAEGSLVTLSLTSGEAVEVPKGARFVGIPGTQSAESPTGYMVEVYWDQDDTGALCISGHSQKVPIPSGIELPSSPATRNHRRLGQLVHGVVIDPSLMLAT >OIW17020 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_499:7913:24059:1 gene:TanjilG_00178 transcript:OIW17020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNSPNEHTFFWTQANALLRKNLTYQKRNVKTNVRLILFPFVLCLLLLLLQKLIEKQILDKPENKCGCICTKTQGDKCLEKECGIQYSDLNQVGTCPIPHPPEWPPLMQVPAPQHRAVKSDFLPFSDLPDESCRRNGSCPITMLFTGNNMSFGQILYQNMLPSTLTVNISDDIIGSMALNVLGSATKTGYSNFFEPSFFSGLPIYLLQSQCRQNSTFSIPVQLASISMQIEVKCAQVLRLWRNSSSEVNNELYKGYYKSNTGRQINEISAGYDFLNSNGNKFNVSIWYNSTYKNNTGSGPIALARIPRSVNMVSNAYIQFLLGPGNKMLFEFIKEMPKLETPNKFDLASLLGPLFFTWVILQLFPVILISLVYEKQQKLRTMMKMHGLGDGPYWLISYGYFLALSVVYMLCFVIFGSVIGLKFFTMNDYTIQLVFYFIYINLQISWAFLLSSIFSNVKTATVTAYIGLFGSGLLAGFLFQIFVQDTSFPRGWIMVMELYPGFALYRGLYEFSQSSFTGDTLGTHGMRWGDLSDSTNGMKEVLIIMFVEWVWALFVAYYIDQLFSSASRKSALHFLKTFQKKPHPTFRKPSIKNQGHKVFVQMEKPDVSQEREKVKQLILEPTINHAIVCDNLKKVYPGRDGNPEKIAVSGLSLALPQGECFGMLGPNGAGKTSFIHMMIGLTKPTSGTAFVHGLDIRTHMDGIYTSMGVCPQHEKRAPTLLRQT >OIW17026 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_506:4838:6338:-1 gene:TanjilG_00179 transcript:OIW17026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTMGQKTRAQKGKNVVPDPDSNHEQDENLFTSNNDKSRYTKSMMPFMSASRKWQLP >OIW17027 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_534:788:1033:1 gene:TanjilG_00180 transcript:OIW17027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDESLPYFGDHRKNRIPNLEPPHLTITTASSPHERTHHHRRYWTTVNHHKPPPSEPSVIIVAPSMPASGPSAPRKKTDSTS >OIW17042 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_549:16901:21383:1 gene:TanjilG_00184 transcript:OIW17042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIRSPEPEVKILVDRDPIKTSFEEWAKPGHFSRTIAKGPDTTTWIWNLHADAHDFDSHTSDLEEISRKVFSAHFGQLSIIFLWLSGMYFHGARFSNYEAWLSDPTHIGPSAQVVWPIVGQEILNGDVGGGFRGIQITSGFFQIWRASGITSELQLYCTAIGALVFAALMLFAGWFHYHKAAPKLAWFQDVESMLNHHLAGLLGLGSLSWAGHQVHVSLPINQFLNAGVDPKEIPLPHEFILNRDLLAQLYPSFAEGATPFFTLNWSKYAEFLTFRGGLDPVTGGLWLTDIAHHHLAIAILFLIAGHMYRTNWGIGHGIKDILEAHKGPFTGQGHKGLYEILTTSWHAQLSINLAMLGSLTIVVAHHMYSMPPYPYLATDYGTQLSLFTHHMWIGGFLIVGAAAHAAIFMVRDYDPTTRYNDLLDRVLRHRDAIISHLNWVCIFLGFHSFGLYIHNDTMSALGRPQDMFSDTAIQLQPVFAQWIQNTHALAPGTTAPGATTSTSLTWGGGDLVAVGGKVALLPIPLGTADFLVHHIHAFTIHVTVLILLKGVLFARSSRLIPDKANLGFRFPCDGPGRGGTCQVSAWDHVFLGLFWMYNAISVVIFHFSWKMQSDVWGSISDQGVVTHITGGNFAQSSITINGWLRDFLWAQASQVIQSYGSSLSAYGLFFLGAHFVWAFSLMFLFSGRGYWQELIESIVWAHNKLKVAPATQPRALSIVQGRAEDLKSIMALRFPRFSQGLAQDPTTRRIWFGIATAHDFESHDDITEERLYQNIFASHFGQLAIIFLWTSGNLFHVAWQGNFEAWVQDPLHVRPIAHAIWDPHFGQPAVEAFTRGGALGPVNIAYSGVYQWWYTIGLRTNGDLYTGALFLLFLSAISLIAGWLHLQPKWKPSVSWFKNAESRLNHHLSGLFGVSSLAWTGHLVHVAIPGSRGEYVRWNNFLSVLPHPEGLGPLFTGQWNLYAQNPDSSSHLFGTSQGAGTAILTLLGGFHPQTQSLWLTDIAHHHLAIAFLFLIAGHMYRTNFGIGHSIKDLLEAHIPPGGRLGRGHRGLYDTINNSIHFQLGLALASLGVITSLVAQHMYSLPAYAFIAQDFTTQAALYTHHQYIAGFIMTGAFAHGAIFFIRDYNPEQNADNVLARMLDHKEAIISHLSWASLFLGFHTLGLYVHNDVMLAFGTPEKQILIEPIFAQWIQSAHGKTSYGFDVLLSSTTSPAFNAGRSIWLPGWLNAVNENSNSLFLTIGPGDFLVHHAIALGLHTTTLILVKGALDARGSKLMPDKKDFGYSFPCDGPGRGGTCDISAWDAFYLAVFWMLNTIGWVTFYWHWKHITLWQGNVSQFNESSTYLMGWLRDYLWLNSSQLINGYNPFGMNSLSVWAWMFLFGHLVWATGFMFLISWRGYWQELIETLAWAHERTPLANLIRWRDKPVALSIVQARLVGLAHFSVGYIFTYAAFLIASTSGKFG >OIW17039 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_549:4095:4346:1 gene:TanjilG_00181 transcript:OIW17039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSTGERSFADIITSIRYWIIHSITIPSLFIAGWLFVSTGLAYDVFGSPRPNEYFTESRQGIPLITGRFDPLEQLDEFSRSF >OIW17043 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_549:21857:22159:1 gene:TanjilG_00185 transcript:OIW17043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKSLIQREKKRQKLEQKYHLIRRSSKKEISKVPSLIDKWEIHGKLESLPRNSAPTRLHRRCFSTGRPRANYRDFGLSGHILREMVHACLLPGATRSSW >OIW17040 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_549:6021:10073:-1 gene:TanjilG_00182 transcript:OIW17040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNICEHCGYHLKMSSSDRIELLIDSGTWNPMDEDMVSLDPIEFHSEEEPYKDRIDSYQRKIGLTEAVQTGTGQLNGIPVAIGIMDFQFMGGSMGSVVGEKITRLVEYATNQLLPLILVCASGGARMQEGSLSLMQMAKISSALYNYQTTIKLFYVSILTSPTTGGVTASFGMLGDIIIAEPNAYIAFAGQGAIPLTRIDENLTPREIEQQAAELAYFLRVPIEQGYENPREATGRIVCANCHLANKAVDIEVPQAILPDTVFEAVVRIPYDMQVKQVLANGKKGALNVGAVLILPEGFELAPPDRISPEIKEKIGNLSFQSYRPTKKNILVIGPVPGQKYSEITFPILSPDPATKRDVHFLKYPIYVGGNRGRGQIYPDGSKSNNNVYNATAAGIVNKIIRKEKGGYEIIIVDASDGRDVIDIIPPGPELLVSEGEPIKLDQPLTSNPNVGGFGQGDAEIVLQDPLRVQGLLFFLASITLAQIFLVLKKKQFEKVQLSEMNF >OIW17041 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_549:16127:16339:1 gene:TanjilG_00183 transcript:OIW17041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQETLKYGSKGRNLLTYSDRGEQAIRQGYSEIAEAWFDQAAEYWKQAIALTPGNYIEAQNWLKITGRFE >OIW17049 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_550:58030:61480:1 gene:TanjilG_00188 transcript:OIW17049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATSNLGFQFPWSLSNAKKENVVTTMEVLKLSLYSSCNTSTNMLNNKRRFSLMTTNSHSSSQKVETVNGIKVNEMHAVEAPLSGAGDAAFVTSLRGRFVEGRFVYRQIFAIRSYEIGPDKTATMETLMNFLQETALNHVTSSGIGGDGFGATREMSLRKLIWVVTRIQVQVQRYSKWGDEIEIDTWVDAAGKNGMRRDWIIRDHYTKEIITRATSTWVIMNRETRRLSKIPGEVKQELVPFYLNRIAVASEETDCEKLDKLTDDETSERIRSGLAPRWNDMDANQHVNNVKYIGWILETVPIEVLEDFNMTSMTLEFRRECRQSNLLESITIPTTRVIGESNNSINRKPHLQYTHMLRLQHDRTDVVRARTEWHFKQKLQ >OIW17047 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_550:19358:31301:-1 gene:TanjilG_00186 transcript:OIW17047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATETLEDNKSQPEPPIQGKNDDVAVPEPDKDAAEEPEAEAAPEEDEQKRDFGEITKSEEKNVVEEEQKHDSAEVTKSEEKNVEEEEQKYDSAEVTKSEEKNIGEEEPKQDSAEITKSEEKNVEEAEPKHDSAEITKSEEENLEEEKADEKREENEVENDVAVEKDEDFDAEKDEDFDAEKDDDVDVEKEAEKDDAVDAEKEVEKEEDVDAEKEDEEDDEEAEEDEDEIEEGESNSKTPKSKESEKKGGQEKDPVTPVSDRPTRERKMVERYSIPSPSKSRRSSSSKALPIEKGRGTQLKDIPNVAFKLSKRKPDDNLHTLHSILFGKKTKCTNSVTPWLFLNDVQAHNLKRNIGQFSGYVWVENEEKQRAKIKERIDKCVKEKLVDFCDVLNIPINKGNVKKEELSSKLLEFLESPHATTDVLLAEKVQKGKKRTRKVTPTKSSGEASTEASAKVSLSLYCITLFALLVGFFHFVFGGAGVMVCLHPLVSAPPLFGTLQKQKQTSQVGKKRKQLSDNEEDDKAELELSDAKDESQEDEDVAAVPNNESDDNGSKSEEEDKPKAHKRAPKKIGKEGSVAKAEERTPSVKKTSVKASKSNEKTPKKSSSKKTVSDHGSASASSKSKQIEPASKKQKSVKEKQDSKGKSASKKQTDKPSKALVKDQGKDKSSKKTKVAEPRREEMHTVVVDILKEVDFNTATLSDILRQLGTHFGLDLMHRKAEVKDIITDVINNMSDEEDEGEEAVNDGDADKDDDDGSDSDA >OIW17048 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_550:46648:52098:1 gene:TanjilG_00187 transcript:OIW17048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELTKDSNSSNPLLMHDSDSELELYTIPISSRWFIWDEIHETERTSLKEFFDGSSLSRTPKIYKEYRDFIINKYREEPSRRLAFTDVRKSLVGDVTVLQKVFKFLENWGLINYGAPPLGAEGGDVAEEVEEKWKVRVEEGAPNGIRVSATPNSLKPISLPRGSVIAKTGKDAGGSGGGGAGIKLPLLASYSDVYGDLLRQKEVNCGLCGDKCDSRHYKSTQDSFIICAKCFKNGNYGETRTEGDFISNESSENSGKHEAAWTEGETLLLLESVLKHGDEWELVSQSVQTKSKLDCISKLFELPFGELILGSAHRNVNSNSANGIVNNAQQVQSSSSDHQETSKTQDQSLEISNENEQNGDAVKESLSKRQRVTPLSDSSSSLMKQVGLISTVVDPHITAAAADAAIMALCDENLCPREIFDAKEGYAPTMNSLHSNSARALDGEELEMERSTESEIDDKCPNDDIPLTLQIRAAIATALGAAAARAKLLADQEDREIEHLVATIIEAQIEKMQHKVKHFDDLEQMMEKEHAETEELKDSILTERIDVLRRTFRSGVTRWKDYSYVKS >OIW17055 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_558:15157:15483:1 gene:TanjilG_00189 transcript:OIW17055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSSSSALLGRNRGTVRVILARPYAFIAPTESMTYHHDSIVATEVRIWMGYGNNQLLLMSVFYDRDDYGYLSFSY >OIW17078 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_559:12786:14993:-1 gene:TanjilG_00190 transcript:OIW17078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEENKPAEPKKPEEEEKNKKKEEEDEAKPAEEKKPEEPKTESASPPPPPPEILLKVFMHCEGCARKVRRSLKGFPGVEDVITDCKSHKVVVKGEKADPLKVLERVQIKSHRKTELLSPIPKPEEKKPSEEEKPKLEEKKEEPQIVTVVLKVHMHCEACAQEIKRRIQRMKGVESAEPDFKNSQVSVKGVYDPAKLVEYVYKRSGKQALILKQEPEPAKEEKAEEAKEEKKDEEENKEKKTEGEEEKKEEEKKKQETEGDTKPEDEAAIEETKIAEVKKNEYYYYPPRSGMEICAYPTYPSYPPQMFSDENPNACSIM >OIW17100 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_561:17395:17868:-1 gene:TanjilG_00191 transcript:OIW17100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFRVMDLNTPFYNTLHNMFDLTDETPLNKNLSFDENVLLICVERKREEENDGVKYLRMERRVGKFMRKFVLPENANSNAVSAVCQDGVLSVTVQKLPPPHPKKPKTIGVKVA >OIW17101 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_564:6694:8580:1 gene:TanjilG_00192 transcript:OIW17101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQTCVDTSLNLNVIPSRHIDLAAEVLVEELHRLSSENKRLTETLNKVCESYDALQRHLSHLKDKDIEKEGTPLHKRKVESEINCMNMFGISSFTECSTITEDETFKRPRNNNNNLPKVSKVLVRTEASDTSLYVRDGYQWRKYGQKVTRDNPFPRAYFKCSCAPSCPVKKKVQKSLEDQTILVATYEGEHNHDNDQAEISLSSTSHGEKHAGLVPISSAMVSTTNPTVTLDLVQSTIIDNAQKSSIQKLLIQQMATSLTRDPNFTAALATAISGRILEHTNSME >OIW17109 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_569:9500:17032:1 gene:TanjilG_00193 transcript:OIW17109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARRVDAILITDTDAMICGILTDMDIVTRVIAQDINLQDTSVSKVMTKNPVFVLSESLAVEALQKMVQGKFRHLPVVENGEVVALLDIAKCLYDAIARMEREAEKGKAIAEAVEGVEKQWGSPASAPSSSIIATLREQIFKPALSTIVPDNSKVVTVSPEESVLITAKKMLELRASSAIVTVDNKPHGILTTKDILIRVVAQNLPLESTVEKVMTPNPECAVIDTPIVDALHIMHDGKFLHLPVVDRDGSVVAVVDVIHVTHAAVATIGSNEAVTSMMQRFWDSAMASTPNDDEDDETLRDNFLKTASEGGETLRSLPYLSSSMSNTFSFKVQDKKGRMHRFTCDARSMTKVITSVLQRLGNDIDPNKLPQIMYEDEDRDKVVLSSDSDLAVAVEHARTAGLKGLRLHLGYVGKHGDRKGSSSGRLEYANSESWASAYSAVATGAAFAAGLGLLTYLKRV >OIW17115 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_571:11834:12415:1 gene:TanjilG_00194 transcript:OIW17115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLEVAVIIAMKGHPGSGKSTLAHSIASSLQIPLLDKDDIKNCTIPLQHTSPSPLLNDLSYHAIWNIASTQLRLGLSVILDSPLSHRSHLDHLLHLAASLSARLVVIECKPSDHDEWRKRLERRGGGGGHKPATWQEMEKLLQEYGGCTEYDVADVPKMVADTTAFSLEQLCSAALEFIFSYAAPISTSTQN >OIW17117 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_574:14843:16590:1 gene:TanjilG_00195 transcript:OIW17117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLIGFLPPLSCSYTNNNTIHKLVPTPKLNTENPNLGQRVLPNLLSLALALTFTTTPLSSYAIPSLNSQPPSTSLTTPFSQSKNLELGLENGKIRPCPSINPGCISTNPKSSSFSFPWQIPEQESDNAIQKLREAILKTQKNVKFQLVEDTPNGQYLQAEVDGGFDRDVLEFLVKGDVVSYRCMAKKVNYVYPFTTAFGDSKGQEGKIKQINDLLGWYAPSFDSME >OIW17123 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_578:55056:55247:1 gene:TanjilG_00196 transcript:OIW17123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGWLRSGFPSSALLDEGGERQGRPDHGRGGAAVSTPMLVVPEEVNDIIGCRSMFSGFQKTP >OIW17124 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_578:59903:61014:-1 gene:TanjilG_00197 transcript:OIW17124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLFKGDYLWRANIFESKKNALQKNWFTNEDINSLEELIGRLKRLKEKVGFIIMKLAI >OIW16476 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_58:21576:48995:-1 gene:TanjilG_00048 transcript:OIW16476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVDSPSPMATASASKRGGGGLCILSSSRVLLRLLTVKGVGIPKSPFLFSFIYHSLTILSFSRWSPKLVLGYAYPGYECFKVVEKNRVDIEELRFWCKYWIILALFTVLEKFTDILISWLPLYGEMKLGLIIYLWYPKIKGTGVIYENVLRPYVSTHEHDIDRKIHELKVRARDLTVYYWHYCAQHGQEAFLQVLQYLALQTTKISVNVPTPKNEVQGQSESAPQKPSTQSSIDEKQSSSISKGLKRPPSPTPSRVIQRNISETPKAKAMEVDHNYHTEYSEKKETILEPEPGTIYEGNDVHRVNVKDGVSQARARFSKKLDLQNFRVSPIPPQKEL >OIW17138 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_587:13020:13355:-1 gene:TanjilG_00198 transcript:OIW17138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLGGGKHIPKDKESPYVLHYSGYYGKTGGVGEKRTLKVDVVIGADGANSRVAKAIDAGDYEYDIAFQVIALVSF >OIW16540 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_59:21277:21807:1 gene:TanjilG_00049 transcript:OIW16540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSRRKLVLNTVSVNIGCGSCRRPKLLHIFNTKPKPKKSTYQKHKLLDHSSSSSTNTTATTFSPHYNEPSQLSEDMKSSKSVRGFGRVGTEGVAVEKDSEDPYLDFKHSMLQMILENEIYSKDDLRELLNCFLQLNSPNHHGIIVRVFTEIWNGIFSVRSNSSRFHHLTCNSLDF >OIW17156 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_593:11230:13728:1 gene:TanjilG_00199 transcript:OIW17156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRSFTRYVEEELGKFPYFVLYVFLEWVLIIILFLDGFLAFLGNEFARFFELKIPCWLCTRFDHVLVHRNPDFYYNESICDSHKKDISSLAFCHNHKKLSDIRKMCEGCLLSFATERESDIDTYKSLVGILHKDLECFVEDGQPIQLSLKDEDITHVETSRTQKCSCCGKPLRIKSSHGKPRRLESFARAPTPSPRAYPFSAFKNEENNALDSPRIPYNELKFMENDLELQEYDDGSNVNNQNIKLREDPKVLSMPLMTEANNLNDESHKLTPTFSRSNKFFGIPLTDSANNSPRWSYRINRKSTLEKTELAYESNEVNIQNDFDDAILNNLKRQVRLDRKSLMALYMELDEERSASAVAANNAMAMITRLQAEKAAVQMEALQYQRMMEEQAEYDEEALQASNDMIIKREEEIKVLETELEIYRNKHGMLAEEDVLKVANISYGDSNSTLSLNGGEDSDEKGLVSDQLALSQAENGGIKPVELIKDFKAEKTYLLGRMKKTENRTSITESGTYSLNSSSDSINTLGIETGIGSEASLPKELSSLTERVKTLKENSGFLEIVSKKPEKNCEGTKILTEISMNLEKLSHLVMTSIEVDNNFTEKDD >OIW17157 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_594:2121:2420:-1 gene:TanjilG_00200 transcript:OIW17157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPERRRYCKWSSQSNPKEEHHSSDTSNSKLVKMHVMDEGTSNGTLDVDMLKDYIQALLKAHLENIMDRFKQDKDCLNEGLEIMEIVIDSGFDDIHTRL >OIW16084 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_6:29772:33890:-1 gene:TanjilG_00041 transcript:OIW16084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGVIDGGHGKRAHLYEHKYTHYFAFTCIVGAMGGSLFGYDLGVSGGVTSMDDFLKEFFPAVYRRKNAHLHETDYCKYDDQLLTLFTSSLYYAALVMTFFASFLTRKKGRKASIIVGALSFLIGAAINAGAQNIPMLIIGRIFLGGGIGFGNQAVPLYLSEMAPAKNRGAVNQLFQFTTCAGILIANLVNYFTEKVHPYGWRISLGLAAFPATLMLIGGILCAETPNSLVEQGRLEEARRVLERVRGTKNVEAEYLDLVDASAEAQAVKDPFRTLFKRKYRPQLVIGALGIPAFQQLTGNNSILFYAPVIFQSLGFGANASLFSSFITNGALLVASLISMFLVDKFGRRKFFLEAGFEMIICMVAVGVCLAKEFGHGKELSKGISVFLVLMIFLFVLAYGRSWGPLGWLVPSEIFPLEIRSSAQSVVVCVNMIFTALVAQLFLLSLCHLKYGIFLLFAGLIVIMSCFVFFLLPETKKVPIEEVYLLFENHWFWKKIVA >OIW16083 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_6:24239:28350:1 gene:TanjilG_00040 transcript:OIW16083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLTSSPMLLKLPIRFPIFSPPFDSNQNPPSSTVLRFSRWNTNTDNHRRSSSSDELDTPSSPSQRSIRYSKWKNKKQPEETPTSKSHPAFRFSNIPKSKPIPLKEAPDNIKMSDDGVSYVIDGAPFEFKYSYTETPKARPVKMREAPFVPFGPATMPRPWTGRAPLPPSKKKLREFDSFVLPPPDKKGIKPVQSPGPFLPGTNPRYVKTREEILGEPLTKHEVGDMIRSCLKSSRQLNIGRDGLTHNMLDNIHAHWKRRRVCKIKCKGVCTVDMENVCQQLEEKTGGKVIFRMMGVLYLFRGRNYNYRSRPYFPLMLWKPIPPVYPKLIQRVPEGLTLDEATKMRQKGRDLIPICKIGKNGVYCNLVNNVREAFEECELVRINCQGLNKSDYRKIGAKLRDLVPCTLLSFENEYILMWRGQNWKSSLPDVGDVSKGDSEVDVNNENYKTLPSDTQELSAPLNSLVEAASNLSHDTTISTSSSDMTLDEVEVPFLTENSKQPVSMITDSASLTTTFEAETTHNVTDFSEAETTNNVTGSYGEPEACGSTIASMTISDYDSCAEYPSEAMSGSHGTEDRMDNKSSSDSLFVSVSRSVEIQDAVDNYINGMEDPHADKLLDDSGVGDVSPLAASPWTDEILLLLEQAVEKGSALVLDEVPLDADKIYQTTVSFSKSASPGPVFRTHKKVGAKKSKKQEVSTLETKETNTVAIEVIPIKANAIKVKREKSSKIPKRGNFDQFLNVVPQGTLGVDELANLLS >OIW17194 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_604:1963:5924:1 gene:TanjilG_00201 transcript:OIW17194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMMMKQWYTRATATMALVTLQFHRPSFSRLSQQRLTMTSNTTNYGDDLNAIFNHKRMLRTQVKKVLKAIEPSLRSQQDHDIQNIILGAPWFKSSLRLCAYISCSALREVDTSKLLSEILKGPASGGKKLYVPRVEDKNSHMRMLNISPIDDLVVNSMDILEPAPVDSDGNAPEDVMQANDPVDLFLLPGLAFDRSGRRLGRGGGYYDTFLENYQDLAKTRNWKQPLLVALSYSEQILEEGVIPITPSDVPIDALVSPEGVIPISTAAFNRVSQVLASSSIASVLQPHNSSSIDSRPSSPVDAVYPFIPS >OIW17198 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_606:932:4567:-1 gene:TanjilG_00202 transcript:OIW17198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPPLINDSSNSTFSPANPSAYTLSEIWPFSATEPHTTATGGLGLRIGNLVHGVNLTSSFAAADICRSMLRDGSAEESTVTEQSGGGVVGATRNHRKRKGAASEDEYCKFVSTSSANELNDCDDKRIKLRGSTIENDDLKAEADESSEAGNKSNELSTKPYETPKQDYIHVRARRGQATDSHSLAERARREKISERMKILQDLVPGCNKVIGKALVLDEIINYIQSLQHQVEFLSMKLETVNSLSNIMNSTLEGFSSKDVSTRPFDAAEIIFGSQATRGDAQGSRPGWLHMQIGGGFERST >OIW17235 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_609:43997:46354:-1 gene:TanjilG_00203 transcript:OIW17235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAISLYRGNLHRTPDVPRRWLMPNPKITLKDFKSLLARRSKALSRLNATTPNPNPNPDPANVSARFNLDPAPPVTNHGEGPSRKPEEEEEESLKEMDSKKPVEGSDLFIDSKNDDLEKISEHVDDGAALIEKHTDPITDNVDMLDDKEKRKKEVEDKLQILNAKKHNLVLVLKQIGCVDRQILNAEEELKRRNSMQAIAMHGPSVPLQTNGTHDSGSMTRHLVPRLGSEGNLVGDLEGSEADDLVNHNMQSRQMLRTSSMSPSSESPLRRTTSTQPNMASYTPRASLGATSSPSRFALSGHQGNPMNLASVSVSGTSYIASSPSPAASGGTSVFRDAQQPSPWK >OIW16541 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_62:4950:5714:-1 gene:TanjilG_00050 transcript:OIW16541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFSFLKNNTNASTRSTSSWAWPSCHQPRTLSFRTNNNNNKEENAVMFKTINSAYLESESSKSFFTEESRDEESASFSTCSEEETMIRGLRSDRLFFEPDETSSILEAKLVSPPFKDSMVMSMVSKDPYVDFRESMEEMVKIHGVKDWESLEELLCWYLKVNGKSNYPYILGAFVDLLVGLGVESASSLSPSTTSHFGCSTSSPVSSSSSSMYSSRCSTLCASCLEEKEKVNAPCSSLLLEQVKEEITHEDNEA >OIW17241 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_622:6137:10633:1 gene:TanjilG_00204 transcript:OIW17241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRKFETLVSVTRKRSIQFLIVVAVLYIILVTVEVPFVFRTGFDSINQDFITTQRSPKLLSEENLLEKEAPTRPFKRVSQNTTHAPSQLVRRNHKLVSGLVFDDTTFDATRKYGSSELYKVAKHALDVGLTLWKELRSGKIKINTVTAKPENRTESCPGSIWVPGSGFLGVVALPCGLTLGSHVTVVGKPKSARADFEPRISMVREDEESVMVSQFMVELQGLKTVDGEEPPRIFHFNPRLKGDWSEKPVIELNTCYRMQWGSALRCDGWKSKADEDTVDRLVKCDKWIRDDENHEEESKATWWLNRLIGHTKKVTVDWPFPFTENKLFVLTLSAGLEGYHVTVDGRHVVSFPYRTGFALEDATGLSLGGDVDVHSIFAASLPSTHPSFAPQQQLEFSTRWLAPPLPESGVELFVGILSAGNHFAERMAVRKSWMQHRLIKSSKAVARFFVALNSRKEVNTELKKEAEFFGDVVIVPYMDNYDLVVLKTVAICEYGVHTVSGKYIMKGDDDTFVRLDAVIDEARKVPDDMSFYIGNINYYHKPLRSGKWAVTYEEWPEEDYPPYANGPGYIMSADIACYIVSEFEMHKLRLFKMEDVSMGMWVEQFNSSNPVKYIHSLKFCQFGCVEDYYTAHYQSPRQMMCLWEKLQRQTRPQCCNMR >OIW16553 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_64:6041:9522:-1 gene:TanjilG_00051 transcript:OIW16553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMAYSTTFFTPFHDFTQFRTNPPIPSVPFIKTCSFGSSNSAFFQHHGFSQQTTSSSLPRFLIKPKSFSLHARAATEKTIYDFTVKDIDRKDVPLSNFKGKVLLIVNVASRCGLTSSNYSELAHIYEKYKTQGLEILAFPCNQFGMQEPGSNLEIKEFACTRFKAEFPIFDKVDVNGPFTVPVYQFLKSSAGGFLGDLIKWNFEKFLVDKNGKVVERYPPTTSPFQIEKDIQKLLAA >OIW16554 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_64:12623:12886:1 gene:TanjilG_00052 transcript:OIW16554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLIKINDISISINYFLHQCIFNEDSLNDVRRWMRWRYASEARGGSTDTIVWGSETSSRGVGDAMRKRHRRASIATARHSLHEKANT >OIW17242 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_651:4418:5398:1 gene:TanjilG_00205 transcript:OIW17242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSMGTPSTVLNLKAEPQNITNSFPPPPQFQPENAAADITKSVNELGSLAAAIEVFKNRYDELQKHFDFITKAIDEESNEITASVSLNQGTVTTTAIGGDVAVQTVTENDGKSLDSANIPKLNVNDNENEIVKDGIENGNENVKENESEIVTLCKTMDSRGFRRYILMHIPDDEAALREQVPEALKSSPNPAKLVFESIGRFYVSGSKAYMKTPHMVRARQASVLVLECYLMFGGVESEKEVDKSLKKDVGFAAFEWRNRMVVEGGVAMAVEIDARGLLLLIVGFGISNLFTNEDVLNLVRLSNPGQISQVLRKSQALFKRVSGK >OIW17243 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_651:13685:14793:1 gene:TanjilG_00206 transcript:OIW17243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGLMKRGMAVEVVDLAYTFGFEEKYSIQAMLSSFLQKSADAWRKAKVKQESHDIPSVLKEASEKYLNALKSVVKCLEGHRIDLETLLPGWHLKDKIINLEKDISDINKKITENVGPKRKVEKNSSPKKVKIPKSKRSRLHEDDPYVASPSLAALQEQRIASHIDGNTSYDDLLVARYLDGRSYGYSKYFPTASSSQIGSVSGSLPESYPGGTVADTGNMHGTGMAAPAISAGFGAPIGSYSGYQGDMMIDNIGTMPNSNSHLYYSRLHGIGEGSLLVSDERSVGPSLGGHQTTAQVDDLYGRTSMNAISRYPDHPSMGHASRSGGSDLYSFADSIFDR >OIW17245 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_651:44594:46875:1 gene:TanjilG_00208 transcript:OIW17245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCKIQGERVGLPYLPAFADTVEPSDNIFSGVNYASAAAGILDETGRTLGERISFSQQVRNFETTLSQLNDQMENRSLSEYLAKSLAVLNHGSNDYINNYLLPELYGTSYIYNPSSYAEVLVRIYKNRLMDLHGLGLRKFLLAGIGPLGCIPNQLSRRLVQPGQCAAYVNNLVSMFNVALKTMVDQMNAEYNDSIFVYVNAYEIIADVIDNPNPYGFSVTDRACCGLGRNQGQLSCLPMSIPCTDRTEYVFWDAFHPTEAVNNILVSKAFTGPPSICYPINVKQMAEM >OIW17244 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_651:38528:39230:1 gene:TanjilG_00207 transcript:OIW17244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQCATKENKEMPNQVEMFCETRQSKKGEPLDQETTNARAQLKDLIENSSHQPHEAFQSVFGKEKPGRVRCHGRVTTPTLLKRTEKIAKFEKKHVDELKLLNDKVEKMEAKYKQEMSSMEQKFQILLTNVINQNNSGVDVEALATMLLTSGTSILTHVPNNDKVISYLFISSWLQHG >OIW17249 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_654:9202:9426:1 gene:TanjilG_00212 transcript:OIW17249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALMGGFARIGNNEITILVNDAEKGSDIDPEEAQQTLEIAEANLSKAEGKRKTIEANLALRRARTRVEAINIIA >OIW17251 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_654:15283:15894:1 gene:TanjilG_00214 transcript:OIW17251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRYRGPRFKQIRRLGVLPGLTSKRPAAIVGSELRNQSRSSKKSQYRIRLEEKQKLRFHYGLTEQQLLKYVRIAGKAKGSTGEVLLQLLEMRLDNILFRLGMVSTIPQARQLVNHRHVLVNGRMVNIPSYRCKPQDIITTKDEPKSRALIQNDLDSAPRDELPAHLTLHPFQYKGLVNQIIDSKWVGLKINELLVVEYYSRQA >OIW17246 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_654:493:1554:-1 gene:TanjilG_00209 transcript:OIW17246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAILERRESESLWGRFCNWITSTENRLYIGWFGVLMIPTLLTATSVFIIAFIAAPPVDIDGIREPVSGSLLYGNNIISGAIIPTSAAIGLHFYPIWEAASVDEWLYNGGPYELIVLHFLLGVACYMGREWELSFRLGMRPWIAVAYSAPVAAATAVFLIYPIGQGSFSDGMPLGISGTFNFMIVFQAEHNILMHPFHMLGVAGVFGGSLFSAMHGSLVTSSLIRETTENESANEGYRFGQEEETYNIVAAHGYFGRLIFQYASFNNSRSLHFFLAAWPVVGIWFTALGISTMAFNLNGFNFNQSVVDSQGRVINTWADIINRANLGMEVMHERNAHNFPLDLAAAEAPSING >OIW17247 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_654:5341:6789:-1 gene:TanjilG_00210 transcript:OIW17247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCREGFMSPQTETKASVGFKAGVKDYKLTYYTPDYKTKDTDILAAFRVTPQPGVPPEEAGAAVAAESSTGTWTTVWTDGLTSLDRYKGRCYHIEPVAGEESQFIAYVAYPLDLFEEGSVTNMFTSIVGNVFGFKALRALRLEDLRIPNAYVKTFQGPPHGIQVERDKLNKYGRPLLGCTIKPKLGLSAKNYGRAVYECLRGGLDFTKDDENVNSQPFMRWRDRFLFCAEALYKAQAETGEIKGHYLNATAGTCEEMIKRAVFARELGVPIVMHDYLTGGFTANTTLSHYCRDNGLLLHIHRAMHAVIDRQKNHGMHFRVLAKALRLSGGDHIHSGTVVGKLEGEREITLGFVDLLRDDFVEKDRSRGIYFTQDWVSLPGVLPVASGGIHVWHMPALTEIFGDDSVLQFGGGTLGHPWGNAPGAVANRVALEACVQARNEGRDLASEGNQIIREASKWSPELAAACEVWKEIKFEFQAMDTL >OIW17248 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_654:7532:9028:1 gene:TanjilG_00211 transcript:OIW17248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKNTTTSDPKFSAFQKENPGRIAQIIGPVLDVSFPPGKMPNIYNALIVKGQDTVGQQINITCEVQQLLGNNRVRAVAMSATDGLMRGMEVIDTGAPLSVPVGGATLGRIFNVLGEPIDNLGPVDTRTTSPIHRSAPAFIQLDTKLSIFETGIKVVDLLAPYRRGGKIGLFGGAGVGKTVLIMELINNIAKAHGGVSVFGGVGERTREGNDLYMEMKESGVINEQNIAESKVALVYGQMNEPPGARMRVGLTALTMAEYFRDVNEQDVLLFIDNIFRFVQAGSEVSALLGRMPSAVGYQPTLSTEMGSLQERITSTKEGSITSIQAVYVPADDLTDPAPATTFAHLDATTVLSRGLAAKGIYPAVDPLDSTSTMLQPRIVGEEHYETAQRVKQTLQRYKELQDIIAILGLDELSEEDRLTVARARKIERFLSQPFFVAEVFTGSPGKYVGLAETIRGFKLILSGELDSLPEQAFYLVGNIDEATAKATNLEKESKLKK >OIW17250 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_654:12195:12671:1 gene:TanjilG_00213 transcript:OIW17250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGRLSAWLVKHGLIHRSLGFDYQGIETLQIKPEDWHSIAVILYVYGYNYLRSQCAYDVAPGGLLASVYHLTRIECGIDQPEEVCIKVFVPRKNPGIPSIFWVWKSADFQERESYDMLGISYYNHPRLKRILMPESWIGWPLRKDYIAPNFYEIQDAH >OIW17549 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_655:1245:1859:-1 gene:TanjilG_00215 transcript:OIW17549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILGNLIAITQTSMKRMLAYSSIGQIGYVIIGIIVGDSNGGYASMITYMLFYISMNLGTFACIVSFGLRTGTDNIRDYAGLYTKDPFLALSLALCLLSLGGLPPLAGFFGKLHLFWCGWQAGLYFLVSIGLLTSVVSIYYYLKIIKLLMTGRNQEITPHVRNYRRSPLRSNNSIELSMIVCVIASTIPGISMNPIIEIAQDTLF >OIW17550 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_656:3705:5244:1 gene:TanjilG_00216 transcript:OIW17550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITMNPFPPPKDFTLLFGLVVKLKHYATAISLIKHLYSLGVKPNVFTLTIVINCLCHLNHTHFGLSVLGIMFKIGLNPTLVTLNTIVNGLCVEGHVGQAMRLVDEFDKMGYRPSSHMYGSMINGLCRMGDIPAAIRFLKKMEERKCKPSVVAYSTVIDALCKDRWKEASTLLSAMMQKGIFPDVQTFTILVDAFRKEGLIMGAKSIISYMIQMGEEPNVVTYNSLISDVDKAIYLLGEVVSKGLDPDIFTLNTLIGGFCKARKPLASKELFSTMHKYGQLPDLQTCAIMLDGLFKCNFHSEAILLFREMEKMNLDLHILIYNIMLDGMCSSEKTKDVRKLFSSLPAKGLKFDVYTYTIMIQDLCKEGLLDDAEDLLINMEEDGCMPDMCTYYVLVQRLLRKYDFSWSTKYLQIMKDKGFSIDATTTKLLIYSFSANERHDHLHEFLQQTV >OIW17551 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_656:9997:21275:1 gene:TanjilG_00217 transcript:OIW17551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELGNHNSGECPPKIHRLSHSVVKRITAGDVIQHPLSAVKELIENSLDAHSTNINVIIQDGGFKLIQVNDNGHGVRYEDLAIMCGRHTTSKLLKFEELMRLKTMGFRGEALSSISHLGDVTVTTITQGSVHGYRVSYKDGAMEHEPKPCAAVKGTQVTVENLFCNMAASKKALQNSHGDYKKIVDLVSRFALHHTNVSFSCRKYNANRPDVHTSVTSSRLDVIRSFYGIAVAGCLKEINVADSNPSPAVFEMQGFMSNATYAAKKMIMILFINDRLVEWSALQRAIEVVYTKKLHWASKPFVYISIVLPPGQIDVNMHATKKEVSILNQEAMMEQIMSMVESRLRSSKEAQALEEQQTARQSSPSQINTSMKANLNTEAMESRSKKVPVHKLVRTDSLDLAGRLQACGQTKFDGHTEKGACSNAVRSSVSPSRNPETAADLTSVQELLAVINNDCDPAMMDILRHCSYIGMTDAVFVVLQHHTHLYLANVVNWSKELMYQQFLSQFAHHNAISISDPLPLKDLIFLALKEDDIDLEVNDDDNLKEMIAEVITL >OIW17552 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_663:1171:1470:1 gene:TanjilG_00218 transcript:OIW17552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMWKFFSKWGTVGDVFIPHKRDKMGRKFGFVRFKRVVDGCKLKSELSNVLIGLFKVIVNTPRFQRQALNSKVSPTPSPVHLQKRPALLESGLAVLNVL >OIW17591 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_664:15676:16176:1 gene:TanjilG_00219 transcript:OIW17591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVTTAEVCDANPQLIMSGELRALQPTFQIYGRRQVFSGPIVTLKVFEDNVLVREFLEEKGNGRVLVVDGGASLRCAILGGNPVVQAQNNGWAGIVVNGCIRDVDEINGCDIGVRALASHPMKANKKGMGEKHVPINIAGTRICDGEWLYADTDGILISRTELSV >OIW17599 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_670:8787:11787:1 gene:TanjilG_00220 transcript:OIW17599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVEDQNHFFLFPKPSNWLEKLLSFQVDFIYNCLVSIFSPIYSLFTLTSEYYHRAEETKENVESEVQQAPSQITYGSKVLLKKLGLGFLSAAYVCMVLVLVLVVASVVGVCLVRLWVEEPVFVKENMYFDYTDANPTAVFLFDGGVGGHIKKKQISVPVGHTFDASLVLVMPESDFNRDLGVFQLSAELLSVNGNVIAKSSQPCMLRFRSSPVRLARTVLMGVPLVLGISGEIQKINVEIVRHKEDHRRTNAIRVTLHPRAGTSSLPEIYEAEIVMNTHLPWSKELVRHWKWTFYVWVSLYVYIVLLIFLLCFYRPLIFLITPEYFGHDRVSEVTSGEHNELQVREGDGSEVSELLNKWRSRRKRKAITTYGGGSGGDDGVGETIGSSASSISKTTREDVTSVAVEDDVEDSESVCLG >OIW17600 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_681:26611:31268:1 gene:TanjilG_00221 transcript:OIW17600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDEDKNNKENRNKGIMGCSDSKGGDFQTKRSIAERRGFSSNAAKINTSRFRTMTTPTSSSLNPLGSSCPSLAACRSPCLTIPPGISPTALLDSPIMLPNSQAMPSPTTGTYFQLPMFTNQQTMMSNEQGKVDDLRTVTDAAVASFKFKPRATHVDPKSLSHFSTSLNQVSSDCNMMKGRNSNSQHVQVQQSVDFSVNTDFPKRYDFGAHLYNDVKMVDDANVNASNSDMLMSHSEEASDESTQPKNVLEGDQKETSHATGIERSSEDGYNWRKYGQKQVKGCEYPRSYYKCTQPNCLVKKKVERSHDGQITAIIYKGSHNHLKPHNLHRASALSNDEMLDMGEANESGVNVDGGLVWRNIQSSIRNSQHGLDWNSDFQERASSDSTGTQLSDPISTSKAKSISMLESEDTAEFSSRVASHDGNEDGTTQTPASLEDDAEHIESQSKRRKKESSLVESNLPPRALREPRVVIQIETEVDILDDGYRWRKYGQKVVKGNPNPRSYYKCTSTGCPVRKHVERASHNIKYVLTTYEGKHNHGVPTARHSNHFRSSDGRLPPGGANGQMALTLTRNGSTPNPETQVQSLAPHFDRKPEIGNEFLRSNLVGSFSNDMKFGPSSMYQMKYPSLNNTMPYGSYGLNHDRLAGPQGGPLSSVFPDFSMPSNLPSSSVNFSMNGINFNRVKPMGPVHQSFHSGQHVKEIESGFIRPKQEQKDDMMYGTCLPTVDHANASNASSSASPSIYKRAMQNFPA >OIW17601 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_696:8361:8678:-1 gene:TanjilG_00222 transcript:OIW17601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGKAKPKKHTAKEIAAKADAATTNRGGGKAGLVDRTGLEKGGHAKFECPHCKVTAPGMKSMQIHHEARHPKIPFEEEKVINLHAVLAPVVATKPKPGIRGSLKK >OIW17602 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_696:52629:53000:1 gene:TanjilG_00223 transcript:OIW17602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EVAFEYKTYEEKPKWLEHNYFGVLSENLECNNPKGEHFLDCFFSIRVSPLGCRTVLLRADEEGELEELLKEERVWFGKCFELIRRWEPSDVATDRYIWLQCYGIPLNSWEEILFRNISNQLDS >OIW17746 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_701:29408:31515:-1 gene:TanjilG_00224 transcript:OIW17746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRILDIESGDEDEAVLVPPSNFSMVEEAIFRSSFPQPSNFPFLQTLNLRSIIYLCPEPYPHENLEFLQSNNIRLFQFGIEGKKENSSPVRTHSIMEALKVLIDVRNHPVLIHCKQGKHRTGCLVGCLRKLQNWCLSSVFEEYQRFAGAKSRIMDLTFIETFDILILRQCLYSIIYQYQGYGSKKRRLLYKDESLQKPGLTSF >OIW17754 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_703:30900:35579:1 gene:TanjilG_00226 transcript:OIW17754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSFSQSSSLAVTPLNLKLNLPFSQFHSQLAPKPLKFPFPSIRIQCTSFNDKTLISPITPKSPNPGRIHAVAQLPAPTSGQESAKSYVEAYAIGRNLRMSADKARRVVDQIRGRSYEETLMILEFMPYRACESIIKIVFSAGANASNNLGLSKGSLVISKAEVNEGKTMKRMRAGARGRAHPFKKRTCHVAITVKGLANRIGQLQGLRKRSHHDNQIGGSIPSALGLIPNLRGLDLSPNNLDGEIPVFFDNLSDLNFFNVSYDNLSGLVPTLLAKNINSSSFAGNFQLRGRSTSNAESGQATGRASSTRTQKGVPPAAGEVEAGGDNGGKLVHFDGPLAFTADDLLCATAEIMGKSTYGTVYKATFEDGSQAAVKRLREKITKGRREFESKASVLGRIWYPNLLALRFHYLGPKGEKLLVFDYMAKGSLASFLHACGSETIIDWPTMMKIAPGTAHGLSYLHSHEKIVHGNLTSSNVLLDENTNAKMANFSLSRLMTTGVNSNVIATAGALGYRTPELSKLKKANTKNDVYSLGFILF >OIW17753 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_703:6859:8131:-1 gene:TanjilG_00225 transcript:OIW17753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHRMERLVILPFSAGCISDASVAVGVPQPRRSGSSNTNTPVPETKGSEDPENLESLYGESMKNLLRFLDVVPKPNISNGFHRIFKGFKNFSRLFVEKEEELEELTLGMEIGSPTDVQHVTHIGWDGIATTTSSHDPMKGWDNLIPPELLFLSTQSSRN >OIW17796 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_704:20:308:-1 gene:TanjilG_00227 transcript:OIW17796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITTNLLEKIARRFGSRRQRGGGEACEGWDEAVLGGPQPRLPWHQEVRVAMVFPFEVLIFTAVTKVR >OIW17849 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_705:27639:32632:-1 gene:TanjilG_00229 transcript:OIW17849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLSTHTLFPIITQNAAFPSVAVTKKLNSFNNQQSSKDLRRKFVCACIAPPPRNFKSEEDSSAINFNGSSKSEQLSTVRDHEDDSDVLIECREVYKSFGEKKILNGVSFKIRHGEAVGIIGPSGTGKSTVLKIIAGLLAPDKGEVYIRGRKRVGLISDEEISGLRIGLVFQSAALFDSLTVRENVGFLLYEKSSMSEDKISELVTECLAAVGLKGVEDRLPSELSGGMKKRVALARSIIYDTTKDSIEPEVLLYDEPTAGLDPIASTVVEDLIRSVHIKGRDAVGKPGNIASYVVVTHQHSTIKRAIDRLLFLHNGKLVWEGMTSEFMTSANPIVQQVSCLALSRLK >OIW17848 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_705:15243:17396:1 gene:TanjilG_00228 transcript:OIW17848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTWVLLLLCLILLSPTPSHSLDIDPQDKTSLLSFKSWLQDPNQSLSNWVASNSNCTIWTGITCDNITGKVVSINLTSTNLSGQINPSLCHLLSLKNLVLSHNNLTCPIPVCFGQLLSLRTIDLSQNMFHGGIPESFMKLRHLTELVLNGNKGLGGSIPSWMGNFSARLERLDLGFSSFSGGIPESLLYLKSVKYLDLESNLLGGNLVDFHQSLVFLNLASNRFSGTLPCFAASAKSLNVLNLSNNSIVGGLPACIASFQALTHLNLSGNHLKYRLSPKLVFSEKLLVLDLSNNDLSGPIPSKIAETTDKLGLVLLDLSHNQFSGEIPWEITELKSLQALFLSHNLLSGEIPARIGNLTYLQVIDLSHNSLSGTIPLNIVGCFQLLALILNNNNLSGVIQPEFDALDSLKILDISNNRFSGVIPLTLAGCKSLEIVDFSFNDLSGSLNDAMTKWANLRYLSLAQNKFSGTLPSWLFTFEAIEMLDFSHNKFSGFIPDINFKGSLLFNTKEVMINEPLVAASNVQLRVSVIVSDSNQSSFTYDLSSMVGIDLSSNFLHGEIPRGLFGLTGLEYLNLSSNFLNGQLPGLQKMQGLRALDLSHNSLSGHIPGNISSLQALSLLNLSYNCFSGYVLQKQEYGRFPGAFAGNPELCLEFSGGKCDDRRVPSVQGSSFGDDGMDGPMSVGIFFISAFISFDFGVIVLFCSARARNYILQTKG >OIW17851 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_714:48606:48761:-1 gene:TanjilG_00231 transcript:OIW17851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVILERKGNWSEFTNTHKGDKEGIQILEFLSVVLFPSWIGLSLLPNDTCCN >OIW17852 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_714:49778:50833:1 gene:TanjilG_00232 transcript:OIW17852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQVNINDNEVDIVIGALHSDLTTFLNEWKPIFSRFHLIIIKDPELNEEIQIPEGFNNIDVYTKSDIDRLVGSSTSVPFSGYSCRYFGFLISKKKYVVCVDDDCVPVKDKTGSLVDAVAQHIVNLSTPATPFFFNTLYDPFCKGADFVRGYPFSLRSGVDCVLSCGLWLNLADLDAPTQALKPEQRNLRFVDAVLTVPLRTMMPVSGVNIAFNRAVVGPTMFPALRLAGEGKLRWETVEDIWCGMCAKVICDHLGLGVKSGLPYVWRTERGNATESLKKEWEGVKLMEEIVPFFQSISLPQSATTSEDCVVEMAKQVKEKLGKVDPMFSSAAEAMEEWVKLWKSIGSGQSS >OIW17854 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_714:65367:66678:1 gene:TanjilG_00234 transcript:OIW17854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARFTTLVTSLPKFGHAGVTIARASAWNPRVFAAATPRPIQVPKSPNQDGSITIDGIKEGASETVNNNLNEPLQDNAYSSTTEHVTNKTKDMAGQASIKAQNITEKAKQTMQEAWDSTKKTANKAADNVMGKTQESADYVKDNAETVRKNMNRKE >OIW17853 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_714:58641:60129:-1 gene:TanjilG_00233 transcript:OIW17853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDELMSSECDESSSGRSKMLCNYFARVNLYEISARHEGSESPYHSMDGKVLGIESPFPLGARPRVNVLDGGDNAFKLTIVAQVTLPPPPPIHTTKVSMGIDQQLLTTGAKESFDNIPFSHFAQEPESTVNDVYLHYEVF >OIW17850 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_714:26928:29237:1 gene:TanjilG_00230 transcript:OIW17850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFLCLTPLQFQPRTQPLSSSSFFHSHVRGTTSATLSLRPLSPLPSTFLSYKLRFQPKLNPTTVRCSSALTPELKSTLDKVVNSNKVVLFMKGTKDFPQCGFSNTVVQILKSQNVPFETINILENELLRQGLKEYSSWPTFPQLYIEGEFFGGCDITVEAYQNGQLQEVLEKAMCN >OIW17878 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_715:2283:8108:1 gene:TanjilG_00235 transcript:OIW17878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHIAFFHASPFSIPTQQQQRIFYPKHSRLIINGLKPTPTTSSSFFPCSTATTKRRFFSQVISCSYSNGTEPGSAAEEEDGVKSAERLREEKRRAELSARIASGEFTVQQQLGLPSILKKSLLTVGLPKEVVEFLFGWGEGRGGYPKIPEAKGSISAIRSEAFFIPLYELYLTYGGIFRLTFGPKSFLIVSDPSIAKHILRDNSKAYSKGILAEILDFVMGKGLIPADGEIWRVRRRVIVPAFHQKYVTAMIGLFGQATDRLCKKLDTAASDGKDVEMESLFSRLTLDIIGKAVFNYDFDSLTNDTGIIEAVYTVLREAEDRSVSPIPVWEIPIWKDISPRQRKVASALKLINDVLNDLISICKKMVDEEELQFHEEYMNEKDPSILHFLLASGDDVTSKQLRDDLMTMLIAGHETSAAVLTWTFYLLSTEPRVMSKLQEEVDSIIGDRLPTIEDMKKLKYATRVINESLRLYPQPPVLIRRSLEDDVLGEYPIKRDEDIFISIWNLHRSPKQWDDADKFEPERWALDGPNPNETNQNFRYLPFGGGQRKCVGDLFATYETVVALAMLVRRFNFRIADGAPPVEMTTGATIHTTQGLNMTVTRRTKPLVVPSLQASSALEVEPSVSP >OIW17879 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_741:6219:11333:1 gene:TanjilG_00242 transcript:OIW17879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERDGSVVPADSLAMVVVKKKTQSPRSWILFDATGQGSMLDVDKYAIMHRVQIHARDLRILDPLLSYPSTILGREKAIVLNLEHIKAIITAEEVLLRDPTDENVVPVVEELQRRLPQVSFSHQQQGDGKEYRGGQHDLEATEEDESPFEFRALEVALEAICSFLAARTTELEMAAYPALDELTSKISSRNLDRVRKLKSAMTRLTARVQKVRDELEQLLDDDDDMADLYLSRKAGSSSPVSGSGLANSFGASSTIGSKISRASRASMATVRFDENDVEELEMLLEAYFMQIDGTLNKLTTLREYIDDTEDYINIQLDNHRNQLIQLELFLSSGTVCLSFYSLVAAIFGMNIPYTWNENHGYMFKWVVIISGVFSAVMFLLIIAYARKKGLVGS >OIW17914 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_748:22533:23096:-1 gene:TanjilG_00244 transcript:OIW17914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSTEFLSDFKDATQSVISTRRPWPQFLSLSSLSLPSSFSDATTRITQNFTFFLFNYTLIFLLVLLLTLLPHPLSILTFVALFAAWYFLYLAREGDEPLNLFNLVALNDMAVVVGLGFVTVVAMFVTNAWVNVVVSVIVWGLVVCFHGALRGTEDLVVDDHDSPYGPMLSGNPPPSGGGAGGYTRL >OIW17915 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_748:40309:42939:1 gene:TanjilG_00245 transcript:OIW17915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQSQSKDELLYQQVIYGNVEGIKSLNREGAGLEWMDIEGKTPLIVACMNPELYNVAKTLIELGANVNAYRPGRHAGTGFNYFFGCHAGTPLHHAAKRGLESTVKLLLSHGANALVLNDDCQTALEVARAKGHTNVVRAFEVNPRFLHNDLAIVPPATPPAAEDPELAMAIHASLQHAINDRSPFPDAHPNFEASSSTGRNNTSKHGFVGTKNPNTSGSMNLHEAEQGGNNQHVQILENDILSDGHTTSGLDFNPSVPPVTVVVLVGGPIQYPSIDLSPSDTSSPVVDKKNADENSSSCVICLDAPAEGACIPCGHVAGCMSCLNEVKTKKLGCPVCRAKINQVIKLYHV >OIW17913 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_748:15485:18488:1 gene:TanjilG_00243 transcript:OIW17913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCHVFINFVRPRYQIVLENYREDQKLQNYRDRFSPKYENKTKAPEDVPLVPLTKTRKEVSAPSLKANAGKSKAAGKKVVTQTKSKNNSSKEERSKQVVANKTSDGIGKSSKKGKADMSKPLTSLVEATRNIKINSNSHKQESAVIPVPVDSSGNDSHVPKVQKKHRKAGRKQGKKEGKSKFIGDLNLPPPPPPPGFGLGSENSHKFGNLPSQQEIGSSSQSNKAVGGGLVWLSLVASEDRDGSLPVSYLEKYVIKKLGLPSYAEVEIQLWGQPVYPSYTVQNLLDMWLETMPKNKKIHTTVGSSAEDFIMVLSYGLKA >OIW17917 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_752:157:507:-1 gene:TanjilG_00246 transcript:OIW17917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRRWQRQGAEYFPTTRLLSRVPSLPFFGSVSCGGDCDSSVMSLQGGGSRWFGLLFFSTLMGCGSGDDGSWTRRLFAVGIPTSSLAPMKVNSSPSSLFVSYSIGFGTVGLLFGFFR >OIW17918 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_756:3708:4712:1 gene:TanjilG_00247 transcript:OIW17918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEIPATASVEEPKMNGVGTLPLNPTEPLVSKRQRRPSVRLGDIGGDTTYDSHRRQSTKPWKFVFEHHRRDSNNVSGKPSKTRPLTNLSSAEFGGDTLDGGHDDRDATANADGVVIGNWKVKDSKKRGSSGAPTKRARSNWVSRIEDGGSGVGDGEDRFFSGGGGDGEDRFFSGIGGDGEDRFFSGGDVDVDDGYSESLKEPSPVNSLENLGVDRNDRELNFQGNSRSVRVRVSEGRENRDGIELSGPSDNDIRDRNRNNGDGGSRGMYGDDGVKIWLNGLGLSRYAPVFEIHEVDDEVLPLLTLEDLKDMGINAVGSRRKMYCAIQKLGKGFS >OIW17919 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_756:7414:7884:-1 gene:TanjilG_00248 transcript:OIW17919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEEFEKKILIPADTIYKGRKITTFIYGRTSLISFLQQHTEGRDLVRPSLTRFATSYLTLGCLNDNRTSLIRMFKSVEWKATKFARTHDERLVEDVVLDKEFWKSVVFVLKGAFRLMKVLRLVDSDDYEKLLMGLIYEAIDQAKEKIRVYNNVEDR >OIW17972 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_763:10525:14571:-1 gene:TanjilG_00249 transcript:OIW17972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVFGKQANDGRHRRRRSSSQRNDVSDNNGVRVTERQRNRHTGDFPVNVPAPERRKPRLDPCSVNQQGWPSWLMAVAGDAIDDWSPRRANTFEKLAKIGQGTYSNVYKARDLITGKIVALKKVRFDNMEPESVKFMAREIIVLRKLDHPNVIKLEGLVTSRMSCSLYLVFEYMEHDLAGLAAGQGVKFTESQVKCFMKQLLSGLEHCHSQGVLHRDIKGSNLLIDNDGILKIADFGLATFFDPKQKQSMTSRVVTLWYRSPELLLGATFYGVGIDLWSAGCILAELLYGKPIMPGRTEVEQLHKIFKLCGSPSEEYWKKYKLPNATIFKPQQPYKRCISETFKDVPPSSMPLIENLLAIDPDDRGTASAALNNGFFTTEPYACEPSSLPKYPPSKELDVKLRDEEARRQKSLSGKTHAVDNGKRVRTRERSRAIPAPEANAEIKTNLDRWRVVNHANAKSKSEKFPPPHQDGAVGYPQDESHKGPVSFGATDTSFGSEIFNSNPSGHVRNHGAEGPYRRRKTKNEGSQMTSSWKFMRTLKASKFGLSMDLLFKSKS >OIW17973 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_768:5555:6854:1 gene:TanjilG_00250 transcript:OIW17973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSEINSPDMQKFYSEEQQRAMVNEMVAKLTSECWDKCITGTPGNKFSSSESNCLSNCAQRYVEMTMLIMKRFQSMQ >OIW18002 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_770:25643:27893:1 gene:TanjilG_00252 transcript:OIW18002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQSHLVFIFGILGNIASFVCFLAPLPTFYRVCKKKSTEGFQAIPYVAALFSAMLWIFYAYVKTGAILLITINAFGCVIETIYLAIFITYCPKKARMSTLGMILFLNFGGCCAIVLLTHLLAKGEGRVKLLGWICVVFSTSVFAAPLSIIRVVIRTKSVEFLPFPLSLLLTVSAVMWLLYGVSLKDIYVTLPNIVGLTFGTIQMILYAVYRKNKPVKDQKLPEQQGDINTKNESSTTVNEEKQEEVNPHKKDIEIGEKKEEKEEEQPNKNQDQTEFNKNNIGEKVNCEV >OIW18003 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_770:36119:38603:1 gene:TanjilG_00253 transcript:OIW18003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTHHESLAFVFGLLGNIISFMVFLSPIPTFYQIYKKKNAEGYQSIPYVVSLFSSMLWIYYALIKGESSLLLITINSFGCVIETIYLAMFLFYATKKTMISTIKLLLLLNVFGYGAVLLSTLYLAKGSKRLSIIGWISLAFNISVFAAPLGIMRRVIKTRSVEYMPFTLSFFLTLNAVMWFFYGLLLKDYYIAFPNTVGFLFGIIQMVLYLVYRNAKKVALWEPVKGQELNGHVIDVDKKSTMVTLDPNHVPQGGEVIMTTIEDPNGKEETQKDNHKNIDSVAKI >OIW18001 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_770:2947:3915:1 gene:TanjilG_00251 transcript:OIW18001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLYEEFKEILKIQKFRRIVSYTGFYCFSTLIAYAYVNNTTRAGYSRADQFYASYPAGTELLTDTSKLYKAALGNVFEAEEWGPIEFCIMEKHFERQGKSPYAYHSKYMAHLLSLGQIDGSG >OIW18004 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_784:25721:30961:-1 gene:TanjilG_00255 transcript:OIW18004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIGRENEIEDISMSPPSGSNGIGHNKEFLSQAYLRTRYTEIDIQVEGSSFNQNHTLPIFLKFEDVEYKVRNRQAGSNNPVKTVVSKVATQLTMEEDRYKKILKGITGSIGPGEILALMGPSGSGKTTLLRVISGRLLENTKGKITYNDVPYTPAVKRRIGFVAQEDVLFPQLTVEETLVFSALLRLPTSMSKQQKYAKVDTTIKELGLERCRGTKIGGGFLKGISGGERKRTSIGYEILVDPSLLLLDEPTSGLDSTSANKLLLTLQGLAKAGRTIITTIHQPSSRIFHMFDKLLLISEGYPVYYGKARESVEYFSSLRFVPEIPMNPAEFLIDLATGQVNDMSVPADIFEDQESIDPSKAVVKYLQLKYKALLEPKEKEENHGAATTPEHLQLAIQVKKEWTLSWLDQFMILSRRTFKARCKDYFDKLRLVQALGVALLLGLLWWKSSTNTEAQLRDQVGLVFYICIFWTSSCIFGAVYVFPFEKVYLIKERKADMYRLSVYYACSTLCDMVAHVFYPTIFMAILYFMAGFKRTIACFFLTLLTVLLIAVTSQGAGELFGAAVMSIKRSGMAASLILMMFLLTGGYYVQHIPKFMRWLKYMSFMYYGFRLLLKVQYSGDELYDCESNGGCKTLQSSPSFDTVNLKGGLKEVWILLAMAISYRFLAYWCLHRRIDTTN >OIW18034 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_793:897:1562:-1 gene:TanjilG_00307 transcript:OIW18034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLGGCGLAQKSGPKPKGLLPTELGQQHKFYTHLQVVQDFPNHVQLLEMEIDPKAELVVVMVAMALRMVVDVTLVMMNAWVMVVPLVIMTIVMFVNVIVVMDELANVTQPSAIHEM >OIW18040 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_821:12831:13660:-1 gene:TanjilG_00320 transcript:OIW18040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLIFNSFSLHSPLSSPTPSSSILTIDITPVSRVHLNPVVGLPTNCLRQVQISTPIASKRRNNAVTAGAIGDGVDGTSSPEEGGGSQSALPPSETTKEVVAVDKLPLESKLKEREEQRLRMKLAKKIRLRRKRLVRKRKLRKKGRWPPSKMKKLKNV >OIW18039 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_821:8413:9242:-1 gene:TanjilG_00319 transcript:OIW18039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLIFNSFSLHSPLSSPTPSSSILTIDITPVSRVHLNPVVGLPTNCLRQVQISTPIASKRRNNAVTAGAIGDGVDGTSSPEEGGGSQSALPPSETTKEVVAVDKLPLESKLKEREEQRLRMKLAKKIRLRRKRLVRKRKLRKKGRWPPSKMKKLKNV >OIW18041 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_821:17319:25793:-1 gene:TanjilG_00321 transcript:OIW18041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATFPPPGSVTVCEINRHLITSTALSDDLANQTYGKLLGLVFSPVPFEPEQLPIDNNAVEQEEARTTTTTAVETVQRKGPVALLQGVVNECLRRLFYPNDVHLLPEVDLQRVSWHPSKHIIAFTSGPTQVIVRDYEDSEGKDPIILTNESQRDVRVLEWRPNGGRMLAVGSKGGICIWAASYPGNAAPVRSGAASFIGGLSRGLGIRYILVDFLRSQQDEHVSALTWSPDGRYPHNSSASYESSSFTVWDVAKGVGTPIRRGLGGISMLKWSPTGDYFFASKFDGTFYLWETNTWTSEQWSSTSGFVKGATWDPDGRMILLAFSESSTLGSVHFSSKPPSLDAHLLPVDLPDILALTGSQGIEKLTWDNSGERLAVSFNGGDDGYRGLIAIYDTKRTPLISTSLIGFIRGPEDNPKPISFSFHGKFKQGPLLSVCWSSGFCCTYPLLFRSHMLP >OIW18055 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_839:3785:6474:-1 gene:TanjilG_00323 transcript:OIW18055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKPPINLLVSSNDDISSSDDYKMLGVEHNPRATLSMQSSLAESHNCFELGFSQPMIYATYPCLDQFYGLFSTHGPQIPGRIMLPLNMTSDEGPTYVNAKQYHGIMRRRQSRAKALLENKLRKRSKPYMHESRHLHALRRPRGCGGRFLNTRSSYNGNGKNGSEANKTGGGQELLSCGSQSSEVLQSEVGTLNSSKETNGRSPNISGAEVSSMYSRRGLDSFVVNHLESVSLADIMNNGHGITVPTNWVAAAGNCCNLKD >OIW18056 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_847:4086:6120:-1 gene:TanjilG_00324 transcript:OIW18056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTDSGMPTENDIELNDLSYISVPSSLDWRTKGAVTAVKNQESTCAVGTIEGINAIVTKNLISLSEQELVDCDSASQGCITGKVTNALNWVIKNGGIASDVVYPYKAQQGTCQASKVGNIATITSFAKVAQSDAALLSATAIQPISVSVDATDMKQYTKDSGIFDGRNCKNTTDVNHSMLIVGYDRSKEGVDYWIVKNSWGKDWGKDGYIWIKRNTNLPYGVCAVNAWAYNPIKS >OIW18073 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_850:23179:26913:1 gene:TanjilG_00325 transcript:OIW18073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRSSNPSKKTGQSNSDLYRSASSKASSKESERIDSLFYSYANGSTGLIDPEGIETLCADIEVDHTDVRILMLAWKMKSEEQGYFTLDEWRRGLKALRVDTVSKLKKALPDLEKEVQRPTNFADFYSFAFQYCLTEEKQKSIDIESICELLTLVLGSTFPSQVNLFVEYLKSQNDYKVINMDQWLGFFRFCNEITFPTLSDYDADLAWPLILDNFVEWIREKQK >OIW18074 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_857:13460:13756:-1 gene:TanjilG_00326 transcript:OIW18074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQCRQGKSTKWIRNLGKRIGSEGLARLSQFQTRRLSVDCSSCSRGESGSPRAGRGRIGNGPFRASSPGVEQSTQNWYGQGESDCLIKTKHCDGPCGC >OIW18075 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_857:27518:28405:1 gene:TanjilG_00327 transcript:OIW18075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENQNRLKLKISRMFRSSFGSCRTKNFTDVMEKAVFSPPKNHKHETLKFHQLLVDPTSPKPRSFPSICKPKVSQTSQTINDQCIMSFQDSLPRRKISECLSPFANNPSASLNTPFNDTVFGFEEETKKSNRNKRNKNKKNKKKNAQRRREIFPFNSCAKDTNFGDYYCFSSDEDDETDTLFSSKSLSSDSSRSRRRRRKNNSGDRKKGQGSEMGVLPLHGNGKVKDTFAVVKRSSDPYSDFRTSMVEMIVEKQIFSPLDLENLLQCFLSLNSCHHHKIIVEVFTEIWEALFSEWL >OIW18088 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_859:34105:40006:1 gene:TanjilG_00328 transcript:OIW18088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDGFLGRSFATKCKSLMKLTKTRIEVIRRKRRATEKFLKKDIADLLHNGLDINAYGRAEGLMVELTLSSCYDFVEQLCEFVLKRLSVMQKLSGCPDECREAVASLMFAAARFSDLPELRDLRQIFQERYENSLECYVNQKFAANLSLKSSTLEKKVCLMQDIASEFSIKWDSKDFELRMSKSSLSAQGHNTCMPNHLTAYNKPSHGKDATPKGVKHDVLFDKSPDHPNDGYRFQNGKEADVLNQDDHDDLQSRSKLPGNGIKPINGSTLQKGVEHNFLFDKSPDHPNGGYRFQNGKEAVVLNRDEHDLRSRSKLPENGVKPLVGCEVTRKRDNHDNSLTGRQEISAKKSEYWKEGSMLKPPIGYSSQVKTAEQFEGGHDNPLIGSQTVTTKSDRGYRKEGSMQKPIGRLSKEKIVEQFDGGSRLHDTLRTTSHLRESPDTASRKSPSHAGMHFKSDVNKPFSVDHVSLPDAHSSVRKVQTDKTPMLKPCYSNTIPPPYVKPKSKQQNSTNGANVSSHIDSGAISTYYSAHDKPDSASKSERIEIGLDSSDQDWQARRHERPSKLSHEKELYVGEDAKEVPVLKPKSLRRKHSKSRSRHKDATNEETQVVRKSRSRSRRRDESRRGLQILFNDEQHQNAEEEKIIDKLLIHYSKKPSALVPEKSRRKSKSRHATQQMDNSARESLQNGNEDGSDETPEMVTFSSRSVSLPREQIAEMEVKKVYTRAASFHPDGSNEARHVHPKLPDYDDLAARFAALRGR >OIW16574 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_87:26560:28266:1 gene:TanjilG_00059 transcript:OIW16574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEMAKIERERENNNNNINELNEEAQMEKLPNPLPYRSNSGNLTTIIGAMYGSLFFVGVNNCQTVQPVVAIERTVFYRERAAGMYSALPYAIAQVIIEIPYCFVQTMLFSFIVYAMVSFEWQVAKVFWFLFVSFFTFLYFTYYGMMTVSITPNHQVASIFGAAFYGLFNLFSGFFIARPFLVDILHNVHQTLHIFTLVEEKFIYDLPNRFVKCIKPEENVKLR >OIW16573 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_87:15273:23093:1 gene:TanjilG_00058 transcript:OIW16573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSINHYSLEIAETTTLWWTQQQQHQPISIEQISNPSITRPHHNHHHQPTWFNSHSQLTHNNQNNDEEHDNSNNSNATTTTTSQQGAEEYEKEHMFEKPLTPSDVGKLNRLVIPKQHAEKYFPLEASGGGGDSGECKGLLLSFEDESGKCWRFRYSYWNSSQSYVLTKGWSRYVKDKRLESGDVVLFERHRGDARRHFIGWRRRRTSEGTTPVHVYRAGHGKSSGDGNEVGGVGLGWTRGLYPAHAYPTHQHLPYQHNCLHAGRRSEGQTQRAKPMRNISSSISTSSSNSRVLRLFGVNMECQPEYDSGPSTPECSYNMPLTQGPDTLQLYHHHQSYSSNPHPHLVSQQP >OIW16575 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_87:63248:67487:1 gene:TanjilG_00060 transcript:OIW16575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRELTYALPFLILLLSSLFIYTFVAPFDRKSLSHLAFLSYIIPNNLQKSSLSCDYSNGRWVWDDTYPRQVYNESCRFLDPGFRCRKNGRKDEGYRKWRWQPDGCNLLRFNASDLLERNRNGRIVFAGDSVGRNQWESLLCLLSQGVSNLSTIYELNGNPISKHKGYLSMMFQEYNMTVEYYRVPFLSILGHPPLNSSTEVRMTIRLDELHWYSKNWEGADVIVFNSGHWWNQDKTIKANGTWNEGGNCDMETEPEKDLTKLEKEPYYNTFISDVVKQMQHGSWKVQFSNITYFSELRKDGHPSKYREPGTPPDAPQDCSHWCLPGVPDTWNELLYAQLLSAKFGTNSESGEQS >OIW18101 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_921:43804:45127:1 gene:TanjilG_00329 transcript:OIW18101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEGPSFLIARDLPSSCEQETEWIYNSLRVMELSNNKRRLELEEVAVLRKSRRLSDPPKEREEGEPQMNILDLSLSLNFNQGNDQNNASDQSDSGGLIRQLGRDISINCLLRCSRSDYGLISLLNRSFRSLIRSGEIYRLRRQVMVHYSGTGLPVSNQGALYIIAP >OIW18102 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_921:74781:88725:-1 gene:TanjilG_00330 transcript:OIW18102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRNGNSSWWSHSKTVALIWLISAFLFFTFFQMALHNSTRSLSSSSSLDSPNSNTERRSKLYEKMARDLDEHRAAFLKHGETSQSLSLSDIFTLKDGSVTSVLKPANPPVRANVLYLSTEFSVPIADAVKSIFTPYFDKAFLHVFQLAIWFQNSSLYHFSMFHASHHIVPVPATKGEIEAEASSVQAIAGTLCPLKIVLDRVVLTSTGVLLGCWQVTSGTDPITIRAKLKDALPHAPEKQLYDAAILHTSFARLLGPSSPPNPLYAMKKKTTNIVLGLWFSLSMC >OIW18116 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_922:62428:64075:-1 gene:TanjilG_00333 transcript:OIW18116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRPPCCDKIGIKKGPWTPEEDIILISYIQEHGPGNWRSIPTNAGLKRCSKSCRLRWTNYLRPGIKRGNFTNHEEKMIIHLQALLGNRWAAIASYLPQRTDNDIKNYWNTNLKKKLKNQNGYHKKGDSSNYSQQKGQWEKKLQTNIHMAKQALYEALSIDKPSPASNATPAKQASSYASSTENIAKLLQNWMKKSPNSSGNSFSNMVTTGSSSSEGAQSSTITCTPHDQAFDSVLSYNDVYQSDNQCKTECGCFQYENKQYLERQVPLTVLEKWLFDDGEVQYHDENLMNISLEESTTGLF >OIW18115 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_922:28677:33303:-1 gene:TanjilG_00332 transcript:OIW18115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKGNPGDNRNNKTSMYFLIIVALCTFFYVLGLWQHSGFGKGDRIAVEITKQTDCSVLSDLNYETHQDGDAGTLDTSSDSELKEFKPCDDRYIDYTPCHDQTRAMTFPRENMNYRERHCPPQEEKLYCLIAAPRGYATPFPWPQSRDYVPYANAPYKSLTVEKAVQNWIQYEGNVFRFPGGGTQFPKGADAYIDELASVIPFDNGMVRTALDTGCGVASFGAYLFKKNVIAMSIAPRDSHEAQVQFALERGVPAIIGVIGTIMLPFPSGAFDMAHCSRCLIPWGANDGMYMKEVDRVLRPGGYWVLSGPPINWKTNYQAWQRPSEELEEEQRKIEDVAKLLCWEKKHEKGEIAIWQKRANNDECSEDTQSTICQSTNADDVWYKKMENCITPSKGSGLWKPFPERLNAVPSRISSGSVPGVSVEVFEKDNRLWKKHVNAYKRVNKILESGRYRNIMDMNAGLGSFAAALDSPKLWVMNVLPTIAEKTNLGVIFERGLIGIYHDWCEAFSTYPRTYDLIHANGLFSLYKNECSAEDILLEMDRILRPEGAVIFRDQAEVLVKVRRIVKGMRWKTKMVDHEDGPPISEKVLFAVKRYFQLSSEAGQKKLSDI >OIW18114 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_922:3777:9825:-1 gene:TanjilG_00331 transcript:OIW18114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSDKSKKLKVSEKEETEFDGELLVSIEKLQEMQDELEKVNEEASDKVLEIEQKYNEIRKPIYDKRNEIIKSIPDFWLTAFLSHPALGDLLNDEDQKIFKYLSSLEVEDSKDVKSGYSITFNFNPNPYFEDTQLVKTFTFLEEGTTKVTASPIKWKEGQGIPNGVNHEKKGNKRAPTDISFFSWFIDTEQKDDMDDIHDEVAELIKDDLWPNPLTYFNNEELDEEDGDEDEEDDEDKDEDDSEDDDDQEDDDGGEEDGGN >OIW18117 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_941:48217:54742:-1 gene:TanjilG_00334 transcript:OIW18117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATDMQRLVGTSEDDEEEMNVKEVDDDDYEEEENRGEHGIASGMVEMDGRNGIVSVDGDNRFQQDQHFEDQVGTPGGGTRRCRPLEEKERTKLRERRRRAITARILAGLRRHGNYNLRVRADINDVIAALAREAGWVVLPDGTTFPSSSQGQRPAVGNSTAITSSSSHVHSQQTPSTSLGGVASGYRSSTKYNTCQMKGVFIPARSLYNPSIAGDGEEQRENRPLIGCSMHNVDAKQIVNVPPRLTEHDLAGTPYIPVYTMLPLGVINLKCELVDPDGLLKQLHVLKSIDVDGIMVDCWWGIVEAHAPQEYYWNGYKRLFQIVHELKFKLQVVMSFHECGGNFGDDVCIPLPHWVAEIGRTNPDIFFTDRSGRHNPECLSWGIDKERVLRGRTALEVYFDFMRSFRVEFDEYFEEGFISMIEVGLGPCGELRYPSCPVKHGWRYPGVGEFQCYDQYLSKSLRKAAEARGHSFWARGPDNVGTYNSQPHETGFFCDGGDYDGFYGRFFLSWYSQVLVDHGNRVLSLAKLAFQGSCVTAKLSGIYWWYKTASHAAELTAGYYNPCNRDGYAAIMAMLKRNEVSLTIACTDLHTLNQHEVFPETYADPEGLVWQVLNAGWDVGLPVAGENALPCLDRVSYSKVLDNAKPMRDPDERHFSSFTYLRLSPLLMEQQNFVEFERFVKRMHGGTVHDLQL >OIW18136 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_971:1595:6190:-1 gene:TanjilG_00335 transcript:OIW18136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKMLLNYLLVLLSVLTIVVLIQAQDQSGFISIDCGLPKSATYTEPTIGINYISDDKFISSGVSVSLLPSERSNLQQQLWHVRSFPNGVRNCYRINVTSGTKYLIRADFYYGNYDGLNEVPIFDLHIGANPWDTVKFPNASLSLVTEIIHSPPLDFIHICLVNTGRGTPFISSIELRALENNTYGTESGSLDLYRRYDLGSTSGSTYRYSDDVYDRIWMPFGFKLWTQTETSTLPPIMNAIEIYIVKDLSQPETEQDDVDAITNIKKTYGVDRNWQGDPCAPIAFMWEGLNCSFDGEKPPRITYLDLSSIGMTGQIPSYISNLTMLQYLNLGNNKLNGSVPTGLVDRSKKGSLSLRILGRGGFGPVYHGFIDGIEVAVKMLSQSSVRGYQQFLAEVKLLMIVHHRNLTSLVGYCNEEGNIGLIYEYMANGNLDEHLSGKNIKAKLLTWEDRLRIAIDAAQGLEYLHNGCKPPIIHRDVKCTNILLNENLQAKVADFGLSKSFSTDGETHLSTVVAGTHGYMDPDYAMSNRLTEKSDVYSFGVVILVIITGQPAIIKMRDDYKIHISQWVIPMISNGDIENIVDSKLEGDFDTNSVWKAVEIAMACLSNSSKRPNMSEVVTELKGCFTVELARKRTDCDTDKSSELVTLNLTTEFGPSAR >OIW18137 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_973:4402:6591:1 gene:TanjilG_00336 transcript:OIW18137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCKIQGERVGLPYLPAFADTVEPSDNIFSGVNYASAAAGILDETGRTLGERISFSQQVRXTLSQLNDQMENRSLSEYLAKSLAVLNHGSNDYINNYLLPELYGTSYIYNPSSYAEVLVRIYKNRLMDLHGLGLRKFLLAGIGPLGCIPNQLSRRLVQPGQCAAYVNNLVSMFNVALKTMVDQMNAEYNDSIFVYVNAYEIIADVIDNPNPYGFSVTDRACCGLGRNQGQLSCLPMSIPCTDRTEYVFWDAFHPTEAVNNILVSKAFTGPPSICYPINVKQMAEM >OIW18138 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_974:19335:22549:-1 gene:TanjilG_00337 transcript:OIW18138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESAPTNSGLKLAGKVAIVTGGAGGIGETTARVFADHGARMVVLADIQDELGQQIATSIGADKCIYVHCDVADEDQVRNLVQSTVNVYGQIDIMFSNAGILSPSEPTILDLDMTKLGRLFAVNAYGMAVCVKHAARAMVEKKVRGSIVCTASVSASYGGTGDTDYIMSKHAVLGLMRAASIQLGGYGIRVNSVSPNGLATPMTCERLGSEEKVQEAYEKCAWLKGLVLTTKHVADAVLFLASNDSEFISGHDLLVDGSYIVT >OIW18225 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_975:14902:17040:1 gene:TanjilG_00338 transcript:OIW18225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHHRLRDLAAKYGPIMHLKLGEVSNIVVTSPEIAYEVMKTHDINFANRPECLFAKLFAYNATDIEFSPYGEYWRQLRKICTIELLSSKRVQSFRSIREEEMSKMVKTISESEGSIVNLSEMITLTTYGIMARVAIGKRNTNQKVLISTIEEAILLSGELWVTDMFPSSRILQLMSKGKATKLENLHVDTDRILENIVNDHKNKKTGDGNAGEDLVDVLLKFQQDKDSQTPLTNDNIKAIIQEMIAGGGETTSSVVVWMMSEMMKKYEVMELAQAEVRKVYASKGFVDESELHQLTYLKAVIKETLRLHPPVPLLMPRESRESCKINEYEIPAKSKVMINVWAIGRDPKYWTDAESFKPERFLNTSFDFRGTDFQFIPFGSGRRICPGIAFATPNMELPLAKLLYHFDWKLPNEMKSGELDMTESTGMSIKKRDDLCLIPIIHMS >OIW18227 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_980:36825:39749:1 gene:TanjilG_00340 transcript:OIW18227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHKLPPGPWKLPLIGNLHQIVGAGSLPHHALRNLSHKYGPLMHLQFGEISTVVVSSPDMAKEIMKTHDIAFADRPQFLAAKVLFYEATNIAYAPYNDYWRQMRKICNLELLSAKRVQSFTFIREDEVSKFLKSIHLSCQGSAPLNLTKGVFSLVSTLLSRAVFGDNSEYEDQILSFLKKAIELTGGFDVAEFFPSLKPIHFITGLKGKLENLHKKMDKMLQNIVNKQQSKMRLSSNEAGNEKEKESLVDVLLRVQQNDSLDIPLTVANIKAVIWDIFGAGTDTSAATIEWAMAELMKNPRVREKVQAEIREAFKGKETIYERDLSSLSYFKSVIKETMRLHPPAPFLVPRECRQQCKIGEYEIPIKTRVIVNAWALARDPDYWYDAERFIPERFDSANFDLKGTNFEYIPFGAGRRMCPGSSLGLAIIELPLAVLLYHFDWELPNGMKPDELDMSEDFGSAVAKKNNLYLIPTPYKYSLHENVNV >OIW18226 pep supercontig:LupAngTanjil_v1.0:Scaffold_1_980:26233:27365:1 gene:TanjilG_00339 transcript:OIW18226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCNRLACLPPGTETQASHLNCSNKTNINECKQNHKINQSGITSDVGEELKTVEGTIELKRIQFSYPSRPDVIIFKDFSLGVPSGKSIALVGQSGSGKSSVISLILRFYDPTSGRVLINGKDIKRLNLKSLREHIGLVQQEPTLFATSIYENILYGKEGASDSEVIEAAKLANAHSSISGLPEGYSTKVGERGVQQSGGQRQRVAIGRAVLKNPEMLLLDEATSALDVESERVVQQALDRLMQNRTTVMVAHRLSTIRNADQISVLHDEKITVTFSSPPTSENPAIDNSCRILVMVVMEIKGLQ >OIW21770 pep supercontig:LupAngTanjil_v1.0:Scaffold_200_12:7297:7983:1 gene:TanjilG_10669 transcript:OIW21770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSFFQDPDWNMLHIDPSDIFYQSASTSNGAVHHPINDSISFDRVDLSMDSKSPTKVNSNNNPKKLVKSEAKEVKNDEERSYIGVRKRPWGKFAAEIRDTTRNGTRVWLGTFDTAEEAALAYDQAAFSMRGKNAVLNFSVKRVKESLQEIQLYDYSSKGCSPALALKERHRIQRKLSSKAKSSNEKCKGKKHLSEAPSVMEVEDLGVEYLEHLLTISDQSASPSYFM >OIW21771 pep supercontig:LupAngTanjil_v1.0:Scaffold_200_13:8657:9094:-1 gene:TanjilG_10670 transcript:OIW21771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERDGSVSNVNADVRYRGIRRRPWGKFAAEIRDPTRKGTRLWLGTFDTAEDAARAYDAAAFHFRGHKAILNFPNEYQSSNPQSSLPLPLSSSSSNNSSSNYSVANADNVSGEMLQSYDTLELEYLDNRLLEELLQAQEDERQVYK >OIW21772 pep supercontig:LupAngTanjil_v1.0:Scaffold_200_13:38357:39070:1 gene:TanjilG_10671 transcript:OIW21772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFDSSFSWEDLFFFNEDNNTLPFNINNQHSQIKESSIESNSSGSIICNTESQEVSSNSTTNAQVLKETHAPSYSSPPPKESNKEKRPFRGVRRRPWGKFAAEIRDSTRNGVRVWIGTFDTAEEAALAYDQAALSTRGSMAVLNFPEEVVRESLKDMANNNNNSKPYLEDGSSPVLALKRKHTMRRKSKSNGTSNNKKTKRDGTELELLSKNVLVFEDLGSDYLDQLLSLTSSQVFC >OIW21773 pep supercontig:LupAngTanjil_v1.0:Scaffold_200_17:5035:6600:1 gene:TanjilG_10672 transcript:OIW21773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKEQLEVLNALDVAKTQWYHFTAIIIAGMGFFTDAYDLFCISLVTKLLGRIYYHEDGAEKPGSLPPSVAAAVNGVAFVGTLSGQLFFGWLGDKMGRKKVYGMTLMLMVLCSVASGLSFGHDPKTVMTTLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGFGILTGGIFAIIISLTFKNRFDAPSYEVDPLGSTVPQADYVWRIILMFGALPAATTFYSRAKMPETARYTALVAKNTEQAAKDMARVMQMEIGADPVKEEEKQKQYGLFSKEFFSRHGLHLLGTCSTWFLLDIAFYSQNLFQKDIFTAIGWIPSAKSMNALEEVYKIARAQTLIALCSTVPGYWFTVALIDRIGRFSIQLMGFFFMTVFMFGLAIPYDHWTHKDNRIGFVVMYSFTFFFANFGPNATTFVVPAEIFPARFRSTCHGISSASGKLGAMVGAFGFLYLAQSQDKSKVEAGYTTGIGVKNSLIVLGVVNILGFLFTFLVPESNGKSLEEISGENEEEETLA >OIW21774 pep supercontig:LupAngTanjil_v1.0:Scaffold_200_23:7549:12063:1 gene:TanjilG_10673 transcript:OIW21774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMNLLGFSLSPQEQHVDATQEHSQSTATRFGFNPNGISSTDVSEDCFDITSHHSTAHSLNLPPFGIYEAFNRNSINTSQDWKENYCNQNLLLGTSGSNQNLDTNLQHPKLENFLGGHTFEGNSATGDYLFSSCSLQLPPQPAEASAAGSGGGGNSIGLSMIKTWLRNQPPQPDNNNNNKNNNTNDSNGVSATPCAAPSGTRANSVQTLSLSMSTGSQSQLNMVNVGAGKSCSSDNKKPSMASTMTLDTQTESIETVPRKSIDTFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQTRKGRQGGYDKEEKAARSYDLAALKYWGSTTTTNFPISNYEKELEEMKHMTRQEYVASLRRKSSGFSRGASIYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFGTQEEAAEAYDIAAIKFRGLNAVTNFDMNRYDVKSILDSTTLPIGAAAKRLKDMEQVDFITMDSHSHSHSHRSSTDEASNININSITSHLTDQGIVNNSYGASAWPTSLASFQQQPNHMQHYLYNGQRLWCKQEQDHFSSSNDHASHNFHDLQYQLQLGNNNTHNFFQANNDSGLHSIMCLDSTTSIDNGYGDGGGGYMIPMGTIADDGNHNQRNNSFGDDNEVKRLANYESVYGSSDDDPYHARNLYYLPQQQSSVDQGSACNTWVPTSIPTRPSNMALFHGASSPFTILHE >OIW21776 pep supercontig:LupAngTanjil_v1.0:Scaffold_200_28:59074:60599:1 gene:TanjilG_10675 transcript:OIW21776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARKCGLPLMKYLRVQVDSLPNNNNRLPFTISPINVFLRRFSEEVRGTFLDKSEVTDRVISTVKNFQKVDPSKVNPNAHFQNDLGLDSLDAVEIMMALEEEFGFEIPDNEADKINSIKLAVDFIASHPQAK >OIW21775 pep supercontig:LupAngTanjil_v1.0:Scaffold_200_28:12256:19713:-1 gene:TanjilG_10674 transcript:OIW21775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSCPCFGDKTDEPVSDLDPVLLVSGMGGSIINSKPKGFGFTTRVWVRFILADLEFKKKILSLYNPKTGYTETLDKNSVIEVPDDDHGLYAIDILDPSLFTKLIHLSEVYHFHDMINMLVGCGYKKGTTLFGYGYDFRQSNRIDKLMEGLKVKLETAYKKSGGRKVNLISHSMGGILILCFMSLHNDLFSKYVKKWICMACPFQGAPGCVNDALLTGLQFVDGFESNFFVSRWSMHQLLIECPSLYEMLPNPEYKWKKQPGIHVWRKHGKNGETNINLESYGPAQSYSLFKEALRNNEINYDGKTIALPFNIDILEWAAGTRQLISNSKLPDGVSFYNIYGASLDTPFDVCYGSEESPLEDLSEICHTMPAYSYVDGDGTVPAESCKADGLDATERVEVAAAHREILRDQKAFQYIKKWLGIEPIVSKKSKTSKVADSDSMKPIVL >OIW21765 pep supercontig:LupAngTanjil_v1.0:Scaffold_200_3:39601:41446:1 gene:TanjilG_10649 transcript:OIW21765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVYAESSHSNRPQTLKYSKPADEMKRSTEKAHKSSHSNRKQNLKQTSTFVNHAEIAWHENRRKWVGDKSQHHPRMTKDPIISWSTSYEELLSTNEPFAEPISLSEMVDFLVDVWLDEEGIFD >OIW21766 pep supercontig:LupAngTanjil_v1.0:Scaffold_200_3:50855:51336:1 gene:TanjilG_10650 transcript:OIW21766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKKRVLFFIEPPPSLIDGEGYFRSDIEATPAMQVTEEVVLCRLHAQEGDVVVGDPGVNSRGAFREDVLGIRVPLFRSASDRDDGFFLLLCRLGESPLKPWETSPGLETTSSNTRSVSAVWKASLEDIEK >OIW21764 pep supercontig:LupAngTanjil_v1.0:Scaffold_200_3:19351:20539:1 gene:TanjilG_10648 transcript:OIW21764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMYFLTNYAYQSPPPPYKYQSPPPPVHTYPPHIPHPVYHSPPPPEHEHKHKHYPHPHPLPVYHSPPPPEHEHKHYPHPLPHPHPHPHPLPVHHSPPPPTPHKKPYKYPSPPPPEHKYPHPHPHPIYHSPPPPSPHKKPYKYPSPPPPPPVHTYPPHIPHPVYNSPPPPHYYYKSPPPPHHY >OIW21763 pep supercontig:LupAngTanjil_v1.0:Scaffold_200_3:4480:4632:-1 gene:TanjilG_10647 transcript:OIW21763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLPKTKKVSVDGRGRGEEERMEEGVDRGVVKEGVDRGVDRELRNGELGI >OIW21767 pep supercontig:LupAngTanjil_v1.0:Scaffold_200_7:3318:8309:1 gene:TanjilG_10666 transcript:OIW21767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSRPRDSSAFSIFKWKRQDESSVTTGLLQDVLPEIELSDYRRIPSPGSESPSGLLNGESLNAEPIPDLDLFFERLYSYYCEKGLCCIIIKWIVELLSLGFTICFSGFFLLYVDWNGLRNAKCGINAVESGIKPCDLAKEALHQYPLTPLTLNKAIIVGYLGIFSIYWIFCFLRFFSQLKYTLEIRQFYYNSLHVTDNEIQTMPWATVIEKVVRVQSSQQLCVVKDLSAHDMVMRLMRKENYLIGMINKGVLAFPISQWVPGSGPTVKSGPNGTQYRLILTKTLEWTLNWCILQSMFDRNFCVRTDFVSNPRTLKKRLMIVGLAMLLLSPFLVIFMLVYLFLTHAEQFYNHPSTASSRRWSNLSSRAVIKDELLVLDPEGAMSMVVQHTHYMPKRWRGKESTENVRIEFETLFQRVDDILQFIADFTVNVEGVGHVCSFSSFDFQEHGNSDYGSPFNAPYNRRSSQGKLEKSFLSFRSSYPTWQSNARAKQFLLNLRTFREQKLPGYVNRYAYSPPRMWRGSPNMGNNGDRNRFASREMLPGTFETGNDLDSLWLIEASQNNHPYLLDWYYTSRPHDLTFREVPIPNDPFDVTEHYPRDWMPSNLTKNEPGYAEYLNEYPDDRAASHLGASTSAPIFRESLMEDHNSNDLPHTIKSHWWARSHHQQGVPGQTSFFEPPDFNQQTTAYNNYDKFSDRGSEGNHDQEQHLYWRDRHDLSRTAYTDDLEAGEINLHFDDIYSSRPPENPTVYSSTASF >OIW21768 pep supercontig:LupAngTanjil_v1.0:Scaffold_200_7:13836:14756:1 gene:TanjilG_10667 transcript:OIW21768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFSMLSPQQCKNYEMRLNHSGYFEDGVFVKLLDLIRKCVFHVLSFGPIPTHIAFIMDGNRRYAKKLNLVEGSGYKAGFFSLMSMLKYCYELGVRYVTIYAFSIDNFKRGHEETKFLMNLMQEKIEGLIREESIVNRFGMRVHFVGNLKLLNEPLRLAAERVMSATAKNSRMVLSICVAYSSSNEILHAVQESCEEKWDEIRLLDESGAGYGLIGIGGDVKDDSQRSIKLADLGRHMYMAVAPYPDILIRTSGETRLSNFLLWQSTNCYLYSPSVFWPEIGLRHLFWATLNYQRNYFYLEKKRKQS >OIW21769 pep supercontig:LupAngTanjil_v1.0:Scaffold_200_7:18524:18919:1 gene:TanjilG_10668 transcript:OIW21769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDSSKKNAPKETRYRGVRRRPWGKFAAEIRDSTRHGARVWLGTFLTAEEAARAYDRAAYEMRGTSAILNFPHEYPSAHVSSLTSMSSCSSSMVKTEHDKQVIEFEYLDDKLLEELLDCDENNIKKNLPM >OIW21779 pep supercontig:LupAngTanjil_v1.0:Scaffold_203_20:5282:5671:-1 gene:TanjilG_10802 transcript:OIW21779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQLIRRLSRVADSSHYTLLRSDYPRRRHFRRPEKIRRSSSVVPEGHVPIYVGDEMERFVVSAELLNHPIFVKLLNLSAQEYGYEQKGVLRLPCHVIVFERVLDTLRRGQDTREIQELISFSSEEFRES >OIW21781 pep supercontig:LupAngTanjil_v1.0:Scaffold_203_25:20915:23326:-1 gene:TanjilG_10804 transcript:OIW21781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNKIERGHQMYRDGRYTEALGFYTDAIAVAVNNPQRIALHSNRAACYLKLHDFKKAAEECTSVLELDHKHSGALMLRAQTLVTLKEYHSALFDVNRLLELNPSSDVYQNLHARLKTQLLHTYMLSQLGIRFEGKSLAPIPESEEEYEEQEDDETVITREHKKEELGKEQKDDLGKGIVSADCASQETDSNFSSKQGIEQPYEPKKSTAEAVVHKAPNSESAKQHSEGWQTIPKPKGHSALDYARWNSVEDDSSEDDDDDEDEESPPQYRFRVKTVGVRPVK >OIW21780 pep supercontig:LupAngTanjil_v1.0:Scaffold_203_25:9298:10332:-1 gene:TanjilG_10803 transcript:OIW21780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTFSLGTHKCFLIVIFFMVVSIAWSLRVTPNHHVKLINLQAEKAAFNNKKEEAKVYFGMELYPTGSTIPDCSHACGPCSPCKRVMVSFKCSIAESCPVVYKCVCKGKFYHVPSN >OIW21778 pep supercontig:LupAngTanjil_v1.0:Scaffold_203_3:20001:20645:1 gene:TanjilG_10801 transcript:OIW21778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FPEELISRLAKPFLTLSLDSYFVCTQSTEASPTYVATSSIACSYFVFPLISHQIWCFLIPSCYGEQRNKYNRFLYLSGSRFSFFLFLTLPRVVPNVWYFLYFVGSTSTNSLMIKLQPKIYDHIMLTIRISFIPSVCSQVPVIFICLPEPKGLSVETFTNNRRFLMVFPLLTAALSTPPDIWCQIVAPFLISSIIELTIFVASIAQVREEARRVE >OIW21777 pep supercontig:LupAngTanjil_v1.0:Scaffold_203_3:6024:6272:-1 gene:TanjilG_10800 transcript:OIW21777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNSRKEYTLLNIVTVFVIFVAILVSHSECGVEASRVLSGSQDFARANYLNTYTSAYEETKNTMAFWLQRLASGPSPKGPGH >OIW21787 pep supercontig:LupAngTanjil_v1.0:Scaffold_203_33:106599:112411:-1 gene:TanjilG_10810 transcript:OIW21787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKKGGRKGRACVVVLGDIGRSPRMQYHALSLANQASLEVDIVAYGGSEPHAALLANPSIHIHIMQQWPTSRQSLPKILQPIMLLLKPLVQIFMLLYFLCVKITSPDVFIVQNPPSVPTLVAVKWASWLRNSSFVIDWHNFGYTLLALSLGRNSHFVSLYKWFEKHYGKMADASLCVTRAMQHELAQNWGINATVLYDQPPQFFHPASLEERHKPLGVRDCASDGTSLMGSHFQNETLFTAEAGSDIYVKPNRPALVVSSTSWTPDEDFSILLEAAVMYDRRVAAILGEDDSLDEEVIWKEISDGKQCLYPRLLFVITGKGPEKEMYEAKIKRLKLKRVAFRTMWLSAEDYPLLLGSADLGVCLHTSSSGLDLPMKVVDMFGCGLPVCAVSYSCIKELVSVDKNGILFSSSSELADELLMLFKGFPDECDALKVLKNGALETGSSARWATEWEEHAKPLITKARSFSSAMLYRDFDYDSSKLTLTSIKFD >OIW21783 pep supercontig:LupAngTanjil_v1.0:Scaffold_203_33:35555:37910:-1 gene:TanjilG_10806 transcript:OIW21783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEGGRKQSAMSPCAACKLLRRRCAKDCVFAPYFPADEPYKFGSVHKVFGASNVNKMLQELPEYQRLDAVSSMVYEANARVKDPVYGCVGAISSLQQQVDMLQTQLALAQAEVVHMRMRQFSGSSNQQHQSVPHAGSNSSSENLYQSSRVLSSQTKSLFAMDLVVDQANMGHSLWSC >OIW21786 pep supercontig:LupAngTanjil_v1.0:Scaffold_203_33:98079:100828:1 gene:TanjilG_10809 transcript:OIW21786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKFTAEGLRRIMDFKHNIRNMSVIAHVDHGKSTLTDSLVAAAGIIAQEVAGDVRMTDTRADEAERGITIKSTGISLYYEMTPESLKSFKGERNGNEYLINLIDSPGHVDFSSEVTAALRITDGALVVVDCVEGVCVQTETVLRQALGERIRPVLTVNKMDRCFLELQVDGEEAYQTFQRVIENANVIMATYEDQLLGDVMVYPEKGTVAFSAGLHGWAFTLTNFAKMYASKFGVDESKMMERLWGENFFDPATKKWTTKSTGSPTCKRGFVQFCYEPIKQIINTCMNDQKDKLWPMLQKLGVSMKSDEKELMGKALMKRVMQTWLPASSALLEMMIFHLPSPSTAQRYRVENLYEGPLDDQYANAIRACDPEGPLMLYVSKMIPASDKGRFFAFGRVFSGKVSTGLKVRIMGPNYVPGEKKDLYVKSVQRTVIWMGKKQETVEDVPCGNTVALVGLDQFITKNATLTNEKETDAHPIRAMKFSVSPVVRVAVQCKVASDLPKLVEGLKRLAKSDPMVVCTIEESGEHIVAGAGELHLEICLKDLQDDFMGGAEIIKSDPVVSFRETVLERSVRTVMSKSPNKHNRLYMEARPMEDGLAEAIDDGKIGPRDDPKIRSKILSEEFGWDKDLAKKIWCFGPETLGPNMVVDMCKGVQYLNEIKDSVVAGFQWASKEGALAEENMRAICFEVCDVVLHADAIHRGGGQIIPTARRVFYASQITAKPRLLEPVYLVEIQAPEQALGGIYSVLNQKRGHVFEEMQRPGTPLYNIKAYLPVIESFGFSSQLRASTSGQAFPQCVFDHWDMMSSDPLEAGSQASTLVADIRKRKGLKEQMTPLSEFEDKL >OIW21785 pep supercontig:LupAngTanjil_v1.0:Scaffold_203_33:77675:80023:-1 gene:TanjilG_10808 transcript:OIW21785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTSTDESETRTGVSSYDRESEIKTFDESKAGVQGLIENGVTKVPRMFHCGNSNDLTDTSTKSNFSIPMIDLIGIHGDSSLREDVVGKLGYACEKWGFFQVINHGIPMHVLDEMIKGTCRFHQQDVKVRKEYYTRDPCKKVVYVSNFSLYHDPVANWRDSLGCVMAPHPPKPEELPQVCRDIVIDYSNEVMAFASTLFELLSEALGLNSFYLKEKLGCVEGMLLLCHYYPPCPEPELTLGNSKHTDNDFITILLQDQIGGLQVLHHNQWIDVTPIPGALVVNIGDLLQLVTNDKFISVQHRVLANHLGPRISVASLFRTDDLTGEGSSLVYGPIKELLSEENPPLYTDATLEEYLTHYYAKGIGTSSLLHFKL >OIW21782 pep supercontig:LupAngTanjil_v1.0:Scaffold_203_33:9445:11698:1 gene:TanjilG_10805 transcript:OIW21782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTTHFYPNYTFTNDFSEFPTQGLMASFNGNRVVMDNNNTMWGSQDSSFIPNVFDNNGALDHVVAHDFDTMDSSCWVPSFPDFQLGGFSELANTANNVIPDCKMSFYGGNNGITGFQNLGSRYQQPHIGEFVEEYCGGFVEDIKPPYPNAVKENWGFQGNQMSAVEEPNIKVGRYSEEERKERILRYLKKRNQRNFNKTIKYACRKTLADRRVRVRGRFARNNELCEEDVETKRQNHDQKEDFYAGDTFQFQIKTDDEDWLQEAMASLVYLSHSSPEDM >OIW21784 pep supercontig:LupAngTanjil_v1.0:Scaffold_203_33:58156:60370:1 gene:TanjilG_10807 transcript:OIW21784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFNEEFMKENDEYLDDDVPLPGFRFHPTDEELLSFYLRRKLDDKPISIELIKEIDIYKYDPWDLPKASGNGREREGYFYCQRERKYRNSIRPNRVTGSGFWKATGIDKPVYSHGGEGNDCIGLKKTLVYYCGSASKGTKTDWMMHEFRIPSNNDIIKKLPKAKNNTNNADIAQEAEIWTLCRIFKRNKSQRKHMPDMRSHSASRSHCNNNMKSRNNNVESNQESYINFGASVGHYQNKQNHVINYPMNQFHVGQLSSPMAQKPHLTAPSSNLWINPVVTNDIFTFENCDELGSVVKFGVDSHSL >OIW21790 pep supercontig:LupAngTanjil_v1.0:Scaffold_204_13:61937:64180:-1 gene:TanjilG_10830 transcript:OIW21790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRPNSAPKEANVPIIDMAALRNIGSEERSRVIEEIREASCRFGFFQVVNHGVSEPVLDEALSVASKFFDLPTEEKMKLLSGDVHKPVRFGTSLKNDGDKVQFWRVFLKHYSNPLNDWIHMWPQNPPDYREKMGRWSEEVMKLGIEVMTTLIEILGINPTNNLTQKIENGMQVVAVNYYPPCPQPELALGLPPHSDYSCLTILHQSSPGLEIMDSEDNTWKLVPFVPGALQVNIGDHFQVLSNGLYKSVLHRAILNNSETRISIASLFSLGLDDKVDPAKELVSAQNPKRYRESSLRDFLNFLANNDFGSEGKNFIDILKLKNN >OIW21789 pep supercontig:LupAngTanjil_v1.0:Scaffold_204_13:3478:20228:1 gene:TanjilG_10829 transcript:OIW21789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVENYHVIELVGEGSFGKVYKGRRKHTGQTVAMKFIMKHGKTDKDIHNLRQEIEILRKLKHENIIQMLDSFESPQEFCVVTEFAQGELFEILEDDKCLPEEQVQAIAKQLVRALHYLHSNRIIHRDMKPQNILIGAGSVVKLCDFGFARAMSTNTVVLRSIKGTPLYMAPELVREQPYNHTVDLWSLGVILYELFVGQPPFYTNSVYALIRHIVKDPVKYPDRMSLNFKSFLKGLLNKAPESRLTWPALLEHPFVQETSEEREARECDITVSHKGSDSATRVEGKTIQTHTGSALSSTAKDHIASPLQSDAQFNGPNIERANSSVLDESPGFSNQNAVGETVAAGVFSSSGRTDELITELIVFNGSVITMKSPDLTDLIAKSFSITKILLDNDGSCASSAYFKNWVAVVEIYSQVVTLTNDASGRVLYESSACITVILSRVAQVLRSSPQISGPETLNETANRILGHAKASGLVDHLCLCLANSGSSLMSGSSNMLRAASEACRAIWSMINALDIIYMKKNDILFPINALWSHSLHRMKIADHEQDPLAESESTKVVDAVVRALLRSKAVQVAVYYCFRQRLESAMTCGFQLLLRCCIHSGFVPVLLCGLPSSLPVTTVVSGGGDGTIVSEIFSVLSLCSSNLNKDAHNVEPGNVKCKLVDPSSLVRHSCLILAIIAQCLKSSGRNSAIFMLTTSPKKQLTRLSLLAHNISSDDKTKVSPQSQSASAMLALASILSLESGASVESTLSEIAMPLIPRTSTLCDHLKFSSDNENESAPSNGKLSYWQGVRDGCAGLLDCRLMWGGPLAVQQLCASGIPILLIGLLGNDVLHGCHGNESLNDRVGLSPVGIVWTISSISHCLSGGALTFRQILIRSEHIKLISNLICDVHLELVHRWIGPGGGRAGIKDLINAVVDLLAFPFVALQNAPGLLSATASVSSGFLLNIGSPGQRVCMEDKDIVRAIEEDMGKYIKILVEVGVPGIILRCIDRMELNDLGRPVAFLAKMVCQRPLALQLVSKGLLDPNRMRRLLDGSGPKEVILDALMIISDLARMDKGFYEYINGASILEFLKSFLSHEDSNLRAKACSALGNMCRHSAYFYISLAKHQIVSILIDRCSDPDKRTRKFACFAIGNAAYHNDVLYEELRRSIPQLANLLQIAEEDKTKANAAGALSNLVRNSDKLCEDIVTKGAIQSLLKLISDCAVSALNPSRNDSANESPLKIALFSLAKMCAHPLCRRFIRSSPLFHVIGRLQQSPESSIAKYASVIINKVADS >OIW21791 pep supercontig:LupAngTanjil_v1.0:Scaffold_204_15:8228:8587:-1 gene:TanjilG_10831 transcript:OIW21791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTDTNDGANGCIMNKTLVVGSSLNDEKVEESHANGEEDDNDYNSLLPLRKGGMTRKSDKTRRKVQWNDKNGNNLAEVLIYEPSYLD >OIW21788 pep supercontig:LupAngTanjil_v1.0:Scaffold_204_9:20704:21411:-1 gene:TanjilG_10828 transcript:OIW21788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLENSKLSNSEIIINDYLLPQQEVPVRKSLQMPQISFSKPPRSSSNLSTRKPSIRITIPSTTISQHKETQIYNHTSSFSDNSDVTEELKHYRGVRRRPWGKFAAEIRDPNRKGTRVWLGTFDTAIEAAKAYDRAAFKMRGSKAILNFPLEVGNIISQQEVVLVGDKKRRGEESEVVNESKKLKKEEGCLSLQEKGVIVCPPLTPSCWKGFWDTHDVMGTIFSVPPLSPLSPLMVL >OIW21796 pep supercontig:LupAngTanjil_v1.0:Scaffold_206_16:20452:24523:-1 gene:TanjilG_10912 transcript:OIW21796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTGNCAMQQGLTAEAASIVKQAVTLAKRRGHAQVTPLHVANTMLSVTNGLLRTACLQSHSHPLQCKALELCFNVALNRLPASTSSPMLEICSQNNNNPSPVSSSKSKDQISNSHSLSSHPSGENLHNKTTVPNQIRAEDITSVIDNLLSQSRRSTVIVGECLATLEGVVREVIDKFDKGDVDESLIGVKFKSLSLSSFVHVSSVEVEQKIEELKSLVNMASHGKGYVLYLGDLKWVFDYHYNNQQQGRVVYNYSPVDHMIMEIGKLVSGIGESGRFWLMGVATFQAYMRCKNGQPSLETLWGLHPITVPAGSLCLSLIPDSGVQNQTTNKNADTRTSWSLHEGVGDDKEQQACFAESSSKIETEARGLQSSTCNSDFSSSSLPAWLQQYKNENRRVISSNQNSVPVGELCNRWNSMCSSIQKQPYPSEKTVTLSSASPSSSTSGFSYEQQHPNSHRTHHDYLHVVAEPTLRVYITENKDTAVHPFSSSNLSSKPNSTSSSDVMEMEYVRKFKELNSENLKTLCNALEKKVPWQKDIIPQIVTTILQCRSGMLKRKGKVRNNEVMKEETWLFFQGVDMEGKEKIARELAKLVFGSHKNFISIPLSSFASNTRADSTEDFRNKRSRDETSCSYTERFADAVSINPHRVFLIEDIEQADYCSQLGFKRAIEIGRVSDSNGEEVDLCDAIIILSCESFSSRSRACSPSMKQKSCEGSQEENEINIATLEETSPCVFLDLNISLDDECDEESLVDDIGLLESVDRKLIFKVQEL >OIW21792 pep supercontig:LupAngTanjil_v1.0:Scaffold_206_4:4416:5102:1 gene:TanjilG_10908 transcript:OIW21792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNNKQPQLNGAYYGPSIPPAEHPHQHSHRRRGCCCCLFNILWITLVTIIILVALAILIFYLIVQPRPFKFTVTEAKLTEFNYTNNTLHYNLVLNFTARNPNKKLQILYDKAEGQAFYEGTRFNTTDEMTWLNSFRQYTKTTNRTSGVFSGKQVMVLDQDQLSHLQENKRSGIFDIDVKIYFTIRFSLNNFIPGDMKAKAKCELKVPLSSSNGTTVAAFKLTKCDVSY >OIW21793 pep supercontig:LupAngTanjil_v1.0:Scaffold_206_4:31059:31772:1 gene:TanjilG_10909 transcript:OIW21793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQLNGAYYGPAIPPPPRYYHRSDDQGCCCNCLCGLTRCCCGCIFSIICKILTFVLVLVIIAAVIIWFIVRPNVLKFYVTETNLTEFNYGNNGILDYNLGLNVSIRNPNSRLGVYYDDIEATALYEDVKFGSQSLEPFFQHKKSTRYVGPVFKGQQAMSLGEKQVSKLNKDKDSGVYDIVVKLKMKFRFKFGLIKIGSFYPKIRCDLKVPLKSHNGNGQFETTQCGWDYRSIFLHKD >OIW21794 pep supercontig:LupAngTanjil_v1.0:Scaffold_206_5:22703:23200:-1 gene:TanjilG_10910 transcript:OIW21794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGLNKLGATITVIIAVSLTALTVEIIYVLWRRNQKYRSGGRIEPQEASPPCSSASTAERPSDLELELQMMKFQHMYAPPRVLFTIKEEEREGLESDNGGSSSDCGAVMVKIEKMVAVDKVAVEIEELLNDATTPFWTPCASPSYYTPYSSPCRETNKDDEKGNG >OIW21795 pep supercontig:LupAngTanjil_v1.0:Scaffold_206_6:6065:7144:-1 gene:TanjilG_10911 transcript:OIW21795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKNRGITDNLLGGLYCYLRKCLFGVLSVGPVPSHIAFIMDGNRRYAKKRNLAEGGGHRAGFAALLSILRYCYELGVKYVSVYAFSIDNFRRKPKDVQYLMELMREKIDELLQQESIINEYGVRLLFIGKLQLLTEPVRVAVEKAMRVTAHNNQRVLIICIAYTSTDEIARAVQESCKDKSDEIQASKVANVSNGAIPRTSLGLNNNGIDLHFQDSCKDYLSATKDCSTVLEGVEVAREKDVFAHNAKKHGNYSEAEITTCNELVEITEESKYKEDEIPSIKLVDIEKNIYMAVAPDPDILIRTSGEARLSNFLLWQTSACPLYSPAALWPEIGLRHLVWAVLNFQRHHFYLEKKKKQF >OIW21798 pep supercontig:LupAngTanjil_v1.0:Scaffold_209_13:7820:14499:-1 gene:TanjilG_10974 transcript:OIW21798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTGNNNNNLLTPAHSNFPPYGKDFKGGIPTGRFSNGKVPSDFIVQELGIKELLPAYLDPNLQPSELATGVCFAAGGAGYDPLTSLTSSTITISGQVDLFKEYIRKLEGEVGVDRTNFILANSLVLAVFGSNDISNTYFLTRLRQVQYDFPAYTDFLVSLASNFLKRTIAGGIERKCVARYNEAAELFNSKLSKKLNSLNKNLQNSRIVYIDVYNPLLDIIVNYQKYGYKVGDKGCCGTGTIEVVELCNRFVPTCPNDLEYVFWDSFHPTETVYKKLISPLLQKYVNKFV >OIW21799 pep supercontig:LupAngTanjil_v1.0:Scaffold_209_23:6093:12389:-1 gene:TanjilG_10975 transcript:OIW21799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSFFLRLILLALVSCNTKAILKLPPNFSVPAVLVFGDSIMDTGNNNNVLTLTRSNFPPYGKDFKGGIPTGRFSNGKVPSDFIVEELGIKELLPAYLDPNLQPSELATGVCFAAGGAGYDPWTSLRMIITDKGQEDDEKYNRMNEADLEFYRKATSLHYGKAPKISKDKIDRKHLLKFLIYGRTGSIWWLSLVILGESLDFSSIMSNTLPWEKSKRTVSWVFKLHVGHSSPPKVALAPSRLQIITQGQSKIAQPVSKPKPEAGSQGEGEGEGEGEGEEED >OIW21800 pep supercontig:LupAngTanjil_v1.0:Scaffold_209_27:5348:10859:-1 gene:TanjilG_10976 transcript:OIW21800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVELVSDLHSHLEPSLTSNMDQPSYKPSSKTESVTFFGLFSAADRFDYVLMFLGSVGACVHGAALPVFFVLFGRMIDSLGHLSKQPHKLSSNISEHALYLVYLGLAVLVSAWMGVAFWMQTGERQTARLRLQYLQSVLKKDINFFDNEARDANIIFHISSDAILVQDAIGDKTGHAIRYLSQFIVGFAVGFTSVWQLTLLTLAVVPLIAIAGGAYTIIMSTLSEKGEKAYAEAGKVADEVISQVRTIYSFVGEEKAVSEYSKSLDNALKLGKRSGFAKGVGVGFTYCLLFCAWALLLWYASILVRHHKTNGGKAFTTIINVIFSGFALGQAAPNLGSIAKGRAAAANIMNMIASVSNTSKGLEDGTLLPQVVGKIDFSEVCFAYPSRSNMVFENLSFSVSAGKTIAVVGPSGSGKSTIISMIQRFYSPTSGKILLDGHDLKDLQLKWLREQMGLVSQEPALFATTIAGNILFGKEDADMNQIIKAAKVANAHSFIDGLPDGYQTQVGEGGTQLSGGQKQRIAIARAVLRNPKILLLDEATSALDAESELIVQKALENIMSNRTTIVVAHRLSTIRNVDSIVVLKNGQVVESGTHLELMSKNGEYAGLVSLQASQIVTSSSSISRSGSSNHSSFRELSGNQDYEEELKSITVGELQPSDQGLSSNTASIPSILDLLKLNAPEWPYAILGSVGAVLAGMEAPLFAFGITHILTAFYSPNGSKIKEEVDRMSLIFVGVAAITIPIYLLLHYFYTLMGERLTARVRLLMFSAILKNEVAWFDLDENRTGSLTAMLAADATLVRSALADRLSTIVQNVALTVTAFAIAFTLSWKLTSVVVACLPLLIGASITEQLFLKGFGGDYSHAYSRATSLAGEAIANIRTVAAFGAEDRISIQFASELNKPNKQAFLRGHISGFGYGITQLFAFCSYALGLWYASILIKQKESNFGDIMKSFMILIITALAIAETLALTPEIVKGSQALGSVFSILQRKTAINPNDPNSKMVSDIKGDIEFKNVSFKYPMRPDIIIFDNLNLKVSAGKSLAVVGQSGSGKSTVISLVMRFYDPTSGSVMIDECDIKSLNLRSLRMRIGLVQQEPALFSTTVYENIKYGKDEASEIEVMKAAKAANAHEFISRMAEGYRTEVGERGVQLSGGQKQRVAIARAILKDPSILLLDEATSALDTVSERLVQEALDKLMEGRTTILVAHRLSTVCNADSIAVLQQGKVAEIGSHERLIAKPGSIYKQLVSLQQQNSGDC >OIW21802 pep supercontig:LupAngTanjil_v1.0:Scaffold_209_28:18563:18901:-1 gene:TanjilG_10978 transcript:OIW21802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSDSVVSNLTLIYVAVIACIKVFGLICGRSFTSTFVLILSTTLVALILVLTLTWDVSRKATYAFAGDHLLNPHRRHRETCKGGICWHGVAVRSPASQLRFRLPQHLPYAL >OIW21801 pep supercontig:LupAngTanjil_v1.0:Scaffold_209_28:9050:14382:1 gene:TanjilG_10977 transcript:OIW21801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFFSTIASFVGFGVGTSLGLVIGYYLFIYFQTTDVKDPTIRPLAELDLKTLQQLLPEMPFWIKNPDYDRLDWLNKFIECMWPYLDKAICKTARTIAKPIIAEQIPKYKIDSVEFETLSLGSLPPTFQGMKVYSTDEKELIMETSLKWAGNPNIIIAIKAFGLRATVQVVDLQVFAVPRITLKPLVPSFPCFANIYVSLMEKPHVDFGLKLLGADAMSIPGLYRIVQELIKDQVAKMYLWPKALEVQIMDPAKAMKVPVGILHVKVLKAVKLRKKDLMGGADPYVKLKLTDDKLPSKKTTVKYKNLNPEWNEEFKIVIKDPESQALELNIYDWEQIGKHDKMGMNIIQLKELTPDEPKVLTLNLLKTMDPNDPENVKARGQLTVEVLYNPFKANELPENAEDANAIEKAPGGTPAGGGLLVIIIHEAEDVEGKHHTNPYARLTFKGEERKTKHVKKNRDPRWGEEFQFTLEEPPTNEKLLVEVQSASSKMGLLHPKETLGYVHINLSDVVSNKRINEKYNLIDSKNGRIQIELHWRTR >OIW21797 pep supercontig:LupAngTanjil_v1.0:Scaffold_209_3:17044:18647:1 gene:TanjilG_10973 transcript:OIW21797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPSSPKRPTDGEASKPPSFFVYGSGQPRARRHWFVMVVIRGDSVPFALTTRWTTRFSTWLIKSHALGNLKCC >OIW20401 pep supercontig:LupAngTanjil_v1.0:Scaffold_20_116:14095:14730:1 gene:TanjilG_10634 transcript:OIW20401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPNPSNSSLLLHRINNQSCSAVANGHHLHTGILFMKPGNTVPDAVARYHTHTVAPNQCCSAVVKEIYAPVSTVWSVVRRFDNPQAYKNFVKSCHVIGGDGNVGTLREVHVISGLPAARSTERLEILDEERHVLSFSVVDGDHKLANYRSVTTLHPTGDGGEEGTVVVESYVVDVPPENTEEDTCVFVDTIVRCNLHSLAQTAENLRRRNK >OIW20393 pep supercontig:LupAngTanjil_v1.0:Scaffold_20_2:1603:7291:1 gene:TanjilG_10563 transcript:OIW20393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSVLRVHLPSDIPIVGCEITPYVLLRRPDKIITTDDLDQFSPVDGHFMRYKWYRVQSDKKVAVCSVHPSEQATLQCIGCVKAKIPVAKSYHCTPKCFSDAWQHHRVLHDRAASAVNENGNEEEEVFGRFNNSGSGSLTSLSASASSASLTNGSAPLYPAAVTQRNGGETWFEVGRSKTYTPTADDIGHVLKFECVVVNAETKLPVGYANTLLTSRVIPAPSPSPRRMVPVDGMAQLDADGRITSSGTFTVLSYNVLSDAYASNDLYSYCPSWALSWPYRRQNLLQEIVGYRADIICLQEIQSDHYEEFFSPELDKHGYYGLYKRKTNEVYNGDTNKIDGCATFFRRDRFSHVKKYEVEFNKAAQSLTDAMIAPAQQKTALNRLVKDNVALIVVLEAKVNNQPVDSPGKRQLLCVANTHVNVHQDLKDVKLWQVHTLLKGLEKIAASADIPMLVCGDFSSVPGSAPHELLALGKVDPSHPDLAVDPLNILRPLNRLVHQLPLVSAYSSFARTVGLGLEQHKRRLDNTTNEPLFTHVTRDFIGSLDYIFYTADSLVVESLLELLDEESLRKDTALPSPEWSSDHTALLAEFRCCKNRSRR >OIW20394 pep supercontig:LupAngTanjil_v1.0:Scaffold_20_2:10212:11465:1 gene:TanjilG_10564 transcript:OIW20394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHLRNNKNFCIHFHNFYQQQCRTIVKVRLKWVKNRSLDHIIDKETDLKAASLLKDAIKRSSTGFLTEKTVADWQKLLGLTIPVLRFLRRYPTLFHEFPHPRWNSLPCFRLTETAQFLDSQEQEIYLNHENDTIERVSKVLMMLKTRTLPLLSFQPLKWDLGLPDSFEKTLIPKYPEFFQLVKASNGVSCLRLVNWCDEFAVSALQKSNEKKGCDNEYVEFKRGQKALVFPMRFPRGYGAQKKVRAWMEEFHKLPYISPYVDCKNIDPNSDLMEKRIVGVLHEFLSLTIHKKTKRNYLRSLREELNLSHKFTRIFTRYPGIFYLSLKCKTTTVTLKEGYQSGKLVDPHPLARHRDKFYHVMQTGLLYRAKGNLRSEDVSMLDNVAKDEAGDGDSEEEVETSDEFCEDEVSETDEVSE >OIW20395 pep supercontig:LupAngTanjil_v1.0:Scaffold_20_57:5793:14051:1 gene:TanjilG_10565 transcript:OIW20395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKIVGTLGPKSRSVEVISGCLKAGMSVARFDFSWCDPDYHQETLENLKIAIKSTKKLCAVMLDTVGAEMQVVNKSETTITLQESDEVVITPDQGQEATSDLLPINFDGLAQSVKKGDTIFIGQYLFTGSETTSVWLEVSEVNGKDVVCIIKNSATLSGRLFTLHASQVHIDLPTLTEKDKEVISTWGVKNKIDFLSLSYTRHAEDVRQAREFLSSLGDLSQTQIFAKIENIEGLTHFDEILQEADGIILSRGNLGIDLPPEKVFLFQKSALYKCNMAGKPAVLTRVVDSMTDNLRPTRAEATDVANAVLDGSDAILLGAETLRGLYPVETIYTVGRICSEAEKVFNQDLYFKKTVKFVGEPMTHLESIASSAVRAAIKVKASIIICFTSSGRAARLIAKYRPIMPVLSVVIPRLKTNQLKWSFTGAFEARQSLIIRGLFPMLADPRHPAESTSATNESILKVALDHGKALGIIKSHDRVVVCQKLGDASVVKIIELED >OIW20396 pep supercontig:LupAngTanjil_v1.0:Scaffold_20_70:18230:18484:1 gene:TanjilG_10572 transcript:OIW20396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFCLPTLLAIATTVAVVVAPVSVVYSFLLPLERAVPLSHRVELESLRARDMARHARILRGVAGGVVDFSVQGTSDPNALVGYG >OIW20397 pep supercontig:LupAngTanjil_v1.0:Scaffold_20_70:21231:22382:1 gene:TanjilG_10573 transcript:OIW20397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWCIGFQKVQEGVTILGDLVLKDKILVYDLARQRIGWADYDCSLSVNVSVTTSKDEYINAGQVRSFSSSQIGNLFRRV >OIW20399 pep supercontig:LupAngTanjil_v1.0:Scaffold_20_79:9213:10286:-1 gene:TanjilG_10575 transcript:OIW20399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRISCILRGLDVKTCIFMFAIIPMCTLGIYIHGQKISYFLRPLWEKPPKPFNVTPHYYQENVSMENLCKLHGWGVREYPRRVYDAVLFSNEIEILTVRWKELYPYITEFVLLESNSTFSGLPKPLVFNSNRAQFKFAEQRLTYGTIGGRFKKGENPFIEEAYQRVALDQLLKIAGITDDDLLIMSDVDEIPSAHTINLLRWCDEVPSILHLQLKNYLYSFEFLLDEKSWRASVHRYQSGKTRYAHYRQSNDMLADSGWHCSFCFRRISDFIFKMKAYSHNDRVRFSHYLSPERIQKVICKGADLFDMMPEEYTFKEIIGKMGPIPHSYSAVHLPAFILENADKYKFLLPGNCMRER >OIW20398 pep supercontig:LupAngTanjil_v1.0:Scaffold_20_79:1756:5797:1 gene:TanjilG_10574 transcript:OIW20398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIGTTRCSTNRKPSESMRLIVTTFVGIVFGFFIGVSFPSLSAKLNLPSGLLPTVDVSYIEGKYTGGTVRSFMKNNNKKSAQNQLLNDTMKIWVPSNPRGAERLPPAIVEADSDFYLRRLWGKPSEDLTSKPKYLVTFTVGYDQKTNIDAAVKKFSENFTILLFHYDDRTTEWDEFEWSKRAIHVSAHKQTKWWYAKRFLHPDIVAPYEYIFIWDEDLGVEHFNAEEYLSLVKKHGLEISQPGLEPNSGLTWQMTMRRGDREVHKETEEKPGWCSDPHLPPCAAFVEIMAPVFSRDAWRCVWHMIQNDLVHGWGLDFALRKCVEPAHEKIGVVDSQWIDHQSVPSLGNQGEAQGGQEPWQGVRERCRKEWTMFQSRLANAENTYSEAVAAANMFNSTSP >OIW20400 pep supercontig:LupAngTanjil_v1.0:Scaffold_20_93:5177:6409:1 gene:TanjilG_10576 transcript:OIW20400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEGLDEEGCSNEETGHHSEKKRRLSVDQVKALEKNFEVENKLEPDRKVKLAQELGLQPRQVAVWFQNRRARYKTKQLERDYGVLKANYDALRLNYDTLQRDNEALLKEIKELKSRMQEENTESDASVKEEMITLQQDSSEDLKYDYFNNSDGVEAGSLFPTDFNKDGSSDSDSSAILNEEQNNNSPNYNKAISSSGVLQSHNLLMTPSLKFNNCSSSTAPSSMNCFQFQKSNSYQAQYVKMEEHDFFSADEACNFFSDEQAPTLQWYSSEEWS >OIW21803 pep supercontig:LupAngTanjil_v1.0:Scaffold_210_26:22261:23448:-1 gene:TanjilG_11165 transcript:OIW21803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSNNYIYSSPPPPYYYHSPPPPIHSPPPPYKYSSPPPPHKKPYKYSSPPPPVYKYKSPPPPVHSPPPPYKYLSPPPPVHSPPPPYYYKSPPPPKKEYKYPSPPPPVYKYQSPPPPVHSPPPPYKYPSPPPPVHSPPPPYYYKSPPPPKKEYKYPSPPPPIYKYKSPPPPVHSPPPPYKYSSPPPPVHSPPPPYYYKSPPPPKKEYKYSSPPPPVYKYKSPPPPVHSPPPPHYVYASPRPPIHSPPPPHYIYASPPPPHY >OIW21804 pep supercontig:LupAngTanjil_v1.0:Scaffold_210_32:4399:4898:-1 gene:TanjilG_11166 transcript:OIW21804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKKRVLFFMEPPPSLIDGEGYFWSDIEATPATQVTEEVVPSRKVTMQCRLHAHGGDVVVGDPSVNSREAFREDVLGIRVPLFCSASDRDDRFFLLLCRLGEFPVKPWETSPGLETTSSNTESVSAVWKALLDDIEKSLPLSW >OIW21805 pep supercontig:LupAngTanjil_v1.0:Scaffold_210_34:8878:9378:1 gene:TanjilG_11167 transcript:OIW21805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHRNELEYKVWSSPLSPPHDADQTRISTMGEMKCYQVAEIERGSYTALHAQISRVDLLSSPPFVSSSKNEGELWTHSDYRGVIVDLWV >OIW21806 pep supercontig:LupAngTanjil_v1.0:Scaffold_210_50:20826:23415:1 gene:TanjilG_11168 transcript:OIW21806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGSVDVLPHDSITNGIVEQLPADFDPAALFKDLLSPIIGEDVIVNGESQNITEKYERKIVLGRNIHTMFLEITEPDMDDEVTGEKEAYIASVLAKYKKSLTEKTKYHLGYPYNLDFDYGALSQLQHFSINNLGDPFIESNYRVHSRQFEVGVLDWFAHLWELEKSQYWGYITNCGTEGNLHGILVGREVFPDGILYASQESHYSVFKAARMYRMECVKVDTLCSGTTVKGAVDDLDVVIKKLEEAGFSHDRFYIHCDGALFGLMLPFVKHAPKVTFKKPIGSVSVSGHKFVGCPMPCGVLITRLEHVNALSRNVEYLASRDATIMGSRNGHAPIFLWYSLNRKGYRGFQKEVQKCLRNAHYFKGCLVDAGIGAMLNELSSTVVFERPHDEEFIRKWQLACQGNIAHVVVMPNVTIKKLDDFLNELVMNRAAWFEDGKNQPYCIASDVGENYCLCSLHRS >OIW21807 pep supercontig:LupAngTanjil_v1.0:Scaffold_210_50:38049:38904:-1 gene:TanjilG_11169 transcript:OIW21807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCGSNPKTNEGPEPVAQPIIEEVKVEQQPEKKNEEKVEAKIEEIPQVSSDDKSLHTLLNENVEEAQKGEEVKGEIAEVKAEPKKEEPKTEEVKVQEEKPKTEEAKKTEA >OIW21810 pep supercontig:LupAngTanjil_v1.0:Scaffold_215_12:11197:12445:-1 gene:TanjilG_11545 transcript:OIW21810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALIRTRIGLSRLGDGETAEPRPVGRPTQPNPRGTQSGVPLKEYIFSKVNGPDKKGHVRYMGKSVFWRRY >OIW21811 pep supercontig:LupAngTanjil_v1.0:Scaffold_215_47:2877:4204:1 gene:TanjilG_11546 transcript:OIW21811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSPCCEKEHTNKGAWTKEEDERLIKHIKIHGEGCWRSLPKVAGLLRCGKSCRLRWINYLRPDLKRGNFSEEEDELIINLHSLLGNKWSLIAARLPGRTDNEIKNYWNTHIKRKLYSRGVDPQTHRSLTATATTTATATMVTTNNKKMNNHSISNLNHDINNKNFHLVNGAEDSNSSSDVTTEELAFHPHNQLNLDLSIGLPSNQPKLSSSTINPENYKKLKQEPQVLYTSQQQGVCLCYGLGFQSNNQKCSCKTMGTSTTTLTGTTATNNNLYSFYQPMSFLESLN >OIW21813 pep supercontig:LupAngTanjil_v1.0:Scaffold_215_48:13125:14549:-1 gene:TanjilG_11548 transcript:OIW21813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDNCLSSSASQQHLLDDHNTKKEVSPKSSKKLSLLPLVFLIYFEVAGGPYGEEYAVGAGGPLLAIIGFIIFPFIWSIPEALVTAELATTFPGNGGFVIWANEAFGPFWGSLMGFWKFFSGVINMASYPVLCIDYLKLVIPILSTGFPRYLSIFIFTCILSLLNYSGLSIVGYAAVALGVVSLMPFILLSLISIPKIDPSRWLSLGEKGVKKDWTLYFNTLFWNLNFWDNASTLAGEIEDPQRTFPKALLYAGLLTCLAYIIPLLAATGAIPLDQENWVDGYFANVAEIIAGKWLKFWMEIGAVLSIIGLFEAQLSTAAYQVLGMSDLGFLPQIFGERSKWFNTPWMGILISTIVAIIMSCFTFTDIISTVNFLYSLGMLLEFISYIKLRRKFPSLKRPYMVPLRLPGLIIMCLIPSLLLVYVMSTASKIVYVTSAFMTAFGIGFYYFMNVCKSNKWIEFSRVGDKVEDHYSYS >OIW21816 pep supercontig:LupAngTanjil_v1.0:Scaffold_215_48:56964:79446:-1 gene:TanjilG_11551 transcript:OIW21816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLQPLINSIRSYELRLIRSTLFPPPSDPLPQSQPSDHHLHNLINGILASIESGSYLEALTSEPSSLVFQLGHDSPLPQLDNSVDSADRVYSELLNRAELFVRYDSLDNEADKASRVVLVVCIAIAAFLGFTQCNFTGPLKGLPRCPLPLEVGEFTEWDNWARNQLMSAGSDLLGKFSNLQYIVFAKMLLMRMKDLLFQGTTSSTIEIRSLSWWLARILLLQQRILDERSSSLCDMLHVHMGQALQHFSSSEEVKSYWVSNLRDGESLDIVSMLHLEAGIMEYAYGRVDSCRKHFESAEMATGLQLSVTGALGFRTVHQVEPKAQRVLVTNTSSSNNFMGNGIQKCDSSTPEANLHQRETCEASDILIIPKLLENGDNSKTRPQGSENGAHTTTSLTATQQAVILAHCLLIERSSRQDELQRWDMAPYIEAIDSQHLFYFIIRCFCDILRIRWESSRSRTKERALVMMENMVQRIYESSPAVAERTPLSCGVYMPSVPALRNLLEKKATAVELIRKRLSERPNDPRLWCSLGDTTTNDACYEKALEVSNNRSARAKRSLARSAYNRGDYETSKILWESAMSMNSMYPDGWFAFGAAALKVQRIYESSPAVAERTPLSCGVYMPSVPALRKEYGELLVRCGLIGEALKVFEDLELWDNLIHCYCLLEKKATAVELIRKRLSERPNDPRLWCSLGDTTTNDACYEKALEVSNNRSARAKRSLARSAYNRGDYETSKILWESAMSMNSMYPDGWFAFGAAALKARDIEKALDAFTRAVQLDPDNGEAWNNIACLHSIKKKSKEAFIAFKEALKFKRNSWQLWENYSHVAVEVGNISQALEGAQMVLDLSKNKRVDAELLEKITREVEGRLPTTDSLGPLTTDNECSADQCRVADSGSEHAKQGSGVSVSGRSRETEHLISLLGKVLQQIVKHGNGCGPDIWGLYAKWHRINGDLVMCSEALLKQVRSLQGSDTWKDEDRFQKFAKASLELCKVYMEISSSTGSRKGLFTAEMHLKNIIKQARHLF >OIW21812 pep supercontig:LupAngTanjil_v1.0:Scaffold_215_48:3772:4014:1 gene:TanjilG_11547 transcript:OIW21812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQLGRWVRLCQSSSITDPILLGHDIQLDYVSGPSPSVTIHSLAQSALQFPQSRSISWVSQCSISLGHDMHHGLVILGYI >OIW21815 pep supercontig:LupAngTanjil_v1.0:Scaffold_215_48:53516:55449:1 gene:TanjilG_11550 transcript:OIW21815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQWGLRHRVKYINQDEDQGSQLPYDILNEEESSDDEEEEKAIKTEPDFLPETRKRKCRSLSQLREVKEESCEKRSGRKLKSKRNESKDRWSAERYNLAEQSMWEVLKAEGATFDNPITRPALRMAARKRIGDTGLLDHLLKHIDGKVAPGGIDRFRRWFNTNGIMEYWLESADLDKVRQEAGVQNPFWMPPSTFRAGGAPSQDTDSSCDLKLLKIEMAQMKKDMQELIAKKQDKSEISLMEETHKEFLKWRAVTDRHLTEIMASMKGLQGKYGELVIWKTKFEQQLVEITNKLSDHQASRGRTAFSSYSEKWKDWIEGTNIENIQDDEFATWIGGSSELLNVPQEVVLGDPNSAPPAQFLIEAPTNKKSESPELLPARHEDQPNVTPDSSTTVTSNNSKSDIDNSLMMFQDMFMDLYKWKDKMEQQLLELSNTVYGGMLAMK >OIW21817 pep supercontig:LupAngTanjil_v1.0:Scaffold_215_48:90753:94497:1 gene:TanjilG_11552 transcript:OIW21817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENPNTSSTSTSTTIPSKHVWVFPNRTKNLTELYTLGRELGCGTFGITYLCTEKSTGCIYACKTIPKKKLLIEKDYEDVRREVQIMHHLAETPNVVKIHGTYEDSSSVHLVMEFCEGGELFDRILTKGHYSEREAAKLMKTVVEVVETCHSLGVMHRDLKPENFLFDSVQEDAKLKAIDFGLSVFYQPGATRNDAAGSLYYIAPEVLQKNYGPEMDVWSAGVILYILLCGDLPFQTRTEISGGELKFQKEPWPSISDSAKDLIRKMLDRNPKTRLTAHEVLCHPWIVDDNIAPDKPLDSAVLTRLKQFSAMNKLKKVALRVIAERLSEEEIAGLKELFKMIDTDKSGTITFDELKDGLKRVGAELLESEIKDLMDAADIDNSGTIDYGEFIAATLHLNKLEREENLMLVFSYFDKDGSGYITIDKIQQACKDFGMNDIHIDEMVKEIFHDGDGQINYDEFAAMIRKNNGGTGRRTMRSTLNF >OIW21819 pep supercontig:LupAngTanjil_v1.0:Scaffold_215_48:120371:122992:1 gene:TanjilG_11554 transcript:OIW21819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQHDFKTWGSMIKTLCVDSRHNEALSLFHHSFKAYAAFKPDHSVLASILKSCSILLATNLGRTLHSYVLKLGHVSCNVTNKALLNMYAKCGKLDECHKLFDQIGHCDPVTWNIVLSGLSGSMKYDAQVMRVFRMMHSSGEAMPTSVTVATILSVCARLRDLDAGKSIHAYVMKSGFEADTLAGNALLSMYAKCGLVSNDAYTVFDDIVHKDVVSWNAMIAGLAENGFLEDAFTLFSSMVKGPEQPNYATIASILPVCASFDKDVAYPCGRQIHSYVLQRAELSAHVSVANALISFYVKVGHMTEGETMFWAMDVRDLVSWNAIISGYTSNDDWLKALHLLRNLVSREMLLPDSVTIVSILPALAQLGNLQLGKQIHAYIFRRPFLFEDTATGNALVSVYAKCGNKEEAYHTFSMISRKDLISWNSILDAFGEKRHHSRFLSLLHLMLKLGIKPDSVTILTIIHFCVSLLRVEKVKEIHNYSIKAGSLVSDTAPTVGNAILDAYSKCGNMEYANKMFQTLSEKKNLVTCNSLISGYVGLGSHHDANMIFSGMSETDLTTWNLMIRVYAENDCPEQAVRLFSELQAKRMKPDAMTVMSILPVCTQMASVQLLSQCHGYIIRSCFEDLHLKGALSDAYAKCGIIGHANKIFQSSTDKDLVMFTAMIGGYAMHGMSEEALDIFSHMLEVGIKPDHVIFTSVLSACSHAGRVDEGLEIFCSIEKIHGMKPTKEQYACVVDLLARGGRIHEAYSLVTRMPIEANANIWGTLLGACKIHHEVELGRIVADQLFKIEANDIGNYIVLSNLYASEARWDGVMEVRRMMRDKDLKKPAGCSWIEVERMNNIFVAGDCSHPQRSIIYSTLYTLDQQIKETLEF >OIW21814 pep supercontig:LupAngTanjil_v1.0:Scaffold_215_48:29802:47345:1 gene:TanjilG_11549 transcript:OIW21814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTFHVDGKVVDRVDLLRKKQWLWRVDITPFAVLFGAWIVVICPSLDFVDAAIVLGALASLNILVLLFTGWSVDFKCFAHYSKVKNIDQADFCKITPAKFSGSKEVVPLRFRRIPAGSSSAVDLEEIYFEFRKQCFVYSKEKGTFCKLSYPTKETFGHYLKSSGHGSEAKVLAATEKWGRNVFDYPQPTFQKLMKEHCMEPFFVFQVFCVGLWCLDEYWYYSLFTLFMLFMFESTMAKSRLKTLTELRRVRVDSQILMVHRCGKWVKLSGTDLLPGDVVSIGRSSGQNGEEKSVPADMLILAGSAIVNEAILTGESTPQWKVSIVARGIEEKLSAKRDKSHVLFGGTKILQHTPDKTFPLKTPDGGCLAVVLRIGFETSQGKLMRTILFSTERVTANSWESGLFILFLVIFALIAAGYVLIKGLEDPTRSKYKLILSCSLIVTSVIPPELPMELSIAVNTSLIALARRGIFCTEPFRIPFAGKVDICCFDKTGTLTSDDMEFSGVVDLTGTTDLESDMSRVPVRTVEILASCHALVFVENKLVGDPLEKAALKGIDWSYKSDEKAVPKKGNGNPVQIVQRYHFASHLKRMAVVVRIQEGFFAFVKGAPEIVQDRLIDIPPSYVETYKKHTRQGSRVLALAYKSLPDMTVNEARSLDRDLVESKLTFAGFVVFNCPIRSDSATVLSELKGSSHDLVMITGDQALTACHVARQVHIISKPTLILGPASNGEGYTWMSPDETENIRYSAKEVESLSETHDLCVGGDSFEMLQQTSAHLLVIPYVKVFARVAPEQKELIMTTFKTVGRITLMCGDGTNDVGALKQADVGVALLNALPPTQSGNASGEEGSKSAKPKKSKPTPEASGKTVSPIGEGTSNSKVVSKSDSTNSSINRHQAAVDMQRKKLKKMMDELNEEGDGRAPMVKLGDASMASPFTAKHASVAPTTDIIRQGRSTLVTTLQMFKILGLNCLATAYVLSVMYLDGVKLGDVQATISGVFTAAFFLFISHARPLPTLSAERPHPNIFCAYVLLSLLGQFTIHLFFLISSVREAEKYMPDECIEPDADFHPNLVNTVSYMVSMMLQVATFAVNYMGHPFNQSISENKPFRYALVAAVIFFTAITSDLFRDLNDWLKLVPLPVGLRDKLLLWAFLMFLVCYSWERLLRWAFPGKIPSWQKRQRSAVSNLEKKKEVFNCPIRSDSATVLSELKGSSHDLVMITGDQALTACHVARQVHIISKPTLILGPASNGEGYTWMSPDETENIRYSAKEVESLSETHDLCVGGDSFEMLQQTSAHLLVIPYVKVFARVAPEQKELIMTTFKTVGRITLMCGDGTNDVGALKQADVGVALLNALPPTQSGNASGEEGSKSAKPKKSKPTPEASGKTVSPIGEGTSNSKVVSKSDSTNSSINRHQAAVDMQRKKLKKMMDELNEEGDGRAPMVKLGDASMASPFTAKHASVAPTTDIIRQGRSTLVTTLQMFKILGLNCLATAYVLSVMYLDGVKLGDVQATISGVFTASFFLFISHARPLPTLSAERPHPNIFCAYVLLSLLGQFTIHLLFLISSVREAEKYMPDECIEPDADFHPNLVNTVSYMVSMMLQVATFAVNYMGHPFNQSISENKPFRYALVAAVIFFTAITSDLFRDLNDWLKLVPLPVGLRDKLLLWAFLMFLVCYSWERLLRWAFPGKIPSWQKRQRVAVSNLEKKKQV >OIW21818 pep supercontig:LupAngTanjil_v1.0:Scaffold_215_48:97413:109213:-1 gene:TanjilG_11553 transcript:OIW21818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPPAAVDGGEAPQGRQQQQQQQGGFGITGIIRIAVFLYFASKFFSPKKPTESSALISNLFQKGQPMDMWLYLSEHEKFNDFGNEAALVWHETNIPYSVWGPESTRLLTLKHHPSEALKHNGSLYAHVFFAHSGYSPDPSDPEYQPQAAFGRTHPVVVYLPKKRADKKKSLLGSSPDSGEDQAASKVVDDTEDDSVDDGPVEWVSYWKPNITINLVADFTQYPKTGIPPNIAPYMNIDPITGNYYPTVFFNEFWLLRDKLIPLNQTVTELSLNLEVGPISMTKWQLFLQIDQSFQIHRSYGSMLEGEADELKRVFLEGNPYLLAVTMAVSLLHSVFDFLAFKNDIQFWNKNKSMEGLSAKTVLVSFICQLIIFLYLLDNDTSWMILASSGIGCIIEFWKIGKAMRIEIDRTGRIPMLRLRDRESYVRNKTKEYDDIAMKYLCYVLFLLVVGLCIYSLMYERHKSWYSWILSSLTSCVYMFGFIMMCPQLFINYKLKSVAHLPWRQMTYKFLNTIIDDLFAFVIKMPTLHRLSVFRDDLIFLIYIYQRWIYPVDKKRVNEFGFGGEDDQAVQSADVDAAKEEEKKTN >OIW21820 pep supercontig:LupAngTanjil_v1.0:Scaffold_215_49:3789:17741:-1 gene:TanjilG_11555 transcript:OIW21820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERVYKLHATLIKTGQHKNPHSLRSFILHCLDSSLSFPVTALYASSLFFRFPIPSDPFLYNTLIKSLMLSSPSCAFSIFTHMHRNDVPFDRFTFPLILKACVPFKSGYCLHSLVLKLGFHSNVYVQNALINAYSTCGSVHDAVKVFDEMSQWDLVSWSSLVSCFASNGLPREALGTFRRMQGVEGVKPDGVVMLSVISAVSSLGALELGIWVHGFVQRSKLGLSVALGTALIDMYSRCGSIDRSLKMFDEMPHRNVVTWTTLINGLAVHGRSREALNAFYDMRKSGLKPDHVAFLGALVACSHGGLLEDGWRVFGSMRSEYGIEPKLEHYGCMVDLLGRAGMVLEAFKFVDEMPIKPNSVIWRTLLGACVNHNHLMLAEKAKEQINKLDPHHDGDYVLLSNAYGGVDTTAQGSINILSGVNYASAAAGIIEVTGRHLTLHGLGLRKFLLGGLGPLGCIPNQLSRGSAPPGQCVSYVNKIVSMFNDALRTLVDQLNSDYNGSVFVFGNTYGVLSDIINNPNTYDTTAQGSINILSGVNYASAAAGIIEVTGRHLGERISFSKQVQNFETTLSQLKTQVNIEILTKKLAKSLTVVNHGSNDYINNYLLPKLYATSFICDPKSYAELLVQLYKKQILTLHGLGLRKFLLGGLGPLGCIPNQLSRGSAPPGQCVSYVNKIVSMFNDALRTLVDQLNSDYNGSVFVFGNTYGVLSDIINNPNTYGFTVTDRACCGCLPMSTPCRNRSEYVFWDTYHPTQAVNNIIIDRALTGPPSDCYPVNVKQMAQM >OIW21809 pep supercontig:LupAngTanjil_v1.0:Scaffold_215_7:35963:38828:-1 gene:TanjilG_11544 transcript:OIW21809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLSSSTKDNKNQNGMVVENPIAHDENVLQKHVAFFDRNHDGVIYPSETFQGFRAIGCGILLSTVAAIFINSGLSQKTRPGKFPSILFPIEVKNIQRSKHGSDTGVYDTEGRFVPSKFEELFNKHARKHSNALTSDELTEMLKANRVPKDYNGWLASYVEWKILYTLAKEKDGLLTKETVRAVYDGSLFEQMEKKHSEKENK >OIW21808 pep supercontig:LupAngTanjil_v1.0:Scaffold_215_7:7636:9674:-1 gene:TanjilG_11543 transcript:OIW21808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSPTSKLSKQVAGQVGGIIDEKPIPVQENVLQKHAKFFDLNQDGVIYPWETYKALREIGSGILLSTGLAIFINLGLSQSTRPGKFPSPLFPIEVKNIKLAKHGSDTGSYDTEGRFVPSKFEEIFTKHARTHPNALTYDELNEMIKANREPKDFIGRIGSWVEWNVLYKLGKDKKGLLQKETIQSVFDGSLFDQLKKEHSKGKKK >OIW20423 pep supercontig:LupAngTanjil_v1.0:Scaffold_21_120:53391:61467:1 gene:TanjilG_11122 transcript:OIW20423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEREGNDCVMPHNSNMTLLNDDVDDDQTTNLRSTFWLDRVGEVILTFNSNGLSWKLVESLGNVATEIKLSDVYAVELTDQGLVHISNIPHATEHLLLGHEKKMYRFTVHGFTRSRSQPSQMILAEYTFGHGNLQTCQMWVNQLSASLNLEVERPKNLLVFVHPRSGKGTGCRTWEAVAPIFSRAKVETKVIVTERAGQAFEVMSSIKNKELNSYDGVVAVGGDGFFNEILNGFLSPRLKAPNPPTPPDFLHLVKDNGDSLVLDEKETVEETSNDSEDQFPLISNLEQSGSRISYSCSEDMDPEFPVPNERFRFGIIPSGSTDAIVICTTGVRDPITSALQIVLGKRVKLDIAQVVRWKTTPTSEVDPYVRYAASFSGYGFYGDVITESEKYRWMGPKRYDYAGTMVFLRHRSYEAEISYLDVESDETNFTSKRNRHGSLLRALRAPHKPERYICRVNCKVCNEKPDLTTTGVSGLTPHLCSEKRWVRSKGRFLSVGAAVISCRNEKAPDGLVADAHLSDGLLHLILIRDCPHASYLWHLTQLSRRGGSPLNFKFVEHHKTPAFTFTSSGTESVWNLDGEIFQAHQLSAQVFRGLVCMFASGPEV >OIW20427 pep supercontig:LupAngTanjil_v1.0:Scaffold_21_120:96706:96873:1 gene:TanjilG_11126 transcript:OIW20427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGSTIEHHMHPTVNTITPQATTRLSDISLQQPTSDMHHSVQRAAFTSTPSYAFQ >OIW20430 pep supercontig:LupAngTanjil_v1.0:Scaffold_21_120:112949:115668:1 gene:TanjilG_11129 transcript:OIW20430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAKPIYGILSDTIYIGGAHRIPYICIGVLLQVLSWSFLALVPVARDVLPNLIACVLLSNLGASITEVAKDALVAEYGKKNKIGGLQSYAFMALAAGGILGNLIGGYFLQEMPARTMFAIFSSLLSLQFALSFSTREESLGISQLSDQNVARKPISEDIKKQVSNLFMAISNKSISAPLIWIVGSIAMVPMLSGSIFCYQTQVLNLDPTVIGMSRVVGQLVLLSGTVLYNRYWKNFPMRKLIGMVQILYASSLLLDLILVRQINLKLGISNEVFALCFSGLAEILAQFKLLPFSVLLATLCPKGCEGSLTSFLASALCLSSIISGFLGVGLSSLLGITSGNFSGLTTGILIQFLAALLTLRWINYVPMSQPVVEKRRTMSRRARRNRRVGKVLLGSINVYRRERESETPR >OIW20418 pep supercontig:LupAngTanjil_v1.0:Scaffold_21_120:9111:18048:1 gene:TanjilG_11117 transcript:OIW20418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLEPPTTSENGSAHPAGMGKSQFTDGGGATVHPPDTVPDPDALKRRRSSVLPLEVGTRVLCRWRDGKFHPVKVIERRKVHAVGPSDYEYYVHYTEFNRRLDEWVKLEQLDLDSVEAVVDEKVEEKGASGLKMTRHQKRKIDETHVEGHEELDAASLREHEEFTKVKNIATIELGRYEIETWYFSPFPPEYNDCLKLYFCEFCLNFMKRKEQLQRHMRKCDLKHPPGDEIYRSGTLSMFEVDGKKNKVYGQNLCYLAKLFLDHKTLYYDVDLFLFYILCECDDRGCHMVGYFSKEKHSEESYNLACILTLPPYQRKGYGKFLIAFSYELSKKEGKVGTPERPLSDLGLLSYRGYWTRVLLDILKKHKGNISIKELSDMTAIKAEDILTTLQTLELIQYRKGQHVICADPKVLDRHLKAAGRGGLEVDVSKLIWTPYKEQS >OIW20426 pep supercontig:LupAngTanjil_v1.0:Scaffold_21_120:84413:87772:-1 gene:TanjilG_11125 transcript:OIW20426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKTNRTVRNQVTVVQPLPRLVRSNSGSSSALITTSDKTSRRFSTSERFINGHRSKSTSRVRTGNDEDKKSKDSFGKFLQRGVSPDNNNIGASKRITSTMKSPSAWALSPGRSLGPPIVSEPVKKASGGGGGGRVGGGVSKVLKYFKQRKISSVQIDEYHRFKILHNRVLQWRFINARAEVATATVNNVAKVDIDSFYEAMNTAVEVMANIEPLITKHQRQVEKILYQVTELITASKQEEEYLQELVEVIPTITALLENEKGIRVHLIQTKMESNSMDHPYNIG >OIW20424 pep supercontig:LupAngTanjil_v1.0:Scaffold_21_120:63485:68598:-1 gene:TanjilG_11123 transcript:OIW20424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSIKEKSECSSSLVVAVAIKGNKKSRYVVQWALNKFVPEGMIIFKLIHVHAVGILIPLSQARNDVVTAFKSEVEWQRNQMLQPFKKMCEHRKVHVDVVILESDDVATAVAEEVAKGPTTKLVVGASSRGIFTSKHKGLSAKISVCTPRFCTVYAVSKGKLLIRPSDMQIDESIMDDGASETSFSSNSSSKYTSTSQTDSVSVATYPSMHSSSLATQRFQALSSINQTLLSTSSSIMESNHSRGQSLDIGRENTATSSARNSDYALSRASSCKSIISDPGSWMYDQNSTKDVPLLSELLSPNRQADINLELEKLRIELRHAQGMHAVAQSENIDASRKLNDLSKKRSEESMKLKEVIAKEELANKFANYEREKYEAAAKEASYLKECAEREASERKEVELKAIHAAKEKVKLEDALSGSSPQYRKFTWDEIVSATSSFSEELKIGIGAYGIVYKCSLYHTTVAVKVLHSIGNHKSKQFQQELEILSKIHHPNLLLLLGACPDHGCLVYEYMENGNLEERLLQKNSTAPIPWFERYRIAWEVASALAFLHSSKPTPIIHRDLKPANILLGRNLVSKIGDVGLSTMLHTDNLSTMYKDTEPVGTLCYIDPEYQRSGVISEKSDVYAFGMVILQLLTAKPAIALTHVIETAIDDGNLIDILDPEAGTWPFPETLDLARLGLSCAELRRRDRPDLKDHVLPTLERLKEVADRAQHSASVVTIKSRSPNHFICPILQDVMDDPCVAADGYTYDRKAIEKWFRENDKSPMTNMALPHKNLIPNYTLLSAIMEWRSRQI >OIW20421 pep supercontig:LupAngTanjil_v1.0:Scaffold_21_120:38926:42283:-1 gene:TanjilG_11120 transcript:OIW20421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIKLPTSSEGQPIRCKAAVARKPGEALVIEEIIVAPPKPHEVRDPPAFFPRILGHEAIGVVESIGKDVTGIMKGDVVIPIFLPDCHECIDCRSSKSNICTNFPFEVSPWMLRDGTSRFTDLNGEIIYHFMSISSFSEYTVVDIANVMKIDPEIPPNRACLLTCGIAAGIGAAWRRAGVEPGSTVAIFGLGYVGLAVVEGARLCGATRIIGVDVNPEKNEIGKKFGLTDFVNPRECGKKPVSQVIIEITGGGADYCFECVGIESLVHEAYASCRKGWGKTIVLGLCKVGARLSLSSSEVLHDGKTLMGALFGGLKPKSHVPILLKRYMDKELQLDEFVTHEVEFKDVNKAFDLLKNGKCLQCVIWMDK >OIW20428 pep supercontig:LupAngTanjil_v1.0:Scaffold_21_120:96964:97143:1 gene:TanjilG_11127 transcript:OIW20428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGGLGKFPPPNRDVGIDGMVTMIGHKLAEPLSNTLVNPAAQREETGCKVEVGASYEG >OIW20420 pep supercontig:LupAngTanjil_v1.0:Scaffold_21_120:26843:28219:-1 gene:TanjilG_11119 transcript:OIW20420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQMKKIELQENGSIKRENEHKSLIIDMEAMLESVELPLVSHCCIYKVPQKIRKLNEQVYTPSIVSIGPFHNGDKRLESMEDLKLRYLKSFLERTQKGLQDCIEYIKKSEEVIRCCYSETIEQSSDDFVRIILIDACFIIEYFIRSLEWPQEDPLLSKPWLRCDVKLDLILLENQLPWFVLEDLFNLTEPGCLNGEDHDQVSSFIDVAFHYFRVNFMQSIFPSGTNNTKFTLHYFHEHYKQYIMKPDEVSMQLQNLTDLLRIFYLPPDMLPRRRKETVKHLYSASQLVEAGVKLHVGDDKNILELQFMKGVLTIPRFEVCHWTEILIRNVIAFEQCHYPFQTYITDYIILLDFLIDTSLDVDTLVDNGIMVNTLGDSSAVANMVNNLCINVVQENINAGYIPLCEKLNGFYEDPFHKYKASFIHDYFSSPWKIASFVAAILLLLLTLIQAICSVISLF >OIW20422 pep supercontig:LupAngTanjil_v1.0:Scaffold_21_120:45942:46778:-1 gene:TanjilG_11121 transcript:OIW20422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTQQAVSFLSNLARAAFGLGVAGTVLNSTLYTVDGGQRAVLFDRFRGILDETVGEGTHFLIPWVQKPYIFDIRTRPHTFSSVSGTKDLQMVNLTLRLLSRPDTQRLPTIVQNLGLEYDEKVLPSIGNEVLKSVVAQFNADQLLTDRPQVSALVRESLIKRAKDFNIVLDDVAITHLSYGIEFSRAVEQKQVAQQEAERSKFVVMKAEQERRAAIIRAEGESDAAKLISDATAAAGNGLIELRRIEASREVSATLAKSPNVSYLPGGQNLIMALNPSR >OIW20429 pep supercontig:LupAngTanjil_v1.0:Scaffold_21_120:98803:101154:-1 gene:TanjilG_11128 transcript:OIW20429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFPKPSLQRYTSLFSPRYSLFIPPPLLRRRHHRLFSSTETDDAAAIPKLEPSHDAELLSNILLQHHNPFHAMESSHQLNGITFTPHLLSQTLLRLRDHSKIALSLFNYSKSLPNSPLSSSSYNLLVDIMGKVRQFDVAWQLIVEMDQQNLDLTSSTFFIMIRRLVAAGLTRQAIRAFNDIDSFVEKKTSSEDFCILLDTLCKYGHVKVAVEIFNRNKHMFKPDAKMYTVLIYGWCKLGRIKMAEGFFREMVEQGIEPNVVTFNVLLNGICRKASLHPEERFERTVKNAENMFDEMRKRGIEPDVTSFSIVLHVYSRAHKPQLSLDKLKSMKEKGICPTVVTYTSVIKCLCSCGRLEDAEDLLNEMVGSGVSPCAATYNCFFKEYRGRKDADSALKLFKKMKDDGLCLPTAQTYSILIAMFLKLNRIGVVKETWNDMKGAGVGPDLDLYTVLIHGLCENQSWKEACHFFVEMIENGFLPQKVTFETLYRGLIQSDMLRTWRRLKKKLDEESITFGSEFQNYPLKPYRR >OIW20425 pep supercontig:LupAngTanjil_v1.0:Scaffold_21_120:74989:80154:-1 gene:TanjilG_11124 transcript:OIW20425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTPADYYRSLPPVSKIYGVTCLMTTAAYYLQLYDAWNIALDYGLVFKRFQVWRLVTNFFFLGPFSLPFAVRLIMIAKYGVSLERGPFDKRTADYVWMFIFGALSLLVIAAVPFLRSPFMGTSLVFMIAYIWGREFPNDRINIYGVVSLKGFYLPWALLALDLIFGNPIIPDIVGMLAGHIYYFLSVLHPLAGGKFKLSTPFLVHKIVAYWGEGTQINAPVQSNPTDGVVFRGRSYRLGGTQTTTRTTRSPRQS >OIW20419 pep supercontig:LupAngTanjil_v1.0:Scaffold_21_120:24067:25345:1 gene:TanjilG_11118 transcript:OIW20419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRVAGERPIVGGNKWSHLDQSYPIPENCEVEKLQANFEQGILTVTMPKKLFSQVTTPKPQVKTTQENVPNPSKKPVAKESIPQKSTTSSVGESYGDMKSPSFPSTVKDLKEQKGVQKDTPTAKPEDDKRLRYLVPSEPFFRDPRPQNVEEKTLQKAKITAATPKQQTGRSEKGKKEIEAQPTLIMDSRKHIDEKFEEEIRQKTILATVKKQLSEKEEKESVTKKEVKEEYKMPYKSRNVEKDMDQMALFKGKEIRTRKYSPKSSVPKASEKDTIGKGIREVVASASKILTRIGEGKLNDEEKPLVANIGAAIVVIVALGAYVSYKFVSSGRT >OIW20432 pep supercontig:LupAngTanjil_v1.0:Scaffold_21_128:21729:22118:-1 gene:TanjilG_11131 transcript:OIW20432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLCNTSLSLALKATKRASSTISEILKMTNLTDIVKAVIKDCLDNVKTSMGQLQDSLAAMGQLDGIDKEFQISNIQTWMSSSITDDQTCSDELDEMNLDATIRDQIRKVVLNAAMVNSNALYFVNKLIY >OIW20431 pep supercontig:LupAngTanjil_v1.0:Scaffold_21_128:5794:10267:1 gene:TanjilG_11130 transcript:OIW20431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMILSWFSFFIHIVFLSNLCFCDDPTISTSLHVSYQTLSPLGVPERVIAVNGNFPGTVINVTTNNNVVVNVFNDLDEDLLITWPGVQMRRNAWEDGVLGTNCPIPPKWNWTYQFQAKDQIGSFFYFPSLNFQRASGGFGAFVINNRDIVPIPFAQPYQDIVLMIGDWYSRNHTALRKTLDGGKHLGIPDGVLINGKGPYQYNATLVPAGIQYTQIDVEPGKTYRVRVHNVGISTTLNFRIQNHNLLLVETEGRYTVQTNFTNFDIHAGQSYTFLVSTDQNASTDYYIVASARYVNATVWQKVTGVAVLHYKNSKGPVSGPLPSPPDDTFYKGLSMDQARSIRQNTSASGARPNPQGSYRYGQINITHTYVLKVMPPVTINGSIRAAINGISFRKPNIPFRLADQHKVKGTYKVDFPSKPTNRTPVIDSSVINATYKGFIEIVLQNNDTTIQNFHLDGYSFYIVGMDYGDWSENSRGSYNKWDAISRSTTQVYPGGWTAILVSLDNAGVWNLRSENLDRWYLGQETYLKVVNPEENGETEMKAPDNVLYCGPLHYLQK >OIW20404 pep supercontig:LupAngTanjil_v1.0:Scaffold_21_13:14854:15333:-1 gene:TanjilG_11085 transcript:OIW20404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKNQKVILDKDQIAELREIFLSFDWKNDGSLTHLELSSLLRSLEFVAVVAAELLPVKSPYSEKQLRKLFRIFDRDGDGFITAVELVHSMAKVGHVLTVIEFTGMIKEADIDIDDDGRISFQEFAQAITYAV >OIW20434 pep supercontig:LupAngTanjil_v1.0:Scaffold_21_140:15228:16828:1 gene:TanjilG_11133 transcript:OIW20434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDVSLVAPRATPSSDQSVPSPAAAGAGTKQKQQHTTASGGMMGSLRLIELQLVAFILVFSASGLVPLLDLVFPALTSAYILALAQFAFPSHDTSSSNHVSSSKEEIFKGSRLFRIYVIAGTTIGLFLPLAYVLGGFARGDEHAVRSATPHLFLLSFQILTENIISSLSLFSPPVRALVPMIYTIRRIFVDIDWINDVWINKALPANAQVQDIAWYWFGKGLAVANMAYFCINLFGFLIPRFLPRAFERYFQEKGEIYAKSAEDKRSAPINKPQLSEKKRD >OIW20433 pep supercontig:LupAngTanjil_v1.0:Scaffold_21_140:4815:5546:-1 gene:TanjilG_11132 transcript:OIW20433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSIIASLRHTYLVQLQGWCVDKGELLIVYDFMPNGNLDKMLYKEWRKLLNWPNKFNIVVDLAFVLVYLHQEHKGDESIYQSVGHERNLDRGTKPSLTEAQGPDLIKALGAWNQMLSAGPGLTETGRTRLGVAHRPTGRGEALSQALGVQSGQLGVATLALP >OIW20435 pep supercontig:LupAngTanjil_v1.0:Scaffold_21_141:11126:11521:1 gene:TanjilG_11134 transcript:OIW20435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQPDRGSAYVTKSAWTNLTEPAWANLTEGETILTKPAWDNLAEGVLGHDRDTLTVAHKDTPGHGPDILIVAHKDTLGHGPYILTVTHKDTRRHGPYIVIVGHRHKIGHDHTNLDEATKNQTGRGQGSLQQ >OIW20436 pep supercontig:LupAngTanjil_v1.0:Scaffold_21_142:1330:6676:-1 gene:TanjilG_11135 transcript:OIW20436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATHAPLEEALLKGDSSVRNNSDPDKTRADEKLNCYSNAGFFSILTFSWMSTLIALGNRKTLDLKDLPLLPIIDSAYGTFPTFRSKLESECVGVSKVTTLKLAKGLFFSTWPGILLSGLFAFLYTCASYVGPYLIDILVQYLNGEQKFKNEGYILALAFVAAKLMECLSQRHWMFRFQQVGVRTQSMLVSMIYTKSLTLSCQSKENHSSGEIINLLTVDAARIGEFCWYMHDPWMAVLQVALALLILYRTVGIASLAAFGATVIIMFLNLPVASMQEKFQHKLMGFKDERMKITSEILKNMRILKLQAWEMKFFSKIVQIRKNEETWIKKFLVGSAIVRFLFFNAPTFVAVVTFGACVLIGIPLESGKILSALATFRILQMPIYSLPDTLSVIAETKVSLDRIAAFLSLNDLKTDVVEKLPWGSSDTAIEIVEGNFSWDLSSPDITLKNINLTVCHGMRVAVCGTVGSGKSSLLSCIIGEVPKLSGIVKVCGTKAYVTQSPWIQSGKIEENILFGKHMDREKYEKVLEACSLTKDLEVLPFGDQTIVGEKGINLSGGQKQRVQIARALYQDADMYLFDDPFSAVDAHTGSHLFKECLLGLLKTKTVIYITHQVEFLPDADLILVMRDGRITQTGKYNAILKSGTDFMELVGAHKAALSSITSLERRPTSKTLSFTVEETDSLSVFGLDQKAEHIDDQNGKSDEIVEPKGQLVQEEEREKGRVGFKVYWNYMTSAYGGALVPFILLSQTLTMALQIASNFWMALATPVSATAEPAIGSFTLMVVYVALAIGSSFGTLARAVLSAIAGYKTSTLLFNKMHLSFFRAPMSFYDATPSGRILNRASNDQSALDMSIANLVWGFTFSLTQLFGNIAVMSQAAWQVFIVLIPILAACIWYQRYYSSSARELARLVGICEAPVIQHFSETISGSTTIRCFGQESRFNDTNMKLIDRYSQPKLYSASAMEWLSFRLDVLSTITFAFCLAFLISFPNSITVPGIAGLAVTYALNLNATQFSLISNLCNMENKIISVERIFQYTSIPSEPPLVIEDSRPDRSWPSFGEIHIHDLQVRYAPQLPLVLRGLTCTFTAGAKTGIVGRTGSGKSTLVQTLFRLVEPVAGQILIDNINIASIGIHDLRSKLSIIPQDPTMFQGTVRSNLDPLEEYTDERIWEALEMCQLGNEVRNKEGKLDSKVTENGENWSMGQRQLVCLGRVLLKKSKILVLDEATASVDTATDNIIQQILKQYFSECTVITIAHRITSILDSDMVLFLNQGLIEEYDSPKKLLENKSSAVSQLVAEYTRRSNSGFES >OIW20437 pep supercontig:LupAngTanjil_v1.0:Scaffold_21_144:21904:24203:-1 gene:TanjilG_11136 transcript:OIW20437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELGFHNSLSPAMLSFFLFLLIVVSIVLKSKAKPSNSKLPPGPPKLPIIGNIHQLGAMPHHGLAKLSQQYGPLMHIKLGELSTTVVSSPEIAKEIMRTHDIIFANRPHLLAADIISYGSKGMTFSPYGSYWRQMRKICTLELLTAKRVESFRMVRKEEASNLVKEICSSEGSCVNISKIINLFTCGLTSRIAFGVKSKDEEAFVDTMKDVSKVIGGFSISDLYPSVEVLQFLTGFRSKVEKIHQEMDRILGNIVREHRDKTSESKGTNEKEGEDLVDVLLKLQKQENLEHPLTDNVIKATILDIFGAGSGTTAKTLEWAMSELVKNPSVMERTQAEVRRVFDGKLNVDESNLHELKYLKSVIKETLRLHPPVPLLLPRECSERCNIKGLEISAKSKVIVNAWAMGRDPSYWIEAEKFYPERFIDSSIDYKGADFQFIPFGAGRRMCPGVTFGMANIELILANLVFHFDWKMPNGNKPEELDMTESFGLSLRRKHDLYLIPSVYHLFAN >OIW20438 pep supercontig:LupAngTanjil_v1.0:Scaffold_21_144:33768:34214:-1 gene:TanjilG_11137 transcript:OIW20438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLVTLTKKKGYQEKNLVHDKLQKKELQNSIDVTQTVVSQQSESTESESSTGSIMCGSFEIDHNGNQNVSVSDNSASENDDYDDEEEDNLIEIKLQRSFLCDLAEEPKQKLESNLPDFMEQGLMELLAEINEDENLIEIDISMGSTNS >OIW20439 pep supercontig:LupAngTanjil_v1.0:Scaffold_21_162:5891:8757:-1 gene:TanjilG_11138 transcript:OIW20439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQAEQRVCALLGVFGKLTTILGIITAVNEHIIDTTPTRNNTGSTEQYSFPYPLCLSALKDLETLVEVVAQHYYGDDKKWNFLAITEGTKFLVRLSLFWKSGYKMLLHGGETYNDETHSDSFSSQHQTGLKPDGYDGSDYMKNNRGPNPWNLEGRALSALSTFGEKARTVSDPMWIHRLHHQQAIMEPPVSRVEKPTLSSILSKRGLHGALFLIGEVLFISRPLIYVLFIRKYGVRSWTPWSISLAIDFIANSIHSLVTTSVADGNEQNFHLSALENDEVKRRKLLFVLYLMRDPFFSKYTRRRLESTEKVLEPLPIVGFLAVSGIRFSVDFAAKIVELIIGAQTRYTYMSGS >OIW20441 pep supercontig:LupAngTanjil_v1.0:Scaffold_21_162:12190:24628:-1 gene:TanjilG_11140 transcript:OIW20441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEGELPEGTLQNILEQESLKWVFVGGKGGVGKTTCSSILSILLATVRSSVLIISTDPAHNLSDAFQQRFTKTPTLVNGFSNLYAMEVDPTVEHEEMADGMDSLFSELAGAIPGIDEAMSFAEMLKLVQTMDYSVIVFDTAPTGHTLRLLQFPSVLEKGLAKIMSLKSKFGGLFNQMTRMFGMGDDFGEDAIVGRLESMKDVIEQVNKQFKNPDMTTFVCVCIPEFLSLYETERLVQELTKFEIDTHNIIINQVLFDDEDVESKLLKARMKMQQKYLDQFYMLYDDFHITKLPLLPEEVTGVEALKSFSRHFKTPYEPLCSRDQVERLEHRVSALKQQLKEAEEELKRVKA >OIW20440 pep supercontig:LupAngTanjil_v1.0:Scaffold_21_162:9866:10823:1 gene:TanjilG_11139 transcript:OIW20440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEIFMFLHRGGLELLCDSKKIHNVNVEQQNGEDKLTMKDLLSWARTNLIKERPEMFMKGDTVRPGVLVLVNDCDWELSGQLSTSLEEKDVVVFISTLHGG >OIW20442 pep supercontig:LupAngTanjil_v1.0:Scaffold_21_170:2570:2914:-1 gene:TanjilG_11141 transcript:OIW20442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALINTRNRPAMLNSKALADAASWYCVFVLVALVVLTIFRDSFNDQLLNHANFRASQLLSRPCDEIYVVGEGETLHTISDKCDDPFIVENNPHIHDPDDVFPGLVIKITPSLHS >OIW20443 pep supercontig:LupAngTanjil_v1.0:Scaffold_21_172:2583:5290:-1 gene:TanjilG_11142 transcript:OIW20443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGANFSSCVCDGNDDGGSSMRPRLGDIPESCVAFVLMYLDPPDICKLARLNKAFHDASLADFIWESKLPLNYKFIMEKALEDDSFVAELGKKDVYARLCRPNLFDNGTKEIWLDKKTGGVCLAISSKGLKITGIDDRRYWNYISTEESRFHMVAYLQQIWWLEVEGDLEFQFPPGTYSVFFRLQLGKSSKRLGRRVCNTEHIHGWDIKPVKFQITTSNGQHVVSQCYLDNPGNWVLYHAGNFDSKSHDDLIKIKFSLTQIDCTHIKGGLCVDSVFICNSEGKMV >OIW20444 pep supercontig:LupAngTanjil_v1.0:Scaffold_21_189:6556:9001:-1 gene:TanjilG_11147 transcript:OIW20444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKEDEEEEEDEEEEEDEEEEEDEEEEEDEEEEEDEDEDEEDEDEDEDDEEDEDEEEEDEEEDEDEDEDEEDEEEDEEDEDEEDEEDEEEEDEDEEDEEDEEDEDEEDEEEDEDDEEDEDEEEEDEEEDEDEDEDEEDEEEDEEDEDEEEDEEEDAEEDEDEDEEEDEEEEEDEEDEEEDEKKKKTKKKTKKKKKKKKTKTKKKTKKKKK >OIW20403 pep supercontig:LupAngTanjil_v1.0:Scaffold_21_2:74522:75478:-1 gene:TanjilG_11063 transcript:OIW20403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTNNVQRKCDQEENVEDDDEELSLGDLPITLINMTTQDQLRKEDSLGDNETQEEFYFLISKEQEMCAADDVFFQGQILPLSSKACLLAKYNDDKGNHQLNHSDLIRFKSLDISLSEIQSNSSPSKSVRSHNSSRSTSSTTTTTTPRISISNSKTKNQFYTHPSPKSQLKKAPPNQTSGGKSSSTRKYLRIGVIPTPEIRLKDLKIRSTTTTTTTKPFVARTHKKCEGQNSNNSRESVKKSNKTGHQVDNNKHHGFKKFVHKGGGLFRSGCKCSVETVRSSIVKIKGGTKNANVTESTQQHTKKEEVVELKKRKHGDK >OIW20402 pep supercontig:LupAngTanjil_v1.0:Scaffold_21_2:24333:26358:-1 gene:TanjilG_11062 transcript:OIW20402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTIEEAHNVRVIGKGNQIVVLAHGFGTDQSVWKHLVPHLVDEYRVILYDNMGAGTTNPEYYDFERYSTLEGFVYDLLAILEEFQVQSCIFVGHSLSSMVGVIASISHPQLFSKLILVSASPRFLNDGDYYGGLEQEDLSQLFEAIQSNYKAWCTGFAPLVVSGDMDSVAVQEFSRTLFNMRPDIALSMAQTIFQFDMRHILNFVTVPCHIIQSTKDLAVPLVVAEYLQQNLGGKSIVGVMPTEGHLPQLSSPDIVIPVLINHIRNDIAEPK >OIW20405 pep supercontig:LupAngTanjil_v1.0:Scaffold_21_24:10903:11560:-1 gene:TanjilG_11096 transcript:OIW20405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNHCAETSLSGVLLVELIFEILSWLPAILLLRFRVRLWNPITKLFSKRSTDLFVKVDGRAFDSAEFGLWYNKLTETYKVVAVLVDNGASVENLERTEVRVYRFGDNTRCFVSA >OIW20406 pep supercontig:LupAngTanjil_v1.0:Scaffold_21_25:20469:22681:-1 gene:TanjilG_11097 transcript:OIW20406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQVKVEGRAFDSAEFGFWYDKLTETYKVVAVLMDNRASVKNLERTEVRVYRFGDNDDFCRKIQGFPIFPIQLHQDRKCVNDTFNWLALRNYNSHYKWDRDTITIDQLVINLLDLSKERYIQFSLPRGFDQVSLVEPNVSVLTDCLCFS >OIW20407 pep supercontig:LupAngTanjil_v1.0:Scaffold_21_26:18541:19200:-1 gene:TanjilG_11098 transcript:OIW20407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNHCAQTSLSGVLLDELIFEILSWLPAILLLRFRCICKSWKSLISDPNFIKLHLQRAPKNANLILTLIGNHGKRSAISCSFRSLLHHNPSSPTTVVAENLHYRLKLMKHTGIGVSCNGLICLVRAFPRNFRGNEFHKYRVGLWNPVTKLFSKRSADLLVKVEGRAFDSAEFRFWYDKLTKTYKVVAVLVDSGASVENLERTEVRVYRFGDNTRCFVSA >OIW20408 pep supercontig:LupAngTanjil_v1.0:Scaffold_21_32:12014:14721:-1 gene:TanjilG_11099 transcript:OIW20408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNHCAQTSLSGVLLDELIFEILSWLPAILLLRFRCICKSWKSLISDPNFIKLHLQRAPKNANLILTLIGNHGKRSAISCLFRSLLHHKPSSPTTVIAENLHYRLKLMKHTGIGVSCNGLICLVRAFPRNFRGNEFHKYRVRLWNPVTKLFSKRSADLLVKVEGRAFDSAEFGFWYDKLTETYKVVAVLVDNGASVENLERTEVRVYGFGDNDDCWRKIHGFPVFPIQLHQDRKCVNDTFNWCICKSWKSLISDPNFIKLHLQRAPKNANLILTLIGNHGKRSAISCSFRSLFHHKPSSPTTVVAENVHYKLKLMKHTSIGVSCNGMICLVRAFPRNFRGNKFHKYRVHLWNPVTKLFPKRSADLLVKVEGRVFDSAEFGFWYDKLTETYKVVAVLVDNGASVENLERTEVRVYRFGDNTRCFVSA >OIW20412 pep supercontig:LupAngTanjil_v1.0:Scaffold_21_32:72805:75923:-1 gene:TanjilG_11103 transcript:OIW20412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFRQIVDCVDINKQPAFDHPLLKNHKLQVLLFIRTNKEDLIQMKYYLSNHTQFLTQGSPGQHYATLYTKKSNDKSYNGVNGHIEIYNPRLRRHQMSLAQIAVENGEGFLDNDNRNTFQFGGPGGQC >OIW20411 pep supercontig:LupAngTanjil_v1.0:Scaffold_21_32:49734:70390:1 gene:TanjilG_11102 transcript:OIW20411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGWDGIQSQASSFASRSTSTQPVRLGRVQPQAPTHRTIFSNDREANLHVRFKEAFEDWKRFQNDMAINNNTIDVLQDQKWEPIPWKKLQVGDIIKVKQDGFFPADLLFLASTNADGVCYTEVEYIFSDKTGTLTRNLMEFFKCSIGGEIYGNGVTETERGIAERNGIKIEENKSPNVVQEKGFNFDDDRLMRGAWRNEPNPDFCKEFFRCLAICHTVLPEGEESVEKIRYQAASPDESALVIAAKHFGFFFYRRTPTMIYVRESHVEKMGNIQDVSYEILNVLEFNSTRKRQSVICRYPDGRLMLYCKGADNVIYERLADGNNDIKKVTREHLEQFGSAGLRTLCLAYKEVHPGVYESWNEKFIQAKSSLRDREKKLDEKKIQVAELIENDLILIGSTAIEDKLQEGVPACIETLQRAGIKIWVLTGDKIETAINIAYACSLINNGMRQFIISSETDAIREVEEKGDQVETARFIKEEVKNQLKKCLEEAQSYFHSVSGPKLALVIDGKCLMYALDPSLRVMLLNLSLNCHAVVCCRVSPLQKAQVTSMVKKGAHKITLSIGDGANDVSMIQAAHVGVGISGMEGMQAVMASDFSIAQFRYLADLLLVHGRWSYLRICKVVIYFFYKNLTFTLTQFWFTFQTGFSGQRFYDDWFQSLYNVIFTALPVIMVGLFDKDVSASLSKKYPQLYMEGIRNVFFKWRVVAIWAFFSVYQSLVFFYFVSTTNLSAKNSAGKIFGLWDVSTMAFTCVVVTVNLRLLLICNSITRWHYENIYFAIYVLMSTFYFYITLLLVPVAALFCDFVYQGVQRWFFPYDYQIIQEMHRHEVDRSERAQLVEIEDQLSPAEARSYAISKLPREISKHTGFAFDSPGYESFFAAQLGMYAPPKAWDVARRASVKSRKKTGHQNK >OIW20410 pep supercontig:LupAngTanjil_v1.0:Scaffold_21_32:40497:43003:1 gene:TanjilG_11101 transcript:OIW20410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPCGCGMMNNNTSSYSLPEMWHFPPPLNFEDNALNDGNGKRLRAIGKMKVEVDGSSRKGGEEQSNKAPDSDNPKQDYIHVRARRGQATDSHSLAERARREKISERMKILQDLVPGCNKVIGKALILDEIINYIHSLQRQVEFLSMKLEAVNSRLNNGTEAFPPKDFGQQTFDPTTMPFVSSEGRREYSRDSSPEWLHMQVGSAFERTS >OIW20409 pep supercontig:LupAngTanjil_v1.0:Scaffold_21_32:19905:22091:1 gene:TanjilG_11100 transcript:OIW20409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRATSISISSYLHSFHSRFLSSYSSTITPTRPFPDYSPRNPSIKDTQFVHQLTTTIKLHRADILRRVLKPYEPRFKPDHLIWVLMDIKNDYKLVLDFFHWAHLRRDPTLEALCIVLQISVASRDLRMAHKLLFEFWDKKKMKLFVDDVMHFTDKLIYTYKDWGSDPNVFDVFFQVLVEAGLFVEATKLFHKLLSYGVLVSVDSCNLLLTRLSNDFDGIKMAMSVFNEYPEVGVCWNTMSYNIILHCLCQLGKIREAHNLLIQMEFKGIVTDVVSYGTVICGYCRIGELGKVLKLMEELRRMGLKPNKYIYNNIISLLCKDGAIVEAERVLKEMRNHGVFPDSVVYTTLISGFCKCGNVLAANKMFDEMRRKKIVPDFVAYTSMLHGFCQTGKVVEARRLFAEMLNRGLEPDEVTYTTLIDGYCKAGEMGEAFSLHNQMVHKGLTPNVVTYTALVDGLCKQGEVDVANELLHEMADKGLQPNIYTYNTLINGLCKIGNIVQAVKIMEEMDMAGFYPDTITYTTLMDAYCKMGEMAKAHELLRTMLSKGLQPTIVTFNVLMNGFCMSGMLEDGERLIKWMLEKGIKPNATTFNSLMKQYCIRNDMRATTDIYKGMHTQGVIPDKNTYNILIKGHCKARNMKEAWFLHKEMVEKGFSLTAASYNALVKGFYKRKKFVEARKLFEEMRMQGFVAEKEIYDIFVDVNYDEGNWETTLELCDEAIEKYLVEKT >OIW20413 pep supercontig:LupAngTanjil_v1.0:Scaffold_21_35:17438:19571:1 gene:TanjilG_11104 transcript:OIW20413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTKSDDVKLIGAWASPFVWRARVALNFKSVKFESIEETMGPKSQLLLESNPVYKKIPVLIHAGKPISESLIIMQYIDETWTSGSSILPSDPYDRAIARFWAYYFDDKLSPTIRSISVAQGDEKKKLIKELGEGIVLVEDALKKISKGKDYFGGDQIGYLDIVFGSIFPWLRVVETLNEVKLVDEATTPGLVNWGEKYPKHDVVKDVLPEHEKLIEYAKFVIARVAASTASTPN >OIW20414 pep supercontig:LupAngTanjil_v1.0:Scaffold_21_38:15375:17782:-1 gene:TanjilG_11105 transcript:OIW20414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIISIIAFFAGIKVYHNVKPGGSIFSTIAQVLVAAKHKRHLHLPDHDDNYGAFYDPLLDNDEQQRFPLTNEFRFLKKAALVIKDEKIDESSRNPWRLCSVQQVEELKCFLKIMPIWVTSIIIVNIPIAQQGIFPISQALKMDRHFFGTNFEIPAGSISAITLVTTGIFLPLYDKIIAPGIEKITMKEGGLTTLQRIGLGHVCGILSMLFVGLVEIWRRDLANSSSSSDGVAPLSVMWLAPQFMFIALSHVFQTVGHTEFFNKESPTGMRSIAKSLLCLNVAFASYVSSIIINVLHGVTMKYG >OIW20415 pep supercontig:LupAngTanjil_v1.0:Scaffold_21_42:11722:14342:1 gene:TanjilG_11106 transcript:OIW20415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTKNDDVKLIGAWPSPFVWRAKVALNLKSVKFEFLEETFAPKSQLLLESNPVYKKIPVLIHGGKPISESLIIVQYIDETWSSGTSILPSDPYDRATARFWAHYFDDKLFPAIRSITGAQGEEQKKLIKELGEGIVLVEDTLKKLSNGKDFFGGDQIGYLDIAFGSIFPWLRVVETLHEVKLVDEATTPGLVNWGEKYPKHDAVKYVQPEHEKLVEFAKFLIARAAASTPN >OIW20416 pep supercontig:LupAngTanjil_v1.0:Scaffold_21_42:14713:16119:-1 gene:TanjilG_11107 transcript:OIW20416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTKNEVSWNIMIDSHVNVGEFDTALQLFRDMQKVHHPDGYTMQSVTSACAGLGALSLGIWAHVYVLKRCLDKNMQSDVFVNTCLVDMYCKCGSLKMAQDVFESMPFRDVNSWNSIILGFALHGKAEAALDYYARMVKIERFVPNSITFVGLLSACNHRGMVNEGLMYFNIMTKEYNIEPGLEHYGCLIDLFARAGRIEEAMKLVSKMPVKPDAVIWRSLLDACYKKQKNIELSVEMAKHVFELEGNNVCSSSGAYVLLSKVYASANKWNDVGLVRKLMSEKGVTKEPGCSLIEINGATHEFFAGDTTHPQRESIYNFMNEVEKKLESIGYVPDFSGAPLVDENNDGSIMKIYLAQ >OIW20417 pep supercontig:LupAngTanjil_v1.0:Scaffold_21_54:4976:10421:-1 gene:TanjilG_11108 transcript:OIW20417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSLQENLLDLDEESLVELEPETHSEKPGWKAMPYILGNDTAERLATFGIQANFMVYLMREYNMDQVFASNIFNTWNAVSNFAPLIGAFVADSYLGKLTTIGIASFASMAGIVMIMLTAAVPQFHPRHCSLQQQQIGTGGIRPCSIPFAVDQFDMTTVEGRQGSRSFYNIYYTTQTLILLFNQTLLVYIQDSVSWTIGFGLPCLFIILSIIAFFSGIKVYHNVKPGGSIFSTIAQVLVAAKHNRHLHLPYHDDNYGAFYDPLLDNDEQQRLPLTNEFRCLNKAALMVKDENIDESNRNPWRLCSVQQVEELKCLLKIMPIWVTSIIVNIPIAQQGIFPISQALKMDRHFFGTNFEIPAGSISSITLVTIGIFLPFYDKIIAPGIEKITMKEGGLTTLQRIGLGHVCGILSMLFVGLVEIWRRDLANSSSSSDGVAPLSVMWLAPQFMFIALSHVFQTVGHTEFFNKESPTGMRSIANSLLCLNVACASYVSSIIINIVHGVTMKYGQHDWLDNDINKGRLENFYFIIAGLGVLNLCYFIFCARRYSYVNNLKPKLMQ >OIW21821 pep supercontig:LupAngTanjil_v1.0:Scaffold_224_10:7181:17540:1 gene:TanjilG_12080 transcript:OIW21821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALCNESIGSSFIERSSTRIHLQQKQNLFSGRPGFVPLRNRRVVRLRKVAKFPVAALSTNLVKSSSSSSSVPVEKPVKFKVRAVVIVRNKINEDLTELLVKQLDAIADSFGNNVVLELLSIDIDPKTRAPKKTNEAVLKGWSTKSNGKAERVDYTAEFVVDSSFGVPGAITVTNRHQQEFFLETITIEGFPSGAVHFPCNSWVQARKDLPGKRIFFSNKSYLPGDTPAGLKYFREKELRNLRGDGKGFRNLSDRIYDYDTYNDLGNPDLGNEFARPTVGGSEKHPYPRRCRTGRGPMDSDNTAEIRVEKPLPMYVPRDERFEECKQYTFATKRLKGVLHILIPSLMSSLSAKNDDFNELADVESLYTEGLLIKLGLEDDFLKNLPLPKMFSQAQKSSQGILKYDMPKILTKDKFAWLRDDEFAREAIAGVNPSSIERLKVFPPVSNLDPEIYGPVDSALKEEHILSQLNGMTVQQALDENKLFMIDYHDIYLPFIERINALDGRKSYATRAIFFLTQNCTLKPVAIELSLPPAGPSYQSKRVVTPPQDATSNWIWMLAKAHFCSNDAGAHQLAHHWLRTHACMEPFILAAHRQLSAMHPIYKLLDPHMRYTLEINALARQSLISVDGVVESCFTVGRYAMELSSSVYKSAWRFDMDGLPADLVRRGMAVPDTTQPHGLKLLIEDYPYAADGLLIWSAIENWVSKYVNHYYTDSSMVSDDKELQGWYSETINVGHADLRDASWWPKLNTNEDLIYILTTFIWNVSAFHAALNFGQYPYGGFVPNRPPMMRRLIPEESDPEYGNLIADPQKFFLHSLPSVLQASKYMAVVDTLSTHSPDEEYLGERQQQSTWSDDPEIIEAFYEFSAEIGRIEKVIDGRNRDTNLRNRCGAGVLPYELLAPSSDIGVTCRGVPNSVST >OIW21822 pep supercontig:LupAngTanjil_v1.0:Scaffold_224_10:65841:66762:-1 gene:TanjilG_12081 transcript:OIW21822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPGGILNALATALHGSIRTTPSIHRLRLGLLGYLIPFAPLAFVSQCQCRPSRVLSPLVFFPISTHFTAPPEIPSAPTLLQLDALRPIIPDNACILCLTAAAGTELADAYSPDTVIASSPGKEESGPCLSPSVADHPLGPATDHRLGKLLPHQLANQTRAPPRADSSFCSSAYGVLAAVSSCCSPPKGRFLRVTHPSATGNTTSRPTCMCKACRQRSS >OIW21823 pep supercontig:LupAngTanjil_v1.0:Scaffold_224_18:6034:9798:1 gene:TanjilG_12082 transcript:OIW21823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIDLAALGSVSTIATCLATFAVLLLFCRRLGHRKYNLPPGPKPWPIIGNLNLIGSLPHRSIHALSLKYGPILHVWFGSNPVVVGSSIEMAEAMLKTHDNTLAGRPKFAAGKYTAYNYSDITWSQYGPYWRQARRICLLELFNAKRLESYENIRKQELHLFLNELFKNENKTIFLKNHLSDLSLNVISGMVLGKKYTVKSDNAIFTPIEFKKMLDELFLLNGVLNIGDFIPWINFLDLQGYIKRMKVLAKKFDRFMEHVLDEHIERRKGVKDYVAKDMVDVLLQLAEDPTLEVKLERHGVKAFTQDLIAGGTESSAVTVEWAITELLRKPELFNKATVELDRVIGRERWVEEKDIVNLPYITAIAKEAMRMHPVAPMLVPRLAREDCKIDGYDIPKGTQVLVNTWTISRDPKIWDNPNEFQPERFIGKSIDVKGHDYELLPFGSGRRMCPGYSLGLKVIQSSLANLLHGFNWRLPGNMKKEELNMDEIYGLSTPKKFPLEAVAEPRLPPHLYAL >OIW21824 pep supercontig:LupAngTanjil_v1.0:Scaffold_226_4:3486:4364:1 gene:TanjilG_12194 transcript:OIW21824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCIIYVWRLLNTISSNGEGRVESDEGSCGGMVEVHDEGSCGLVVEESDDGSCGLVVEVSDKASCGMMVEENGEGSYGGMVEEHDESCCGVVVEESGEGSCGGMMEERDEDYCGVVVEEHDEGSCGVVVEESCEASCDVVVEESSKASCDGVVEQSEEGSCGVVAGLSGSCGEDDGGVCACMMEMVVVESGACACVLEMVVVESGVCACAQEMVAVVRGACACVLEMVVVESGVCALVLVLRRRWWWYVVLVLVFWRWWGWRVVYWVRNVGWFGVSHWWSREVFERGNDVCFR >OIW21827 pep supercontig:LupAngTanjil_v1.0:Scaffold_229_10:6778:7125:-1 gene:TanjilG_12274 transcript:OIW21827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEGPSFLVTRNLPCSCELETEWIYNSLCVMELANNKHRLELEEEAVLRKLCKLSHVPKEGESHRTILDFSQTHSNDQPDSSSLIHQLGRDISIHCLLKCSRSDYGSIALLNRRF >OIW21825 pep supercontig:LupAngTanjil_v1.0:Scaffold_229_8:4376:12793:1 gene:TanjilG_12272 transcript:OIW21825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALARKYNQDKTICRKCYARLHPRAVNCRKKKCGHSNQNLTVPSDFSFTSTISTHRCHKPSSRRIYCSIAPPQQLQHRPSTTGSVKKAMTMTEKIFARASERTHLSPGDNVWVNVDILMTHDVCGPGSIGIFKREFGEDAKVWDREKVVIIPDHYIFTSDERANRNVDILRDFCHEQNIKYFYDIKDLSNFKANPDYKGVCHVALAQEGHCRPGEVLLGTDSHTCTAGAFGQFATGIGNTDAGFVLGAGKLLLKVPPTLRFVMDGEMPSYLLAKDLILQIIGEITVAGATYKSMEFVGTTVESLNMEERMTLCNMAIEAGGKNGIVPADSTTYKYLEGKTSLSYEPVYSDQQASFLSEYRFDVSKLEPLVAKPHSPDNRALARECKDVKIDRVYIGSCTGGKTEDFMAAAKVLLASGKQVKVPTFLVPATQKVWMDIYSIPVPGSGGKTCSQIFEEAGCDTPASPSCAACLGGPKDTYARLNKPEASSFAFVCVSTTNRNFPGRMGHKEGEIYLASPYTAAASALTGFVTDPREFLQ >OIW21826 pep supercontig:LupAngTanjil_v1.0:Scaffold_229_9:5156:6273:-1 gene:TanjilG_12273 transcript:OIW21826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEGDKYIQASSKGKNVESLSSSSELQHQNPRKKKNKIENKRRFSDEQIRSLECIFESESKLEPRKKLQLATDLGLQPRQVAIWFQNRRARWKSKRMEQEYRKLRDEYDNLASRFESLKNEKESLQLELQKLSDLTRESHEVKVAKENSTEDGVSGSGYNNWRVEMKPSFSNEGLEDIEGMHYSDDQNEKSIRSEKSEEIIQQQVLRMDEHEEIPLPSLEKWYNVDPSGILDQSCSSSQWLDLWT >OIW20451 pep supercontig:LupAngTanjil_v1.0:Scaffold_22_10:25510:26493:1 gene:TanjilG_11761 transcript:OIW20451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPKSPNRSEPESPVRVPFPTLTPRFTATPNSGSHRKIAIAVDLSDESAYAVRWAVHNYLRPGDAVILLHVRPTSILYGADWGSADASSSGVGKKSEESKQKLEDDFDNFTASKSSDLAQPLVEAEIPFRIHIVKDHDMKERLCLEVERLGLSAVIMGSRGFGASKRGSKGRLGSVSDYCVHHCICPVVVVRFPEEKEGENGDCSEAKGAVRVGEEVELDTVPEEEHEQEEYHDAFNEQKGVCEKLTKSKVQITFFV >OIW20450 pep supercontig:LupAngTanjil_v1.0:Scaffold_22_10:13232:19550:1 gene:TanjilG_11760 transcript:OIW20450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFDLENPFENFHDLPCDSVPSLFLIESDHIPSPNYFHTLKDSGFDISIRRDVISIISQMSCTFDPVLSYLAINYLDRHLANQGILQPKPWANKLLAISCFSLAAKMMKTEFSVTDVQALLNQGDSGLIFETQTIERMEAIVLGTLQWRMRSITPFSFIHFFINLFRLVDPALIQVLKDRASEIILKSQREIKVLEFKPSIIAASALLCASHEMFPYQYPSFLKAISDCSYVNKGSVQQCYNVIQDIAKEEYQSVFNVNSSSDTPINVLDEHFLSYESDKTNATNAAMMHEKDHKRRRITDYEMEKARVLTRAAQEEDQIKQITKKVKTKAKQKEDEETVVEADLETGKVVNQGQVVEGVSYRNKLFNVDEVDEGLQMEEDRSVQTATSYKAVKNHANFIVADPVPSGVLEIVALTTSQSMEIDFDQSAQVAIASSECHNGLEDNKISPYSQQVEKYNTQMNSLPENRNKRQGKFVTPEDASRSLNLDFIET >OIW20473 pep supercontig:LupAngTanjil_v1.0:Scaffold_22_100:68711:77237:-1 gene:TanjilG_11874 transcript:OIW20473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNFFNRKYLRKILKRKDSDAGEKGRALEDLRASLLNEFRSSEGAKRLQQRFCGPATALTFNFLVAVGIIFMNKMVFQTIKFKYPILLTLIHYLVSWFLMAVLKVLSFLPASPSSKSTPLSTLFTLGFVMSLSTGFANVSLKYNSIGFYQMAKIAVTPSIVLAEFVLYRKKVSLQKIEMFTVAFETLQVLALVMVSIGVAVATVTDLQFHFFGACVALAWIVPSAVNKILWSRLQQQENWTALSLMWKTTPITLVFLGAMLPFLDPPGVLLFDWNFRNTLVIFSSAVLGFLLQLSGALALGKYLRKILKRKDSDAGEKGRALEDLRASLLNEFRSSEGAKRLQQRFCGPATALTFNFLVAVGIIFMNKMVFQTIKFKYPILLTLIHYLVSWFLMAVLKVLSFLPASPSSKSTPLSTLFTLGFVMSLSTGFANVSLKYNSIGFYQMAKIAVTPSIVLAEFVLYRKKVSLQKIEMFTVAFETLQVLALVMVSIGVAVATVTDLQFHFFGACVALAWIVPSAVNKILWSRLQQQENWTALSLMWKTTPITLVFLGAMLPFLDPPGVLLFDWNFRNTLVIFSSAVLGFLLQLSGALALGATSAISHVVLGQFKTCILLLGNYYLFGSNPGKISIGGAFTAIAGTSVYTCLNLKQQSNKVSPKQPSILPKSKLSNENGSTHDGHYGAESV >OIW20471 pep supercontig:LupAngTanjil_v1.0:Scaffold_22_100:26084:28427:-1 gene:TanjilG_11872 transcript:OIW20471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNKILIGFLLFATIFLVAASAGNFNQDFDITWGDGRAKILGNGQLLTLSLDKTSGSGFRSKNEYLFGKIDMQLKLVAGNSAGTVTAYYLSSLGSTHDEIDFEFLGNLSGDPYILHTNVFTQGKGNREQQFYLWFDPTKDFHTYSILWNPQSIIFSVDGTPIREFKNLESKGVSFPKNQAMRIYSSLWNADDWATRGGLVKTDWTKAPFTASYRNFNAQACTWTSSGSSCSSNNSPSWFGQSLDSTGQERIHWVQKKYMIYNYCTDTKRFSQGLPLECKFA >OIW20472 pep supercontig:LupAngTanjil_v1.0:Scaffold_22_100:59643:61558:-1 gene:TanjilG_11873 transcript:OIW20472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLDLVGVPMIKNIEDHNMELQEAASAGLKSMEHLIKLLSYQSQSQSQTQTSCPTNNNLNLNNLDCSKITDFTVSKFKTVINLLNRTGHARFRRAPLRSQPDRKPELEPKTQGKEEGLSKVNLIKAKSSIMDEALSMSTTTTSFMSTITGDGSVSDGKIGPFPAPPVPAVSAGKPPLSSTHRKRCHDATLSGKVSSSGNCHCSKRRKSRVKRTIHVPAISSKIADIPSDEYSWRKYGQKPIKGSPYPRGYYKCSTVRGCPARKHVERAQNDPNMLIVTYEGDHHHALPFSPATTGAGVFFKLQSC >OIW20470 pep supercontig:LupAngTanjil_v1.0:Scaffold_22_100:19247:23561:1 gene:TanjilG_11871 transcript:OIW20470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKPQPIRVIYCPICSLPPEYCEFGSDFDKCKPWLIQNVPHIYPDLIKEANENDIDKVADKLQSTGISSGTSAAAATSDMAELCMPSEEFCLFLKEQNGVFSHDSSNLCLKSGIVFQDRQEVVIEKVVRNKRKCITTVKGLELFGIKLSDASKKLGKKFATGASVVKGPTEKDQIDVQGDIAYDIVEFITDTWPDVPEAAIFFIEDGRKVPAA >OIW20469 pep supercontig:LupAngTanjil_v1.0:Scaffold_22_100:7561:12842:-1 gene:TanjilG_11870 transcript:OIW20469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNNFSFSKALSLLCITSIVVLTQGQPNPFSPFQPPQDLAPKLSYDAQILSQASIDYGHIVNENPYAVLQPSNVNDIIELIKYSNSLPTPFTIAARGQGHSVDGQAMAHDGVVVNMTRLGDFRNGSGIIVYDEYVDVGGEQIWIDVLHATLERGLTPLSWTDYLYLSVGGTLSNAGISGQTFRFGPQISNVLELDVVTGKGDFVTCSGVNNSETFYAVLGGLGQFGIITRARIALGPAPTRATDYVEGFLLMNKPPLDLSFYPEPDQPRITSLVNQYSIIYIIELVKYYDNNSQAQVDKEVENLVQGLKFVPTFQFEKDVSYEEFLNRVHADEVILRSKGLWDVPHPWLNMFIPSSRISDFNEGVFKGIILKQNISSGIFILYPMNRNKWDDRMSAVIADEDVFYTTGILVSSDVDNVGVIQTQNQQILQLCKDNGIEIREYLTGNKTNEGWVQHFGSKWQLFEGRKSEFDPKKILSPGQGIFS >OIW20475 pep supercontig:LupAngTanjil_v1.0:Scaffold_22_144:5781:8444:-1 gene:TanjilG_11878 transcript:OIW20475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYELQQHMQPRMKRGMRRKKERSKSFDFEEEKLQIMNFNNMSLESLPTFSTDLAIICKLDLSNNNLQNIPESLTARLLNLLVLDLHSNQMRFLPNSIGCLSKLKVLNVSANFIESLPKTIENCRSLEELNCNFNKLSELPDTIGFELINLKKLLVNSNKLMFLPSSTSNLVSLKILDARLNCLRSLPEDLENLINLEALNVSQNFRYLETLPFSVGLLLSLVELDVSYNNIKTLPNSIGCFKKLQKLRVEGNPLISPPLEVVEQGLHVVKEYLCEKINSSHESKHKNMSWVRKLVKCEKFNGYMRSKKQPQHEDDDFNLHQYHTASRLSTQSLVLGVFSPKRFFSHHRSSSFG >OIW20476 pep supercontig:LupAngTanjil_v1.0:Scaffold_22_144:23772:42063:-1 gene:TanjilG_11879 transcript:OIW20476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNGFANGSSPRRRRPENDIEAGVASNRSGDFSDADLADPFDITSTKNASFERLKRWRQAALVLNASRRFRYTLDLKKEEEKKQVLRKIRAHAQAIRAAHLFKAAAVPVGQANETIKPPSTSTGEFPIGQEQLASISRDHDTTALQQYGGVAGISDLLKTDLEKGVHDDEAELLKRRNAFGSNNYPRKKGRNFLMFLWDACKDLTLIILIVAAAASLALGIKSEGIKEGWYDGGSIAFAVILVIVVTAISDYKQSLQFQNLNEEKRNIHLEVVRGGRRVEISIYDIVVGDVIPLNIGNQVPADGILITGHSLSIDESSMTGESKIVHKDSKDPFLMSGCKVADGSGTMLVTGVGINTEWGLLMASISEDSGEETPLQVRLNGVATFIGIVGLSVASLVLIVLLARYFSGHTKNPDGSVQFKAGKTKVGDAIDGAIKIITVAVTIVVVAVPEGLPLAVTLTLAYSMRKMMADKALVRRLSACETMGSATTICSDKTGTLTMNQMTVVEAYAGGKKIDPPHNKSELSPMLHTLLIEGVAQNTNGSVYVPEVGNDVEVSGSPTEKAILHWALKLGMNFKEARSQSSIVHVFPFNSEKKRGGVAIQTANSEVHIHWKGAAEIVLACCTSYIDANEQLVEMDEEKMAFYRKAIEDMASDSLRCVAIAYRPYEKESVPTSEELLAHWSLPEDNLVLLAIVGLKDPCRPGVKDAVLLCQKAGVKVKMVTGDNVKTAKAIAVECGILASFADATEPTIIEGKTFRALSDAQREEIAEAISVMGRSSPNDKLLLVQALRRKGHVVAVTGDGTNDAPALHEADIGLAMGIQGTEVAKESSDIIILDDNFASVVKVVRWGRSVYANIQKFIQFQLTVNVAALVINVVAAISSGDVPLNAVQLLWVNLIMDTLGALALATEPPTDHLMDRRPVGRREPLITNIMWRNLLIQAMYQVSVLLVLNFRGVSILGLAHQKHDHAIKVKNTLIFNAFVFSQVFNEFNARKPDEFNIFKGVTKNFLFMGIVGLTVVLQIIIIEFLGKFTTTVRLNWQQWLISIIIGLISWPLAVVGKLIPVPATPINKVFSRLPGRGILKKKGEPDAS >OIW20477 pep supercontig:LupAngTanjil_v1.0:Scaffold_22_144:52101:55005:-1 gene:TanjilG_11880 transcript:OIW20477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKEEVKTVVPESVLKKQNRNEEWALVKTQEQEAAKKKRAESRKLIFNRAKLYAKEYDDQQKELIRLKREAKLRGGFYVDPEAKLLFIIRIRGINAMDPKSRKILQLLRLRRIFGGVFLKVNKATVNMLRRVEPYVTYGYPNLKSVRELIYKRGYGKVNKQRIALTDNSIIEQTLGKHGIICIEDLIHEILTVGPHFKEVNNFLWPFNLKAPLGGLKKKRNHYVEGGDAGNREVYINELIRRMN >OIW20474 pep supercontig:LupAngTanjil_v1.0:Scaffold_22_144:2513:3037:1 gene:TanjilG_11877 transcript:OIW20474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPKSENPTSQTTATDTSSAASIDPLFHILRLVPFTFLRPPRLRLKLPSFSLPSSNAVFAVVLLTYFMVVSGIVYDVIVEPPGIGSTQDPYTGSVRPVVFMPGRVNGQYIIEGLSSGFMFVLGGIGIVLLDLGLDRNRAKSVKVSYATAGVSSVVFAYIMTMLFIRIKIPAYLR >OIW20478 pep supercontig:LupAngTanjil_v1.0:Scaffold_22_151:9660:9863:-1 gene:TanjilG_11881 transcript:OIW20478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMFTYVCDEGEKELGRKQAPGSCPHCGGKVEAMDVEIQWRFCFLPMCFKIKRKYFCTLCAKRLELYC >OIW20480 pep supercontig:LupAngTanjil_v1.0:Scaffold_22_151:24401:26803:-1 gene:TanjilG_11883 transcript:OIW20480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHYGSGTITRCRDVLASEFQFCSDHNTTRFLKRVIHAENPDFIAFTGDNIFGSSTPDAAESLFKAFGPAMESGLPWAAVLGNHDQESSMNREELMSFISLMDYSVSQINPSTNDLTSPTEDGMMTKIDGFGNYDLQVHGAPGSILANSSVLNLFFLDSGTRAVFQGIRTYDWIKDSQLRWLLQVSQELQEQKQDPLHLTDATPLNKPPALAFFHIPIPEMPQLFYKEIVGEFQEGVACSRVNSGVLETLVSIGDVKAVFLGHDHKNDFCGNLRGIWFCYGGGFGYHGYGKAGWPRRARIIQAELQKGKNSWMGVERIKTWKRLDDEKMSKIDEQILWDQQLI >OIW20479 pep supercontig:LupAngTanjil_v1.0:Scaffold_22_151:21580:23247:1 gene:TanjilG_11882 transcript:OIW20479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNEVLLKEEGHKKAMKLLKQEYEERIGSLEAQVKESQNKEATYEGTISQLQQDLAAHKNHMQILANRLDQIHFEVESKYNTVVQDLKECLAVEQEEKNELHRKLQNLEKELLISKAKLVDQQQEMTSNWHVETLKQKIMKLRKENEVLKRKFSHSQEGQTMASK >OIW20481 pep supercontig:LupAngTanjil_v1.0:Scaffold_22_152:8780:10453:1 gene:TanjilG_11884 transcript:OIW20481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENISDEYQHYWETNMFLQTQEFDSWGLNEALNGYYDSSSPDGTASSVVSKNIESERNRRKKLNERLFALRSVVPNISKMDKASIIKDAIEHIQNLHEQEKIIQTEIMELESRVLNMNPNYDFDQDLPLLLRSKKHRTDQLYEYDSVGPRNFPIELLELRVTYMGEKSVVVNLTCSKRTNTMVKLCEVFESLKLKVITANITSFSDRIFKTVFIEVCLLLSLLFQEKNCIYQ >OIW20482 pep supercontig:LupAngTanjil_v1.0:Scaffold_22_153:15555:22208:-1 gene:TanjilG_11885 transcript:OIW20482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLNGATNPDLPSAATTSDATLIPEPPSATTPPEKRWPGWPGDCVFRLIVPVGKVGSIIGRKGELVKKICEETRARVRVLDGAAGTPDRIVLISGKEELDAALSPAMDAVMRIFKRVSGLSENDDDITEAAGAVFCSIRLLVASTQAINLIGKQGLSIRSIQESTSASVRVLSGDEVPFYAAADERIVEVQGEALKVLKALELIVGHLRKFLVDHSVLPLFEQKTYNVPITQDRRTETWPDKALQHTPSQTSIFADMPLATKRDSVFVDRESQLDSLLPPSNMSRYGQDSSLSSIRSSTLGRVGAPIVTTVIQTMQVPLSYAEDIIGIQGSNIDYIRRTSGAILTVQESRLPDEIIVEIKGSTSQVQMAQQLIQEVINSHNEPVTNSYSRLDAGLRSAYPTDAASLRSVYSSDAAALRSAYSSDTAALRSSYSQLGSSTSRSSASLPSRSYTGYGGSGLGDYSTFRL >OIW20452 pep supercontig:LupAngTanjil_v1.0:Scaffold_22_16:6296:7200:-1 gene:TanjilG_11762 transcript:OIW20452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKCELCKVAATTFCDSDQASLCWDCDTKVHGANFLVARHTRTLLCHACQNLTPWKASGATLGNTVSMCQGCAGGARVNAGEGEESEGDNDDEIDSLYDEDEDGDNQVVPLSSTATAPPACSSSTGEGSVIRCSRGDDDVSESSKTASLKRRREDNDFQEGSKRRNVYGANQREPVDLIKCDSEPPLNVSPAVGRFNGSRGCCDSKESEGN >OIW20483 pep supercontig:LupAngTanjil_v1.0:Scaffold_22_164:5388:9666:1 gene:TanjilG_11886 transcript:OIW20483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEAKVKTTQQQEQEKQQQQQLLQQHQQQQMMLLQQLQRQQASAISRFPSNIDAHLRPMRSMNLQPNQNQNSNPNPNPNPVQVNQQQKVNRPGPGSQMELQMAYQDAWRVCHPDFKRPFSSLEDACDRLLPYHVVADYEAEEDDRMLDSDTTGQMLSRSQLWDNNIAAKIAEFTATFEKQALAFNIITRKRAMGEFRSEERLMIEQALLQEEKRAMLELRAAMVQAEQARADSQSHAEMMMARAPLRGSALGSQGSDDIVHDMGEQDHGGNHTEMINGWGKNSQKDEKEPSDDFLNDEETENGETSTQDGWREAGEFDLNAR >OIW20485 pep supercontig:LupAngTanjil_v1.0:Scaffold_22_165:28410:29830:-1 gene:TanjilG_11888 transcript:OIW20485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGEEAFPPSNQSAFSQYRDQPRICLRAESSLNGSSSQNMNKRMIAFLKKKGLTVERNKVAECEKERCFRHMINERMRRQRQRECCLALHSILPHGTKNDTNSVVQVARKEIQRLQGYKEELQGKIESNIETIERNKVGGSKVQYLRVAYPECGIDSVIETLNCLKGFGVDTRSIKSNFSKEELFAVLEIEETKIIDVDQVDGVIQRTLDEV >OIW20486 pep supercontig:LupAngTanjil_v1.0:Scaffold_22_165:33841:36414:-1 gene:TanjilG_11889 transcript:OIW20486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDARNRGESRGIEVRRGREFGGREDFRRDLYGGMQGTTSVVREFAGEEMLLGKTSSGTAIPTEMNSNVENVPELIIDLGNRSELSFGGMRTVVSSSGRRNRTTIATIDSVSSPSLPEKEEKRGEDHTLFPNSFWCCMEARTSSHHCLPPLVWILTIAGEDE >OIW20484 pep supercontig:LupAngTanjil_v1.0:Scaffold_22_165:8135:12267:1 gene:TanjilG_11887 transcript:OIW20484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLGSFLKKSSSYSSCIVPCCHIPIIRRFFSTQTIIDSPSFAQRIRHLPKTLPGTNIKTHVSQLIGRTPLVYLNKVSEECGAYIAVKQEMMQPTASIKDRPAFAMITDAEKKNLISPGKTILIEPTSGNMGISMAFMAALKGYKMVLTMPSYTSLERRVTMRAFGADLILTDPTKGMGGTVKKAYDLLESTPNAFMLQQFSNPANTQVHFETTGPEIWEDTNGQVDIFVMGIGSGGTVSGVGQYLKSKNPNVKIYGVEPSESNVLNGGKPGPHDITGNGVGFKPDILDMDVMDKVLEVSSEDSVNMARELALKEGLMVGISSGANTVAALRLASLPENKGKLIVTVHPSFGERYLSSVLFQDLRKEAENMQPVSVD >OIW20453 pep supercontig:LupAngTanjil_v1.0:Scaffold_22_17:3712:4616:-1 gene:TanjilG_11763 transcript:OIW20453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKCELCKVAATTFCDSDQASLCWDCDTKVHGANFLVARHTRTLLCHACQNLTPWKASGATLGNTVSMCQGCAGGARVNAGEGEESEGDNDDEIDSLYDEDEDGDNQVVPLSSTATAPPACSSSTGEGSVIRCSRGDDDVSESSKTASLKRRREDNDFQEGSKRRNVYGANQREPVDLIKCDSEPPLNVSPAVGRFNGSRGCCDSKESEGN >OIW20446 pep supercontig:LupAngTanjil_v1.0:Scaffold_22_2:11763:13420:1 gene:TanjilG_11756 transcript:OIW20446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKIEIKRIENTSNRQVTYSKRKNGILKKAKEITVLCDAQISLIIFGSSGKLHEYISPSTTLIDVLDKYQRASGKTLWDAKHENLSNEIDRVKKENDSMQIELRHLEGEDISSLNYKELIALENALENGLKDVRQKQMEVHSMFKRNVCGFFSFWINMLNKLNGI >OIW20445 pep supercontig:LupAngTanjil_v1.0:Scaffold_22_2:3595:7562:1 gene:TanjilG_11755 transcript:OIW20445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDDEMEEVDNKKDFDIDYVVANDDEDIATVESKSFVHTQGWDSEMVVDYRINEDEFHKISLFDCDFFIRKPPDPDNNVYDFREMYVTPPDTDVYSIPKILAPMPTKYIRCAESDYGAYNVTEPPIDAPRDPLYKTEREIMKVYLTKHYKNRRLGDPEFVLDFEEIYVIDSKTKSITRAKVLVTAPGGRNRDRKTDLLVISDRGSSFKIIHASEKEDPSTVIEREEWDNSREEMERHLRKLRDFSISNWF >OIW20456 pep supercontig:LupAngTanjil_v1.0:Scaffold_22_22:98477:99256:1 gene:TanjilG_11841 transcript:OIW20456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSMSQSGTWSAKDNKAFERALAVFDKDTPDRWYNVANAVGGKTAEEVKRHYDLLVQDIKYIESGKVPFPKYKKSGGSEDDIKRMNNLKLQ >OIW20454 pep supercontig:LupAngTanjil_v1.0:Scaffold_22_22:33459:33722:-1 gene:TanjilG_11839 transcript:OIW20454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFQKSKNQKLSFLIISLLLLVLLLSTTPFTTAEKNRFKGGSSSSKLKFSEYHVEFQGNKADKYGGDQVFGAEERKVYTGPNPLHNR >OIW20455 pep supercontig:LupAngTanjil_v1.0:Scaffold_22_22:58526:59536:1 gene:TanjilG_11840 transcript:OIW20455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRLIPLFNRVLVEKIVPPSKTNAGILLPEKSNKLNSGKVIATGPGIHNNDGKLIPVSVKEGDTVLLPEYGGSEVKLGDKEYV >OIW20457 pep supercontig:LupAngTanjil_v1.0:Scaffold_22_38:1832:2023:-1 gene:TanjilG_11842 transcript:OIW20457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMHSRLGLSWPCRHGNGKTLRSSSSWLLVATRLRAKCRWFVTTLVHDGVGPPLTATRDDSRW >OIW20447 pep supercontig:LupAngTanjil_v1.0:Scaffold_22_4:11137:11328:-1 gene:TanjilG_11757 transcript:OIW20447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILRMKPQYGFGEKRKPLQVDEGAVPPNATLEITLELVSWKTVSEVTDDKKGSEENPQGSGRI >OIW20448 pep supercontig:LupAngTanjil_v1.0:Scaffold_22_4:13641:16614:-1 gene:TanjilG_11758 transcript:OIW20448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNEPSKRLEGKYAAILVCWLLGNGCLFSWNSMLTIEDYYSSLFPKYHSSRVLTLVYQPFAVGTLGILAYNEAKLNTRTRNLFGYILFFISTLLVLILNLATSGKGGLGYFIGLCAISGAFGVADGHVQGGMVGDLSYMRPEFIQSFLAGLAASGALTSVLRFITKAAFDNSKDGLRKGAILFFAISTFFELLCVFLYALVFPKLPIVKYYRSKAASEGSKTVSADLAAGGIQTLPEGEEEGAKKLERKGNKQLLLENIDYALDLFLTYVLTLSIFPGFLSEDTGSHSLGTWYALILIAMYNVGDLIGRYIPLLKFLKLESRKLITIAIVCRFLLIPAFYFTAKYGDQGWMILLTSLLGLSNGYLTVCVLTSAPKGYKGPEQNALGNLLVLFILGGIFAGVTLDWLWLIGKGW >OIW20458 pep supercontig:LupAngTanjil_v1.0:Scaffold_22_50:3312:7773:1 gene:TanjilG_11843 transcript:OIW20458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRGIPMVHIPPEEFTTCYGKQKVEVDVNNERRIQMKKDKVNQRSNSGSTQSRGSSSDAAIMEEKLENSKRKLHQGYLQHEKARRNTKLIVAGICDIPKDKCSSSRWSFKLMEEDNNVNPPRLSRLRRGLKTTTPNLNRVVHDGSASPRWVTAVPNRSDDPGSLMVEPEPIKAYRRPTPCRLSRASPLSDHSQQIRGEVYVA >OIW20459 pep supercontig:LupAngTanjil_v1.0:Scaffold_22_55:23981:31380:1 gene:TanjilG_11859 transcript:OIW20459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVEASDKPHVLDGLYDDDDDDDGPVTFKRSTASKENRTSSEVRKSTFRNHDGQSYRQTSDVRTSNGQGSGLRKGSSLPKGKIVPSGMVSPKKSSQGISRASSSHINAYPVKSSIAKTKSPSLGDKPKPSLEWKIPSDVKEESNSIRHLIGDNSEDSEDEDNRPLSARLKTNSNNANKEALAVVKKFSEDFDDDDNDIPLSARLKNSNLETSSSKYDDADPKKPISKVQKGLKVASSASIKQERPSTLSVKRPLDNKASLHSSIKKPKLSNPDALISAKPVPMKPEPKVEDDDDVPISQRMKLSASSDKSSSLKKSKNVTKVNKSGSSFKKQAKKFKKSSNNSEYSKSTKLLPSSGDGQKKWTTLVHNGVIFPPPYQPHGVKMLYKGKPVVLTPEQEEVATMFAVMRDTDYMLKDKFKENFWTDWRKLLGKNHVIQNLNDCDFTPIYDWCQSEKEKKKQMSSEEKKALKEERAKLEEKYMWAIVDGVKEKVGNFRVEPPGLFRGRGEHPKMGKLKKRICPNDITINIGKDAPIPKCPIPGESWKDIRHDNTVTWLAFWSDPINPKLFKYVFLAASSSLKGQSDKEKYEKARTLKGFIGNIRAAYTKDFTCGDITKQQIAVATYLIDKLALRAGNEKDDDEADTVGCCTLKVENVTPEAPNKLQFNFLGKDSIKYENTVEVELPVYNAILKFQKDKQPGDDLFDMLDTSKLNAHLKELMPGLTAKVFRTFNASITLDDMLNKDTKDGDVAEKIGVYNHANKQVAIICNHQRSVSKSHSAQMSRLTEKIDELQAVLKELKIDLDRARKGKPPLKSSDGKTKRNLTPEALEKKVAQTNTKIEKMQRDMMTKEDLKTVALGTSKINYLDPRITVAWCKRHEVPIEKIFNKSLLAKFAWAMDVDPDFRF >OIW20460 pep supercontig:LupAngTanjil_v1.0:Scaffold_22_58:23132:25952:1 gene:TanjilG_11860 transcript:OIW20460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METWFTITASLCLIFLLRAVLSLLSPTTKINNPFPPGPTHIPIITPLIWLTKSLSQIEPILKNLHTKYGPIVTLRIGSRPAIFINDRSLAHQILVQNGSVFSDRPITLPTEKILNSNQHNINSSSYGTTWCTLRRNLTAEMLHPSRVKYFAQTRMWVLDILLKRLKFDIKSSVSIKIVDHFQHAMFALLVFMCFGERVDDKILNDIEKAERSLLVSLKRFNVLNYWPKITKILLRKRWEELLKLRSNQEEVLVPLIRARKEANKSGLCNDNNNPRAYVDTLLDLKLPIEGQRNLDESEIVTLCSEFLSAGTDTTSTALQWIMANLVKYPHIQQRIVDEIKKVMAVSDKEEKEEVREEDLDKLPYLKAVVLESLRRHPPAHFVLPHAVTEDVAFNGYLVPKKGLVNFMVAEIGRDPKVWEDPMTFKPERFLNEDGSRLEGFDITCSKEIKMMPFGAGRRICPAYSLAMLHLEYFVANLVLNFDWKVSNGGDVDLSEKEEFTVVMKTPLEAHISPRI >OIW20461 pep supercontig:LupAngTanjil_v1.0:Scaffold_22_83:17873:28711:1 gene:TanjilG_11862 transcript:OIW20461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKSEDEIPFGREIAFHLSESTALDVHGSHSRVLQASSNETLLAIIYRSIQVVVFSNRFNLLIPFGPLAILVEKLTDHHGWVFALSLLGIMPLAERLGFATEQLAFYTGDTVGGLLNATFGNATELIISLYALKSGLTRVVQLSLLGSILSNMLLVLGCAFLCGGIVFHKKEQVFNKAAATVNSGLLLMAVMGLLFPAVLHYTHTEMHVGKSELVLSRFSSCVMLVAYAAYLFFQLKSQKSLYASVNEDEIQTGNNSNNDESPDLSKWESIIWLSVLTAWISILSEYLVGAIEGASTSWKIPIAFISVILLPLVGNAAEHGSAIMFAMKDKLDISLGVAIGSSTQISMFVIPFCVVVAWIMGIPMDLNFQLFETAALFLTVIVVAFMLQEGTANYFKGLMLVLCYLIVAASFYVHVDSQPVD >OIW20462 pep supercontig:LupAngTanjil_v1.0:Scaffold_22_83:30196:33157:1 gene:TanjilG_11863 transcript:OIW20462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEMDEIQTGNNSNNDESPDLSKWESIIWLSVLTAWISILSEYLVGAIEGASTSWKIPIAFISVILLPLVGNAAEHGSAIMFAMKDKLDISLGVAIGSSTQISMFVIPFCVVVAWIMGIPMDLNFQLFETAALFLTVIVVAFMLQEGTANYFKGLMLVLCYLIVAASFYVHVDSQPVD >OIW20463 pep supercontig:LupAngTanjil_v1.0:Scaffold_22_85:5195:7300:1 gene:TanjilG_11864 transcript:OIW20463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAKNSLQITRTGTGDYDDDGRAKRTGTLRGAVAHIITAVIGSGVLSLAWSTSQLGWIGGPVSLLCCAIVTYISSFLLSDCYRNPDSVTGKRNYSYTDAVRVNLGQKRTWLAAFLQFLTLYGTSTAYVITTATSISAILKSNCYHKEGHEAPCNYGGNLYMVLFGLVQIVMSFIPDLHSMAWVSIVAALMSFTYSFIGLGLGIATVVENGRIQGGLTGVPAANYSDKFWLIFQALSDITFSYPYAVLLLEIQDTLESPPPENQTMKKASMVAIFITTFFYLCCGCFGYAAFGNATPGNLLTGFGFYEPYWLVDLANVCIIVHLVGGYQFQTDATLVFIQKSQLQ >OIW20464 pep supercontig:LupAngTanjil_v1.0:Scaffold_22_85:10048:10673:1 gene:TanjilG_11865 transcript:OIW20464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEFVENGRIQGGLTGVPAANYSDKFWLIFQALSDITFSYPYAVLLLEIQDTLESPPPENQTMKKASMVAIFITTFFYLCCGCFGYAAFGNATPGNLLTGFGFYEPYWLVDLANVCIIVHLVGGYQFQTDATLVFIQKSQLQ >OIW20465 pep supercontig:LupAngTanjil_v1.0:Scaffold_22_87:23361:24146:-1 gene:TanjilG_11866 transcript:OIW20465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVTSHLLRLDELPYDILGMIFMNLSLQERLTVIPCVCKSWDEIINGPYCWQEIDIGDWSRCCDPNKLDRMLEMLITRSSGSLKKLRVFEIQTERTFTFVVENASSLKILRLIRCNVTDVIVEQISPRLCMISFLDMSYCTKIGAYALEIIGKNCKILEGLKRSMHPIDSVGKPVQNDESYAIASTMPNLKHLGMTYNLMDTHGVLQIFSNCLKLEILDVRGCWGVKLDKVMVKQSFPKLKILGPSPEVSLIYQSIWPGKW >OIW20466 pep supercontig:LupAngTanjil_v1.0:Scaffold_22_87:43134:43496:-1 gene:TanjilG_11867 transcript:OIW20466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQSINLTERTDLGVPRPSLLVDRLRSVDASDLATDVPSLTETDASSAPRLTEADAPRLTEVDAPRLTEVHAPHHAPRLTEVDASGLTEVDAPGLAEVHMPQHAPSLTETCAPHTPDASG >OIW20467 pep supercontig:LupAngTanjil_v1.0:Scaffold_22_88:9446:10129:1 gene:TanjilG_11868 transcript:OIW20467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEINNTHIVEIPVDQEHHQHHLCSSTSMFEAIEDHPLTEISESPGHLLLLKLWQREEKLFAQHIAKKETRLYSIKSELFQLCSFFFIFHGFFITLLFNSWANANSHNKSVCKKWWVPSMVSLCTSLVFVVLAQVKLVRYWKVWAQLQREKSDSRTVGRCIQELRMKGASFDLSKEPLCGKKKGIKSSSVEIKWKPITWCSNNLLTICLFCFTGLAFPASKLILCGL >OIW20449 pep supercontig:LupAngTanjil_v1.0:Scaffold_22_9:853:3350:-1 gene:TanjilG_11759 transcript:OIW20449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTTLSPATPSQLCYGKSGIFCPSQALLVKHVRTQIMEKGKGMRVTCQATSIAADRVPDMEKRKLMNLLLLGALSLPTAGMLVPYATFFAPPGSGSSTGGVVAKDALGNDVLAEEWLKVHGPGDRTLTQGLKGDPTYLVVEKDRTLATYGINAVCTHLGCVVPWNKAENKFMCPCHGSQYNDQGRVVRGPAPLSLALSHADVDDGKVVFVPWVETDFRTGEAPWWA >OIW20468 pep supercontig:LupAngTanjil_v1.0:Scaffold_22_92:96:1247:-1 gene:TanjilG_11869 transcript:OIW20468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSMQLLCFYKQPPQLFASLSPHSSLSGGIFKDRKKRLVINPFYSYSSCCRVHAVKEDSEKYEVDTDKAREALRELDKQLQSFSNKQVSSPKVRVSDVKLTEEQANVDTNKKLEISDSFLAYVAASLVLFTMFYNVLFYTVIKPSIDGS >OIW21831 pep supercontig:LupAngTanjil_v1.0:Scaffold_233_12:811:2024:-1 gene:TanjilG_12882 transcript:OIW21831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEAADFRDWKDLLPDLQGAIFTRLFLEERLSVVSSVCKSWASTLAGPYCWQEIDLEEWCNQTEPDKIDRMLVLLITRSLGSLRKLTVSCVQSEKTFTFIAENVGSLQTLRLQRCNMTDSTVEHLTRKLFMLSLLDVSYCNKIGAPALETIGKNCTMLEVFYRNMHPIDTSDKPFDDDEAIAISTTMPNLKHLGIAYQLVKTEGLLQILSNCPKLELLDLRGCWGVNIENISLEKDFPNVKVLGPHVVDYHENNGWDDFSEPSDYLGWDFFIDEYYDDDDEEESDSDDIWDDEEGLEEIQFTFYQGIENAGMFVPPSP >OIW21828 pep supercontig:LupAngTanjil_v1.0:Scaffold_233_2:3049:3609:1 gene:TanjilG_12875 transcript:OIW21828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNLGRPFTKETYNVVGRVKLNGRCKKHPKHNQSPGVCSLCLKENLNHLSTSKSHRTKTSSINVCSSSSSSLSSYYSSCSTSSCASPMHCFHFTTEGKSTSNARFVSFFLLSGKHGITKSKSMDFVPRRNDREGEGDVLHHAHKNMNAKKSGFWFELLRLKSKRAEEKDTKLVRFMSIRETVTVAS >OIW21829 pep supercontig:LupAngTanjil_v1.0:Scaffold_233_3:9818:14505:1 gene:TanjilG_12876 transcript:OIW21829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRRRWIPLVLLRKLLSAAICAIAFMALFSVHVHLFPSSKPKDHKFNDKIHTTHDMQSWTQELAPPHLYHLSKDPLPTSKNQNLNGSKGNSDYEKLWKPPSNRGFLPCTNHTPNYTTPAESRGHLLVHTNGGLNQMRAGICDMVAVARIINATLVIPELDKRSFWQDTSNFSDVFDEEHFISSLANDVKIIEKLPNELLNETRVVKQFISWSGMDYYENEIASLWEDFQVIRASKSDSRLANNNLPPDIQKLRCRACYEALRFSPRIEQMGKLLVERMRSFGPYIALHLRFEKDMLAFSGCTHDLSPDEAEELRMIRENTSYWKIKDIDPRDQRSKGYCPLTPKEVGIFLTALGYPSKTPVYIAAGEIYGGESHMAELRYRYPLIMSKEKLASIEELEPFSNHASQMAALDYIVSIESDVFIPSYSGNMARAVEGHRRFLGRGRTISPDRKALVRLFDKLNQGTMSEDLALPGREKGQFLEQRAWKGFGPKKPFMLTPYLIVYAGQNHHM >OIW21830 pep supercontig:LupAngTanjil_v1.0:Scaffold_233_3:17681:19348:-1 gene:TanjilG_12877 transcript:OIW21830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPVAIDSQTLAEQYYVKDKQVKNDEVVKSVEVKDVEKPKEAPSEEAVVEKTVEETTPVAPVVAEESSEVTPPAEESTEEQSGGSVVEENSGDQDAEETPQIKLETAPADFRFPTTNQTRHCFTRYVEYHRCIAAKGEDAPECDRFAKYYRSLCPGEWSST >OIW21832 pep supercontig:LupAngTanjil_v1.0:Scaffold_233_45:390:697:-1 gene:TanjilG_12883 transcript:OIW21832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEAPDFRDWKDLLSNLQGAIFTHLSLEERLSIVPSVCKSWASTLAGPYCWQEIDLEEWCNQTEPNKIDRMLVLLITRSSGSLRKLTVSCVQSEKTFTFIAE >OIW21833 pep supercontig:LupAngTanjil_v1.0:Scaffold_233_54:665:1153:-1 gene:TanjilG_12884 transcript:OIW21833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEVFYRNMHPIDTSDKPFDDDEAIAISTTMPNLKHLGIAYQLVKTEGLLQILSNCPKLELLDLRGCWGFNIENISLEKDFPNVKVLGPHIVDYHENNGWDDFSEPSEYLGWDFFVDEYYDDDDEEENDSDDIWDDEEGLEEIQFTFYQGIENAGMFVPPSP >OIW21834 pep supercontig:LupAngTanjil_v1.0:Scaffold_233_61:2225:2894:-1 gene:TanjilG_12885 transcript:OIW21834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEAANFCDWKDLLPDLQGAIFTYISLEERLSIVPSLFKTEGLLQILSNCPKLELLDLRGCWGFNIENISLEKDFPNVKVLGPHVVDYHENNGWDDFSEPSEYLGWDFFVDEYYDDDDEEESEYDDIWDDEEGL >OIW21835 pep supercontig:LupAngTanjil_v1.0:Scaffold_233_65:7560:11612:-1 gene:TanjilG_12886 transcript:OIW21835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHRNNPTPLPLVVTLNCIEDSSLELDSLTGVAAVEHVPLSRLSDGKIESAAAVLLHSLAYLPRAAQRRLRPDHLILCLGSADRAVDSALAADLGLRLVHVDTSRAEEIADTVMALFLGLLRRTHLLSRHSLSASGWLGSVQPLCRGMRRCRGLVLGIVGRSASARSLATRSLAFKMSVLYFDVYSQKGKVNFPPAARRMDTLNDLLAASDLISLHCALTNETIQILSAECLQHIKPGAFLVNTGSSQLLDDCAVKQLLIDGTLAGCALDGAEGPQWMEAWVKEMPNVLILPRSADYSEEVWMEIREKAISILQTFFIDGIIPKNAMSDMEEESEVDDGNEQSDQEYRENALQIIVSEPTDDAHISPEGSHNKGGNQVKESSSQHQVSSLTHSTSTRSDRRRSRSGKKAKKRHTHQKSQQKLDPSAPEKEGTSQRDDTATMSGTDQALSSSSECSRSRKTPIESIQEQTATQALKSSMRLSGSCTELLRDGYVIALYARDFPALHVSRQRVKGGCWILDSMSNVSKRDPAAQFLVVFRSKDTIGLRSLAAGGKLLQINRKMEFVFASHSFDVWENWTLEGSLQECRLVNCRNPTVSSLDFP >OIW21836 pep supercontig:LupAngTanjil_v1.0:Scaffold_233_68:6354:8543:1 gene:TanjilG_12887 transcript:OIW21836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKKKRKLLPYTPSEDPKRRLEQMASLATALTATKTKFSNELTYMPRMAPRSANSPALEHGGMQILSKEDTETLNLCKSMMERGECPPLNVVFDPIEGFTVEADGFIRDLTIITEYVGDVDFLKNRENDDGDSMMTLLSASDPSQMLVICPDKHSNIARFINGINNHTPEGKKKQNLKCVRFDVNGECRALLISNRDITKGERLYYNYNGHEHEYPTEHFV >OIW21837 pep supercontig:LupAngTanjil_v1.0:Scaffold_235_2:5247:19939:1 gene:TanjilG_12946 transcript:OIW21837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLFFSDLPRSNVLYGYRIDGPQDWGKGHRFDSSTVLVDPYAKLIEGRRYFGDISMKVSKFLGTYDFDSLPFDWGENYKLPNIPEKDLVIYEMNVRAFTIDESSGLDSKIRGSYLGVIEKISHLLELGINAVELLPVFEFDELELQRWQNPRDHMINTWGYSTINFFAPMSRYASAGGGSINASQEFKLMVKALHSAGIEVILDVVYNHTNEADDANPYTTSFRGIDNKVYYMLDSNGQLLNFSGCGNTLNCNHPVVMELILDSLRHWVTEYHVDGFRFDLASVLCRGTDGSPLNAPPLIRAIAKDAVLSRCKIIAEPWDCGGLYLVGSFPNWDRWAEWNGKYRDDVRKFIKGDSGMKGGFATRVSGSSDLYRAVEAAARKCNGYVKIQEFDWKNCLNFTGNLIGVCGGSEGEVKYPSILVILDMADLMVVKVNKRRPYHGINFVIAHDGFTLHDLVSYNFKHNEANGEGGNDGCNDNFSWNCGSEGETDDASVRSLRSRQMKNFHLALMISQGTPMMLMGDEYGHTRHGNNNSYGHDSAMNNFLFFSKVIKYRQARKVFCRENFISENDITWHEDNWNNYDSKFLAFTLHDKSGGDVYLAFNAHDFFVEVVLPTPPTNRRWFRVVDTNLKPPDDFVLDGVPCTENTYNTAPYSSILLEAKF >OIW21839 pep supercontig:LupAngTanjil_v1.0:Scaffold_235_2:29375:30575:-1 gene:TanjilG_12948 transcript:OIW21839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSNVLSLGILLALNVAMPTLAAIYNVGDTSGWTIGADYSTWTSDKAFSVGDSLVFNYGAGHTVDEVKESDYKSCTTGNSLSTDSSGATTIPLKSEGTHYFICAVPGHCSGGMKLSVTVKAGKQTTPTTTTPSSSSGKGSPSDANTATTTTPSSTKSSASSASSASPFVAMLIFYWVICFVLLLL >OIW21840 pep supercontig:LupAngTanjil_v1.0:Scaffold_235_2:33770:35781:-1 gene:TanjilG_12949 transcript:OIW21840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQLQQHLPWTPSLTHLSTQHPQTSFVSLHNQPITNFSLRLSLITTKQTHSHFTLRFSSTTQQQQEVEETQKTETEEPNEEFSETRLLAQNVPWTTTPEDIRSLFEKYGKVIEVELSMYNKTRNRGLTFVEMGSPEEALQAMKNLESSEFEGRIIKLNYAKPKKKKTPPPTSAPKPEILFNLFVANLSYEARSKDLKEFFDSGIGSGSVVSAEVIFHDNPRKASGYGFVSFKSKKQADEALSEFDGKVFMGRPIRLERSNRFVKLSAEENAKSKDASSELSINEAEAKMADKDASSELNVNDAESDKAD >OIW21838 pep supercontig:LupAngTanjil_v1.0:Scaffold_235_2:21397:21909:1 gene:TanjilG_12947 transcript:OIW21838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMAKLSIFLFFALLATGAFGQSPAQAPSQSASSPTATPTPKASPPPQATASPKATPPPPPTSKATPPTPTAKAPSPTSHSAPPPTKTTSPPAPTPDSAEAPTAETPTDSPPAPPTSSPATAPTADAPGVTDAPADGPIAPGPSGTAAAIYRVSVGGYFAYTAVLLLAYI >OIW21843 pep supercontig:LupAngTanjil_v1.0:Scaffold_235_4:32015:41333:1 gene:TanjilG_12952 transcript:OIW21843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEGHNTVSIRKPRFLCLHGFRTSAEIHKTQMHKWPQSVLDELDLVFVDAPFPCSGKSEVEGIFDPPYYEWFQFNKEFTEYTNFDECLKYIEECMIKYGPIDGLLGFSQGAILSAALPGLQEKGVALTKVPKVKSLIIIGGAKLKSPSLIEKAYSSPIICPSLHFLGEADFMKPYGNELLESCFEPVVVHHPKGHTIPRLGIVILFSISDEENLKTDILRPQFRALSTKFSGVSQTMGSFDSLSYLTQREAANVDESLMGPLGFSVDQLMELAGLSVATSIAEVYKPSEYSRVLTICGPGNNGGDGLVAARHLHHFGYKPLVCYPKRTQKPLYAGLVTQLEALSIPFLSVEDLPSDLSNDFDVLVDAMFGFSFHGSPRPPFDDLIHRLVSLRNHNQTGQKRSVIVSIDIPSGWHVEEGDVDGTGIKPDLLVSLTAPKLCAKKFIGPHHFLGGRFVPPAIAEKYNLILPPYPGTSMCVRIGKPPQVDISAMRENYISPEFLEEQAEADPINQFHKWFGDALAVGLKEPNAMALSTVGKDGKPSSRMVLLKGVDKNDFVWYTNYESQKARELSENPHASLLFHWDGLNRQVRVEGYVQKVSDEESEQYFHSRPRGSQIGAIVSKQSTVVPGRHVLHQKYKELEQKYADGSLIPKPKNWGGYRLTPHAFEFWQGQKSRLHDRLRYSPCEINEQKVWKVERLAP >OIW21842 pep supercontig:LupAngTanjil_v1.0:Scaffold_235_4:27498:29787:-1 gene:TanjilG_12951 transcript:OIW21842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNSHRRGPFYGDASPFRSREGLNTRPVPSSDSNEIQLRIDPMDMDDEITGLRGQVQKLRNVAEEIGTEVKYQKDFLEQLQTTMAKAQAGVKNNLRRLNKSIIRNGSNHVVHVIAFALVCFFVVYFWSKISRK >OIW21841 pep supercontig:LupAngTanjil_v1.0:Scaffold_235_4:7371:10024:-1 gene:TanjilG_12950 transcript:OIW21841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLEAVVFQQDPFSYGCNKDYLYPLVGEGAKSTCEQAWSQDYGFQTSAEEEKLLLGIINNNIEQSLHATTWDSSSTSVLQNTKEHWDYSHSSPEACTVDQSLTAPISSLETTTVTTATGRRKRRRTKNKEEIENQRMTHIAVERNRRKQMNEYLTVLRTLMPSSYVQRGDQASIIGGAINFVKELEQLLQSMEGQKNIKQPHQENFGLNGSLPFAEFFTFPQYTTCENQKNNIGTTMGQNQEQKQWGVADIEVTMVDSHANMKILSKKRSGQLMKIVDGLQSLRLGILHLNVTTVDDMVLYSVSVKVEEGCQLNTVDEIAAAVNQLLRTIQEEAAFN >OIW21845 pep supercontig:LupAngTanjil_v1.0:Scaffold_235_4:55906:58366:1 gene:TanjilG_12954 transcript:OIW21845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVDEIPQPHPTNTNTNPSQPRDSHSPNHDVSSQPINSPTPPDSRAPISLHDATTLPTESNPYITPAPVSDPAPAKNTLDSVKDVLGRWGKKAAEATKRAEDLAGNMWQHLKTGPSFADAAVGRIAQSTKVLAEGGYEKIFRQTFETVPEEQLLNTFACYLSTSAGPVMGVLYLSTIKLAFCSDNPLSYADGEQTQWSYYKVVIPLHQLSAVNPSTSKANPSEKYIQIISVDNHEFWFMGFVHYDTAVKSIQGVLQPH >OIW21846 pep supercontig:LupAngTanjil_v1.0:Scaffold_235_4:86384:87172:-1 gene:TanjilG_12955 transcript:OIW21846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEMEKDMEFVQHEERCIKLPPPPPPPQPENNSHGNSAPKTKISATTENAIMTAPPTQNDGPTMGTIKYRECQKNHAKVIGVYVVDGCGEFLGSGEEGTLEAAICAVCNCRRNFHREEISNRGVPYQPPMHYHNHHVSACYQCVPPPPPGVGYHHHVVVASPVSQHSPLALPVAYDGGSNLEEKDISNPSSSGGSGRGKKRFRTKFSKEQKDRMLAFAEKVGWRIRKHDAAAVEQFCAETGVKRQVLNVWMHNHKHTLGKKT >OIW21844 pep supercontig:LupAngTanjil_v1.0:Scaffold_235_4:44081:53158:1 gene:TanjilG_12953 transcript:OIW21844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVDEIPQPHPTNTNTNPSQPRDSHSPNHDVSSQPINSPTPPDSRAPISLHDATTLPTESNPYITPAPVSDPAPAKNTLDSVKDVLGRWGKKAAEATKRAEDLAGNMWQHLKTGPSFADAAVGRNFRASLDTVLHSAYIGLGGSRVDDNGSISKVSSSESCNSDDEEDECVSIDALATAISHVILKPQFRALSTKFSGTQQAMASFDSFSYLTQREAVEVDESLMGPLGFSVDQLMELAGLSVATSIAEVYKPSDYSRVLTICGPGNNGGDGLVAARHLHHFGYKPLVCYPKRTQKPLYAGLVTQLEALSIPFMSVEDLPSDLSDDFDVVVDAMFGFSFHGSPRPPFDDLVNRLVSLHNQISQKRSVIISIDIPSGWHVEEGDVDGTGIKPDLLVSLTAPKLCAKKFIGPHHFLGGRFVPPAIAEKYNLILPPYPGTSMCVRIGKPPQVDISAMRENYISPEFLEEQAEADPINQFHKWFGDALAVGLKEPNAMALSTVGKDGKPSSRMVLLKGVDKNDFVWYTNYESQKARELSENPHASLLFHWDGLNRQVRVEGYVQKVSDEESEQYFHSRPRGSQIGAIVSKQSTVVPGRHVLHQKYKELEQKYADGSLIPKPKNWGGYRLTPHAFEFWQGQKSRLHDRLRYSPCEINEQKVWKVERLAP >OIW21847 pep supercontig:LupAngTanjil_v1.0:Scaffold_237_8:28438:30479:1 gene:TanjilG_13108 transcript:OIW21847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDPSKASSSLSLQVEQAQPESPHEALFLVLSYLNVYELLVMSQVCISLRDAVSNDVLPWLNLVVERPINYRLSDEILMKITSKANGRLKTLALINCVHITDQGLQRVIEQNKLISKLYIPSCTSITPEGVLRAVEVLCQGSHSLSSLRINGIYNIQKEHLDMLSFYLRKNQSLEEQQKKQPVYYHERGNLSVFEKNQRIIDLEICPMCFEVRMVYDCPKDALDCKKRKSVPVEITCALIVGYKSFLSAVSVTNHIVSNIQVGGATLQTLDSFVKFVKKILMDILTLMRYD >OIW21852 pep supercontig:LupAngTanjil_v1.0:Scaffold_238_7:35794:39132:-1 gene:TanjilG_13136 transcript:OIW21852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHRKFEHPRHGSLGFLPRKRASRQRGKVKAFPKDDQSKPCRLTAFLGYKAGMTHIVREVEKPGSKLHKKETCEAVTIIETPPLVVVGVVGYVKTPRGLRTLNTVWAQHLSEEVKRRFYKNWSKSKKKAFTKYSKSYETEEGKKGIESQLEKLKKYATVIRVLAHTQIRKLKGLKQKKAHLMEIQVNGGTIAQKVDYAYNFFEKQIPVNAVFQKDEMIDIIGVTKGKGYEGVVTRWGVTRLPRKTHRGLRKVACIGAWHPARVSFTVARAGQNGYHHRTELNKKVYKVGKSGEESHTAITEFDRTEKDITPMGGFPHYGVVSHDYLMIKGGCVGPKKRVVTLRQSLLKQTSRLALEDIKLKFVDTSSKFGHGRFQTTEEKHKFYGRVKA >OIW21850 pep supercontig:LupAngTanjil_v1.0:Scaffold_238_7:24308:24985:1 gene:TanjilG_13134 transcript:OIW21850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVRGGIERNELIGVLKGKGTKIDKLRFAIMYLISSETINQPEAEAVEAALRESEVDTAAFQYVKKIKSLNVSLASANSASRSNIVDWAEKLYGQSISAVTAGVKNLLSNDRQLALARTVEALIEGRQNPETDSYLSFDPRAPKSGSGASSGHLKGPFKEAIVFMIGGGNYVEYGSLQELAQNQQPPKHVIYGTTELLTGVDFVEQLTLLGQKMGLGNVASTSTQ >OIW21848 pep supercontig:LupAngTanjil_v1.0:Scaffold_238_7:11541:16079:1 gene:TanjilG_13132 transcript:OIW21848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVEKTEEELRNEINELLHQQREITERLRDPRGLRRGGPFTALAPRNGARQRPFLSHGERNESEDQPPAKRRLSSAVVKVEDGELVQDDDIAVNTNRIVTASHSDSRPFHPQNRTPRMDSDIPTAKHVPRVLPKNEDSRLVNRNKRMLGQLLGTLEKFRKEDMQLSGTEAYMRRSNSLQRAEQRAREESERLRIEEREQIAEKRKRDLTLRARVAAKTEEKKLELLFLQWSEHHKKLSNFIRTKAEPPIYYLPKKPLDDDAAASSAKSKEEAFLEWKNARREELSEYQKQIGEQYLANVEKDMERWQNARKARKGNNDQDLQETMDKELDTHRLEHGPKKRKIPGESNNEDDDVEDINVGEDDMMDDILEDDSVRRVDETSVAEAVNPIADHAAHSDNVDLK >OIW21849 pep supercontig:LupAngTanjil_v1.0:Scaffold_238_7:18147:21429:1 gene:TanjilG_13133 transcript:OIW21849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLNLRQKQTECIARMLNLNQPVNATGTANEEVYKILIYDKFCQNILSPLIHVKDLRKHGVTLYFLIDKDRKPVHDVPAVYFVQPNTSNVQRIVADASRSLYQSFHLNFSTSIPRPLLEDLASGTLNSDSIQRISKVHDQYLEFVTLEDNLFSLAYKSCYVQLNDPSAGDREIEEIIEKVVNGLFCVLATLAVVPVIRCPRGGPAEMVASALDQKLRDHLLSKNNLFTEGGNFVSSFQRPVLCIFDRNFELPVAIQHDFRYRPLIHDVLGLKLNRLSVQGEKGGMRSYELDSSDSFWVANGALEFPEVAVEIETQLNKYKKDVDEVNKRTGGTHGAEFDGTDLIGNTKHLMSAVNSLPELTERKQVIDKHTNIATVLLGEIKERSLDSFAKKENDMMVRGGIERNELIGVLKGKGTKIDKLRFAIMYLISSETINQPEAEAVEAALRESEVDTAAFQYVKKIKSLNVSLASANSASRSNIVDWAEKLYGQSISAVTAGVKNLLSNDRQLALARTVEALIEGRQNPETDSYLSFDPRAPKSGSGASSGHLKGPFKEAIVFMIGGGNYVEYGSLQELAQNQQPPKHVIYGTTELLTGVDFVEQLTLLGQKMGLGNVASTSTQ >OIW21851 pep supercontig:LupAngTanjil_v1.0:Scaffold_238_7:30376:32734:-1 gene:TanjilG_13135 transcript:OIW21851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHRNTVTWNSMMSGYVHRREIAKARQLFDEMPHRDVVSWNLIISGYFSCRRSRYVEEGRKLFDQMSERDCVSWNTVISGYAKNGMMQEAWKLFNSMPERNVVSSNALITGFLLNGDVDSAVAFFRKMPERDSASLSALVSGLVKNGQLNLAGRILLEFENGEDEESYLVDAYNTLIAGYGQKGMVEEARRLFDGIPDDQGDGNEGKRRFGRNVVSWNSMMMCYVKAGAIVYARELFDRMKERDTFSWNTMINGYVHISDMEEASKLFSKMPSPDALSWNSIVSGYAQIGDLKLAKDFFDRIPHKNLISWNSLIAGYDKHEDYKGAIKLFSQMQLEGERPDRHTFSSVLSVCTGLVDHYLGKQIHQLVTKNVISDVPINNSLITMYSRCGAIVDACTVFNEMKLYKDVITWNAMIGGYASHGLAAEALELFKLMKRLKIHPTYITFVSVLSACAHAGLVEEGRRQFNSMINDYGIEPQIEHFASLVDILGRQGQLQEAMNLIDSMPFKPDKAVWGALLSACRVHNNVELAQVAAEALIKLEPESSAPYVLLYNMYANLGQWDDAERMRVRMEESNVKKQTGYSWVDSSNRQ >OIW21857 pep supercontig:LupAngTanjil_v1.0:Scaffold_243_13:10553:14038:-1 gene:TanjilG_13726 transcript:OIW21857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEGFISRLRTSCTKMEKDLNDGVSRSFVGRYFKLDARKSCFTKELRAATATFLTMAYIITVNATIITASGGACSAADCSAPAGPECVVKPNAGYESCLAKTKSDLVVATAVVGMIASMAMGLLANLPFGLAPGMGPNAYLAFNMVGYHGTGPVSYQTALSVFCIEGCAFLLVSALGLRGKLAKLIPQSVRLACAAGIGLFIAFVGLQSNQGIGLIGPDPANLVTITACKIADPETGACIGGRMQNPKFWLGLVGFLITSYGLMKNIKGSMIYGILFVTLVSWFRHTQVTYFPNTPLGDANFNYFKKVVGFHKIESTAGVLRFTNFNRSEVWVALVTLFYVDVLGTTGIMYTMAEIGGFVDEKGKFEGEYMAYIVDAAGTIVGSTLGVTTTAAFVESSAGLREGGRTGLTAVIIGLFFFLSLFFTPLLASVPPWAIGPSLVMVGVMMIKVVKDIDWNNMQEAVPAFVTMLLMPLTYSIPNGIIGGLGIYIALRIFEYVRSMINRLGKMRRTVVKEQNQVSATTTGIDSTVEMA >OIW21859 pep supercontig:LupAngTanjil_v1.0:Scaffold_243_14:30175:33586:1 gene:TanjilG_13728 transcript:OIW21859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGEEGSQHPHLILASKLFLLTLSDVPDIEKLRFKDEVFTFVKQDDMLPLYETLIASSVLDADPALVESMRAKLDDQLKKLDEKIADAEENLGESEVREAHLAKSLFFIRIGDKEKALEHIKVTESKTVAVGQKMDLVFYTLLLGFFDMDFDLIAKSIEKAKSLFEEGGDWERKNRLKVYEGLYCMSTRNFKKAADLFLDSISTFTTYELFPYDTFIFYTVLTSIISLDRVSLKQKVVDAPEILTVIGKIPHLSEFLNSLYDCQYKSFFTAFAGLTEQIKLDRYLHPHFRYYMREIRTVVYSQFLESYKSVTIEAMAKAFGVTVDFIDVELSRFIAAGKLHCKIDKVAGVLETNRPDAKNALYQATIKQGDFLLNRIQKLSRVIDL >OIW21858 pep supercontig:LupAngTanjil_v1.0:Scaffold_243_14:8091:12573:-1 gene:TanjilG_13727 transcript:OIW21858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAVAAVTEPRKQPRPGKGGFEAHGLSEEEARVRAISEIVNSMVDLSRKGQNVDLNALKSAACRKYGLSRAPKLVEMIAALPDSEREALLPKLRAKPVRTASGIAVVAVMSKPHRCPHIATTGNICVYCPGGPDSDFEYSTQSYTGYEPTSMRAIRARYNPYVQARSRIDQLKRLGHSVDKVEFILMGGTFMSLPADYRDYFIRNLHDALSGHTSANIEEAVAYSEHSATKCIGMTIETRPDYCLGPHLRQMLSYGCTRLEIGVQSTYEDVARDTNRGHTVAAVADCFCLAKDAGFKVVAHMMPDLPNVGVERDMESFREFFESPLFRADGLKIYPTLVIRGTGLYELWKTGRYRNYPPEQLVDIIARILAMVPPWTRVYRVQRDIPMPLVTSGVEKGNLRELALARMEDLGLKCRDVRTREAGIQDIHHQIKPEEVELVRRDYMANEGWETFLSYEDTRQDILVGLLRLRKCGRNATCPELMGKCSIVRELHVYGTAVPVHGRDADKLQHQGYGTLLMEEAERIARREHRSTKIAVISGVGTRHYYRKLGYELEGPYMVKLL >OIW21860 pep supercontig:LupAngTanjil_v1.0:Scaffold_243_15:9166:9558:-1 gene:TanjilG_13729 transcript:OIW21860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCRSTNYYGYDHEVIDPFTFTDSHISLYRHVGFIDKVNDKETKPMVRNKQGMKNLRLEDIPEDEELFCVDNNSYIDDDDDGWVDVNPEVEEALEMDLEVLRRTFDMGIWVVCLGLGYMFSRAKFRPPTFP >OIW21853 pep supercontig:LupAngTanjil_v1.0:Scaffold_243_2:875:3502:-1 gene:TanjilG_13722 transcript:OIW21853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTAADNNGLPHNPSLDPDTDTPDNPDHEFAEFGAGCFWGVELAFQRVPGVVKTEVGYSQGHTPDPTYKLVCTGTTNHVEVVRVQFDPKVSPYTTLLDLFWSRHNPTTPNRQGEDVGAQYRSGIYYYNETQARLAKESKEAKQLELKENIVTEILPAKKFYIAEEYHQQYLENGGGQGLKQCAAKGCNDPIRCYG >OIW21854 pep supercontig:LupAngTanjil_v1.0:Scaffold_243_2:25399:27449:1 gene:TanjilG_13723 transcript:OIW21854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLLFEKFHRSIIFIRPCVNHKRWVELPYAQLIRDIGVVDDDDYDFLEHCVSCNGKLYAISFGLSRVVSINVDKDDQLVVESLPCMQPNSRSHAIRASKFMAEFGGELFLIRLFYGGTMLIDTNEVIDVHIFKLDFYSMVWNSAKDLMGGTIFFDGCHAFSCAAASGNEVECDSIYFSMPQDMGWFNCFNLKDCYLQVNLPCPIPLEFCGPPMFFMPPSNVRISGNHRQVQNKLCNENEVGCNVKVPGKEDNREESEVATTKFNDLPLFVVKLIANSLNLFDCILNFRVVSRDCWVATPPLGRKQALEKLEASPLSPWLFFSTNMNVHNFIDPKHAYRFLMTIPQTLAKSQLRCLKQCWMLMSDSENSVSFYNPFTKAIIDIDIPNQLPQKFSRSYIMGFSTLPTSSGCIIMGLNEIFQHGIVVMLAVIGNQGFEWHTFWLRCQFSVNQGYPIFFNGSFYILGQEGNLLVIQYEGEGIDQDNINWSIINSKIPCECYRQSYILEWGEKIACVLVGPMGTWVQVFKFNDTTITWESVESLGDYTFFVSRSSCIAIEGKSLGMQNRIYFPRVHGDCVLYYSLETRKLHYDREDYLDDFHNTKEQLRSGWFDPRCF >OIW21861 pep supercontig:LupAngTanjil_v1.0:Scaffold_243_24:7846:10269:1 gene:TanjilG_13730 transcript:OIW21861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSIRLDRLFIYFIYYYCLYDTGFGRIGRLVARVALQRDDVELVAVNDPFITTDYMTYMFKYDSVHGQWKHHELKVKDSKTLLFGEKSVAVYGHRNPEEIPWAESGAEIIVESTGVFTDKDKAAAHLKGGAKKVIISAPSKDAPMFVVGVNEHEYKPELDIISNASCTTNCLAPLAKVINDRFGIVEGLMTTVHSITATQKTVDGPSSKDWRGGRAASFNIIPSSTGAAKAVGKVLPVLNGKLTGMAFRVPTVDVSVVDLTVRLEKAATYDEIKKAIKEESEGKLKGILGYTEDDVVSTDFIGDNRSSIFDAKAGIALNEKYVKLVSWYDNEWGYSTRVVDLIAHVAKTL >OIW21862 pep supercontig:LupAngTanjil_v1.0:Scaffold_243_25:14994:16801:1 gene:TanjilG_13731 transcript:OIW21862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSSSLIFHFLLLFSLSYSSYSKSNATSIYEVLTNHGLPMGLFPKGITQFNVADDGNFWVHLDQACNAKFESELHYDRNVSGSISYGKIDALNGLEAQDLFLWLPVVSIRVDVPSSGLIYFDVGAAYKQFSSSLFETPPDCVAVVPDIDADIVNVFEGQIGRLRYQFEQETSGKDVL >OIW21864 pep supercontig:LupAngTanjil_v1.0:Scaffold_243_25:44771:45266:1 gene:TanjilG_13733 transcript:OIW21864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEYYYKRSHVPAFGSWDWNDNLPFTQCFESARQVGLLHYNYSESEDSDLYVEGDLYENDVVTPTMIVVPRTRV >OIW21865 pep supercontig:LupAngTanjil_v1.0:Scaffold_243_25:53920:54207:-1 gene:TanjilG_13734 transcript:OIW21865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKKGVTISMFSNSTALVGLCRPQRRLHRRRGSSIRLGNKRRGFSLGSRRVVQWGIIAPFRMLKKIIIEITPNGHWIEAYYGFLPLLRIHLFPLC >OIW21866 pep supercontig:LupAngTanjil_v1.0:Scaffold_243_25:62218:65130:1 gene:TanjilG_13735 transcript:OIW21866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDDSNGEEYLFKIVLIGDSAVGKSNLLSRFARNEFDTNSKATIGVEFQTQVVEIEGKEVKAQIWDTAGQERFRAVTSAYYRGALGALVVYDISRKGTFDGIKRWLQELDTQNDSTLARMLVGNKCDLENIREVSIEEGKTLAEEEGMFFMETSALDSTNVQTAFEIVIREIYNNISRKALNSDSYKAELSVNRVSLANGAGSKESRLSSCCSR >OIW21863 pep supercontig:LupAngTanjil_v1.0:Scaffold_243_25:28777:29567:1 gene:TanjilG_13732 transcript:OIW21863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQQDAQNGGSENAGAVAATVSFVALKPQLFVEAPKANDAVLFYKAAFGAEEVSRSLNPKRKAEHELPLILSAELKIGGSSILVADITDDSASLVKTGGNGVVLCLETGDVEGAIAKALGAGAIAEGEVVEGEGACCGGRVGKVKDPYGFVWLICSPGKKCGGDVEA >OIW21869 pep supercontig:LupAngTanjil_v1.0:Scaffold_243_29:44780:47041:-1 gene:TanjilG_13738 transcript:OIW21869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFMVNSMERSSERCLDSQLWHACAGAMVQMPPLNTKVFYFPQGHAEHAHGKVDFGQTRVPPLIPCRVSGMKFMADPDADEVFVKMRLNPLGENELNFEDDSFLGNSGVDSQEKPASFAKTLTQSDANNGGGFSVPRYCAETIFPRLDYSAEPPVQTIIAKDVHGQCWKFRHIYRGTPRRHLLTTGWSNFVNHKKLIAGDSIVFLRAENGDLCVGIRRAKKGGIGGGTELSSCWNNNHAAPPLFGVGSGFFCGNDKNNSFVRRKGSDEFVGKVAAESVVEAVTCAVNGKSFEVVYYPRASTPEFCVKASSVKAAMQIQWCSGMRFKMPFETEDSSRISWFMGTISSVQVADPIHWPDSPWRLLQVVWDEPDLLQNVMCVNPWLVELVTNMPTFHLSPFSPPRKKQRFQQDSEFYLNNQLPMPSFSSNLLNHHTNSLCNIQDHSSSSIQGTRHAQFGLTPSNFPFNTKQVQPEMHLFGFQRLDHAAQTVRPPCGIYRSGTKKSNVDMSCLLTIGNPGQSFKDSNEAKAPHILLFGKLIHTEQKSSNNSSTGIGGNSASDSTSQKTSNVSDGQGSSALHQNSSIENSSEGGSTWYKDQHNTDLATEKVNILCMAL >OIW21873 pep supercontig:LupAngTanjil_v1.0:Scaffold_243_29:84584:88226:-1 gene:TanjilG_13742 transcript:OIW21873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLINSFVEIIGFVIGIPLGLIIGFFVFVYSQHTKQVKDPVVRPVGELGPKALQELLPEIPLWVKTPDYERVDWLNNFLLNMWPYLDKAICEMIRITAKPIFAKYIGKYHIKGIEFEKLSLGTLPPAIFGIKVLETNEKELVMENVIKWAGNPNIVLDLHVSSLKISVQLVDLQVFATLRATLTPLMPAFPCFEKIVVSLMEKPHVDFGMKISGGDIMAIPGLYSFVQETLQKQVVSQYLWPKILEIPILDESTVAANKPVGILHVNVVRAHKLVKMDLLGTSDPYVKLTLTGDNLAAKKTTIKKRNLNPEWNEKFDFVVKDLQSQALQLQVYDWDKVSVHDRLGMQSIPLKVLKPYENKECTLDLLKDTNINETLNNKNIGQIVVDLTFVPFKEDSMKYEEPSERYNRKESGSDVVSDDEIKEGAGLLSLVILEAEEVEGDHHNNPYALVIFRGEKKRTKMMWKTRHPCWNEEFQFVLEEPPLHEKIHIEVLSKRKNFSFPSKESLGYVEINMNDVVNNGYINDKYDLINSKNGTIHVEIQWTPA >OIW21871 pep supercontig:LupAngTanjil_v1.0:Scaffold_243_29:72235:78770:-1 gene:TanjilG_13740 transcript:OIW21871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIPQSEGKMEGWLYLVLANRFGQHTLRKRFFILKDNVLRSFKIKPTSQMKQPFRNAIIDSCIRVTDNGRESINRKVLFVFTVYNAANQRDMLKLGASSSEEAAKWIRSLQDAALKECPNPVKSLVSKSKRRRSNLRYGGAKSTDWKDPDMNFQSCIYTEAMTSDVISPSPWKIFGCQNGLRMFKEAKDGDSCGTHWGEHPAIMAVGVVDGTSEAIFHTLMSLDPSRAEWDFCIYRGAVVEHLDGHTDIIHLKLYNDWLPWGMKRRDLLLRRYWRREDDGTYVLLYHSVYHKKYPPQSGYVRACLKSGGFVVTPVNKGKQAVVKHMLAIDWKYWNLYLRPSSARSITIRMLERVAALRELFRTKAGNYNSDPIVMTKDIGSSNVKGDIKSEISVESSKIEDLLEMKDEVDNEPRGRTSLMGLNDSDEFFDVPESTDYNNFGNEWHSDLASEQMWDAQAMPHPKISSASGLVKKLHDLTVQKKGYIDLHEVGREESESWYYGDTLQKDTSCALPCSWAASDPSLFLIRGETYLQDQQKVKAKDTLMQMVGADWLRSDTREDDLSSRPGSIVQQYAAKGGSEFFFVINIQMPSSPMYSLALYYMTRSPLEDNPLLQSFVDGDDTYRNSRFKLIPYISKGSWIVKQSVGKKACLVGQALEILYIRGKNYLELDINVGSSTVARGVSNLVLGYLNNLIVEMAFLIQGNTQDELPEVLIGTCRLNHMDASKSVVVKP >OIW21867 pep supercontig:LupAngTanjil_v1.0:Scaffold_243_29:20085:31742:1 gene:TanjilG_13736 transcript:OIW21867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRRKEAVSAEASKGSSSGDAIDKSTDGGAKVYTNAYIGNPRRSSFVWLALFLIITYCCSAIYNYQFQNMPVPLTADQAGKRGFSEIEAFKHVKSLTEFGPHPVGSDALNLALQYVLEACQTIKKTAHWEVDVEVDLFHAKSGANRLDSGLFAGRTLVYSDLKNVVVRILPKYLSEAKEHSILVSSHIDTVFATEGAGDCSSCIGVMLELARGVSQWAHGLKRGVIFLFNTGEEEGLSGAHSFITQHPWSNTVHMAIDLEAMGIGGKSSIFQAGPHPWAIENFAMVAKYPSGQIIAQDFFSSGALKSGTDFQVYKELAGLSGLDFAYADNSAVYHTKNDKLELLKKGSLQHLGENMLAFLLHIGAASDFPERNAKEAEGDTSNNNAIYFDILGTYMVVYRQQFANMLHTSVILQSLLIWTTSLFMGGIPAVASLALSCLSVLFMWIFSLGFSFLVAYILPLISSSPVPYVSSPWLVVGLFGSPAFLGALTGQHLGYLLLQKYLFNVHSKRRQLPPIIQADVVRLEAERWLYKAGSFQWLILLTLGNYYKIGSSYLALVWLISPSFAYGFFEATLTPARLPKPLKLVTLLIGLATPILLSAGTFIRLAATIIGGMVRLDRNPGSTPEWLGNFVIAAFIAALLSVTLVYLLSYVHISGAKRAIILATLVLFSLSLAIVMSCVLPPFSEDTARAVNVVHVVDATGRPDEGLDPVSYVSLFSTTPGNLNKEIEQINEGFVCGRNKTVDFVTFSVKYGCWSYNDGRSGWSESDIPTIHVDSDAKENGRITKVSINTKGSVRWALAINTEEIEDFELKDAVISEELISVDKKNSVDGWHIIQFSGAKNSPTLFYLILYWKSDSSTHNTDNTPLLKLRTDVNRLTPITERVLAKLPRLCRASQVAELFPAVSPEIIVREARLEDCWEVAETHCSSFFPDYSFPLDFVLRMDRLVAMLAGFTIPNECKRTCLVAVTGSSFDEFILFGSEDFKIGGFDWKFSLNKGYVAGILTVDTVADFLPRKGPLRQRRTGIAYISNVAVRENFRGKGIAKKLVAKAESQARSWGCRAIALHCDLKNPVASKLYQGQGFKCIKIPEGANWPQPKTSPDIKFNFMMKPLNNSAASLS >OIW21870 pep supercontig:LupAngTanjil_v1.0:Scaffold_243_29:58360:60446:-1 gene:TanjilG_13739 transcript:OIW21870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKMVGPSFIDEIDCGNFFDHIDDLLDFPVEDVVDGCADTFNGVSAAGNCNSLASIWPPETDSFPGVDSIFSGKTTSDLSAELSVPYEDIVQLEWLSNFVEDSFSGVSLTMSKVEQPSCTTITTTTTTTTKDDSSSFHNQFQTSSPVSVLESSSSYSGGKTIPRSSPEIYIPVPCGRARSKRPRPAAFNPHPAMHLISPASSCVGENMQPNVVSIKASSDSENFAESQPVTKMPKHCSGDHKKRKKIKLSLPSPPPADDTNQNGSQAVRKCMHCEITKTPQWRAGPMGPKTLCNACGVRYKSGRLFPEYRPAASPTFCPSVHSNSHKKVLEMRYRSIEETGFGSHSAAAASPELIPNTNSSILTLEYM >OIW21868 pep supercontig:LupAngTanjil_v1.0:Scaffold_243_29:32445:38951:-1 gene:TanjilG_13737 transcript:OIW21868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASASDTGVLYLDKTDFLRLQNGSDIRGVAIDGVEGEPVNLTEPVAEAIGASFAAWLVEKKKAAASQHLRVSIGHDSRISAKLLQNAISRGLAGAGLEVVQYGLASTPAMFNSTLTKDDSFLCPADGSIMITASHLPFNRNGFKFFTNDGGLGKADIKDILERAADLYNQFTAESVRNSERKVSLATKGVDYMIVYTSDLVKAVRKAAGNVEKPLEGFHIVVDAGNGAGGFFAAKVLEPLGAITSGSQFLEPDGLFPNHIPNPEDKTAMKAITQAVLDNKADLGIIFDTDVDRSAAVDSTGREFNRNRLIALMSAIVLEEHPGTTIVTDSVTSDGLTTFIENKLGGKHHRFKRGYKNVIDEAIRLNSIGEESHLAIETSGHGALKENHWLDDGAYLMVKILNKLASERASGMSGGSKVLTDLMEGLQEAAFAVEVRLKISQNHPDLKGGSFREYGEAVLKHLENVIGSDPSLQKAPVNYEGVRVSGYGGWFLLRLSLHDPVLPLNIETQSNDDALKLGLAVFAAVKDFAGLDISALNKFVGTS >OIW21872 pep supercontig:LupAngTanjil_v1.0:Scaffold_243_29:80970:83284:1 gene:TanjilG_13741 transcript:OIW21872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LCSTGLPSDVIIEIGETSFHLHKFPLISRSEVLESYMKELSCENEKSVMELHDLPGGAKAFLLVAKFCYGVKMELNPSNVVILRCAAEYLQMTEDYGEGNLILHTENFINHIFGYWTDTLKALKTCEEVLPFAEELHITSRCIHSLVVKAADPSLAIWPVSSQSVAQSPEDAEMWNGISLTSKASGEDWWFGDVSSLSLPLYKRFIQGSSARRMRPERIAGSLVYYAKKHIPLLVNQSSSHNGNSFAFKSTPSTPCEADQRNLIEEIVELLPNEKSIAPTKFLLKILRTAIALYANSHCCASLEKRIGAQLDDADLEDLLIPNIGYSMETLYDIDCVQRMLEHFMIVEHDVIDSTSNDIEEEMRLVGGSQSISPMTKVANLVDGFLSEVAPDVNVKLPKFQSLATVIPDYARILDDGIYRAIDIYLKAHPWMTDSEKEQICRLMNCQKLSLEASTHAAQNERLPLRVVVQVLFFEQLKLRTSVAGWFFASDNLESSQNLSGNLALIRNNGNTSHNNNNNSILAFDSMKERVAELEKECLSMKKELEKMMKSKVSWNLIFKKLSCRLMPKPYQVKVSNPCRKSKISPASATQMEEKAMAVK >OIW21874 pep supercontig:LupAngTanjil_v1.0:Scaffold_243_33:14642:19681:-1 gene:TanjilG_13743 transcript:OIW21874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTLPIEFAGQKESRKYSHSQVMGKSRKYSKGYATGFVPDFRHAVETVGESEGLGSSGRVDTEMTTSADSFVPKRKCVNGSFDVPYQLFLLSKMSGTEKKDLKMRFSWELEKVRELQKKVESWNSNIIALSPSSDIRSGSVGQKRPQLESQQIRTMEASVPHGKKRPFPGRSGPKPKKSTLGHFDNMKPAAPVSSSYVALLKSCETLLSRLMTHQYGWVFNTPVDVVKLNIPDYFNVIKHPMDLGTVKSRIASGEYSNPMDFAADVRLTFSNAMTYNPPGNDVHIMADNLSKFFETRWKPIEKKVLAIGSQSVPSRPTTTFVETKIPDQILPSKKNKITPNETNIKPEPVKRIITAEERHKLSVELEAMLGELPEKIIDFLKEQSCDGGQTDEEEIEIDIDALSDDTLFKLRNLLDDYILEKQKVQSKSGPCEIELVNESGFSKSSMQPSKGNEHVEEDVDIVGGNDPPVSNYPPVEIEKDGANRNSKCSSSSSSSSESGTSSSDSDSGSSSGSELDTAKASEPPSATKENIGSGLTLDQNRGDPPGKSKTVKDSMAVGDQIEQSSQTRPVTIEQESHQEGESAPSQRQVSPEKLYRAALLRNRFADTILKAQEKTLEKDEKRDPEKLRIEREDLERRHKEEKARLQAEAKAAEEAQRKAEAEAAAEAKRKRELEREAARLALQKMEKTVDINESSQFLEDLEMLSSVHGEHLPISKEISPDHLQKLGSFNLPGNPLEQLGLYMKADDEEEDEELTPNAAAGPSNDVEEGEID >OIW21855 pep supercontig:LupAngTanjil_v1.0:Scaffold_243_6:1432:3036:-1 gene:TanjilG_13724 transcript:OIW21855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVISQKTYASQIGSFYNTNRIFDGIPNISDIIKNGQMSKELKKEHVDMTPCVFKYKDEFPATATNSDEVHSNSLTEAGDS >OIW21856 pep supercontig:LupAngTanjil_v1.0:Scaffold_243_8:9003:12921:-1 gene:TanjilG_13725 transcript:OIW21856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVPPKFYHNVSVGDLLDKQIILEDPSGEQWKVTVSFVYGSIAFKEGWSAFSFDHGLKIGDFLIFNYTSESHFDVLIYDESACNMLDFSKTRNQKKRSRGRNDSSVKDGLLVRQGLKASGVSISDLPKMKRQHREVDLGGTQHNIEKVSNYDNINATTKSVCIEDYGEDPCYMTSRGLGETERDAKTSMFDVLDCEILNNCDVNGTWKVATVDSNVCDVDCGSHACQNEAIICNKDPLYERILGKGAASDPSELKLSRCNHSLGQKDNSAYDKNCTLKLKENSENNSIMSNRKVRECQFAEGLGQMSKEIKKEHVDMTPSVYKYKDELPATGEMSKWLKSELEDMTATLYKEDFLLAAGKLRRGAASDPYKFVLTGRNNSLGLKDKSAYVNKCNTKLEENRENISIMSNRKVRESQFAEGLGHMSQSIKKEIVDKMTPNMYKDELLTTGQTSERFKSELQDTTPFVYKDNLLLATGSSKVIKREPGQSLQPFNEDNESHSQTAATVSCDVPTDIDTFLELPAPLPLSNKRGIKMKRLVVYLRDELMNLWPVFYHEQSNFYALTEGWSEYRKANNIQPRDLCIFGAENESNRIIAVNIVHK >OIW21877 pep supercontig:LupAngTanjil_v1.0:Scaffold_244_24:1271:2484:1 gene:TanjilG_13759 transcript:OIW21877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEAADFRDWKDLLPDLQGAIFTHLSLEERLSIVPSVCKSWASTLAGPYCWQEIDLEEWCNQTEPDKIDRMLVLLITRSSGSLRKLTVSCVQSEKTFTFIAENAGSLQTLRLQRCNMTDSIVEHLTKKLSMLSFLDVSYCNKIGAHALETIGKNCTMLEVFYRNMHPIDTSDKPFDDDEAIAISTTMPNLKHLGIAYQLVKTEGLLQILSNCPKLELLDLRGCWGVNIENISLEKDFPNVKVLGPHVVDYHENNGWDDFSEPSEYLGWDFFVDEYYDDDDEEESDSDDIWDDEEGLEEIQFTFYQGIENAGMFVPPSP >OIW21878 pep supercontig:LupAngTanjil_v1.0:Scaffold_244_52:641:952:1 gene:TanjilG_13760 transcript:OIW21878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEAADFRDWKDLLPDLQGAIFTHLSLEERLSIVPSVCKSWASTLAGPYCWQEIDLEEWCNQTEPDKIDRMLVLLITRSSGSLRKLTVSCVQSEKTFTFIAEK >OIW21876 pep supercontig:LupAngTanjil_v1.0:Scaffold_244_6:27052:27366:-1 gene:TanjilG_13758 transcript:OIW21876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQNVERKSPKLDVKIPLDMDGLKKHSHTLVSQTSTPLARKSASVRMDCLCSPTTHAGSFRCRHHRAGSGGMHRGGSVGSNLSELAAKASSISDSLHASNLHFK >OIW21875 pep supercontig:LupAngTanjil_v1.0:Scaffold_244_6:15401:23164:1 gene:TanjilG_13757 transcript:OIW21875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNRKERRRAAHNNAGRRVKLDLFAEPSGVLGGSTVHGDAGEDAGSQNRDGLLNSPSSSGQQPQNPLLLLGQYSDDEVDEGSSERPTDAIVQSPMLNEEGKGLLGEVCNDLDISVSADLVAQNDGQQSTIENSASFDIETTGKNESNVATGTSQNEMVSTDQMPVLETLDERAGTDISLGWKMVMHEESRCYYYWNVETGETSWEVPLVLTQAAQLNNHLIPPPSVSDINESAAIEIDNSNVPSAVTQDTLSAPTIDDSLETTVTCHKESCGHVSQMNGGNSECRSENQASDANGTELIRNNGVKSLCHGGDHSFDSMFSVEEQQSAIDFPSRLVKQCEGLLKRLKSLERSNSMGKLQGEDSLSKYMLEIEIRLSDFRSLAAYGSSLLPYWVHSDRQIKLLESSINDVLFWDAKLAHGEVEDKHGHVTAGLGKEQNGKEHEPEVDHSDKKVSFITSEVSNGSQVDASDVLLRDIHDKFPANCQHAPLSTATSTHTEAGVEVNTQVETTLNPEESTHKLGCDVEDDVDMDVDMEVEDMSSSGNSTVMDVSAVTEYVQTDQPVQVNPLVDYHSVLPEGEFVVPPPPPDDEWIPPPPPDSEQVPPPPPPDNEQAPPPPPGDPLIPSYHILPSYTETGQSLSYAQYSLPYPSASSEYYGQTAAGVPSSNIYGPIAIPPVQLYYSAVPNSYNENSQVIINPADHVSYYELQEGAGSGSTLANISDSGDVGRASTDVPSTSSSIHPPAPVSVDDCGSLPPATAETAACSAAPLVAKAQTKAVRSRKRAVVVGSSLKSNKKVSSLVDKWKAAKEELLEEEEEPESLYEALERKRQREIEEWRANQIVSGEAKDNANFQPLGGDWRERVKRKRAQAARESAAMPQDAAEDKQQQPDLIELSKGLPSGWQAYWDETSKQVYYGNTVTSETTWTKPTR >OIW21879 pep supercontig:LupAngTanjil_v1.0:Scaffold_244_68:5297:15129:1 gene:TanjilG_13761 transcript:OIW21879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLPQQSRKFTDSAQHGSGQDTQLRGQGVEQQMLNPVHQAYLQYALQAQQKSALGIQSQQHPKMGMLNPSSMKDQEMRMGNLKMQDLMSMQAVNQAQGSSSSRNSSEHVARGEKQIEHGQQMVHDQKSDGKPSNQGAIGHLMPGNMIRSTQTPETQQGIQNVMNSQVAMSAQLQAIQAWARERNIDLSHPANANLMAQLMPLMQSRMIPQPKANESNIGSQSSPAPVSKQQVISPAVASENSAHANSSSDVSGQSGSSKARQTVPPNHFGSTTNAGMSSNSSDMVMQQFSDHGRESQASLKQPIIVGNGIPSMHSQQSSSNVNLNADNPLNAKISPSGPEPPQMQQIRQLNQSTPQAGGPINEGGSGNYARSQGSPAQMPQQQSKFTKQQLHVLKAQILAFRRLKKGEGTLPQELLRAIAPPPLDMQVQPPHQPAGGQNQDKSTGNKVAEQPRHIESHAKDSQSIPAINGQSSLKQELVARDEKSTMLSAHMQAVVPPMSKESAPMLSVGKEHQKSIASSAKSDQDNEHGDNRTPIRSELALDRGKAIAPQPSVPDSMQMKKPEQVSTMSQPKDVGSTRKYHGPLFDFPFFTRKHDSFGSSMMVNNNNNLSLAYDVNEILFEEGMEVLTKKRTEKLKKIEGLLAVNLERKRIRPDLVLKLQIEEKKLRLLDLQARLRNEIDQQQQEIMAMPDRPYRKFVRLCERQRMELARQVQTSQRALREKQLKSIFQWRKKLLEAHWAIRDARTARNRGVAKYHERMLREFSKRKDDDRDKRMEALKNNDVDRYREMLLEQQTSMPGDAAERYAVLSSFLSQTEEYLHKLGSKITAAKNQQEGLSEEEVRAAATCAGEEMMIRNHFMEINTPRDSSSVNKYYTLAHAVNETVIRQPSMLRAGTLRDYQLVGLQWMLSLYNNKLNGILADEMGLGKTVQVMALIAYLMEFKGNYGPHLIIVPNAVLVNWKSELYNWLPSVSCIYYVGMKDHRSRLFSQEVSAMKFNVLVTTYEFIMYDRSKLSKIDWKYIIIDEAQRMKDRDSVLARDLDRYRCQRRLLLTGTPLQNDLKELWSLLNLLLPEVFDNKKAFNDWFSKPFQKEAPTPNAEDDWLETEKKVIVIHRLHQILEPFMLRRRVEDVEGSLPPKVSIVLKCKMSAAQSAIYDWIKSTGTLRLDPEDEMCKVQKNPVYQMKQYKTLNNRCMELRKTCNHPLLNYPFFSDLSKEFIVKSCGKLWILDRILVKLERTGHRVLLFSTMTKLLDILEEYLQWRRLVFRRIDGTTSLEDRESAIMDFNSPDSDCFIFLLSIRAAGRGLNLQSADTVVIYDPDPNPKNEEQAVARAHRIGQKREVKVIYLEAVVDKISSHQKEDELRSGGSVDMEDELAGKDRYIGSIESLIRNNIQQYKIDMADEVINAGRFDQRTTHEERRLTLETLLHDEERYQENVHDVPSLQEVNRMIARSEEEVELFDQMDEELDWIEEMTRFDQVPKWLRANTREVNAAIAASSKRPSKNILSGSTVGVESSEVVTERRRGRPKGKKHLSYKEVEDDNGEYSEASSDERNGYAHEEGEVGEFEDEGYSGAEGAQPIVKDQLGDDPVCDAGYEFPRSSESAKKNQMIEEAGSSGSSSDSQRLRQAVSPSVSSQKFGSLSALDARPGSASKRMADELEEGEIAVSGDSHMDHQQSGSWIHDRDEGEDEQVLQMPKIKRKRSLRIRPRHNAERPEGKSTNEMTSHLAVQPDHKYHAQLRIDPESTVFVDSNPSKHDLNPSSVKNKRTLPSRRVANTSKLLGSPKSTRLNSISAHSEDGGEHSRETWEGKPINSSGSSGHGTKTTEITQRKCKNVIGKLQKRIDKEGQQIVPLLTDLWKRIENSGYSGGSGNSLLDLRKIDQRIDRLEYSGAMELVFDVQFMLKSAMHFYSFSHEVRSEARKVHDLFFDILKIAFPDTDFQDARIALSFSAQVPAGTVTSPRPVAVGQSKRHRTINEVETDPPYPPKKSLPRGSASSGENSRMKGPHPLPPKESRAGSSTREQHQPDDSPLLTHPGELVVCKKRRNDRDKSLVKPRTGPISPSRIGLAIRSPGSGSVPKDARLAQHNPHAPGWAGHGHSSQQANGSGGGSVGWANPVKRLRSDPGKRRPSHM >OIW21880 pep supercontig:LupAngTanjil_v1.0:Scaffold_244_71:6045:8539:-1 gene:TanjilG_13762 transcript:OIW21880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPSKRREMDLMKLMMSDYKVEMINDGMQEFYVHFHGPNESPYQGGVWKVRVELPDAYPYKSPSIGFINKIYHPNVDEMSGSVCLDVINQTWSPMFDLVNVFEVFLPQLLLYPNASDPLNGEAAALMMRDKTAYELRVKEYCEKYAKPEDIGAVPEEKSSDEELTEDEYDSSDEQVAGKADP >OIW21881 pep supercontig:LupAngTanjil_v1.0:Scaffold_244_73:11347:12138:-1 gene:TanjilG_13763 transcript:OIW21881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSFMYDDNCGGSYNLTAAAQSSSISSTGSRDLDRTPSPHPRDRTEKQVVDSIIDGCPAVRIPVGSNHQAEVPKWTGLTPKSDSKWLGTQIWPSQKGNSRHLIERGPIGKGRQDSCGCSVAGSVECVRFHIGEKRAKLKLELGAAFYLWNSDKIGEEVRHLWTNEEEKRFKDVVGPNPLPEGYYFWDHIFRSFPKKTREDLVCYYFNVFVLQRRAYQNRQTPDEIDSDDDEAEEGLRNVFGYRTQNSHCSILTPKKAAKTKSK >OIW21882 pep supercontig:LupAngTanjil_v1.0:Scaffold_244_73:15412:16480:-1 gene:TanjilG_13764 transcript:OIW21882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGHGDGVLVEGGGGCGVVKASYYEIRHRFEGLLCKLWREICVCSLPVPPMLGNGINVDLYKLFIVVRGRGGYDVVCDSKLWDLVAEECGLGLSVGSSVKLVYRKYLSALDAWSKKVADSQVAECGSVDDRDEFEKRLMELQDEVKGLMLDYAENEGGGKLCVKKGVRNGGNSSPEIMDFVMNDYVDGNFGNDAMEIIREVVDGGKVFEKLGLGVDLSDAENSGYSVVGLVSGSEKSDANNDSGLDLDASGVGDSSGRKRKRESMSGLLRWVSTIAKNPADPAIGLLPDKLKWKSSSNHEIWKQVLSLREAVFFKRSFDSSIEQQNWQPVLLPHT >OIW21883 pep supercontig:LupAngTanjil_v1.0:Scaffold_244_82:10617:12185:1 gene:TanjilG_13765 transcript:OIW21883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNMLLISLGPEPPTSTSLQSHHHPNLERLPISEEEKETVVEVKVESVEPQSSDTDLVNVVEHVLCDEMVKPVATRFEKRSLKMVMEAESICQKSIPSMLDVKYHLCVAQEHNVKVEISPTNPSVQIFTQVRVSSESLSTETSSFESAVTCSEITKDSIMETPATKFTPNVRSASYAETGPRVSMEDEHILIDDVAAHLGFVFQCPIPSVCYAVFDGHGGPDAANFEDANMLRSYDTDAFFMKKLEESHRRAFLRADLALADEQSVNSSCGTTTLGSHLLVANAGDCRAVLCRRVTRALGDWDLKNPLGAASPLTADPDIQMVTLTEEDEFLIIGCDGIWDSKALQNHVRYKDEDLKSLQSV >OIW21885 pep supercontig:LupAngTanjil_v1.0:Scaffold_246_16:671:1000:1 gene:TanjilG_13825 transcript:OIW21885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRMESVWGKDCSEFKPKRWLENGEYCKESSFKFPIFHAGPRMYLGRDMAYIQMKSIVASLMKIFDIDVVDKDKCPENLLSLTLKMKGGLSVKVRARARDTTSASTSGG >OIW21886 pep supercontig:LupAngTanjil_v1.0:Scaffold_246_29:15258:18022:1 gene:TanjilG_13826 transcript:OIW21886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFFSVALFLFLSLLCFYIYLHFHTRKHNKGFKNFPIVGNLIEFLSNRHRFFDWTTQILRKCPTNTVVFFIPGKTHGIITANPDNVEHMLKTKFENYPKGEKFIYLLEDFLGKGIFNTDGELWKVQRKIASYEFNTKSLRNFVIENVMVELQTRLLPIFSRASESERILDLQDILERFTFDNICKLAFNVDPSCLGGDGTTGTEFMSAFEDAAVLSSGRFMSALPILWKMKRLFNVGKERRLRESIAIVHEFADKIIQSRIKAKDQNNNTDLLSRFIKADKNSPEFLRDVIISFILAGRDTTSSALSWFFWILSSRPDVKKNIIKEIEIIRSRNGGRAMFKFEELNEMHYLHAAISETMRLYPPVPVEIKLCLNDDVLPDGTVTKKNWLIMVQTYAMGRMESVWGKDCTEFKPKRWLENGEYRTESSFKFPVFHAGPRMCLGRDMAYIQMKSIVASIMERFEIDVVDKDKCPENLLSLTLKMKGGLPVKVRARVRDPTCAATSGG >OIW21887 pep supercontig:LupAngTanjil_v1.0:Scaffold_246_29:21808:22155:1 gene:TanjilG_13827 transcript:OIW21887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQTYEMGRMESVWGKDCTKCRPKRWLENGEYRTESSFKFPVFHVGPRMCLGRDMAYIQMMSIVASLMEMFEIDVVDKDKCPENLLPLTLKMKGGLPMKVRARVRDTTNATTSGG >OIW21888 pep supercontig:LupAngTanjil_v1.0:Scaffold_246_31:43435:46427:1 gene:TanjilG_13828 transcript:OIW21888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFFSVAPFLFLSLLCFYIYLHFHTRKHNKGFKNFPIVGNLIEFLSNRHRFFDWTTQILRKCPTNTVVFFIPGKTHGIITANPDNVEHMLKTKFENYPKGEKFIYLLEDFLGKGIFNTDGELWKVQRKIASYEFNTKSLRNLVIENVMVELQTRLLPIFSRASESERILDLQDILERFTFDNICKLAFNVDPSCLGGDGTTGTEFMSAFEDAAVLSSGRFISALPILWKMKRLFNVGKERRLRESIAIVHEFADKIIRSRIKAKDKNNNTDLLSRFIKADNNSPEFLRDIIISFILAGRDTTSSALSWFFWILSSRPDVKKNIIKEIGTIRSRNGGQAMFKFEELNEMHYLHAAISETMRLYPPVPVEIKLCLNDDVLPDGTVTKKNWLIMVQTYAMGRMESVWGKDCTEFKPKRWLENGEYRTESPFKFPVFHAGPRMCLGRDMAYIQMKSIIASIMERFEIDVLDKDKCPENLLSLTLKMKGGLPVKIRARVRDITSAATSGG >OIW21889 pep supercontig:LupAngTanjil_v1.0:Scaffold_246_31:65647:70340:-1 gene:TanjilG_13829 transcript:OIW21889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETLICRSRNSELSPDEERIIIRDIALSAQANSKEGDTFFLITQRWWQYWIEYVNQDQTNNSYDGSSLAEHCDLANSSAVKKPPGIDNSDLIDDAVSEESSSGIEIHDTLLEGRDYVLLPQEVWNQLYTWYGGGPTLPRKVISSGLSQTELTVEVYPLRLQLLVLPINDRSVIRISKKETVAHLHRKACEIFDLQLNQVSIWDYYGRRKHALMNDMDKTLDDANLQMDQDILIEVNNTNNTTSSQENGFAQREASSVLVEPPKSSLSIAGGLSASKGASRSYNVELSSSQNVNSAVRDVEHPFGTSGVTTRGSFGGLTGLLNLGNTCFMNSAIQCLVHTPEFARYFREDYHQEINWQNPLGMVGELALAFGELLRKLWAPGRTPIAPRPFKAKLARFAPQFSGNNQHDSQELLAFLLDGLHEDLNRVKHKPYIKSRDADGRLDEEVADEYWANHIARNDSIIVDVCQRLAGRTGNAA >OIW21890 pep supercontig:LupAngTanjil_v1.0:Scaffold_246_42:8365:15736:-1 gene:TanjilG_13837 transcript:OIW21890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFQFLVLVLAFLICRNANKVESNDGTTLLEIKKSLRDVDNVLYDWTDSPSSDYCVWRGISCDNVTFDVVALNLSGLNLDGEISPAIGSLKSLVSIDLRENRLSGQIPDEIGDCSSLKSLDLSFNQIRGDIPFSISKLKQLENLILKNNQLVGPIPSTLSQIPNLNIIDLAQNNITGEIPRLIYWNEVLQYLGLRGNNLVGSLSPDMCQLTGLWYFDVRNNSLTGSIPENIGNCTGFQVLDLSYNKLTGEIPYNIGFLQVATLSLQGNKLSGHIPSVIGLMQALAVLDLSCNMLSGPIPSILGNLSYTDKVYLHGNKLTGFIPPELGNMSKLHYLVLNNNHLSGRIPPELGKLTDLFDLNVANNNLEGPIPDNLSLCKNLNSLNVHGNKLNGTIPPALQCLESMTYLNLSSNNLQGPIPIELSRIGNLDTLDISNNNIVGSIPSSVGDLEHLLKLNLSRNLITGFIPAEFGNLRSVMDIDLSNNLLSGLIPDELSQLQNMIFLRLENNKLTGDVKSLADCLSLSLLNVSYNKLVGVIPTSNNFTRFPFDSFIGNPGLCGNWPNLPCHSSQPIERVTLSKAAILGITLGALVILLMILLAACRPHNPASFPDGSLEKPVIYSPPKLVILHMNMALHVYDDIMRMTENLSEKYIIGYGASSTVYKCVLKNCKPVAIKRLYSHYPQCLKEFETELATVGSIKHRNLVSLQGYSLSPYGHLLFYDYMENGSLWDLLHGATKKKKLEWDLRLKIALGAAQGLAYLHHDCCPRIIHRDVKSSNILLDADFEPHLTDFGIAKSLCPSKSHTSTFIMGTIGYIDPEYARTSRLTEKSDVYSYGVVLLELLTGRKAVDNESNLHHLILSKTASNAVMETVDPDITSTCKDMGAVKKVYQLALLCTKRQPADRPTMHEVTRVLGSLIPSTTLPPKQQAPLPPASHQSTKITCYKDEYANLKTPHLVNYPSMSTSDAQLFLKFGEVISQNSE >OIW21892 pep supercontig:LupAngTanjil_v1.0:Scaffold_246_43:31665:38950:-1 gene:TanjilG_13839 transcript:OIW21892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAYLEHFVHENETVSIRDDDVSALEANFDLSTTGIASKDFGGMKSFKPLALIRTATANDVAQAVKSAARTSHFTVAARGNGHSINGQAMADKGLVLDMRAMENHYFQLLYLDDAPYVDVSGGALWEDVLKRCVLQFGLAPRSWTDYLSLTVGGTLSNAGVSGQAFRYGPQTSNVTELEVVNGKGDTLVCNERENSELFFATLGGLGQFGIITRARVLLQQAPHMVRWIRVVYSEFEEFTRDAEWLVKRREGDGFDYVEGFVFVNSDDPCNGWSTVPIDSPNQWFDPVHVPSTSGPVLYCLELALHYRNSYHRSHVDMVVDRLLKRLRFIEGLKFEVDVTYMEFMLRVNGAEKEAKCNGTWYAPHPWLNMFVSKSNIIDFDREVFKKILRHGVGGPILVYPLLRNKWDNRHSVVVPDSNIFYIVALLRFIPPPPKGPPSELLVAQNNAIIQLCYNKGFDFKLYLPHYQSQENWMRHYGDKWSRFVERKANFDPLAILAPGQRMFSRIPQPLSIT >OIW21891 pep supercontig:LupAngTanjil_v1.0:Scaffold_246_43:10774:18344:1 gene:TanjilG_13838 transcript:OIW21891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLWCCLCFNEKDEEREGDNNNNNSNSYNLLGLMREDDDIFGNDVVVEAVPEEGDNDAVFVENDDLPALANAAEHQHEMARAMPYSWWRSGEGEGGFGSTDADLAANFIGEGESSRVSAVTLNLLGNVGAGEGGSSSNSRRNDSVAANGLGNAASDGGGEESQNYSHKRAKVYNVFEEGRFAASTSSNAGISNASADYGDYGYVPKNDMCAFALMCTGYDNDFDVKDSEGDDSENSKVEDAEVRMDLTDDLLHMVFSFLDHPNLCKAARVCKQWRAASAHEDFWRNLDFEDKNISVDQFEDMCRRYPNATAMSISGPAIYLLVMKAISSLRNLEVLTIGRGQIADNFFLALADCTMLKRLNINDSTLGNGTQEISINHDKLYHLQITKCRVMRIAVRCPQLETMSLKRSNMAQVVLNCPLLQELDIGSCHKLPDAAIRAAATSCPQLVSLDMSNCSCVSDETLREIAQHCPNLGVLDASYCPNISLESVRLPMLTVLKLHSCEGITSASMAAIAHSTMLEVLELDNCSLLTSVSLDLPHLQNIRLVHCRKLADLNLRTTMLSTILVSNCPVLHRIDITSNSLQKLQLQKQDSLTTLTLQCQSLQEVDLSECESLTNSICDVFSDGGGCPMLKSLVLDNCESLTSVQFTSTSLVSLSLGGCRAITTLELTCPNLEKVILDGCDHLERASFCPVGLRSLNLGICPKLNILNIEALFMVSLELKGCGVLSEASLNCPLLTSLDASFCSQLTDDFLSATTVSCPLIESLILMSCPSIGLDGLCSLRWLPNITVLDLSYTFLVNLQPVFESCSQLKVLKLQACKYLTDSSLEPLYKGGLPALQELDLSYGTLCQSAIEELLSCCVHLIRVSLNGCVNMHDLNWGCSHGKIAELPSAIVLSSGTFHESSEQPTRLLQNLNCVGCPNIRKVSIPLTAHCSNLLFLNLSLSANLKEVDIACVNLCWLNLSNCSSLEVLKLVCPRLTSLFLQSCNIDEETVEDAISKCTMLETLDVRFCPKVSSMNMGRLRAMCSSLKRIFSSLSTS >OIW21893 pep supercontig:LupAngTanjil_v1.0:Scaffold_246_44:7544:7708:-1 gene:TanjilG_13840 transcript:OIW21893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIPFEVVSMMRESNLDGDEVLTQMKFYISMFTMGQQLMEECLFWLEDALHRHL >OIW21895 pep supercontig:LupAngTanjil_v1.0:Scaffold_246_47:13248:14390:-1 gene:TanjilG_13842 transcript:OIW21895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSKGSILMKLVLLQYLSVLCFSQDFDFFYFVQQWPGSYCDTQRSCCYPTTGKPSADFGIHGLWPNYKDVSYPSNCDTNNPFQPSQISDLISSLERNWPTLACPSGNGIQFWTHEWEKHGTCSESIVSRQHDYFEAALILKQRANLLQALISAGIQPDGGSYSLSSINAAIKGATGYTPFIQCNVDSTSNSQLYQVYLCVNTNGSDFIECPVFPSGKCGSKIEFPSF >OIW21894 pep supercontig:LupAngTanjil_v1.0:Scaffold_246_47:7482:8890:1 gene:TanjilG_13841 transcript:OIW21894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLKNVQNQSMRLVLSTDTKPRMKWTPELHQRFIDAISQLGGVEKLTETRGFNLNCGERNKNRGTMCSMESSLTYCESSEGEENQILYHELEKVQKSNTATVEFPLLAIQVEDTRDGASEKKRSAETDSDGGCVDQPSEKRCCNKLRKSEMLEIIDLNS >OIW21884 pep supercontig:LupAngTanjil_v1.0:Scaffold_246_7:26650:26802:-1 gene:TanjilG_13824 transcript:OIW21884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEMMMIMSKEQERATLNPSTSHMAFNASLNILLDILRKDLTTHTRNGSW >OIW20490 pep supercontig:LupAngTanjil_v1.0:Scaffold_24_2:30402:42547:-1 gene:TanjilG_13556 transcript:OIW20490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNRRNNEIESNENDFESSLQNTINYPPPRTPFNSIPDPSQYQSEPHESDAESHFKFEPTRPARSDRVGNGYHRGSARNGKSLSEPNSAQNTPARTGSRVSIGGGSKGRVTSSCSLLKGVLFSNNTELSMSVPHFELKDDPSFWMDHNVQVMIRIRPLNTMENLSQGYGRCLKQESAQSLVWLGHPETRFTFDHIACETLSQENLFRVAGVPMVENCLSGYNSCMFAYGQTGSGKTYTMMGELKETEGNLTEDSGITPRVFDYLFMRIKAEQESRWDHKLKYSCKCSFLEIYNEQITDLLEPSSTNLQLREDLKKGVYVENLTEHSVETVNDVLRLLLQGTANRKVAATHMNCESSRSHSVFTCIIESHWEKDSKTHFRFARLNLVDLAGSERQKSSGTDSERLKEAANINKSLSTLGLVIMTLVDLAHGKPRHVPYRDSRLTFLLQDSLGGNSKTMIIANVSPSICSANETLSTLKFAQRAKLIQNNAKVNEDASGDISALQWQIEQLKGQLSFLMKNKYFPIPVSNLEPTTKNGRLSDVSERYDSLGERVTVGHKLHFPNKEMKSMKVALLGAFRREKMAQTTIQNLQAEIEGMNCLVQQREKDAQHTTILLRHSEKKIKELELLVDDKLTAEKYLMEENRSLQEEIKLLQASVDKNAESSKLALENDKLLQQLQLLQNFCEHGERERLLTELDELREQLLVTLEENFTLSMKNEEQDINTDQELEDCRNMNSKLIREVDKLQTELGNCLNYNQALNSSYEYPDEFLKTNKCSWVETIYMRSDSGDEMPSFTWEAGDALANIIDGNALGSSILLAKDNEDNNSKVMESKLEKMSKNLEEVKLLNDQYQEKWASQLSEKQQIEMVCQEVEMETTRTILHLQDEVASIQSELEGRLCSIAQENTELRNVVAAKEEEVRRLSLEWEKGILELTTFLIDGSRSLKDACGEVKSISCSFPYVNAWITEQVGTAVKKYIEKEETIQQLRNSLEDAQKMVLDMELKISTLKEAAVALSAFEQLDNNEGVEEATQLKVFLNENTKMVRVVENDLNYKNIQLCKAAKQSDAAFVVAKWLSHNYVPLQKNGVEEYISVPGQNVQARQGCCTIYESQDVGNNLILNDLLAQVDLTKLEVLEMENAVKDFFIDTETQIAASQTGALGISSAYRDLIQDLVKYTQEMRKEVRDLRMMVHGSSDCYTVDSLISNENKYQVFADCHLTLCQIKEQLVEMNRRLNIIENDVSAEVHTSSLPMVDVDLIDADEFSADSSSISGFSTETESISSESKLHGSRYTCNLKFPGKITAISCVSKELHCTYTSFRKVFVHLSALLEKLDDGSCSYPNELNKEAVPFPLKMQKDEAHFEYDTEVFGFRDVQPADGFLTNFMEANATVKEADLMLHALTEAFEDSKQVTVMWKQAGENLMVERASLADDIQKLKLTICQKEEENQLLKEYIHVSLTEMTNSVSMLEECFLQVQTDVEKRFMMMYPDVLVAGQEMLYFINSLRSSVEDICSQIVGEGFVSFVVSNSRIIEHVSKCTSICVKHDFKTNRQGGLHSYPKNCSSGAEPVKSIGNEGTWKKDQYGNVQEEPDLSNVGVKYENTALRKELERKQELLEGLLFDFRLLQESTSNSKDIKDHTEKLIFSLSQVQYELEIKQSQLDDMLVKNRTLEGSLADIEKALTASNYELELAKESTDKLSDQNTELRELLKEFYGKKSEAEGQLDEHKEVIKGLEKEIANLTALLENQSLSLFENIDDELRIVINERNQLQEEVCALNDKLEMAYSFVDEKEAIAIEARQESESSKLYAEQKEEEVRILEHSVEELESTVNVLEKKVHEMDEEVEGHRLMCGSLEMELHAVKERLLLVSNLSQNADSDRMSVQTGEQISSKMLEPHEALNRIKHLEKENAEQDKEIKKCKEYISEIVLHAEAQASQYQQKYKCLESMFREVKTEMSYSASEKFEKSSTRTRGSSSPFRCISNIVQQMNQEKDQELSAARLRVEELEALAAGRQKELCMLQARLGAAESMTHDVIRDLLGVKLDITNYAELIDQNQILKLVEDAHHQREEFSAKEKENLDLRLRINDLFEERESCVMELKTKEADILATQIEVQQLQERDQLLSAQNEMLKMDKTSLLRKVAELDDMDKGALNMGNVGVSRRLSQSQRLSRVNDQLAQYYNSAGNNSHG >OIW20487 pep supercontig:LupAngTanjil_v1.0:Scaffold_24_2:384:9081:-1 gene:TanjilG_13553 transcript:OIW20487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELTPKLTPRGRTQGHVLTFDRDADSYVEEDKELQSKWAAIEKLPTFKRIQTSFVDQDEEGGSTSKEVDRKRVVDVTKLGAVEKRLFIDKLIKHIQNDNLQLLQKLRERMERVNVKLPTVEVKYKNLHVEAECEVVQGKPLPTLWNSFTSSFSGFVKTVSCNTQGANVSILNDVSGIIKPSRLTLLLGPPGCGKTTFLMALAGKLDQSLKVSGEISYNGYKLDEFVPQKSSAYISQYDLHVPEMTVRETIDFSARCQGVGSRADIVAEITRKEKEAGIIPDPDIDTYMKAISVEGQSENLQTEYVLKILGLDMCADTLVGDALEIGISGGQKKRLTTGEMIVGPIKALFMDEISTGLDSSTTFQIVTCLQQLAHITDATAVLSLLQPAPETFELFDDLILMAEGKIVYHGPRSQALQFFNDCGFWCPERKGVADFLQEVISKKDQSQYWYRMDIPYNYVSVDQFSEIFKESYWGRMLYDELSQPSDKSQFHKNALSFSKYSLGKWDLFNACMKREVLLMKRNSFIYVFKTVQLTITAIITMTVFIRTQFSVDLISANYLLGSLYYTLVRLMTNGVAELIMTITRLPVVDKQKAFYLYPAWAYCLPASILKIPFSVLDSIFLLLVVLHMSSTSMCRCLASIFKTDVTASTVGSLVLVLMFLFGGFILPRPSLPSWLRWGFWLSPMSYGEIGITLNEFLAPRWRKIEEGGITIGRKVLINHGLDFHASFYWISVGALLTFTVLFDFGFILALTYLKQPKMSRALISKKRLSQLRGEKGNNVELKSIEVDIKHTPRGTQSTGKMVLPFEPLTIAFKDVQYFVDTPPEMRKHGSNEKKLQLLCDITGAFRPGILTALMGVSGAGKTTLMDVLSGRKTGGVIEGDIRIAGYPKVQKTFERVSGYCEQNDIHSPYITVEESVTYSAWLRLPREIDSVTKKKFVDEVLETIELDDIKDCLVGIPGQSGLSTEQRKRLTIAVELVSNPSIIFMDEPTSGLDARAAAVVMRAVKNVVGTGRTTVCTIHQPSIDIFETFDELILMKSGGWIIYSGMLGYQSSKLIEYFQSIPGVPKIKDNYNPATWMLEATSASIEEELQVDFAKIYKDSNLYQETFELVRELSQPWPGSSDLHFSTRFPQNILGQFMACLWKQHLSYWRSPEYNLTRFIFMVAAAVIFGAVFWQKGDKINNQQDLFNVLGSMYISVIFLGVNYCSTILPYVSTERSVLYREKFAGMYSSMAYSFAQVTIEVPYILLQSILYVAITYPMIGFHWSVQKVFWYFYSTFCTFLYFVYLGMLIMSLSINLDIAAVLSTAVYTIFNLFSGFLMPGPKIPKWWVWCYWITPTAWSLNGLLTSQYGDMDKEILIFGEKKEVGIFLKDYYGFRHDRLSLVAVVLIAYPIVYASLFAYCIGKMNFQKR >OIW20489 pep supercontig:LupAngTanjil_v1.0:Scaffold_24_2:22027:28809:1 gene:TanjilG_13555 transcript:OIW20489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMAHTKKLIPNQHLELDEILREAERRWFRPAEICEILRNYQKFKLSSNPPIRPPAGSLFLFDRKALRYFRKDGHRWRKKKDGKAVREAHEKLKAGSVDVLHCYYAHGEDNENFQRRCYWMLDEQLEHIVLVHYREIKEGYKSGISHLPVVPETLAGSSRSSSAPSDVKTNSPISVVQTSFTSSAYRVGQNGRISEYEDADSESGPRASSHAQFTSNSIAHTAPLLANEAIGFSELSGNPLIHLPSLQSFYPGTGLSPWPSAQNSSRNTINMHDRELLLERSTEGTEDKRFDSDDGIVFSDSLVSDIYIQPVAEMSQNVNQVQKERDLDSFHAHSNHTVVATTTTLIEQKLQDGCTRTDNDEPQHVEYLELKQLGSFGRWMDNDIGGDCNNSFTASDSANCWNMLEAHNEDKEVSSLHQMQLDMDSLGPSLSQEQLFSIHDFSPDWAYAGVRTKVLIVGTFLGSKKLSNEIKWGCMFGEIEVSAEVLSENVIRCYTPLHSPGRVPFYVTCSNRLACSEVREFKYCENPTNFVGPVGIKITPEEELQFQMRLLKLIDLGPDKKWLKCSVVECEGCKLKGALYSIRDDSGVSQESFQIDGGDHMNPRDVLFQRLTRDKLYEWLVFKVHEGGKGPHVLDNEGLGVIHLASALGYVWAMSSLVAAGISPNFRDAQGRTGLHWASCFGREETAIALLKLGATPSAVEDPTSAFPQGQTAADLASSRGHKGIAGYLAEADLTSQLSTLTVNENVIDNVATTVAADSDFESTGADSSYMTMDEQHHLKESLAAFRKSALAAASIQAAFRARSFRQRQLSKSSNDTSEAAFDLVADASDKVQKMAHFEDYLHSAALSIQKRYRGWKRRKDFLKIRNRIVKIQAHIRGHQVRKQYKKVVWSVSIVEKVILRWRRKGAGLRGFRVEQPVGVVAKDAEYEFLSIGRRQKSDNVNKALDRVKSMVRNPEARDQYMRLVMKYQNLKIGEDGSSESQHVD >OIW20491 pep supercontig:LupAngTanjil_v1.0:Scaffold_24_2:44905:45693:-1 gene:TanjilG_13557 transcript:OIW20491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPLLAPFTIFKLALLTLQLQAIPITLTLSPCKNSCGNIPINYPFGIEDGCGAPQFRHMLNCTNDLFFQTPSGAYKVQSIDYDKKSMTIYDPAMSTCSILQPHHDFVMTDIQSAIIPPSQDTVFVLLNCSIDSPVLNHYKYLCFNFEGHTCDELYGSCNAFRVFHLFSNSSPPCCFTSYNTVKFMSMNILDCTHYTSVFNTNNLKGVGPLDWFYGIKMSFSVPDTGCEICKQSGGTCGFDTDTEGLLCLCSTSNNSTRQCGK >OIW20488 pep supercontig:LupAngTanjil_v1.0:Scaffold_24_2:15063:16244:-1 gene:TanjilG_13554 transcript:OIW20488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAETFLFTSESVNEGHPDKLCDQISDAVLDACLEQDPDSKVACETCTKTNLVMVFGEITTKANIDYEKIVRNTCRNIGFVSDDVGLDADNCKVLVNIEQQSPDIAQGVHGHLTKRPEDIGAGDQGHMFGYATDETPELMPLSHVLATKLGARLTEVRKNGTCHWLRPDGKTQVTVEYYNDKGAMVPIRVHTVLISTQHDETVTNDEIAADLKEHVIKPVIPEKYLDEKTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYIVRQAAKSIVASGLARRTIVQVSYAIGVPEPLSVFVDTYGTGKIPDKEILKIVKENFDFRPGMISINLDLKRGGNNRFLKTAAYGHFGRDDADFTWEVVKPLKWEKV >OIW20492 pep supercontig:LupAngTanjil_v1.0:Scaffold_24_3:3221:86309:1 gene:TanjilG_13558 transcript:OIW20492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSSNGREGKKTMFPKTEDDLDYDEFPPYSTFSANVGDNVASSSGGKLRAFFIGMGFLPSLVDKVIEENGEDNSDMLLEVLLRSSAQKLNSDSSESLDGSLNTRGKRIAPNFFPVGHSDEALRKSNSQSSDSLDSLFDDKDPPEVSTVNQPKEEPDELIDDKRRSLLMMNFSAMEVDFAISKLGSEASVSELVDFIFVAQIAEKFKKETDDNDITRDDIFAAKIAQKFKTETDDVICLGVGEVSNEKLFGIMAKTLHLLDMGFSENEVSSAFDRLGPEVPVSEYANYIFAQQNGTEYVMEYKFPRTSAYYMGIKVEPETDIYGTAEVKVESFSHEPSHSRQVNFGATYSGNGVKKEDCIDELPNAASNMRFSDFVENDRGKRPKYEYDDDSSFLLDPYWVEERFDADVAGMSELYKPNKPRRLTNVAAKPPFFLYGNISNIPSDSWAKLSQFLYAIEPEFVNTQFFSALTRREGYLHNLPTENRSHIVPPSPMTIEDAIPQTKKWCPPWDSRKHLSCINSEIDGISQVCDKLGKMIADSGGVPSSEQQRDILRYCRGLNLTWTGKNKLSPIEPEQLEVILGYPLNHTRGVDNNVTERLKSLKYCFQTDTLGYHLSVLKPLFPDGLTVISLFNGIGGAGIALHRLGIKIKALVSVETSETKRKVAERWWRSSGQKGNLVQLEDIQKLTTKTLGNIVSKYGVIDLVISQHPTSSSSSSVHPGQSLSAVDFSLFCEAVRVLQRVLHLLRRYLGEYVHGLSAEALRISVWKGDVVLKDLKLKAEALNALKLPVTVKAGFVGTITLKVPWKSLGKEPVIVLIDRVFVLAHPAPDSRTIQEEDREKLFQAKLQQIEPSSGNSWLSSLISTIIGNLKISISNVHIRYEDTVSNPGHPFSSGVTLAKLAAVTMDEQGNETFDTSGALDRLRKSVHLERLAFYHDSDRLPWEIHKRWEDINPNEWIEIFEEGINEPTDDHKLVSKWAMNRTYLVYPINAVLQYHRLGDQERINPEIPFEKVSLVLADVSLTLTEAQYHDWIKLLEVVSRYKIYMEVSHLRPVVPISKAPYLWWQYAAQATLQKQKMCYRLSWDQIRHLCQRRRRYIHLYVASLQHSAHVNLTEIREVEKDLDSKVILLWRLLAHAKVESMKSKVAAEERRIKKKSWFSFGWGADTEEASFDDASEGIHLKEEKLTKEEWQAVNKLLSYQPEEELIVRSAKDMQSMVQFLVTVSIGQAAARIISVNQMEIACIIFEEGINEPTDDHKLVSKWAMNRTYLVYPINAVLQYHRLGDQERINPEIPFEKVSLVLADVSLTLTEAQYHDWIKLLEVVSRYKIYMEVSHLRPVVPISKAPYLWWQYAAQATLQKQKMCYRLSWDQIRHLCQRRRRYIHLYVASLQHSAHVNLTEIREVEKDLDSKVILLWRLLAHAKVESMKSKVAAEERRIKKKSWFSFGWGADTEEASFDDASEGIHLKEEKLTKEEWQAVNKLLSYQPEEELIVRSAKDMQSMVQFLVTVSIGQAAARIISVNQMEIACIRFEQLQVSTKFKHRSVYCDVLLKFYGLSSPEGSLAQSVYSEKKVNALVASFVHLPIGENIDWRLSATIAPCHVTVLKESIDHVLEFVKRSKAVSPTVTLETATALQLKFEKVTRRAQEQFQMVLEEQSRFAFDIDLDAPKVRVPLRTSSSGRCDSHFLLDFGHFTLHTAESQSDERRKNLYSRFYIAGRDIAAFFTDCGSDFGNCSLVKPNHDSQMMSSPIVDKIENVYSLIDRCGMAVLFNQIKIPHPRYPSTRISIQVPNLSIHFSSERYFRIMELLNILYETMETYSLSTADSFEAKLYPWSPSDLAADGRILVWKVFSQIFGKLAVVTYKKLIMFILQGIGNSVATWHPCFLVLSGSYLYVFESAKSQSYQRYLSVCRLFDIEFLSMRKFCYLFLALCSMAGRQVLEVPPTNIGGSPFCIAVSVRGMNIQKAPPSVNVLSGREGDAASYSVLNTTNQKAADIVINGAVVETKLFIYGKVGDTIDGKHDESLILEVVVDGGKVNVILSDGDLTVKMKLHSLKIKDELLGCLSGVPRYLAVSVLNNETLSSSPVSFDSHGKDAPFGFPDDDDSFVDALSEFISQADGGNHLHNMDLGQKGRMMITTDFESLENLIHEKEIEKGKNTPHEIYFEAEGSDHSNFVSVSFATRSSGSPDYDGIDTQMSVSMSKLEFFCNRPTIVALISFGLDMSSGNNVTSHTDTLATSPEGPLVKKEKADEKGRVKGLLGFGKERVIFYLNMNVDSVTIFLNKEDDSQLATLIQESFIMDLKVHPSSLSIDGTLGNFRLCDTSLGTDQSWDWLCDLRNPGVDSLIKFKFISYSAEDDDYKGYDYSLQGHLSAVRIVFLYRFVQEITVYFMELASPHTEEAIKLVDKVGGFEWLIQKYEIDGATALKLDLTLDTPIIVVPRNSISKDFIQLDLGKLHVKNEFSWHGSHEGDPSAVHIDLLHAQILGINMSVGINGCLGKSMVRECQGFDIFVRRSLRDVFRKVPTFSLEVKVDSLHGIMSDKEYKVILDCTYMNLAEEPRLPTSFREGKSDSKDTIKLLVDKVNMNSQLLLSQTVTIIGVVVNHAFLELCNGPDGESPLAHISLEGLWVSYRMTSLSETDLFVTIPKFSILDVRPDTKPEMRLMLGSSSDASKQTVTGKVPFPFNTGSFRRTSSEVKIDVDMPISTMFLMDYRWRMSSQSFVIRVQQPRVLVVPDFLIAVAEFFVPALGALTGREETMDPKNDPISRTSNIVLMESVYKQTEDMVHLSPSKQLVADCVGIDEYTYDACGKTICLSIETDARDVRSTRFRPIIVIGHGKRLRFMNVKIENGFLLRKYTYLSYDSSYSISTEDGVDIVLEDNLPSGDEKRLMNQTSGTSVYSQSESSGTQSFSFETQVVSSELTFYDGSKSFLDDTSYGEKLIRAKLDLSFMYSSKEKDAWIRALVKDFTLEAGSGLIILDPVDISGGYTSVKERTNISLLSTDICIHLSLSAVSLILNLQSQASSALSFGNATALVPCTNFDRIWVSGKGTSPDNITFWRPRAPANYVVLGDCVTSRSIPPSQAVMAVSNMYGRVRKPVDFHLIGSFLDIQGDGGGEENSVDDSDCSLWMPIAPPGYTALGCVAHVGNQPPPNHIVHCLRSDLLTSTKCSDCLFNIPPNNQLTSGFSIWRLDNAIGSFLAHSSTGCPLNDKCYNLNHLLVWNSNRVPLIGSVSDFNSDPENNNQQTSKSVNTSGWDILKSISKATNCYMSTPNFERIWWDKGSDLRRPVSIWRPIARRGYAVLGDCITEGLEPPALGIIFKNDNPDISLKPVQFTKVSHIGGKGVDEIFFWYPIAPPGYVSLGCVVTRTDEAPRADLLCCPRMDLVSQANIHEVPLSRSSSSRAPQCWSIWKVENQACTFLARSDLKKPSSRLAYIIGDSVKPKTEENINAELKLRFFSITILDSLCGMMRPLFDTTITNIKLATRGGLQGMNAVLISSIVASTFNAQLEAWEPLVEPFDAIFKFETYDNNAQSQLGLGKRVRISATSILNVNVSAANLESFVGSILSWRRQLELEQKASKLHTGVGGLQSKGEDASFSALDEDDLQTLILENKLGCDIFVKKVEHGEEIVDKLHHGDCTSVWTPPPRFSERLNVADESREARYYIAVQILEAKGLPIVDDGNSHNFFCALRLVIDSQASEQQKLFPQSARTKCVKPVVSRINGWEVGTVKWNELFIFEVPRKAPAKLEIEVTNLAAKAGKGDVVGSLSFSVGHGANVLRKVASVRLFHQPYDFQNIRTFPLSRMVEQSNVEAMHNGCLFASTSYFERNTIAKIQQDMESKNVVDGDIGFWVGLGPEGEWESVRSLLPLSVVPKSLNTEYIGMEVVMKNGKKHAIFRSLVTVVNDSDVVLNILTSLASYGSDPLLVANSSNNVVEEVFQNQCYSSSGWGSNWPAVHLDNPGHWSTRDFSYSSKDFFEPPLPPGWKWASGWSIDKFQYVDKEGWAYGPDLKKLKWPPTSSKFSTKLAADVVRRRRWVRTRQTFSDEGTECLQSGANTIQPRASAVLSWRSTSKDSNQCLQVRPNFDSSQPSYSWGRAVAAGSSYIYSKDNLLDPGSRQNSVTPSLKLNELEKKDILLCCNPSSGSKQLWFSVCSDATILNTALNVPVYDWKISINSPMKLENRLPCPAEFSILEKTKEGNCVELHHGVISSRQSVHIYSADIQKPVYLTLFVQGGWVMEKADPILVLDPSFSNHFSSFWMIHRQSRRKLRVSIEHDMGGTSAAPKTLRIFVPYWIVNDSSLALAYRVVEVEPLENAELDAVHLSRAVKSAKTALKSPISSMDRKHSTSRRNLQVLEVIEDNSPFPSMLSPQDYSGRSGVTMFQSQKDTYLSPRERIDVKAFNSDGSYYKLSALLNMTSDRTKVIHFQPHTMFVNRVGCSLCLQQCDSQSAVWIHPTDPPKSFQWQSSAKVELLKLRIDGCKWSMPFSVSYEGVMRISLKKDVGDDPMQLRVSVRSGAKKSRFEVIFRPNSLSSPYRIENRSMFLPIRFRQVDGLGDSWQLLLPNSAASFLWEDLGRRRLLELLVDGTDPMKSLKYDIDEISDNEPISAADGPTRALRVTIVKEEKINVVKISDWMPETEPTGVLGRRNLSSISDSQKQQLMSISDSEFHINFDLAELGISVIDHTPEEILYLSVQNLVLAYSTGLGSGISRFKLRMTGLQVDNQLPLTPMPVLFRPQKAVGETDYILKCSVTMQSNGSLDLCVYPYIGFHGPESSSAFLINIHEPVIWRLHEMIHQVKLSRLYDSQITAASVDPIIQIGVLNMSEVRFRVSMAMSPSQRPRGVLGFWASLMTALGNTENMPVRINQRFHENITMRQSAMISMATSNIQKDLLGQPLQLLSGVDILGNASSALGHMSKGVAALSMDKKFIQSRQRQENKGVEDFGDVIREGGGAFAKGLFRGVTGILTKPLEGAKTSGVEGFVQGVGKGIIGVAAQPVLSQTLNTNENKGVEDFGDVIREGGGAFAKGLFRGVTGILTKPLEGAKTSGVEGFVQGVGKGIIGVAAQPVSGVLDLLSKTTEGANAMRMKIASAITSDEQLLRRRLPRVISGDNLLRLYDEYKAQGQVILQLAESGSFFGQADLFKVRGKFALTDAYEDHFMLPKGKVLIVTHGRVILLQQPSNIIAQRKFIPARDPCSILWDIMWDDLGTTELTHGKKDNPKGLPSQLILYLQSRSMDMKENFRIVKCTPESRQALEVYSSIENASSTYGPGASKGILKNKVTKPYSPVAADGPSSSVDLIAKDGASPWSSQQMPGSAPVSSTFGSRTDHND >OIW20495 pep supercontig:LupAngTanjil_v1.0:Scaffold_24_4:29842:33969:-1 gene:TanjilG_13561 transcript:OIW20495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTFNSSILFFFLFALISIPSSHCRTFQINGESLIEKTCKKTPHYNVCIQSLYSTPGSVDVRGLAQIMVHVLNAKVNDALNKIHELQKAGTEPKQGLESCARKYNTMLVADVPKAIQSLKKGGDGMVATKVTNDDAKEATICESETHGPLTTENKAVFEWKAMADKDEELEIPRDAKIVQSLLKSMGVEDYEPRVIHKFLELWYRYVVDVLTDAQVLLELAQNRNKIPLPKSIAGPGVNLPPDQDTLISPNYQLAIPNKRRAEPIEETEDEEAVNPNPSQEDRMDMQIQQNPHQRVSFPLPKRQRD >OIW20496 pep supercontig:LupAngTanjil_v1.0:Scaffold_24_4:36856:37353:1 gene:TanjilG_13562 transcript:OIW20496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNLKLLSLILCTVVISTNANLIEETCKKTPNHDLCVHHLQADPHSSDADVTGLALIMVNVIKTKANTSLTKIHQLLQGNPQPGQKEALNSCSGRYNAILVADVPQAIAALKKGDPKFAVNSANDAAIEATSCEKSFSGKSPLTNDNNSMNDVAAVTAAIVKILL >OIW20494 pep supercontig:LupAngTanjil_v1.0:Scaffold_24_4:24058:28232:1 gene:TanjilG_13560 transcript:OIW20494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPCSRILSSYRSSLLFGVSPTKFNGSSSTIKGLFSKLHNPKSTHSHKYHRCDSQILGFIRLMNLNRRDFSVPDSNWACSRNFSTRFCGNLCNVRPRVVSLIPNVSSGIRNQSTSVDPHVNDTSFDKIFIQSGLNAKPLVVERNETDQGKLEEVAEERSDGSCVNIDNLEDLNKSKVESELSEIEKEAWKLLRSAVVTYCGNPVGTVAANDPADKQPLNYDQVFFRDFVPSALAFLLNGEGEIVKNFLLHTLQLQSWEKTVDCYSPGQGLMAASFKVRTVPLDGNNEAFEEVLDPDFGESAIGRVAPVDSGLWWIILLRAYGKLTGDYALQERVDVQTGIRLILKLCLTDGFDMFPSLLVTDGSCMIDRRMGIHGHPLEIQALFYSALRCSREMLTVNDATKSLVAAVSNRLSALSFHMREYYWVDMKKINEIYRYKTEEYSMDAVNKFNIYPEQIPSWLVDWIPEEGGYFMGNLQPAHMDFRFFTLGNLWAIVSSLGTTRQNKEILKLVETKWDDLVSQMPLKICYPALEGEEWRIITGCDPKNTPWSYHNGGSWPTLLWQFTLACIKMGKPELAQKAIDVAEKRLSVDRWPEYYDTRNGKFIGKQSRMMQTWTIAGFLTSKMLLKNPEKASLLFWEEDFELLQNCVCMLNKTGRRKCSRFAARAQILV >OIW20498 pep supercontig:LupAngTanjil_v1.0:Scaffold_24_4:41566:46462:-1 gene:TanjilG_13564 transcript:OIW20498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKNEELPIPIYNKLEPVYGDDSQLEEAQLRFNTLKSKFLQFFGQTPQLFARSPGRVNLIGEHIDYEGYSVLPMAIRQDTIIAIRKNESENVLRIANVNDEKYPLCTYPADPNQELDLKNHKWGHYFVCGYKGFYDYAKLKGVDVGKPVGLDVVVDGIVPTGSGLSSSAAFVCSSTIAIMAAFDVNFPKKEIAQVTCDCERHIGTQSGGMDQAISVMAKTGFAELIDFNPIHATDVQLPAGGAFVIAHSLAESQKAVTAATNYNNRVVECRLASIVLGIKLGMKPEEAISKVSTLSDVEALCVYFAGTHNSSDPVLAVKEYLKEEPYIAEEIEKITGEKLTSFLGNNAAFVDVIKAAKQYKLHQRAAHVYSEAKRVHAFKDVVSSNLNDEEILNKLGDLMNESHYSCSVLYECSCPELEELVNVCRDNGALGARLTGAGWGGCAVALVKENQVPQFILNLKESYYQSRIDKGVIKKNDLGLYVFASKPSSGAAIFKF >OIW20503 pep supercontig:LupAngTanjil_v1.0:Scaffold_24_4:103865:110928:-1 gene:TanjilG_13569 transcript:OIW20503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQALMNLLSLCWKPFGHGAADIGVTGSSLSGGGGGGGGREGKDGLLWFRDVGKYGSGDFSMAVVQANQVLEDQSQIESGPLGTFVGIYDGHGGPDAARYTCDHLFRNFKAISAESGGVVTAETIERAFRQTEDGYTALVSDLWSTRPPIANAGSCCLVGVIFQQTLFVANLGDSRVVLGKKVGNTGGMAAIQLSTEHNANLEDIRNELKGLHPNDPQVVVLKHGVWRVKGIIQVSRSIGDVYMKKEQFNCEPLNPKFRLPEPMNMPYMTANPTIFSHPLQPNDSFLIFASDGLWEHLSNEKAVDIVNSNPHVGSAKKLVKAALHEAAKKREMRYSDLHKIDKKVRRHFHDDISVIVLFLNHDLISRGTVLDPPLSIRSALNH >OIW20497 pep supercontig:LupAngTanjil_v1.0:Scaffold_24_4:39514:40077:1 gene:TanjilG_13563 transcript:OIW20497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSTSASMSSFALYILLFSSVLFTPTHSSVLLKDEGDGDLVDQICKRTPFYDLCSKILHSNPLAPKSDPKGIALIMVNNILANATDTLSYIEELIKHTSDQQLEQDLAFCAESYIPVVKYILPQAAEAISQGRFGFASYSIADAEKEVDACNKKFPGSTQSPIGDRNSIMQKLVDVASAIVNLLLNG >OIW20493 pep supercontig:LupAngTanjil_v1.0:Scaffold_24_4:17189:18910:1 gene:TanjilG_13559 transcript:OIW20493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIEESMVVKGRDNNNNIGSEEEMDFRRGPWTVDEDLSLINFIANHGEGRWNTLARSAGLKRTGKSCRLRWLNYLHPDVRRGHITLEEQLLILELHNQWGNRWSKIAQYLPGRTDNEIKNYWRTRVQKHAKHLKCDINSKQFKDTMRYLWMPRLVERIESAAVTATTVPTTVNKSSPTLSTTTNNAYTYSNNLSKKFEVGNSSTAASSNTFETNEVSPISDLSTDYYNDPISGNNHNPQDYYQPSEVNYDYITSPYGLFDFQAMEPNTPWIQSGDTPDNFWNVDHQFLQQQLMNDIM >OIW20499 pep supercontig:LupAngTanjil_v1.0:Scaffold_24_4:56643:58868:-1 gene:TanjilG_13565 transcript:OIW20499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEKREKAEPNTMANSVASTFSDEIPNMNNNNFIFPFSSNTSIFDIMPLAPSSISCDQKVSSSSFGSGFMDLLAVHDYNPFLFDCVATTNTTTTTQIENYHHPLPSPADGSEVLNTPASPNSTSISSSSNEAATAAAATISNINELLSGKAENEEDEAEDEDGYGSRGDDYDQQDKTKNQLKPKKKNQKKQREPRFAFMTKSEVDQLDDGYRWRKYGQKAVKNSPYPRSYYRCTTAGCGVKKRVERSSDDPTIVVTTYEGQHTHPCPATSRASLGFMQYRNSGVLGSHHHFVLPHHHQQQFHQALSYDSTTPPLNSTSEFANSSSFSALFQDQENHHQGFVPSRTTFLRDNGLLQDIVPTQMRKEKKEEDE >OIW20500 pep supercontig:LupAngTanjil_v1.0:Scaffold_24_4:83094:86227:-1 gene:TanjilG_13566 transcript:OIW20500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSTGSGTLVAERRGIPGAQFVEDVQTYLTQLGLDVNSSLSFLQERLQQYKLVEMKLLAQQRDLQAKIPDIEKCLDVVATLQAKKGTGEALTADFEVSEGIYSRAKIEEADSVCLWLGANVMLEYSLEEATDLLQKNLDNAKASLEVLVTDLQFLRDQVTITQVTIARVYNWDVHQRRIRQVGAPPSQD >OIW20502 pep supercontig:LupAngTanjil_v1.0:Scaffold_24_4:98548:98958:-1 gene:TanjilG_13568 transcript:OIW20502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIYLFQTLSLEEASTEESGISSVLTDCFGAFELNSATFSSVDLLIAENSPTKVQPKVHDSIIADPRYRKLMNEITKHVMEELYESIQPKDSKCIDHVLHAKNRMLFPCLCIWIIVVFALFFFTSDIYCPPSGQFPT >OIW20501 pep supercontig:LupAngTanjil_v1.0:Scaffold_24_4:95588:96202:-1 gene:TanjilG_13567 transcript:OIW20501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSVISNPVMITDRSRDSKRKMKKKSNLKHHQQDSITPTKWKSQTQQHIYSSKLHQALTTSPPPRGLKAVREAADRVLAATAKGRTRWSRAILTNRLKLKFRKQKNNKRQKLTTAATGISRWRKPRVSVLRLKGKGLPAVQRKMNFLGRLVPGCRKESLPVILEETIDYIPALEMQIRAMTALFNLLSASGDAASTTIPPG >OIW20505 pep supercontig:LupAngTanjil_v1.0:Scaffold_25_106:6199:12512:-1 gene:TanjilG_13999 transcript:OIW20505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQRSPPLPTVEPSHPPSPEPDSHKEQPATAAPPATTRSNRPSRACTLRAAARIRSASQQEAATIRRRPKAAKKEQQRHHEEDSPPPSPRQQQCSKIVTPLVEPPPPSLLPRWNLRSMWELASVLNFLNLFRDILNISVEFSAEEFETALLNPNDTLGDIHMPLLKVANGDIPIVASHGAEIEVYKSLDPGVRVVILKALCDIRAEQEDIRSYIDNSIKHGVQLSTFRKERIGGDSHGISYWYEDDPIIGHRLYREIRKTEVVQVKKGKARGSQVLSNTSYQWEAVATNFDEFQDVSEKLLSSKNRMEASVGKRLKIDMLPEIEKVHKRKEKLLKKQHREALLLDNFYGVDGLAPGRSLRDRKPVTYTYDDYDRSINEAIKITKNKQPSPEHIPRREVRAKPETLTNGKWSGSSPAPQDLNFRMSSPKSPDFNDEEDEDQPDTFDRRKRQRPQRYSEKEFVAMSDNDADFDTDNDDDDDIVGEAVYDEEYLRKRKQKKVSSGSASEGDEEYHWDEDSVEDEDEEDEDEDSLSISEDSDKPRKFKQLRGRTRRETKKRSVGEIQSGLRRSKRATRNRINYHQYEMSDSEAEFDKPEKSNNASDDHSDPTEEGDYMIDSEDSDDNEDHEIKVVEPVTNPLTDIENECPAVGENEHNQNENRPPEKSSSPGQEEADDGMKKRRFLDLNELAPSSGFDDGLTKDEGNDDY >OIW20506 pep supercontig:LupAngTanjil_v1.0:Scaffold_25_108:40686:41915:1 gene:TanjilG_14004 transcript:OIW20506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYQISYYGGSSSSHTNNLQPMLSLSSQRSLASIPSLNNNSQSHDPHHHCLTILKGHNSYISSLTFSDKILFTGSSDREIKSWNPIPYYSELDLQNKNAGSISSTINTVLAGKGAVKSLVFQSDKLFSAHQDHKIRVWKISSINDEPEHQKYTRLATLPTLGDRALKMLIPKNHVQIRRHKKCTWVHHVDTVSALALSKDGGTLLYSVSWDKTIKIWRTSDFTCLESVNNAHDDAINAVVVSYDGRVYTGSADNTIKVWEKCQGEKKHSLIDTLEKHNSGINALALSSDESLLYSGACDRSILVWEKVEDERKMVVVGALRGHKKSILCLCVVSDLVCSGSADKTIRIWRGFGREYSCLVVLEGHMGPIKCLTAIVDHSNSSESEASFLVYSGSLDCDIRVWQIFVPAV >OIW20507 pep supercontig:LupAngTanjil_v1.0:Scaffold_25_109:76619:81037:1 gene:TanjilG_14005 transcript:OIW20507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKRCKVCVTGGSSYIGSYLIKKLLEKGYIVHTTLRNLNDEAKIGILRSFPEANTRLVLFKADIYKPHEFEPAIKGCEFVFHIATPYEHQMDSQFKSTSEAAVAAVKSIASYCIESGTVKRLIYTASLLAYSPYKDDGTGFKDYIDETCWTSLNLLNRTIDDDLTNYINSKTQAEKELLSYENGENGSEMEVVKDDETTYQTLKFIEDLDGKIPVVHIDDVCEALIFCTKKPSMHGRFLVAAAYVSSSDVANYYFQTYPEFKLKRKYLEGPKREIKWASTKLKDKGFAYNHDLNKILDDCITCARRIGDL >OIW20508 pep supercontig:LupAngTanjil_v1.0:Scaffold_25_129:1920:2464:1 gene:TanjilG_14006 transcript:OIW20508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSKNMCKMLQEVTLKTMEGASSSKLSWMTSLTVSTELGSDVPSPSRQILGSSLVDVAHPSPMLKHLHMLVLLCASMGKYLKMDGVLSEKVQGAMLKALRDEHVA >OIW20509 pep supercontig:LupAngTanjil_v1.0:Scaffold_25_162:9019:14054:1 gene:TanjilG_14007 transcript:OIW20509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPRIVSRSNNPLKPVSSFLSYTLPLHRSISTNDSPLTIETSLPFIAHNCDPPSRAVTTTSSELMSFFRDMTLMRRMELAADSLYKARLIRGFCHLYDGQEAVAVGMEAAITRKDAIITAYRDHCTFLSRGGTMHEVYSELMGRCDGCSKGKGGSMHFYRKENGFYGGHGIVGAQVPLGCGIALAQKYCKDENVTFAMYGDGAANQGQLFEALNMAALWDLPAILVCENNHYGMGTAAWKAAKSPAYYKRGDYVPGLKLDGMDALAVKQVCKFAKDHALKNGPIILEMDTYRYHGHSMSDPGSTYRTRDEISGVRLERDPIERIRKLLVSHDIATEKELKDMEKEIRKEVEEAIAKAKAYGTDRKEVRVTLP >OIW20510 pep supercontig:LupAngTanjil_v1.0:Scaffold_25_166:7142:9684:-1 gene:TanjilG_14008 transcript:OIW20510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLLQSSWLLLCLVFVLNSFLTIPPCHCQIISPQNIETFYPNETSASKPTPQNLAPPPPRPQPQPSPQAQELAPGPVAASTSTSSKGKIAIAVAASAASTVVVCGILFILYQRCLRKRRRIEMKNTNIVVQGNVFERIDGNLKGMIVDEDGLDVIYWRKLEGKNSKRDLHKEVFHSPKNKEKEDEENDHEENQVTNFKSIQEIPLHRGKSSTSHIKEDEPYRITRIPHNASSSSSSSSASTPLPTFVASDKKQESPIQPYTATLQSSPSPASTLPPLPPPPPITATKSPSPPPPPSKEGGNLKSSSKPVPPPIKQRNSSGKGSMSETRNDEVKLKPLHWDKVNTNNADHSMVWDKVDGGSFSVDQDLMEALFGYVATNRKSPKGKNDSMSPSKAGIPTATRIFLLDPRKSQNIAIVLKSLGATQDEILEALIDGRGLNADTIEKLARVFSPTQEEQSLILEYKGDPTRLATAESFLYHILKSVPSAFKRLNAMLFRLNYDSEILDIRESLQTLELGCKELKSQRLFVRLLEAVLKTGNRINAGTARGNAHAFNLDSLRKLSDVKSTNGETTLLNFVVEGVIRLEGKHIAFNRSLSRSSSRNSNSDVNANSKNNATPSNEDKEREYTMLGLPIVGGISSEFSNLKKAALIDYDSLVGSISALSTRIVEIQKLVSECGNEGGNFVKEFNHLIRGANEELKLVRDEQTRVMQLIKRTAEYYQGGASKGTSGHKFQLFVIVKDFLGMVDQACIEIARNLQKKKTHKASSG >OIW20513 pep supercontig:LupAngTanjil_v1.0:Scaffold_25_332:19243:19671:-1 gene:TanjilG_14041 transcript:OIW20513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHKKHLTEGNLHMTEGNHTLTEGNANVTEADIAHDRGTRSRSDRDKHGTPDAPKLEYEPKIGRDKHGAPDASKLAYEPNIDRDKHGAPDAPKLTVARESGAMGHGAPNLTMEQENKAMGHNASESSLVHISGTMSHKIPKF >OIW20512 pep supercontig:LupAngTanjil_v1.0:Scaffold_25_332:7889:11927:-1 gene:TanjilG_14040 transcript:OIW20512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRLSSLSSALNDSKNKKQLLHDKLQSLIKVIAVSLVRSNELEEMRQKLESKKMMMENMSIHCRLAKEDASKQEEQLSSAVQSLLVAGGTLSEANKKLQESSRLLSEEEGHVRLRNLQKMLRVRQQYMTSQISMLYPVKISVGPAQEQELEAYPAGSIAETSAGRKPINQRSLTIQGLHLTMTSFRKMSLFNDKKEIQRSATALGYVAHAVSLIASYLQVPLRYPLQLGGSHSYIIDNAPSIELTSSDASSSALSFQNAKHMKFPLLLEGQDMTRAAYAVFLLNKDLEQLLNFIGANSLGPRHVLANLRELLRIIQSSDFIDNLI >OIW20511 pep supercontig:LupAngTanjil_v1.0:Scaffold_25_332:5089:6057:1 gene:TanjilG_14039 transcript:OIW20511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYPILFLFFLSLPFSSAKLNLDYYKATCPNFENVVKENVFIKQTAVSATAPGLLRLFFNDCITDGCDASILIASSAYNRYPERDADPNLSLSGDAFDIISKIKNELELVCPGVVSCSDIVAQATRDLVKMVGGPYYKVRLGRKDSKVSDAKRVDVNLPTTKMTMDQIIEKFTTKNFTIKEMVALNGAHTIGFTHCKEFSDRIYPQVDLTMHPKLAAGLRRVCQNYTVDTSMVAFNDMSSPNTFDNAYYKNILKGLGLMTSDYLLSVDPRTRPIVEEYAKDQQAFFVDFAKAMEKVSVLGVKTGNKGEVRNRCDQFNNNIPE >OIW20514 pep supercontig:LupAngTanjil_v1.0:Scaffold_25_335:3283:6132:1 gene:TanjilG_14042 transcript:OIW20514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGIDLAALSKTQKLRLEKALEELESLSSKVNSDASVTIADSIAVNHEDAILKGHGTADLNGEVVATLCGIVERVNKLIYVRGLRSRYKPEVGDIVIGRVVEVVQKRWKVEINYSQDAILMLSSMNMPDGVQRRRTAMDELNMRSIFEEKDVVCAEVRGFQHDGLHLQARSQKYGKLKGGQLLTVPPYLVKRQKQHFHHLEEYGFDLILGCNGFIWVGEHIEAKDDMVEDQVNQSEPQVLLPNKNATNLEEQEKNYTELETRKYICRAANAVRVLSTLGFNITLEIIKGIIELSLSLNLDIHEMLSSEFCVVVAEKEAERRKSNKRRR >OIW20515 pep supercontig:LupAngTanjil_v1.0:Scaffold_25_335:10128:11089:-1 gene:TanjilG_14043 transcript:OIW20515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPKQFYSVLTIVACIIIWRSSIVGATWCVARNNAIASALQPQLDYACGHGADCSGIQPGGICFNPNNIYNHASYAFDSYYVRMGRAPGSCYFGGTAVIAVTDPSYGRCRYPSSLKRYRYAHFLI >OIW20516 pep supercontig:LupAngTanjil_v1.0:Scaffold_25_349:6229:7108:-1 gene:TanjilG_14044 transcript:OIW20516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPKQFYSVLTIVACIIIWRSSIVGATWCVARNNAIASALQPQLDYACGHGADCSGIQPGGICFNPNNIYNHASYAFDSYYVRMGRAPGSCYFGGTAVIAVTDPSYGRCRYPSSLKRYMYARFHV >OIW20517 pep supercontig:LupAngTanjil_v1.0:Scaffold_25_364:1134:10380:-1 gene:TanjilG_14046 transcript:OIW20517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGIFAYLNYNVNRERRYILQVLFNGLRRLEYRGYDSAGIAIDSSSVSVCAELGSSPVLDSPTRSQPICADPNSFSNSRLTPPPLVFRQEGNIESLVKSVYQEVAEVELNLEESFSIHAGIAHTRWATHGEPAPRNSHPQTSGLGDDFLVVHNGVITNYEVLKETLVRHGFIFESETDTEVIPKLAKFVYDKANEATGDQVVTFSHVVLEVMRHLEGAYALIFKSRHYPNELIACKRGSPLLLGVKEMRENQENGSAFEDNTFMSKDGHPKELFLSSDAHAVVEHTKKVLVIEDGEVVHLKDGGVSILKFENDKGEHVGPLSRVASVRRALSILEMEVEQINKGHYEHYMQKEIHEQPESLTTTMRGRLIRRGSSKSKSVLLGGLKDHLKTIRRSRRIVFIGCGTSYNAALAARPILEELSGIPVSMEIASDLLDRQGPIYREDTAVFVSQSGETADTLLALQYALENGALCVGITNTVGSAIARKTHCGIHINAGAEIGVASTKAYTSQIVVMAMLALAIGGDTISNQARREAIVDGLYDLPNKVREVLKLDQEMKDLAQLLIAEQSLLVFGRSYNYATALEGALKVKEVALMHSEGILAGEMKHGPLALVDENLPIVVIATRDACFSKQQSVIQQLHARKGRLIVMCSKGDATSVCPGESCRSIEVPQVEDCLQPVINVVPLQLLAYHLTVLRGFNVDQPRNLAKSTVIKVAYQCNLYKANCSTNYPPSCKAE >OIW20518 pep supercontig:LupAngTanjil_v1.0:Scaffold_25_366:19325:26576:-1 gene:TanjilG_14047 transcript:OIW20518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMALNLNLFLGSPSMVVVDTSSNARLSSHGSCVYKNGNISNNLSISLRSVSKRKSACFSVRSSLETTGATVTVGQVTEVNKDTFWPIVKAAEDKTVVLDMYTQWCGPCKVMAPRFQELSEKYLDVVFLKLDCNQENKPLAKELGIRVVPTFKILKDNKVVKEVTGAKFEDLVVAIDTVRSG >OIW20519 pep supercontig:LupAngTanjil_v1.0:Scaffold_25_366:34560:40590:1 gene:TanjilG_14048 transcript:OIW20519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFNLKGTLLILFILAVEFCLGSNANLVLPVERKFVGPHISLSQIKAHDARRHGRFLATVDIELGGNGLPSKTGLYYTKIGLGSPAKDYYVQVDTGSDVLWVNCEGCTTCPKKSGLGIELHLYDSKGSNTSEIVPCDGDFCHATYGGQISNCKKGKSCPYSIIYGDGGQTTGSFVRDLLTFNRVNGNLQTGPDSSSVVFGPLANQGTAFCYCSHSGSGLDLSHSRCGTNQSGTLGKSEDDALCGIMGFGQSNSSVLSQLAASGKVKKVFSHCLDNIQGGGIFSIGEVVQPKFNRTSLVPKMAHYNVVLKDVEVDGDLLELPLDIFNTGNGRGTIIDSGTTLAYLPPMIHSQIVTKVLARQPTLKLYLVDEEFTCFHYSDKLDDGFPAVKFHFEGISLIAYPHDYMFFYQSDMYCIGWQKSSSQTKDGKELILLGDLVLSNKLVVYDLENMEIGWTDFNCSSSIKVKDEKTKSVYALGAHKLSSASTVLIGTVLPFFLLLIVMITV >OIW20520 pep supercontig:LupAngTanjil_v1.0:Scaffold_25_372:8610:12432:-1 gene:TanjilG_14049 transcript:OIW20520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPFPPPPLPEFATGINASPPPRPMPMASTFPPSPIAKATLFRCKFFAVANDADKTELSSDPTKESSYSEGDKIVDNMNFGELCNEFECISSPLVESTARQLVRDILELREGNRALGTFAVTVTYKDPVRSFTGREKYKRLLWATTTLNKPSVTVQEMVMLSTSVLRIKWTVRGKPKSFIAGIGDLILRVTSQFTLNQISGQVVQHEEFWDLSASSASTKAFFWASRFLFSTSESVKDLADSAKNLSSNFSTKEENLEIYPDPSGDPTKFFQRDDGFQQDVYQIALLLAVLYLIVQFLRTTL >OIW20522 pep supercontig:LupAngTanjil_v1.0:Scaffold_25_375:18913:19185:-1 gene:TanjilG_14051 transcript:OIW20522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQSNARYNALEARSDVQEQKIEPCYRGIREVYQGLYNDFEADPHFSGGSFDGFDAWTSWPGTDHKVVKGAVAPMPMRTKRCILMMKNED >OIW20521 pep supercontig:LupAngTanjil_v1.0:Scaffold_25_375:14467:14739:-1 gene:TanjilG_14050 transcript:OIW20521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQSNARYNALEARSDVQEQKIEPCYRGIREVYQGLYNDFEADPHFSGGSFDGFDAWTSWPGTDHKVVKGAVAPMPMRTKRCILMMKNED >OIW20523 pep supercontig:LupAngTanjil_v1.0:Scaffold_25_378:9622:15443:1 gene:TanjilG_14052 transcript:OIW20523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQSLIAVSLSHQLFKRNNGALSFFASSPSTSSISRSRSLSFFSQNQKSHNPLTAIKPARVSLSSSLTTMGGETPQNDAGMDAVQRRLMFDDECILVDENDRVVGHDSKYNCHLMEKIDSENLLHRAFSVFLFNSKYELLLQQRSATKVTFPLVWTNTCCSHPLYRESELIDENSLGVRNAAQRKLLDELGIPAEDVPVDQFTPLGRILYKAPSDGKWGEHELDYLLFTVRDVNLHPNPDEVADVKYVTRDQLKELLRKADAGEEGLKLSPWFRLVVDNFLFKWWDHLEQGTLGEVIDMKTIHKLT >OIW20524 pep supercontig:LupAngTanjil_v1.0:Scaffold_25_382:7475:7975:1 gene:TanjilG_14053 transcript:OIW20524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVTTAEVCDANPQLILSGELRALQPIFQIYGRRQVFSGPIVTLKVFEDNVLVREFLEEKGNGRVLVVDGGASLRCAILGGNPVVQAQNNGWAGIVVNGCIRDVDEINGCDIGVRALASHPMKANKKGNGEKHVPINIAGTRISDGEWLYADTDGILISGTELSV >OIW20525 pep supercontig:LupAngTanjil_v1.0:Scaffold_25_384:7753:8070:1 gene:TanjilG_14054 transcript:OIW20525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGKAKPKKHTAKEIAAKADAATTNRGGGKAGLVDRTGLDKGGHAKFECPHCKVTAPDVKSMQIHHDARHPKIPFEEAKVTNLHATVAVAESSKPKPGIRGSLKK >OIW20526 pep supercontig:LupAngTanjil_v1.0:Scaffold_25_386:19457:23397:1 gene:TanjilG_14055 transcript:OIW20526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQDKNKNNNENRNEGIMCCDSKGGGFPAKRSIAERRGFSYNATKIDTSLYRTSTTQMSSSSSALASSLAAGGSSRLTISPGCCISPTSLLDSPIMLSNSQAIPSPTTGTFTQQPLFTNQGSTVTNEQQEKVDDFPIATDAVASVKSKPHDTHDDPNSLPHYSASLNKVSIKYNMIKGRNSDYKQHVQVQTSVDFSYQTDFPKGHDLDVKIVDDAIPNNGEMPMFHSEEHSEESTQPIIASHVGDIEGVQNELSHGTGMVKASEDGYNWRKYGQKQVKGSEYPRSYYKCTQPNCQVKKKVERSHDGQITEIIYKGDHNHIKLHPCRRTSALPTDGMLYMGEANESSANVDGGLVWKNIQSGVRDTKHGMDWKADGQERASPTSAVPEVSDPIYNNKAKSVCMLEPEDTPEHSSTLASHDGDEDAATETMASLEDGAEDDESESKRRKKESQLVESNLSSRAVREPRVVIQIESDIDILDDGYRWRKYGQKVVKGNPNPRSYYKCTSAGCSVRKHVERASHDLKYVITTYEGKHNHEVPTARNNNQISSSDGHLPPGGANGQMALTLTGNGTIPKPETQVQSLASHFDRKHEISNEFLRSNLVGSFNSDMKFGHPSMYQMKYSSLNNTMPYGSYGMNYDRCAAPQAGSPASVFPDFSMPLPLNLPSSGNLSLTGINFNRVKPMDSTYQCFHSGQQVMEIDSGFQRPKQEQKDDKMYDTCLSQVDHANALLASSSASPSIYQNVMPNFPS >OIW20527 pep supercontig:LupAngTanjil_v1.0:Scaffold_25_387:24504:25962:1 gene:TanjilG_14056 transcript:OIW20527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATASPMVSQVKSSFTRPLVTPKGLSGSSPLHLLPSRRQFSFTVKAIQSEKPTFQVIQPINGDPFIGSLETPVTSSPLVAWYLSNLPAYRTAVSPLLRGIEVGLAHGYLLVGPFVKAGPLRNTPYAGGAGSLAAAGLVAILSLCLTIYGISSFNEGAPSTAPSLTLTGRKKEPDQLQTADGWAKFTGGFFFGGISGVTWAYFLLYVLDLPYYFK >OIW20528 pep supercontig:LupAngTanjil_v1.0:Scaffold_25_390:4717:5175:1 gene:TanjilG_14057 transcript:OIW20528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSPNPFHPSSSLLLRRQQRKASTAKETKASVEFKAGVKDYKLTYYTPDYKTKDTDILAAFRVTPQPEVPPEEAGATVAAESSTGTWTTVWTDGFTVLIATKDDAIASSPLLEKKINLLLM >OIW20530 pep supercontig:LupAngTanjil_v1.0:Scaffold_25_390:6700:8922:-1 gene:TanjilG_14059 transcript:OIW20530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYRGLVSCRCHIGSTPFWSRWRHSSSLLHSSKFNSPLGTTLHAHYFKNGSLQILNLANYLLSLYIKSNNMNNAQKLFDEIPHRNNQTWTILISGFARTDSSSEVVFSLFREMQEKGAGPNQYALSSVLKCCSAGNNLPLGKAIHAWMLRNGVYGDVVLENSVLDLYLKCKNFEYGERFFGSMNERDIVSWNIMTGAYLRAGDAEKSLEMFRNLPHKDVVSWNTIIDGLMQCGYERCALEQLYCMVEYGTEFSAVTFSIALILASSLLLLELGRQLHLWVLKFGYSGDEFVRSSLIEMYCKCGRMDKASLVLKDAPQDFLRKQNTGLKSKAEIVSWSSMVSGYVWNGRYEDGLKCFRLMIRELVLVDIWTVTTVISACANAGIMDFGRQTHAYTQKNGHRLDAYFGSSLIDMYSKSGSLDDAWMIFRQINEPNVVLWTSMISGCAINGQGREAIHLFEGMLKQGIIPNEVTFLGVLNACSHVGLLEEGCRYFHVMKDAYCIDPGVEHCTSMVDLYGRAGQIIEAKNFIYENGISHLTSVWKSLLWSCHLHKNIEMGKWVSEMLLQVTPSDSGTYILLSNMCASNHKWDDAARVRSSMHQKGIKKQPGQSWIQLKDKIHSFYMGDRSHPQDEDIYSYLETLTGKLKEIGYSLDVKLVMQDVEEEQGEVLIGHHSEKLALIFGVINTYPGTPIRIMKNLRICTDCHNFIKYASQLLGRQIIVRDIHRFHHFKNGSCSCGDYW >OIW20529 pep supercontig:LupAngTanjil_v1.0:Scaffold_25_390:5639:5896:-1 gene:TanjilG_14058 transcript:OIW20529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSIGTAHLSGSACLKVSSAEFVELVREVIDILEQVSSMLSKFSSGLGNFCISHAIADCLDLCLICHLMTSLGLNLLHKIPKPF >OIW20531 pep supercontig:LupAngTanjil_v1.0:Scaffold_25_400:7178:7981:-1 gene:TanjilG_14060 transcript:OIW20531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVEEKLEGGQGKTSVRRFFSRFCTPIFLESFILTFLAEWGDRSQIATIALATHKNAVGVAVGATIGHTICTSVAVIGGSMLASKISQRTVATVGGLLFLGFSLSSYFYPPL >OIW20532 pep supercontig:LupAngTanjil_v1.0:Scaffold_25_436:408:1658:-1 gene:TanjilG_14061 transcript:OIW20532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSTSNVQSKKQHQRMNPFQKRQFTTIIGNQAKGAMSEQICKKKKITKKCPSMSLDNFLNNNQEYEDEHEGEDEHEGEDEQEEGVQEGEDREENDEIYEVGEDINHQNEQVDESNNSTEQGLGIQLLKSLQYMIVFEVVTK >OIW20504 pep supercontig:LupAngTanjil_v1.0:Scaffold_25_74:2297:2654:-1 gene:TanjilG_13998 transcript:OIW20504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSMEKTASWFVIRAIMALQGIIHGWGHFFFSSPFSLDEGESKESEGYMVRWFAVFFRFKSLFSRGVVGVRYGFGCPFSITLNNDSVWG >OIW21901 pep supercontig:LupAngTanjil_v1.0:Scaffold_260_21:5395:6332:1 gene:TanjilG_14546 transcript:OIW21901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHQEYSIALMIFIVLLMNMNLQGALADYGGWQGAHATFYGGGDATATMEMQEEHVVMGIYIAKVMELTLQL >OIW21903 pep supercontig:LupAngTanjil_v1.0:Scaffold_260_25:9521:13610:1 gene:TanjilG_14548 transcript:OIW21903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTEGSLRLKLKKKAKVVVRVSRNSVRNRGDENSFDSERVYDRENGLKQRGKFRGTESGEEGRALRTRKNENSFDKERVYDRENGLRQRGKFRGMESGEEGRAFRTRKNENSFDKERVYDRENGFKQRGKFKGTESGEGRALRTRKNESSFDKNRVYDRENGLKKRVTFKGMGSNGEGMGREFGENRGKLRTLNSSGRNKRIYAKEERFENGKLRNDGGESKKTLFENLENQVKHELLEEKTDDHRTMWGPGVSWSGGKKTKHSTDKVKDKKENSSIIKKQDQDKPGLAKKDQDRSGLMKRDRDQAKLGGDAEILDDRPKKKKKKVIRIEYPNDISKKRVDDVLDINGSKEENKREPEKEPVMSKNAEFRAIQPSPSILSFVENNLLGKRRLIDLRRAGYNIDLSAPLDNIPFSKSAERERIEENAFKNKLEFFAAAKVSSSFPPPNLPEIAFAGRSNVGKSSLLNALTRQWGVARTSDKPGLTQTINFFNLGAKLCLVDLPGYGFAYAKDEVKENWEELVKEYVSTRVGLRQVCLLVDTKWGMKPRDHELIDLMERSRTKYQVVLTKTDVVFPIDVARRAMQIEESLLGNQSIVQPLMMVSSKSGAGIRSLRTVLANIARFVKI >OIW21902 pep supercontig:LupAngTanjil_v1.0:Scaffold_260_25:5996:7036:-1 gene:TanjilG_14547 transcript:OIW21902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNNKFKLSNMMPNAWFYKLRDMNRSRKQNGSSHHVMNSKVTSPSTSQMSQPRYSHYFSIEPKKVGKLCNSPIYTKYSNITFIDSPRRSSSKRRAIRKTIHSKNNWTKPNHIQSPNYYVSSIKISSESNRNEYDSSESDCDKFSAPNLVNRLSSSTDDIIIDMSNGESFTGNSENLDEFHTISQIELAPILTKPVRFDDKVIEATKLRSSAKLDELHAYQSIARKSRTQREQKTSSIARKSSASSTGIRLRVNSPKLARRSVSSSACKASKNTGFPEGFAVFKSSLDPQRDFRDSMSEMIKENKIRASKDLENLLACYLSLNSREYHDLIIKAFEQIWYGMAQLKL >OIW21904 pep supercontig:LupAngTanjil_v1.0:Scaffold_260_25:17708:18059:1 gene:TanjilG_14549 transcript:OIW21904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCPLRLILIFLSATLAGFFVLRNLRSQPHVEDDDDVVPHTQEKTDSSNPSSIGNSKVRVALESGFWTFVDMASGRYLWRNMVSSSSKRSC >OIW21905 pep supercontig:LupAngTanjil_v1.0:Scaffold_260_27:3031:7728:1 gene:TanjilG_14552 transcript:OIW21905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVSGISSFLHLSFSGKKNSEPVTKYYQRAEEILKLVKPIIDVIVNSELASDEVLCQLFEELGHAVDELREHIENWHLLSSKIYFVIQAEPLISRIRTSGLNIFQQLKAFHDCLPDELSSEHVERCVQKLKHLGRDETSSDIKEAITEQQDGVGSSSEAMTKIADRLGLRSNEEVLIEAVALEKLKENAEQTENTAEAEYIDQIIAVVTCMHEHLVMLKQALSCSPVPVPADFCCPLSLELMIDPVIVASGQTYERVFIKNWIDLGLNVCPKTRQTLSHTNLIPNYTVKALIANWCESNNVKLVDPSKSKNLSQVSVLHGYLESGTTRESPVFAQSRSNQPSSPESAHSHSFCSPGNNLTSGGTQQEGTPPLHPCSTSEGSLSGMVNEQNMDPARTSPTDLVDRFASSDESSVDSTGQPLISPPRRESSSVFSSEQSQTHARTVSDSSALSNAKFPQETQGDDNSAPQLSTSPSYSRDASGELNPGQDAAGAAAVLSPHRQPEFPPRFVERRSRSQAMWRRPSERLGSRIVSSPANETRADLSAIENQVRTFVEGLRSSDFDTQREATAELRLLAKHNMDNRIAIANCGAISLLVDLLRSIDTRIQENAVTALLNLSINDNNKAAIATAGAIEPLIHVLETGSPEAKENSAATLFSLSVIEENKITIGRSGAIRPLVDLLGNGTPRGKKDAATALFNLSIFHENKSRIVQAGAVRHLVELMDPAAGMVDKAVAVLANLATIPEGWKAIGQEGGIPVLVEVVELGSARGKENAAAALLHLCLNSSRFLSMVLQEGAVPPLVALSQSGTPRAKEKAQALLNQFRSQRHGNAGRN >OIW21906 pep supercontig:LupAngTanjil_v1.0:Scaffold_260_27:8764:12100:1 gene:TanjilG_14553 transcript:OIW21906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQDTKHNIAGALTAKPSPPAPAPPTKKVIIKSADMLLDMQKEAVDIAVAAFERCSVEKDVAEQIKKEFDKRHGPTWHCIVGRNFGSYVTHETNHFVYFYLDQKAVLLFKSG >OIW21907 pep supercontig:LupAngTanjil_v1.0:Scaffold_260_29:16503:17592:-1 gene:TanjilG_14554 transcript:OIW21907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVGLSIVLEAQKVSNSSISKKSPQVINKTMMLSNMTKHSPSSAVSLNYLYYPFQEPTFLDLCFLCRKRLLPGKDIYMYKGDMAFCSVECRCQQIFMDEEGTIQKENCSFAAMRPKPSSSSSSAHEQQQQQKQQKGARNCAGGFAYRYRMRNLA >OIW21908 pep supercontig:LupAngTanjil_v1.0:Scaffold_260_31:6553:10166:-1 gene:TanjilG_14555 transcript:OIW21908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVEEKSQKTHTYPTTSEVVEEIKRMGDIGLPIAAMGVVGYLKNMILVVCMGRLGSMELAGGALAIGFTNITGYSVLSGLAMGMEPLCTQAIGSRNFSLVSLTLQRTIFMLLLTSLPICLWWLKLEPFMLCLHQNPDITRVASIYCRFSVPDLIANSFLHPIRIYLRSKGTTWPLLWCTLLSIIIHIPMLISFTFKLHLGVPGIAISSFVANFNTLFFLLSYMFYTNISNKDSLYIPLLKISCPLSQPQNFTSGNNNNNVTTTATTLGKEWGMLIKFSIQSCLGVCLEWWWYEFMTILAGYLHNPRVALASAGIVIQTTSLMYTLPMALSASVSTRVGNELGAGQPERATLSSIVAIGMALTSSILGLLWITLGKNKWGRVFTSDSEVLEMTMAVLPIIGLCEVANCPQTTSCGILRGSARPGVGACINFYSFYLVGAPLAIVLAFVLKLGLVGLCYGLLAAQIACVVSIIVVVYNTDWKRESLKAKGLVGKSSISCDTLVAHGDQTVKCEECVVFLNENNSQK >OIW21898 pep supercontig:LupAngTanjil_v1.0:Scaffold_260_5:24590:28915:1 gene:TanjilG_14543 transcript:OIW21898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIKTISSPSPSSPVTITVSSGEGNRDTRLLNSPVPRASFSGNSNSPLRRRVSSGGVRGSNNYFNSSMSKEDTSTTPTTLEEEMNSEYVTYTVHIPPTPDRQPLTTSQTSLNDENDKNNSNKSYISGTIFTGGFNSVTRGHVIESSMERDEEPLKSVLVCGIKGCDEVAIEGGRCNGVCECGLKICRDCYLECCGGKNNGVSGKCPGCKEPYNYASEDDEDDDDEERVSEAGDQALPLHSMAEFKMDKRLSVVKSFKAQNHPPDFDHTRWLFETKGTYGYGNAVWPKDGYGANGFEEPPDFGEKARRPLTRKVGVSVAIISPYRLLILMRLVALGLFLTWRIRHPNHEAMWLWGMSITCELWFAFSWLLDQLPKLCPVNRVTDLSVLKERFESPNLRNPKGRSDLPGIDVFVSTADPEKEPPLVTANTILSILAVDYPVEKVACYLSDDGGALLTFEALAETASFARVWVPFCRKHQIEPRNPEAYFGQKRDFLKNKVRLDFVRERRRVKREYDEFKVRINSLPESIRRRSDAYNAHEELRAMKKQIENGSIVSEPIKAPKATWMSDGSHWPGTWASAEPDHSRGDHAGIIQAMLAPPNVEPEFGAEVDADNLIDTTDVDVRLPMLVYVSREKRPGYDHNKKAGAMNALVRTSAIMSNGPFILNLDCDHYIYNSLAMREGMCFMLDRGGDRICYVQFPQRFEGIDPSDRYANHNTVFFDVSMRALDGLQGPMYVGTGCIFRRTALYGFSPPRATEHHGWLGRRKIKLFLRKPKVSKKQEDEVVVPINVDHNDDDADIESLLLPKRFGNSTSLAASIPVAEYQGRLLQDLQGKGTQGRPAGSLAVPREPLDAATVAEAISVISCFYEDKTEWGKRVGWIYGSVTEDVVTGYRMHNRGWRSVYCVTKRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSRNNALLASPRMKFLQRVAYFNVGMYPFTSMFLILYCFLPAVSLISGQFIVSTLSATFLVFLLGITITLCLLALLEIKWSGITLHDWWRNEQFWLIGGTSAHPAAVLQGLLKVIAGVDISFTLTSKSGTPEDGDEEFADLYVVKWSFLMIPPITIMMVNVIAIAVGVARTLYSPFPQWSKLVGGVFFSFWVLCHLFPFAKGLMGKRGKVPTIVYVWSGLLSIIISLLWVYISPPSGRQNYMNFQFP >OIW21899 pep supercontig:LupAngTanjil_v1.0:Scaffold_260_5:32486:33529:-1 gene:TanjilG_14544 transcript:OIW21899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFMIILSPSLQSFHPAEAIRSSPLLTKTKINRFSFRKSSPFRNSDQCSSIPISGEQNSTVCDPFLVHIAITLDFEYLRGSIAAVNSILQRSLCPNSIFFHFIISNAKNSNLESLVESTFPELKFKVYSFNPEIVKNLISTSLRQALEQPLNYARNYLAEILEDCVNRVIYLDSDLVVVDDISKLWSYNLDSRVIGVPEYCHANFSNYFTTSFWSNTRLAGTFKGRRPCYFNTGVMVLDLFKWRKENYTKRIEKWMEIQKSDRIYELGSLPPFLLVFAGHVAPIEHRWNQHGLGGDNVRGNCRDLHPGPVSLLHWSGSAKPWFRLDSKRPCPLDSLWAQYDLYGHSYS >OIW21896 pep supercontig:LupAngTanjil_v1.0:Scaffold_260_5:10649:12484:1 gene:TanjilG_14541 transcript:OIW21896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLKLQKRLAASVLKCGRGKVWLDPNEGNEISMANSRQNIRKLVKDGFIIRKPTKIHSRSRARRIKEAKRKGRHSGYGKRKGTREARLPTKILWMRRLRVLRRLLRKYRESKKIDKHMYHDMYMKVKGNVFKNKRVLMESIHKSKAEKAREKTLSDQFEAKRAKNKASRERKHARREERLAQGPGEKPSVAATPAPVTASQPAQ >OIW21897 pep supercontig:LupAngTanjil_v1.0:Scaffold_260_5:15336:18947:-1 gene:TanjilG_14542 transcript:OIW21897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRGLAPTVLALLPNWAVYFTMYEHLKSLLHSDDGSHHLPVGANMIAASGAGAATTMFTNPLWVVKTRLQTQGMRTGVVPYRSTLSALRRIAHEEGIRGLYSGLVPALAGISHVAIQFPTYETIKFYLANQDDSAVDKLGARDVAIASSVSKIFASTLTYPHEVVRSRLQEQGHHSEKRYLGVIDCVRKVFQQEGISGFYRGCATNLVRTTPAAVITFTSFEMIHRFLVSVFPSDPQPNIL >OIW21900 pep supercontig:LupAngTanjil_v1.0:Scaffold_260_6:8832:9257:-1 gene:TanjilG_14545 transcript:OIW21900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECISGNMGKGLKEFWKRKRRSYRRLYGSGRRQRMDTVELGGGTSTTTGTRRRRRWRIKISRKIKITKISSPKKMVMWLRDAYVSMMTSLANSKVMTICASVTGNGGIREFGKNPPHNQDYDKMVAHMYKSFIAAQGQLVP >OIW21911 pep supercontig:LupAngTanjil_v1.0:Scaffold_262_10:20732:22991:1 gene:TanjilG_14604 transcript:OIW21911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAKQRYAVVTGSNKGIGLETVKGLASNGVKVVLTARDEKRGYEALKKLKEFGLSEFVIFHKLDVTDSASVASLVEFVKVQFGRLDILVNNAGITGFNTDDLVGSKINWRELPQTYEMAKKCLTTNYYGAKETTKAFLPLLQQSNSPHIVNVSSTAGLLKHISNEWAKGVLDDTENLTEERIEKVLKEFMKDFKEGSLKNKGWPTYLSTYMVSKAALNTYTRLLAKNHQNFCINCVCPGFVKTDINRNTGILSVENGAASVVRLALLPNGSPSGNFFARQEVSGF >OIW21910 pep supercontig:LupAngTanjil_v1.0:Scaffold_262_10:9856:13315:1 gene:TanjilG_14603 transcript:OIW21910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEATQRYAVVTGSNKGIGFEIVRQLASAGIKVVLTARDEKRGLAALEKLNALGLSHLLLFHQLDVADAASVASLAHFVKSEFGKLDILVNNAGIGGAVVKDYTLTSSGIFGGKALSEDDTRKALTQTYELAEECLKTNYYGAKITSESLLPLLQKSDSPRIVNVSSALGKLQFVTNEWAKGVFSDADNLTEEKVDEVIKEFLKDFKEGALESKGWPRILSAYIISKAALNANTRILAKNYPTISVNSVSPGYVKTDNTSNTGFLTAEEGAASPVSLVLLPNGSPSGLFYQRTEASSDW >OIW21912 pep supercontig:LupAngTanjil_v1.0:Scaffold_262_18:14008:17333:-1 gene:TanjilG_14605 transcript:OIW21912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLAPSLEDVPTLSLMSEVLRRLKCSSKPDKRLILIGPPGSGKGTQSPIIKDEYCLCHLATGDMLRAAVAAKTPLGIKAKEAMDKGELVSDDLVVGIIDEAIKKPSCQKGFILDGFPRTVVQAQKLDEMLEKQGSKVDKVLNFAIDDAILEERITGRWIHPSSGRTYHTKFAPPKVPGADDVTGEPLIQRKDDTAAVLKSRLKAFHKQTEPVIDYYSKKSIVANLHAEKPPKEVTSEVEKVLSS >OIW21909 pep supercontig:LupAngTanjil_v1.0:Scaffold_262_7:5208:6446:1 gene:TanjilG_14602 transcript:OIW21909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEATQRYAVVTGSNKGIGFEIVRQLASAGIKVVLTARDEKRGLAALEKLNALGLSHLLLFHQLDVADAASVASLAHFVKSEFGKLDILIAQVHDSRGHVNATSQLRVRQSGIVVQMCRIGRIYNSAMSRSVRLGLSPVLDPVHDSAVSRSV >OIW21925 pep supercontig:LupAngTanjil_v1.0:Scaffold_267_11:25024:28450:1 gene:TanjilG_14773 transcript:OIW21925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNFYIVFLRDNPVSRDIAHETHLNVLSAVKGSHHEAKESIRYSYTRSFNAFAAKLSEDEANTMDKVLSVFPNQYRKLHTTRSWDFVGLPLTAKRKLRSESDTIVALLDTGITPEFQSFKDNGFGPPPTKWKGTCDQYANFSGCNNKIIGARYFKIDGNPDPSDILSPIDVDGHGTHTASTAGGNLVPNASLFGLAQGTARGAVPSARLAIYKVCWGTNGCADMDILAAFEAAIHDGVDVISISIGGGNANYVQDSIAVGAFHAMRKGIITVASAGNDGPNMATVTNNAPWIVTVAASGIDRDFKSTIELGSGKNVSGAGVSAFNPKQKQYPLIDGIDAARNSENKENAKFCYEGSLDPKKVKGKLVFCRLGSWGTEAVVKGIGGIGTVLESEQFLDVAQIFMAPATIVNNSIGETITNYIQSTRSPSAVIHKSHEIKKPAPFVASFSSRGPNPGSQHVLKLAMQPDITAPGINILASFTLKKSLTGLKGDTQFSEFTLMSGTSMSCPHVAGVAAYVKSFHPDWTPAAIRSAIITTAKPISQKFNKEAEFAYGAGQVNPTRAVSPGLVYDMDDLAYIQFLCHEGYNGSTLSVLVGSPINCTSLIPAFGYDAINYPSMQLSAKSNKGTTVGVFRRRVTNVGPSPTIYNATIKSPKGMEITVKPTSLIFSHTKQKKSFTVVVKAKSMESMQILSGSLIWKSPRYTVRSPIVIYSP >OIW21924 pep supercontig:LupAngTanjil_v1.0:Scaffold_267_11:6608:10680:-1 gene:TanjilG_14772 transcript:OIW21924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCCATPQGETKKKKKEKENPFANNYENGAVSTGSKLVVLSNPTGQEIELRYELGRELGRGEFGVTYLCTDKDSREELACKSISKKKLRTAIDIEDVRREVEIMRHLPKHPNIVTLKDTYEDDNAVHLVMELCEGGELFDRIVARGHYTERAAAAVTKTIVEVVQMCHKHGVMHRDLKPENFLFANKKETAALKAIDFGLSVFFKPGERFNEIVGSPYYMAPEVLKRNYGPEVDIWSAGVILYILLCGVPPFWAETEQGVAQAIIRSVVDFKRDPWPKVSDNAKDLVKKMLNPDPKRRLTAQEVLDHPWIQNAKKAPNVSLGETVKARLKQFSVMNKLKKRALRVIAEHLSVEEAAGIREGFQVMDTGNRGKINRDELRVGLHKLGHQVPEEDIQILMDAGDVDKDGYLDYGEFVAISVHLRKMGNDEHLHKAFQYFDENQSGYIEIEELRNALSDEVEINSEEVVNAIMHDVDTDKDGKISYEEFAAMMKAGTDWRKASRQYSRERFNNLSLKLFKDGSLQLNNENQ >OIW21915 pep supercontig:LupAngTanjil_v1.0:Scaffold_267_3:44505:54633:-1 gene:TanjilG_14751 transcript:OIW21915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRGKTQMKFIENATSRQVTFSKRRNGLLKKAFELSVLCDAEVALIVFSPRGKLYEFASSSMQETIERYRRHARDTPTTPRSVEQNMQHLKQEASSMMEKIDLLEASKRKLLGEGLGSCSIDELQEIEKQLERSVGNVRARKTQVFKEQIQQLKEKEKTLVAENCRLSLKYGICPQPATKDQREKQPEPYAESSSPSNSDVETELFIGLPETRPRRISPT >OIW21913 pep supercontig:LupAngTanjil_v1.0:Scaffold_267_3:1119:3739:1 gene:TanjilG_14749 transcript:OIW21913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSESAANNKRQGGGGGGGGGGRPFPPPQRGHPGPPPYTPSRPRIEPVDREKTCPLLLRVFTKSGSHHSMEDFAVRGKEPKDEVQIYTWKDATLRELTDLVKEVAPNARRRNAKLSFAFVFPDKNGRFKVQEVGKTLSHINGRLDDAKALGELGFEIGDYLDVAIL >OIW21914 pep supercontig:LupAngTanjil_v1.0:Scaffold_267_3:29702:33375:1 gene:TanjilG_14750 transcript:OIW21914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKITINSITKTIERYQRCSFTPQDDHVECETQSWYQEVSKLKAKYESLQRTQRHLLGEDLGPLSIKELQNLEKQLEGALAQARQRKTQTMVEQMEDLRRKERHLGDMNNQLRLKLEAEGYNLKAMENMWSSTSAVGNSSFPFQLSQTNPMDCQPEPFLQIGYQQYVQAEASNVNVPKSMACETNFMQGWVL >OIW21920 pep supercontig:LupAngTanjil_v1.0:Scaffold_267_5:39273:40289:-1 gene:TanjilG_14756 transcript:OIW21920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLYRRGTCSMNNVVICHVAEETSERDFRLFLRLIHRFGVTALNDVVFLFSSPTTSVSFGRVIHDENNSFSSLVSRHTELARSESSFNFTRFFSNKSEMVEPVWGKRIRSDFSDGGGELVVSYGSVVSFDATELDPENSLGGFLERVPLSLRRWACYPMLLGRVRRNFKHVMLVDVKNTLILKDPFGRVRNRSPESVFLFNKKNSDKTRSTQRRVNSGVIIGGARGVRRLCNAVLVEIVRAAMQQHKKNSVSDSVILSQLVGNQFMWKSNNNVNFVTATESIPEASSLVGHNSGTATSLLNHAIIQRGSSNCEIISYFINKEICSSVIDSSSVYKDC >OIW21921 pep supercontig:LupAngTanjil_v1.0:Scaffold_267_5:45059:56015:1 gene:TanjilG_14757 transcript:OIW21921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTTLHSTYHLKLTDSTRPHITSKRKPNELAFKETQQGFVDYDNGHHDVSNKVTGILKDGIPTRYRIRVAGNRFQKDWTVSEVVELVLTLELRDDIDGLLNRWVGRFARKNFPYLIKELSQRGNIEHCNHVFEWMKKQRNYCARNDIYNMMIRLHARHNRVDQARGLFFEMQKWRCKPDVETYNAIINAHGRAGQWRWAKNIMEDMLRAAIAPSRSTYNNLINACGSSGNWKEALNICKKMTDNGVGPDLVTHNIVLSAFKSGAQYSKALSYFELMKGTHIRPDTTTHNIIIHCLVKLGQHDKAIDIFNSMREKKSECHPDVVTFTSIIHLYSVCGQVENCGAAFSMMLAEGLKPNIVSYNTLIGAYAARGMDKEAFSVFSEINQNGLRPDIVSYTSLLNAYGRSQKPQKSREVFEMIKRNKLKPNVVSYNALIDAYGSNGLLAEAIEILREMEQDGIYPNVVSICTLLAACGRCSKKVKIETVLSAAEMRGIKLNTFAYNSAIGSYMNVGEYDKAIGLYKSMRKKKIKPDSVTYTVLISGCCKMSKYGEALSFMEEMMQLELPLSKEVYSSIICAYSKQGQIVEAESTFNLMKSSDCYPDVVTYTAMLDAYNAAENWEKAYALYEEMEVNGIKLDTIACAALMRAFNEGGQSGRVLSLAKNMREKDIPFSDTIFFEMVSACSLLHDWRTAVDMIKHIEPSLPVISSGCLNLFLQFLGNSGKNETMLKVLEWMEDAGIYPSNGMYRDLSSFSQRCCGEENAAIIKERLGINMLIVFPYVHMHVLCSFICTIMLV >OIW21918 pep supercontig:LupAngTanjil_v1.0:Scaffold_267_5:16002:16820:1 gene:TanjilG_14754 transcript:OIW21918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQCIDTEPKPEYDATVHLPLSTATAPEPHRRSICSNCHRPNPVCLCHVIPVEPIETKTKVLILHHPHETHHKLSTTPILTKTLLHASAVTGRRLRRGDINSFIDRHSPKPAVMYLFPPSPSSPAVNISDVNIPELTGNGEREVVLIAFDGTWKHAREMVKASEEILSDFAIRVCLGVVDENVSGGNIYDSELILRKEPFSGCVSTMEAVARALSVLEPNGIEIETKLISILREMVRLQAGFLKPAKPRPKLLKKVKEKEKKKMEMDDSSLNA >OIW21919 pep supercontig:LupAngTanjil_v1.0:Scaffold_267_5:25922:34902:1 gene:TanjilG_14755 transcript:OIW21919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYFGICRRIVFAEETVFLKEVSAMSSTAKVLDPAFQGVGQRPGTEIWRIEDFQPVPLPKSNYGKFYMGDSYIILQTTQAKGGTYFYDIHFWIGKDTSQDEAGTAAIKTIELDASLGGRAVQHREIQGHESDKFLSYFKPCIIPLEGGVASGFKKPEEEEFETRLYICKGKRVVPFARSSLNHDDVFILDTQDKIYQFNGANSNIQERAKALEVIQFLKEKYHEGKCDVAIVDDGKLDTESDSGEFWVLFGGFAPIGKKVISEDDIIPETIPAQLYSIADGEVKPLEGELSKSLLENNKCYLLDRGAEVFVWVGRVTQVEERKAACQAAEEFVVSQKRPKATRITRIIQGYETHSFKSNFDSWPSGSTNTGAEEGRGKVAALLKQQGIGLKGIAKSTPVFQINGSAKTPLAKEDIGKFYSGDCYIVLYTYHSGERKEDYYLSCWFGKDSIEEDQKMATRLANTMFNSLKGRPVQGRIFEGKEPPQFVALFQPMVVLKGGLSSGYKKLIAEKGSPDETYTAESIALIRISGTSIHNNKSVQVDAVASSLNSAECFVLQSGSTIFTWNGNQCSFEQQQLAAKVAEFLRVEEVYNFSQDDLLTEDILILDTHAEVFVWIGHCVDQKEKQNAFEFGEKYIHLAASLDGLSPRVPLYKVTEGNEPCFFTTYFSWDHAKATVQGNSFQKKVTLFFGIGHVVEEKSNGSSGPRQRAEALAALSNAFNSSSETTSSMTQDRLNGLNQGGPRQRAEALAALNSAFNSSPGTKPVTPRAAGRSQGSSQRAAAVAALSQVLTAEKKKTSPDSSPVASTGPTAENSTFDAKNESPHSEGSEEVAEAKETQETAPETGSNGDSEPKQEKVEDGNDGQNNQSVLSYEQLNTKSGSVVLGIDLKRRETYLSDEEFETIFKMTKEAFTKLPRWKQDMLKKKVELF >OIW21916 pep supercontig:LupAngTanjil_v1.0:Scaffold_267_5:371:6065:1 gene:TanjilG_14752 transcript:OIW21916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLSAVCETKFHYQDKVPPISYVVNIASNMQQYPQKDWLTGSSLPSKFSPSVIQTVLDQLSPNNVRIFWESRNFEGHTDQVEPWYGTAYSIEKVTDSVIQGWVLSAPDENLHLPAPNIFIPTDLSLKTVQEKVKFPVLLSRSSYSALWYKPDTLFSIPKAYVKIDFHCPYAGNSPETEVLTHIFTELLMDYLNEYVEKIATFRVKTDRFSVIKETVTKEYQNLKYQQPYQQAMHYCSLILQDQTRPWVEHLEVLPLLQAEDLAKFVPVLLSRTFFECYVAGNIESHEAESMVRHIEDVLFKSPKPLCQPLFSSQHLTNRVVKLESGINYFYPSEGLNSDDENSALVHYIQVGRDDFKLNVKLQLFALVAKQPAFHQLRSVEQLGYITALVQRNDCGIGGLQFIIQSTVKGPGDIGQRVEAFLKVFETKLREMTNDEFKSNVNALIDMKLEKHKNLREESSFFWREIDNGTLRFDRKDFEMMLYNFSYSIRPELN >OIW21922 pep supercontig:LupAngTanjil_v1.0:Scaffold_267_5:58189:60987:-1 gene:TanjilG_14758 transcript:OIW21922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGVSDKGLYVRKFTPPPFLFKTYMVVEDPATDDIVSWNSEGTAFVVWQPAEFARDLLPTLFKHSNFSSFVRQLNTYGFRKVATTRWEFCNEKFKKGEKEFLCQIRRRKACSIKQQPSTQNQQVTTPPHDSDEDQRSASTSSCCGYTTLIDENKRLKKENGVLNSELTSMKNKCRELLHLVAKYNNNSNDDDSYNGKKKEKKEDERTMLFGVRLDVVQGENKRKKRSTEISEKSGIILVSQPCK >OIW21917 pep supercontig:LupAngTanjil_v1.0:Scaffold_267_5:7570:11463:-1 gene:TanjilG_14753 transcript:OIW21917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPPNASEDLSSEMEVDAFRRLFPLRFFERHLAESIRPDGRSLSKARDTSVFLGAVASANGSALVKIGSTTMLTAIKMEVMTPSLESPDEGCIAIDFHMPPICSPIVRPGRPAEAAPVVSKQFSGLVNLKELSLVNGKAAWLAYLDVYCLDADGALFDAALLSAVAALSHLQIPAVAMNDDGKIVLMSEEDGQKQAQEQVNKEKRKLTLKSIPFSLTCILHKNYILADPTSEEESIMETLVTIVLDSSSKLISLYKPGGPVLAYTSAIQDCVALTRQRVKELKTILEEQNSAMEV >OIW21923 pep supercontig:LupAngTanjil_v1.0:Scaffold_267_8:11973:17203:-1 gene:TanjilG_14771 transcript:OIW21923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVTPKICVNDGNLVVHGKTILTGVPDNVVLSPGSGGGLVTGAFVGATASNSKSLHVFPLGVLEGLRFMCCFRFKLWWMTQRMGTCGRDVPLETQFMLIESKDSEGEEENSPIIYTVLLPLLEGQFRAVLQGNDKNQIEICLESGDNEVVTDQGLHLVYMHAGTNPFEIINQAVKAVENYMQTFHHREKKKLPSFLDWFGWCTWDAFYTDVTAEGVEEGLKSLSKGGTPPRFLIIDDGWQQIESKQKDADCVVQEGAQFATRLTGIRENTKFQKNEQNNEHVSGLKNLVDGAKQHHNVKNVYVWHALAGYWGGVKPAATGMEHYDTALAYPVQSPGVLGNQPDIVMDSLAVHGLGLVHPKKVFDFYNELHAYLASCGVDGVKVDVQNIIETLGSGHGGRVSLTRSYVYALEKSIAHNFPDNGCIACMCHNTDGLYSAKQTAVVRASDDFYPRDPASHTIHISSVAYNSLFLGEFMQPDWDMFHSLHPASDYHAAARAIGGCPIYVSDKPGNHNFDLLKKLVLPDGSVLRAQLPGRPTRDCLFVDPSRDGTSMLKIWNLNKCSGVVGVFNCQGAGWCKVQKKTRIHDTSPGTLTTSVGASDVDLITQVAGPEWRGEAIVYAYRSGEVIRLPKGVSVPVTLKVLEYELFHFCPIQEMAPSISFAPIGLLDMFNTGGAVEHVEFHGGLDNKQEISDGEVAPHLSSNRRTTSTIVLRVRGSGRFGVYSSHKPLNCVVGGIETSFNYDSETGLTTFSIPVPTQEMYIWLIEIHV >OIW20536 pep supercontig:LupAngTanjil_v1.0:Scaffold_26_12:16649:16885:1 gene:TanjilG_14347 transcript:OIW20536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGAQGAQPKESKTATIYESVEGGENQTRTTLLSREDQGGIQVDKLQEKVIDPAGKGGPVFGAGKDKDKQDLGVTGTG >OIW20535 pep supercontig:LupAngTanjil_v1.0:Scaffold_26_12:15424:15888:1 gene:TanjilG_14346 transcript:OIW20535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTLADNVKCGFCDLQGVRREVGIHGRTLNKLILLQIHLNSLHSKDKDDLINYQPGSSTPLNVEGLEKSLLSQETTSLKPQKVNAPQLVKSRNKIRQIDEDPQPSSFSEDAEEISKLVADFQLPLKESIGHTEVEVIAGALLGILVGFSIHNLI >OIW20534 pep supercontig:LupAngTanjil_v1.0:Scaffold_26_2:11933:12406:-1 gene:TanjilG_14342 transcript:OIW20534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSIRILCILFSLTLTYALTQQQDTLTAYEIIEQYGFPPGILPKGITGYALNRETGQFAAYLEGSCSFTIESYALKYKPTITGVISNGKLDKLKGVSVKILLLWLNIVEVVRDGDDLAFSVGIASANFGVDNFLESPQCGCGFNCNKLNQIGDVSSI >OIW20533 pep supercontig:LupAngTanjil_v1.0:Scaffold_26_2:5084:5569:-1 gene:TanjilG_14341 transcript:OIW20533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTDIIILISAVFLLLPPLLTSSSAPTAYELLQQYNFPGGILPKGITGYEIDESSGKFRAYLKGTCSFSLEGSYQLSYKSIISGHISKNRLTDLKGVSVKILFFWLDIVEVIRDGDNLDFSVGIASASFPLDNFFVSPQCGCGLVCHDELENPSLPLSSM >OIW20539 pep supercontig:LupAngTanjil_v1.0:Scaffold_26_26:62519:63403:-1 gene:TanjilG_14402 transcript:OIW20539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLLNHKCFLLLLVSLLLISVQNKSKVQCYQYKIGDLDAWGIPTSANPLVYAQWSKYHNLTIGDTLLFLYPPSQDSVIQVTKGSYKSCNLKDPILYMNNGNSLFNITSEGLLYFTSGEPGHCQRNQKLVISVGNVTSNMDDDVAAPGPSSSSYPTVFGNIPVAPSASLPLTSNSIHIASTFHIVIIGFFMCGLFYMP >OIW20538 pep supercontig:LupAngTanjil_v1.0:Scaffold_26_26:29987:32383:-1 gene:TanjilG_14401 transcript:OIW20538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFIHVTVAALCYVVVVFVGFPLFSNAELDPSFYKNTCPNVSSIVREVVRNVSKKDPRMLASLIRLHFHDCFVLGCDASVLLNNTDTPPKIESEQQAFPNNNSLRGLDVVNQIKTAVENACPGIVSCADILTLAAEVSSVLAGGPDWKVPLGRRDGLTANRTLANQNLPAPFFTLTQLKSAFTAQGLNTTDLVALSGAHTIGRAHCSFINSRLFNFSNSGKPDPTLDTTYLQTLRNTCPNNGPATNLVNFDPTTPDTFDKNYYSNLQGKKGLLQSDQELFSTSDADTISIVNTFANNQDAFYESFKASMIKMGNIGVLTGKNGEIRKQCNFINKKSVELDLASVVPKESSEEGIVSSF >OIW20540 pep supercontig:LupAngTanjil_v1.0:Scaffold_26_26:66887:74039:-1 gene:TanjilG_14403 transcript:OIW20540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYSSSRTFSANSSDYKLLDEVGNGASATVYRAIYLPNNRQVAVKCLDLDRCNSNLDDIRKEAQTMRLIDHPNVVRAYCSFVVERCLWVVMPFMAQGSCLHLMKVAYPDGFEEGAIASVLKETLKALAYLHRQGHIHRDVKAGNILLDDNGEVKLSDFGVTACMFDTGDRQRSRNTFVGTPCWMAPEVLQPGMGYNSKADIWSFGITALELAHGHAPFSKYPPMKVLLMTIQNAPPGLDYDRDRKFSKSFKEMVAMCLVKDQTKRPSVDKLLKHSFFKHAKHPELSLKRLFADLPPLWNRVKSLQIKDAAQLALKRMPSAEQEALSLSEYQRGVSAWNFDIDDLKAQASLVREDDDIAVIGEEFESKLFTCYKDTTTDSQFSKFKMNSDNLQQDEFMLQVGGNDIPQIEKKNGLIVETIPSILEKDMGTSKIKSQPLKIGKTRSGPLMPSMILSHSLPERGHAFERFENQNQLLGVKSNCELQQASNLSGPLMLPSRASANSLSAPIRSSGGFRDSLDDKSKANLVQVKGRFSVTLENLDQVKDLPVNSGSRRTSQVSSPMRKSASVGDWMSDPKQMPSGQPSTDSANTINSASVLITHLQNLFQQTSIQQDLIMNLLNSLQPAEAIDASQNGKMPTLPCSPENNGIVDTAASGREQFLMAKIAELKARTISLTDELASEKLKCKQLQQQLNAAYSQEQNGEKVEAA >OIW20537 pep supercontig:LupAngTanjil_v1.0:Scaffold_26_26:10362:28396:1 gene:TanjilG_14400 transcript:OIW20537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRALVGLFGILWLCWLLLASNVDAQVEYLKYKDPKQPVATRVKDLLDRMTLEEKIGQMVQIDRSVANAEVMKTNFIGSVLSGGGSEPLPKATAQDWINMINEFQKGSLASRLGIPMMYGIDAVHGHNNVYNATIFPHNVGLGCTRQVEISTPVCRDPELVQRIGAATALEVRATGIPYVFAPCIAVCRDPRWGRCYESYSEDHKIVQEMTEIITGLQGDVPADYKKGLPYVGDKTKVAACAKHFVGDGGTNRGVNEDNTLIDWHGLLGIHMPAYYDSILKGVSTVMVSYSSWNGIKMHANRDLVTGFLKNTLKFKGFVISDWQGIDKITAPPGSNYSYSVQASIEAGVDMVMVPFIFKEFIQDLTLLVKNKIIPMDRIDDAVERILFVKFTMGLFENPLADSSLVNELGSQENRNLAREAVRKSLVLLKNGKNGSAPLLPLPKKTSKILVAGTHADNLGYQCGGWTIKWQGFTGNTDTSGTTILSAIKSAVDPSTQIVFQGNPGSEYVSSNKFDYAIVVVGEPPYAETAGDSTALTLADPGPNIINTVCGTIKCVVIVISGRPIVIEPYVSSIDALVAAWLPGTEGQGVTDVLFGDYGFTGKLARTWFKSVDQLPMNVGDPHYDPLYPFDFGLTTESVKDLVEKSTSAAVGVRACIFSIMLTLIACLYLTDSSSVFCFFKKFIMFWRMAGLSTVSPVETILDRENFTLDELLDDDEIIQECKALNSRLINFLSGRPQVEQLIRYIVEEAPEDSEKKRTFKFPFLACEVFTCEVDTILKTLVEDEELMNLLFSFLDPTHSHSNLLAGYFSKVVICLLLRKTVPFMQYVQAHQEIMRKLVELIGITSIMEVLIRLIAPDEHMYVNHVDAMQWIEETDVLEMIVDKFSSSDSPEVHANAAETLCAITRFAPAGLSAKISSPSFVGRLFRHALEDSRPKSVLVNSLCVCISLLDPKRHTFGAYHTYNRQMTNGSTVAANPETVEGMLESLGDLLKLLDVSSAENLLLTTFGKLLPPLGKHRLKIIEFILVLVTVGSESAEKKLIDLGAVQRIIDLFFEYPYNNFLHHHVENIILSFLESKNSSLVEHLLRDCDFVGKIIQAEKHFTLEADAKKPTIPVEGKSPPRIGNIGHLTRISNKLGQLGNNNSVIQEHLQGNIDWTDWYADVLSKRNAVENVYEWACGRPTALHDRNRDSDDDDYQDRDYDVAALANNLSQAFRYGIFNNEDIEEVHGSLERDDEDVYFDDESAEVVISSLRLGDDHESGSLFTNSNWFAFEEDRDQVANEQSAASLPSPSPIAEEDEGANIANGDDDLVTGEGEDLADTAISLPEAEPKLEESGTDKPVEWVEWRESSDANGPSGVLANGELETGSGNNATNAHELSSPSSSAALTKDEQITAEPSASPSVALTKDEHIAAGPLASSSVALAKDEQSTAEPSASLTEKTIETSEPTHTGNENPSSCTSTSVDSVVGDHIKDTTVAKKEVENEQLM >OIW20541 pep supercontig:LupAngTanjil_v1.0:Scaffold_26_27:4237:16368:-1 gene:TanjilG_14404 transcript:OIW20541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEPQSNSNPESEPNPKPEKETQPNPNPESGSSVNDADLSQTEIRSNAASNNHAPHPQLKKDEGTRTFTMRELLHGLKNDSEPDREEDSESPYNDEAQQQQQIEQNNAAMDLINSVVGVDDEGRSRQRVLTFAARRYATAIERNPHDYDALYNWALVLQESADNVSPDSTSPSKDSLLEEACKKYDEATRLCPTLHDAFYNWAIAISDRAKMRGRTKEAEELWKQATRNYEKAVQLNWNSPQALNNWGLALQELSSIVPAREKQKIVRTAVSKFREAIQLQLDFHRAIYNLGTVLYGLAEDTLRTAGSISAQEVSPDELYSQSAIYIAAAYALKRNYSVYSSALRLVHSMLPLPHLKVGYLTAPPAGKTIAPHNDWKRSEFFLDHERLQQGEQRQVPQTLSGRSIDSVNKDKTIKVDIADIISVSACADLTLPPGAGLCIDTVHGTVFLVADSWESLDGWLDAIRLVYTIYMRDKSDILAGIITA >OIW20542 pep supercontig:LupAngTanjil_v1.0:Scaffold_26_53:8890:11626:1 gene:TanjilG_14409 transcript:OIW20542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSILSSLKFSAFLLSLINFFVFELALADTTRHYRFDIRYENVTRLCHTKSVITVNGQFPGPRIVAREGDRLLIKVVNHVPNNISIHWHGIRQLQSGWADGPAYVTQCPIQTGQSYVYNYTIKGQRGTLFWHAHISWLRSTIYGPLIILPRHNSQYPFAKPHKEVPIIFGEWWNSDTEAVITQAMQNGGGPNVSDAYTINGLPGPLYNCSAKDTFKLKVKPGKTYLLRLINAALNDELFFGIANHTLTVVEADAVYVKPFVTNIILIAPGQTTNVLLKTKLHYPNATFLMSARPYVTGLGTFDNSTVAGILEYKTPSKAHKTLKNLQLLKPVLPVLNDTSFATKFANKMRSLASAKFPANVPQKVDRHFFFTVGLGTSPCQNNQTCQGPTNATKFAAAVNNVSFILPTTALLQSHFFGQSNGIYSPNFPNSPLIPFNYTGTAPNNTMVSNGTKVVVLPFNTSVELVMQGTSILGAESHPLHLHGFNFFVVGQGFGNYNPNKDPANFNLVDPVERNTIGVPSGGWVAIRFLADNPGVWFMHCHLEVHTSWGLRMAWVVLDGKLPNQKLFPPPADLPKC >OIW20543 pep supercontig:LupAngTanjil_v1.0:Scaffold_26_54:7396:34894:1 gene:TanjilG_14410 transcript:OIW20543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSEKTTHDDSSQWILDSIDIDPIVVDHVPKNNNNSDVQTYQQSKVVKAPNGAAMIRNVSNVRSRNGNSQGQCIKMERLQSGAARGLKGLRFLDRTVTGKEADAWKSIEKRFVQHAVDGKLSKEKFAICVGMGSESKDFAGELYDALARRRNICVDNGATLDQVRVFWEDMTSKDLESRLQVFFDMCDKNGDGRLSEEEVQEVIVLSASANKLGNLKQHAAAYSSLIMEELDPDHNGYIEMWQLETLLRQMVSAEDGTRKIDTRTQTLTRAMIPRKYRTPISRFVSKASEFIVDNGKKIWVVAFWLAINLALYVWKFEQYKNKGAFQVMGYCVCFAKGAAETLKFNMALIILTMCRRTLTMLRASFLGRILPFDDNINFHKLIAAAVVVGTLIHAVMHISCDIPRLVSCPNQKFLAILGSGFDYNQPTYLGVVASIVGITGIAMVLIMAFSFTLATHYFRKSVVKLPSPLHRLAGFNAFWYAHHLLIVVYILLIIHGYFLFLTKDWQKKTTWMYLVVPVALYAFERTHPFFRGKDHRVCIIKAIVYTGNVLALYMTKPSGFKYKSGMYIFVKCPDISSFEWHPFSITSAPGDDYLSVHIRALGDWTIELRNRFAQACEPQTTQTRRGSLLRMETKAYPDSNPNPDISSQTRYPKIMIKGPYGAPAQKYKRYDVLLLIGLGIGATPMISILKDLLNNMKMESPQHDSSDDIAEYNSKKGPQRAYFYWVTREQASFEWFKGVMDDIAEYDQDGIIDMHNYLTSVYEEGDARSALIAMIQKLQHAKNGVDVVSESRIRTHFSRPNWKKVFSQLSTTHENSRIVCLDGSDPGYHFQKGFGSGSHNWLLHIEGGGWCNSIASCSHRKMTPLGSSKYMEREVPFSGILSSDPSQNPDFFNWNKVKIRYCDGASFAGHSESEIKKGSGLFFRGQLIWEAIMDELLSIGMSKAKQALLSGCSAGGLATLIHCDDFRDFFPKETTVKCLADAGFFLDEKDILGNSTMRSFYHDVVQLQCLFPLEIIKNIKTPVFLVHPAYDFWQIHNILVPQGSDPHGHWKSCRLNLLNCNANEIEILHHFRTSLLKTVNEFQQREEIGMFIDSCFIHCQTLMRETWHSPNSPKINDKTIADSVADWFFDRKVVKRIDCPYPCNPTCHNMDFSTRV >OIW20544 pep supercontig:LupAngTanjil_v1.0:Scaffold_26_55:10131:12522:1 gene:TanjilG_14411 transcript:OIW20544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMKTLPVGGKSRCKKFVFDSFHSKDLLIWVKGLALEPGNKKSSHHRLSIQMLEVRKLMNIRDDKSLQKKRKFEHYMDDGKSTCTFELLNKKKYNNQVAKNHRRGNSKEKCSFQRVQEHVAFHVSNDIVEHCNSCWQYCSHSNGSSQVIKGQVFHATDDDENCTFCCEDYSHLSDFDESMPSSMKQGNLKGHFEPFEDRSSNTIGISVKEKRNIELSGELKSLINKDFDDSISRNSYSLRLGQEDWKIDHPLHFHDNHCPQVFIPVGPRFQAEIPQCENLTNRRQHHLNDDFKWFCNEVWPMPVETDIKGVGNGRPDACSCDFPGSIDCVQLHISEARERLKLEIGTTFSSWKFDEMGEDVSKSWTIEEQKKFESLTRTLLSNDMDFWKVAMENFPNKPMKSMLNYYYNVCIPRRMSRETRDSFVAIDSDDDKLMEEDDYSTTTMQMVPICKLRKSRKTNSPLTPCNK >OIW20545 pep supercontig:LupAngTanjil_v1.0:Scaffold_26_55:29287:30056:1 gene:TanjilG_14412 transcript:OIW20545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLNGKLQQVHDTHIEKEDEDNNILENYGSASVHQHNRSIDINQPPQIEDQDQGHLSIGHEINFEVKSTSEESKEISVVETMMNEHGSGLGVDLKLKNPSQSSSSRISSLSSTPFNKTSASSMFGSDENLGDTSKDEGLPFTLVGCTRCYMYMLVSKDNPRCMICGNRVLIDKFE >OIW20546 pep supercontig:LupAngTanjil_v1.0:Scaffold_26_57:7231:8000:1 gene:TanjilG_14413 transcript:OIW20546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLSGKLHQVHGTHIEKEDKDTNILGNYGYASVYQHNRSIDINQPPQIEDQDQGHLSIGHEINFEVKSTSEESKEISVVETMMNEHGGDLGVDLKVKIPPQSPSSRISSLSSTPVNKTCASSMFGSDENLGDTSKDEGLPFTLVGCTRCYMYMLVSKDNPRCMICGNRVLIDKFE >OIW20547 pep supercontig:LupAngTanjil_v1.0:Scaffold_26_65:11725:12211:-1 gene:TanjilG_14485 transcript:OIW20547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHMFEQQEYHKSLYADQPVLPSGFRIILHDYGKDVASPDHVAYLASALGVGTTGNEAATWSVLYKCLDYEQSGS >OIW20548 pep supercontig:LupAngTanjil_v1.0:Scaffold_26_81:2592:7671:1 gene:TanjilG_14486 transcript:OIW20548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASHLLYHSPSFPKTLNHSLNQNPFAQNLVLPLKATFKPRVLRAVLSQSNTAKPQLHDSKTTTNFQHCFTKSEDGFLYCEGVKVHEIMESVDKRPFYLYSKPQITRNVEAYKDALDGLTSIIGYAIKANNNLKILEHLRQLGCGSVLVSGNELRLALRSGFDPKRCIFNGNGKLLEDLVLAAQEGVFINIDSEFDLENIVAAARIAGKRVNVLLRINPDVDPQVHHYVATGNKNSKFGIRNEKLQWFLDAVKEHPNELKLVDIFRDAATIMVNYIDQIRAQGFEVNYLNIGGGLGIDYHHSGAILPTPRDLIDTVRELVLSRNLNLIIEPGRSLIANTCCLVNRVTGVKTNGSKNFIVIDGSMAELIRPSLYDAYQHIELISPAPANAEVANFDVVGPVCESADFLGKDRQLPTPAKGTGLVVHDAGAYCMSMASTYNLKMRPPEYWVEDNGSVSKIRHGETFEDHTRFFEGL >OIW20551 pep supercontig:LupAngTanjil_v1.0:Scaffold_26_82:73213:74045:-1 gene:TanjilG_14489 transcript:OIW20551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNRGQGGIQQLLAAEQEAQRIVNSAKNEKLARLKQAKDEAEKEIAEYRAQLEREFQKKVSDSSGDSGANVKRLEQETDAKIAQLKKESARISDDVVSMLLKYVTTVKN >OIW20550 pep supercontig:LupAngTanjil_v1.0:Scaffold_26_82:45095:51186:-1 gene:TanjilG_14488 transcript:OIW20550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEKQHSVPVLPWMRDPVDVTLFQQLSLDSVPSLHPSLKLALENMGISDLFPVQLAVWQETVGPGNFERDLCINSPTGSGKTLAYALPIVQLLSKRVVKCLRALVVVPTRDLALQVKRVFDAIASPLGLHVGLAVGQSSVADEISQLVHIPENVLGMCYDPEDVSLQFQLGFQSKVDILVATPGRLMDHINTTKGFTLEHLHYLVVDETDRLLREAYQSWLPTVLQLTESNDDDIFQAADSLFHFSVGALRTRRRCQGYTWNKLAASLTEIVIRAVDSEIVPTVEICGTKVKPLYLVALLKSLEGEKCIVFTKSVESAHRLCKLMKCFEDLKIDIKEYSGLQHQRVRSKTLSEFRKGAFQVLVSSDAMTRGIDVEGVRSVINYDMPKYVKTYVHRAGRTARAGHTGRCFTLISEDEVRRFKKLMRKAEASSCPEHTVPSSLIEELQPTYESGKYVNSTFVYISQTTAKHRFRCTILNIISSTGHGLDLRESSGFA >OIW20549 pep supercontig:LupAngTanjil_v1.0:Scaffold_26_82:32801:35390:-1 gene:TanjilG_14487 transcript:OIW20549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITFMESKEKAKKVEKCLDPQLWHACAGGMVQMPQLNSKVYYFPQGHAEHACGSVNFSTCPKVQPFVLCRVEAIKYMADPETDEVYAKLRLVPVNRNEVGCGFDGIGGINGSESQDKPASFAKTLTQSDANNGGGFSVPRYCAETIFPRLDFSAEPPVQNILAKDVHGETWKFRHIYRGTPRRHLLTTGWTNFVNYKKLVAGDSVVFLRAENGDLCVGIRRVKKGMIGGPEASSGWNPAGGTSTVPYGGLAACLSGDDVNSLMRNGNNRNGLHLNPPRSSMIGMGNVMPEAVIEAVTLAANKQPFEVVYYPRASTPEFCVKASLIEAALEIRWCSGMRFKMPFETEDSSRISWFMGTISSVQVADPLGWPTSPWRLLQVTWDEPDLLQNVRKVSPWLVELVSSMPAIHLSPFSPPRKKLRLPQHPDFPLDGHIPPPTFSGNLLLPNNNLFRYPPENSPAGMQGARQAHYGLSLPDFHLNRPQSGLLPSGVPPPDYAATPMKVSTNLLLQKPGISENVSCLLSVENSTQSTRKLDGVKTPSQLVLFGQKILTEQQISLSNSGDTVSPDQNGSALDHHGLQERSSCERFPWCKDNCQETEASLEIGQCNVFMESEDVGRTMDLSLLQSYDELHRKLADMFCIEKFEMLNHVLYRDITGAVKHIGDEPFSDFRKTARRLTIVMDSSSDNVAV >OIW21926 pep supercontig:LupAngTanjil_v1.0:Scaffold_271_10:4433:5038:-1 gene:TanjilG_15055 transcript:OIW21926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVDSDDVGSDEHSGGLSPPSEDGGNDARNVEGGESDEEGEQMHNDPYEEMSLHRRDRNLFDVTSSRSGMVHSSDSFNDSNRIESQSRRGKKKQNITLEDASSSSLAQSFSDFSIDETSQSSQGYNPMHPVYYPHGYYIHPQYESGQVSSHQSSLMNYQEPYYQQSSQGFFDYVFGQGHKMIASMTMKIMLLHAILACGNN >OIW21927 pep supercontig:LupAngTanjil_v1.0:Scaffold_271_15:13898:18216:1 gene:TanjilG_15057 transcript:OIW21927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGRSPGKWFKNFLLGKKSSSKSKSSKKDDIFKPSNNKDVVQSSDVNVSDSTVDSLVISSPIPGATATKVVLSEKEVITRSSDVKDIISAGDEEAHAQAVANFQSQVDLEKLRLTEAAIAVQAACRGYQARLTFQTHKGIMQLQALIRGHLVRRQAVSALYCVKGIVKFQALARGYNVRHSDIGLAVLKIRKDTKYSNSIGVVTTTQADKLSNSVFSRKLLASSSPAVPLSFRFDAVGPNLANEWLFRWTRSRFWAPLPELKKKVDSLPDDKNGSYRTVEQGRVKRNTQKSPSVKADDGSGSGSSKYTQRPKKDSNCPLLSAQEHPQKENEKSSSVKTRVQTVSDRSEVVNEKRKHRTRKNSDHTVTNVSKLCSSASSEKRKDLAVSKSTESDSEKILGQQVEDKHDNEPQNDPIAVLKGSMIKGTDEETRKNSDHTVSEVSNLDQSASSEKMKDFAVSKSKESDPEKILRGQVEDKHDNELVNNSIASVETSVMNSRDEGTKVGEDLNGSDNCISNNYKRRASLPANFNGQDNELHNTPRLPSYMAPTESAKARLRGQGSPRLASDFVDKNGTTRRHSLSSSMNGKPDSFSPIAERLVTMSGRGVIRTDRSLSSSRDGNGTGAFVVSL >OIW21928 pep supercontig:LupAngTanjil_v1.0:Scaffold_271_33:2617:3132:-1 gene:TanjilG_15059 transcript:OIW21928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRWSGVGLDEWWRNEQFWVIGGVSAHLFAVFQGLLKVLAGIDTNFTVTSKASDEDGDFAELYIFKWTALLVPPSTLLVINLVGVIAGISNAINSGYQSWGPLFGKLFFAFWVIIHLYLFLKGLMGRQKRTPTIVVVWSILLASIFSLLWVRVNPFATRVTGPNIEECGINC >OIW21930 pep supercontig:LupAngTanjil_v1.0:Scaffold_276_10:8692:12304:-1 gene:TanjilG_15254 transcript:OIW21930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTYIVYTGSNMKDEGSALSIYTSMLQKVSDSNAKPKSVLHHYKCSFGGFVAKLTEEEADTMAGLDGVVSVFPNEKKQLHTTRSWDFIGFPQQLERATTESDVIIGVLDSGIWPESDSFNDKGFGPPPSKWKGTCQASNFTCNNKIIGARYYTAGIDDSVSEEYLKSPRDSSGHGTHTASTAAGNPISRASLFGLGQGTARGGAPSARIAVYKVCWGESCFLADILAAFDDAIADGLDIISVSLGGFSDQNYFRDVISIGSFHAMRNGILTALSAGNGGPQLSSLANISPWAITVAASTIDRKFVTKVKLGDKTTCEGTSLNTFDLKGILYPIIYGGDAPNTKRGKDESESRFCGKGTLDKKLVKGKIVLCDSGTEPRGAFLDGAVGALMQTQDLGDLSPFPSLPASFLDLQDGAYVYNYIKSTRTPTATIFKSHEINDTLAPMVASFSSRGPDLVTPEILKPDITAPGVSILASWSPISPPEVEGDNRKLQFNIVSGTSMSCPHVSGAAAYVKSFHPTWSPAAIRSALMTTAKQLSPRTNRDAEFAYGAGQIDPSKAVNPGLIYDANEIDYVRFLCGQKGYTTRTLRLITGDKSSCSETTYGTARDLNYPSFALSVPPSNTNVSGSFKRTVTNVGLSSSTYKAIITAPQGLKIQANPNVLSFNYLGQKQTFVLTVEGTIETYLLSASLVWDDGKFQVRSPIAVFNAP >OIW21931 pep supercontig:LupAngTanjil_v1.0:Scaffold_276_15:13064:20527:-1 gene:TanjilG_15255 transcript:OIW21931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPTSDVNHLSHPCIYGDIGSSYSERKSGFMKWFDKIFSTGSSRGRKSGCQLPAEENMVLRAPARSLDDRARSKKEEEDMNRSIALSLGEDLRRPNGYRWRTGADEDYQRALQDRLHSSAYPPFDPLPIYPRRYGLRSQIRVCAGCKQEILYGNCLGCMNTYFHPNCFRCHSCGHPITEREIPINPSGLIEYRCHPYWFQKYCPSHEYDTTARCCSCERLESRNEKYFRLEDGRILCLECMESAITDTGECQPLYHAIRDYYEGMNMKIDQQVPMLLVGREALNEAIVGEKNGFHHLPETRGLCLSEEQTVTSVHRWPRVGGNRLIGMRTQPQRLTRKCEVTAILVLYGLPRLLTGAILAHELMHAWLRLKGYRNLNLEVEEGICQVLSYMWLEAEVMPRQPSYRSMPSTSAASSSSSSSSKKGERSQVENKLGAFFIHQIANDSSQAYGGGFRAANEAVNKYGLRCTLEHIRLTGQFPLVTSLLGVAPLSGIVASSKNIWMLKTVGPLGRETEDCVEMCLDVVYEDDVKSRGNDYTNNYEVWGVFSS >OIW21933 pep supercontig:LupAngTanjil_v1.0:Scaffold_276_16:12181:15990:-1 gene:TanjilG_15257 transcript:OIW21933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERLVGSSAATTSYQGYVSWEEVFVSSEKGRRVVHYFLKRQSGDSDLAVIGKEKSLRHMSYRYALRNPSLGPYLKLRSRREVIDWLDSIISADVSHAADAIMVGEHGCGPEIQTWKDNQTQKVRLFAKEFTWFGSPWTCRKRRNHYPSFKRNGFQISVNDFVYVLAEEDKRLVAYLEDLYEDSRGNKMVVVRWFHKIDEVGIVLPHSFSDREVFFSHYLQDLSIECIDGLASVLSPQHYVKFHNETRDTHLQPFMCEHQFDDDDVKPFDITQIKGYWKQEVLGYMYTLSDSKSDGSPGQSKFSGSPGQSMSNGSSGQSDDSSELEKNFQCSTGVRPNKRQRCIKVDVKETIDLAVSKLENPSNSKFNMKTSTGNNSLKPVGPTPLATIKETNDKASLYLVVGCQVEVLSQDSGIRGCWFRASVIKKHKDKLKVQYQDVQDAVDEAKNLEEWVPASRVAVPDDLGLRMHGRTKIRPAPEANKCGTSWVGGVGSVVDVWWHDGWWEGIVVKKESEANFHVYFPGEKVVSTFGPDKLRHSHDWSGNGWVNMNERPDLVTSILSSLKTKQESSKSYDSKSTIASGDGIQSKQSDTCSDSKRDKPRKSEVVPELLKNDLFPQLSWKSYKKRSRGGSPCQKSPKIVVSDSADSFVIPASLKVDHEDYNHGGDPSIFSSSVVPSLTNLVMCR >OIW21932 pep supercontig:LupAngTanjil_v1.0:Scaffold_276_16:4959:5629:-1 gene:TanjilG_15256 transcript:OIW21932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEIETHMIEKQKRTVCVCGRFVPADVAIRIKKKMNRRVEILEVEELGGDVENEHEQLETAEETERPHIPMVVYPGQGPSYHPTMPMGQCM >OIW21929 pep supercontig:LupAngTanjil_v1.0:Scaffold_276_2:8043:12673:1 gene:TanjilG_15253 transcript:OIW21929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSYDKTLRDHFGIQTTKHLSRKSSLLKDYLIDDLSSCSSNGFNSFPRQQYCCTTIGQRKKTINTTLPPKSNSTSSSSSKISALYRASEAVISTFKSFPLSQKNGAKAKKSATSFLSRSFSRKVLFSSRRFWKKGTKEKGIVKYGSSSTRRWMRYFRELVMEEGDKTTSNEDITFIVNVSATTNFSGSGSNKSWAESEFSFSSSERSAEKENVVESTKEVVASDKVEGAGEGVASRAPTTALKLTAASDVDHYDWPNEEKEQFSPVSILDCPFEDDEEITSHFNSTTEGNKHVHNQKRRHFKSVASLEPVTLEEKMAQSDLEVETYESRSSLFPSSTLVPTMSTQNVTTNVLSDNIDEDVVDLLNFITISTPSNYLITKAENLLFDYFKQSIEENNNIDHSKKLHLCEVAEDWIIGQPQELYLGWEVKEGRHIYIREIDKEWKNSDQESQQVASELENELLTSLVNELVLDLTMQQASSSIVFSIL >OIW21934 pep supercontig:LupAngTanjil_v1.0:Scaffold_276_23:20198:32806:1 gene:TanjilG_15258 transcript:OIW21934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSRVQIVETVTLLVGLKLRFFWDNDSLSALLALELKADLLVLLSDVDGLYSGPPSDPQSRLIHTYIKEKHQSEITFGDKSRVGRGGMTAKVKASIHASEAGIPVIITSGYAAENITKVIQGQRIGTLFHKDAYKWAPVKEVDAREMAVAARNCSRRLQFNILILSLITSHALSSEERKQVLLKIADALEAHEKEIRIENEADVVAAQQEGYEKSLVARLDGLILEKTSSPLGVLLIVFESRPDALVQVTTEAIPDTVGGKFIGLVTSSWKLPTIIFQLDDVIDLVIPRGSNKLVSQIKSSTKIPVLGHADGICHVYVDKFANTEMARRIVLDAKIDYPAGCNAMETLLVHKDLVDKGWLNDIIVNLRTEGVTLYGGPKASSLLNIPQAHSLHHEYSLLACIVEIVDDLHAAIDHINLYGRHVTLYFGHTDSVVTQDQEVADVFLCQIDSAAVFHNASTRFSDGARFRLGAEVGIRTSRIHARGPVGVDGLLTTRWILKGSGQVVDGDKAKIHLTHHEERSD >OIW21935 pep supercontig:LupAngTanjil_v1.0:Scaffold_276_23:34305:35053:-1 gene:TanjilG_15259 transcript:OIW21935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVCAKLGNAKGTDQILNEVRILCQVNHRNLVGLLGCCIELEQPIMIYEFIENAEGLAYLHFMVVPPIYHRDVKSSNILLDMKLNAKVSDFGLSRLVQTDMSHISTCAQGTLWYLDPEYYMNYQLTEKSDVYSFGVVLLEILTAQKVIDFNRAADDVNLAVYVQRMAQ >OIW21938 pep supercontig:LupAngTanjil_v1.0:Scaffold_276_35:57922:59501:-1 gene:TanjilG_15262 transcript:OIW21938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELAMKVAEAVHVLNHDTQSCNRVAANQWLVHFQQTTAAWEVATAILTADPRLLPLASDFEVEFFAAQILKRKIQNEGYLLQLGAKDALLNALLVGVRRFSTGPPQLLTQICLALSSLVLQVVAHGNPIEQLFYSLQSLQSQDNGNIAVLEMLTVLPEEVFDNQRFESKISSLHKSHYTQEVEELLL >OIW21936 pep supercontig:LupAngTanjil_v1.0:Scaffold_276_35:4085:13342:1 gene:TanjilG_15260 transcript:OIW21936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVISHYNPVPIHLSHSGAGVAASESLFSFHLNSNSAMTPSLNCNNIIMNGNRNNRKIRNRSNAASHISHINAVKVDQSLVSEDDEDFVLPSKEFVAQANIQSQQQYLEMYKRSIEDPSGFWSDIASEFYWKQKWGDKVYDENLDIRKGKIKIEWFKGGITNMSYNCLDRNIEAGLGDKVAIYWEGNEPGLDGSLTYSQLRDKVCQVANYLKDNGVKKGDTVIIYMPMLMELPITMLACARIGAIHSVVFAGFSAEALAQRIVDCKPKVVISCNAVKRGSKSINLKNIVDAAINDSAQNGVSVGVSLVYENPVALKREDTKWKEGQDIWWQDVIPSYSTNCPVEWVDAEDPLFILYTSGSTGKPKGVVHTTGGYMVYTATTFKYAFDYKPSDIYWCTADCGWITGHSYITYGPMLNGASVLVYEGTPNYPDAGRCWDIVDKYKVTIFYTAPTLVRSLMRDGDEYVTRYSRKSLRVLGSVGEPINPSAWRWFYDVVGDSKCPISDTWWQTESGGFMITPLPGSWPQKPGSATFPFFGVQTAIVDEKGVELEGECNGYLCVKSSWPGAFRTLYGDHERYETTYFKPFANYYFSGDGCKRDKDGYHWLTGRVDDVINVSGHRIGTAEVESALVSHPKCAEAAVVGVEHEVKGQGIYAFVTLVDGVPYSQELRKELILTVRQQIGAFAAPDKIHWAPSLPKTRSGKIIRRVLRKIASKQVDELGDTSTLADPTVINQLIELADS >OIW21937 pep supercontig:LupAngTanjil_v1.0:Scaffold_276_35:27124:55152:-1 gene:TanjilG_15261 transcript:OIW21937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVIGGLACLLSEIGQAAPSLIVEASAEALALADALLSCVAFPCEDWEIADSTLQFWSTLASYILGIDEDGAKSRKHMETIFSPVFLALLDSLLFRSQVDDSTYNDERRVIDLPDGLVHFRMNLIEILVDIGHLLGSSIFIQKLFIGGWASPNLPIPWKEVESKLFALNAAADVIIQDGQSFDFSVVMQLVIILSTEPSDGLKGFICLVYRSLADVIGSYSKWISAFKENFRPLLLFLAIGISEPLSSNACACALRKVCEDASVVIYEPSNLEILLWVGEGLEKWHLSLEDEEEVMNAISLVLGSVPNRELKINLLARLLSSSYEAVGKLVDSENSRSLKEDPEYYTHVLSVASRGLHRMGTVFSHLSISVATDPVADDSILSLLRVFWPILEKIFSSEHMESGSLSMAACRALSLAIQSSGQHFVTLLPKVLDWLSTNFILFQSHECYIRTASIVIEEFGHRDEYGPLFVTTFERFTQAASVMALTSSYVCDQEPDLVEAYVNFTSTFVRSCSKDALSACGSLLEVSIQKAAICCTAMHRGAALAAMSYLSCFLDVGLVSLLECMNCIIDGSFNTTAIHVISLSGEGLVSNVVYALLGVSAMSRVHKCATILQQLAAICTLSEGTALKAILGWQTLCRWLQSAVQALPAEYLNHGEAESLVPLWSKALADAASDYIESKKSDGLKSNFGHMQGKGGRVLKRLVREFADAHRNIPTLT >OIW21939 pep supercontig:LupAngTanjil_v1.0:Scaffold_276_40:27824:30330:1 gene:TanjilG_15263 transcript:OIW21939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDSSPPTPPPPMTIDGADEDSALSNSRVLSRREVIARRLRRVRQLSRCYRGHYWALMEDLKSKYREYYWTYGKSPFVSENANGTVVSRGDVNGNAENVVGDDVVRCGSSGCKSKAMALTRFCHTHILSDSKQKLYRGCRFVVKNLPTGPSFCNKPVLRSTVPPACPAHRQRGEKCLLFAIKRAGYNLPNNRKPNPKLHVLISELVNQIQKKRKVAFQATVPKCETE >OIW21940 pep supercontig:LupAngTanjil_v1.0:Scaffold_277_7:3340:6319:-1 gene:TanjilG_15278 transcript:OIW21940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGSNESTTIPTLLAPDGQTYYVTQTEFKGPCKSNNVHVQILGTILAPLRVDWGACSRRWLSFTGVDGLIVDGSGVIDGQGEDWWGDALLFERCDGLQLSGLTHINGPGFHIYVVHSKNITISNVTITAPENSSNTDGIDISNSKGVTISDSIIGTGDDCIAIKGGTQFLDISNVQCGPGHGISVGSLGEDGQEEYASDIHVWNCSINGATGGVKIKTWAGGKGIVRGVIFEHIIVNQTNYPIHIDQHYYGSKEQPQAIKVDNVTFNDIHGSCTTENAIVLDCAKIGCTDIKLNEIGITSVDPNKPASTICNNVQGTGTNFLPGNSSCFH >OIW21942 pep supercontig:LupAngTanjil_v1.0:Scaffold_279_15:23498:24320:-1 gene:TanjilG_15349 transcript:OIW21942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKVYPQESTSSTCSSSKRETYTLWMKSLVFHSNGCTVYDSNGDIVYRVDNYDRKGKREVNLMDLRGRVLCVIKKKLLAFGSWDGYKSNSSSCERIEEPWFQVKRCNKIGKEACEIKVGCQKYCIVRTIGKVAFMIINTDGDIVAEAKQKYSPSGVALDNDVLTLDVAADTDHSLVMALVTVYGLICGRM >OIW21941 pep supercontig:LupAngTanjil_v1.0:Scaffold_279_15:14046:18849:1 gene:TanjilG_15348 transcript:OIW21941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRPWRTDKNKVKAVFKLHFHVTQMVQPGVEALVVSIIPGDTGKPSTRLEKTKVNDGVCRWENPVYESVKFVQDPKTGKFNERIYYFLVSTGLSKASNIGDVSIDIAKYVEATKPSSVSLPIKNSHCDVVLHVSIQRIQENNDKREEDECEDAKLKNNDRSLRTYLSNGDIDESTKSDSSEDISGKSNTNRAELSADCRTSSGSDITLSSSDVSSGLDTPRELEQRNTSIHPGTNGFLSDVSQTSMPQKPAVEASASMYDVQKKSHWDWLAGSEHGSSADDSTNGSQVALPKERSLEASDIEIKRLKAELSALARQVDMSDLELQTLRKQIVKESKRGQELSKEIIGLKDERDALKTECDNLRSLKKRNNEAKVSNRPQLESGDLRTLVEEIREELNYEKDLNANLRLQLKKTQESNAELVLAVQDLDDMLEQKNKEIYNLSNKHEQSKNSHELEGNLSKFETDDDEDQKELDELVKEHINAKETDLLEQKIIDLYGEIEMYRRDKDELEMQVEQIALDYEILKQEKHDIAYKLQQSQLQEQLKMQYECSSPSPDIDEFENHIEGLENQLKKQSEEFSTSLATIKALETQIRRLEEDLEKQARGFEADLDAVTRDKVEQEQRAIRAEKQSEEFSNSLATIKAHETQIRRLEEELEKQAQGFEADLDAVTRDKVEQEQRAIRAEEALRKTRQKNANTAERLQEEFRRLSKQMASTFDANEKATMRAMTEASGLRTQKRLLEQMLHKAKEELLSDKACYEVKLNELSNQIDMMTIQIQEMLSDIADKSKKLQNQKKHEEQLSMEISEEMKMIKGENERLKSEISWFSEQLKQKETLTTDLELMKKSVEESEILLQRGTVERNELVSVISSMKKEAEELLDELNWMRHLKNGKETVVNLLESELEELKAQHIDLKNSLFEDEAEKEKITKQVLQLKDELKQKDDALNNIEKRLKDSSGCTQLSYGSKTITKNEKTVSIPQRSKEMTLKDKFEVLEGQIKSKETALEMSSSSFLEKERELQCKTEELVNKVEEFNHSIALQKVVGVKNITVEHLNGTAPVSEENSALSFNSDANLSEKQEDMSITENEDGIFTELSSLKERNKSMESELKEMQERYSEMSLKFAEVEGERQKLVMTVRTLKNARKVKN >OIW21943 pep supercontig:LupAngTanjil_v1.0:Scaffold_279_19:10941:13768:1 gene:TanjilG_15351 transcript:OIW21943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCNKNNPLSPSSHNNSIMKKTKVFGRRNRSKTRKPKFLSLNLQLSQPKNTTIIMQQQQQQQLNLFPLHPENNTVVEEKDMHEENNVALLFSSDGSATLNGLLQDESTTTTTTTTTTTTTTVTTDTKNNITAATTTEGESGRWLVRKAMRRSNKERKVVEDDYDDEGSEERWVCYSEVVEEKREMMEEVSSTTVTATVGVKTSSFGVLSLKLDHEGIMNAWSEKGSLYIEEGEAPQTVPDLVNDLHFHNALLPNVPWDGWCNDDVGSTWSVPECCGANNNMKVNEEMGLKLRQREESVLRYKEKRQSRLFSKKIRYEVRKLNAEKRPRMKGRFVKRE >OIW20557 pep supercontig:LupAngTanjil_v1.0:Scaffold_27_14:15611:15949:-1 gene:TanjilG_14956 transcript:OIW20557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSATKKTVEDSASWYCAIVLLSLILLTSIRESSIHADEDVNTTVRGPSRLRARPCDEIYVVGEGETLHTISDKCGDPFIVERNPHIHDPDDVFPGLVIKIISTNTNKNN >OIW20556 pep supercontig:LupAngTanjil_v1.0:Scaffold_27_14:13750:13938:1 gene:TanjilG_14955 transcript:OIW20556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSACQTVRLDTLMDELKLKTEMRVQLMIYNKSAINLALNLVAQGRSKHIQTRFHYIRDQVSK >OIW20558 pep supercontig:LupAngTanjil_v1.0:Scaffold_27_15:13001:18723:-1 gene:TanjilG_14957 transcript:OIW20558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVDGGNKRVFNRLGGDPRNQKVCYHWQAGKCNRHPCMFLHSEVPPHNSNGAPSKRTYGSAENLSFNGPPRRTSNFNTWGRGGGGRGGSGGRGGGRGGEVVKKAEKICNYWVQGNCSFGERCKFLHSWSLGDGFSLLTQLDGHKKVVSGIAFPSGSNKLYTGSTDETVRVWDCQSGQCTAVINLGGEVGCMISEGPWVFVGIPNSVKAWNTENLMELSLSGPVGQVYALVVINDMLFAGTQNCKGNEQGTKDDMIGIGSNYVAPYVPHCICKGLDDATIGGRNLDDVKLAKENACRISLLIDGSILIWKFNLTANCFEPAASLKGHSHGVVSLVVGANRLYSGSMDNTIRVWSLETLQCLQTLTEHTSVVMSILCWDQFLLSCSLDKTVKVWFATESGNLEVTYTHQEEHGILTLCGMHDSQGKPILVCSCNDNTVHLYDLPSFAERGKIFTKQEVRAIQIGPGGIFFTGDGTGQVKVWNWIAEPTTSIQ >OIW20559 pep supercontig:LupAngTanjil_v1.0:Scaffold_27_15:22560:25273:1 gene:TanjilG_14958 transcript:OIW20559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPKSNSGNEPSTSYKCYKVAGLVGTILDTNHTSNLKDRYILGEQLGLGQFGVIRACYDKLTGEVLACKSIAKDRLVTSNDLRSVKHEIEIMATLSGHPNVVDLKAVYEEEDYVHLVMELCAGGELFHRLEKHGRFYESEARVLFRHLMQVVLYCHENGVVHRDLKPENILLATRASSSPIKLADFGLATYIKPGQSLHGLVGSPFYIAPEVLAGAYNQAADVWSAGVILYILLSGMAPFWGKTKSRIFEAVKAAHLRFPPDPWDHISESAKDLIKGMLCTEASQRLTAQEVLDHCWMENNHTNLEQLSEHRIQSCEECDVGRSSFTASFMSRNQDISFGVGSPACDTQSPTFTCRSSFFSFVEPVTPCLVSGGFSFQSAGDSTSLGFSSPIPSMLSFSFLSPSPVVEQKSCKLDRSANISDVNAIAGEVSLGKLLSLPDSTLCSAKYVKENDHKPVETKRAGVTNGHNRVLGIHSKRNRTIGLGEHEEVDFVVTESVIRWSSCTQLPTSLRSSLVC >OIW20561 pep supercontig:LupAngTanjil_v1.0:Scaffold_27_20:18376:20716:1 gene:TanjilG_14960 transcript:OIW20561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTLNYCWYYYVLHYQNDSNIVISFSVLLYDQFWLSLLNFRDCVEQCEKTGCVGDRCFQHCKFSSDGKPIDGPWYMHEPLYLRWKQWDCRTDCRYHCMLSREEERTKDGARPVKYHGKWPFKRVSGIQEPVAVSLSALNLAIQFHGWISFFILVYYKLPLRPDKKTYYEYTGLWHIYGILCMNSWLWSVVFHSRHVELTEKLDCSSAVALLGYTLIQSILRAFNVKDEATRVMISAPLVAFVTTHILYLNFYDFAYGLNMKVCATMAVCQFLIWAIWAAVSSHPSRWKLFVVVVGECLAMVLQIFDFPPYKGYVDAHALWHATTIPLAFLWWSFIRDDAEFRTSYYLKKVK >OIW20560 pep supercontig:LupAngTanjil_v1.0:Scaffold_27_20:5997:7712:1 gene:TanjilG_14959 transcript:OIW20560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCKINSLRSPIPCKLFTKKPKFRRRNNINFSSSTSSEKQQKTISISTNTEPYNVSFKTQRACKLGISRYPDFEYDAEGGAGTGSGAKVTTQNQANNDLAVSFDLETLYIPPLTSSTTKFLGLPLPPFLKIDIIPEAFHGSINQESGKVDFEFKAKFLFSAGSFYKAPPLVVKTVLTTEESKGNIKSGRGKRLDEEGKCRLVGVAIVDPIDDFLMNSFLGLPTECLAELNAVISISSSS >OIW20562 pep supercontig:LupAngTanjil_v1.0:Scaffold_27_21:36176:39543:1 gene:TanjilG_14961 transcript:OIW20562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANEKVTLTVLVVDEDDSSLTIVSNILSSWDYKVLTANSVDNALKTLREYEGFLDLVITEVHMSGIKGYEFQQCIKDEFKLPVIMMSTDAGKKEVTSNSEENKEALHLLKPICADDLKDVWKYAMAAKEKKPVIQNESSSEEESSAEKIINQDIDSSAPSTVTHEKKRKRKYNRRRSIEMNNENHSEDSYRVQKKPKMVWTTQLHNLFMTAIKKLGYDKAVPKSILEVMNVPSLTRENVASHLQV >OIW20563 pep supercontig:LupAngTanjil_v1.0:Scaffold_27_21:46550:48766:1 gene:TanjilG_14962 transcript:OIW20563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFASGITPSVMKEIQTRSEKLRVPVQQYLKNVAREPENAHTAYYSKLFNRVPFNPSNVQVQRSAYMTTELGRGQVPNAMYTGLVDQNQQAFNGYNSLNQVRLGQSSYGSNIINIGANEMQKTLLGSNAYPVYNDGSINLLSYGNGMGHGLMTSANGITGSLSQNYGSSFGNQSFKYGLGNGGMASLSNSNVSWNSSTCYPPRYNSHGIQLNGGSQFVGTGIKGGYNSIGTRTTFGNNYRSSFAMNGTQNANMHNNNSFGLVNNGTQNANMHNNNSFGLINGTQNTNMHAGMKPLGHGNIVAGTGYVSDPHLQSFNNNANSRNANAAENERQYNSSSITEGDVDKLQDDLSELFMMVQNMEFLNQIEDTPNVSEYLNSDFSVSTQVMQPPEQDSDKFVDWEFVDRFWVESDFSGSLNNSAIDQAS >OIW20564 pep supercontig:LupAngTanjil_v1.0:Scaffold_27_23:4794:6182:1 gene:TanjilG_14963 transcript:OIW20564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISMLFLGHLGDLALAGGSLALCFANITGYSILSGLAIGMEPICGQAFGAKRFTLLGLCLQRTILLLLFTSIPITLLWLYMKQILLFCGQNEDIAIQAQSYLLYSIPDLIAQSLLHPLRIYLRSQSITLPLTLCATFSILLHIPINYFLVFHLNLGLKGVALSGVWTNFNLVASLILYMIFSGTHKKTWGGFSYECFAQLKSLLNLAIPSCISVCLEWWWYEIMIFLCGYLINPTATVASMGILIQTTSLLYIFPSSLSFSISARVGNKLGAQKPSKARLSAIVGLSCSFIFGLSALVFSLMVRNIWASLFTRDKEIITLTSLVLPIIGLCELGNCPQTTGCGVLRGTARPKVGANINLGCFYLVGMFVSIWLAFFAGFDFQGLWLGLLAAQGSCAVTMMIVLSHTDWEIEVQRAKKLTGISDGCCDENQEEVVDDEKKGTKPESKEDSLPLLVNSHEDAQS >OIW20552 pep supercontig:LupAngTanjil_v1.0:Scaffold_27_3:8073:9233:-1 gene:TanjilG_14850 transcript:OIW20552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQFKSSAMSTHTDSSPIPSITTLPLNLHFNLHHVLPLLLLLFILIQFPPPATAQLPNTLTPPPPDNTISNVQFNKSMVMALVILVVVFVLLGFVSVYTRQCTERRMRGRHDLSIPIIGSNHRPRGLDREIIETFPTFIYSTVKSLKIGMATLECAVCLNEFQDDEKLRLIPVCNHVFHAECIDAWLVNHSTCPVCRANLVSTPSEVVPFMTIQLPDQTDPEPDPVHVDEFSGRQGTVMKESPKLSNNNSVNQNRPRRSRSTGFRFTNLLPRSHSLVQRGENLERFTLRLPEEVRNQLVTSTLSRTKSLGVAFTPESSERRGYRTRSVGSGCGRNNLERLDQSDRRMFRWMSRAGSNISKKVTEFNKDDVGERSSDRLFSGKENDM >OIW20553 pep supercontig:LupAngTanjil_v1.0:Scaffold_27_3:18092:20246:1 gene:TanjilG_14851 transcript:OIW20553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFGKSLSNQIEKTLPQWRDKFISYKELKKKLKLVESAAEKGDQEPPTKRARIDGEKMLKEEIDFRKSLENELHKFNNFFVEKEEEYIIRLKELRDSVAKVKDDNEEMMKIRKEIVDFHGEMVLLENYSALNYTGLVKILKKYDKRTGALIRLPFIQKVLQQPFFTTDLLYKLVKECELMLDRLFPVNDHPTSNEATPQVEGCEPSTSGTGKSDTLLLGPKDLADQDMESLYMKGTISALHVLQEIRSGSSTVSMFSLPPLQISGSGETWKKIPVMEQSAK >OIW20565 pep supercontig:LupAngTanjil_v1.0:Scaffold_27_38:13307:15060:-1 gene:TanjilG_14969 transcript:OIW20565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKGEIISIETIKPSTPTPDNLREFKLSLLDQLIPHQVYIPLLLCYSHHDINNAFGGTDDHFSVISQKLKTSLSQALTLYYPYCGTTVKDNLSIDCNDTGVVFIESKVNANLSDILIDPLLDVMVNDFFPFDPYNFDEPEANMAVRLNQFNCGGIALGVSFNHKLGDAITTASFLKAWSLIARGQGSIVAAPQMETSNLYFPPKNFKMPSFRDMLIPEDNVTKRFIFSGTNLSRIRDKVSSSNKNLSRVEAVTALIWKSALEAAATANSDKEQIIHASKVIHVVGIRGRVDPPLPEVTRGNLIIQSLSPKLELKGEVGLHDFAEMARKAVRSVDKDYVSKIVGDGILKVVEEMRASKEEGVPVYTFSSVTRLDYYENDFGWGKPTWLGTVGRRPNKNTILLFTSRDGEGTEAWITLPKAEMVEFERNPEILQYTSVDC >OIW20554 pep supercontig:LupAngTanjil_v1.0:Scaffold_27_4:31713:34391:1 gene:TanjilG_14852 transcript:OIW20554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDIWLSSILILMSCLAVKAQLKNGFYTSSCPSAETIVRSTVESYFNKDPTIAPGLLRLHFHDCFVQGCDGSVLIAGSSAERNAFPNTGLRGFEAIDNAKSQIETKCPGVVSCADILALAARDAVDLSNGPSWSVPTGRRDGRISLSSQASNLPSPLDTVSIQRQKFADKGLNDHDLVTLLGAHTIGQTECRFFSYRLYNFTTTGNSDPTINQASLSQLQTLCPKNGNGLTKVPLDKDTPAKFDVSFFKNVRDGNGVLESDQRLWGDSATRSVVQSYAGIIRGLLGYRFDQEFPKAMIKLSNVEVKTGTEGEIRKICSKFN >OIW20555 pep supercontig:LupAngTanjil_v1.0:Scaffold_27_9:7665:8854:-1 gene:TanjilG_14954 transcript:OIW20555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKEWHWAGKSFNKGAGVETQTEIPSGCMSDFFKFFDFYPFHFHTINNHQQQTTFNSAYCIPEDHTSIPKVSNDGITEKFQKNIQIKTSGDTRTTNGGNLNLSNSPGIKTPTLVARLMGLDLLPHSPSSLSSTSLSTPNPQGNVPSLHHLRPRQHIKTKPPNSTDSDIGATRSLPETPRISSSRISDVEYHRRLSLQINKENNMGLVEDSPSSPLITKYQDQNAQQPQLPRVLTKPRPTQQALLPNQQELEKQKWVPKC >OIW21945 pep supercontig:LupAngTanjil_v1.0:Scaffold_284_19:14814:15545:-1 gene:TanjilG_15764 transcript:OIW21945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTFVAVHSLHGSLLRSKFLGQDNFTNHHLPSKPSTIHTKPSYKVLQPCAKFDLFQILGGRGLCNGEEGLQKELQKQVGNDEKSSTPSPSAAEESEKVQEEENSGGSIVSTEVGAEDGFEKELMGLTGGFPGGEKGLKKFIEENPPPNKSEGNKGLNLSLTKKAKAPELPLLLPGMIAIVKNQNNPFYMYCGIVQRITDGKAGVLFEGGNWDKLITFRLEELERREKGPPMKNPKSCVLEQKS >OIW21947 pep supercontig:LupAngTanjil_v1.0:Scaffold_284_19:51639:53599:-1 gene:TanjilG_15766 transcript:OIW21947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRGTLEVVLVSAKGLQGNDFLSSVDPYVILTYRAQEHKSTVKEGGGSSPKWNESFLFTVSDSASELNLRIIDKDHFSKDDFLGEAIIPIDAVVAEGSIPETPYNVVKDEDYRGEIKVALTFTPEPERYDDSYKAEESYGGWTQSNRDI >OIW21946 pep supercontig:LupAngTanjil_v1.0:Scaffold_284_19:16700:20808:-1 gene:TanjilG_15765 transcript:OIW21946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKNKVLVVGGTGYLGQHLLQAFYADGNGISPYDLAFTHHSNLPPKPLLDSIPRSLAFQLDLKTGNGFEAISNAFGQPVVVVNCAAVSVPRACEIDPAAAHAINVPSSLVQWLQSFKEKNTLLIHLSTDQVYEGEKSFYKEDDIVVPVNVYGKTKVAAEQFISDNCSNYAILRSSIIYGLQTVSPVPKSLPIQASVEYWIDGALAKGEKVEFFHDEFRCPIYVKDLVNIILALTNQWISEGKQIQLLLNTGGPDRLSRVQMAEAVAQFRGYDTSIIKSVSASSVDRGVKSPPDISMDITRLVQTLRINPVSFKDGVGLTLTAEAKQ >OIW21944 pep supercontig:LupAngTanjil_v1.0:Scaffold_284_3:4589:5155:1 gene:TanjilG_15763 transcript:OIW21944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLYVINNNNDTTKKHHHIHKLFLLCNYILLGAASSCIFLTLSLRLFPSLCGALLILLHVFTILGAVSGCAAVSNGTNRWYSAHMVLNVLTAIFQGSVSVLIFTSTSSFLTRLDSYVREENGGVILKLGGGLGGVIFFLEWVVLTLAFFLKYYDIVEGNNNNGNGVGVRNEKVQNDEDLKDWSLAFKV >OIW20567 pep supercontig:LupAngTanjil_v1.0:Scaffold_28_23:3744:3935:-1 gene:TanjilG_15372 transcript:OIW20567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIIQHQNLMFSHHSIEEESTKPEKYPTIQSQTARNNTSKFYKQTESQKNNQPNEHAETYNNC >OIW20566 pep supercontig:LupAngTanjil_v1.0:Scaffold_28_23:665:1523:-1 gene:TanjilG_15371 transcript:OIW20566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTIRDSIGNLRLIVVSLSNNRDSIILCMNKLFSIMKLKPQSFNLWHLDDRRMSGDIERGATKESIAFVVQLAKAKERPLGFLQLAGRTNDHTVDGLKKERLFQSTSIANDETLAISSSNLSHAYALTGGIAYSGYARKV >OIW20568 pep supercontig:LupAngTanjil_v1.0:Scaffold_28_23:15031:15285:-1 gene:TanjilG_15373 transcript:OIW20568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMSKAMLVLFLNALFVCSLFTHDVEARGIQYGVIKREEVIGCSKKHTTNCRLPIANSYKRGCEAENGCRDKKHWLSPQKRLTN >OIW20570 pep supercontig:LupAngTanjil_v1.0:Scaffold_28_26:17118:22955:-1 gene:TanjilG_15375 transcript:OIW20570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAVSPSRNTRDENQGGMESFTIDVDDFGDLSEVNLLESINFDDIFDGFDIDEDILPSLEMDLDMFTEFSENTHSSVSVANDKSNDYGNIVTTKKKGDEDKGASSESGTNSGSSSHEGEIVNERDEPKVLNPSLKEGEKGRKSSSQSKNPHGKKKVKVDWTPELHRRFVQAVEQLGVDKAVPSRILEIMGIDCLTRHNIASHLQKYRSHRKHMLAREAEAASWSHRKQLYGSIGAGGGVKGEVNLMVAPPIMGFPPMTPTHHFRPLHVWGHPKMDVYNVWPNHLPQSPSPSQSPWLLPRAPPPNPSYLHQPQHQQAPNALTPGALCFPQPVTTTKFGSPIVPGIPPRHAMYKVDPGFGVSARQPGPPHFFDVHPSKESLDATIGDVLSKPWLPLPLGLKAPAVDSVMGELQKQGIPKIPPSCA >OIW20569 pep supercontig:LupAngTanjil_v1.0:Scaffold_28_26:6824:11204:1 gene:TanjilG_15374 transcript:OIW20569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQLIYRVTNKHVASLFKYYSHEDTEDACKYISRTILDDRPFVLILIGDSRKADNGVVVVVRARGGYGKLVQKELEVQRQLVDYGAGSLGSFPPVIPKSYGRHGGGHGHGGNYRHGRDYHKKRQRDDDRHHTQESSKRTSDHESRRNSDHEFRPEKNPRFRESGDSDEDEEDDRKRRA >OIW20573 pep supercontig:LupAngTanjil_v1.0:Scaffold_28_32:31362:34246:-1 gene:TanjilG_15378 transcript:OIW20573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDGEISYGFTHQNTTGASSNTPALKRKRNLPGNPGMFFSFIVAYSYILSFLIFSTFCLIIEHYPEAEVIALSPKTLMATNRFVCEICLKGFQRDQNLQLHRRGHNLPWKLKQRTSKEVKKRVYVCPEKTCVHNHPSRALGDLTGIKKHFCRKHGEKKWKCEKCSKRYAVQSDWKAHSKTCGTKEYKCDCGTIFSRRDSFITHRAFCDALAEETAKVNAGSNMAYSLMQTSIRPNMATRFSSIFKPNSNTEEPSDQTYRELSLWNMGQTSQAHETLVNGNLHEIHPLGSATLYSGGNPLVVSCSNPPPSNYQLKWVFDNKVSSNGNQDLTSTTTSLPLVNNFKDTTNNLVSVPSLYSSQHQPNQTTSANMSATALLQKAAQIGATSSTEPSFFGSFGLKCSNGQGQDGNKFCGMYGSSSALTSLGSEQDNSLSYLSHMPPTKRRHVQNEEGAAGGQTRDFLGVAMQTICHPSSINGWI >OIW20571 pep supercontig:LupAngTanjil_v1.0:Scaffold_28_32:14868:16520:1 gene:TanjilG_15376 transcript:OIW20571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQCSYPENNHSITVERCKDSLIGTCPTCGHHIKCKEKAAGMLDLPGLPAGVKFDPTDQEILEHLEAKVRSDIQKLHPLIDEFIPTLEGENGICCTHPEKLPGVSMDGLIRHFFHRPSKAYMTGTRKRRKVHTDADGSETRWHKTGKTRPIYINGKLKGYKKILVLYTNYKRERKPEKTNWVMHQYHLGNNEEEKEGELVVSKVFYQTQPRQCGLLMKGSFPARLNGEGVHNVTNHINNEFVEEYNTSIISFDQGDQHRLIKKQGDQHRFSNAEVVSHFPVHDHGAFIP >OIW20572 pep supercontig:LupAngTanjil_v1.0:Scaffold_28_32:18596:19267:-1 gene:TanjilG_15377 transcript:OIW20572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFTDSPVVELPVGTAVLSLQQDNGSMHVGTSVWPCSLVLVKFIDRWAPLTTPENPYSHLLDFKSKRAVELGTGCGVAGMGLFLLGLTDIVLTDISPVMPALKRNLKVNKRVLGKNLKHSILYWNNIDQIRALNPPFDFVIATDVVYIEESVGLLVSAMEALVSENGVVLLGYQVRSPEAHKRFWELCNEVFDIDMVPHDHLHPEYAYEEADVYIMKKKKKEL >OIW20574 pep supercontig:LupAngTanjil_v1.0:Scaffold_28_38:4535:7969:-1 gene:TanjilG_15379 transcript:OIW20574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFRNVKVPNVPGGGAASALLKLGIVGGIGLYAAANSLYNVEGGHRAIVFNRIIGVKDKVYPEGTHFVIPWFERPVIYDVRARPHLVESTSGSRDLQMVKIGLRVLTRPLPSQLPTIYRSLGENYNERVLPSIIHETLKAVVAQYNASQLITQREAVSREIRKVLTERAANFNIALDDVSITTLTFGREFTAAIEAKQVAAQEAERAKFVVEKAEQDKRSAVIRAQGEAKSAQLIGQAIANNAAFITLRKIEAAREIAHTISNAANKVYLNSDDLLLNLQEMNLEPSRK >OIW20575 pep supercontig:LupAngTanjil_v1.0:Scaffold_28_38:8932:10482:1 gene:TanjilG_15380 transcript:OIW20575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNKIRPTWTRSQEDNLRLLQTLFNVTNLQTPSNPNSETLTTHSHSHFLITKITRFLHSHSHSDIPQPVFIQNLLKFRRDKPVDQVERALDLCGFDLNDDLVLAVLHRHRTDWKPAYMFFNWVSRMGPTRNGYTPSSVVYNEIIDILGKMKRFEEVDQVFDEMAKREGLINEAVFDTLLHRYAGAHKVDEAIGLFYRRKEFGLEVDLEAFRTLLMWLCRYKHVEDAETLFHNKANELKLDLDIKTWNVILNGWCVLGNVHEARRVWKDIVASRCRRDLFTYGIFIKALTNKGKLGTALRLFRGMWDGGCKPDVVICNCIIDALCFKKRIPEALEVFHEMNERGCQPNVATYNSLIKYMCKIQRMKKVYELVNEMELKKGSCLPNTITYSYLLGSLKEPGEVPGVLARMERNGCSMNDDVYNLVLRLYMEWDDQDGVRKTWEEMERNGCGPDRRSYTIVVHGHCEKGRVKDASRYFRVMISKGITPEPRTEKLVSSMNIQLKERTGKEGIEGETSSL >OIW20578 pep supercontig:LupAngTanjil_v1.0:Scaffold_28_38:25935:27709:-1 gene:TanjilG_15383 transcript:OIW20578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTARFIKCVTVGDGAVGKTCMLISYTSNTFPTDYVPTVFDNFSANVVVDGSIVNLGLWDTAGQEDYNRLRPLSYRGADVFLLAFSLLSRASYENISKKGEELKKAIGAALYLECSSKTQQNVKAVFDAAIKVVLQPPKPKKKRKKSRSCFFL >OIW20576 pep supercontig:LupAngTanjil_v1.0:Scaffold_28_38:11783:12529:-1 gene:TanjilG_15381 transcript:OIW20576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNNPALILLTLTPFLFLLTTQTRAQSAPAPAPSGPVNLTAILEKGGQYTTFIRLLEETQQITQIQSQLNSTTEGFTVFAFTDNAFQNLKSGAINELTDDQKVKLILYHVTPKYYTLSDLLTVSNPVRTQASESEGSWGLNFTGQGNQVNVSTGVVVTPINNALRQQFPLAVYQVDKVLLPLELFGAKSPKSAPSPKSSKTTPEIPSPATKADSAKSPADSKNDGARMHVGLGMVLGLGLICMGALS >OIW20577 pep supercontig:LupAngTanjil_v1.0:Scaffold_28_38:20421:23258:-1 gene:TanjilG_15382 transcript:OIW20577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEDYDYLFKVVLVGDSGVGKSNLLSRFTRNEFSSETRSTIGVEFATRTIQIDDKVIKAQIWDTAGQERYRAITSAYYRGAAGALLVYDVSKHITFENVERWLNELRYHTDANTVIMLVGNKADLRRLRAVATDEAATLAERENTYFIETSALESLNVNNAFTEVLTQIYHVVSRKALDVREDPSALAKGQKINVGCGDNVSVVKESGCCS >OIW20581 pep supercontig:LupAngTanjil_v1.0:Scaffold_28_44:27356:30150:-1 gene:TanjilG_15386 transcript:OIW20581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASASATLSSLSSLSLHTHSRFSLFPTPQKLTFSLRPKTPSLLSTTTTTTTTTVAKSKPSNENDTDTTFVDTVNPEEALSFDPPQPPEDFIPPPSIDDGPLETEDEISAAYEELYGPAYSGVSVLGNDIHVMDSKMKKATGFGSKVKKEKIRDGFEERVVQVRRVTKVVKGGKQMRFRAIVVVGDKKGNVGVGVGKAKEVIAAVQKSAIDARRNIIPVPMTKYSTFPHRADGDFGAAKVMLRPASPGTGVIAGGAVRIVLEMAGVENALGKQLGSNNALNNARATVAAVQKMRQFREVAEQRGIPMEELWK >OIW20579 pep supercontig:LupAngTanjil_v1.0:Scaffold_28_44:9632:10492:1 gene:TanjilG_15384 transcript:OIW20579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSPSSRRNPENHNLLPFSTHNIPSPNSSCSSNNNNNGVIHHTQQLPPLSPTTPKSMHRSESSATYPTTFVQADSSSFKQVVQMLTGSSDTAKQASAIMTPPIITTSKNNVGLSNNHHHMIPPMKTMQQQPHQSGYKLYQRRNNNFNINPLVPSFPKPYSYPYPSPASGLVSNNHEVALSPSTLDFPSLVLSPVTPLIPDPFIRSGTSPSTGYALYPNVGNKCSYLDLDPMAVAEEKAIKEKRFFLHPSPSTTPRGTEPPRLLPLFPTTSPRAEVVEGGAASSTSS >OIW20584 pep supercontig:LupAngTanjil_v1.0:Scaffold_28_44:40447:41047:1 gene:TanjilG_15389 transcript:OIW20584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRNGAQGTSQSRSNRYDSSTKPNPEVYDGSERAKETMREGMDEAKERAQEMKDKAEGYAHHTKEDTKDAAGTIADQTKEGANRAADMASRAKETTEDVTASATEKTVETVKNVAEKAKEAVHGAWDATKDTTQKVKETVIGKNDDDDDDDNDGRGVNMDEDVIELRKRAGKVYDDQRKGY >OIW20580 pep supercontig:LupAngTanjil_v1.0:Scaffold_28_44:25826:26152:-1 gene:TanjilG_15385 transcript:OIW20580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFNLMLVLFLLALAMVAEASEIQDIDINHVTTIGDLIGDDNDELLDSEVNRRTLRGRSRYIGYNSLRANQVPCGNRGRSYYNCQQRGRANPYRRGCTAVTHCARNVH >OIW20583 pep supercontig:LupAngTanjil_v1.0:Scaffold_28_44:37365:37965:1 gene:TanjilG_15388 transcript:OIW20583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRNGAQGTSQSRSNRYDSSTKPNPEVYDGSERAKETMREGMDEAKERAQEMKDKAEGYAHHTKEDTKDAAGTIADQTKEGANRAADMASRAKETTEDVTASATEKTVETVKNVAEKAKEAVHGAWDATKDTTQKVKETVIGKNDDDDDDDNDGRGVNMDEDVIELRKRAGKVYDDQRKGY >OIW20582 pep supercontig:LupAngTanjil_v1.0:Scaffold_28_44:32332:34905:1 gene:TanjilG_15387 transcript:OIW20582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFNIANPTTGCQKKLEIDDDLKLRAFWDKRISQEVLGDALGEEFKGYVFKITGGCDKQGFPMKQGVLTPGRVRLLLHRGTPCFRGYGRRTGERRRKSVRGCIVSPDLSVLNLVIVKKGDNDLPGLTDIEKPRMRGPKRASKIRKLFNLSKEDDVRKYVNTYRRTFTTKNGKKVSKAPKIQRLVTPLTLQRKRARIADKKKRIAKSKSDAAEYQKLLASRLKEQRERRSESLAKKRSRLSSATKPSVTA >OIW20587 pep supercontig:LupAngTanjil_v1.0:Scaffold_28_47:26947:27417:-1 gene:TanjilG_15392 transcript:OIW20587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLIPNFGGRRSNIFDPFSLDIWDPFKDFQFPNSVSGSFPSENSAFVSARVDWKETEEAHVLKADIPGLKKEEVKVEIEDDRVLQISGERNVEREDKNDTWHRVERSSGKFFRRFRLPENAKLDQVKAAMENGVLTITVPKKEVKKPHVKAIEISG >OIW20586 pep supercontig:LupAngTanjil_v1.0:Scaffold_28_47:15234:18325:1 gene:TanjilG_15391 transcript:OIW20586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNALAASECSSGCESGWTIYLNNSFLNHNPSPFIGAQDDDDDNGFYDEEDKYIKSKSEEDQEEDWSMVSDASSGPPHFPQHDESYNNFNAQQDINPGCFYSASKAASKRRSKKKKKVKENQHLQDKQLQQQQQHLPSFLDDTASSPLFDFSMNSISVSNQQISIESMVDYSQGFSATYFEGRFSLQDHFGFLQPSLSENEVHSNNNSECPICRTHYAGQSSLKDDVNYDALISFVSTRIGKYEEEVR >OIW20585 pep supercontig:LupAngTanjil_v1.0:Scaffold_28_47:5213:6070:1 gene:TanjilG_15390 transcript:OIW20585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDMPFFLLKNSLGAKMKKGLRTFCNNNGSTSTLDQQNSSTIGNNSNSQGDFTSKVSSPYLQQGENSKQNSPTLEDLILQLEMEEELTRKSKLNEYGGIRGRMSCVNNSDILRSARNALNQYPRFSLDGRDAMYRSSFGNIEGRRSVYSERSLGERLLEESDLVSKFEKTMSLPSTVAGESVVWCKPGVVAKLMGLEAMPMPVSRKRSSNKEKLSNSANVRRQNLRKRFERNELERKLAMEMHGCHGIRRKNSGFCTKNGYCIVKPVALEALAGGPRSFQPHRYA >OIW20588 pep supercontig:LupAngTanjil_v1.0:Scaffold_28_47:30737:31309:1 gene:TanjilG_15393 transcript:OIW20588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTDHEGNKKGSHVATDSISEYSLSFAGLVCIHDQQQQQPKPYVPDRDKLIQVNKTDPDFEFDIRTKNKIVDLNNNSSAIPIKKITHADVLISSGQIKAQQEVAKFQPNSPISLSTLLGIGEHSNMSNGHTQDVRKGRNHAKKEGSMKRKSFGKKVCKSFLATCRQCKAIKPSVVKGQTTVPGEKSKLLK >OIW20589 pep supercontig:LupAngTanjil_v1.0:Scaffold_28_47:49618:50088:1 gene:TanjilG_15394 transcript:OIW20589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLIPNFGGRRSNIFDPFSLDIWDPFKDFQFPNSVSGSFPSENSAFVSARVDWKETEEAHVLKADIPGLKKEEVKVEIEDDRVLQISGERNVEREDKNDTWHRVERSSGKFFRRFRLPENAKLDQVKAAMENGVLTITVPKKEVKKPHVKAIEISG >OIW20590 pep supercontig:LupAngTanjil_v1.0:Scaffold_28_47:60745:61801:-1 gene:TanjilG_15395 transcript:OIW20590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNALAASECSSGCESGWTIYLNNSFLNHNPSPFIGAQDDDDDNGFYDEEDKYIKSKSEEDQEEDWSMVSDASSGPPHFPQHDESYNNFNAQQDINPGCFYSASKAASKRRSKKKKKVKENQHLQDKQLQQQQQHLPSFLDDTASSPLFDFSMNSISVSNQQISIESMVDYSQGFSATYFEGRFSLQDHFGFLQPSLSENEVHSNKSDTEGKGWE >OIW20591 pep supercontig:LupAngTanjil_v1.0:Scaffold_28_50:5609:7721:1 gene:TanjilG_15481 transcript:OIW20591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDQSLDINKSYESYSQRMSQSSSSSQGGSQEKFFHNANVKTICELIQLGQEGEFNPLSIPQAVDDLVTKSLAFKVKLQPTYKRCSVIQVSEDSQLIACLLERITPDQDLSALEKGKSVGPAFKEDDGFECQSLSATSDYDPDCSAYLTPLKRLGSSALSEDAQDIGFAQMSSTKNAKHISKD >OIW21950 pep supercontig:LupAngTanjil_v1.0:Scaffold_290_10:5592:6044:1 gene:TanjilG_16157 transcript:OIW21950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVGGGLKRWQQAAVAVGSAVGALLDPRRADLIAALGETTGKPAFEKVLQRMQSSPEGRVMNSNSVNSLSLEGYQRFNLPRPTRW >OIW21952 pep supercontig:LupAngTanjil_v1.0:Scaffold_290_10:13974:15433:-1 gene:TanjilG_16159 transcript:OIW21952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGVSSGGGQSSLGYLFGSGEAPAPKSAPTNAQPEAKAVNNVAPSKPVAAPATIDPNKPAGINSSSIDGLNTGNFITDRPSTKVHAAPGGGSSLGYLFGGPGDAK >OIW21951 pep supercontig:LupAngTanjil_v1.0:Scaffold_290_10:7720:9150:1 gene:TanjilG_16158 transcript:OIW21951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVGGGLKRWQQAAVAVGSAVGALLDPRRADLIAALGETTGKPAFEKVLQRMQSSPEGRAVLLERPRVISANVGNAWDLPENTFGSAYARFMGSRNFSPDDRPPVRFMDTDELAYVAMRAREVHDFWHTLFGLPTNLIGESALKVIEFEQMYLPMCMLSVIGGTARFSEKQRKLFYQHYFPWAIRAGVQCTDLMCVYYERHFHEDLEDVRRKLGIVPVPPVP >OIW21953 pep supercontig:LupAngTanjil_v1.0:Scaffold_290_11:328:6664:-1 gene:TanjilG_16160 transcript:OIW21953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSAASHGNHHYPNSSSKSSNANRNRQNQFSDRRQKILQQRKSLPIASVEKRLVDEIRKNDILIIVGETGSGKTTQIPQFLLGAKFCHDGKVIGITQPRRVAAVTVAKRVAEECGVELGQEVGYSVRFDDTSSSSTRIKYMTDGLLLREALLDPYLSKYSVIIVDEAHERTVQTDVLMGLLKSVQLARSNSASHGQGLNFGNKSVNSVTSSEKENSGQSNSFLKKEHQYAPLKLIIMSASLDARTFSEYFCGAKAVHVQGRQFPVDIFYTRHAEPDYLDAALITIFQIHLGEGPGDILVFLTGQEEIESIERLINERLTKSPQESQKLLVVPIFAALPAEQQLRVFAPAPSGFRKVILATNIAETSVTIPGIKYVIDPGLVKARSYDPAKGMESLNVVPTSKSQALQRSGRAGREGPGKCFRLYPENEFGKLEDSTKPEIKRCNLSNVILQLKALGVDDILGFDFIEKPSRAAIIKSLEQLFLLGALTDECRLSDPVGYQMARLPLDPVYSKALILASQFNCLEEMLITVAMLSAESIFYAPRDKLDESRTAMKSFSSPEGDHITMIKVYRAYSEFLEKKSIEMGKAKSEKALRKWCKENFINSRSLRHACDIHRQILGHVQQMGLSLASCGDDMLEYRRCLAASFFLNAAVKQPEGMYRALASGQVVQIHPSSVLFRQKPECVIFNELVQTNNKYVRNLTRIDYLWLMELAPQYYAVQN >OIW21955 pep supercontig:LupAngTanjil_v1.0:Scaffold_290_13:12556:15784:1 gene:TanjilG_16162 transcript:OIW21955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQPVVTFIVDRLGDLLIQEAVFLNGVKDKVLQLQTELRRMQSYLQDADRKQEEDVSLRNRISEIREAAYDSEDVIEAYALRSSTRGNLSGVINLIKRYALIIKSLIEIHQVGSHVDDIIARITSLTQSLEIYGIRPERGEASNSVNGRQRALRRSYSHIIEEDIIGVENDVKILESFLVNPNKGYRVVSICGMGGLGKTTLAKKLYHCNDVKNHFESLAWAYISQHCQARDVWEGILFKLISPSKELREEIVNMRDDEVAKMLYQVQVEKRCLVVLDDIWSADTWNNLSPAFPNGRSLSVVGSKMLITTRNKDVALHMDPTCFVHLPSCLNEDDSWELFQKKAFPKTDDPDFKISTEMERLGREMVGRCAGLPLAIIVLGGLLATKPTLYEWDTIRQNINSYLRRAKGLQQLLAVSEVLALSYYELPYQLKPCFLHLAHFPENLEIPTRKLIRIWVAEGIISLVHNEGEGEEALEDVAQRYLTELVERCMIQVVEKSSTGRIRSVQMHNLMRDLCVSKAKQENFLEMISSWNNAYESAGCSSLPTASRATRLTGKVRRVALHLNQDIDRFFPSHLRSHHHLRSLLCFHDEKTARLSEWGSMKSFFNKCRLLRVLNLEGIQCQQGKLPKEIGFLIHLRFLSLRNTKIDELPPSIGNLRCLQTLDLLTGNSTVQIPNVIEKMDKLRHLYLPESCGDSAEKWVLTKLKNLQTLVNFPSEKCDVRDLMNLTSLRKLVIDDPNFGDIFKSLNVTFNQLESLLFVSSEDTSIPHIAAGCPNLYKLKIEGPIMNFPEPHQISSKLAKLKLQGSGLVIDPMTTLEKLPNLRLLDLQLDSFVGKQLVCSNKGFPQLKSLHVCDLTNLEEWKLDKGAMPSLIKLEISNCTKLKMVPEGLRFVNTLQDLEIRSMFGAFKSKLEKGGDDHYKVQHVPTMIAD >OIW21954 pep supercontig:LupAngTanjil_v1.0:Scaffold_290_13:4055:6013:-1 gene:TanjilG_16161 transcript:OIW21954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDDKACTLGLSLEMGISGGYVPKKDKQKVHKSVPCLDLSFDLYPKEEDIDVNHYDGAKGFNLKRIIEEEQQDPNSMISDNINNKNCSRKKLRLTKEQSALLEESFNLHVTLSPAQKQELADKLNLRHRQVEVWFQNRRARTKLKQRVVDCEFLKKCCEKLTNENLRLKKELQELRVLKVNISNIATLTTCSSCNKELLKPNEGNNIHKKLQSSIELESTIRT >OIW21948 pep supercontig:LupAngTanjil_v1.0:Scaffold_290_7:1424:3221:1 gene:TanjilG_16155 transcript:OIW21948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPLSLTLLIDLISFTHNNSPTLISSGNPCLDFFFHIVPDTPPQTLLQRLQLSWAHNPLITLKLVCNLRGLRGTRKSDKEGFYATALWLHAHHPKTLASNVPSLAEFGYFKDLPEILFRILEGPHVRKKQKEEWEKIKGSSSKRKGVFEERSRVFKFRVLNEISLAAKWCPSLDSSFDHSTLLCESSARRVFPHEVYTEYEGIEESQYVYRIRNRLRKEVLVPLRKALELPEVYIGENRWDLIPYDRVASVAMKFYKHKFLKHNEERFRNYLLDVKSGKTTIAAGALLPHEIIHSLEYGNGGEVAELQWRRMVEDMLKKGKMKNCIDVCDVSGSMWDTPMEVSVALGLLVSELSEEPWKGKVITFSENPQLHLVEGEDLHSKAESIRDMSFGMNTNFHKLFDNILEVAVNGNLKEDEMIKRVFVFSDMEFDQASENPWETDYKAIIRKFNEKGYGSAVPQIVFWNLKDSEATPVSATEKGVALVSGFSKNLLTLFLDSEGDIIPENSMEAAISGPEYQKLVVLD >OIW21949 pep supercontig:LupAngTanjil_v1.0:Scaffold_290_9:7273:9301:-1 gene:TanjilG_16156 transcript:OIW21949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPDFQLLFFPNLLQPPLFTLHAALFTLFFILIIFISFSPGGLAWALTLTKFVIPGPPGHPITGLISVFSGVTPHRALAKLAKRYKAEPVMAFSVGLTRFIISSEPGTAKEILGSTGFADRPVKESAYELLFHRAMGFAPYGEYWRNLRRISATHLFSPKRINAFGGFRKEVGLKMVKEFDTMMSKNECVEVKKVLHFGSLNNVMMTVFGKSYDFYGDGVELEEMVSEGYELLGVFNWSDHFPLLGWLDLQGVRKRCRCLVTKVNAFVGKIINEHRMKRVSGEYVVGKSVGDFVDVLLDLEKDYKLSDSDMIAVLWEMIFRGTDTVAILLEWILARMVLHPQIQAKAQKEIDHLTGNSSRHVSGEDIPKLPYLQCIVKEALRMHPPGPLLSWARLAIHDVKVGETIITAGTTAMVNMWAITHSEKFWNEPEEFKPERFMEHDVDIMGSDLRLAPFGSGRRVCPGKSMGLASIHLWLAQLLQSLKWVPYGDGSVDLSECLKLSMEMKTPLVCKIVPRVVDALE >OIW20596 pep supercontig:LupAngTanjil_v1.0:Scaffold_29_13:29017:31706:1 gene:TanjilG_16095 transcript:OIW20596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGKKIPEVLLNSGEKIPVIGMGTSIDPPRPSNADLASIFVDAIEVGYRHFDSASVYGTEEAIGLAVAKAVELGLIKSRDEVFITSKPWNTDAHHDLIVPALKTTLKKLGVEYVDLYLIHWPVRLRHDLENPTIFSKEDLLPFDVEGTWKAMEECYKLGLAKSIGVCNYGIKKLTKLLEIATIPPAVNQVEMNSSWQQGKLREFCKQNGIHVSAWSALGAYKIFWGSGAVMENPILQDIATAKGKTIAQVALRWVYQQGSSAMAKSFNKERMKQNLDIFDFELSEEELEKIKHVPQRRQYKGEMWLSENGSCKTLEELWDGDI >OIW20595 pep supercontig:LupAngTanjil_v1.0:Scaffold_29_13:8342:11577:1 gene:TanjilG_16094 transcript:OIW20595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSLVPNVVLNSGHKMPMIGYGTGTVPLPPNHVLLTAFSAAIEAGYRHFDTAAYYGSEEALGQAISQALQQGLIKSRSELFVTSKLWCTNTHPGLVVPALKKSLETLGLEYVDLYLIHFPVRLRDGAKGLKYNEGDILPIDMKGVWEDMEQCSKLGLAKSIGVSNFGVKKISEILQNATIPPAVNEVEMNVSWQQENLRKFCNEKGIHVSGWSPLGSNGSMWGSNAVIDNPILKDIAISTGKTVGQVALRWLIEKGVTPIMKSFNNERMKQNLQIFDWELSEVDLERTEEIPQRRAFQAERFISEYGPFKTLEDFWA >OIW20598 pep supercontig:LupAngTanjil_v1.0:Scaffold_29_13:46033:47972:-1 gene:TanjilG_16097 transcript:OIW20598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLAYNFSRATTVAFALLPLLIAVIHCSDNPANTCSKQCIAQQCDTVGIKYGKYCGVGYSGCPNEKPCDDLDACCMAHDNCVDKFGMTNVKCHVKLKNCLTKVQKSGKVGFSKECPYSIAAPTMIRGMDLAIMLSQLGNHSDDL >OIW20597 pep supercontig:LupAngTanjil_v1.0:Scaffold_29_13:39638:41296:-1 gene:TanjilG_16096 transcript:OIW20597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSPQNTLLVATTLLLFLSFSKLSLTTFVSIDCGSYESTIDTNNITWIGDGAYIQFGESHQIPSAPSIFNTLRAFPYGNESCYSIEVENGEKVLSRATFFYGNYDNKSSPPIFDLHFDGNFWASVNTSMASSEYNLDYEAIYATKGNTTNICVTQKMPNHVPFITSLEVRSLNSTMYSQLEPNHSLILLGRYAFGADKSIRYPDDAYDRIWKTVSGDGLISRVKSDAFNIDISTVIDNPPQAAMNNAVTTPDKDHQILYHLFIPHKEVPLYINTYFSEVTKDAAGARSIEIHVDSNPVYGPIAPPFGSVEEVYIKKSLSQDTSLAFVARPDSTLPPILNAIEVYQIQPLANATDT >OIW20600 pep supercontig:LupAngTanjil_v1.0:Scaffold_29_18:9150:9743:1 gene:TanjilG_16099 transcript:OIW20600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTELLSYTACRQLSQMLLAILFFHSSEYFLAIAFHGRSSVTLKSLLISKHYVLAMIFSFLEYAIEIVLFPELKEQWLISDLGLALIVIGEIIRKMAIITAGQSFTHLIRVYHDEHHELITHGIYRFIRHPGYCGFLIWSVGTQIMLCNPISTIAFAAVVWNFFAKRIPYEEYFLRQFFGAQYEEYAEQVVSGVPFVN >OIW20599 pep supercontig:LupAngTanjil_v1.0:Scaffold_29_18:2580:6295:1 gene:TanjilG_16098 transcript:OIW20599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLFFFLFFTFITSSFSTVRSDPSDHRYHEGDLVPLYANKVGPFHNPSETYRYFDLPFCLPGDLKEKKEALGEVLNGDRLVSAPYKLEFQRDKESVAVCKKTLKKEDIALFRSAVRKDYYFQMYYDDLPIWGFIGKVDKDGKDPSDYKYYLYKHIHFDIFYNKDHVIEINVQTDPNALVDLTEDNEVDVEFLYTVKWKETNTPFDKRMDKYSQSSSQPHHLEIHWFSIINSCVTVLLLTGFLATILMRVLKNDFVKYAHDEESAEDQEETGWKYIHGDVFRFPKYKSIFAAALGSGTQLFTLTIFIFILALVGVFYPYNRGALFTALVVIYALTSGIAGYTATSFYCQLEGTNWVRNLLLTGCIFCGPLFLTFCFLNTVAITYNATAALPFGTIVVIVLIWTLVTSPLLVLGGIAGKNSKAEFQAPCRTTKYPREIPPLPWYRRTIPQMAMAGFLPFSAIYIELYYIFASVWGHRIYTIYSILFIVFIILLIVTAFITVALTYFQLAAEDHEWWWRSFLCGGSTGLFIYAYCLYYYYARSDMSGFMQTSFFFGYMACICYGFFLMLGTVGFRAALLFVRHIYRSIKCE >OIW20602 pep supercontig:LupAngTanjil_v1.0:Scaffold_29_20:27076:35455:1 gene:TanjilG_16101 transcript:OIW20602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANFVNDEEPMLREAHGQSSDEPAHSKFQRLVTRTQSASISNTMISMEQYERETSRVGHTGPLRSERKTPVMHMSGPIYPTRGTGNLLHQNVAAAVKKVTDIQKEKFPIFRGKSENHWNNNHVGNNEHLLRSGQLGMCNDPYCTTCPTYFKASQQRNPKPSTIFDPKFHNILYGDAKGFARKFYSFCSSYVPGVMNPHAKVVQQWNQEGKCIYIHKTMTTTLVVLRSINDFVYLINILLQFRLAYVSPESRVVGAGDLVDHPKKIALHYLRGYFPLDLFVVIPLPQIMILFVLRKYLRTSGANYAKNLLRVAILVQYIPRLFRFLPLLIGQSPTGFIFESAWANFIINLLIFMLSGHVVGSCWYLFGLQRVNQCLRNACHKADIPECLKYIDCGHGHSQNNPFDVTLVLWHNNTDAMNCLKPPSDGGFEYGIYANAVPLTMETKVISKYVYSLFWGFQQISTLAGNQTPSYFVWEVLFTMAIIGLGLLLFALLIGNIQNFLQALGRRRLEMQLRGRDVEQWMSHRRLPEDMKKRVRQAERYSWAATRGVNEEMLLENLPEDLQRDIRRHLFKFVKKIRIFALMDEPILDAICERLRQKTYIKGSRVLCRGGLVEKMVFVVRGKLESMGEDGIGVPLSEWDACGEELLTWYLEHSSVSTDGKKVRLPGQKLVSNRTVKCLTNVEAFSLRAADLEEVTILFTRFLRSPRVQGALRLFLCLVSRFMVQHNLVHFATN >OIW20601 pep supercontig:LupAngTanjil_v1.0:Scaffold_29_20:1409:2950:-1 gene:TanjilG_16100 transcript:OIW20601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSFNVFIRPYCSEIAPISQSFSFGVSDFEEGNSLRSQVDKVYNTVMENLVGFKNLENALEQLSIPLSTPLVTRVLNKLCYDEKIAFRFFTWAGHQENYSHEPCAYNDMIDILSSTKYKAKQFRIVCDLLDYMKRHNKEMVPVEVLLTILRNYTEKYLTRVQMFAKKKRIRVKTQPEINAFNLLLDALCKCCLVEDAEDLYKKMRKKINPNADTYNILVFGWCRVRSPTRAMKLLEEMIQLGHRPDNFTYNTALDTFCKAGMITDAVDLFEFMRTKGSTISSPTAKSYAIIIVALAKNDRMDECFKHMGHMISSGCLPDVSTYKDIIEGMCLFGKIDEAYKFLEEMGNKGYPPDIVTYNCFLKVLCDNKKSEEALKLYERMIELGCIPCVQTYTMLISMFFEMEDPGGAFETWQEMDKRGFQPDTGTYCVMIEGLFSCNKTEDACFLLEDVVNKGIKLPYRNFDSFLMQLSIIGDLRAIHRLSDHMRKFYNSAMQRRFALSQKRKSMSLRGRS >OIW20604 pep supercontig:LupAngTanjil_v1.0:Scaffold_29_20:80155:85562:1 gene:TanjilG_16103 transcript:OIW20604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYGVGDGGGSSGNHHHHHHDGGSSDSQRRKKKRYHRHTANQIQRLESMFKECPHPDEKQRMQLSRELGLAPRQIKFWFQNRRTQIKAQHERADNCTLRAENDRIRCENIAYKEALKNMFCPSCGGPPLHEDPYVDEQKLRMDNAQLKEELERVSSIAAKYIGRPISQLPSIQPIHMSSLDLSMGSFVTQGLSVGPSLDLDLLPGNGTSSSMQNVPYQPTLSDMDNSLMSDIASNAMEELIRLLQTNEPLWMKSSTDGRDVLNIDTYERMFPKPNSHSKNPNVRIEASRDSGVVIMNGLTLVDMFMDPNKWMELFPTIVTMARTFEVLSSGIIGGHSGTLQLMYEEMQVLSPLVSTREFYFLRYCQQIEQGLWAIVDVSYDFPQDNQFVPQFRSHRLPSGCFIQDMPNGYSQVTWVEHVEVEDKTPVHRLYRNLLYSGLAFGAHRWLSNLQRMCERIACLMVSGNSTRDLGSVIPSAEGKRSMMKLAQRMITNFSASISTSCSNRWTTLSGLNEIGVRVIVHNSSHPGQPNGVVLSAATTIWLPIPPQIVFNFFKDERKRPQNKWMELFPTIVTMARTFEVLSSGIIGGHSGTLQLMYEEMQVLSPLVSTREFYFLRYCQQIEQGLWAIVDVSYDFPQDNQFVPQFRSHRLPSGCFIQDMPNGYSQVTWVEHVEVEDKTPVHRLYRNLLYSGLAFGAHRWLSNLQRMCERIACLMVSGNSTRDLGSVIPSAEGKRSMMKLAQRMITNFSASISTSCSNRWTTLSGLNEIGVRVIVHNSSHPGQPNGVVLSAATTIWLPIPPQIVFNFFKDERKRPQWDVLSNGNAVQEVAHIANGSNPGNCISVLRAFNTSQNSMLILQESCIDSSGSLVVYCPVELSAINIAMSGEDPSYIPLLPSGFTIAPDGQTDQGHGDGASTSTNKNSSGGSLVTVAFQILVSSLPSSKLNKESVNTINNLIGTTVQQIKAALNCHSS >OIW20603 pep supercontig:LupAngTanjil_v1.0:Scaffold_29_20:44756:48762:1 gene:TanjilG_16102 transcript:OIW20603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNITHQIGSFAGTPISSDSTSGDTAATVSAAAVWKSPAANLRCQVIKPEFASAEGLSPPLSPCRSPVLNGIRSDLSVACQAFTTEAPAGTEVEHREGGKVKAKGSGVPVYVMMPLDSVTAGNTVNRKKAMNVAMAALKSAGVEGVMMDVWWGLVEREAPGEYNWGGYVELMEMAKKHGLKVQAVMSFHQCGGNVGDSCTIPLPKWVGEEIDNDPDLAYTDQWGRRNYEYVSLGCDTLPVLKGRTPVQCYADFMRSFRDNFKHLLGDTIVEIQVGMGPAGELRYPSYPEQNGTWKFPGIGAFQCYDKYMLSSLKASAEAQGKHDWGSTGPTDAGEYNNWPEDTTFFRKEGGGWDSEYGEFFLTWYSQMLLDHGERILTSAKSIFNNTGVKISVKVAGIHWHYGSRSHAPELTAGYYNTRFRDGYLPIAQMLARHGAIFNFTCIEMRDHEQPQDALCAPEKLVKQVALATQKAQVPLAGENALPRYDEYAHEQIIKASQLDVDGNSDETEMCAFTYLRMNPQLFQPDNWRKFVAFVKKIKEGKGAHKCWEQVEREAEHFVHVTQPLVQEAAVLMH >OIW20594 pep supercontig:LupAngTanjil_v1.0:Scaffold_29_3:62049:64086:-1 gene:TanjilG_16093 transcript:OIW20594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRRRHKVPWKLLKRDTPMMKKRVFVCPEPTCLHHQPCHALGDLVGIKKHFRRKHSNYKQWVCERCSKGYAVQSDYKAHLKTCGTRGHSCDCGRVFSRVESFIEHQDVCNMGRQPHQPLQQLQQQQHACLSRTASSPSPSSETNFSTCPNWPQGLVLMPKPINEPTFFNVNPTSSTIAIIAETSLSKKNNNLHPNLDLQLSTNNTSNTYTFDVVAASLSPNKRDDDDDDHHQKHCTKFQLPIGSSDNNVKNNQSNRNNNNSSPKETSSEKQGTALLSVAMAEKVYAEEARKQAKKQIELAEQEFTNAKRIRQQAQVELEKAYALKENAMKQIKSIMLQITCHACKQKFQAQTTTSTTLDHENNSSLGLSYVSSAITIEGDEVENKNGKYHWKSNY >OIW20592 pep supercontig:LupAngTanjil_v1.0:Scaffold_29_3:3174:6259:1 gene:TanjilG_16091 transcript:OIW20592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLSVPPLPPSPRDDAMQLHHAFKGLGCDTSAVINLLAHRDATQRAYIQQEYRTMYSEELSKRLASELHGNLENAVLLWIYDPAACDATIIRHSLTQGVIRNLEGATEVICSRAPSQLQYLKQVYHSKFGVYLEHDIEANTSGDHQKILLAYISTSRYEGLEVNSEMAEKDAKVLYKAGEKKLGTDEKAFIHIFSERSGAHLAAISAYYHNMYGQSLKKAIKNETSGNFAHALLTIVQCAVNPGKYFAKVLHKAMKGLGTNDTTLIRVIVTRTEIDMQYIKVEYSKKYKKTLNDAVHSETSGHYRAFLLSLLGPNV >OIW20593 pep supercontig:LupAngTanjil_v1.0:Scaffold_29_3:35437:42064:1 gene:TanjilG_16092 transcript:OIW20593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQCQKMMAVVLDVVTEFIDGLVETYPGLQYLDGFPQVKVVLRADVPYAKYDKVAVISGGGSGHEPTHAGFVGEGMLTAAICGDVFSSPPVDSILAGIRAVTGPMGCLLIVKNYTGDRLNFGLAAEQAKSEGYEVETVIVGDDCALPPPRGIAGRRGLAGTVLVHKVAGAAAAAGLSLADVAAEAKSASEKVGTMGVALTVCTLPGQVTSDRLGPGKMELGLGIHGEPGAAVADLQPVDVVISHVLKEILSTETNYVPITRGGRVVLMVNGLGSTPLMELMIVAGKAVPKLQLEHGLAVDRVYTGSFMTSIDMAGFSISIMRADLPILQRLDAATKAPYWPVAVDGHRPPAKIPVPVPPSPSAKSDEPRSRPLQLNEQGQILEAAIEAAANAIINLKDSLNEWDSKVGDGDCGSTMYRGAKAILEDIKNYPLNDAAETVREIGSSIGRAMGGTSGIIYTIFFKAAYTQLKGSSHSGFTSKQWAEALAASIAAVSKYGGASAGYRTLLDALIPALLVLQKKLDIGNDPSTAFLLSSEAALAGAESTKHMQAQVTTYY >OIW20605 pep supercontig:LupAngTanjil_v1.0:Scaffold_29_33:7698:10272:1 gene:TanjilG_16104 transcript:OIW20605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMQDQIEGSKSNNSRSGSSSHSNGATKSENVSQSRDVTSAGNNHTPKVRKPYTISKQREKWTEEEHQKFLEALKLYGRGWRQIEEHIGTKTAVQIRSHAQKFFSKIVRESDGNAETSKQPIDIPPPRPKRKPLHPYPRKSVNSSKEHFIPSESGISPPSNLLIAEKVTQSPTSVLSAFGSDAFEQSFLDQTNRCVSPNSCTTDIHPLSLSPVDKENECMISNSSEEEAKGSLASVPLSTSSKLLLSVKSELSSKETECLKEESAEMPRVTCIKLFGRTVSMVGNQKSMNVDEEENNKSITSNSDEVGNVEYQNLCGAWLSEQVDIHLSLGLCNSNCHTNPDGVKVTGEEGSSCTGSNAESVSGMENQCKILDAVDSQYQKSRHVEGKVSQRGFVPYKRCLAERDANSLVASLEEREGQRARVCL >OIW20606 pep supercontig:LupAngTanjil_v1.0:Scaffold_29_35:2978:5153:1 gene:TanjilG_16105 transcript:OIW20606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGNETLAGENVDKVIDMERSGATIAFDYFKDKLLNPEVNAGVNHQLVLDEFERARQLFRHIEDGDLWRWRLHNSKAFSSGLKDLKIEFDARKNPSLFDQAVDADATLSELRSELGHQLATKSQKLNLRGIGAIVYKVPELENDQRLKISLRSVDKEDTAPISQEFGGGGHRNASSFLLSSEEFEQWKV >OIW20607 pep supercontig:LupAngTanjil_v1.0:Scaffold_29_44:9409:15643:1 gene:TanjilG_16106 transcript:OIW20607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKRRTEGPSGGESSEHPAERSAPPPQQYAPPAGMAGSPQGGRGGYAPQGGRGGGGGRGRGMPQQQYGGPPDYQQGRGRGGPPQQGGRGGRYGGGEYGGGGEYGGSGGRGGSGVGRGGSGVGRGGGEYVGGGGRGGARGGVMGSGRGVGPGSSYGGSSRLPYPELHQATPVPYQTGATPQPTPVEAASSSKAPEPLPVEQKMEQLSIQSETEQPPIQSNTEQLSIQSEAVDVPDTAPVAPPASKSSIRFPLRPGKGTFGHKCIVKANHFFAELPNKDLHQYDVTITPEVTSRGVNRAVMEQLVKLYRNSHLGKRLPAYDGRKSLYTAGPLPFVSKEFRVSLIDEDDGTGAQRREREFKVVIKMAARADLHHLGLFLQGRQTDAPQEALQVLDIVLRELPTARYCPVGRSFYSPDLGRRQPLGEGLESWRGFYQSIRPTQMGLSLNIDMSSTAFIEPLPVVDFVTELLNRDVCQRPLSDSDRVKIKKALRGIKVEVTHRGNMRRKYRISGLTSQATRELTFPVDERGTMKSVVEYFLETYGFIIKNTQWPCLQVGNTQRPNYLPMEVCKIVEGQRYSKRLNERQITALLKVTCQRPVERERDIMQTVRHNAYHEDPYAKEFGIKISDKLAQVEARILPPPRLKYHDTGREKDCLPQVGQWNMMNKKMVNGGTVNHWFCINFARNIQDNVARTFCFELAHMCQISGMSFNPEPVVPVLSARPDQVEKVLKNRHSDAKRRLQGQDLHLLIVILPDNNGSLYGDLKRICETDLGVVSQCCLSKHVFKMSKQYLANVALKINVKVGGRNTVLVDALSRRIPLVSDRPTIIFGADVTHPHPGEDSSPSIAAVVASQDWPEITQYAGLVCAQAHRQELIQDLFKQWQDPVRGTMTGGMIKELLISFRRATGQKPTRIIFYRDGVSEGQFYQVLLFELDAIRKACASLEANYQPPVTFVVVQKRHHTRLFASNHNDRGSVDRSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENNFSADGLQTLTNNLCYTYARCTRSVSIVPPAYYAHLAAFRARFYMEPETSDSGSMASGALAASRAPGGNATRSTRAPGGNAAVRPLPALRDNVKKVMFYC >OIW20609 pep supercontig:LupAngTanjil_v1.0:Scaffold_29_48:46784:52192:-1 gene:TanjilG_16108 transcript:OIW20609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTSSSSSDNEAPKKKQCLGWIEWFRGWFYLIYEMLFQRILASHLDNPMPLPPINDLTCIVTGSTSGIGLEIARQLAQAGAHVVMAVRNTKAAQELIQKWSVDSAGLGIALNVEVMAVDLLSLDSVARFAEAWNARSAPLHVLINNAGIFSIREPQKFSKDGYEEHLQVNHLAPALLSVLLLPSLIRGSPSRIVNVNSIMHHVGFVDAEDMNITSGKRKYSSVIGYSNSKLAQVMFSSVLHKRLPGESGVSVLCVSPGIVQTNVARDLPKYLQAGYRLIPYFIFNAQEGSRSTLFAATDPQISEYCELLKSDEWPVCAFISQECRPANPSEEAHNIQTSYKVWEKTLEMIGLPSDAVEKLIEGEDVKCRYGHEPKSKNDDSRKLD >OIW20608 pep supercontig:LupAngTanjil_v1.0:Scaffold_29_48:9036:45349:1 gene:TanjilG_16107 transcript:OIW20608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDSAITGDAENGGYNGYKPWNSSGSGSSSHLLVKRVMSITVFFVVFAVLWIFLYNSASPFGFPSVSHHFIGVSTKEIYDPKLEGVLKNASMGDKTVIITTLNDAWAEPGSIFDLFLESFHIGNQTEKLLNHLVDTDIMWLRDPFTEFYQDADFQIACDYFNGNSYDLKNMPNGGFTYVKSNERTIWFYKFWFASKNAYPKMHDQDVLNKIKRHYLISKMKLSIRFLSTAYFDLEERMKMLAMNNNNTMEDEEFGDTFAERAESYYQKRPQLLSLLQDLYNGYITLSDRYMQTLTKHKNHQHQHHYHSRHSSQVSTLDGGFSDQEETSGVISHIDSDIESSISYQQLSMTMRPMVVTRNALLDVEVDAIVAELVIKNVEYDVLMHEVGFMERKCCESSRKSELQKSLLEVLESERLVLLNENASLSYRVITLVEENKELASESVFIKRKAGELAKYVMKMREDHRVYMLHKKIEDLQAQIHGLEKRNNDYYEKILRRDDSLEDGRCKGKNGSDGIAFEVSVQMEKFRRFKWKDSISRKDSEVKKVPSLWKKLKNMDMLLCGINPTCT >OIW20610 pep supercontig:LupAngTanjil_v1.0:Scaffold_29_57:15713:16070:-1 gene:TanjilG_16135 transcript:OIW20610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVVKVVAMMTLMTIAEDSGGSDDDNIGGFECCNGSGRDDGGVIGLDLSTSS >OIW20611 pep supercontig:LupAngTanjil_v1.0:Scaffold_29_57:21153:25971:-1 gene:TanjilG_16136 transcript:OIW20611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVTSKNLVVEDSREEDLRTKLTSRSKRETSEMSVSRLSSSIRVEGIRERDKLFDGVDMKASLLDKNGSGSVELYDDDGDRNGKKKMEKPELTALNHPGLGRILKASEGEQVAAGWPTWLSSAAGEAIEGWTPRSANTFERFFKIGQGTYSTVYKARDLTNQKIVALKRVRFDSLDPESVKFMAREILVLRRLDHPNVIKLEGLITSQTSRSLYLVFEYMEHDLTGLSSDPEIKFSEPQVKCYMQQLLSGLDHCHSRGVLHRDIKGSNLLIDNNGILKIADWGLATFFDSHHSVQMTSRVVTLWYRPPELLLGVSHYGVAVDLWSTGCILGELYSGRPILPGKTEVEQLHKIFKLCGSPSEDYWFKLRLRHSTAFRPPHHYRRCVAETFKECPSVAVKLIETLLSVEPVHRGTAATALKSEFFSSEPLACDPSTLPKYPPCKEIDSKLRDEATKRQEDAGGKERKVGSGVRQEKEPRAFVSSKDNTKSQIQFQQGQHLSSSKSRSGLLNPHREPGSGFLVFPHKQSEDVKERDIYFSRRTRKPSFSGPLVQGSDWEDGHGSRGRNIHASGPLLVSSNNMDEMLKERDRKFQEYSRRARIEKSKGEKFHAKQ >OIW20613 pep supercontig:LupAngTanjil_v1.0:Scaffold_29_67:32150:35807:-1 gene:TanjilG_16147 transcript:OIW20613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFDKGSMHSNLDCFLRYTTPVVQSQFLPKSEMKTLNRLWHPWERDRVEYFTLGDLWNSYDEWSAYGAGVPISLTCGETLVQYYVPYLSAIQIFTSNSFREEAGSGDSETRDSYSDSYSNESECDKLWRWDGTSSEEGGVEHDYLWPLNNRLGHLYCQYFEKSTPYGRVPLMDKITGLAQRYPGLMSLRSVDLSPASWMAVSWYPIYHIPMGRTLKDLSTCFLTYHTLSSSFQDMDLEDDIEGGHVKKKEGESIFLSAFGLATYKMQGGNVWISGNSGRDQEKLVSLLSVADSWLKQLSVQHHDFNHFTGIRHG >OIW20612 pep supercontig:LupAngTanjil_v1.0:Scaffold_29_67:2075:5109:-1 gene:TanjilG_16146 transcript:OIW20612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDILFGWSKASKCKKAIKSARSRLGLLKNKRQAIVRQLRKDLAELIQSGHEETAFNRVEQLIEDESLSAAYELLDHFCQFILTQLAYIRRNKDCPNDINEAVSSLIFASARCGNIPELCAIRKLFGKRYGEKFVTTAIELFPGNLVNKQLKENLSQKFVADDLKYRMVDEIARDTCLQKQFLAIQYYPDWQQLQVKDNKGCQVVGNDVQIKDTNAGSKVHSSEFEEIKSDVTCFNSYISKPSESCSSVQKYPPYILSSPLQKNLEKKKDFPVLFSVTTNSMQNKEESMALACSEERVSLPSYPEEMVDYVDDIEECRFSVSKHGACQDQMLFKFRSSGLSKRQKTQFDCDESDMIEDESLSEKSSIRSSRKCNSPPEKISRRRSTSREYKGVMDLGYLIYNHRPHKCSTHHSGKHQKPSSSKILESCQSEDGSGRKQFNLKMTGCSLNHPCYFSIHDGNDCLEGLSINPNRGINGSHIQQGTKLVRSKLRDQIGASEYHEFDYPEYKVGNGNNEIKEVTFASKGSYESANVSNPRTNVSLPIETEVPYLRAMTMPQERHRKSKDKDKILRTYSCPSQHPNHVHPKLPDYDDIAAKFTALKRKQLQNKKLD >OIW20614 pep supercontig:LupAngTanjil_v1.0:Scaffold_29_74:61777:63826:-1 gene:TanjilG_16148 transcript:OIW20614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLGGPSWAVPLGRTDARTTNIDTANNDIPGPSSDLTTLTTKFAAKGLSPSDLTVLSGAHTIGQSECQFFKTRIYNETNIDTKFATSRQANCPFSSGGETNLAPLDSLTPNLFDNNYYKDLVVNRGLLHSDQVLFNGGSQDSLVRTYSTIMLHFSMTLLLLW >OIW18251 pep supercontig:LupAngTanjil_v1.0:Scaffold_2_11:2693:3082:1 gene:TanjilG_10265 transcript:OIW18251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKFCILVVLALVVATSARNVPLKDNAGGLKDQKNIPGFGDIFSGGTSNGLPFGGLGGGGGGGIGTGFGGGGGGGGLGGAGLGGMGGPSGFGGLAGPGGVEGFGGAGGLGGLGGLGGDGAGNHGTFPFP >OIW18415 pep supercontig:LupAngTanjil_v1.0:Scaffold_2_161:22031:26238:1 gene:TanjilG_10279 transcript:OIW18415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPSLSSVSIPTLLPFISSTFTQFPKPRFPIIAPISSRTRKRRRIINSVKKREVVPVKNEKTRDRGEAHFDEVEIEGVLNSKTETKVDDGDRVVRSRDINAIMVLGFGTFAVTKLLTIDHDYWHGWTFYEILRYAPEHNWTAYEQALKTNPVLAKMAISGVVYSIGDWIAQCYEGKPLFEFDRTRLFRSGLVGFTLHGSLSHYYYQFCEALFPFQEWWVVLAKVAFDQTVWAAIWNSIYFVVLGLLRFESPINIYGELKSTFLPMLTAGWKLWPFAHLITYGVVPLEQRLLWVDCVELIWVTILSTYSNEKSEARISDAVSETKSSTSSQNSKLPPEAAMAKIEEFDESIAKMRPKRNHNSTRFFVFVDYVFLFIFLIFLSFVIFNILRV >OIW18414 pep supercontig:LupAngTanjil_v1.0:Scaffold_2_161:721:1281:1 gene:TanjilG_10278 transcript:OIW18414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEQNSKNKAQQKWRHRTGPVNFGVIRERLRATKENKEMPNQAESQAEMFCETSQSKKGEPLDQETTNAMAQLKDLIENSSQQPDEAFQSVFGKEKPGRVQCH >OIW18416 pep supercontig:LupAngTanjil_v1.0:Scaffold_2_162:4926:5354:-1 gene:TanjilG_10280 transcript:OIW18416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYKASCSFLALLISSILLATTWQVGARHNIVPNNSNTEDKKEPQFLSMSDGSVYIPGIGRVGFPPLFGVRPQSPVMGGSGGLGAGSAAGHSYAPGHDDTFVPNPGFETPNPGSGGAVPVAVPLPAAVPVPAEVPLPAEVHP >OIW18417 pep supercontig:LupAngTanjil_v1.0:Scaffold_2_163:1289:2102:-1 gene:TanjilG_10281 transcript:OIW18417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEDVIFCGVFNGLGLRGHLVAHKIQDASPLKLILSLHFHKSRRNRSSKVGFKGNIELDNIESEKDISTEDELNSTWRETFLKAFKAMDKELKSHPNLKCFCSGSTTITILTVDLKLDLPTERIKWCNGRVFALQEEPDVLRVWLPFDNAPGLARARAFGDFCLKKYGVIYVPEFYHHTFID >OIW18420 pep supercontig:LupAngTanjil_v1.0:Scaffold_2_173:24578:26498:-1 gene:TanjilG_10284 transcript:OIW18420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSFTDSVALKSAVELRIADIIDRFGQPISLSKIVENIEDAPTPDIDLLHRIMRVLIRRKIFSAVQSENGETLYGLTRASKWILRDTKMTLAPMLLLENHPLHLNPTHYVSEIIREGTQNGTAFFKCHGHEQFDMTGLNPDYNRLFNDGMVCTARIVSKAVISGYKDGFNQIHSLVDVGGGIGGSLSEIVRAYPHINGINFDLSHVVSTAPKYDGITHVGGDMFVSIPNADAVYMKWILHDWSDQHCIKILKNCRKAIPEKSGKVIIVDHVLQPEGNGLFDDTGFAFDMMLLAHNAGGKERTEENWKWLFEQTGFPRYNIIKINALPSIIEAFPI >OIW18419 pep supercontig:LupAngTanjil_v1.0:Scaffold_2_173:16468:16692:1 gene:TanjilG_10283 transcript:OIW18419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLNNRMKNAEGAFLDCIVEYWFNCREIKGASNLVVGADEKSHMEELVSIILYASRLGRSDLVDIMSATSVYQG >OIW18418 pep supercontig:LupAngTanjil_v1.0:Scaffold_2_173:7008:10145:1 gene:TanjilG_10282 transcript:OIW18418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFEKGSMHSNLDCFLRYTTPVVQSQFLPKSEMKNLNRLWHPWERERVEYFTLGDLWNSYDEWSAYGAGVPISLTCGETLVQYYVPYLSAIQIFSSNSFREEIELGDSETRDSCSDSFSEESECDKLWRWDGTSSEEGGFDQDCLSHLNDRLGHLYCQYFERSTPYGRVPLIDKITELAQRYPALMSLRSVDLSPATWMAVSWYPIYHIPMGRTIKDLSTCFLTYHTLSSSFQDMDLEDDIGGGQKKKKEGESISLPAFGLATYKMQGGNVWISGNSGRDQEKLVSLQSVADSWLKQLRVQHHDFNHFTGIRHG >OIW18421 pep supercontig:LupAngTanjil_v1.0:Scaffold_2_184:19297:20046:1 gene:TanjilG_10285 transcript:OIW18421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEPYVLNRYVSIEKQENYVGAPPMYGHNVPRYSTHHSLFRYCCYCLLLLILVTVTIILAFYYLLRPHVPTYNIDYLNLTTFDIHDDNKLYSEVGLIVNAENQNEIIGLEHDGENRITIMYSGSQLCSGNFTPFLQPGKNTTKINVVLKGQVGFDQEMQQDLMQHNKEGNIPLLIMVKAPIRLVIDEFIHLKKFVVNVNCSLVVDHLQPHQNPKILKKDVIMPSTSEYDCFSYQLELYILVAIEEEKGI >OIW18802 pep supercontig:LupAngTanjil_v1.0:Scaffold_2_187:20905:24074:-1 gene:TanjilG_10287 transcript:OIW18802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCFGIVVVYAKGLPHHDVIDSHWYPGTATWYGDPEGDGSTGGACGYGTLVDVKPLRARVGAVGPFLFKGGEGCGACYKVKCLDKGVCSTRAVTVIITDECPGCPSDRTHFDLSGAVFGRMAVAGENGQLRNRGEISVIYRRTPCTYPGYNVAFHVNEGSTPFWLSLLVEFEDGDGDIGSMHIKEAGSSEWLQMNHVWGASWCITRGPLKGPFSVKLSTSTGRSLTARDVIPRNWSPKSTYTSRLNFFP >OIW18801 pep supercontig:LupAngTanjil_v1.0:Scaffold_2_187:14592:15932:1 gene:TanjilG_10286 transcript:OIW18801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAKNSAKFSKSVVEDTHNKVCESHEWEIQNEKRKVMNSSFQRHQLKPVFNEASISSSSHENNRPFKKIRSPEPQILNQSSVPSSSSSKIVFPFAFDASQHHNPMQMQFPHQFRTVNSHMFREQFQATTTQNNMISFESQQRQQQNTVYPPIIARDPAILQVPQQQQILQNWSDAMNLSPRGRMMMMNHNNYMFGPNGRPLFRPIAQPINTTKLYRGVRQRHWGKWVAEIRLPRNRTRLWLGTFDTAEDAAMAYDREAFKLRGENARLNFPEHFLNKDKETGTSSETQPPISSTSSTSTSSHNTTLLPEQVTEVLNVQPPMPIEEASENDSGIGSSDVTLGDEVHTAARNCEIGEGVSQSQELVWGEMSAWFNAIPAGWGPGSPVWDDYDTNNNFLLQSQFPFVNPNQQELNFGDDAHRQEDNSNEPGSSNSSFFMRPFFWKDQD >OIW18803 pep supercontig:LupAngTanjil_v1.0:Scaffold_2_191:3804:6312:-1 gene:TanjilG_10288 transcript:OIW18803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRHRVFVAFLSLCFCSSLKFMLVCSNEEHLDKGWYRGTATWYGEPEGSGSTGGACGYGPMVNEKPFKYRVTAAGPNLYKKGKGCGACYKVKCKDKDQCAKRAVTVIITDECPGCPPIHFDLSGAAFGRMAVKGKNGHLRNKGRLPIIFKRVSCQYPGKEISFQVNEGSSPHFLSVLVKFVRGDGEIASMHLQQGGSKEWMPMTNLWGANWAITKGPLKGPYSLKIITDAGKSFTSKNVIPNNFSPKTTYTVGKRAPK >OIW18805 pep supercontig:LupAngTanjil_v1.0:Scaffold_2_193:13470:15738:1 gene:TanjilG_10290 transcript:OIW18805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFSFPSVLENRILMSGDPRTSMVPILNKWVEEEKGRDITQSELQHLIRRLSHFRRFNHALQVSEWMTNERRYDLSPGDIAKQLNLISKVHGLEQAKKFFRGIPDAKIGFKVYSALLSCYAEHKSLDEAEAIMKKAKEYHPVHVTVCYNMMLKLYAQVGKYEKLDRLMQEMKEKDICNGATFTIRLNAYVAAADIEGMEKLLMWMEADPIATVDWYTYTTAANAYIKAGDFEKATTMLKKSEQLARGKTRRLAYESLQTMYAAIGNKDEVYRIWNRCKKFKNSYNSSSISMLSSLVKLHDIDGAENLVEEWESRCTHFDTRIPNLMITAYCKWGLLNKAEAYIKRLLDGGKKLDGSTWDRFASAYRMGNDMEKAVQSMKKAISEDRPGWKPNPFTLAACIKYAKEKEELDLALELLMLCREHGHISVATYDGLASYVHSEISDTKAFDLIKADYQLDEKIQLLEG >OIW18804 pep supercontig:LupAngTanjil_v1.0:Scaffold_2_193:9586:11472:1 gene:TanjilG_10289 transcript:OIW18804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATDLREQAARKILRAVRSQGHPYVELRSNGKKFIYFCTLCLAPCYSDSVLYDHLKGNLHKQRLDSAKVTLLGQNPWPFNDGIVFFDTSSENDKDWGRASDNQSRFLKFSDNSDGNGLAIVNFVEGVQSDAQPRSTKEMPDDDDCTLIIPGVLIEDEPVDLKVREVGLGNIAVRFFKIDDAFDGIRRVWCEWLGNENNGQHDGAEVPDHDFAVVIFSYNYALGRIGLLADVKSLLPSDSMPEPENEGDSGRKRKTALSDPEDCNSLSSQCGSSVERFSALSNDTSGLTLTRLISIKAERKELRRKQRLAAEKMCNICQQKMLAGKDVAAFFNLKTRKIACSSRNGSRAFHVFHVSCVIHWILLCEFHIITDRLVLPKVRQGPKKKVVANGNQTRKGKDVEATEAHIKSVFCPECSGSGVMVDGGGRETTNLTISKIFKLKIKACDARKEWIKSPEDLQNCSIGFHFPPQPEEIVQEKVEAINLLRFYRADQPGL >OIW18806 pep supercontig:LupAngTanjil_v1.0:Scaffold_2_193:18682:19349:-1 gene:TanjilG_10291 transcript:OIW18806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDQYFEEAMPQMDYIEDKSSPELWESANDTNADSDRNGGFDCNICLESVQDPVVTLCGHLYCWPCIYKWLNFDTFSSEYEEQHKTECPVCKSEISQSSLVPLYGRSQTTLPSSRKTRQEGIIIPRRPHGPSWLAGTSRSSNTASFSQPTSPVYHHQYHNHPQQFNSFPGSYTSPMINAGGVKHIPQFVQSFPGQ >OIW18944 pep supercontig:LupAngTanjil_v1.0:Scaffold_2_199:12475:12843:-1 gene:TanjilG_10293 transcript:OIW18944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKSSLLAASIAAASATAVSVSYSTHASHQDGMVERRREGSSSSASSSTSSEKFAPRFDGLRFIETLVTAHR >OIW18943 pep supercontig:LupAngTanjil_v1.0:Scaffold_2_199:3196:8329:1 gene:TanjilG_10292 transcript:OIW18943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEEKQGHISLQEWQSWGTTSPVPSMVTQIVEDLKDLEKNFDAQMSFGGHGGKLKGNFGVQEDKKHRATYQSLGDSEEKFKFYSARQIACRILGSRGYLCQKCWLPREEDCMCSKVTSCMLWPGIKFWLYMHPKDFLRQNNTGKLLWQVFGVEAATLCLYGIPEHEEIMWNSFKLAGKDKIWCLYPNKGSVSKSVESAFSQEPLANYEVTPEKLTGDTTLHFILLDGTWSNSAAMFCRLQDQAKSVWGDEDLACISLNPGASAMHKLRPQPSWDRTCTAAAAVGLLSELQLLPQFSSIDLDKQAEAIEDALTILLEALTTRRLRMGRSITRKMRPTNSTFSQGKVHNTENEAQHLPLEI >OIW18945 pep supercontig:LupAngTanjil_v1.0:Scaffold_2_211:14201:17316:-1 gene:TanjilG_10294 transcript:OIW18945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFPFNHNHHHDSNVTHTDHHNRRNDNEETLNYPPPPTEVYHTGHVSHGHVSQDFNNSTPLPYPPPPDPYQQETQVFHTGHVAHGHVSQDFNHFVPPQPYNQVDDSVNYGYPPPGTTNVSVQHVSHETRYPVSDAAAVQHVGHQSGVVGLSNKTTVRVVTKAAPNYSLTIRHGKVILAPSDPRDEYQHWYKDEKYSTRVKDSEGSPAFSLVNKATGEAIKHSIGATHPVHLVPYNPNFLDESVLWTESRDMGDGHRTVRMVNNIRLNLDAFHGDKNSGGVHEGTTVVLWEWNKGDNQLWKILPYCKFYIISCNPLLCMFHFLMPSNT >OIW18946 pep supercontig:LupAngTanjil_v1.0:Scaffold_2_211:28654:35102:-1 gene:TanjilG_10295 transcript:OIW18946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKDSETILFSSLCRVRLGGVESQLKLESLQDSKQLQAPTTAAANGQIRYRTPSSAELFDGQSNSNNSPPSHAADNNHLPEFEPAGKMLKRSGRQESFSDKIYRFRGTLLVVSVPLLLITFVLYMMPSSSSNESVGDYALVNRKMSPEKNSGGSYAVIFDAGSSGSRVHVFHFDQNLDLVHIGQDLELFVQIKPGLSAYAQNPQEAAESLVSLLDKAESVVPQELRSKTPVRVGATAGLRALEGDASDRILQAVRDLLKDRSALKSDSDAVTVLDGTQEGAFQWVTINYLLGNLGKDYSKTVGVVDLGGGSVQMAYAISETDAKMAPKLPPGDDPYVKEMFLRGRKYYLYVHSYLRYGLLAARAEILKVSGDAENPCILADFEGSYKYGGKDFKVSSSPSGPSLNECKSLALKALKVNESTCTHMKCSFGGIWNGGGGEGQKNLFVASFFFDRAAEAGFADQNSPVAIVRPADFEDAAKKACETKFDDAKSSYPHTEDGNLPYICMDLVYQYTLLVDGFGIYPWQEITLVKKVKYDDALVEAAWPLGSAIEAVSSR >OIW18977 pep supercontig:LupAngTanjil_v1.0:Scaffold_2_216:927:5485:1 gene:TanjilG_10296 transcript:OIW18977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPELRSGARRSKRLGDLQPGPLPVDQGENWVQPPAQNRTRRRVGGGRGGNATVLGKGPSPAVPTRRTAAGRGRGARVINLDPEPYGALPEPVALGAPEPIYNNLEIVANNNIVMEGGSGDKAVAAEEEASTTPVPERVQVGGSPVYKIERKLGKGGFGQVYVGKRISGGYDRTGPDAIEVALKFEHRNSKGCNYGPPYEWQVYTTLNGCYGIPWVHYKGRQGDFYILVMDILGPSLWDVWNSLGQSMSPNMVACIAVEAISILEKLHLKGFVHGDVKPENFLLGQPGTPDDKKLYLIDLGLASRWKDASSGQHVDYDQRPDIFRGTIRYASVHAHLGRTGSRRDDLESLAYTLIFLIKGRLPWQGYQGDNKSFLVCKKKMGTTPELMCCFCPAPFKHFLEAVTNMKFDEEPNYSKLISLFDSLIEPCTPLRPIRIDGALKVGQKRGRMLINLEEDEQPKKKVRLGSPATQWISVYNARRPMKQRYHYNVADTRLRQHVDKGIEDGLYISCVASAENLWALIMDAGTGFSSQVYELSAAFLHKDWIMEQWEKNYYISSIAGAVNGSSLVVMSKGTPYTQQSYKVSESFPFKWINKKWKEGFHVTSMTTAGSRWGVVMSRNAGYSDQVVELDFLYPSEGIHRRWESGYRITSMAATSDQAAFILSIPKRKLLDETQETLRTSAFPSTHVKVLAFVFCPVTC >OIW18986 pep supercontig:LupAngTanjil_v1.0:Scaffold_2_217:10711:10896:1 gene:TanjilG_10297 transcript:OIW18986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPPRGGGRGGGFRGGRDGGFRGGRDDGFRGRGGGGGSFGGGGCGGGFRDEGPPSEVVGMF >OIW18993 pep supercontig:LupAngTanjil_v1.0:Scaffold_2_219:3679:5196:1 gene:TanjilG_10298 transcript:OIW18993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRIGKVDEIFGPINESYFSVKTMEGIVANSYSAGDKFYIDPRKLLPLARFLPQPKGQAQASGGRGGRGGGRGGFRGGGGFRGRGAPRGGRGGPPRGGGRGGFRGRGKRAAQAQAYYLRV >OIW19014 pep supercontig:LupAngTanjil_v1.0:Scaffold_2_220:26363:26542:1 gene:TanjilG_10299 transcript:OIW19014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALRMKIFLALMVAIVVMAATSVSAAEAPAPSPASDATTLFVPTVFGSLIALVFGFLF >OIW18271 pep supercontig:LupAngTanjil_v1.0:Scaffold_2_24:40934:43020:1 gene:TanjilG_10269 transcript:OIW18271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASCNIASVASGFLLSPTVAPNSSSSRNNTMVMFQTKNNVSSSFSRLVVRAEDEAASASASAPATVTTPVEGEVAKKPKPPPIGPKRGSKSMYRNEDSAEKTTRPSNSFETSTVKILRRESYWYKETGSVVAVDQDPKTRYPVVVRFNKVNYANVSTNNYALDEIEEVK >OIW18269 pep supercontig:LupAngTanjil_v1.0:Scaffold_2_24:18930:32230:-1 gene:TanjilG_10267 transcript:OIW18269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEALELVRAKDAKERMAGVELLHQLLEASRRTLTSTEVTNLVRCCLDLLNDNSFRVSQGALQALASAAVLSAENFKLHLNVLVPAVVDRLGDAKQPVRDAARRLLLTLMEACVWCMENIVEQEVCSPTIVVERAGSFAWTCKSWRVREEFARTVTSAIGLFSSTELTLQRVILPSILQLLDDPNPAVREAACLCTEEMYAQAGSQFRDELQRHNLPSSLVNDINARLEGIQPKVRSSDGSGYNAGEIKPLNVNPKKSSPKAKSSSRESSLFGGDGNVTEKPVDHIKVYSEKELIREIDKIAYTLVPEKDWSIRIAAMQRIEGLVLGGAADFSCFHGLLKQLVGPLSMQLSDRRSTIVKQACHLLCLLSKDLLGDFEACAEMFIPVLLKLVVITVLVIAESADNCIKTMLCNCKVARVLPRIADCAKNDRNAVLRARCCDYALLMLENWPDAPEMQRSVDLYEDMIRCCVSDAMSEVAFIAWRHVSPSIHDRSTMMSLTSQASAPSNIPGYGTSAIVAMDRNSSLSSGTSISSSVFLSQAKSHNKGTERSLESVLQASKQKVTAIESMLRGLNMSDKHNLAALRSSSLDLGVDPPSSRDPPFPAVVPASNHLTSSSMSESTASVVNKGINQNDGLGLSDIITQIQSSKDSGKLSYHSDVGIQSLSALSSYSSKRGTERLQERSSVEENSDIKEARRYMNPNTNRHFMDTPYRDDNFRDMQNSYVPNFQRPLLRKNVAGRMSAGRRSFDDSHLSLVSNYVEGPASLHESLCEGLSSGSNWSARVAAFNYLHSLLQQGQKGIQEVLQNFEKVMKLFFQHLDDPHHKIAQAALSTLADIILACRKPFEGYMERMLPHVFSRLIDPKELVRQACSATLEVVSKTYSIDSLLPALLRSLDEQRSPKAKLAVIDFAISSFKKHAMNPEGATNIGILKLWLVKLTPLVHDKNTKLKEAAITCIISVYSHFDSTAVLNFILSLSVEEQNSLRRALKQRTPRIEVELMNYLQNKKERQRKSSYDPSDVVGSSSEDGYVGFYRKNHYLGRYSAGSLDNDGGKKWTSHDSAMIKGSTGPAASDETREHSYQKPEIDSNSGILNSKSKDLTYTFNMAQNFGFETSQVGHVDNSINFEGLSSHLDANGLMSLEHLNISEGFENDKEHLSDWDHNNHSAEEVTVNSMTDTGPSILQILHMICSGSDGSLVSNKKTALQQLVEASIANDHAVWTQYFNQILTAILEVLDDPDSSIRELTLSLIVEMLKNQKDAMENSVEVVIEKLLNVTKDILPKVSDEAEHCLTIILSQDDPFRCLSVIVPLLVAEDEKTLVTCINCLTKLVGRLSQGELMAQLPSFLPALFEAFGNQSADVRKSQIVHFTGNFVSTSAATVAPIFPLHLDFPIRCMAIIVTTTTTISAVTSRTYICSHSVLAMQLDLTYQMCGYHGIVMGMNDYSILFLLPLKQQSPNVVAFYKLSFTSVKLCQCSLFGIAETPKLGMHLLLMWQI >OIW18268 pep supercontig:LupAngTanjil_v1.0:Scaffold_2_24:11893:16710:1 gene:TanjilG_10266 transcript:OIW18268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQGTPGGLNRQGDRKPDSSDKKDKKFDPPPPPSRVGRKQRKQKGPDAASRLPTVTPVTKCKLRLLKLERVKDYLLMEEEFVANQERLKPQEEKAEEDRSKVDDLRGSPMSVGNLEELIDENHAIVSSSVGPEYYVGILSFVDKDQLEPGCSILMHNKVLSVVGLLQDEVDPMVSVMKVEKAPLESYADIGGLDAQIQEIKEAVELPLTHPELYEDIGIKPPKGVILYGEPGTGKTLLAKAVANSTSATFLRVVGSELIQKYLGDGPKLVRELFRVADDLSPSIVFIDEIDAVGTKRYDAHSGGEREIQRTMLELLNQLDGFDSRGDVKVILATNRIESLDPALLRPGRIDRKIEFPLPDIKTRRRIFLIHTSRMTLADDVNLEEFVMTKDEFSGADIKAICTEAGLLALRERRMKVTHADFKKAKDKVMFKKKEGVPEGLYM >OIW18270 pep supercontig:LupAngTanjil_v1.0:Scaffold_2_24:35229:38562:-1 gene:TanjilG_10268 transcript:OIW18270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAAPEKGSCRLEDSYRPTWREKVTTCADTDPKTSEYAFFKKLKKDAGRGAHSHPVQKDADQSKKPESSDCSRERTSDVGVDNKGFNSSRIIKDTSTVKTGSFFSPSTGAWNNSGNQFMHGEIFSRKRQKLHHCVADTLFPDTEKLCSKGHDIVSMLLSRLFPTSIQENDPNPGKAMNATTGYDLLESQESDSQFKEHHQIPTRKLLELESSSYLSDNLLSPMLLRSDKRLFPHAEFPNPGNVVNATAGCDLLDSEVETQFKGHHQIPTRKLLEFETSSYFSDHLLSPMLLKSDERLAPHAEFLNPGNVVNATTGCDLLDSREVEARFKEHHQIPTRKLLEFESSSDFSDHLLSPMFLTSNEGHTPHAEFQTSHFHKFQPLYSITEPECKFSGNPSFSAKSDASVGFLYNEVLDARRNGLLDFRESDVRFKEHCQTPKTKLIEFESNSYIKDHLLSPILRRSTERIIPHTDFQTSQSLKFLPLHSITESGCEFGGAPSFNAISDATLAFLFNEQGHNIFTSNYFKDTGKLEREPIPLLLEKDFECTADDEINLPISYKYAKPDTVPALSTLGNGEEQMFKNILGQLVLPELHDIEEISSNSL >OIW19015 pep supercontig:LupAngTanjil_v1.0:Scaffold_2_257:26502:26738:-1 gene:TanjilG_10302 transcript:OIW19015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLMLLASELVRHRKCGASAILAAYPPSSIITSSSCNAVRKPLKDDIGMQKQNNQRTEETVVENPMESRVCVDFAWP >OIW19073 pep supercontig:LupAngTanjil_v1.0:Scaffold_2_261:5447:7693:1 gene:TanjilG_10309 transcript:OIW19073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHKNTISSNIMIMGYIKSGNLSTARDLFDSMIERTAVTWTMMIGGYAKNDQFREALGLFANMCRHGIAPDYITLATLLSGFSEFDSVNEVTQVHAHVIKLGYDSTIMVCNSLLDSYCKIRSLGLACHLFKHMPEKDSVTFNALLTGYSKEGLNREAINLFFEMQNLGFRPTDFTFAAVLCAGIQLDDIEFGQQVHGFIVKTNFVWNVFVANALLDFYSKHDHVAEVRKLFYEMPELDGISYNVLITCYAWNGKLRESIDLFRELQFIGFDRRQFPFATLLSIASNALNLEMGRQIHSQAVVTAAISEILVGNSLVDMYAKCDRFSEANRIFANLTHQSSVPWTALISAYVQKGLHEEGLKLFIEMQRAKICADSSTYASILRASASLASITLGKLIHSHIIRSGYISNVFSGSALLDMYAKCGSIKDALQMFQEMPMRNLVSWNALISAYAQNGDGDLSLRSFEQMVHSGLEPNSVSFLSILCACSHCGLVEEGLQYFNSMTKTYKLVPKREHYASMVDMLCRNGRFEEAEKLMVQMPFEPDEIMWSSILNSCRIHKNQELAKKAAEQLFNMKVLRDAAPYVSMSNIYAAAGEWDNVGKVKKAMRDRGVKKVPAYSWVEIKHKTHVFSANDKSHPQMTEITRKLDELEEQMEKEGYKPDSSCVLHNVDEEVKVESLKYHSERIAIAFALISTPKGSPILVMKNLRACTDCHAAIKVISKIVDRDITVRDSSRFHHFRDGFCSCRDYW >OIW19074 pep supercontig:LupAngTanjil_v1.0:Scaffold_2_263:14397:17151:1 gene:TanjilG_10310 transcript:OIW19074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSDSCTRNSLIPTFLYSSSSSKTLPLRNTLSSAATSADAGGSLRRSPMIAAPSEPGKIEMYSPAFYAACTAGGILSCGLTHMTVTPLDLVKCNMQIDPAKYKSISSGFGVLFKEQGVKGFFRGWVPTLLGYSAQGACKFGFYEFFKKYYSDIAGPEYAAKYKTLIYLAGSASAEVIADVALCPFEAVKVRVQTQPGFARGLSDGLPKFVKSEGTLGNWDESNVMYYDIYFRLYKGLVPLWGRQIPYTMMKFASFETIVELIYKHAIPRPKSECSKGLQLGVSFAGGYIAGVLCAIVSHPADNLVSFLNNAKGATVGDAVKKIGLLGLFTRGLPLRIVMIGTLTGAQWGLYDAFKVSVGLPTTGGPAPAAVVADAKA >OIW19097 pep supercontig:LupAngTanjil_v1.0:Scaffold_2_271:4882:8826:1 gene:TanjilG_10317 transcript:OIW19097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENYPILFPCPSSSSSYQISTSVVGSSHHGFNGMNNGQSSNAFLGLRPSDEMDHDDNHHQGRAEVSHVISERSIEVSDEVGNSSNNKKKGEKKVKKPRYAFQTRSQVDILDDGYRWRKYGQKAVKNNKFPRSYYRCTHQGCNVKKQVQRLTKDEGVVVTTYEGVHTHPIEKTTDNFEHILSQMQIYTPF >OIW19102 pep supercontig:LupAngTanjil_v1.0:Scaffold_2_272:40779:41683:1 gene:TanjilG_10320 transcript:OIW19102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEETTTLLLILTLPFLLPTTTTTQQPPPEPLLAAAAQILSDTGYLSMALTLHLTSSSLHLPSPSTTIFTPTPIPHLSPSSHSPPYPPTKYISLIVTNSSLSSHQLTLNDVVVFDSPIFDDGYLLLLLINDFFNSSSLLLPVKEPFPSISEVLKWNGFSIFATFLEAHLANEFATEATLTIFAPLDEVVVQSVRNVTSYSAIFWKHIVPRLILWRDLIRLPDETLLPTFSEGFVIRVNVAPKIRFFNGVRVVFPNMYHSSDIVVHGIDGLLDRNIT >OIW19101 pep supercontig:LupAngTanjil_v1.0:Scaffold_2_272:11661:23055:-1 gene:TanjilG_10319 transcript:OIW19101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDWGPVFVSVVLFILLTPGLLVQIPGNGKQLEFSSMKTNGKAIFIHTLIFFALFTILILALHLHIYTG >OIW19100 pep supercontig:LupAngTanjil_v1.0:Scaffold_2_272:2245:4548:1 gene:TanjilG_10318 transcript:OIW19100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWDLEEFPWDSSRLEVDKCGFELKGINKKKSEGDSVDLSLGGASNLMENLAPDTPKELKDSKTVLSKKSQSNNGLQNLFCLVDGCKSNLSDCREYHKRHRVCEKHSKTPVVLVRGKEQRFCQQCSRFHSVGEFDDVKRSCRKRLDGHNRRRRKPQPSPFFMAAEKFLYNYKGPRILQFDSPQTYSNPIMRNMWPTTAKTETEAESGYDRRRLLYRDDNHKQGNELFIWQESGLKANNGNEVMLRTPIYQQTYGANAVPASRKGSRKPYSDSKLESFESSCALYLLSTLQTQSSELSLVQSSITCPIQSSSGSVNLDAMDEYSCTERVMNKPTSPVFVRDAVEADLQCNGMLQIGHDELVKNEDSMMFPSFWE >OIW18272 pep supercontig:LupAngTanjil_v1.0:Scaffold_2_30:9003:10051:-1 gene:TanjilG_10270 transcript:OIW18272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFYIAFIASAGLGGLIATTQLIGALANSSRASEIPDTLKNLGIDFGAVSLFAFLYFQENKSKNAQVARLSREENLSNLKLRVDKKRIIPVSSFRGIARLVICAGPASYVTESFKLSEPLTESLLDRGVLVVPFVTDGNLPTLEFEESEELKPPGARRKRLWQLAPVFISEWSEWIDQQKKMAGVSSESPVYLSLRLDGRVRGSGVGYPPWNALVAQLPPVKGMWTGLLDGFDGRV >OIW18273 pep supercontig:LupAngTanjil_v1.0:Scaffold_2_32:5998:7634:-1 gene:TanjilG_10271 transcript:OIW18273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLFRLVDNDQDFFSRRCIWVNGPVIVGAGPSGLATAACLREQGVPFMVLERADCIASLWQRRTYDRLKLHLPKQFCQLPNLPFPQDFPEYPSKKQFIDYLESYARKFNINPKFNECVQSCRYDETSGLWRVKTVATCGSNRTEFEYICRWLVVATGENAECVMPEIEGLGEFKGEIIHAADYKSGENFKGKKVLVVGCGNSGMELSLDLCNYNASPSMVCRSSVHVLPREIFGKSTFELACLMMQWLPLWLVDKLLLIFAWLVLGNIEKYGLKRPSQGPLQLKNTKGKTPVLDIGTLEKIRSGDIKVVPAIKRLSNDSAELVNGENLEVDAVVLATGYRSNVPSWLQEGEFFSKNGFPKSPFPNGWKGNSGLYAVGFTRRGLSGASSDAMKIAQDIGEVWKQETKQKKQRTTACHRRCISQF >OIW18411 pep supercontig:LupAngTanjil_v1.0:Scaffold_2_33:24217:39522:-1 gene:TanjilG_10272 transcript:OIW18411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTFFREVWVEDSDVAWIDGEVLEVNGDKIKVLCTSGKTVVVKASSVYHKDTEAPPCGVDDMTKLAYLHEPGVLYNLRSRYDINEIYTYTGSILIAVNPFIRLPHLYNSHMMAQYKGAGFGELSPHPFAVADAAYRLMISEGVSQSILVSGESGAGKTESTKLLMQYLAYMGGRSTVGEGRTVEQKVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDQKGRISGAAIRTYLLERSRVCQLSDPERNYHCFYMLCAAPPEDVQKYKLGNPRTFHYLNQSNCFELEGLDESKEYHDTRRAMNVVGISSEEQEAIFRVVAAILHLGNVEFTKGIETDSSMTKDEKSRFHLQTAAELFMCDAKALEDSLCKRVIVTRDETITKCLDPDAAALSRDALAKIVYTRLFDWLVDKINSSIGQDPESKSLIGVLDIYGFESFNTNSFEQFCINLTNEKLQQHFNQHVFKMEQEEYKKEEIDWSYIEFVDNQDILDLIEKKPGGIIALLDEACMFPRSTHETFAQKLYQTFKNHKRFSKPKLARSDFTICHYAGDVTYQTELFLDKNKDYVVAEHQALLYASKCPFVSGLFLPSPEESSKQSKFSSIGTRFKQQLISLLETLSSTEPHYIRCVKPNNLLKPGIFENKNVLQQLRCGGVMEAIRISCAGYPTRKTFDEFVDRFGLLASEALVGRSDEVACKRLLENVGLKGYQIGKTKVFLRAGQMAELDTRRSEILGKSASVIQRKFRSYLAQRSFVLLRLSARQIQATCRGHLARKVYEGLRREASSLMIQRYFRMHIARKAYTELYSSSISIQTGIRGMTARSELRFRKQTNAAIVIQSHCRKYLAHHHFNDLKKAATTTQSLWRGKVARRELRKLKMAAKETGALQAAKNKLEKQVEDLTLRLQLEKRMRVDMEVLKSQENQRLQSALQEMQLQFKETKVLLQKECEAAKREAERIPVIQEVPVVDNALLEKLTSENEKLKTLVTSLEKKIDETEKKFEEASKSSEERLKQALDAETKIIQLKTAMQRLEEKFSDIESENQVLRQQSLLDSSVKTMSQHLSTHVSEKLENGHHAAKEQKAVEFVTPVKKFETESDSKLRRSYIERQHENVDALVNCVKKNIGFHHGKPVAAFTIYKCLLQWKSFEAERTSVFDRLIQIIGSAIENQEENDLMAYWLSNTSALLFLLQQSLKSGGATDATPVRKPPNPTSLFGRMTMGFRSSPSSANLNVPSLDVVRKVEAKYPALLFKQQLTAYVEKIYGILRDNLKKELASLLSSCIQVSCSVPHASIIADIQAPRTSKGTLKSSRSIGKDSPMGHWQSIIESLNTLLCNLKENFVPPILIQKIFTQTFSYINVQLFNSLLLRRDCCTFSNGEYVKAGLAELELWCAQAKEEYAGSSWDELKHIRQAVGFLVIHQKYRISYDEIINDLCPVMSVQQLYRICTLYWDANYNTRSVSSDVLSSMRVLMAEDSNNAKSDSFLLDDSSSIPFSVDDLSTSLQEKDFAEMRPADELLENPAFQFLSE >OIW19120 pep supercontig:LupAngTanjil_v1.0:Scaffold_2_330:24875:25658:-1 gene:TanjilG_10321 transcript:OIW19120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCNGCRVLRKGCSESCILRPCLQWIETPEAQGHATVFVAKFFGRAGLMSFISNVSETQRPALFRSLLFEACGRTVNPVNGAVGLLWNGNWHVCQAAVETVLRGGTLTPMAEVIRMDAHAPAMDEASESEVTCTDISRIRDPNLNYRFMSSRSNCGGGGGKRKRPEAAAADLDLRLTPIFMQKVSDYWSRLENRRQGSPSMTSEESVTTVACLESGTHGGDRKVLNLFI >OIW19121 pep supercontig:LupAngTanjil_v1.0:Scaffold_2_398:559:929:1 gene:TanjilG_10322 transcript:OIW19121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTVCGELRWIMVVLIGVEIRILPSFQSAALEVYSRCKGGIEIPNSSFIIFDVGFELMGEDNNVNPLRLLDYAVVSMPLPRIRIGWFTMAPSDGLR >OIW19122 pep supercontig:LupAngTanjil_v1.0:Scaffold_2_399:6078:6875:1 gene:TanjilG_10323 transcript:OIW19122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTVCGELRWIMVVLIGVEIRILPSFQSAALEVYSRCKGGIEIPNSSFIIFDVGFELMGEDNNVNPLRLVDYAVVSMPLPRIRIGWFTMAPSDGLRWFEVQDMVIACIDFSV >OIW18412 pep supercontig:LupAngTanjil_v1.0:Scaffold_2_40:23470:26574:1 gene:TanjilG_10273 transcript:OIW18412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNNNTNFFISKEKLVVEVLEANNLMPKDGEGSSSPFVEVEFENQRQRTQVKYKDLNPVWNEKLVFYVKEVADLPYRTIEMNVFNERRSGNSRNFLGKVRVSGTTIAKESEEVVQRYELDKRSLFSHVRGEITFKLYLTTRDDIHVNVSSSSSNNANAIVGSVSSSVSSSASVVGVAPTPPSKKNRKLQEPSHQHTVHENINKQMMQQVQNPNLSDNKPIVNFTNGLNPSMMLPMVAGPRVGIGSASGVGGGLNLYNGGNAEFSLKETSPQLGGETLKNDKKNSTYDLVEQMQYLYVRVVKARDLAMFGGGELIAEVKLGNYRGITKRATLSNAEWDQVFAFSKDCIQSSAVEIYVKESNKDEYMGRVWFDLSEIPSRVQPDSQLAPQWYRMEDKKGDKSKAGEVMLCIWFGTQADEAFAEAWHSKSANVHFDGLSSIKSKVYLSPKLWYLKVSIIEAQDIIPGDKGSLMVRFPEFSAKVQVGNQVLRTRIAAPSATRSFSNPLWNEDLLFVVAEPFEDYVFVSVEDRVGPGRDDVVARVILPVAAIEKCVDDKPVTSRWFNLDSHYGNAGDNSNKLITTFGSRIHLRVSLDGGYHVLDEATMYSSDVRPTDKRLWKPHIGVLEMGILGATGLMPVKIKDGKGSATDAYCVAKYGQKWVRTRTVVDSLSPKWNEQYTWEVYDPCTVVTVGVFDNCRIDKKTTANAAARDTRIGKVRIKLSTLESDRVYTHSYPLLMLHPFGIKKMGELHLAVRFSCANVANMLHMYTMPLLPKMHYVHPLSVNQLEHLRYQAMNVVASRLSRAEAPLGREVVEYMLDHDSHMWSMRRSKVNFFRLMNVLSCLIAIGRSLEMIRNWQRPVHSALFLIMFLTFVMLPELIIPSIFCYMAFVGLWHYRSRPRHPPHMDTRLSHAESIFPDELDEEFDSFPTSRSANVVRMRYDRLRSVAGKIQSAVGDLATQGERFQALLSWRDPRATFLFVVLCFVSVVGFYYVPIRIVVALFGLYSLRPPRFRSKLPSRALSFFRRLPTNADSLL >OIW19143 pep supercontig:LupAngTanjil_v1.0:Scaffold_2_400:626:1735:-1 gene:TanjilG_10324 transcript:OIW19143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVGNLISYTNDVVKLLQHKRDINNLIHTLQRTISLSSTFHSDFNPLHKLLQGKN >OIW19144 pep supercontig:LupAngTanjil_v1.0:Scaffold_2_401:3294:5345:1 gene:TanjilG_10325 transcript:OIW19144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMALFFKSKNIMLSTTLFSFLLFLTLLHAKAFVFEGFHNNSKLILGGASIIKTSSLLRLTNTSTNIIGHAFYANPFQMFNTTNSSLQPNPTYSFSTSFVFSIVSPSSGSGGFGLAFTIAPSTEFQGAGAGHFLGLVNSTNNGNVSNHIFAVEFDTVNGYNSDPDTEGNHVGVNLNDVSSIVSEPAAYFEEGNAYEKEEFSMEKVDAVQAWIEYDGEKKTLNVTVAPLSLKKPSKPLISNNIDLNNVLKENMFVGFSASTGQEASSHYILGWSFTMNGIAPSLNISQLPKPPSKLQDFSSSFPWVKVAISILSALTFTLLCLLLFQRLYNRYSNFEALEEWELDCPHRFRYKDLHIATKGFKESELIGVGGFGAVYKGVLPTTGIEVAVKKILRKNAHGMREFAAEIESLGKLRHKNLVNLQGWCKKKNELLLVYDLIPNGSLDSILYDPNNNFVLDWDQRFNIIKGITQGLLYLHEEWEQVVIHRDIKTSNILIDRDLNARLGDFGLARLYNHGQVSHTTNVVGTIGYIAPELTRTGKASTKSDVYAYGVLLLEIVTGKRPSDLDEFVLVDWVIENYQLGQVLEVVDPKMNLAYDEEEVELVLKLGLLCCHHRADYRPTMKEVTRYLNFDELLPSIADWTHDVSERSRLSSGFLQFMSNSTISASNILSSTGMSTMSIEDGR >OIW19148 pep supercontig:LupAngTanjil_v1.0:Scaffold_2_406:27701:31338:-1 gene:TanjilG_10327 transcript:OIW19148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFMEKREVSGVDDRPMINIIVTLASSNHHIYLPPQSTFWDVKKLLAHKTGLPPEEQRIFFKGKEKDNEEHLHIEGVKDKSKLLLLEDTYNKEIKLEEIRKHNDMLKASEAVAGVRAEVDKLSDRVSALEAAVDSGIRVSDKEFIVSTELFMRQLLKLDGIEAEGEGKLQRKAEVRRVQNFVDTLDSLKAKNSNTLSKSGKTISVSTQWDTFNNGMRSLNAPTTTSSSTNVTNDWEQVD >OIW19149 pep supercontig:LupAngTanjil_v1.0:Scaffold_2_406:40565:44683:-1 gene:TanjilG_10328 transcript:OIW19149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTLTLPSKSSLSRTDSIQSFTVPTSVISSPKATLGSHLARRLVEIGVTDVFSVPGDFNLTLFDHLIDEPGLNLIGCCNELNAGYAADGYARSKGVGACAVTFTVGGLSVLNAIAGAYSENLPLICIVGGPNSNDYGTNRVLHHTIGLPDFSQELRCFQTITCFQAVVNNLEDAHEQIDTAISTALRESKPVYISIACNLPGIPHPTFSHEPVPFALNPKLSNQIGLEAAVEAAAEFLNKAVKPVLVGGPKLRVTAQDISTMLRLGQKTIIFLINNGGYTIEVEIHDGPYNVIKNWNYTALVDAIHNGEGKCWTTKVFCEEELVEAIATATGPKKDSLCFIEVIVHKDDTSKELLEWGSRVSAANSRPPNPQ >OIW19147 pep supercontig:LupAngTanjil_v1.0:Scaffold_2_406:22108:25980:1 gene:TanjilG_10326 transcript:OIW19147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPTAYLPSPVSPDWLNKGDNSWQMISATLVGLQSMPGLVILYASIVKKKWAVNSAFMALYAFAAVLICWVLVAYRMAFGDKLLPFWGKGAPALGQKFLIRRAKVPESLHFYKNGTVESLAEEPFFPMASLVYFQFTFAAITLILLAGSVLGRMNIKAWMAFVPLWLIFSYTVGAFSLWGGGFLYHWGVIDYSGGYVIHLSSGIAGFTAAYWVGPRVKSDRERFPPNNVLLMLAGAGLLWMGWSGFNGGAPYAANMISSIAVLNTNICAATSLLVWTSLDVAFFGKPSVIGAVQGMMTGLVCITPGAGVVQSWAAIVMGILSGSIPWVSMMILHKKSSLLQKVDDTLGVFHTHAVAGLLGGLLTGLLAEPSLCRLMLPVTNSRGAFYGGDGAMQFLKQLVAALFVIAWNLVSTTIILLSIQLFIPLRMPEEELEIGDDAVHGEEAYALWGDGEKYDPTRHGSLNISHSPSPYVNGARGVTINL >OIW18413 pep supercontig:LupAngTanjil_v1.0:Scaffold_2_45:486:1370:-1 gene:TanjilG_10274 transcript:OIW18413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLERSVDVPFTEEVDIDSLVESLEMRKNETVSIPTCISSPVTKLMAKGIGTVSGRLYLGTTESIPPSEVIDTTGAGDAFIGAVLYAICAKFSPETMLSFSATVAAAKCRDLGARSGLPYRADPRLASFMQ >OIW21957 pep supercontig:LupAngTanjil_v1.0:Scaffold_308_2:24480:24910:-1 gene:TanjilG_17726 transcript:OIW21957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKIVIRRIDNSTSRQVTFSKRRNGLLKKAKELAILCDAEVGVVIFSSTSKLYDFSSTS >OIW21956 pep supercontig:LupAngTanjil_v1.0:Scaffold_308_2:8548:8805:-1 gene:TanjilG_17725 transcript:OIW21956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRTKLNKLSKVRPLHPYCNKNDKVVYSFWKHYCDFVTSPHFKTRKLSVSGHVKDHGGGLLNNLMNLEEEKSMKTEMFTIHILTP >OIW21958 pep supercontig:LupAngTanjil_v1.0:Scaffold_308_3:3272:16074:1 gene:TanjilG_17727 transcript:OIW21958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPPTQRPPKIKGFIEKVIQCPLQDIAVPLSGFRWEYSKGNFHHWRLLLLHFDAYFKAYLACRNDLTLSENLHDDSPLPKHEILQILRVIQIILENCPNKSSFDGLEHFKLLLASTDPEILLAALETLSALVKISPPKLHGSAKMVGCGSVNSYLLPLAQGWGSKEEGLGLYSCVMANEKAQDEALHLFPSDVDNGDDQQSNYRIGSTLYFEMHGLSSQSKEHSLNTVSPSLRVIHMPDLHRCKEDNLSLMKQCIEQHAIPPELRFSLLTRIRYAHAFRSPRICRLYSRICLLSFIVLVQSSDAHDELVSFFANEPEYTNELIRVVRSEETISGSIRTLAMLALEAQLAAYTSSPEQGQIPSGSSISFSGGNHMILLNVLQRAILSLKSSNDPSPLAFVEALLQFFLLHVVSTSTSGSNIRGSGMVPTFLPLLEDYDPGHIHLVCFAVKILQKLMVYSSSAVSLFKELGGIELLAQRLQKEVHRVIGLVEETDNKMLTGESLRHCTNQLYSQKRLIMVSLKALCCATYAPVNTTRSQHSQGSSLPATLVLIFGNVDKFGGDVYYLAVIVMGEIIHIDPTCFSALHKMGLPDAFLSSIESGILPSSKALICIPNGLGAICLNAKGLEAIRASSSLQFLVDIFTSKKYVLAMNEAIFPISNSVEELLRHVTSLRSAGVDIIIEIIERIASFGGSAGTGSRGKANEDTAMETDAEVKSKEGYFGPVGTLVNSSSEAKKDEQFIQLCVFHLLVLVHRTMENSETCRLFVEKSGIEALLKLLLQPTIAQSSDGMTIAFQRTVVRVFKKFAQHHSTPLARAFCSSLKEHLKKTLAGFGAALEPLLLDPRMTSDSGIFSSLFHVEFLHFLAASKDSGWVIALLTELGNGSKDVLEDIGHVHREVLWQIALLENTKTEVEDDGACSSDSQQADVDASETEEQRLNSFRQFFDPLLRRRASGWSIESQFVDLRNLYRDLGRSTGSQHRSSSVGHSNMQSSSNNQLHHSGSDDNTRTTNKKEPDKQRTYYNSCCDMVRSLSSHITHLFQELGKAMLLPSCLGDDIVSASPASKSVASTFASIVLDHVNFGAHVIISGTEASISTKCRYFGEVIDFMDSILMERPDSCNPILLNYLYGRGVIQSVLTTFEATVELLFAVNHAPASPLDTDDRNAKQDDKEDINNSWIYCSLASYGKLMDHLVTSSFILSSFAKHLLTEPLTNGDTPLPQDAETFVKILQSTVLKTVLPVWTRPQFVDCSYEFISTVISIIRHVYSRLEVKSVNDSSDSRITGPPPNETTISTIVEMGFSMSRAEEALRQVGSNNVELAMEWLFSHPDETPEDNELACTPVMSLGNSESDKKDAVANGSAQQIEEEMVQLPPVDELLSTCTKLLLKEALAFPVRDLLVMICSQDGGQYRSNVVTFIVDRIKECGLVSSNVNNSFLAALFHVLALILNEDTVAQEAASKSGFIKLASDLLYQWDCTSLGSREKQQVPKWVTTAFLALDRLLRVGQELNSEIVEPLKKEAVNSQKTSITIDEDGQNNLQSALGLSIKYADIYEQKRLVEVACSCMKKQLPSDTMHVVLLLCSNLTRNHSVALTFLDGGGLSLLLSLPTSSLFLGFYGIAASIVRHVLEDPQTLQQTMESEIKHSLVAASNRHPNGRVNPRNFLVILSSVISRDPAVFMQAAQAVCQVELVGERSYVVLLKDRDKDKTKEEEKDKDKSLEKDKAQSDGMVGLGNTNTAASGNGHGKMHESNAKSVKGHRKPTQSFINVVELLLEPICSFVPPLKDETFPNNPGIPASSNTDIDVSTVKGKGKAVATMSEGNETSSKEASASVVKIVFILKLLAEVILLYPASIHVLLRRDAENSSIRGTFQKIPAGLNIGGIFYHVLHNFISCSRNFKDKKGDGDWRQKLATRANQFMVVACVRSSEARKRVFNEISYIINEFVDSCDGVKPPGNGIQVIVDLLNNVLAARTPAGSSISAEASATFVDAGLVKSFTRTLQVLDLDHPDSYKVATGIVKALELVSKEHVYSVESNAGKGISSTKPSDQVGKIDNIGEMSQSMEDGVHHLPHPETDQDDHEIDDEVMEEEEEEEDDEEDGDGVIMRLEEGVNGINFFDHVEVFGRDNNNVLENNSLDLSLSSGRHERRMDLWTDIIQQNGGSNTSVVPRGFEELFVSQLRQPTPEKSSNQNIAEVGPHSKVEVSHTQDSGGARAEVPSESNANLEVSTSTPSIVENNNNANIRPAETRHLQTDMSSTHTQAVPMQFEHQTFGGSLRSLGVEIGSADGHDDGGKRQVSADRTAGDAQTAHTRRSNLPLSHSSAVIGRDASLHSVTKVSENSSRDADQDGPAAGQQGNSDNRSGAIDPAFLDALPEELRAEVLSAQQAQVAQPSNAESQNTVDIDPEFLAALPSDIRAEVLAQQQAQRLHQSQELEGQPVEMDTVSIIATFPSDLREEASMSVYPSYIEMRLCLKSFFLLLQVLLTSPDNILANLPPALVAEANMLRERFSYRHSRDDLLGMYPRSHRGEASRREGVRSDLDGVGGDVYSHRSSGAKVVEADGAPLVGTKALHAMIRLFRIVQPLYKGQLQRLLLNLCAHSETRTSLVKILMDLLMLDVRKPAHYYSTVDSPYRLYGCQSNVMYPRPQSFDGVPPLLSRRILETLTYLAQNHSYVAKHLLLSRLPYPALRESDNADACGKINEDYISIAILLSLLNRPLYLRSIAHLEQLLNLLDVTIDSAGSKSSSPDKSLISASNPSSGPQISSLDANVNTDSGVMPSRVDTFKKIDGSSNPTSSDHNMESESSRVLSNLPQAELRLLSSLLAHEGLSDTAYALVAKVMKKLVAIAPTHCQLFVTELAEAVRNLTSSAMNELRIFCEARKSLSTTSSDGASVLRVLQALSSVVKSLTEKESDIVTPAAISQVWEINSALEPLWHELSCCIHKIESYSESASDFFTLSSTSVSKPSGVIPSLPAGSQNILPYIESYFVVCEKLHSAQPGASHESSIPVVSDVENASTSAIPQKSSGPAVKVDEKNAAFVRFSERHRKLLNAFLRQNPGLLEKSFSLMLKVPRFIDFDNKRAHFRSKIKHQHDHHHNHLRISVRRAYVLEDSYNQLRIRSTQDLKGRLSLHFHGEEGMDAGGLTREWYQLLSRVIFDKGALLFTTVGSESTFQPNPNSVYQTEHLSYFKFVGRVVGRALFDGQLLDVHFTRSFYKHILGVKNDISDVLGLTFSIDADEEKLILYERTEVTDYELIPGGRNIKVTEENKHQYVDLVVEHRLTTAIRPQINAFLEGFNELIPMELISIFNDKELELLISGLPDIDLDDLRANTQYSGYSAASPVIQWFWEVVQGLSKEDKARLLQFVTGTSKVPLEGFSALQGILGSQKFQIHKAYGSPDHLPSAHTCFNQLDLPEYPSKQHLEERLLLAIHEASEGFGLR >OIW20615 pep supercontig:LupAngTanjil_v1.0:Scaffold_30_2:4687:5040:1 gene:TanjilG_17062 transcript:OIW20615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGHIKFSQFQYIVKLCQILRQWRGKAHRSIRRTPPDVPSGHLAVCVDINHTRFVVRASYLNHPVFKKLLVEAEEEYGFSNHGPLTIPCEEVLFQEAIRFISRLIQGSNLTVAPSS >OIW20617 pep supercontig:LupAngTanjil_v1.0:Scaffold_30_2:16029:16346:-1 gene:TanjilG_17064 transcript:OIW20617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTKSNKHPQKALIKQILKRCSSLGKKQQRYDDKGFSLDVPKGHFVVYVGENRSRYIVPISFLSRPEFQTLLHQAEEEFGFDHERGLTIPCQEDVFESLTSTLG >OIW20616 pep supercontig:LupAngTanjil_v1.0:Scaffold_30_2:15419:15736:1 gene:TanjilG_17063 transcript:OIW20616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTKSNKHPQKALIKQILKRCSSLGKKQQRYDDKGFSLDVPKGHFVVYVGENRSRYIVPISFLSRPEFQTLLHQAEEEFGFDHERGLTIPCQEDVFESLTSTLG >OIW20618 pep supercontig:LupAngTanjil_v1.0:Scaffold_30_3:6965:10017:1 gene:TanjilG_17065 transcript:OIW20618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKLSRFRRICVYCGSSPGKNPSFQLAAIQLGKQLAKRNIDLVYGGGSIGLMGLISQVVYDGGRHVLGVIPKTLNSKEITGESVGEVRAVSGMHQRKAEMARQADAFIAMPGGYGTLEELLEIITWAQLGIHDKPVGLLNVDGYYNSLLAFMDKAVDEGFVTPAARHIIVSAHTAQDLMCKLEEYVPKHCDVAPKLSWEMEQQLVNTAKLDISR >OIW20620 pep supercontig:LupAngTanjil_v1.0:Scaffold_30_5:8158:11651:-1 gene:TanjilG_17067 transcript:OIW20620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEKSRSKGGCCGWFIAIVILAVVVAAVVYTVKVKIHHSHSDKPAPVPGPPGAVQQKYSSALKTSLQFLDIQKCIVLLLSGKLVDNKIPWRGDSALKDGSQASLDLSKGLYDAGDNMKFGFPMAFTATVLSWSILEYGDQMDHVGQLGPAQDSLKWITDFLINAHPSENTLYIQKVGDPAVDHACWQRPEDMTEMRPLIQVNTSCPGSDIAAETAAAMASASLVFKKADSTYSSTLLKHAKQLFTFADNNRGSYSENIPEVATYYNSTGYGDELLWAASWLYHATGDNSYLKYVTGQNGDDYANWGSPTWFSWDNKLAGTQVLLSRLSFFKGKDISNAYSSGLQNYRKTADAVMCGLLPDSPTATKSRTDSGLIWVSQWNSLQHSVASAFLAVLYSDYMLTTQTPKLKCGSDSFRPSDLRDFAKSQADYVLGKNPMKMSFLVGYGDKFPEFVHHRGASIPMDSTANCKDGFKWLDSTDPNPNVATGALVGGPFLNETFIDSRNNSMQTEPTTYNSAIIVGLLSSLVTTSSAVQSFT >OIW20623 pep supercontig:LupAngTanjil_v1.0:Scaffold_30_5:22605:25768:-1 gene:TanjilG_17070 transcript:OIW20623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGTKRPIYAVTTWLRRQPPKMKAFLALLSGITALLFIRMVVHDHDNLFVAAEAVHALGISVLIFKLSKDKTCSGLSLKSQELTALFLAVRLYCSFVMEYDIHTILDLATLATTLWVIYMIRFKLKASYMVAKDNLPIYYVVVPCAVLSLLIHPTTRHQIVNRVLWAFCVYLEAVSVLPQLRVMQNAKLMLNFNFQIVEPFTAHYVFALGVARFLSCAHWVLQVIDTRGRLLTALGYGLWPSMVLLSEIVQTFILADFCYYYVKSIVGGQLVLRLPSGVV >OIW20622 pep supercontig:LupAngTanjil_v1.0:Scaffold_30_5:19655:21218:1 gene:TanjilG_17069 transcript:OIW20622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGSFLTRALMMVLGYAYPAYECYKVVERNKPEIEQLRFWCQYWILVAVLTVCERIGDTFISWVPMYSEAKLAFFIYLWYPKTKGTTYVYDSFFRPYVAQHEPEIDRSLLELRTRAGDIAVLYWQRAASYGQTRIFDILQYVAAQSTPAPRPAQQRPAVRVRQPASVNNQQADDPPSTSSSSQHQKVPKPASSVAGLSSTQKTDPTPQSTNQSAPGETEPMQIDAALPSSSAANKNANPPSMEESNAIIGGRLKKTQ >OIW20619 pep supercontig:LupAngTanjil_v1.0:Scaffold_30_5:3590:6743:-1 gene:TanjilG_17066 transcript:OIW20619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEKSISKGRCCSWFITIAILAIVEGAVVYTVKMMIGYSDKPIPLGIIQQNYSSALKTSMQFFNIQKSGKLVDNKIPWRGDSGLKDGIQASLDLSKGLYDAGDNMKFGFPMAFTATVLSWSILEYGDQMDRVGQLEPAQDSLKWITDFLINAHPAENTLYIQKVGDPAVDHACWQRPEDMTEMRPLIQVNTSCPGSDIAAETAAAMASASLVFKKADSTYSSTLLKHAKQLFTFADKNRGSYSENIPEVATYYNSTGYGDELLWAASWLYHATGDDSYLEYVTGPNGEDYANWGSPTWFCWDSKHAATQVLLSRLSFFKGRDISNAYSSGLQNYRKTAEAVMCGLLPDSPTATKSRTESGLIWVSEWSSLQHPIASAFLAVLYSDYMLTTQTPQLKCGSDSFRPSDLRNFAKSQADYVLGKNPMKMSFLVGYGDKFPEFVHHRGASIPMDSTANCKDGFKWLDSTDPNPNVATGALVGGPFLNETFIDSRNNLMQTEPTTYNNAILVGLLSSLVTTSSAVQSFT >OIW20621 pep supercontig:LupAngTanjil_v1.0:Scaffold_30_5:13954:16268:-1 gene:TanjilG_17068 transcript:OIW20621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYIIGLGLGDEKDITIRGLEAIRSCTNVYMEAYTSLLSFGLSSNGLSNLESLYGKSIILADREMVEEKSDDILSEAHHSHVAFLVVGDPFGATTHTDLVVRAKKLGIDVKIVHNVSVMNAIGICGLQLYRYGETVSIPFFTDTWRPDSFYEKIQTNRNMGLHTLCLLDIRVKEPTLESLARGRKIYEPPRYMTINTAIEQLLEIEQARQESAYGEDTECVGFARLGSEDQMIVAGTMKQLQTIDFGAPLHCLVITGKTHPLEEEMLDFYRCRT >OIW21959 pep supercontig:LupAngTanjil_v1.0:Scaffold_310_2:6551:7465:-1 gene:TanjilG_18084 transcript:OIW21959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDHKEYTYSAEEAAEAETYSSTTPQASAMRKKKNKNTKRFSDEQIKSLESMFETESRLEPRKKLELARELGLQPRQIAIWFQNKRARWKSKQLERDYNILRSNYNNLASKFEVLKKEKQALLLQLQNLNDIIQKPQEQAQSSTSTQVKAANNMDSESENGDTIKYEAEVKPSLLGVPSDDDTSIKVEYFGMEDEPCLLNFVEQHAEGSLTSPEEWNTFESDNLLAQSTSDLQWWDFWS >OIW21962 pep supercontig:LupAngTanjil_v1.0:Scaffold_311_12:10216:11796:-1 gene:TanjilG_18103 transcript:OIW21962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLPSYLAILFFLLSVSLTASASIQESFVQCLNFNSEKSFPFHTSIYTPNTPSFTTILESSAQNLRYLEPSAPKPAFIFSPLTDSHVQASVICSKKLGIHLRVRSGGHDYEGLSYVSEIETPFIVVDLAKLRTINVDIKGNTAWIQAGATIGEVYYRIYEKSSVHGYPAGLCASLGVGGHITGGAYGSLMRKYGLGADNVLDARIVDANGRILDRAAMGEDLFWAIRGSGGGSFGVLLWWKIKLVPVPPTVTVFTVTKTLEQGATKLLHRWQEVAPFIDDNLFIRVIIQPAGNKTHRTITTTYNALFLGEARALLQVAKTSFPELGLTRKDLLETSWIKSVLYIAGYPNNTPPEVLLKGKSTFKNYFKAKSDFVREPIPEPGLEGLWLRLLAEDSPLMIWNPYGGRMSQFSESDIPFPHRNGTLYKIQYLTLWQDADINAAKHIDWIRKVYNYMTPYVSKFPREAYVNYRDLDLGVNTKNSTNYIQASSWGHRYFKDNFDRLVKIKTKVDPENVFRHEQSIPPLPL >OIW21960 pep supercontig:LupAngTanjil_v1.0:Scaffold_311_2:14927:24293:1 gene:TanjilG_18101 transcript:OIW21960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPPGPPTPIGGAQSVNASHLRSNSGMLGGQGGPVPSQNSFPSLVSPRTQFNNMNILGNMSNATSMLNQSFPNGVPNHGLSGPGSSQRGVVDTGAETDPLSSVANGMNFSNSSSSFVQANMVNAGGSSGQVQGQQFSNPSGNQQLEPQNFQHSQQSMQQFSAPLNTQQQQQQQHFQSIRGGIGGMGQVKLEPQVNNDQLGQQQQHQLQSLRSLPPVKLEPQQLQTMRSLPPVKMEPQHSDQPLFLHQQQQQQQQQQQQQQQLLHMSRQSSQAAAQFNLLHQQRILQLQQHQQQQILKAMPQQRPQFPQQFQQQNMPIRSPVKPSYEPGMCARRLTHYMYQQQHRPEDNNIEFWRKFVAEYFAPNAKKKWCVSLYGSGRQTTGVFPQDVWHCEICNRKPGRGFEATVEVLPRLYKIKYESGTLEELLYVDMPREYHNSSGQIVLDYAKAIQESVFEQLRVVRDGQLRIVFSQDLKICSWEFCARRHEELIPRRLLIPQVSQLGAVAQKYQSLTQSATPNISVPELQNNCNMFASSARQLAKALEVPLVNDLGYTKRYVRCLQISEVVNSMKDLIDYSRETGTGPMESLAKFPRRNSSSAGPRGQAQQHEDQLQQQQMLAHNSNGDQTPSSQPSAMQIASNNGIIGMVNINNSITSAPASTTTSTIVGLLHQNSMNSRQNSMNNASSPYGGSSVQIPSPGSSSTMPQAQPNLSPFQAPTPSSSNNPQQASRPSLTSANHMSAANSPANISMQQQPSLSGEADPGDAQSSVQKFIHEMLMSSQMNGSGGMVGVGSLGNDMKNVNGVLPMSTNTGLNSGNGLMGNGALSSNSGVGVGSYGTMNLGQSAITNGMRAAMGNNSVMNGRGGMASVARDQAMNHQQDLSNQLLSGLGSVNGFNNLQFDWKPSP >OIW21961 pep supercontig:LupAngTanjil_v1.0:Scaffold_311_4:403:771:-1 gene:TanjilG_18102 transcript:OIW21961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAKVYGADYACPKRVIVCLIEKEIEYETVFVDGFKGEHKQPEYLKLQAMNKGAKGLV >OIW21964 pep supercontig:LupAngTanjil_v1.0:Scaffold_313_12:5852:8207:-1 gene:TanjilG_18162 transcript:OIW21964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVSTMIVACAGSFYQDFDLTWGDNRAKIFNGGQLLSLSLDKVSGSGFKSKKEYLFGRIDMQLKLVAGNSAGTVTAYYLSSQGPTHDEIDFEFLGNISGDPYILHTNVFSQGKGDKEQQFYLWFDPTKNFHTYSIIWKPQHIIFLVDNIPIRVFKNAESVGVPFPKNQPMRIYSSLWNADDWATRGGLVKTDWSKAPFTAYYRNFKATQFSSKSFNPNSDAEWQTNELDAFGRRRLRWVQKYFMIYNYCNDLKRFPQGLPAECRRSRF >OIW21965 pep supercontig:LupAngTanjil_v1.0:Scaffold_313_17:9487:15970:1 gene:TanjilG_18163 transcript:OIW21965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNQQEQGRAHIPGHESHVCSKCGWSYPNPHPSAKQRRSHRKICGSIGGSYDNIVFDDDSKKSGVLVSAPNNLDADKTEKGNDGIGEGLVRSRSEDGVFSGPVADSENGLSPGIKEPLKQDSLDSGTTTVDQISIKAHEVSGSPMNSDFVNDGSQLIVKSSDGFQVGNALDSEGQLSASIVDPSRSLIADLRIEELTFVHSNGSFDLSNNSRPSKAEALSDVSPENKIYTGENAIECSLISVAKETNLIAKDVIKSDVVVVENVDSSDIIVDETCEGVSNIKGSGTISLDHKVADEAVNLVEKKSAEFLSLRAQDVIPLELNSAEITNASTNGFQVEAAHVKQFSTSSDVNILREKEVNGNVDTPPTPDDSPEVAHPQSDYKGLKDPDGVVSQNPLSLHSYESLKHEDAPNSVTKENTFVFNPIQLTEKSAILSPEVHVVSSTSSVKEPVKFDNIETKSEENTEVSPVKLKIESFDRLEEIGGMKAIGTEKNESHVIPFFEEQRTVDSCKDSQQISLPEASLVASSIENPRDASFASATSETIGVISIYHEKNRTEINDIVVDCNNVRANVENDIGTKTKDLQPSDLLQLDGKQSRNLVKSDDVGEMGKIEKCDITETPVISKAVVDGATKKAKALDCTNGGPILVTREDIKEDDSYHAQDAERPVKGAENVARKSTSPLNTEPSAQHVSAVEDNREGGPGGEASGITAVPVQDRKDEISSHVKVHEEYSRSIDPSGDSNQTHDAELLVKAVENLAVKYTSLSLNSEPSSQHDSAAEDNKDGEQGEKVSGITNVPVQDRSVNNLVKHSSSGFDASIDSSSQCDSLEGNQGSVSVISLKSDAPAVIDNETLPLTGSLASTEAGKSNVNKPEESFHKQQTGIPEMSKSPSFMTLVEPVHVFNPKAAACEVEKGSNPQQSDSTSQTGSILTQVINKSPGRKKNEEIIAKVTNPGTRKVRTPLKSLLDEATDSDKAKSSTFGVHASNQENGKFPEHSSSKLKTVNSILGTESPAAQAVKGETTKEWNSPARYPAGTKEEKGKLTSRSFWIQLVCCSSEDRQPSRR >OIW21966 pep supercontig:LupAngTanjil_v1.0:Scaffold_313_17:19596:19778:1 gene:TanjilG_18164 transcript:OIW21966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKRFPPLLRASEKKLKVGIEFFLHTVMLPKPLLVLRPVVLMYSFEGRVCPRYRVWLLLK >OIW21967 pep supercontig:LupAngTanjil_v1.0:Scaffold_313_27:5198:9882:1 gene:TanjilG_18170 transcript:OIW21967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHVNLPPSKRNPRQWRILDLVSAAFFALVFIFFLMVFTPLGDSLAASGRQTLLMSASDPKQRHRLVAAIELGQQRIIEACPADAVDHMPCEDPRRNSQLSREMNYYRERHCPPPEDTPLCLIPPPSGYKISVQWPECLYKIWHSNMPHNKIADRKGHQGWMKLEGPHFIFPGGGTMFPDGAVQYIEKLSQYIPINSGVLRTALDMGCGVASFGGFLLAQNILTMSFAPRDSHKSQIQFALERGIPAFSAMLGTRRLPFPAFGFDLVHCSRCLIPFTAYNATYFIEVDRLLRPGGYLVISGPPVQWPKQDKEWSDLQGVARALCYELIAVDGNTVIWKKPVEDTCLPNNNEFGLESCVDSDDPSSAWYFKLKKCVSRRSSIKGEYGIGTIPKWPERLTAPSPRSTHLKNGADVYEADTKRWVRRVAYYKNSLHVKLGTPAIRNVMDMNAFFGGFAAALISDSVWVMNVVPSRKPSTLDVIFDRGLIGVYHDWCEPFSTYPRTYDLIHVSSIESLLKDQASGKTRCNLVDLMVEMDRILRPEGTVVIRDTPEVIERVARVASAVRWRPTIHDKEPESHSREKILVATKTFWKL >OIW21963 pep supercontig:LupAngTanjil_v1.0:Scaffold_313_4:21062:22453:-1 gene:TanjilG_18161 transcript:OIW21963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHHILIIAFPTHGHINPALQFAKRLIDLGAHVTFSTTLQMHRCITLTVPGLNYAPISDADFKANHESDFLLFLTELKLHGSEFISNIVLSNAKEGGHPFTCIVYTLIISWVAEVARGLHLPSALLWVQAATVFDIFYYQSHGYSDYIYDKTKDKEFSSSSSIELPGLPLLLAPNDLPSYLVASTSSTNFSAVFIPLFEEHFRVLDNETNPIVLVNTFEALEQEALRAVDKINVISVGPLIPADTSYGGDISKSSDDYIEWLDSKPESSVVYVSFGSFLMLSKIQLEEIARGLLDSGHPFLWVIIREKGKKGEEEKEKEEELSCIEELKKSGKIVEWCSQVEVLSHPSFGCFLTHCGWNSTLECLVSGVPVVAFPQQVDQKTNAKLIEDLWKIGVRVDHVVNDELIVKGEEIKKGLDIVMGNGEKGEELRRNAKKWKGLAKEAVKEGGSSDKNLTSFLDDIVG >OIW21968 pep supercontig:LupAngTanjil_v1.0:Scaffold_317_2:2095:2477:-1 gene:TanjilG_18247 transcript:OIW21968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVADEDAFTVVHVPTVVLNSGSYSHGNGGRVSLDMIENLKQGRISVFEKLPPG >OIW21969 pep supercontig:LupAngTanjil_v1.0:Scaffold_318_15:18731:20605:1 gene:TanjilG_18289 transcript:OIW21969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNYARNFFFGSSSSTATQPQQPNTMNMNQRNHPPYLHPQPNPQTPSGASHFLSHLPNPQTPSGASHFPSHFQLLLAQTQYAHALAQAQIQARLQPQSQAHARLQPRSQAHARLQPRSQAHARLQPRSQAHARLQPRSQAHARLQPRSQAHARLQPRSQAHARLQPRSQAHARLQPRSQAHARLQPRSQAHARLQPRSQAHVTLQPQPSNPLLQSQPHPFVANLHNNINTNVANVANTMAASGNANRVNLRPIRPKKKELSQKQMLKKVATLLPESPLYTQLLDFENQVDAELDRYKFELQEAFKRPSYVQKTLRVYVFNTFSNQNIHANRNGEEASWSLKIVGRILEDNKDIFEAGTSSQASKSPSCPKFSSFFKKITIYLDENLYSDDHVIIWDNARSPIEQDGLEVKRKGDKEFTARIKMELKNVPEKFMLSPQLSKLLGYQLETRPRIIAALWLYINSRKLEISNDPFSFICDPSLQMVFGANKMEFQEAIKKLPQHLSLPQPISLEHEIKLSGNPTSETECYDIQVFAHPPLDNDISSILAGRESQKQIEFYDDIISSYIKKVHEHQRRRAFFHSFSNSPEDFINASIASQSKDMKLVAGDASDSAENKQRSKFFNQPW >OIW21970 pep supercontig:LupAngTanjil_v1.0:Scaffold_318_21:4498:9936:1 gene:TanjilG_18290 transcript:OIW21970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSLFNSFTCSSRAPTEFSLPRATPTTSLIPLITRTKTTAKRVSSTSSLVCKAVSVKPQTEVEGLNIAQDVTQLIGKTPMVYLNNIVKGSVANIAAKLEIMEPCCSVKDRIGLSMIVDAEQKGAITPGKSILVEPTSGNTGIGLAFIAASRGYKLILTMPASMSLERRVLLKAFGAELVVTNAAKGMNGAVQKAEEIVKRTPNAYMLQQFDNPSNPKVHYETTGPEIWEDTSGKVDILVAGIGTGGTVSGVGRFLKQKNPTIKIIGVEPLESNILTGGKPGPHKIQGIGAGFVPRNLDQDVLDEVVAISSDEAVETAKQLALQEGLLVGISSGAATAAAIKVGKRPENAGKLIAVVFPSFGERYLSTVLFQSIREECEKMQPEP >OIW21971 pep supercontig:LupAngTanjil_v1.0:Scaffold_318_22:2693:5279:1 gene:TanjilG_18291 transcript:OIW21971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPEPYFPTPSSSLTRWWSEDTVAVVTGGNKGIGFEVVKQLAELGLNVVLTARDTKKGEAALEALRAQGHAANVHFLLLDVCDPLSISTFASTFRAIFGATLDILVNNAGVSFNELDENSVEHAETVMKTNFYGPKMLIEALYPLFRCSPSITRILNVSSRLGSINKMRNAEMKSVLERENLLEEEIEGMANMFLRDVKNRTWESQGWPSYWTEYAVSKLALNAYSRVLAKRYSCNGSISNLSVNCFCPGFTQTSMTKGKGVHTADHVASIIASLVLLPPHQLPTGKFFSIESKKKKKISTTLINVSSKL >OIW21972 pep supercontig:LupAngTanjil_v1.0:Scaffold_318_22:11608:14549:-1 gene:TanjilG_18292 transcript:OIW21972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRMNPLQTQTSIPQIASFRSPKFLMASTLRTGSKEVENIKKPFSPPREVHVQVTHSMPPQKIEIFKSLEDWADKNLLVHLKPVEKCWQPQDFLPDASADGFEEQVKELRERAKELPDDYFVVLVGDMVTEEALPTYQTMLNTLDGVRDETGASLTSWAVWTRAWTAEENRHGDLLNKYLYLSGRVDMRQIEKTIQYLIGSGMDPRTENSPYLGFIYTSFQERATFISHGNTARLAKEHGDIKLAQICGLIASDEKRHETAYTKIVEKLFEVDPDGTVMAFADMMRKKIAMPAHLMYDGRDDNLFDNYSAVAQRIGVYTAKDYADILEFLVGRWKVENLTGLSGEGRKAQEYVCGLPPRIRRLEERAQGRMKELPKLKFSWIHDREVQL >OIW21975 pep supercontig:LupAngTanjil_v1.0:Scaffold_319_13:26543:30094:1 gene:TanjilG_18304 transcript:OIW21975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QNVEAPVVVVTGASRGIGRAIALSLGKARCKVLVNYARSSKEAEEVSKEIEAFGGQALTFRGDVSNEADVDSMIKTAVDTWGTVDVLVNNAGRFGQPEEVAGLVEFLALNPASSYITGQVIYLFPYWFSRYQLSDLN >OIW21974 pep supercontig:LupAngTanjil_v1.0:Scaffold_319_13:4357:13365:-1 gene:TanjilG_18303 transcript:OIW21974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDSDSDGSHVSTTPPRQQPPSPHLPISKTSRIRTKPSKPKPLQTRPVKPDPISEPVHQIQDPFCVPSTLPFRIRRSSDSVPAQSTSHSIETVPAGFFAKSVSFSKIRRSFVDFEDSGREPVLNSVSDAAVSGVDTPQGEEEEEGLAARNSAKLKKRHPNLIGANAPMPAAKLRKCGGEGNFVRLNMNGKKKKFMNKGWKKNGKFRGSKRYWKSKGKLVHEGEEDRVQPEFEEEGLVIENAKDKQRGSCKTKEVSCNQLDEMVSSARVDPSDENLLKLLKLIYGYDSFRDGQLEAIKMVLAGKSTMLILPTGAGKSLCYQLPALILPGITLVVSPLVALMIDQLRQLPPVIKGGLLSSNQTPEEASKTLNLLQQGAIKVLFVSPERFLNEEFLSIISVLSTITLVIDEAHCISEWSHNFRPSFMRLRASLLRKKLNVASILAMTATATTKTLDAIMSALDIPCTNLIQRAQMKDLLTMIKSSPFSEVSSIIIYCKFQYETDQISRYLNDNNILAKSYHSGITAKERNYVQELFSSNKIRVVVATVAFGMGVDKRDVGAVIHYSLPESLEEYVQEIGRAGRDGRLSYCHLLYDDETYFKLHSLMYSEGVDEYAVNKFLREVFPADKNSRGKIFSLVKESASRRFDMKEEVMLTLLTRLELGDVQYLHLLPQTNATCALNFHKTTPFLLAQKVSAVSVILKRSENKQGLYVFDIPTVANDMGVTAAELTNQLYDLKLKGEVTYEMKDMAYCYRIVEVPIDLLSLSADITRWLSEVESCKVRKIDAMFNAVYFALNLCDKMNGCSGTDHTPCLQMKTMDYFAGLVHDFCTKIGQSSPFLRADIKVFLQGNSQARFTPRALARIMHGIASPAYPSTTWSKTHFWGRYKHIDFQVIMEAAKAELQNFVGKEVL >OIW21973 pep supercontig:LupAngTanjil_v1.0:Scaffold_319_6:1890:5323:-1 gene:TanjilG_18302 transcript:OIW21973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRYMEDKGCYNHGPTTAQDTPNRNRAAAITTVSNHHQRTSMGKPTPSKWDDAQKWLVGLSKVGEKSQSKSKPRNSNADDLRLIAPVPQKEHDYLNSEKEEEKYDTTTTTTITNSTCISTIHYESETKVECDESIWRSNNNNNLLVNTIQVQSVCFRDMGTEMTPIASQEPSRTGTPITATTPATRSPDRSGTSTLMRGGNGFEAASEGYQGCREGSSQCKKMSEKIEDHARKLNPLETKAMAWDEAERAKYMARYLIKFFLKVKAERMKALAQERLTNKVAATKRIAEEKRANAEVKLNEKALRTTERADYIRRTGHVPSSFSFSFNFKLPSTCW >OIW20624 pep supercontig:LupAngTanjil_v1.0:Scaffold_31_2:11177:19746:-1 gene:TanjilG_17808 transcript:OIW20624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPESTQLQQSQLAAILGPDPTHFETLISHLMSSSNDQRSHAETLFNLCKQNDPNTLSLKLAQLLHSSPHLEARAMSAILLRKQLTRHHSDADESNYLWPRLNANTQSTLKSILLSSLQSEQTKSISKKLCDTVSELASGILPDNGWPELLPFMFQCVSASDSPKLQESAFLIFAQLSQYIGDSLVPQIKHLHAIFLQCLTSPAVDSDVRIAALNAVINFIQCLSGSADRDRFQDLLPAMMRTLTEALNSGQEATAQEALELMIELAGTEPRFLRRQLAEVVGAMLQIAEAESLEEGTRHLAIEFVITLAEARERAPGMMRKLPQFISRLFAILMKMLLDIEDDPAWHSAETEDEDAGETSNYSVGQECLDRLAISLGGTTIVPVASEQLPAYLAAPEWQKRHAALIALAQIAEGCSKVMIKNLEQVVAMVLNSFPDQHPRVRWAAINAIGQLSTDLGPDLQNQYHQGVLPALAAAMDDFQNPRVQAHAASAVLNFSENCTPDILTPYLDGIVSKLLVILQNGKQMVQEGALTALASVADSSQEHFKKYYDAVMPYLKAILINATDKSNRMLRAKSMECISLVGMAVGKEKFRDDAKQVMEVLMSLQGSQMETDDPTTSYMLQAWARLCKCLGQDFLPYMAFVMPPLLWSASLKPDVTITSADSDNDIDDSDDESMETITLGDKRIGIKTSVLEEKATACNMLCCYADELKEGFFPWIDQVTGILVPLLKFYFHEEVRKASVSAMPELLRSAKLAIEKGQSQGRDGSYLKFLTDSIVPALVEALHKEPDTEICASMLDSINESLQISGMLLDENQVRSIVDELKQVITASSSRKSERQERANAEDFDAEEGELIKEENEQEEEVFDQVGEILGTLIKTFKASFLPFFDELSSYLTPMWGRDKTPEERRIAICIFDDVAEQCREAALKYYDTYLPFLLEACNDEIPDVRQAAVYGLGVCAEFGGSVFKPLVGESLSRLNAVIQHPNALHGDNIMAYDNAVSALGKICQFHRDSIDSAQVVPAWLNCLPIKGDLIEAKVVHDQLCSMVERSDRDLLGPNSQYLPKIVSVFAEVLCAGKELATEQTVGRMINLLRQLQQTLPPATLASTWSSLQPQQQLALQSILSS >OIW20625 pep supercontig:LupAngTanjil_v1.0:Scaffold_31_6:4509:6978:-1 gene:TanjilG_17809 transcript:OIW20625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKGFGLTLGTSSSSLSLPFFSSKQPQPQPPLKTSSKDTMFSVLGFPVNLSQPTNMEEEGEQNRIVVGEVDFFSDKNKSLVPVEHDHDHIHQHVKPNIKKEMVSVDEKPHTSNLHVYTGLQLLTANTGIDKDDGVSSEIEDKRAARNIELAQLQVELQHMNSENQKLKEMLNHVSFNYKALQMHLVTLMQQQQQEPRSENTENNVVQGKAHEEKKHGVVEVDDQVSNSYSDERTRCSTPQKDKIEATTRDYVRNANGKNELAREESPNSESQGWGLNNKVQKMSPPSVMDQSNTEATMRKARVSVRARSEAPMITDGCQWRKYGQKIAKGNPCPRGYFKCTMIVGCPVRKQVQRCAEDRTILITTYEGTHNHPLPPAAMAMASTTSAAASMLLSGSMTSSDGILRQNLLSRAIIPCSSNMATLSASTPFPTVTLDLTNNNTDPLQFQRAVHNPAPLSQVPFLVGQHPQNLGVATPFAQALYNQSKFSGLQFSQDEVGSSQLPPQAPRPCLQQQQPSLADTVSAATAVITADPNFTAVLAAAISSIMGGAHANNNGHNSNEDNNNSNINNRTSTLSSFSGN >OIW20628 pep supercontig:LupAngTanjil_v1.0:Scaffold_31_7:46038:48819:1 gene:TanjilG_17812 transcript:OIW20628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSAALDGVAAASLRSVLHRVQQAAEKSGRVAQQVRVVAVSKTKPVSVLREVYDSGHRCFGENYVQEIVEKAPQLPDDIEWHFIGNLQSNKVKPLLSAVPNLAYVETVDDEKIANRLDRVVASIGRKPLKVFVQVNTSGETSKSGVEPTLCVDLVKHIRNCPNLEFCGLMTIGMLDYSSTPENFKMLSDCRSEVCKAIEISEEQCELSMGMSADFEQAIEMGSTNVRIGSTIFGAREYPKKQAQ >OIW20626 pep supercontig:LupAngTanjil_v1.0:Scaffold_31_7:14098:21405:1 gene:TanjilG_17810 transcript:OIW20626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSITNKRLILSILSLIIQLITLSFPTLTTSQSTTCRTSCGNIQIKYPFGIDDGCGSPYYRHILVCSESQKLELRTPSGTYPVRNLSYDDPHIVVTDPFMWACEDGENFRPTRPFSLDTSTRFKLSQQNEYMFFNCSEDNVIIQPKPMFCEHYPERCDSSCDSASYLCRHLPSCSFSFTSSSCCSYYPKASESLRLMLKYCTSYASVYWRNVGAPQPYDQVPEYGIRVDFDIPVTTRCLQCQDLLKGGGTCGFDTETQSFMCLCKDGNSTTHCKDHDLVQHNKRVHVIAGTVTGISAAGAIGIGAGIWYLKKVKTKAPVTCGVQSNENRLF >OIW20627 pep supercontig:LupAngTanjil_v1.0:Scaffold_31_7:22765:25727:-1 gene:TanjilG_17811 transcript:OIW20627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTLTTLLIFSTFIPIIIASSYNADSYVPSYNSDVTSYVPTTSNDVVMNQIDSCWRAKTNWASNRKALADCAIGFGKNAIGGKFGEIYEVTDPSDNPTNPKPGTLRYGAIQTQPLWIIFSKNMVIHLKNELMVNSYKTIDGRGATVEISNGPCITIQDVSHVIVHGISIHDCTRGTAGMVRSSVNHVGYREGSDGDAISVFASSNVWIDHCFLARCYDGLIDVTHASTAVTISNNYFTQHDKVMLLGHNDAFSADRILKVTVAFNRFASALVQRLPRLRFGYAHVVNNHYEEWIMYAIGGSANPTFLSEGNYFIAPNDSTAKQVTKRETSAKWKNWKWRSSGDEFLNGAYFVPSGYGSCAPQYSPDQSFTANPASMVPSLTIDAGPLNCVVGKAC >OIW21976 pep supercontig:LupAngTanjil_v1.0:Scaffold_320_2:2691:14070:1 gene:TanjilG_18614 transcript:OIW21976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIHQTMDSLRERSSFVKESLHKSQTITDNMVSILGSFDHRLSALETAMRPTQIKTHSIRSAHDNIDKTLKAAEVILTQFDQTRKAEAKILRGPHEDLESYLEAIDQLRANVRFFSSKKSFKSSDGIINHANSLLAKAISKLEDEFRHLLTNYSKPVEPDRLFDCLPNSLRPSTSGKQSDVGSKNHSESKKPSLETVTFTLPTLIPPRVIPLLHDLAQQMVQAGHQQQLFRIYRDTRGAVLEQSLRKLGVERLSKDDVQKMQWEVLEAKIGNWIHYMRIAVKLLFSGEKKICDQIFDGVESLKTQCFAEVTASSVAMLLSFGEAIAKSKRSPEKLFVLLDMYEIMRELQPEIETLFESKACTEMREAAMSLAKRLAQTAQETFVDFEEAVEKDATKTAVLDGTVHPLTSYVINYVKFLYDYQSTLKQLFQEFDPNDPESQLASVTTRIMQALQNNLDGKSKQYKDPALTQLFLMNNIHYIVRSVRRSEAKDMLGDDWVQIHRRIVQQHANQYKRISWAKILQCLTVQGTNSSGGGDSNSGVSRATIKDRFKTFNIQIEELHQRQSQWTIPDSELRESLRLAVAEVLLPAYRSFLKRFGPMIENGKNPTKYIRYSPENLEQMLGEFFESKTWTEQKR >OIW20629 pep supercontig:LupAngTanjil_v1.0:Scaffold_32_29:10617:12645:-1 gene:TanjilG_18567 transcript:OIW20629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFTILTFFFVLPFALGDMRVGFYSSSCPRAEDIIRQVVQRHFNQDRSITGGLLRMHFHDCFVRGCDASILIDSTNGNASEKTAGPNQTVRGYEIIDNIKRTLEQVCPSTVSCADIITLATRDAVAMAGGPRYNIATGRRDGLVSNALDTDILPGPNSHVSEALKIFISKGMTLNEMVTLLGAHTVGFTHCNFFSNRLNDTNLDIDLAAKLKKTCAKNNPPPVFLDQSTPFVFDNQFYNQILLKRGVLLIDSQLALDPSSKGLVSTFARNGDNFQQRFADAMIKMGNIGVLANTDGEIRNNCRVFNRRS >OIW20631 pep supercontig:LupAngTanjil_v1.0:Scaffold_32_33:62122:73268:1 gene:TanjilG_18569 transcript:OIW20631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLFLFMVPLVFVAVVVFVLGPNVSSWIFIGKRSFLWSSVNPSFLTSGGDLSTAEANVIFGERKKEGLQDLVVEVDGQGKEKDKAISNDISYNQSNSSSSSVQQSNQTELLNISVPWSNTTSVNETYVSHQRQMQPRKFSILDRMEAGLLQARAAIGQARLENQTHDPDYVPEGPMYWNAEVFHRSYLEMEKQFKVFVYEEGEPPVFHNGPCKSIYSMEGNFIHAMEMNDQFRTTDPEKAHVFFLPFSVVMMVRFVYIRDSHDFGPIRKTVIDYVNLVAGRYPYWNRSLGADHFMLACHDWGPETSLSVPNMYKNSIRVLCNANTSEGFNPSKDVSFPEINLQTGTINGFVGGPSASKRPVLAFFAGGLHGHIRSILLEHWENKDEDIQVHRYLPKGVSYYAMLRKSKFCLCPSGYEVASPRVVEAIYTGCVPVLISDHYVPPFSDVLKWKSFSVEVSVNDIPNLKEILTRISPRQYIRMQRRIGQIRRHFEVNSPPKRFDVFHMILHSIWLRRLNFRIIDDQ >OIW20632 pep supercontig:LupAngTanjil_v1.0:Scaffold_32_33:82746:89705:1 gene:TanjilG_18570 transcript:OIW20632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCGAKDQTLIHANDATKVAKIHMEKAVSHGKSLLPKTVTTSQILVMHQCTFLLCQDSHTIMELELSWRLVLASVIGFLVSAFGTVGGVGRGGIFVPMLTLLLGFDAKSAAALSKCMIMGASVSSVWYNLRVPHPTKEVPILDYDLALLFQPMLMLGITVGVALSVVFPYWLITILIIILYIGSSSRSFFKGIEMWRAETYMKNEIAKEQATLVNSCDQAVVDTPHEPLIPRKEKSTMNEAEVCSAWYWVLFSMQLPIAFGVFGYEAVKLYKEHKKRISTGNQENICEASIEWTALNLTFCALCGILGGTVGGLLGSGGGFILGPLLLEFEVIPQVASATASFIMMFSSSLSVVEFYLLKRFPIPYVKFLGRPSIIVFILSGVTCASALTMGVVGIEKSIQMINNHEYMGFLGFCNTQ >OIW20630 pep supercontig:LupAngTanjil_v1.0:Scaffold_32_33:17616:17862:1 gene:TanjilG_18568 transcript:OIW20630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVESDDNLDLFDLMKNKFLSFKKHKYIKELEHFQALAETHSNCSYSCSG >OIW20633 pep supercontig:LupAngTanjil_v1.0:Scaffold_32_37:10524:15033:-1 gene:TanjilG_18571 transcript:OIW20633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSLSYLGTNGSIVVPGLGDIPESCVACVFLYLTPPEICNLARLNRAFRGAASADSVWETKLPHNYQDLLELVPPERYQNLCKKDIFALLSKPLSFDDGNKVGALQEVWLDRVTGWVCMAISARSMAITGIDDRRYWNWIPTEESRFHTVAYLQQIWWFEVDGVVNFPFPVGVYSLYFRLHLGRFSRRLGRRVCNYEHTHGWEIKPVKFELSTSDGQQASCEFCLDETELDDPHGDHKRGYWVEYKVGEFMVNGSEPITEVRFSMKQIDCTHSKGGLCVDSVFIIPSDLRDHKRRGIM >OIW20634 pep supercontig:LupAngTanjil_v1.0:Scaffold_32_37:17820:18326:-1 gene:TanjilG_18572 transcript:OIW20634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGLVVPPFSSQFPCETSSLGNIDAHMFREDVSRLKKEEVKVEIEDGRIVRWIRRLRHGTLWSLAVERFEMWFRLWENVKAYPVKAVMEKGF >OIW20635 pep supercontig:LupAngTanjil_v1.0:Scaffold_32_43:21502:21966:-1 gene:TanjilG_18573 transcript:OIW20635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLSKDSKGVEVLKKDENNYLSAPLHVEIIERRWVGSKNMTVDDVKIVKRALIMAMKRRQVEGPLLDLLKEAAEDGFINSSQMSKGFSRLIDTVDDLTLDIPNACEVPQQLISKASFKG >OIW20637 pep supercontig:LupAngTanjil_v1.0:Scaffold_32_45:37161:47134:-1 gene:TanjilG_18575 transcript:OIW20637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTGHLLLEEPIRMASILEPSKASFFSAMTKIVGTLGPKSRSVEVISGCLQAGMSVARFDFSWCDPDYHQETLENLKTAVKNTKKLCAVMLDTVGAEMQVVNKSETTITLQEDGEVVLTPDQGHEATPEILPINFDGLAQSVKKGDTIFIGQYLFTGSETTSVWLEVSDVKGNDVVCTIKNSATLSGSLFTLHASQVHIDLPTLTEKDKEVISTWGVKNKIDFLSLSYTRHAEDVREARKFLSKLGDLSQTQIFAKIENVEGLTHFDEILEEADGIILSRGNLGIDLPPEKVFLFQKSALHKCNMAGKPAVLTRVVDSMTDNLRPTRAEATDVANAVLDECSYSLSVKAKKNGELIDPLNLRRAEKVFNQEHYFKKTVKFVGEPMSHMESIASSAVRAAVKVKASIIICFTSSGRAARLIAKYRPTMPVLSVVIPRLKTNQLKWSFTGAFEAKQSLIVRGLFPMLADPRHPAESTSATHDSILKVALDHGKALGIIKSHDRVVVCQKLGDSAVVKIIELED >OIW20636 pep supercontig:LupAngTanjil_v1.0:Scaffold_32_45:5169:6620:1 gene:TanjilG_18574 transcript:OIW20636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTAGLLGVENPLQQQQQNLTNPQNSHLLVHPQMVSYANSHQGNEQQHQNQSMKNGYPPFAAKKQQSTTTMSDEDEPGVGVAADDNNNNSPKRKASSSPWQRMKWTDTMVRLLVMAVYYIGDECGGSESTDPNGKKKSNGGLLQKKGKWKSVSRAMLEKGFYVSPQQCEDKFNDLNKRYKRVNDILGKGTACRVVENQNLLDTMELAPKLKEEVRKLLNSKHLFFREMCAYHNSCGNGGGCGDNLPQQQVEVGIEPSQSAQQKRGCPHSSENVGVPFGGTGQEGMRMLKVGNGKENYEDDHDHDDDNDDDSDDGSKDVSDEDGNESGDGGSRGHHGQEDEDENDKRPLRKKPRIASGLGLGLRLGSGLGLGFSSQSQLMQQLNNEVTNVFQDGGRGPWEKKHWMRLRILQLEEQQIKYHSEAFELEKQRLKWARFSSKKERDMERAKLENERRKLENERMVLLIKQKELELVKLLRQQQHSLS >OIW20640 pep supercontig:LupAngTanjil_v1.0:Scaffold_32_58:23849:27701:-1 gene:TanjilG_18578 transcript:OIW20640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEWHNLMWNVILLILLLLIPVPAVLSWGKEGHYATCKITQEYLSEDALFAVKQLLPDSAEGDLAAVCAWADEVRHNYHFRWSSALHYIDTPDFKCNYEYCRDCHDSYGHKHRCVTGAIYNYTMQLKSAYANSASVLSYNLTEALMFLSHFVGDVHQPLHVGFTGDLGGNSITVRWYRRKTNLHHVWDDMIIDSALKTFYDSDLSIMIQAIKRNITETWSNDVPVWEHCAHNYTVCPDGYASESISLACKFAYKNATPGSTLKDEYFLSRLPVVEKRLAQGGVRLAAILNRIFTSKAPIAQSSI >OIW20639 pep supercontig:LupAngTanjil_v1.0:Scaffold_32_58:15880:21513:-1 gene:TanjilG_18577 transcript:OIW20639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRLGGFWGSLSFGFILFLNAVFITIPGALSWSKEGHTMTCQIAQALLEPQASEAVSQLLPEYVEGDLSALCVWPDQIRHWYKYRWTSPLHFIDTPDDSCSFTYSRDCHDQHGVKDMCVAGAVKNFTSQLLHYREGTADRRYNMTEALLFLSHFMGDIHQPMHVGFTTDEGGNSIDLRWFRHKSNLHHVWDREIILTALADYYDKDVSLLLKDIERNYTDGTWSDDVSSWQQCNDISECVNSWAKESIQIACKWGYNGVKSGETLADDYFNSRMPYVMKRIAQGGIRLAMILNQVWDREIILTALADYYDKDVSLLLKDIERNYTDVRFNISLILTFYTTNSFLRLNTTLWAKESIQIACKWGYNGVKSGETLADDYFNSRMPYVMKRIAQGGIRLAMILNQVFGGSEEGFATAT >OIW20641 pep supercontig:LupAngTanjil_v1.0:Scaffold_32_58:33155:33568:1 gene:TanjilG_18579 transcript:OIW20641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHDSFATERLEKAYVREIISPHEYDFECQKLIPHFKTIASTLKEMVASIERFADTYKIECLVGINCLVIFGVPANVEHHRVAAAADTSTPTSIVAECVQNFITSMDSLKLNMVAVDQVHPLLSDVYGSLNKLMILQK >OIW20642 pep supercontig:LupAngTanjil_v1.0:Scaffold_32_58:36455:40134:1 gene:TanjilG_18580 transcript:OIW20642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTKLISCVILDLDGTLLNTDGVVGKVLKVMLSKYGKEWDGRETLKVLGKTPFESAAAVVQDYGLPCSTTEFISQISPLFYEQQCNIKALPGANRLIKHLKSHGVPMALASNSPRESIEAKLSYHDGWKNSFSVIIGGDEVRTGKPSPEIFLEAARRLSVEPSSCLVIEDSPLGVTAGKAAGMEVVAVPSLPKQSHLYTAADEVISSLLDLQLNNWGLPPFEDWVEGTLPLDRWHIAGPVVKGFGRGSKVLGIPTANLSTEGYSDLLQEHPAGVYFGWAGLSARGVFKMVMSIGWNPYFNNKEKTIEPWLLHDFDEDFYGEELRLVIVGYIRPEANFPTLESLIAKIHEDRKFAERALDLPLYSRYKNDSYFRSS >OIW20643 pep supercontig:LupAngTanjil_v1.0:Scaffold_32_58:43987:49969:1 gene:TanjilG_18581 transcript:OIW20643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEEEELQSNSNEHFFPSLALDVPPHRTYHFHRQFTNPSNPNNFLKAVKWSPDGSCFLTTSDDNTLRLFSLPGSESDVPVDVSDDDSYAANLVMNEGESIHDFCWYPYMSASDPVTNVFATTTRDHPIHLWDATSGELRCTYRAYDAMDEITAAFSVAFNPAGTKIFAGYNKCIRMFDLHRPGRDFELYSTVKDKKEGQTGVISSIAFSPTHNGMLALGSYSQTTAIYREDNMELLYVLHGQEGGVTHVQFSRDGNYLYTGGRKDPYIMCWDLRNTVDCIYKLYRASENTNQRILFDIDPSGQHLGTGGQDGFVHLYDLQTGQWVSGFQAALDTVNGFSFHPFLPHAVTSSGHRRFVIPDDDDKEDLSLNVNENCVSVWSFCYNSTMENDVKDDGSLNNQPESGNLD >OIW20638 pep supercontig:LupAngTanjil_v1.0:Scaffold_32_58:11556:11711:1 gene:TanjilG_18576 transcript:OIW20638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGARASGMMNENFTRRCSGRPIPKRGQVKVGIVVGLANSVASIFSRSRVR >OIW20645 pep supercontig:LupAngTanjil_v1.0:Scaffold_32_58:61110:63512:-1 gene:TanjilG_18583 transcript:OIW20645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKVTYKRLKGSQSFRQRLLLATLSSTPILIEDIRTDETWPGLHKHEISLLRLFETVTDDCHVEINETGTKVKYKPGIIMGGRQHRPHDCGVTRSIGYFLEPLVVLGLFAKKPLTITLKGITNDSKDPSVDTFKSTALPILKRFGVPSEGLELKIESRGVPPNGGGEVILSLPVVQSLTAVNWIDEGFVKRIRGITFSTKVSAQFENSMIRAARGIINPLLSDVHIFTDHRSGPQAGNSPGYGISLVTETTSGCFISTDTTVSHTRDEETSGLADDEKKGLTPPEDVGHEIANVLLGEIAQSGVVDSTHQGLLFLLCALCPQDVSKVRVGKLSPHGVETLRNIRDLLNLKFIIKPDPNTESVFLKCVGFGMKNLSRKVS >OIW20644 pep supercontig:LupAngTanjil_v1.0:Scaffold_32_58:57590:59011:1 gene:TanjilG_18582 transcript:OIW20644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTHNGRFSYSEAPKPLAIVTALHESHVQATILCAKKNGIQIRIRSGGHDSEGLSYISDVPFVILDMFPLSSIDVDIENESAWVEAGATIGQVYYQIAKKSNVHAFPAGVCPTLGAGGHISGGGYGNLMRKYGISVDNVIDAKIVDVNGNILDRKSMGADLFWAIRGGGGASFGVILSWKIKLVSVTPKVTVFKVKKTIEEGAIDLVYKWQLIATKLPQDIFLRAVHEVVNVTQKGNTKKTAQVTFIALFLGNTEKLLTLVNKQFPELGLKQSDCSEIPWVNSTLYWYNAPLGTPIEALLDVPKEPLSFSFKTMSDYVKKPIPKDALKSILDLLVQYDGHLKMEWNPYGGKMHEISSSETPFPHRAGNLFLIEYLTNWGEVGVEAKNHYLNISRSFFEFMTPFVSKTPREAFLNYRDIGIGGNTPSNVTSIDIAKSYGSKYFNRNFERLVRVKSKVDHENFFRYEQSIPPLSH >OIW20646 pep supercontig:LupAngTanjil_v1.0:Scaffold_32_58:68160:74401:1 gene:TanjilG_18584 transcript:OIW20646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTFTFFQRVSTAFRNHDSHFKLLLLCTTVTVSGGGLLAYGDAVAASEAALVTEKKKVVVLGTGWAGTSFLKNLNNPKYDVHVVSPRNYFTFTPLLPSVTCGTIEARSIVEPVRNIFRKKKGDFQFTEAECLKIDAANRKVYCRTNISENEKEFVVDYDYLIIAVGANVNTFNTPGVVENCHFLKEVEDAQKIRRTVIDCFEKASLPGLSEEERKRVLHFAIVGGGPTGVEFAASLHDFVNEDLVKLYPGIKDLVKITLLEAGDHILSMFDKRITAFAEDKFRRDGIDVKTGSMVVKVSEKEISTKSLKNGGEIATIPYGMAVWSTGIGTRPFIKDFMTQIGQINRRAVATDEWLRVEGTDNVYALGDCATINQRKVMEDISAIFKMADTDNSGTLTIKEFQAALEDICDRYPQVGLYLKSNQMRNIADLLKESKGDVQKESIELNIEELKTLLSKVDSQMKFLPATAQVASQQGTYLAKCFNRMEDCEKNPEGPLRFRGEGRHRFKPFRYRHLGQFAPLGGEQTAAQLPGDWVSIGQSSQWLWYSVYARYGVPIK >OIW20650 pep supercontig:LupAngTanjil_v1.0:Scaffold_32_82:54460:60803:-1 gene:TanjilG_18588 transcript:OIW20650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLISGIFMGVLFGIALMAGWERMMRYRSAKRIAKAVDIKLLGSLSRDDVKKICGDSCPEWISFPLYEQVKWLNKELTKLWPFVAEAATLVIRESVEPLLEQYRPTGITSLKFSKLSLGTVPPKIEGIRVQSLTKGQITMDIDFRWGGDPSIILAVEAALVASIPIQLKDLQVFTVIRVIFQLAEEIPCISAVVVALLAEPKPRIDYTLKAVGGSLTALPGISDMIDDTVNTIVTDMLQWPHRIVVPLGGIPVDTSELELKPQGRLTVTIVKANDLKNKEMIGKSDPYVVVYIRPLFKVKTKVIDDNLNPVWNETFNLIAEDKETQSLILEVFDKDIGQDKRLGLVKLPLIDLEVENEKQLELRLMPSLDMLKVKDKKDRGTLTVKVVYHQFNKEEQLAALEAEKELLEQRKKLKEDGVIGSTMDALDGAVSIVGSGVGLVGSGLVGGAGLVGSGIGAGAGLVGSGFGAVGSGLNKAGRFMGIIGGHSSTSKKSGSSTPVSNPLENGGGAKPLQ >OIW20651 pep supercontig:LupAngTanjil_v1.0:Scaffold_32_82:67029:80571:-1 gene:TanjilG_18589 transcript:OIW20651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEKAHGRGTQYCCISHQFFRIATANLNKIAIIYASGVAHLSKYLRQNSPSPNFDRDITTLLEKRVESLSPPLYNGDSSFTYSLLLNAVTSLSYRLRSILLGVDDPHLIGNKHPVNDDFHSEGGTFQTHESTETVMPNAEPMGDTSKEDRPKIVGIYMPPSVEYVVAVLSVLRCGEAFLPLDPFWPNERILSVITSSNVDLIIGSQSSFGKSNLDQLDELHWLVKSISCPVLNFSIEENFQEHCFPTDLAWPCAIENQRTFCYLMYTSGSTGKPKGVCGTEQGLSNRFLWMQGTYPMKGQELLLFKSSISFVDHLQEFLSAILTACVLVIPPSSELKENIYSIVDFLKAYFVNRLTAVPSLLRTILPGLQTHDESRIQSSLKLLVLSGEIFPFTLWEMISTILPKTSILNLYGSTEVSGDCTYFDCKRIPSILKEDMLRSVPIGLPISNCDVVLLGENDASNEGELYVGGSCISRGYYSEFNIMSDRFVKLPQSHGCRDSANACRSQLYFRTGDLAKRLPTGDFIFLGRKDRMIKVNGQRIALEEIEDLLREHPYINAAAVICRNNEAEPLLLEAFIILKDKGRLGEPLIPAIRSWLIKKLPSVVLPNRYIFTESFPMSSSGKVNYELLVGSALALLTKNARDKVGNMDCSNLLQLIKKAFLDALMVEKVCRDDDFFTMGGNSLSAAHVAHSLGIDMRFLYYYPSPFKLCMALLQKRGSCSLHDRLDNCLELNTDRKSNYFSSNLTGNSGSLESKMISKGNDDYYVPSKRLKRGSIGFTSEVDENSPWYSSSILLSSSFSRCNMVSYKEQPAVIDAHQPTWSPNIPRGSRGRMKDFWKVNMESCVDASPLLVVKGSDTYLFIGSHSHKFLCINARRCGSHDHNLYALDYKNHCFVYMLPCGGSVYGSPAIDEVRGVLYVASTGGRITAISILASPFNVLWLHELEVPVFGSLAITQNGTVICCSVDGCVRALDPNGSLVWKKTADGPIFAGPCIPSALPHEVIVCSRKGSVYSFKLDSGDLQWEYNVGYPITASAYVDEHLQLKSEASDSSDRLICICSSSGGIHLLRVNSDFSGDADLPTIDVQEFARLNLPGDVFSSPLMIGGRIFVGCRDDYLHCVAIEIPEQHGT >OIW20654 pep supercontig:LupAngTanjil_v1.0:Scaffold_32_82:106188:110942:-1 gene:TanjilG_18592 transcript:OIW20654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKYFNSGIEGSSETQYEDSEIDDSSSSSSSEDEEEEEIGKELADVTFEELQKARSNGAHAFFQKPREDQNLKRANKNRPMEASSKKPVAAFREVIQAPKKVVRDPRFESLCGTLDTDGFRKRYNFLFENDLPAEKEALKKDLRKYKDPERIHEIEERLSWIDKQLKSGSAKHIDAKILANHKKKEREAAKQGKRPFYIKKSEIRKQRLVEKYNHLKSSGKLESFVEKRRRRNAAKDHRYMPYRRSGDSME >OIW20649 pep supercontig:LupAngTanjil_v1.0:Scaffold_32_82:37668:39653:-1 gene:TanjilG_18587 transcript:OIW20649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSRPPPFPPSTASPPPYHHHHHHHHHHRNKLILIILSTSLALFLLSLLITLIIFLYRKLSYNRTAPFDNLHNHRRFSYSVLRRATNSFSPSTKLGHGGFGSVHKATLPSGQTVALKLMDSPGSLQGEREFHNELSLSSNLNSPFILSLLGFSSDRRRRKLILVYELMTNGSLQDALLDRKCPELMQWSTRFNVVVSVAKGLEYLHRCCIPPVIHGDIKPSNILLDADFNAKIGDFGLARVKSVEDDLEMVDENNNSNSSQEKVIDVVEDCESVITVNTNSNTNLGFEEDSVVAIDRLDSSPVAVSLPASELGVDKSSVVSELSVDSGKDLIKKKGGGGGLGRDWWWKQDNVGGSESGGRVKDYVMEWIGSEIKKERPKNEWTAVSAASVVGEAEEPKKESSNKERKRLNWWASLDEDKVKAKKNRKPRKWWKEEFCEELSKKSKKKKRSLDGEFWWQKDEEVEQSKKKKKSKSSRGSIDWWLDGLSGEIRSNGGRRNSQDWVSGDLPKSGGISSTPSMRGTVCYIAPEYGGGGQLSEKCDVYSFGVLLLVLIAGRRPLQVTASPMSEFERANLISWARQLAHNGRLLDLVDTNIHYLDREQALLCITIALLCLQRSPAKRPSMEEIVGMLSGEAEPPHLPFEFSPSPPSNFPFKSRKKAR >OIW20653 pep supercontig:LupAngTanjil_v1.0:Scaffold_32_82:102810:104724:1 gene:TanjilG_18591 transcript:OIW20653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYLTLFLLISFVWTSIYVLFSKLGIKTSKYAPGPYPLPIIGNIFELGKLPHQTLSKLSQTYGPIMTLKFGSVTAIVISSPQVAKEALQKNDQVFSFRPTPDTLRAHDHHIYSVAWMQPSADWRALRKACAIKVFSSRMLDSTQFLRQKKVQELMDYVKESCKKGEALDIGKATFKTVLNSISNTLFSMDLAHYTSDKFQEFKDIICGITEEAGKPNYVDYFPILSFLDPQGAHGRMKGYFGKLIKFFDDLIEERLQLRATQKESKACKDVLDSVLELMLEDNSQITRLHVSHLFVDLFVAGIDTTSITIEWAMAELLRNPEKLKKVRKELQQVTSKGEQLEETHISKLPFLEAVIKETFRLHPPAAFLVPRMSGDNVELCGYMVPKNAQIMINAWAMGRDSSVWANPNEFIPERFLNNEIDFKGQYFELIPFGAGRRICPGLPLASKTVHTVLASLLCGYDWKLVDGGEGENMDMSEEYGLTLHKAQPLLVIPIKA >OIW20652 pep supercontig:LupAngTanjil_v1.0:Scaffold_32_82:82930:83429:-1 gene:TanjilG_18590 transcript:OIW20652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEETQYCCISHEFSRVATANPNKIAVIHASGVAHLSKHLRQNSPSLNFNRDITTLLEKRVESLSPPLYNGDSSFTYSLLLNAVISLSCRLRSILLGADDPHLIGNKRPGLEFKSWKDRIHA >OIW20656 pep supercontig:LupAngTanjil_v1.0:Scaffold_32_82:118674:123428:-1 gene:TanjilG_18594 transcript:OIW20656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKYFNSGIEGSSETQYEDSEIDDSSSSSSSEDEEEEEIGKELADVTFEELQKARSNGAHAFFQKPREDQNLKRANKNRPMEASSKKPVAAFREVIQAPKKVVRDPRFESLCGTLDTDGFRKRYNFLFENDLPAEKEALKKDLRKYKDPERIHEIEERLSWIDKQLKSGSAKHIDAKILANHKKKEREAAKQGKRPFYIKKSEIRKQRLVEKYNHLKSSGKLESFVEKRRRRNAAKDHRYMPYRRSGDSME >OIW20655 pep supercontig:LupAngTanjil_v1.0:Scaffold_32_82:115296:117210:1 gene:TanjilG_18593 transcript:OIW20655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYLTLFLLISFVWTSIYVLFSKLGIKTSKYAPGPYPLPIIGNIFELGKLPHQTLSKLSQTYGPIMTLKFGSVTAIVISSPQVAKEALQKNDQVFSFRPTPDTLRAHDHHIYSVAWMQPSADWRALRKACAIKVFSSRMLDSTQFLRQKKVQELMDYVKESCKKGEALDIGKATFKTVLNSISNTLFSMDLAHYTSDKFQEFKDIICGITEEAGKPNYVDYFPILSFLDPQGAHGRMKGYFGKLIKFFDDLIEERLQLRATQKESKACKDVLDSVLELMLEDNSQITRLHVSHLFVDLFVAGIDTTSITIEWAMAELLRNPEKLKKVRKELQQVTSKGEQLEETHISKLPFLEAVIKETFRLHPPAAFLVPRMSGDNVELCGYMVPKNAQIMINAWAMGRDSSVWANPNEFIPERFLNNEIDFKGQYFELIPFGAGRRICPGLPLASKTVHTVLASLLCGYDWKLVDGGEGENMDMSEEYGLTLHKAQPLLVIPIKA >OIW20648 pep supercontig:LupAngTanjil_v1.0:Scaffold_32_82:26972:32427:1 gene:TanjilG_18586 transcript:OIW20648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEPPPFQSSKLQDVLKIHLNQNDTLTRSYDVVPSVPPSPTPPTDPNSNPIHALSKDIPLNPTTNTSLRLFLPHPPPPPSVKLPVILYFHGGGFILFHASSIVFHDSCSAFASLFPAIVASVEYRLAPEDRLPAAYDDAVDAINWMRNQALDPTKSEPWIREHADFNNCFLMGCSAGGNITYFAGLRALDMDINPIKIKGLIMHTPYFSGVQRSESEIRLINDDLIPLHKNDIMWTLSLPKGSDRDHMYCNPMVSNMIYGDKIGQLPKCFINGNSGDPLVDKQKELVKILEGHGVHLVQHFVEDGFHAIELFDKAKAMIFCENVKKFVVETIAS >OIW20647 pep supercontig:LupAngTanjil_v1.0:Scaffold_32_82:15358:16314:1 gene:TanjilG_18585 transcript:OIW20647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKFDPYSHLGVSLNPDGTLTRTIHCPVTEANLEPSPGIPTVSKDLTLDQEKKTWLRIFRPTKLPSNDNTVARLPILIYFHTGGWAIHDPSDFPIHAKCSQISSDIPAIVVSVAYRHAPESRLPAQYQDARDAILWVKKQMNDPDGEQWVKDYGDPSRCYLFGCGCGGNIAFNTAMQVADLDLEPLRISGVILNQPMFGGEKRTSSELRFATDQILPLPVLDLMWELVLPKETDRDHRYCNPLVKGPHLDMVKKLKRVLIIGYGGDILVDRQQDLVTMLVKCGVQVEARFDPVGFHNIDMVDPSRASAVINIVKEFIF >OIW20657 pep supercontig:LupAngTanjil_v1.0:Scaffold_32_85:2693:4900:1 gene:TanjilG_18597 transcript:OIW20657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNHLHKNHPFRALAIFKNQFQLCSLDNVDEVTVALSLKACQGELKLGSQIHGFVVSSGFVSYVMVSNSLMKMYCMSGNFEKALRIFENLIHRDIVSWNTILSGFEKVVDALSFACSMHLNGVVFDPITFTTALSFCWGDHGFFFGWQLHSLVLKYGYGCEVFEGNALITMYSRWGRLDEARRVFDEMTRRDLVSWNAMLSGYAQGHGHYGLEAVSIFVNMVRQGMVLDHVSLTGAISSCGHIKNLELGRQIHGLIMKVGYGTHASVCNVLMSTYSKCEVPKDAKAVFQLISNRNVVSWTTMISVYEEDALSLFNEMRSDGLYPNEVTFIGLIHAITIRNLLTEGQMVHGLCIKSCFLSEQSVANSIITMYAKFEFMQESKKIFEELNYKETITWNALISGYAQNSLYKEAFLTFLSATKEIKPNQYTFGSVLNAIAAAEDISLKHGQCCHSDLLKRGLSSDPIVSGALLDMYGKRGNISESRRVFNETPERPVFAWTAMISAYARHGDYDSVMSLFKEMEREGNRPDSITFLSVLAACCRKGMVDVGHKVFDSMVKEHSIEPIPEHYSVMVDMLGRAGRLEEAEELMHQIPGGPRLSVLQSLLGSCRLHGNVEMAERVVDGLIQMDPSSSGPYVLMANLYAEKGKWEKVAEVRKGMRGKGVKKEVGFSWVDAGNVGSLYLHGFSSGDKLHPESEDICRMAEFVGLQMKFLKDSVEGRRVVLGITNPNTIEKFES >OIW20660 pep supercontig:LupAngTanjil_v1.0:Scaffold_32_85:20987:24959:1 gene:TanjilG_18600 transcript:OIW20660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDLKQKELVEVHKASAEQKRAMEDLNERLSASIQSCSEANDIINTQKVNIAELKEQLDEERTQRKEEREKAADDLKAAVHRAQYEAQGELKRLTDASSRREREQQEAINKLQESEREQSLLVETLRSKLEDTRQKVVVSENKVRQLETQVHEEQLATANGLKRVEELEQETKRLRKELESEKAAREEAWSKVSVLELEITAAMRDLDFEKQRLKGARERLMLRETQLRAFYSTTEEIQKLFAKQQEQLKSMQRTLEDEENYDDTSLDLDGVIFGTSAREKEVAGYHNKNSAKAGSTSSEKKVNKDRVETSSDEASVTEKHDCDIRSQDCQHTQEAEFTSADHDNSLRGGFGSDIDGTNTVPMMDGEAVETEGTHEIESPAISKQNIDLNKSGALVGDTLQFDDDVCVQEIEEHIETTSQEVLHHPQSNNAAETQKTVEEDSEVGDTIRTADLITSELAGSWACSTVPSTDGENKYTRSKDNSEGSGALHDPNGVVADSQSNPSAAVSATRDNDRRALSEMIGIVAPELREQFGGSANDNDHEREKHGCLSDSETESCSRTGSDDDDIADAKGGLISDAETSTGNHVEEDQKQEDPMDEDDFCTQEDSLG >OIW20659 pep supercontig:LupAngTanjil_v1.0:Scaffold_32_85:12983:20586:1 gene:TanjilG_18599 transcript:OIW20659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTTAIKEEITTKLQNDVVQGMNSRDFILSVASNIASQSLQNSDPQVWGVLTAISKNARKRNQGINILLTADEHCIGRLVDDVRFQIDSNSVSANHCRIYRMKVTKENMEDTMAIFLKDTSTNGTYLNWERLKKNSAAVKVCHGDIISFAAPPQHEVAFAFVYREVSVSMPMPDNIIAKRKAEEFVFENKRLKGLGIGAPEGPISLDDFRSLQRSNTELRKQLENQVVLIDTLRNENRAAAELHESELNSVRESTEKLYLDQVKELQLMVDLKQKELVEVHKASAEQKRAMEDLNERLSASIQSCSEANDIINTQKVNIAELKEQLDEERTQRKEEREKAADDLKAAVHRAQYEAQGELKRLTDASSRREREQQEAINKLQESEREQSLLVETLRSKLEDTRQKVVVSENKVRQLETQVHEEQLATANGLKRVEELEQETKRLRKELESEKAAREEAWSKVSVLELEITAAMRDLDFEKQRLKGARERLMLRETQLRAFYSTTEEIQKLFAKQQEQLKSMQRTLEDEENYDDTSLDLDGVIFGTSAREKEVAGYHNKNSAKAGSTSSEKKVNKDRVETSSDEASVTEKHDCDIRSQDCQHTQEAEFTSADHDNSLRGGFGSDIDGTNTVPMMDGEAVETEGTHEIESPAISKQNIDLNKSGALVGDTLQFDDDVCVQEIEEHIETTSQEVLHHPQSNNAAETQKTVEEDSEVGDTIRTADLITSELAGSWACSTVPSTDGENKYTRSKDNSEGSGALHDPNGVVADSQSNPSAAVSATRDNDRRALSEMIGIVAPELREQFGGSANDNDHEREKHGCLSDSETESCSRTGSDDDDIADAKGGLISDAETSTGNHVEEDQKQEDPMDEDDFCTQEDSLG >OIW20658 pep supercontig:LupAngTanjil_v1.0:Scaffold_32_85:6736:8463:1 gene:TanjilG_18598 transcript:OIW20658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTLHTNLGDIKCEIFCDEVPKTSENFLALSGSGYYDGTIFHRNIKGFMIQGGDPTGTGKGGTSIWGKKFNDEIRESLKHNARGMLSMANSGPNTNGSQFFISYAKQPHLNGLYTVFGRVIHGFEVLDLMEKTQTGAGDRPLAEIRLNRVTIHANPLAG >OIW20661 pep supercontig:LupAngTanjil_v1.0:Scaffold_32_86:32067:34646:-1 gene:TanjilG_18601 transcript:OIW20661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLKSYCSSACLRGFGFPVHRFNADDGNKRSSYWKPPQAAVIPNFHLPMRSFEVKNRTSTDDIKCLRLITAIKTPYLPDGRFDIEAYDGLVNAQIENGVEGIIVGGTTGEGQLMTWDEHIMLIGHTVNCFGGKIKVVGNTGSNSTREAIRGSEQGFAVGMHAALHINPYYGKTSMDGLVSHFDGVLSMGPTIIYNVPSRSGQDIPPRVIQSLAHNSNLAGVKECVGNDRIKQYTDDGIVVWSGNDDQCHDARWGYGATGVISVTSNLVPGLMRELMFGRENSTLNSKVMPLVDWLFQEPNPIGLNTALAQLGVVRPVFRLPYVPLPVEKRIEFVNLVKGIGREHFVGEKDVQVLDDDDFILVGRY >OIW20664 pep supercontig:LupAngTanjil_v1.0:Scaffold_32_86:91952:92881:-1 gene:TanjilG_18604 transcript:OIW20664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPITAQGRPLPPPFLSRDLHLHSHHQFQHHNNHQNSEDEQSGNGNLSRRQKRDRDENAGATTPTNATTATTAEGKEPGSGTSGGEGSEMGRRPRGRPAGSKNKPKPPIIITRDSANALRSHVMEIANGCDIMESVTTFARRRQRGVCILSGSGTVTNVTLRQPASPGAVVTLHGRFEILSLSGSFLPPPAPPAASGLAIYLAGGQGQVVGGSVVGPLLASGPVVIMAASFGNAAYERLPLEEEETPVAVPGSGGLGSPGIVGQQQQQQQQQLVQDPNTSLFHGVPQNLLNSCQLPAEGYWGGCARPPF >OIW20662 pep supercontig:LupAngTanjil_v1.0:Scaffold_32_86:40407:46897:-1 gene:TanjilG_18602 transcript:OIW20662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRVLRSTLSRTLPSNSTISNHFNNPFQSSSSSSLIRSLFSAIPIGGTNSQKSQQRPEILCDFMKWGSVGFNRALSNFATGFTPLKPKPLDSIVDVHRLKNRDPQDIASAWDDVINFNLIALILVYCFSITLLYYSLFFARSGNKYHIGRGHIGATMKAKLYHLLEHRASECRYFVIPLWRGKGYTTMFIQVQAPHMMFTGLEDYKARGTQASPYFTVTFYKEFAESKDLVLIRGDIVFTSKLTDSEAEWLLETAQSFYLNDARGVGFLEFRYKKMEEWCGIIFNPDVQLHPAIICISTTTILEPDIVPPMYCKDEFLTWHKMHPSSADLHHMLDCS >OIW20663 pep supercontig:LupAngTanjil_v1.0:Scaffold_32_86:49407:73141:1 gene:TanjilG_18603 transcript:OIW20663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTLTLHRNGVAQPHRLTSNFRTRLSSSSRSSLRRRCANPAAIPARNNAHGGVTGRVRVFVRLRPRNTEEMMADADFADCVELQPELKRLKLRKNNWDSDTYEFEDVLTEFASQKRVYEVVAKPVVESVLDGYNGTVMAYGQTRTGKTFTLGQLWEEDTSDRGVMVRSMEDILADLSPITDSIVVSYLQLYIETIQDLLNLVNDNIPIVEDPRTGTCKRCVVESEDIVFSENDDASHLTKPSKPLVRKSKLVVVDLAGSERIHKSGSEGHMLEEAKFINLSLSTLGKCINALAENSTHVPFRDSKLTRLLKDSFGGMSQAMKVENMLKIKEEFDYKSLSRKLEVQLDKLIAENERQQKAFEDELERITLEAQCRIAEVERSFTDTLEKERLKCQMEYMESVTQLEQNLVLSQERHDCNGFVEDRGEGHTLSSGDEVAEVKRLFENESKRRKAAEEEVENLKSQHTQGQRNEDETVRRIAAGAIANLAMNEANQELIMAEGGITLLSMTASDAVEPQTLRMVAGAIANLRGNDKVLMKLRSQGAIKALLAIVRCGHPDVLSQVARGIGNFAKCESLASSQEDGALPWIVQNANNEAAAIKHHMELALCHLAQHELNAKDMISGGALRELVRISWDCTREDIRNLARETINDTDLSLLLLQNAIWRGKEMKKNEVFLGCEKW >OIW21979 pep supercontig:LupAngTanjil_v1.0:Scaffold_330_12:24751:31977:1 gene:TanjilG_19205 transcript:OIW21979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLPQFFIIYSIIITFFFFFCNSTSTPPSSLYGLSYHINCGTTTNTTDSFNTTWLSDRFFTGGSTAVVSEPLRFHLPSEQTLRYFPSSSYGKKNCYSFPSLPPSSRYLLRTFTVYDNYDAKSRPPSFDVAIAGTILFSCRSPWPESVARDGAYFDLFFSVKNFTTNLCFYGFATDAPIISSMEVIEVDPASYGAVSAAGNILVNYGRISCGSSDQWGPGFTLDTDRFGRSWQPDIDFRTQVHGSDSVHVLSTENAIDGTDREPNFFPMKLYQSAVTVSEDVLEYELSVDAKMDYLVWLHFAEIDSSVKSEGERVFDVLINERNLSRIDIYKEVGSFAAFSMYYTVKNLSSSVLKLKFVTVVGAPLISGIENYALVPNDPSTVPEQVRAMKALKDSLRVPDRMGWNGDPCAPYSWDAWEGVTCLMNKDKAVLVISEIDLGSQGLKGYISDEIGLLSDLVSLNLSSNSLEGNIPSALGQKSLIQLDLSNNQLMGSIPDSLASSSLRLVLLNDNLLEGRVPEEIYSVALAAKRNKYQRQKSLMLLELESQHAKGFPSPFTPQ >OIW21977 pep supercontig:LupAngTanjil_v1.0:Scaffold_330_6:13195:15836:1 gene:TanjilG_19203 transcript:OIW21977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKREDQGQCSSQSLNNFQTYQDHLLFQQQLMQQQDLTGISPIYQPWSMPQVQHHDPYFVPPKSFSSPYSSFFNRRVPSLQFPYEGCPSSEELRTLSETLSVQHGSVAPFGLQAELEKMSVEQIMEAKALAQSKSHSEAERRRRERINNHLAKLRSLLPSTTKTDKASLLAEVIQHVKELKRQTSLIAETSPLPTESDELTVDTTDNEDGKFVIKASLCCEDRTDLLPELINALKALRLRTFKADITTLGGRVKNVLFITGEEDSRCNNEDEEHSYNQQQCCISSIEEALKAVMEKSVGDHDSASASVKRQRTNTISIS >OIW21978 pep supercontig:LupAngTanjil_v1.0:Scaffold_330_6:18767:25836:1 gene:TanjilG_19204 transcript:OIW21978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFGRRVMSWRRVAQSFQALIAHALLFSFTLILSLKLDHLLPYTWTWWVVFSPLWLFHAVIARGRFSLPAPSMPHGRQWAPSHSVIATPLLVAFELLLCMHLGSSYAVNLKIVFLPLIVFEVVVLIDNIRMCRTLMPGDDENLTDEAVWETLPDVKPYLVHVRVFNHITISTVVSKIRNLHFQGDVDALGWWDLFINFGIAQCFAFLVCTKWHNPTIHGNNHITEPRSSSSTLRYPSSSTMRYTEWNSRGFLVSSDEDRQENGCCNLQDIGGHLMKIPFICFQLLLFMHLAGTPTDAKYMQHWIIFSPLLLLQGVGVLFGAYRLIEKIVILLYTGDVSRTYTSIASKLLDFFGFFHHGSRLLGWWSIDERSREEEARLYCAENSGYNTFSPDTVKKMPRTDLVDEEKILCRVCFEEQINVVLLPCRHHIICRTCSEKCKRCPVCRVMIEEPLLVYDM >OIW20672 pep supercontig:LupAngTanjil_v1.0:Scaffold_35_205:31645:39303:1 gene:TanjilG_19737 transcript:OIW20672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEDLGILLKGHKFHGSGKVVAPNRSGSAPPSMEGSFHAIENLLSQQSSTQNASLATLNRAMQKCESEEELRADPAYLAYYNSHVNLNPRLPPPLISRENRHMRHHTSGFSNNWGLPFADDSRSSLQLSQWTLATHKEESDDDSLQQPYDNELVKTSEIWRRPDAASSASQHKNVVDLIQEDFPRTMSPVYNKSFSISHGLVVGEPIDSDAGSTSSHDPPVSTAEAAKPTGSSDDTRVSSTVDSHAPVASSLSIESTGSVGFSDIDVTTVESQLKALSVSNLPNSESPSNEEKWKTSYQNSLKQHQIYQQQNNPYEVPNASSQSVNYAYIGREQFPHNSSKFSDVQPVLQSSGFTPPLYATAAYMTSANPYYMNFQASGIYTPQYVGGYTLNPTAIPPYITAYPPHSAVPLVDGATSSSYTPLRPGVSTGGSISHGAEMVHANKFPGQFGFPMQSSFGDPFYMQYHQQPFVEGYGISGNFDPLAPRQSGGSHISPFDSQKMPSTGAYLDDKILHDQRTSANMNSRKRGLTIPGYYGHPPNMGLVMQYPISPLPSPVLSGYPEGSPGLSGGRSDMKLSPASSRNIGVLSGWQGQRYFDSTHDPKIVNFLEELKSGKGRRFELSDIIGHIVEFRQQKLECCSVEEKALVFKEVLPHTSKLMTDVFGNYVIQKFFEYGSPGQRRELANRLAGQILPLSLQMYGCRVIQKALEVIELEQKAQLVRELDGNVMRCVRDQNGNHVIQKCIESIPTKQIGFIISAFRGQVSNLSMHPYGCRVIQRVLEHCTDEVQCQFIVDEILESVCSLAQDQYGNYVTQHVLERGNHQERSQIISKLSGHVVQLSQHKFASNVVEKCLEYGDANERELLIAEIVGQDEQNDNLLIMMKDQFANYVVQKVFDMCSENQRAKLLSCIRIHAHALKKYTYGKHIVSRLEQQFGEENPTPGA >OIW20671 pep supercontig:LupAngTanjil_v1.0:Scaffold_35_205:2885:5872:1 gene:TanjilG_19736 transcript:OIW20671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCSQSRLDDEEAVQLCKDRKRFIKQAVEQRTHFATGHIAYIQSLKRVSAALRDYIEGDEPREFSIEPVIIPPLTPVKKTSPAFIPISSSKSFIPTAFEYGVKPNSTLKVNYLRPGGNPPILVEERPQSQETVRVETYPPMQHQFGYDVFYAMQSTPMMNPSFFAMEYPTMNPSIFSYSPNNRPNIPPPPSPRTSQWDSFWDPFSSLEYYGYPTRSSLDEYQGMRRVREEEGIPELEEDETEQEDHVRRRNVADERIRTDINSSKEKAMVEEVDEDDDEDEEEDDDDDDDDDDDDNDEDDNEDDDEEEEGTECETDTEIEHVAKDSQAHGSENSEVLKAQRTVRVEPSHQEMANDNQEAKEETPGFTVIVNRRPTSMAEVINDLEAQFTIVCNAANDVSVLLESKKAQYLLTSNELSASKYLNPVALFRSASSRSSSSRFLLNCSSTRYEGHEGTKDISEEHRISSGSHQSTLDKLNVWEKKLYEEVKSGERVRIAYEKKCKQLGDQDVKFEDPSYADKTRATIRDLHTQINVSIHSVEAISKRIETLRDEELHPQLLELVQGLSRMWKVMEECHQTQKRTLDEAKILLACTPKLHARKQSSMSTANPYRLARSASNLEVELRNWRNTFESWITSQRSYVHALTGWLLRCVRSSEPNASNIACSPSRASDTHPLYGLCVQWSRNLDAIHEKAVFDGLEFLAAGMGSLYAQHLREDSQRNSFEPKQVNGSMEMVQVGQLEEMSSEKLAEVGIKVLCAGMSVAISSLAEYAFDSAKGYSEVLQHCENVKSQHTSTETKT >OIW20674 pep supercontig:LupAngTanjil_v1.0:Scaffold_35_206:22099:23921:1 gene:TanjilG_19739 transcript:OIW20674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIQCAAVSSGSESGDSTTIESRIRNEIAVEETEASGGLNGGSEKIARHEQEGVTREGGSIFEEAQSGDGRRFSDRRSETRRCEKGVKKWH >OIW20673 pep supercontig:LupAngTanjil_v1.0:Scaffold_35_206:18645:21429:-1 gene:TanjilG_19738 transcript:OIW20673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEGNASHPDADMDIVQRRLMFDDECILVDQNDRVVGHDSKYNCHLMENIEAKNLLHRAFSVFLFNSKYELLLQQRSATKAPSDGKWGEHELDYLLFIVRDVNVTPNPDEVADVKYVNRDQLKELLEKADAGDEGLKLSPWFRLVVDNFLFKWWDHVEKGTLEKVADMKTIHRENDKKEMAPPSSVLVATEKTNNDFTLLQVHDSDSQMFLDTKQISANPKQFRWFLLLKLHKVLTCLSWLTSRFKATFSLVKKIISSSDTTEEGLPNGPM >OIW20675 pep supercontig:LupAngTanjil_v1.0:Scaffold_35_206:40647:54127:1 gene:TanjilG_19740 transcript:OIW20675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSMRDLDPVFQGAGQKAGLEIWRIENFNPVPIPKSSYGKFFTGDSYVILKTTTSKSGALRHDIHYWLGKDTSQDEAGAAAIKTVELDAALGGRAVQYREVQSHETEKFLSYFRPCIIPQEGGVASGFKHAEAEEHKTRLFVPFARSSLNHDDIFVLDTESKIFQFSGSNSSIQERAKALEVVQYIKDTYHEGKCEIAAIEDGKLMADPETGEFWGFFGGFAPLPRKTATGDDKSAASHPPKLLCVEKGQAEPIETDSLKRELLDTNKCYILDCGLEVFVWMGRNTSLDERKSASGAADELVTGTAKLKPQIIRVIEGFETVMFRSKFESWPQTIDVTVSEDGRGKVAALLKRQGVNVKGLLKADPIKEEPQPYIDCTGHLQVWRVNDQKKVLLPASDQSKFYSGDCYIFQYTYPGEDKEDCLIGTWVGKISVEEERASANSLASKLVESMKFQASQARIYEGKEPIQFYSIFQTFIVLKGGLSDAYKTYIAEKEIPDETYSDDGVALFRIQGSGPDNMQAIQVEPVASSLNSCYCYILHNGPAVFTWSGSSTTADGQELVERMLDLIKPNLQSKPQREGTESEQFWALLGGKLEYPSQKIVREAESDPHLFSCNFSEGNLKVTEIYNFSQDDLMTEDIFILDCHSEIFVWVGQQVDLKSRTQALTIGEKFLELDFLLEKLSRVAPIYVVNEGSEPPFFTRFFKWESAKSAMLGNSFQRKLTILKNGSTPLSDKPKRRNPVSYGGRSSSVPDKSQRSSRSISVSPDRVRVRGRSPAFNALAATFENANARNLSTPPPLVRKLYPKSVTPDSAIFAPKSTAIASLTSNFEQPPPARETIIPRSLKVSPVTPKSNLETNDKGNSVSSKMESLTIQEDVKEDEDEDEEGLPIYPYERLKINSTDPVTDIDVTRRETYLSSVEFKEKLGMTRDAFYKLPKWKQNKIKMAIQLF >OIW20677 pep supercontig:LupAngTanjil_v1.0:Scaffold_35_207:14712:16844:1 gene:TanjilG_19742 transcript:OIW20677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAFPRFLVVLSSFLLLLISTRTSSAQLTRGFYSNICPNVEQLVRSAVAQKFQQTFVTAPATLRLHFHDCFVRGCDASILLASPNNKAEKDHPDDISLAGDGFDTVVKAKAAVDSNPQCKNKVSCADILALATRDVINLSGGPSYEVELGRRDGTISTIASVQRRLPHPEFDLDQLNSMFNFNGLSQTDMIALSGAHTIGFSHCNRFSKRIYNFSPTNKIDPTLNSQYALQLRQSCPLRVDPRIAINMDPTTPQTFDNQYFKNLQQGMGLFTSDQVLATDARSKNTVNLFASNKSAFESAFIDAITKMGRIGVKTGNQGQIRVDCSRVN >OIW20676 pep supercontig:LupAngTanjil_v1.0:Scaffold_35_207:4325:9511:1 gene:TanjilG_19741 transcript:OIW20676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSIEKDKIPSSLSSSSSLPLSTPFVDFSKGINGFDKIILRESRGSSAEVYLYGGHVTSWKNDHGEELLFLSSKAVFKAPKAIRGGIPICFPQFGSHGTLDQHGFARNRIWAVEDDPPPFPTTTLNKAFVDLILKPSEEDTKIWPHSFEFRLRVALGPGGDLMLTSRIRNTNSDGKPFSFTFAYHTYFSVSDISEVRVEGLETLDYLDNLQNKERFTEQGDALTFESEVDKIYLSTPTKIAIIDHEKKRTFVLRKDGLPDAVVWNPWDKKAKAMADFGDDEYKHMLCVEAAAIEKAITLKPGEEWKGRLELSAVPSSYCSGQLDPQRVLQGS >OIW20679 pep supercontig:LupAngTanjil_v1.0:Scaffold_35_210:9785:11008:-1 gene:TanjilG_19744 transcript:OIW20679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTKVEYAINLLETSLESNNFTVDVWEHYQNKGLTNNHYSIGANKLQDPMDRRVLEKNHIESIKKTMLMHEDIFKQQVRELHRVYSMQKMLMDDHKNEIKQQKFWTPMNSIGISHPHFIQQQQQKQTTQISSYGPHLHVQSLKDIYSKERSGSCSGETMKMQRGFDLERPSEGHIFAGTHGCDESEAGPSSYAVFQSCKISTHDPDDESMEVDLTLSIGGSQVQKKKRSYLFPFGYSDSPKLNSSVTFQSDRVGDCSDPNTPMSSSSVTFDQERKGQHWLSQGLSLK >OIW20680 pep supercontig:LupAngTanjil_v1.0:Scaffold_35_210:34762:36182:1 gene:TanjilG_19745 transcript:OIW20680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGGAMSIPTTQSLNEAKTVAMLYPTQRLFSKHFLSNTRGFSSKENCFLRGHQFTIKQFFGLISRRSPPNKAVGVVSPSCILPLTEENVEKVLDEIDGPVVVHKLQGACGSCPSSTMTLKMGIETRLRDKIPEILEVEQILHTETDLELTDANVENV >OIW20678 pep supercontig:LupAngTanjil_v1.0:Scaffold_35_210:6695:8050:1 gene:TanjilG_19743 transcript:OIW20678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAYAVKPTKPGLEEPQEQIHKIRITLSSKNVKNLEKVCTDLVRGAKDKHLRVKGPVRMPTKVLNITTRKTPCGEGTNTWDRFELRVHKRVIDLYSSPEVVKQITSITIEPGVEVEVTIADA >OIW20681 pep supercontig:LupAngTanjil_v1.0:Scaffold_35_210:54747:55358:-1 gene:TanjilG_19746 transcript:OIW20681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAQSPKRRRVYSLEPNKVVQEVFTRNYVRYLVPALVKIKESGSSEHNKHCDFHNVVKHEVGMAMVFSAQGFAWSDALKVKLLRGHANVDSNSTSFDENEGSDDKGSSLNICDQDVMVPMNLISSNSNPSSKSKYIDMPENKRNCLVGEENEDEVTNNKLTCLRRLIPGGEKMCNEQMVVELESYISCLQMQVNVLQCLAKTP >OIW20682 pep supercontig:LupAngTanjil_v1.0:Scaffold_35_220:3477:11976:-1 gene:TanjilG_19747 transcript:OIW20682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGKGISLEEIKNETVDLERVPIEEVFAQLKCTKEGLSSEEGASRLEIFGPNKLEEKKESKFLKFLGFMWNPLSWVMESAAIMAIALANGGGKPPDWQDFVGIVCLLVINSTISFIEENNAGNAAAALMAGLAPKAKVLRDGKWCEEEAAVLVPGDIISIKLGDIVPADARLLEGDPLKIDQSALTGESLPVTKNPGDEVFSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDSTNQVGHFQKVLTAIGNFCICSIAVGMLAEIIVMYPIQHREYRHGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLSVDKNLIEVFTKGVDKDHVILLAARASRTENQDAIDAAIVGMLADPKEARAGIREVHFLPFNPVDKRTALTYIDADGIWHRASKGAPEQIMILCGLKEDAKKKVHAIIDKFAERGLRSLAVSRQEVPEKAKESAGGPWQFVGLLSLFDPPRHDSAETISKALNLGVNVKMITGDQLAIAKETGRRLGMGTNMYPSSSLLGQHKDESIASLPVEELIEKADGFAGVFPEHKYEIVKKLQERKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVFGFMFIALIWKFDFSPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLKEIFVTGVMLGGYMALMTVIFFWAMKETTFFPRKFGVRPIHENDNEMTAALYLQVSIVSQALIFVTRSRSWSFVERPGMLLMGAFVLAQLVATLIAVYANWSFAKIKGVGWGWAGVIWLYSLVFYFPLDLIKFGIRYILSGKAWLNMLENKTAFTTKKDYGKEEREAQWALAQRTLHGLQPPETSSIFNEKSNYRELSEIAEQAKRRAEVARLRELHTLKGHVESVVKLKGLDIDTIQQHYTV >OIW20683 pep supercontig:LupAngTanjil_v1.0:Scaffold_35_227:6666:12858:-1 gene:TanjilG_19748 transcript:OIW20683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METPPDSATAAAAGETISKNALKRELKNKQREEERKRKEEEKAKKAAEVKQTKDNSKSASAADEDDMDPTQYHENRLKYLAAQKAEGNNPYPHKFFVTLSIDQYIKKYEGLSDGEHLEDVSVSLSGRIMHKRASGAKLVFYDLHGGGLKVQVMADARKSDLDEAGFSKFHSNVKRGDIIGITGFPGKSKKGELSIFPRTFVLLSHCLHMMPRQKSAAAAADNSNLKKSLWVPGSTRNPETYILRDQETRYRLRHLDLMLNPEVREIFKTRSKVISYIRRFLDDLDFLEVETPMMNMIAGGAAARPFVTHHNDLNMRLFMRIAPELYLKELVVGGIDRVYEIGKQFRNEGIDLTHNPEFTTCEFYMAYKDYNDLMEITEQMLSGMVKELTKGSYKIKYHANGIDKDPIEIDFTPPFRRIDMIEELEKIAGLSIPKDLSSDEANQYLRDACLKYEIKCPPPETTTRLLDKLVGHFLEETCVNPTFIINHPEIMSPLAKWHRSKPGLTERFELFVNKHELCNAYTELNDPVVQRQRFADQLKDRQSGDDEAMALDETFCTALEYGLPPTGGWGLGIDRLTMLLTDSQNIKEVLLFPAMKPQD >OIW20684 pep supercontig:LupAngTanjil_v1.0:Scaffold_35_273:2003:2554:-1 gene:TanjilG_19749 transcript:OIW20684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDDEGPRQYKSWVRGKVIHFDPPTINTLLGEPFESPDFRSPGNWYDIAKELCIPGRSFSTNNDGQPIRIYRKHMKTMAQIWMIFLLHNVIPNSHVSSLPFNSCKVLYDVLTSTRFDVTEVIAHEMYRTALKPGEKGTMGFPSLITSLCARQGVRVNRTEQTKPPITNKYIIHNCKEDAHEEA >OIW20685 pep supercontig:LupAngTanjil_v1.0:Scaffold_35_315:394:2100:-1 gene:TanjilG_19750 transcript:OIW20685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEMAKIERERENNNNNINELNEEAQMEKLPNPLPYRSNSGNLTTIIGAMYGSLFFVGVNNCQTVQPVVAIERTVFYRERAAGMYSALPYAIAQVIIEIPYCFVQTMLFSFIVYAMVSFEWQVAKVFWFLFVSFFTFLYFTYYGMMTVSITPNHQVASIFGAAFYGLFNLFSGFFIARPFLVDILHNVHQTLHIFTLVEEKFIYDLPNRFVKCIKPEENVKLR >OIW20686 pep supercontig:LupAngTanjil_v1.0:Scaffold_35_322:5429:7801:1 gene:TanjilG_19751 transcript:OIW20686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRIKRVPTVVSNYQKEEEDEAVRHVSGCGKNCLKSCCIQDAKLPLYAFKTVGKKDLSLPECKEQTVAFLDSLILGEWEDRVQRGLFRYDVTACETKVIPGEYGFIAQLNEGRHLKKRPTEFRVDKVLQPFDESKFNFTKVGQEEILLQFEASNDDGEVQFFPNAPIDVENSPSFVAINVSPIEYGHVLLIPRIFECLPQRIDHTNFLLALHMAAEAGNPYFRLGYNSLGAFATINHLHFQAYYLAVPFPIEMAPIKKIAKLNGGVKISKLLNYPVRGLVFEGGNTLEELSNTVSDACICLQNNNIPYNVLISDCGRQIFLLPQCYAEKQALGEVSTELLDTQVNPAVWEISGHMVLKRKKDFDEASEANAWRLLAEVSLSEERFQEVNDLIFQAITSGKLDLISQCPEENDAVTSNPRSSMMAGSKECLVLQ >OIW20665 pep supercontig:LupAngTanjil_v1.0:Scaffold_35_46:17306:18013:-1 gene:TanjilG_19730 transcript:OIW20665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSNCGCGSSCKCGSGCNCSNKYSEITTSETLIMGVAPLKTQFEDAEMGFEAEDGGCKCGSNCTCDPCNCK >OIW20666 pep supercontig:LupAngTanjil_v1.0:Scaffold_35_54:26714:27220:1 gene:TanjilG_19731 transcript:OIW20666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEEHRCQAPEGHRLCANNCGFIGSPATMNLCSKCYRDIRLKEQEEASTKSTIETALSSSTTYYPPSTASSPPSPSVVSIPRLPSTALTVTEVAAASGLISAPFQPNRCAACRKRVRLSGFKCRCGVTFCGPHRYPEKHACRFDFKMVGREEIARANPVIKAAKLERI >OIW20667 pep supercontig:LupAngTanjil_v1.0:Scaffold_35_64:871:3372:1 gene:TanjilG_19732 transcript:OIW20667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQDGVASKPVVVIASISMALLYVAVLYAPTLLLRLPPPSSFTNYMIRRFLCAVVSSIISLFISALILPVQTKELFGVYGIRVDHIWQAVVFPLSLTSLMYAGSLFNKSLLLLDSWREHRSSGGSLSFATCKYFSQRFLAWLSAISSNVLVWRNYVVAPITEELVFRAGMIPLLLCGGFRTYSVILLCPIFFSLAHLNHFMEIYTKQNYRIKKAAMIIGLQLGYTVIFGSYASFLFIRTGM >OIW20668 pep supercontig:LupAngTanjil_v1.0:Scaffold_35_70:33828:34055:-1 gene:TanjilG_19733 transcript:OIW20668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERLNSKLYLQNCYIMKENERLRKKAQLLNQENQALLSELKQKLSKNNQKNQAPNTILDLNLSSSSNQNASSSSN >OIW20669 pep supercontig:LupAngTanjil_v1.0:Scaffold_35_76:9817:11561:-1 gene:TanjilG_19734 transcript:OIW20669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNTIGLTPRGISRSSSFSLSPGKSVINHAGLSICTKSSRSTIMCQTLKGGCLGSCGSRQRGNIQFFCSVIPWKKHNEISFTCKSMNARLLLPKQNMLPKVKSNVGLTAWTHTSVSLTFGLLVCSLSPETSHAETDSENENQKANCNKYESNTNFSHGKKVYTDYSVTGIPGDGRCLFRSIAHGACLRSGKPPPSESYQRELADDLRTRVADEFIKRREETEWFIEGDFDTYISKIRKPHVWGGEPELFIASHVLKMPITVYMYDQDAGGLISIAEYGQEYGKENPIRVLYHGFGHYDALEIPRRNGPKPRL >OIW20670 pep supercontig:LupAngTanjil_v1.0:Scaffold_35_78:6258:9554:1 gene:TanjilG_19735 transcript:OIW20670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKLQSEALREAISQIVTNSKEKNRKFTETIELQIGLKNYDPQKDKRFSGSVKLPHIPRPKMRICMLGDAQHVEEAEKIGLDYMDVEALKKLNKNKKLVKKLAKKYHAFLASEAIIKQIPRLLGPGLNKAGKFPTLVSHQESLESKVNETKAMVKFQLKKVLCMGVAVGNCAMEEKQIFQNVQLSVNFLVSLLKKNWQNVRCLYVKSTMGSAVRVF >OIW21980 pep supercontig:LupAngTanjil_v1.0:Scaffold_365_2:8863:20534:1 gene:TanjilG_20292 transcript:OIW21980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDEWIWVQLQIMEESSEALRSRCWKFYKGCRKYTEGLGEAYDGDIAFANAVENFIGGHSDPLFVTLGEALTADMCTWREGLGEAYDGDIAFANAVENFIGGHSDPLFVTLGGPVMAKFTIALREISTHKELLRSEVEHMLNDRLLKILNVEILDVKEARRRFVKSSLAYDQAREKFMSLRKGTKFDTAAIIEEELHNARNSYEEARFNLVSALHNIESKKRFEFLESITSVMDAHLRYFQQGYQIFQEMEPFILEVLAYAQKSRESANEEQISLCERMVQYKQLAREESKLSLNGPYSSPSGDSMQHFSRISNKVVDAVTESAANGKVQVIRQGYLSKRSSNLRADWKRRYFVLDSRGMLYYYRKPLIGSQGGSQPCSPMNYATENSSGILSRLLSLQYHGVIPDERSVARHTVNLLTSTIKIDAEQSDLRFCFRIISPSKIYTLQAENALDQMDWMEKITGVIASLLSAQTLETLRSTDSEGSDLYSASKLEFYLSSPDDDRAASRDLASKYFTSNSSHIGFQGNKQNMKGEKPIDVLRKVSGNDKCADCGKPEPDWASLNLGILICIECSGVHRNLGVHISKVRSLTLDVKVWDSSILTMFQSLGNMFTNSVWEEFLHSTNNLITDDTPVCSPMASTNKLFHARKPEHDDPISLKERFIHAKYSEKVFVRRVTENRCLLSVEQQVWESICANDPKTVYRLIVRSDVDVNATNGQALDGNSFNMTSSTNPNIASQSTHQLMEDIQEGSSVLHLACLTCDVGMVELLLQYGADVNAIDSRGRTPLHYCILRGKIAAAKVLITRGGNPHVVDKEGNTPLKFASEPSTVGNEIDSLLTSTT >OIW21981 pep supercontig:LupAngTanjil_v1.0:Scaffold_374_12:5076:6269:-1 gene:TanjilG_20496 transcript:OIW21981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTYSSMDGILYCKPHFEQLFKESGNFSKNFQTAKSSDKQNDMVNFVYSSNISGKMMNMQNKAPSRVSSMFSGTLDKCAVCTKTVYPLEKVSLEGECYHKTCFRCAHAGCPLTHSSYAALDGVLYCRHHFAQLFMEKGNYNHVLQAAQAHKKNSTPPPEPVDDESSKLPEESEEQKEENP >OIW21982 pep supercontig:LupAngTanjil_v1.0:Scaffold_374_12:14296:18116:-1 gene:TanjilG_20497 transcript:OIW21982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIRTSIGDGSRVSVSSIGYASSVASGPDDIESLGDVYIWGEVWTDVISSDGLGSQSPSKTDVLAPKALESNVVIDVYQIGSGVRHIALVTRQGEAFTWGEDSGGRLGHGFDNDFCQPHLVESLAMTSVSFVSCGEFHTCAISASGDLFTWGDGTHNAGLLGHGTDVSHWIPKRVGGPLEGLKVVSLACGSWHSALVTSNGRLFTFGDGRFGVLGHGDRESMSYPKEVQLSSEQKAIKVACGVWHTAAIIEVTDQFSSNALSRKQVFTWGDGDQYCLGHVNKETYLQPTCVSTLAEYDFQQVACGNTMTVALTLSGHVFTMGSNAYGQVGNPLSDGKVPILVRDKLVGECVEEISCGAHHVAVLTSRSELYTWGRGANGRLGHGDTEDQKTPALVEAFRDRHVRNISCGSNFTTCICIHKWVSGADQSLCTGCRQPFGFTKKRHNCYNCGLVHCHACSSKKAMNAALAPTPGKPHRVCDTCYTKLKGSVSESGAAFNKEVTRPRNSVHGSERLHRGEVRSSRTLLFPIIEPVKFLHMRTSKHGSKHDSSSIGQASQVPSRLQLKDVAFPSSLSAMQNAFKPVIFPSQPPIQPPAPAPAPPSPVISRPASPYSRRPSPPRSTSPGFSRSLIDSLKKKNELLNQEVSKLQKQIRSLKQKSDMQEMKIEQLLKNVEGDASLAGEESSKHRVAKEFIKSMKDQLIEVTEKFPADMSGSETLRTMQAQAKDFLEEKTEFESTSLPPSFESVQQSAPDIPASESDSAELREQRPEENDAAAVAVAEANPSINEGNVLQESNRLFESSTEAPIPASSSSTSLDSNKCGKEGETSVIEQFEHGVYITAIVLPSGAKVFKRVRFSKRKFDGHQAEEWWNRNKDRVYKKYSPAGTKDAAGTGSSVTLPHSEDNVEASPSKV >OIW21985 pep supercontig:LupAngTanjil_v1.0:Scaffold_397_11:1060:3918:-1 gene:TanjilG_21165 transcript:OIW21985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLGGVENGNKVVESWDVSKSKGRKKKKKKEDDVEVVVEEEEDIGCWVRLRLFGSCISSRSNVDGSLSGTNTHYAESKSTNDTSRDQPTVPVVSSTSTSNTSNSSSSKLEEELKVSSRLRKFAFNDLKLATRNFRPESLLGEGGFGCVFKGWIEENGTAPVKPGTGLTVAVKTLNHDGLQGHKEWLAEVNFLGDLVHPNLVKLIGYCIEDDQRLLVYEFMPRGSLENHLFRRSLLLPWSIRMKIALGAAKGLAFLHEEAERPVIYRDFKTSNILLDAEYNAKLSDFGLAKDGPEGDKTHVSTRVMGTYGYAAPEYVMTGHLTSKSDVYSFGVVLLEMLTGRRSMDKHRPNGEHNLVEWARPHLGDRRRFYRLIDPRLEGHFSVKGAQKAAQVASLCLSRDLKARPLMSEVVEALKPLPNLKDMASSSYYFQTMQADRFRASPNARNGQTQGGALLTRNWQQQRSLSIPNGTHVSPYHHQYPQQSPKPNAKA >OIW21986 pep supercontig:LupAngTanjil_v1.0:Scaffold_397_13:12411:15997:1 gene:TanjilG_21166 transcript:OIW21986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELGSCVKENSFNDHYSVYAREALDELPDSFTITDPSIPGHPIIFASLGFLNLTGYTRDEVVGNSGAMFQGPGTCRRAVMEIREAIREERKTQVVLLNYRKDGTPFWMLFHMSPVFTEHYGGVVHFVAVQVPLQKKMNFCEDGSRLQQDFVFGYCRKEVCLDSMLGLSRVCHMDQHDDVRDLESEEPCEASDDEKRIALTAMDNIFSVLTHYSESMGRLVCRKRCSMPDVGLLSTSLIISLGRIKQSFVLTNPHLLDMPIVYASDAFLKLTGYARDEVLGCNCRFLGGKNTDTSTLYLIRESIKTEQPCTVRILNYRKDKSSFWNLLHISPVRDASGKVAYFVGVQIEDDHKNGDRPGLSPERKQLSVVGVVKVAVRSLSMTAGSSKP >OIW21987 pep supercontig:LupAngTanjil_v1.0:Scaffold_397_13:18085:28224:-1 gene:TanjilG_21167 transcript:OIW21987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVEAPKVTRWEGYVNWRNKPALRTRHGGMPAASFVLVVEVFENLAFLACTSNLVRYLGSYMHMPPSKSANNVTNFMGTAFLLALLGGFLSDAFFTTYHIYTISAVIEFLGLVILTIQARIHSLKPPKCDKFTTCEEVSGGKAAMLFTGLYVVALGIGGIKGSLAAHGGEQFDETTPNGRKQRSTFFNYFVFCLASGALIAVTFVVWIEDNKGWEWGFAISTISIFVSIIVFLAGSATYRNKIASGSPLTTILKVFVAASLNSCFGRNSISAVVRPSNVVVSLSSPHPGRKESEEETEQTSTEPEKPTHTLKFLNNAVACEPIHSSLECTVEQVEDVKIVLKVLPIFASTIILNCCLAQLNTFSVEQAATMNTKLGSLKVPPASLPIFPVVFIIILAPIYDHIIIPYARKATKSEMGISHLQRIGIGLVLSIIAMAVAAIVEVKRKNVATNSGLLDDATKQLPITFFWIAFQFLFLGSADLFTLAGLLEFLFSEAPIRMRSLATSLSWASLAIGYYLSSVIVSIVNAATGRSNHKPWLSGANINHFHLDRFYWLMCVLSGLNFLQYLFWAIKYKYRGTALGIGGIKGSLAAHGGEQFDETTPNGRKQRSTFFNYFVFCLASGALIAVTFVVWIEDNKGWEWGFAISTISIFVSIIVFLAGSATYRNKIASGSPLTTILKVFVAASLNSCFGRNSISAVVRPSNVVVSLSSPHPGRKESEEETEQTSTEPEKPTHTLKFLNNAVACEPIHSSLECTVEQVEDVKIVLKVLPIFASTIILNCCLAQLNTFSVEQAATMNTKLGSLKVPPASLPIFPVVFIIILAPIYDHIIIPYARKATKSEMGISHLQRIGIGLVLSIIAMAVAAIVEVKRKNVATNSGLLDDATKQLPITFFWIAFQFLFLGSADLFTLAGLLEFLFSEAPIRMRSLATSLSWASLAIGYYLSSVIVSIVNAATGRSNHKPWLSGANINHFHLDRFYWLMCVLSGLNFLQYLFWAIKYKYRGTGNNHGIV >OIW21983 pep supercontig:LupAngTanjil_v1.0:Scaffold_397_2:10932:12095:-1 gene:TanjilG_21162 transcript:OIW21983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSETIQNLSFQEIVPLNAGNVLVLEDNEPAAKWLSLINKSLNGHHSDLDSRGLELTAPFGGSLSFQKPSYKKIKKTFKKINGKRLKCCNCTLEMERQAAKDFCFRCKESKLKPDDSSTEEEDDIFPISDALATSEMKYSLLACKQMVGIFVCVWMRKELVQHVGHLRTCCISRGIMGCLGNKGCISVSMSFYQTSFCFICSHLASGEKEGDELRRNLDVIEILKNTQFPRNCKTSRMPDKILDHE >OIW21984 pep supercontig:LupAngTanjil_v1.0:Scaffold_397_8:43597:50214:-1 gene:TanjilG_21163 transcript:OIW21984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQPHSCSAPTQSSITIPKQTFTPKFIPLRRSSSISTFSFTLQPFSIRCRHPQFFEPKPFPSSPQAQRSTPPALAPASVGALPPRVYAGHSIYKGKAALTVNPRPPEFQSTESGAFKISREGYVLLQFAPEAGMRRYDWNKKQVFSLSMTEMGALISLGAKESLEFFHDPFQGKSDEGKVRKVLRVEPLMDGSGHLFNLSVQNTLENIDEKIFIPITKAELAVLSSLFNFIMPYLLGWHIFGNSIKPNISGRANNSNPKYGGDYEWNR >OIW20703 pep supercontig:LupAngTanjil_v1.0:Scaffold_39_107:5381:5797:1 gene:TanjilG_21036 transcript:OIW20703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKFQAMHKYFAVLFTLVACNDSLLTQARNIKPLNTDTTLITSSKIKLNSPMKPKYEVANFVYSKSDINAFRPTSPGDSPGIGHKSAEEGEDMKAMVVVQSPDVRVHVNEGTKNDFKPTNPGHSPGVGHAYQNRNGQN >OIW20704 pep supercontig:LupAngTanjil_v1.0:Scaffold_39_110:2650:2838:-1 gene:TanjilG_21037 transcript:OIW20704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAHGAPASLVTSIISFPIPLVLVDCRKEDESEWSSPFPGGCGSRFCGFDRRWFLLDSIEDV >OIW20705 pep supercontig:LupAngTanjil_v1.0:Scaffold_39_120:3489:3818:1 gene:TanjilG_21038 transcript:OIW20705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMGSLLEDFESMRVGKVLLHHVNEVIVVMNGAWWILHQKCYAVFDAAVVISVEGGELGSEFLIALNAGNGEITTETTFALSGYLMLLCLIPHSTIAILSFSLILSYTQ >OIW20706 pep supercontig:LupAngTanjil_v1.0:Scaffold_39_123:5945:13938:1 gene:TanjilG_21039 transcript:OIW20706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHFMLPKTPILRETPLLDLSSTSNSKPRSSRKHHRQLLKENHPPHSPSSEYHNLKLKSPLPPRPPSSNPLKRKLALDNDNALPGVPSDSGVKVVVRMRPLCLDKDEGDCIVHKTSSDSLSINDHSFTFDSVADTNATQALDIFELVGAPLVENCLAGFNSSVFAYGQTGSGKTYTMWGPANALSEDNLSNDQQGLAPRVFERLFTRIDEEQTNHSDKQLKYQCHCSFLEIYNEQITDLLDPNQRNLPIREDVKSGVYVENLTEEHVCTMKDVLWLLIKGLSNRRVGATSINSESSRSHTVFTCVVESCYKSTSDGISRFKTSNINLVDLAGSERQKLTGAAGDRLKEAGNINRSLSQLGNLINILAEVSQTGKQRHIPYRDSRLTFLLQESLGGNAKLAMVCAISPAQSCRSETFSTLRFAQRAKAIKNKAVVNETIHNDVNHLREVIRQLRDELHQVKANGYNPSNGNGGHSAAWIQRSLNLLQSSLNRPSTLPRVDDDGDEEMEIDEEGVEGHAVVFCNSNGGSSGFLAPDAPIDSPVAAMDCVSLVRCDSDPILKCPTPSVSPTISSSRKSPRTSSRLSPSVNNLHGESDLSLSTKTVDQKILSTNFPSQTTPNFVTKSENLAASIRHGLEIIDSHHRSAVLRKSSLRLSLRPSEPGLVFPVEKVDVGVQTFLDGNATEEDSVMFTCNKCKSRKQLDINEMVNSSIMQQLPVDCPGSSVKLKKQVLKAVEKVLAGSIRREMALEEFCAKQTYEIMLLNRLVQQYKHERECNAIIAQKRDDKFCHLESLIDGVLPTEEFLDEELVSLTHEHNLLKEKFEHHPDVLEMKIEFKRLQDKLQEYQNFFKLGEREVLMEEIQSLRNQLQCYVDSPSKPTIEQYPHLELTCSSEPTLATNLAAFSGLTEASMEANANPESSEDSAKLKIEQERNQCSEAESRWISLSEKLRAELEANRSLAEKRKQELDAERKCNEELNEAMQKAMQGHARLLEQFADLEEKHIQLLERHRRINDGIGDNIKKAASRAGVRGAESKFINALATEISALKAEREKERRILRDENRGLRSQLQDTAEAVQAAGELLVRLKEAEEGVITAQKRAMDAEQEAAKAYKQIDKLKTKHEKEISTLNELLTETCVPKETMQPTYDDTNMPHGINDQIEPFCNVEDNELGKLVEQSWFSGYDRCNI >OIW20707 pep supercontig:LupAngTanjil_v1.0:Scaffold_39_124:11614:14216:-1 gene:TanjilG_21040 transcript:OIW20707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTPVCSFSFFTLLLVTVSLSYGLATTSGNGFSIEEATVHDLQLTFHRNQLTSRQLVEFYFNKIHKLNPILKGVLEINPDALAQADKADQERSRNKAQGSFSWLHGIPILVKDNIATKDKMNTTAGSFALLGSVVPRDAGVVTKLRKAGAIILGKATLSEWSYFRSNRAPSGWSGRGGQGKNPYTMGDPCGSSSGSAISVASNLVTVSLGTETDGSILCPSDFNSVVGIKPTVGLTSRAGVVPISSRQDTVGPICRTVSDAAYVLETITGIDINDKATINASKYVPKGGYAQFLKKDGLRGKRLGSLRSLFDFGNDTFQRGTYELHLKTIRQRGAVLIENLMIDNIAEILSSTSEGIALNFEFKLSLNAYLKDLVASPVRNLADVIAFNKKHPKAEKLVEYGQDVMLEAQKTNGIGKTENQVLLNLKRLSQNGFEKLMIRKKLDAVVVPGPIFSSILAIGGYPGVIVPAGYEKGVPFGICFAGLKGSEPKLIEIAYSFEQATKIRKPPPLHKLKA >OIW20708 pep supercontig:LupAngTanjil_v1.0:Scaffold_39_127:4670:4924:1 gene:TanjilG_21041 transcript:OIW20708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKRQIEGHQGAFCCSREECSKRCSLVHHECRQMRQGHSVMMRQGHSVRMRHDVCLMHQDHSVKMRHGVCLMRQDHSIMLGLLD >OIW20709 pep supercontig:LupAngTanjil_v1.0:Scaffold_39_140:5328:5516:-1 gene:TanjilG_21043 transcript:OIW20709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRNEEIIILKKEHENEVNTEKQKFQGMEVTLKWLFKHNNPDLDNEALDAIMSNYVYPMRIV >OIW20710 pep supercontig:LupAngTanjil_v1.0:Scaffold_39_160:2475:4637:1 gene:TanjilG_21044 transcript:OIW20710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMGQEDEQSRILCELSALVYNMLRSPMDGGSPHRSLPEITPAGFASLLLGMSLALMVCGSVTFVIGFMLMPWVIGLVTVLYIAGVLNSISSFLYWLSGPRKDVPLPDWKLW >OIW20711 pep supercontig:LupAngTanjil_v1.0:Scaffold_39_169:14216:16707:-1 gene:TanjilG_21045 transcript:OIW20711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDADSVAKAFVEHYYSTFDNNRHALFGLYQDNSMLTFEGDKIQGAQAITTKLTSLPFQHCHHAISTVDSQPSGVNSGMLVFVSGSLQLAGEQNALKFNEDVLIAKKIKKIEMYSCDHSISWPWWDTGMYSRRWARTL >OIW20688 pep supercontig:LupAngTanjil_v1.0:Scaffold_39_17:34651:39503:-1 gene:TanjilG_21014 transcript:OIW20688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASISAKLFFSYACSLFFFFLFIAELRNVEAHKLQSLKNSSMAARAKEADELNELAAVANPEEVVSMVSTKIQNSTERRNLGFFSCGTGNPIDDCWRCDQNWHRNRKRLADCGIGFGRNAIGGRDGRFYIVTDPRDDDPINPRPGTLRYAVIQDKPLWIVFKRDMVIQLKQELIMNSFKTIDARGANVHIANGACITIQYVTNIIIHGLHIHDCKPTGNAMVRSSPSHFGWRTMADGDAISIFGSSHIWVDHNSLSKCADGLVDAVMGSTAITISNNHLTHHNEVILLGHSDSYTRDKLMQVTIAYNHFGEGLIQRMPRCRHGYFHVVNNDYTHWEMYAIGGSANPTINSQGNRYNAPLNPFAKEVTKRVETAASQWKGWNWRSEGDLLLNGAYFTPSGAGASASYARASSLGAKSSSMVGSMTSNAGALGCRRGHQC >OIW20687 pep supercontig:LupAngTanjil_v1.0:Scaffold_39_17:10090:21494:1 gene:TanjilG_21013 transcript:OIW20687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAARASGLVLSRPVTFVTGNAKKLEEVRAILGQTIPFQSLKLDLPELQGEPEDISKEKARLAAAQVNGPVLVEDTCLCFNSLKGLPGLNNLLMAYDDKSAYALCVFSFAVGPDSEPITFSGKTQGKIVLPRGPNEFGWDPIFQPNGYDQTYAEMPKEEKNKISHRSKSLALVKSHFAEVGYTFQINNE >OIW20712 pep supercontig:LupAngTanjil_v1.0:Scaffold_39_172:446:1666:1 gene:TanjilG_21046 transcript:OIW20712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFHTNGFGSITMGSNVRDLVALTNEALSISIIQKKSIIDTNIIRSALHRQTWDLRSQLRSVQDHGILFYQIGRAVSQNVLLSNCSIDPISIYMKKKSCNEGDSYLYKWYFELGTSMKKLTILLYLLSCSAGSVAQDLWSLPGPKEKNGITSYGLVENDSDLVHGLLEVEGALMGSSRTEKDCSQFDNDRVTLLLRPEPRNPLNMIQNGSCSIVDQRFIYEKYESEFEEGEGVLDSQQIEEDLFNHIVGAPRTWRPWGFLFDCIERPNELGFPYWVRSFQGKRIIYDEKDGLQENDSEFLQSQYQTRDRSSKEQGFFRISQFVWDPADPLFFLFKDQPFVSVFSHREFFADEEMSRGLLTSQTDLPTSIYKRWFIKNTQEKHFELLIHRQRWLRTNSSLSXLYRKAQ >OIW20714 pep supercontig:LupAngTanjil_v1.0:Scaffold_39_174:20685:21692:1 gene:TanjilG_21048 transcript:OIW20714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFSGSMDHSIKVWDLDTLQCKMTLNGHTDMVTSLICWDSFLLSSSSDCTIKIWVATEEGTIKVAYTHTEENGILALNGMSDAEGKPILFSSSADNSVRLYELPSFLERGRLFAKQVVRSIEIGPEGLFFTGDGTGLLMVWRWLEVPKVASS >OIW20713 pep supercontig:LupAngTanjil_v1.0:Scaffold_39_174:14210:16565:1 gene:TanjilG_21047 transcript:OIW20713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIKPVQRNEQHFGRKPCSYWLAGRCNRNPCRFLHSVTPSSSSYYTPQYNKPKNAYRYTRNPHSHYSDEKTTTNPKYNSKAVTVRETNKTGDEKSVTKKPSQTLCRYWVNDNCVKGDNCQNLHSWFTGDGFSTLAKLKEHKKVVTGITIPVGSDKLYSGSTDGIVRTWDCHTGQCTNVRNCGSEVNSLISEGPWIFVGLNNVVKAWNIQTSMEFTLDGPRGKVLAMVVGNDILFAAAQDGIISAWRGSSDANSPFELAASLSGHTKAIVCLTVGGKMLFSGSMDHSIKVWDLDTLQCKMTLNGHTDMVTSLICWDSFLLSSSSDCTIKIWVATEEGTIKVAYTHTEENGILALNGMSDAEGKPILFSSSADNSVRLYELPSFLERGRLFAKQVVRSIEIGPEGLFFTGDGTGLLMVWRWLEVPKVASS >OIW20715 pep supercontig:LupAngTanjil_v1.0:Scaffold_39_218:6348:11272:1 gene:TanjilG_21050 transcript:OIW20715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KTFNCFVVLSYALFFLTAYGLCSTNGIQNPPEEDDGCASFGKSYNLGFPDTIVGDTSLGYGSPIIHRSSESVCPDNHLFCFPSALSGFNLEDKSIKSASVGDSGSQYNGLCRVGLDQDIKQGSNISWLSDHGLFKLLSGGVASCSLNSRQRASDVPSLQTEGRNDDISCCGRSLLKQKTLPHSLSEHVEMSKSSSLDSSSSPSVRIDPNVLDWGQEYLYSPSVAFLTVTNKCNDSILHLYEPFSNDLQFYPCNFSEVSLGPGESALICFVFFPRCLGLSSADLILQTSAGGFIIEAKGYATESPFGIQPLLGLEISPGGRLSMNFILSNPFDETLFVEEITASVGHNFIETEAICGVNNFQVFDNIIFPTIKNLLVVKSSQIGSPMIAIKPHRNWEIDPHSSETLMDIDITVGLEGKIFGAFCLHLRRYAQDMSDTIVVPIEAEVDSHFGNNMAVVFVSAMLEGLAACEGGESVITISLRNYGPCVLSFVKAQVSDTELFRIKYMDGLLLFPGTVTQVGTIYCNLDMPPKVSNLQENCKLVILTNDSTIPQIEIMCEDILHVCFEHQRHLSVEVKQESKVIESGNMGASYEDKNRPSPQNVKVIETADLDELVLRNWMSQGTITGMSVLEDHEDLFPMVQVGSYVSRWITVKNPSQHPVMLQLILNPGEIIDECRGPNDLFCPSSSGLVLDEATTPAKYGFSVPDSAVTEAYLHPYDNLTLGPIIFYPSKLCGWTGSALIRNNLSGVEWIPLRGFGGLLSLVLLERSEHVNSINFDLKMPKTLNFSLPYAFFHMKDMASACSQPSMKELYAKNTGDLPLEVKTVRVSGSECGLDGFKIHACKGFVLQPGESTKLLISYQTDFSAAMVHRDLELALATGIFLIPMKASFPYDVQSNCKKSIFWMRVKKWVLGFLLVASLILLVFCFIFPLTVPFGNLDYSCKSDDNSIHTPTKCAGKSPLLPCNQRKSKLSMSGKMNNLFCSVEKDTTSTMQAPWSRYFYGRGQPSEHEISKHLIQTSESHRQSSHLLDTPDGIVSPPTAVQSSDTTKASSQPGNLMVKTGKEKSRRRKKKSLGAKLASSLSEVSSSHSGNSTPSSPLSPAVSASLKSNWPLSPDLVQPYLEAHRLITQVPAQNPYSHKASASTAKTNVLEPKVPVKLSSNKKVSSPQVPHSVSKKAATIPVKIPPPPCPLPDKPSTFSSVRTSTVTVVARAPGLMLEKQKDGVEAPKVGVADEYAYDIWGDHLSWIHLLVPKNVTCMKSIPAEKNFDSFFVKGPLTLVTNSQAG >OIW20717 pep supercontig:LupAngTanjil_v1.0:Scaffold_39_228:34810:52594:-1 gene:TanjilG_21052 transcript:OIW20717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWTTLQHLDLRHVGRGVMPLQPHAASFHPHQALVAVAIGNYIVEFDALTGSKISALDIGAPAVRMSYSPTSGHAVIAILQDCTIRSCDFDLEQTCVLHSPEKKTEQIFSDSEVHMALTPLQPVVFFGFHKRMSVTVVGTVEGGRAPAKVKTDLRKPIVNLACHPRLPVLYVAYAEGLIRAYNIHTYAVHYTLQLDNTIKLIGAGAFAFHPTLEWIFVGDLRGALVAWDVSTERPTMIGIIQVGSQPITSVSWLPMLRLLVTVSKDGSLHVWETRVTVNPSIPSAQASFFEPAAIESIDIPRILSQQGGEAVYPLPRIKALEFHPKSNLAALVFANVTSAETSKNKAAYSREGRKQLFAVLQSARGSSASVIKEKLSALGSSGVLAEHQLQAQLQEHHLKGQGHLTISDIARKAFLYSHFMEGHAKISPISRLPLITVLDTKHHLKDFPVYVPFHLELNFFNKANRVLHYPARAFYVDGLNLMAHNLSSGSDSIYKKLYNSIPRSVEYRAKYLILSKKQRVFLVVFEFSGATNEVVFYWENTDVQSGNSKSSTIKGRDAAFIGPNENQFAILDDDRTGLAIYTLPGGASQEAKDNDKVFEENQPAETTVGSIRGPTPFMFDTEVDRIFSTPLDLTLMFASHGNRIGLVKLIQGYHLTTSAADGHYISTNSEGKKSIKLKRNEIVLQVHWQETPRGYVAGVLTTHRVLIVSSLLWLGPALLFSTATAINVLGWDGKVRTILSINMPYAVLVGALNDRLLLANPTEINPRQKKGIEIKSCLVGLLEPLLIGFATMQLCFEQKLDLSEILYQITSRFDSLRITPRSLDILARGSPVCGDLAVSLSQSGPQFTQVMRGVYAVKALRFSTALSVLKDEFLRSRDYPRCPPTSHLFHRFRQLGYACIRFGQFDSAKETFEVISDYESMLDLFICHLNPSAMRRLAQKLEEEDVDSELRRYCERILRVRSTGWTQGIFANFAAESMVPKGSEWGGGNWEIKTPTTLKDIPQWALAAEVTPYMKTDDGTIPSIIVDHVGVYLGSIKGRGTTVEVREDSLVKAFIPAGNENRVNGLEASSVQSISNQSNVVGNPKGDSLMGLESLNKQLAISSTDEQARAEEEFKKSMYGAAADGSSSDEEGVSKTRKIQIKIRDKPIASSTVDVNKIKEATRQFRLGEGLAPPMRTRSQDIGQILSQPPTTSGVASTTISTPGDLFGTNFFTQPQPLSQPNSGVGGGGVKVGPIPEDFFQNTVPSLQVAASLPPAGTYLSKFATGVEISKAIPTQVNASEADSGLQAGVPPQTVRQSAVPVEFLGLPDGGVPPQSSGQAASMLQSQMQSPVMPQSQLQAAQAPISTQPLDLSVLGVPNSADSGKPPQTGSQPVSVHPGQVPRGAAASVCFKTGLAHLEQNQLSDALSCFDEAFLALAKEQSRGSDIKAQATICAQYKIAVTLLRRGLTNKSIDPLEDPSHLCAATLSRLSTIGYDVCDLCGAKFSAVTAPGCIVCGMGSIKRSDALAGPGPVPSPFG >OIW20716 pep supercontig:LupAngTanjil_v1.0:Scaffold_39_228:19771:25613:1 gene:TanjilG_21051 transcript:OIW20716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQKTVKNGRRSNRERKMALIQDVDKLKRKLRHEENVHRALERAFTRPLGALPRLPPYLPPYTLELLAEVAVLEEEVVRLEEQVVNFRQGLYQEAVYISSKRNADNLNDPMDQNTIRISKHQRSKSMSQSEFNSITMARPQPSLARSASSRKLLFSSDIDADHTGKLVNGKQLHRKQDSLSSIPEEGRGKENQSFSNFVKDKKSPEKKTVKVITPVKKSPLKQESAEKCMDHLKLQMDRKLADHERAQSSTSSSDDRVLEIDSTPNRVSENMVNCLCSIFVRIGTSKDKFGESKTPSRFTSAFDKCSKEKNQFCDPYGICSESKTRDVGQYKNLTEVNAFTVDLNRTTNAVFLMHRLKFLLGKLASLNLKGLSHQEKLAFWINTYNSCMLNAYLEQGIPETPEMVVALMQKATIVVGGQMLNAITIEHFILRLPYHLKFTCPKAAKNEEVKARSIFGLEWSEPLVTFALSCGSWSSPAVRVYTASQIDDELEAAKRDYLQATVGFTKTNKLIIPKLLDWYLLDFAKDLGSLLDWVCLQLPNEIRKEAVVCIERKRRDSLSQLVQMMPYDFSFRLLLHQ >OIW20689 pep supercontig:LupAngTanjil_v1.0:Scaffold_39_35:22193:27037:1 gene:TanjilG_21015 transcript:OIW20689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQYKNLGSSGLRVSQLSYGAWVSFGNQLDVKEAKSLMQCCRDLGVNFFDNAEVYANGRAEEIMGQAIRELGWKRSDLVISTKIFWGGQGPNDKGLSRKHIIEGTKASLKRLDMEYVDVIYCHRPDTSTPVEETVRAMNYVIDKGWAFYWGTSEWTAQQITEAWSVAQRLDLVGPIVEQPEYNLLSRHKVESEFLPLYNNYGIGLTTWSPLASGVLTGKYKKGAIPPDSRFALENYKNLATRSLVDDVLKKVDGLKPIADELNVPLSQLAIAWCANNPNVSSVICGATKESQIQENMKAIDVIPLLTPNVMEKIEAVVQSKPKRPDSYR >OIW20691 pep supercontig:LupAngTanjil_v1.0:Scaffold_39_36:40888:43226:-1 gene:TanjilG_21017 transcript:OIW20691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGIEISAYAHSSVHKAIILKDYSGLKEILGGLPKLSNPYEIKTEAASIAEDEKAAVISAVVNRRDVPNGDTPLHLAAKLGDLVATEMLMVAGANDSLKNKQGWSALREAIIDKQDKIAMIMIKYSWNDYDEKWHRRLPRYIGTMRRMRDFYMEITFHFESSVIPFISRIAPSDTYKIWKRGGNMRADMTLAGFDGLKIKRSDQSILFLGDDLDDKKKIPGSLCMVSHKKKEVLVASSSAEAAKPTDREVRQSFVRKSKSKIVRVGIDVSQALLVPQVTWRRKERKEMVGPWKAKVYDMQNVILSVTSKAIPGAAPEGKVSSNDSKKDNGEVDTITAEERKQMEAAMNSSTHENDHKNNVKDEKKGQSGGPKEKHHHKGKHGNAPSLSSASSSNHRDENGDTEFKKGMMPVLWLSPNFPLKIEELLPLFDILAEKVKAIRRLRELLTTKLPKDTFPVKVALPVVSTVRVIVTFTKFEELQHVDEFESAPSSPTGAGHEQQEEAHSSSSSWFHWMKSSPHSSASASESCRIDESHDLFAIPPDYKWINMDTKFKSYEKAKPKAQKS >OIW20690 pep supercontig:LupAngTanjil_v1.0:Scaffold_39_36:8077:12877:1 gene:TanjilG_21016 transcript:OIW20690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKAMNRQKVLLHHLNPSSSSLYANESSSSLSASACLAGDSAAYHRTSAFGDDVVIVAAYRTALCKAKRGGFKDTHADDLLAPVLKAVVERTNLNPSEVGDIIVGTVLAPGSQRATECRMAAFYAGFPETVPIRTVNRQCSSGLQAVADVAAAIKAGFYDIGIGAGLESMTANPMAWEGSVNPKVELFEQAQNCLLPMGITSENVAQRFGVSRKEQDQAAVESHRRAAAATAAGRFKDEIVPVSTKIVDPKTGEEKSVTISVDDGIRPNASLADLAKLKTVFKRDGTTTAGNSSQVTDGAGAVLLMKRSVAIQKGLPILGVFRTFTAVGVDPAVMGIGPAAAIPVAVKAAGLELDDIDLFEINEAFASQFVYCRNKLGLDPAKINVNGGAMAIGHPLGATGARCVATLLHEMKRRGKDSRFGVISMCIGSGMGAAAVFERGDSVDELRNARKVEANLFLSKDAK >OIW20692 pep supercontig:LupAngTanjil_v1.0:Scaffold_39_45:30626:37041:1 gene:TanjilG_21020 transcript:OIW20692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDTKQLVSLPPLFQETFKKILEILDNAHKSKSTRRVLRSTLWELNPLLQEIKKYNENLNPPREEIKTLIKEKDAGRDGLRRCWSRIWCKKEDSINGDDKQALVMANDVKQTLIKVREILQVLNGENFDEKFNGGATKGPCSVPDNPEFCVGLDEMLWKLKMEVLKDGVSILELTGLAGSGKTTLATKLCWDKQVKGKFGGNILFVTFSKTPKLEIIVERLFEQCGYRVPEFQSNEDAIHQLGFLLRKIGQSPTLLVLDDVWPDSEPLVDKFKFQMADYKILVTSRVAFPKYGTPYILKPLRHEDAVTLFHHVAHFGGSKSNIPDEDLVQKVVRGCKGSPLAIKVISRSLTHQPYMFWQNMVQELSQGHSVVDSSNEILTCFQMILDVLEDESIIKECFMDLGLFPEDQRIPVSALIDMWIECHGLDHDGKEAMAIINKLDSMNLAHVLVARKNASDTDSCRYNNHYIIQHDLLRELAIYQSTKEPLKQRKRLIIDINEHQREWWLDEKRQGMIAHMLSKFLRFCVKPKPLRVLAQSLSISTDETDTSDWSNFEAPEAEILIFNLQTKEYSFPDFMEKMSKLEVLIVTNYGFHPSQLDNFKLLSSLSNLKRIRLERISVPSLVTLKNLKTLSIYMCNMNQAFESDPSPISYRLPNLVELSIDYCKDMVELPIGICNITFLRKLNITNCHKLSALPTKIGNLENLEVLRLSSCTDLEGMPDSIGSLSKLTLIDISNCVSLSRLPEDVGDMYNLRNLYMTNCVMCELPYSVINFENLKVICDEETAASWEALNPNLNIEIPQVDVNLNWLLH >OIW20694 pep supercontig:LupAngTanjil_v1.0:Scaffold_39_59:24689:24973:1 gene:TanjilG_21027 transcript:OIW20694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLFPRKATRSQTEEQQLDSLESDSQIQERKEDQTLTQPLLSSSSTNVLGGYDDNDGSSEVAMLLAMGDGAVKKKRRLKREDFKLTEALVKAYF >OIW20693 pep supercontig:LupAngTanjil_v1.0:Scaffold_39_59:13663:15453:1 gene:TanjilG_21026 transcript:OIW20693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRSFVKCDDPKGVVECGKLRKYRICSQKVKDMVKIQKPVENASLINKTNKEEKVPKGSIEKFFDPSSLPFAQVSGGDQNLNNMIDSRSSILRKEERRSEDFAKKLLKGALVLQDSLVMLAKLQDDSEEMACLNRELAEIPKRDRIETNMIGTTDANQFSDQSDYPMACQRPQPSDDGSSSNCEEKVKKVFKESSVWQNLFQSTTIERLDSASETPTSPSHFSAKVRIDRSSDLSNCLTISKVERKPGLVAKLMGLEEAPSRSCPFVMQKQLDGEKILNQKRPTFELDMFKLRKNSSMLEKANPERKTLRETLETMHFKGILKKSFVKEPMLQIHHFNSPSSKRFDDLTHIVPPFQESVKPHVPYPPEGLSRRKLKADLVSSKTIKPRKGSGSNNMGNEMEKDVSKRLTKEAMKLNAKGINTVEGERSSCKVKLHCQVSHISQLNETSDIKCKVQNISRKLPENDISEPRIVASPQLKKHMKNQSPIAESEEAKQIAEQIEQGEVKKSFDDCCKDEYTDIRISTPVSVSVADEFLMQFETYASAIKIGGNFL >OIW20695 pep supercontig:LupAngTanjil_v1.0:Scaffold_39_66:7230:9237:-1 gene:TanjilG_21028 transcript:OIW20695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPKKTSSTTPAETINQSGCLICKGKNSCQTVHSRTKLMHTFIAKGKPQEARAIFNSLTEEGHRPTLITYTTLVAALSRQKCFKSIPSVLSKVEENGMKPDSILFNAVINAFSDSGKVDEAMKIFYKMKERGCKPTTSTFNTLIKGFGIAGRPYESMKLLQMMGQEEKVKPNERTYNILIQAFCTKKKLEEAWSVLHKMVASGLQPDVVTYNTLAQAYAQNGETEKAEKLILKMQYNKVKANERTCGIIISGYCKEGNMTDALRFLYKMKELGVHPNPVVFNSLIKGYLDTTDTDGVDEALTLMEEFGIKPDVVTFSTIMNAWSSAGLMQNCEEIFNDMGKAGIEPDIHAYSILAKGYVRSGQPQKAEELLTSMGKYGVQPNVVIFTTIISGWCAAGKMDHARRLYEKMHEMGISSNLKTYGTLIWGYGEAKQPWKAEELLVTMEERGVSPEMATMQLVADAWRAIGLHTEANRIINDSQEESELDQNFENDKTPVSSRLEGYQIQKPSASHSNLLQIPEEMVAHPERTSNANLRSQKIIKTSDNTRNATTSMFFVRTGSYGVQPLIVCRHHIQNQIIRPFLLDYCRVVSVHC >OIW20696 pep supercontig:LupAngTanjil_v1.0:Scaffold_39_72:8066:8953:1 gene:TanjilG_21029 transcript:OIW20696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENQNHIKLRISRMFRSSFGSCRTRNFTDVMEKAVFTPPQNHRATLHQLLRDHPSPKPRPFPSICKSQTINDECIMSFKNSHPRSKISQCLSPFAHNNDNLSEGSCPLPSPNTFFNDTVFGFEEKAKNSERNIRNKKKKKKKVHTQKRREIFPFNSCAKSTNFGDYYCFSSEEDDETDTLFSSKSLSSDSSRSRRRHRKQISCHGKNDQSSEMGILPLPGIGKVKDTFAVVKRSSDPYNDFRTSMVEMIIEKQIFSPRDLQNLLQCFLSLNSWHHHKTIVEVFTEIWKALFSEWL >OIW20697 pep supercontig:LupAngTanjil_v1.0:Scaffold_39_79:2101:31173:1 gene:TanjilG_21030 transcript:OIW20697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMMELRSVSDFFRGKTILVTGATGFLAKVFVEKILRVQPDIKKLYLLVRASDPYLATQRLHNEVFKKDLFRVLQDKWGANFDSFISKKVVAVAGDVSRDMLGFIDVKLSEEMLKEINIIVNSAATTNLDERFDVAMGTNTVGAFNVLNFAKSCQKIEIILHVSTAYVCGQGEGLIAEEPIHMGQTLNKCRRLDINLEKQLIQEKLSELRAQNANEETITKTMKKFGMIRTMDFLIAAYCQGTLTSFVGHSDTVLDTIPADMVVNSMFIALLAHSKNHFKNLIYHSASSLRNPFKFSDLQDIMYSYFTKNPWVDQYGKPVVVTKKLTLCSTSMDELPLNKFDDQNAEKLRIATKGVQGMNEEFNFDPRDVNWKDYMMNIHLPGLVKYSIRSKM >OIW20698 pep supercontig:LupAngTanjil_v1.0:Scaffold_39_85:4938:14446:1 gene:TanjilG_21031 transcript:OIW20698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKVAVGAAVVTAAAVCAAAALVVRHRMKCSGKWARAMAIVKDLEEKCVTPIVKLRQVGDAMDVEMHAGLASEGGSKLKMLISYVDNLPTGDEEGLFYALDLGGTNFRVLRVHLGGKEKGVINQEFEEVSIPPHLMTGSSDGLFDFIASALAKFVASEPDGFLPPNGRQRELGFTFSFPVMQTSISSGTLIKWTKGFNIEDAVGEDVVAELTKSMERIGLDMRVAALVNDTIGTLAGGRFYHQDVIAAVILGTGTNAAYVERSHAIPKWHGLHPKSGEMVINMEWGNFRSSHLPLTEYDQALDAESLNPGEQIFEKLISGMYLGDIVRRALLKMAEEAEFFGDTVPPKLRVPFILRTPDMSAMHHDTSPDLKVVGNKLKDILEISNTSVKTRKVVVELCNIVASRGAHLAAAGILGILKKIGRDTVKAGENTKSVIALDGGLFEHYTKFRTCLESTLKELLGDEAADTLVIEHSNDGSGIGAALLAASHSQYLGVEES >OIW20699 pep supercontig:LupAngTanjil_v1.0:Scaffold_39_88:11091:13394:-1 gene:TanjilG_21032 transcript:OIW20699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWQTYVDEHLLCDIEGNQLTSAAILGQDGSVWAQSTNFPQFKPEEIAAIVNDFAEPGSLAPTGLYLGGTKYMVIQGEPGAVIRGKKGPGGVTVKKTNLALIIGVYDEPMTPGQCNVVVERLGDYLVDQGL >OIW20701 pep supercontig:LupAngTanjil_v1.0:Scaffold_39_94:18331:18765:1 gene:TanjilG_21034 transcript:OIW20701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHSAYDSFELLTDSPSKIESIESYGSKILLGCSDGSLRIYAPSQPPPLSQPDELRKEPYALERCVSGFAKKPLISMQVVESRELLLSLSESIALHRLPNFEAVAVITKAKGAHVFCWDEERGFLCFARQKRVCIFRHEGNSFL >OIW20702 pep supercontig:LupAngTanjil_v1.0:Scaffold_39_94:23653:34381:1 gene:TanjilG_21035 transcript:OIW20702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLFCEGGRGFVEVKDFGVPDVVKSMCWCGDNICFGIRKEYLILNAANGTLSEVFTSGRLAPPLVVPVPSGELLLGKIRSLRDPYSLIQTVVLRNVRHLRQSNNSVILALDNSIHGLFPVPLGAQIVQLTASGNFEEALSLCKLLPPEDSSLRAAKESSIHIRYAHYLFDNGSYEEAMEHFLASQVDITYVLSLYPSIILPKTTILHDPEKLMDNYGDALYLSRGSSGVSDDMESSPGSHMSEPDENAALESKKMSYNMLIALIKFLQKKRYSVIEKATAEGTEEVVLDAVGDNISSYNSSRFKKINKGGGSVPISSRAREMASTLDTALLQAFLLTGQTSATEELLTGLNYCDLKVCEEILQEGSYYVALLELYSCNSMHREALELVHKLVEESKSGQSEIAHRFKPEAIIDYLKPLCGTDPLVVLEFSMLVLECCPTQTIDLFLSGNIPADMVNSYLKQHAPNMQATYLELMLAMNEDAISGNLQSEMVDIYLSEVLDWQADLSAQGKWDEKAYSPKRKKLLSALDNIPGYNPEALLKRLPLDALYEERAILSGKMNQHELALSLYVHKLHVPELALSYCDRVYEYMLKPSGKTPSNIYLLLLQIYMNPQRTTKSLEKRITNLLSPQKSMISRVVSSKKLLKTRSRGSKKIAAIEFAEDTKASLSSTDSGKSDAEEFIEEGGTSIMHDEVLDLLSRRWDRINGAQALKLLPRETKLQNLIPFLGPLLRKSSEMYRNGSVVKSLRQSENLQVKDELYNKRKTVVKITGDNMCSLCNKKIGASVFAVYPNGKTLVHFNCFRDSQSMKAVAKGSPLRKPF >OIW20700 pep supercontig:LupAngTanjil_v1.0:Scaffold_39_94:1332:4486:-1 gene:TanjilG_21033 transcript:OIW20700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWQTYVDEHLMCDIDGTGHHLSASAIIGLDGSVWAQSSSFPQFKSQEINDIIKDFDEPGHLAPTGLHLAGTKYMVIQGEPGAVIRGKKGSGGVTIKKTGQALVFGVYEEPVTPGQCNMVVERLGDYLIDQGL >OIW19299 pep supercontig:LupAngTanjil_v1.0:Scaffold_3_107:4312:7386:-1 gene:TanjilG_16837 transcript:OIW19299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHKEKSKERKEKRLQEISLLRTIPYADHQRWWSKETIAVITGGNRGIGFEISRQLADHGVTVILTSRDASVGVESIKVLQEGGMEHVACHQLDILDSSSINQFCEWLKENYGGLDILVNNAGVNFNHGSHHNSVENARNVIETNYFGTKSMTEAMIPLMKPSSHGGRIVNVSSRLGRLNGKRNRIENEALREQLSDVESLSEEVIDGAVSAFLQQVEDGTWASEGWPQTFTDYSVSKLAVNAYTRFMAKKLSERGEDQKIYINSYCPGWVKTALTGYAGSVTVEEGADTGVWLALLPDQAITGKFFAERREINF >OIW19305 pep supercontig:LupAngTanjil_v1.0:Scaffold_3_115:21383:30493:-1 gene:TanjilG_16839 transcript:OIW19305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METDKVGVCSSPSDLHPHESNIELIGSERVSHSGPLNKRVSRKSSKFNSSASTSAIDYSHNNTKSHNKEQQDDEEQDYVEVTMDIQGDSVSLHSVKPAAAASNENIGEDENLILLGKGMEKKRSFGASVVRSASIRMKQVSQELKRFASLSKNAAPSRVAHFDRNKSAAVHALKGLKFFTKTDGGAGWVQVEKQFDILTASSDGYLHRSLFAKCIGMNKESEAFAGELFDALSRRREIQGDSINKAQLKDFWDQISDQSFDSRLRTFFDMVDKDADGRITEEEIKEIICLSATTNKLSNLQKQAEEYAALIMEELDPDDTGFIMLNNLEMLLLHGPSHSTRGDSKYLSQMLSTKLKPTYIENPIKRWYRETMYFLKDNWQRSWVLLLWIGVMLGLFAYKFVQYRRKAAYEVMGHCVCMAKGAAETLKLNMAIILLPVCRNTITWLRNKTKLGIAVPFDDNLNFHKVIAVAVAIAVGIHGIYHLACDFPRLLHADSEKYKLMQPFFGDQPQNYWFFVKSWEGVTGIIMVVLMAIAFTLASPWFRRGRVKLPKPLDSLTGFNAFWYSHHLFIIVYALLIVHGIKLYLSKEWYKKTTWMYLAIPIIIYALERLIRALRSTIKPVRILKVAVYPGNVLALHMSKPQGFRYKSGQYMFVNCAAVSPFEWHPFSITSAPGDDYLSVHIRTLGDWTRSLRAKFSEVCQPPNNGKSGLLRAECLPGVNSPSTLPKVLIDGPYGAPAQDYKQYEVVLLVGLGIGATPMISILKDIVNNFKAMEENEGSTIEEGVSNKSPRSTPQHKKSNLNNFKTRKAYFYWITREQGSFDWFKGVMNEVAEDDHRGVIELHNYCTSVYEEGDARSALIAMLQSLNHAKNGVDIVSGTRVKSHFAKPNWRSVYKRIALNHSQARVGVFYCGPPALTKELRQLSSDFSRNTTTKYDFHKENF >OIW19304 pep supercontig:LupAngTanjil_v1.0:Scaffold_3_115:1883:7989:-1 gene:TanjilG_16838 transcript:OIW19304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGATGWYKGRVKAVPSGDCLVIVAIASSKPGPLPEKTITLSSLIAPRLARRNGVDEAFAWESREFLRKLTIGKEVTFKVDYNVPAISRDFGTVFVGDKNVALLVVSHGWAKVREQGQQKGEVSPYLAELLRLEEQAKQEGLGRWNKDPGAGEASIRNLPPSAIGDSSNFDAMGLLAANKGAPMEAIVEQVRDGSTIRVYLLPEFQFVQVFVAGIQAPSMGRRAVSESIVESEVTADATNGNTPGEPRAPLTSAQKLAASASSETTAADPFAPDAKFFTELRLLNRDVRIVLEGVDKFNNLIGSVYYPDGESAKDLALELVESGLAKYVEWSANMMEEEAKRKLKAAELQAKKTRLRIWTNYVQPPSNSKAIHDQNFTGKVVEVVSGDCVIVADDSVPFGSPLAERRVNLSSIRCPKIGNPRRDEKPAPYAREAKEFLRTRLIGRQVNVQMEYSRKIVPTEGSAVPSGAADSRVMDFGSIFQLSPVKGDGDNAPSSVPPAGSQQTGVNIAELIVARGLGTVIRHRDFEERSNYYDALLAAESRATSGRKGIHSGKDSPASHITDLITASAKKAKDFLPFLHRSRRTSAVVEYVLSGHRFKLLIPKETCSIAFSFSGVRCPARGEPYSEEAIALMRRKILQRDVEIEVETVDRTGTFLGSLWESRTNVAITLLEAGLAKLQTSFGNDRIPDIHLLQQAEQSAKKQKLKIWENYVEGEEVSNGAAVENKQQEVLKVIVTEVLGGGKFYVQTIGDQKIASIQNQLASLNLKEAPVLGAFNPKKGDIVLSHFLADNSWYRALIVNTPRGPVESPKDKFEVFYIDYGNQEEVAYSQLRPLDPSVSAAPGLAQLCSLAYIKLPNLEEDYGQEAAEYLSEITLNSGKEFGAKVEERDTTGGKVKGQGTGTVLAVTLVAVDSDISVNALILQEGLARIEKRNRWDKRERKLALDNLENFQEEAKTTRRGIWQYGDIQSDDEDTAPPARKAR >OIW19306 pep supercontig:LupAngTanjil_v1.0:Scaffold_3_119:12376:18617:1 gene:TanjilG_16840 transcript:OIW19306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRKRRFFTVSALFERFTERSIKAIMFSQREAKSLGSDLVYTQHLLLGLISEEDRSLDGFLSSGVTIDKARDAVRSIWHQNDNLTRGDGDDDGRKSYVSATHIPFSISSKRVFEAAVEYSKSLGHKFIAPEHILVALVKVDDGSATRVLYRLGTNASQMAVVAFSRLQKEIAKDGREPNMGSNGVHNKSVSRKGSDAGSSATTKEMSALSQFCVDLTERASEGLIDPVIGREVEVQRIIQILGRKTKSNPILLGESGVGKTAIAEGLAIHIARADIAPFLLTKRIMSLDVAMLMAGAKERGELEERVTKLIKEIIKSGDVILFIDEVHTLVQSGTSGKGNKGSGLDISNLLKPALGRGQFQCIASTTMDEYRLHFEKDKALARRFQPVWVDEPIKILMGLREKYEAHHKCRFTEDAIKAAVNLSARYICDRYLPDKAIDLIDEAGSRAHIDNFKRKKEQDNCVLLKSPTDYWREIRGVQAMHEMESKLKYYGTSSIDDTSELIVDSYLPSEANDNEPVLVGPEDIAAVASIWSGIPVQQLSVDQRTLLLDLNNQLQKRVIGQDEAVLAISRAVKRSRVGLKDPDRPIAAMLFCGPTGVGKTELAKSLAACYFGSEAAMIRLDMSEYMERHTVSKLIGSPPGYVGYGEGGILTEAIRRKPFTLLLLDEIEKAHPDIFNILLQLLEDGQLTDSQGRKVSFKNALVVMTSNVGSSAISKGRHNSIGFLISDDKSTSYNGMKSMVMEELRTYFRPELLNRIDEVVVFQPLEKSQLLQILDMLLQDLKKRVMTLGIDLKVSESVKNLVCQQGYNPTYGARPLRRAITSIIEDPLSEAFLVGKCKQGDTVLIDLDSNGNPIVTNNIDQIVNLSDTSHPCN >OIW19313 pep supercontig:LupAngTanjil_v1.0:Scaffold_3_147:9123:10010:1 gene:TanjilG_16841 transcript:OIW19313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTTRVALYLTFAFFFYVTQGAKVTFTNRCSYTVWPGTLTGDQKPQLSTTGFELASGASNSVDLPTPWSGRFWARTGCSNSNGKFSCATADCASGLVECNGAGAIPPATLIEITVAENGGQDFYDVSNVDGFNVPMSVSPQGGSGECKTSSCPANINAVCPTELQLKGSDGSVIACKSACLAFNQPQYCCTEDHNTAATCPPTNYSQIFEQQCPDAYSYAYDDKSSTFTCNARPDYAITFCP >OIW19323 pep supercontig:LupAngTanjil_v1.0:Scaffold_3_149:6183:7382:1 gene:TanjilG_16842 transcript:OIW19323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLRGGPIDLIVRKEPLVFEAPPECSEFLKDVLVGELIRYEDVDKVQGVSKVRLEEPIEEGSMQSKAMEIHIGMLRFKSTSIVVESDCVLDSSVKVDGEEDGILVDDNAGTKNIVFVLVAHMENEEKLGEGVVDSDVNKMEKVIVSGDHQQNHELEAQFLAEEEEVGSLQDPDCNFLPKSEAPVMDLFEEPLTEANEEG >OIW19330 pep supercontig:LupAngTanjil_v1.0:Scaffold_3_156:5998:10579:-1 gene:TanjilG_16844 transcript:OIW19330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARRVDAVLLTDSNALLSGILTDKDIATRVIAEGLPLEETTVSKVMTQNPIYVTSDTLAIEALQKMVQGKFRHLPVVENGEVIAVLDIIKCLYDAISRMEKTVQRGSAIAAAAVDCGSNGSAPNAFIETLRERIFKPSLTTIVGENTKVAIVSASDPVCVAAQKMLELHVNSVMIVKETDILGIITSKDILMRVVAQNLSPGLTLVEKVMTPNPECASLETTILDALHMMHDGKFLHLPVLDKDGYIAACVDVLQITHAAISLVESSSGADNGMANTIMQQFWDSAFSLEPLEDYDTHSEISGLLSLDGADTTKSMCKSVGFGSSFAFKFEDLNGRVHRINCGEHLDELISAIMQRVGDVNNGEHPIILYEDDEGDKIVIANDNDLVAAVSCARSAGQKALKLSLEFAGLAKPIIPEYGTFTRQKISELSQYSGIFAGVVVLTSIGVLVCLKRSKQ >OIW19332 pep supercontig:LupAngTanjil_v1.0:Scaffold_3_156:30980:32615:1 gene:TanjilG_16846 transcript:OIW19332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMISMKPFFLPLILFFTTIFLMLLNRVNSSDALSFSYNDFDLDEQNLIFQGDAHITSGNVLQLTKTDSKGAPQRNTVGRVLFSSPMRLYIKGADRVSDFESNINFVLTKPSTKPADGLAFFIAPIKSTIPKHSNGGYLGLFDQTTAFDSTANPVVAVEFDTYHNPWDPKYAHIGINVNSINSSAHVKWERKEGEVFNVRIAYNALFRNLSVASHYSGGENYTLSYLVDLPSVLPEWVNVGITAASGRQVQVHSITSWSFNSGLDTIA >OIW19329 pep supercontig:LupAngTanjil_v1.0:Scaffold_3_156:1342:3415:1 gene:TanjilG_16843 transcript:OIW19329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTACFIIVSRNDIPIYEAEVGVAAKREDAAQLHQFILHAALDVVQDLAWTTSAMYLKSVDRFNELVVSVYVTAGHILFTIRLALLLSVEVVVANCLPDLAVKINIQIQTLLNPLYLPGSRITSSHFDTKVRALARKYL >OIW19331 pep supercontig:LupAngTanjil_v1.0:Scaffold_3_156:22705:23697:1 gene:TanjilG_16845 transcript:OIW19331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVSSVNSLDSLFFSFTEFELDEQDLIFQGDSHLKSLWPFIGNELQLTKTDGQGAPQKNTIGRVLYSAPFRLWQKRTGKVSNFEAQMGFELKKPSSKPGDGFAFFIAPIESTIPENTKGGYLGLFNDTTAFNSSATTPIVAVEFDTYFYDAWDPSYPHIGINVNSINSSTHVKWNREAEETLDIDIKYDPHGRNLSVVAFGFDGVYAVSYKVDLPSVLPEWVRVGITGASGDDGVEVHNVYSWNFSSHLESIE >OIW19333 pep supercontig:LupAngTanjil_v1.0:Scaffold_3_158:5780:13716:1 gene:TanjilG_16847 transcript:OIW19333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDGSSNRTNSNSNKPEWLQQYDLIGKIGEGTYGLVFLARIKSQANRGKSIAIKKFKQSKDGDGVSPTAIREIMLLREITHENVVKLVNVHINHTDMSLYLAFDYAEHDLYEIIRHHRDKVNHSINQYTVKSLLWQLLNGLNYLHSNWIIHRDLKPSNILVMGDGEEHGVVKIADFGLARIYQAPLKPLSENGVVVTIWYRAPELLLGAKHYTSAVDMWAVGCIFAELLTLKPLFQGAEVKGTPNPFQLDQLDKIFKVLGHPTLDKWPSLANLPHWQQDVQHIQGHKYDNTSLCNVVHLSPKSPAYDLLSKMLEYDPRKRITAAQALEHEYFKMEPQPGRNTLVPCQPGETFVNYPTRPVDTTTDFEGTANLQPPLPVSSGAGSMPGGHGSNRAVPRPMNVVGMQRMPHQPMQAYNLASQAGMGPGMNPGGIPMQRGVPQAHQQQQLRRKDQMGMPGYPPQQKSRRI >OIW19334 pep supercontig:LupAngTanjil_v1.0:Scaffold_3_158:15302:16699:-1 gene:TanjilG_16848 transcript:OIW19334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKTQSLKIYFLPFFAQGHLIPLVHLARLVASQDQKVTIITTPSNAKLFQNIIEDDKLSGHHIHVHIIKFPSTQLGLPAAVENLVSATDNLTAGKIAMAAYLIQPELEAFLKNNPPDIFIPDIMFTWSGASAKNLGIPRLVFNPISIFDVCMIHAIKTHPEAFDDYSDGYYKIPGLPHPLTLPIKPSPGFARITESLLDGEKDSHGVIVNSFADLDVEYTQYYENLTGRKVWHIGPTSLMVKKRVVDENQKHECLTWLNSKEKNSVLYICFGSQHRLSDDQLLEMANGLEASGHQFLWVVKNEEKLLEFEEKMKEDDKGMLINGWAPQPLILNHPAVGGFLTHCGWNSVVEAISSGVPMITMPGNGDQYYNEKLVTEVHGFGVEVGAAEWSLSPFDAKKKVVSKELIEKAVKRLMDDGEEGLKIRRKAKEMKDKAWKAAHEGGSSHENLTALIDHLRNLLPSGAT >OIW19384 pep supercontig:LupAngTanjil_v1.0:Scaffold_3_161:8102:8992:-1 gene:TanjilG_16849 transcript:OIW19384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPLKLIRSLVLGETIYNNPSHLLTQNHHHHHHDSNDDDNEVEVANEIEHHHHHSNSKTPAILFLPTKEIIIDTYKLATIARHLGFDLHPTPSLSHIIFSNPTSSKSTSTSSSSSSTTTPSTSSFSSSYSPSLLNDAIPIPFPSLSTAPLTHLRFLVNLAPRAFKLVFFNHRAAAASHGTIWDCCALSLYSRVSGNRIDSMEGFCQALAGKGWIFYKSKKKPSVNWRHSGGGGGEVYLFRKVDVNRVWVGRVNRVEGPDGACRMRELRLPHLDFGNAPLRILQYILLMTDDIFFLA >OIW19151 pep supercontig:LupAngTanjil_v1.0:Scaffold_3_2:17175:26089:1 gene:TanjilG_16809 transcript:OIW19151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSPSSSSVTSDKIITVKPAPSLVDSLRGCGISSVRVDKEELRQNMTMPEYLRFAIRDCIRFKDTIVCKSHCIGGDSVHGDDEKAVAPKTPMVVFINPRSGGRHGPVLKERLQHLMSEEQVFDLLEVKPHEFIRYGLGCLDTLAGLGDTCAKETRERIRIVVAGGDGSVGWVLGCLTELHTQGLEPVPPVAIIPLGTGNDLSRSFGWGGSFPFSWKAAIKRTLYKASIGPICRLDSWKISLSMAEGTTVEPPYSLKQTEEYSLDESLEVEGELPEKVICYEGVFYNYFSIGMDAQVAYGFHHLRNEKPYLAQGPIANKIIYSSYTCTQGWFLSPCSGDPSLRGLKNILRMHVKRFNSSEWEQVPVPTSVRAVVVLNLHSYGSGRNPWGNLKPEYLEKRGFVEAQVDDGLLEIFGLKQGWHASFVMVELISAKHIAQATAIRLEVRGGEWKDAYMQMDGEPWKQPLNKDYSTFVEIKREPFQSYMVNGE >OIW19385 pep supercontig:LupAngTanjil_v1.0:Scaffold_3_246:17444:18142:1 gene:TanjilG_16858 transcript:OIW19385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRSKSCRVDLLHEPYFNTPTKLSLLNSRPIFMPPEEASPPQTEVSVPFMWEEAPGKPRRCHTQSEPNNNSARTLELPPRLSFLEGKVSSNMEVPSPTTVLGGPYVGRTMSYSSSCRTHRDYWNSNFGSTRWSGSRKMNKETEGSLDFSCPITLGSPQPNKGKFSRVHTRGSLFSLSLPKARSHFWVSLLLLSQFLNPLFNHDIFSVEDPLDTDTEKKGYQKTKQKYAIVTL >OIW19387 pep supercontig:LupAngTanjil_v1.0:Scaffold_3_252:11210:11485:1 gene:TanjilG_16860 transcript:OIW19387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARQSAHRHWNKLQQNILMQQNAEWTLMHIYQVCSLKEQRSISNRLMLSQPNINQVLDHLGLMDVDINKDKHGNNNAGRIIWVNLIRLMIY >OIW19386 pep supercontig:LupAngTanjil_v1.0:Scaffold_3_252:7227:9782:-1 gene:TanjilG_16859 transcript:OIW19386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFHFQYSPNFPNNEVPQSPNWFSSPQLTESDRVMDFSPWDDFFDFGCVPHFSHQSNQLFFNGLPELENLNFEEDFDLLPKDENFGVEPKPMHMVVPQPLPPKGFGDLALMTCVKKEEEKNNEGVLLLPNTNSSNIKKRSSALEFDEIKKHFGVPISEAAKEMNVGLTFLKRRCRELNIMRWPHRKLKSLELLISNVKEMGLSEEIAMLEQHREMLEKLPHLELTKETKKLRQACFKANYKKRRCFAL >OIW19492 pep supercontig:LupAngTanjil_v1.0:Scaffold_3_300:20048:23492:1 gene:TanjilG_16861 transcript:OIW19492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKRGGGRPFIAANNADLSSKGKSISESRPIIDQLTQGIAATNLDSGKDDSGEWEVYARKSKSRGGSSSSKPRGPPPYNSNPRASGNKDVSQMPGIWNQGGVGRASGNPWQTQNARRPAGRGNGRPQLGTGGYENNIVTSTPLIRPPLQHGWNWQSRAGSMQSNPQDEIVPEYSENNNNVDDDDDEVEDSYDLEDTDDDLMSDDYDSDTSQKSHETRKKCKWFRKFFQNLDDLTVDEMNNPERQWHCPACQGGPGAIDWYRGLQPLMAHAKTKGSKRVKMHRELAELLDEELRRRGTSVIPAGEVFGKWKGIKVEEKDHEIVWPPMVVIQNTQLEQDHNDKWIGMGNQELLDYFSTYTAVRARHSYGPQGHRGMSVLIFEASGSGYLEAERLHKHFSEQGTDRNGWFSNRRILFLPGGNRQLYGYMATKEDLENFNRHSQGKSRLKYDMRSYQEMVVNHIRQMSEENQQLIYLKDKVVKGQKHTKALEESFGIVTEKLRKTMEENRIVRLRAKMQHEENKEEMYMQEQFFRDQIKVIHDSRNAKEEDFERMQQEEREKVKQSSNGPLNAEERKLKADEYLKFVEVQDKEMENFVAEKEKLCHAHEESLAAMRKRHWEEEVQMETKFNDELAKLMEKYSPSHLETKSNGN >OIW19494 pep supercontig:LupAngTanjil_v1.0:Scaffold_3_307:50931:52880:-1 gene:TanjilG_16863 transcript:OIW19494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEQWDVRQRVMYINQDEEHESQIPCDILNEEESSDEEEEKVIKSEPLFLPETRKRKCRSLSQLREVKEESCEKRSGKKIKSKKNESKDRWSAERYNLAEQSMWEVLKAEGATFDNPITRPALRVAARKRIGDTGLLDHLLKHIDGKVAPGGIDRFRRWFNTNGIMEYWLEGADLDKVRQEAGVQNPYWIPPSTFRAGSAPSQDTDSSGELKLLKIEMAQMKKDMQELIAKKQEKNEISLMEETHKDFVKWRALTNHRLTEIMASLKGLQGKYGELVIWKTKVEQQLVEITNKLSDLQASRECTTLSPHSEKWKDWIESTNLESIPDHEFATWIGGSSELLNVPPEVVFEDPNSAPPAQLLIDGQTNKKSESLELVHARQEDQPNVTPDSSTTVTSNNSKSDIDNSFMMFQEMFMDLYKWKDKLEQQLLELSNTVYVGILAMK >OIW19493 pep supercontig:LupAngTanjil_v1.0:Scaffold_3_307:17428:20159:-1 gene:TanjilG_16862 transcript:OIW19493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFESVKKYLEQGVKTSSEVDKLPDRFLEPLIMNSLTIDHIEHGRVVCSMKIPSRLLNSGNTLHGGATASLVDVVGSAAICSVGRSALSTGVSVEINVTYLDAAYLDEEIEIEAKALRVGKAVAVISVEFRKKKTGKVFAQGRHTKYLALTSKL >OIW19563 pep supercontig:LupAngTanjil_v1.0:Scaffold_3_350:3593:13473:-1 gene:TanjilG_16864 transcript:OIW19563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEKFPFKNLHSREYSGHKKKVHSVAWNCIGTKLASGSVDQTARIWYIEPHGHGKVKDIELKGHTDSVDQLCWDPKHADLIATASGDKTVRLWDARSGKCSQQAELSGENINITYKPDGTHVAVGNRDDELTILDVRKFKPIHKRKFNYEVNEIAWNMTGEMFFLTTGNGTVEVLSYPSLRPLDTLTAHTAGCYCIAIDPVGRYFAVGSADSLVSLWDISEMLCVRTFTKLEWPVRTISFNHTGDFIASASEDLFIDISNVHTGRTVHQIPCRAAMNSVEWNPKYNLLAYAGDDKNKYQADEGVFRIFGFENA >OIW19564 pep supercontig:LupAngTanjil_v1.0:Scaffold_3_357:13808:16385:-1 gene:TanjilG_16865 transcript:OIW19564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPAKLMAFLGCGNGGQGWLFQRCSIRGEIKDKLLKNNEDFVWAVEVPPTGKFIIEVEFLDLKITSPNGEEPSSIFADEFTQKRLNVTALESLGRMLTEGIHTDITINASDGSIAAHRAVLAARSLVFRSMFSHNLQEKELSTIIISDMSNEACQAFLNYLYGIIKHEEFLMHRLALLHAADKYDISDLREACHESLLEDIDAKNVLERLQSASLYRLVKLKMTCICYLVKFGKILEILDDFNTFLQSADRDLIAEVFHEVLGAWKGF >OIW19173 pep supercontig:LupAngTanjil_v1.0:Scaffold_3_49:33910:34902:1 gene:TanjilG_16825 transcript:OIW19173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKLILALFFLVSMFLLPGESYSEHAVHNTEAPTPEPSTPDVDPPCICDEVAPPPPPTTTEVPPPPPATNQPPPPSTPTNGTTEGSLQPQGIILRWCFTQ >OIW19176 pep supercontig:LupAngTanjil_v1.0:Scaffold_3_52:15793:16011:-1 gene:TanjilG_16826 transcript:OIW19176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHMKRVRLEYEYYIEYDCRKGKRIERGKKTFPLSLPKKTRLREKENWEIYDNQQLKMKMKMEMKKRWGVEE >OIW19178 pep supercontig:LupAngTanjil_v1.0:Scaffold_3_52:28959:47054:1 gene:TanjilG_16828 transcript:OIW19178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERIHVTVRARPLSLEDAKTSPWRISSNSISIPNHSSKFEFDKIFSENCKTAEVYEARTKIIVSAAVRGFNGTVFAYGQTNSGKTHTMRGSKSEPGIIPRAVHDLFQIIQQDVDREFLLRMSYMEIYNEEINDLLAPEHRKLQIHESPEIIESRDRSEDEGNDNSIDAVRVSVLNLVDLAGSERAAKTGAEGVRLKEGSHINKSLMTLGTVIKKLSEDAENQGGHVPYRDSKLTRILQPALGGNANTAIICNITLAQIHADETKSSLQFASRALRVTNCAQVNEILTDAALLKRQKKEIEELRAKLMVDLGSHSEHSAEEILNLRNSLLQIELERERIALELEEEKKTQAEWEKRVKEQAKKIENLSSMVLFSNRDETREHIKKYGKFSMWYTGQIIEPDNYVKPCMQPNSWKSKILDKRRDTWCPGNVLREQLRDVYSNIQPNASTIKPTRHKREDIGPLLPFEELVNEDDFVDQSLKQEDDNKGDANESCKLPDPRALFHVTNRKKVPLRKKSLSMEEFLELQEEYENLLLKFETQRTTNEIQIEALRKKLLEANSLPSTEADFSNYANDVNLNGDKTVSFRDSEAILVIKRLQEQIKVLEIEKISSQQNLDDVVDLATEQNICAREKFEELYEDLINAQDAARVANEQLTSSETDGNFEFLVNVSTEVQEIMSEVQNSKEDVQSVILIVDDAVKSFSALCEMFCDFKASISQDAAEQNLILSNHQKLNSCLLQKISELQNEKILLDGQVDDLQKQLQEAKLDAQNSKNSLMECSEQKEIENSELISYIQTLEKDISSLTSSSLAKEREVLRKDLEKTKTKLKETESKLKIAIQERTKLEGEKAYAEREIKRLHGQNSLLERDINKRDSVAGRRRDSIVERSSKVFDPKIPKGPSLQETLQEEYQKLEVFAFELETRITSLEEELAAALKEKEEAISINEGLTSELDDLTEKLNTSTSELYNLKEDISALRQILEDSDLVQEKLKSSIKVLEEEKEELSMQLTDSLLAIEEERAISSAKEKASIVAIEEQLVTKNVQITSLSTELSENLKNELSIVTKERDKLMTQLEDQQKHVMEVELLQKHCHNELSKAKVEVDELSRKISCTETKMHADGVANSKDMAKLKMRLRGTQARLDAFRGRTEEAINELDLMNRKYVAASAKLKERLASQGIEILNLKKQLAAVKEK >OIW19177 pep supercontig:LupAngTanjil_v1.0:Scaffold_3_52:16473:19957:1 gene:TanjilG_16827 transcript:OIW19177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHISNEARVDHFPIGPSGILGRTIAFRVLFCKSISHLRHQIFLVLLDILHKFKRVWAPVISWLNPRNPQGILAMITIVFFLLKRSKGVKVKAEMAYRRKFWRNLMRSALTYEEWAHAAKMLDKERPKMNEADLYDVELVRNKLQELRHRREEGSIRDIIFCMRADLVRNLGNMCNPELHKDRLHAPRLIKEYIDEVSTQLRMVCDSDSEDLALEEKHAFMHETRHAFGRTALLLSGGASLGAFHVGVVKTLVEHKLLPRIIAGSSVGSLMCAIVATRSWPELQSFFEDSLQSLQFFDQMGGIYTVVKRVTTFGAVHEIRQLQMLLRHLTSNLTFQEAYDMTGRILGITVCSPRKHEPPRCLNYLTSPHVVIWSAVTASCAFPGLFEAQELMAKNRSGEIVPYHPPFNLGPEESSTPVRRWRDGSLEMDLPMIQLKELFNVNHFIVSQANPHIAPLLRLKEIIRAYGGNFAAKLAHLVEMEVKHRCNQVLELGFPLGGLAKLFAQDWEGDVTVVMPATLAQYSRIIQNPSYVELQKATNQGRRCTWEKLSAIKANCGIEIVLDECVAILNHRRRLKRSSERAVAATSHGLPSAVKFSAIRRIPSWNVIARENSSGSLDDFIADASSTLHQSVNGYGAASKNWKSHRSVHDVSDSESESAELNNWTRSGGPLMRTASADTFIDFVHNLQLDTELNTGTVDDANPHDFQYRNPRLTTPDRRSESAESVNKENGNSVVTNGSSIMLTEGDLLQPEMIHNGIVFNVVKKEELTSSYRSNDYDSYNNEVAESVQIDCPGKEFDAASSASETGDDDSTTAKSLTETSDYNATDHHSE >OIW19185 pep supercontig:LupAngTanjil_v1.0:Scaffold_3_55:23690:27712:-1 gene:TanjilG_16829 transcript:OIW19185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGKDSGVMKAWEATVKKTQAVAKKRANSLFGTTSVAHAEEDEKRDDQDGSNHETEVYHAEKVLPNGDYYKGEWEDNFPNGNGKYLWTDGCMYVGEWFKGKTMGKGRFSWPSGPTYEGEFNSGYMDGIGTYTSFNGEVYKGQWIMNLKHGQGVKSFSNGDHYDGEWRRGLQDGQGRYQWKDGNHYVGEWRNGTIWGKGSFFWTNGDSYDGYWEDGFPKGSGTFKWPDESFYVGNWSKDPRDQSGTFYPQGSSQEGHLDWDPQEVFSKLSKYPICPGEKMSILPSQKRLAVWRSTKGGDSGKPRRMSVDTRVSVGLEKPSDRMQLWGGGEGDFSGSRTPTKVGALDEELMGSHINGANPRGTQLNTLKAPRKSKRQGETICKGHKNYDLMLNLQLGIRHSVGRPAPSASLDLKPSAFDPKEKVWTRFPPDGSKYTPPHPSCEFKWKDYCPVVFRTLRKLFKVDAADYMLSICGNDALRELSSPGKSGSFFYLTNDDRYMIKTMKKAEAKALLRMLPAYYNHFRAFENSLLTKFYGLHCVKLTGTAQKKVRFIIMGNLFCSEYTIHRRFDLKGSSLGRITDKPESDISETTILKDLDLNFIFQLQRSWFQEFRRQIDRDCELLEQEGIMDYSLLVGIHFRDISTDGDLIPSGSQTPGGDSEATLCISRADVDQLLLDPSRWANIKLGLNMPAKVERTVRRSDCELQLVGEPIGEFYEVVLFFGIIDILQDYDISKKLEHAYKSIQYDPTSISAVDPRQYSKRFRDFIFRVFSEDS >OIW19197 pep supercontig:LupAngTanjil_v1.0:Scaffold_3_56:5200:12011:1 gene:TanjilG_16830 transcript:OIW19197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRTRKVNFNSEEDNKFVKGMPSYVPSMPSSNSMGIEGTTVHPSQISEYGNLQQSIGFRLEDAINLSRNPVFNLMKSNSCGLGADVQFGALSKSVATSDVNLFATMAGSQTLPLQKDLQPNSAYTSAGHHENWGESNMADASPMTDSSTDDTDDKNQRPERGQLAAATADSSDRPKDKSNQKTLRRLAQNREAARKSRLKKKAYVQQLESSRLKLTQLEQELQKARQQGTFISSTGYQDHSLSGNGAMQFDAAYARWLEEHNRQMNELMAAVNSHTGDIELRTIVDNVMTQFDEIFRLKGIAAKADVFHILSGMWKTPAERCFIWIGDFRSSELLKLLANQLEPLSEQQLTGIYNLEQSSQEAEDALSQGMDALQQSLAETLANGAPSLSGSSGNVANYMGQMAMAMGKLGTLEGFLHQADNLRQQTLQQMLRILTTRQSARALLAISDYFARLRALSSLWLARPKE >OIW19202 pep supercontig:LupAngTanjil_v1.0:Scaffold_3_64:13191:17967:-1 gene:TanjilG_16832 transcript:OIW19202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQISDHLEPWHNLNGKVVLVTGASAGLGTEFCLDLASAGCKIVAAARRVDRLKSLCEEINRKVAGGDGSPHAVAVELDVTADGASVDKSVQNAWDAFGHIDVLINNAGIRGAVRSPVDLSEEEWHKEFRTNLDGTWLVSKYVCKRMQNAHQKGIVINISSISGLNRGSLPGSAAYSASKAGVNMLTKIMALELGVHKIRVNSISPGLFKSEITEKLMEKDWLNNVALRTVPLRTFGTPNPALTSLVRYLIHDSSEYVSGNDFIVDAGATIPGIPIFSSL >OIW19201 pep supercontig:LupAngTanjil_v1.0:Scaffold_3_64:6844:11195:1 gene:TanjilG_16831 transcript:OIW19201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSQSCVMIATIIVVLVQIIVGVNSFPEADKISTLPGQPQVKFKQYAGYITVDEIQKRALFYYFAEAEVEPASKPLVLWLNGGPGCSSVGAGAFIENGPFKPSENGLVKNEYSWNKEANMLYLESPAGVGFSYSTNQSFYDSVNDEITAKDNLVFLQNWFTKFPEYTNNEFFITGESYAGHYVPQLAQLIVKTKTKFNLKGIAIGNPLLEFNTDFNSRAEFFWSHGLISDSTFEIFTKVCNYSQIRRQVQSGTLSSICEGVNKLVSTEVSRYIDTYDVTLDVCLSSAYQQAYVLNQLTQLQEVARVDVCEEDETITYLNRKDVQEALHARLVGINTWSTCSDVLQYDMQNLEVPTITILGALVKSGIRVLVYSGDQDSVIPLLGTRSLVNGLAKEFELNTTISYRAWFEGRQVNTFD >OIW19203 pep supercontig:LupAngTanjil_v1.0:Scaffold_3_68:26710:29148:-1 gene:TanjilG_16833 transcript:OIW19203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSQISNPLQPWHKLDGKIVLITGASAGLGFQFCIDLARAGCRIVAAARRVDRIKSLCEEINQMMPLPEAGDGGSNLRAVAVELDVAADGPTIDMCVQKAWDAFGYIDVLINNAGVRGTVKSPLDLSEKEWNHVFRTNLTGTWLVSKYVCIRMRDAQRKGAIINISSTASLNRGNLPGGTAYASSKSAVNTLTKIMALELGVYKIRVNSISPGLFKSEITENLMQKDWLNKVTLKTVPLRTHGTSDPALTSLVRYLIHDSSEYVSGNNFIVDAGATLPGVPIFSSL >OIW19157 pep supercontig:LupAngTanjil_v1.0:Scaffold_3_8:5614:5925:1 gene:TanjilG_16810 transcript:OIW19157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMTAKSPAISHQPNSSWHFPVPYLFNGFATMLSLIAFAILIRLAYNFWKLSEQNEENEEAKQELEAQKATPQTHEKIFVVMAGQEKPTFLATPMCSNKSSSL >OIW19204 pep supercontig:LupAngTanjil_v1.0:Scaffold_3_92:7720:8969:1 gene:TanjilG_16834 transcript:OIW19204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRRDGKRSLHSEEAEKEEDNYEFPIYSERSQEDISAMVSALTQVIGGINNNDPVHILQDPLTSGTQNQQSQQLQEDQGEMRKIHYRGVRQRPWGKWVAEIRDPKKAARIWLGTFETAEAAALAYDEAALRFKGSKAKLNFPERVQPPINQVPLGPPPHFSQQSYSNNPLQYAPLMGIDGSSNNNNNINNFNYEVNGFYGNQPFTSASSEITQQQQEFLRFSMQYGGSSSSYDQPTRSWMDDNDGRH >OIW20724 pep supercontig:LupAngTanjil_v1.0:Scaffold_40_10:82759:83091:1 gene:TanjilG_21652 transcript:OIW20724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSLFNPNRPSTLVSILLLIIFHASFYQPSHTFSGYGREITEDGSTRRLFGSKGSTQLPKNCEVLLVHSQCSQNPKCSWCTSEDLEDMCFTKSEAWRLRHQVYSCALIR >OIW20722 pep supercontig:LupAngTanjil_v1.0:Scaffold_40_10:16988:17623:1 gene:TanjilG_21650 transcript:OIW20722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRKKVNLEYIISESKRKATYKKRKSCLIKKTNEISTLCGIQACAIVYSDDEPHPEVWPSHFDVQRVLHKFEALPELEKDQKMLDQETFLKKRIIKAREHLMKQRNQNMKKKMDLLMFKCLSSGTIVDNNIDVSCFLWVIDETLKEVELKKTRDQSQSGIHVAENGSKSLSGEKEPVDAHVQLMMPSVDAMLKQDWLMDSVHAVGNEMLHF >OIW20723 pep supercontig:LupAngTanjil_v1.0:Scaffold_40_10:38309:41576:-1 gene:TanjilG_21651 transcript:OIW20723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLSKLYPELPEENFEKNEEDVESLGDLQKRGKYFYYDTPHDEDTGVWIPVSVPPMLEDDHREWARGFHSNGGYFPDDDLGWNQYLGEERGLTMWDVLAEMLSVARGKVTSLASGDIHSCTFKWVSSHLLEEAWKEMAQTLTEANFSNVKELIEAEPPNWLADSAAFYCMLCGVRFHPIMCSRHHCRFCGGIFCGECSKGRSLLPSKFLVSDPQRVCDVCCVKLESVQPYLMDHVSNAAQLPTHDLTDLSTLRSWINFPWAQSLEYEIYKATNAIKAYNQIGFLKPEKSIPDIILSQAKGLAIITVAKVGVVVTYNIGTGLVVARRDDGSWSPPSAISTFGMGWGAQAGGELTDFIIVLRTNDAVKTFSGNAHLSLGAGLSAAAGILGRSAEADIRAGDGGYAACYTYSCSKGAFVGCSLEGSIVTTRTQENSRFYGSQSLTATDILLGSLPRPPAAAILYRALSDLYVKVDG >OIW20725 pep supercontig:LupAngTanjil_v1.0:Scaffold_40_10:86344:87195:-1 gene:TanjilG_21653 transcript:OIW20725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSKVKRNPFKPSSKSINKDHLRNNRRCFRRKSPIKNVVVASSAVFSSIHRRISKLFSSLVRLTTPHKNSYRILKKTTTYFHDEQSLETVCRTLIFDHSLPPISGCHKRTVFLDLDETLVHTKVDPPPEQFDFIVRPVIEGETMNFYVLKRPGVDEFLALLAEKFEVVVFTAGLKEYASLVLDRLDLNRFISHRLYRDSCRQVDGKLVKDLAETGRDLKRVVIVDDNPNSFANQPENAIPILPFVDDLRDRELWKLRKFFEWSDCYDDMRDAVKDYLTLGKQS >OIW20726 pep supercontig:LupAngTanjil_v1.0:Scaffold_40_11:11641:12570:1 gene:TanjilG_21654 transcript:OIW20726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSGVVTVYGNGAITETKKSPFSVKVGLAQMLRGGVIMDVVNAEQARIAEEAGACAVMALERVPADIRAQGGVARMSDPQLIKEIKQAVTIPVMAKARIGHFVEAQILEAIGIDYVDESEVLTLADEENHINKHNFRIPFVCGCRNLGEALRRIREGAAMIRTKGEAGTGNVIEAVRHVRSVLGDIRVLRNMDDDEVFSFAKKIAAPYDLVMQTKQLGRLPVVQFAAGGVATPADAALMMQLGCDGVFVGSGVFKSGDPAKRARAIVQAVTHYNDPAVLADVSCGLGEAMVGINLNDSKVERFASRSE >OIW20727 pep supercontig:LupAngTanjil_v1.0:Scaffold_40_12:5457:8613:1 gene:TanjilG_21655 transcript:OIW20727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADISSQNDAVVVNVHDDASIDLPVPIWQKLLAEVLGTYFMMFAGCGAVVVNLDNEKVVTHPGISVVWGLVVMVLAYTLGHISGAHFNPAVTIAHASINRFPLKEVPSYIVAQVIGSLLASGTLKLIFTGGENQFIGTLLSGSDLQGFVIEFIITFYLMFVISGVATDNRAIGELAGLAVGSTVCLNVLFAGPLTGASMNPARSLGPAIVYNEYKGIWIYMVSPILGAVAGTWVYHFIRYTKKPVREITKSASFLKGRHGAE >OIW20728 pep supercontig:LupAngTanjil_v1.0:Scaffold_40_12:14640:22501:-1 gene:TanjilG_21656 transcript:OIW20728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFAGAGGALGHPPPDSPTLDSSEQVYISSLALLKMLKHGRAGVPMEVMGLMLGEFVDEYTVRVVDVFAMPQSGTGVSVEAVDHVFQTNMLDMLKQTGRPEMVVGWYHSHPGFGCWLSGVDINTQQSFEALNQRAVAVVVDPIQSVKGKVVIDAFRLINPQTMMLGQEPRQTTSNLGHLNKPSIQALIHGLNRHYYSIAINYRKNELEEKMLLNLHKKKWTDGLTLQHFDTHSKTNEQTVQEMLNLAIKYNKAVQEEDELPPEKLAIANVGRQDAKKHLEEHVSNLMSSNIVQTLGMMLDTVVF >OIW20718 pep supercontig:LupAngTanjil_v1.0:Scaffold_40_3:15916:21963:1 gene:TanjilG_21646 transcript:OIW20718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRAIRNRVLKDANGDISDHLRNHIHLTNCIHLKNHMHKNSPILADRTLMRDLVVLQRSRSLRDPSASPPSWHSPSVVDLLFKSAENDAVVQEGRRSVGVERRKGGRRLSRNSPPLASIGTSRVAPGEASVGNDGVAATSERSSRSGVGNGRRVAREESGRKSDRPDYLDVSQAGRSLAEDVISRHSASSKQRGKNVQAKTLSEQLNDFPLESDDLASSNMHFRGKFPRQDKIIEEAETSIRGNGCGVNRGKRRKFRSTRRTRVATASRDIGTENELSVASNSFPNGSAHQKYHMEKANDCIDQNVSRAPKNGCGIPWNWSRIHHRGKSFLDIAGRSLTSCGLSDPRLKKGTLITSGINIPERPVASEYSGSSTKSDAEALPLLIEGSRSRGSTENVCWDHDYSGELALFGNNLFKHDIDSDLASEGRSGDQHKLRGDSHSRHQSLTQKYMPLTFRDMVGQNLVAQALSNAVMRRRVGLLYVFHGPHGTGKTSCARIFARALNCSSSEHPKPCGFCNYCIAHDMGKSRNIREVGPVSNFDFEGIMDLLDNMVVSQLPSQYRVFIFDECDTLSADCWNAISKVIDRAPRRLVFILVSSSLDVLPHIIISRCQKFFFPKLKDADIIHTLQLVATKEGLEIDRDALKLIASRSDGSLRDAEMTLEQLSLLGQRISVPLVQELVGLISDEKLVDLLDLALSADTVNTVKNLRVIMESGVETLTLMPQLATVITDILAGTYDFTKERRRRKFFKRQPLPKEDMEKLRQALKTLSEAEKQLRTSNDKLTWLTAALLQLAPDQQYLLPTSSDNSFNHSPLAINNAHVKEAARITGNPVEARRLSMDDRIENFHAESSKGVSSEKRRHSVSGVAPQHTYSRTSNNTRMNERQILGKNHKEIEEIWLEVLEKIQITGLKEFLYTEGKLISVSFGAAPTVHLMFSSQLTKSTAEKFTGHILQAFESVLRSSITIEIRCETNIDVRTVQQSPILPGINNSSPQIRDSNDVAIQGHPSVTGSVEKQRGEIVEEAASPVLYKNNAQQGNARTGSYRSPGQASGSQKESIVKSHLDRRNLKEQSQSRSLVRSKVSLAHVIQQAEGQRNGWSKRKAVSIAEKLEQENLRLEPRSRSLLCWKASRATRRKLSRLKIRTRKPYALLKLVSCGKCLSTKSPR >OIW20719 pep supercontig:LupAngTanjil_v1.0:Scaffold_40_3:37001:39148:1 gene:TanjilG_21647 transcript:OIW20719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGAIFSSLRRRRSPSLEAFLAPVDLSDVALVKTLVSVVNELVSCFSNRVFHFQRKNSRSLIRKVELFQILLEYLSDSSVFLPPTAVLCLKELYLVLYRSKILLDYCVQSSKLWLLLQNHSISGHFHDLNQEISTLLDVFPTKDVQLSMDVREQIELLQKQLRKAKLFIDKKDDALRIRFFSFLDEFESGKIPDSEELKCFFVEKLQIFDARSCRTEIEALEEQIVNHEGDVEPTISVLNGLVAMTRYCRFLLFGFEEDEFRLKKGSQKKIKNRLITKAIAETFVTVPKDFCCPISLDLMCDPVIISTGQTYDKSSISRWVEEGHTTCPKTGQKLGHNRLVPNRALRNLIMQWCIAHGIPLEPPEVMDAMAESFASACPTKAAAEANRATTALLMQQLANGSHAGQTVAAREIRLLAKTGKQNRALIAEAGAIPYLRNLLLSPNHVTQENSVTALLNLSIFDKNKSRIMDEEGCLQSIVDVLRFGHTTEARENAAATLFSLSAVHDYKKRIADKMGAVEALAGLLQEGTPRGKKDAVTALFNLSTHTENCVRMIEAGALTALVGALGNEGVAEEAAGALALIVRQPAGAKAVVKDEGTIAGLMRMMRCGTARGKENAVAALLELCRSGGAAATERVVKAPALAGLLQTLLFTGTKRARRKAASLARVFQRCENASLHYVGLGVGYAFASNSGPTRDTSFAGDVSVPMSISVPVL >OIW20720 pep supercontig:LupAngTanjil_v1.0:Scaffold_40_3:48177:49304:1 gene:TanjilG_21648 transcript:OIW20720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIKPKAKKKYPFVCSPKCSSGGRFYGGGDDNDLCRESFCEEVHEDKKSEYIDEKVVAASSCTSDRRNAEKRGRREGSLKLKEKDIIGLGEERKLMNDRKVTMEMEEYNREKEYESLRKRFEKKAQTVLQEQLLKIERDSKEVEFASSKAVQNDVLQFESPKTICTPRTHSPFASSNDSKCSSLRNIKEDNVFGIQNFEKIDRPSQKKLSYEWLNLKQTEELKVKTSKQKQPVYVSRQIHRRRPKHSRVRIYSPRMASKVEICKIKALEDMRKEKLKMKKEMKEIVEETRGIDTFAVIKCSSDPKKDFRDSMIEMITEKHISQPEEMEELLACYLTLNSDEYHDLIIKVFRQLWFHMSQDGLDIKSDMQSYFCYD >OIW20721 pep supercontig:LupAngTanjil_v1.0:Scaffold_40_8:7092:7763:1 gene:TanjilG_21649 transcript:OIW20721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKKVNLSYIANDSKRKESYKKRKNSLIKKTNEISTLCGIDACAIVYGQNEPQPEVWPSHLGVQRVLQKFGRMSQMEQSKKMMNQETFLKQSIIKAKEQLKKQKNENRKKEMALFMFNCLSIGTLANTVNMADLNDLLWMIDQTLKEIEQKQTQEEPKEAILMTATGIDALNWEKVPMDGHIQNMTQTNVNAMQKQNLLMDSVNAGWNEMFQFGDFNPQNGF >OIW20739 pep supercontig:LupAngTanjil_v1.0:Scaffold_41_107:6363:9279:1 gene:TanjilG_21802 transcript:OIW20739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVSTIVVFQCFGLSSLRILSVWDGNKLSIALPVDVFNVTNFMHNTTYEKEADFDHDLESNEDKSSRRGFHFEKRDINNSEVENHEDGIYSFTQKRPKYAHDPTQQDREMDNRYNIQGRVHDMQFASKGIRSKSIKNLDAGLVTSDLFVTGEESSTVSGTQSVEMQPHNEKSLLLKTPLPVLNNESKIDTPNMRRKLVWPTSITEMNSLLLQSFNSSMRPKWSSQRDRELLSAKLDIEKARIISNSSELYGPIFRDVSKFSRSYELMERKLKVYIYREGERPIFHQPKMRGLYASEGWFMKLTEGNKRFIVRDPRKAHLFYLPFSSQMLRVALSEQNLHSKQMEQYLERYVQLIAGKYRFWNRTEGADHFLVACHDWASKITRQPMKKCIRSLCNANIAKGFQIGKDTTLPVTYIHSMMDPLKDLARKPPSEKSILAFFAGGMHGYLRPILLKHWENKEPDMKIFGPMARDIEGKRIYREYMNSSKYCICARGYEVHTPRIVEAIFSGCVPVIISDNYVPPFFEVLNWEAFSVHVRESDIPRLRNILVSIPEEKYLALHLGVEKVQQHFKWHKIPVKYDLFHMILHSIWNNRLSQIRTR >OIW20740 pep supercontig:LupAngTanjil_v1.0:Scaffold_41_107:16604:17296:1 gene:TanjilG_21803 transcript:OIW20740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEARSSESETISNNYSSSSSYSSSSTTTTSKPQQKKRSRENSNTKHPIYRGVRMRAWGKWVSEIRQPRKKNRIWLGTFATPDMAARAHDVAALTIKGSSAILNFPELAPLLPRPASNSPQDVQAAATKAASMKLPPPEPSQSSPPLSSSTSSTVAAEEEEEEEEELGEIVELPMLGSSFESPEPVNEFVFIDPIDGWPYPQPWYHSIYDEHDIISESRVLCGLDTSLWL >OIW20741 pep supercontig:LupAngTanjil_v1.0:Scaffold_41_107:31678:36644:1 gene:TanjilG_21804 transcript:OIW20741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVHQNEDLPSPFGDPSSIFSDSELRETAYEVLMCACRSSGPKPLTFVSQSERGDRDHAPAAAATASLNRSLTSAAKSKVKKALGLKSESPPNTSEEDGKSSGRRAVTTGELMRVQLRISEQIDSRIRRALLRIVAGQLGRRVDLVVLPLELIQQFNSSDFPTQHEYEAWLRRNLKVLESGLLLYPNLPLDKADSSAQHLRRIIRGALENPMNIGKSTESMQTLRTVVLSLACRSSDGSVPESCHWADGFPLNLWIYQTLLEACFDIHENTAVIEEVDEVLELAKKTWVILGINETQHNNCFSWVLFHRYVTTGEVENDLLFASSNLLEEVDKDTKATKDLFDSKTLSSALSLMLSWAEQRLLTYHDTFHNDNIESLGNLVSVAALSAKILAKDISHEHNRKMKETDVACTRELEKLNPSKHVSRKQNKAFPSLSVLARQTSELAYTEKKVFSPKLKRWHPLAAGVAVATLHACYGNVLKQYIKGIAELTPNAIQVLMASVKLEKDLVQIAVEDSVDSEDGGKSIIREMQPYEAETVIANLVKSWIKIRVDRLGEWADKNLQKEVWSPEANKECIAPSAVEVLRIIDETLEAFFLLPIPMHRVLLPELTSGVDKSLQQYILNTKSGIGNRNTFIPAMPELTRCSTKSKFHGVFWVKEKSQVTQRRKAHVGTPNGDDLFDIPQLCVQVNTMQRIRIELGALEKRIVAILSSSKSATDHDTENEVSLKFKLSPAAAAENIHQLCECIAYKLIFHDLSHALWDGLYVGEVSSTRIDHFLQELEQYLEIISSIVHDRVRTRVIVQVMQASFDGFLFVLLAGGPSRAFSLQDSIIIEEDFKSLTDLFWSKGDRLPADLIEKQSTTARAVLPLFHTDTEHIIQQLSQLTREIYGSSAKSRLPLPPTADHWSPREPYTLLKVLCYRNDEAAAKFLKKNYNLPKKLTINK >OIW20742 pep supercontig:LupAngTanjil_v1.0:Scaffold_41_107:37707:39447:-1 gene:TanjilG_21805 transcript:OIW20742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRIGGGVFPHIKEPDYLRDGQYRIDSQATPTMLNSLMYKLSYYRFVETDGKAFDRVRRTEIGKKYFKLTHFEEVFTTHHWMVRLYKLKPPKNRIRGKAKKSKSKASSTTAPKRKGTRRNPF >OIW20738 pep supercontig:LupAngTanjil_v1.0:Scaffold_41_107:1265:5353:-1 gene:TanjilG_21801 transcript:OIW20738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNLNIPIKFPFFTPPIDPNPIDNPTHNRPSTEVRFSRWGNANAEKFEQRRRAQEEIEADIRRTRRFNAIEKITQTVTSPTTAVETFKSTGTPSTPSRSSIPGKKSKYSKPPPPPKPSPEDENPPIDVTEIPDKRFPLPPRNVKIGEDGVSYFIDGAPFEFKYSYTETPNIKPIQLRQEPVVPFGPETMRRPWTGRIPKDNRRFMIPPPPDLYSNGPRPMSREETLGEPLTEEEINGLVEATMKSTRVLYIGRDGFTHNMLENVHALWKWKRVCKIKCKGVCTVDMDNVCQQLEERTGGKIIYRKGGVVHLFRGRNYNYKNRPRFPLMLWKPVPPVYPRLVKRVPEGLTLEKATEMRRKGRDLIPICKLGKNGVYCDLVPNIREAFEECELVRINCEGLSESDYRRIASKLRDLVPCILLSLASEQIVLWRGQNWRPSSPNLGDDCKEANKINVDSANSNTLPSDVQEFKAPCLHKNLVNHLSNESHGISISSSSGDVMLSKVVVAYPTENCNLPESVVSDATSLSMRTYEVEPQPGGSTSLIVTMLGAGDNSVINIIDPHTDMLRHGSESVDVGRPSKPAVPCREVLLLLEQAVEEGRALVLDDESLDADNIHQTSKIFARSAPSGPVFKLPKKVKVQNSNKQEHSTLELKKVTIVSTKNKKEKSSNSNQTLISLDEFDFKKIERKENSDPVVRQRTARVDVLARLL >OIW20732 pep supercontig:LupAngTanjil_v1.0:Scaffold_41_18:13112:13441:-1 gene:TanjilG_21795 transcript:OIW20732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPYNIIIFSIDSLLIPSRINIMQSETRPNLDLNITKALNDNHNFNVAALLLSIFGVVDEFENDEGDAKIMLFVPLDDAFAVHHHRQACEIVCVQHYIVLMKTRIQRQA >OIW20734 pep supercontig:LupAngTanjil_v1.0:Scaffold_41_19:48494:49039:-1 gene:TanjilG_21797 transcript:OIW20734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCSNLHITLFTTFIYFQLLSLQLTQSVDIIKVLTDNHDFNILTSLLSASGVIQDFNNAQGNVGVTIFAPTDADFAKLSQSGRFQSLGAQQKALVLKFHGIHSYYPQGILKTLVNPFQPTFATEATSAGSYRFSLSNFRGNVVIRTGVGNGSVVLKTIYDQKPVAIYSVSDVLLPRELFL >OIW20733 pep supercontig:LupAngTanjil_v1.0:Scaffold_41_19:41792:42232:-1 gene:TanjilG_21796 transcript:OIW20733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNHYYNVFASLLSVPGVIEVFNNAQGGAGITIFVPSDASFRRLPQSLKIETLPADKRGLVIKFHAIHSYYAQNILKVLVNNFQPTLATEATSATSFRFSLSQFQGKAGIQTGIGNRSVVLNTIYDQKPVAIYSVSDVLLPKEIFQ >OIW20729 pep supercontig:LupAngTanjil_v1.0:Scaffold_41_2:9219:18325:-1 gene:TanjilG_21792 transcript:OIW20729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPHFVFPRHLQDLDQDHEDNRLFVQNPLDISSLQPSQIEELVKGVSFDLSDKELFCVEEQDVFDRVCSLIKGYVNLPRTCKLNLVESLRSNLSVLLPNVDSLSRVSHNDDEVPVLDRVASHRNAFKIYTFFLIHIVLAEESNTSSNNASKVVAANTRKKQPVNSWNWEPQRARILNLIANSLEINLGLLFGSSDPDESYLSFITKNALSMFENATLLKDADAKDALCRVIGACSTKYHYMVQSCASIMHLIHKYDFVVTQIADAVVGAEKKYADGSLATSLVREIGRVNPKDYVKDTVGAENVGRFLVELADRLPKLVSINIGILVPHFGGESYKIRNALVGVLGKLIAKAFKDFDGEVSSKSIRLRTKQAMMEILLERCRDVSAYTRSRVLQVWAELCEEHSISIGLWNEVAEVAAGRLEDKSAMVRKSALNLLIMMLQHNPFGPQLRIVSFEATLDQYKKKLKELDPAVPSECVTDDLPSVADNFDDVGEVDTLNSETVAVEQEDSLTDTCMSQTEEANLQNNNLPDVGNLEQTRALVASLEAGLRFSKCIEASMPTLVQLMASSSATDVENTILLLMRCKQFQIDGSEECLRKMLPLVFSQDKSIHEAVENAFHTIFIRKNPVETAKNLLSLATDSNIGDLASLEFIVSALVSKGEISSSTISALWDFFCFNVGGTTAEQSRGALSVLCMVAKTSTGVLGSHLQDIIDIGFGRWAKVDPLLARTACLAIQRLSEEDKNKLLANSSIRIFAILQSLITGFGLPGNIWYAATDKAIAAIYAIHPTPETIASDMIKKSLSSVFNEGGGDVQSENDTSSGSIPVTVQVEKLSRCLFLISHIAMNQLVYIESCARKIQKQKNMKEKKEIENQSIDSNDTASTGTQKDSDINAELGFAASEDAALDALFEKAEKEIVSGGSNEKNLIGICATFLSKLCRNFGLMQKYPDLQASAMLALSRLMIIDSDFCDANLQLLFTVVDSAPSETVRSNCTIALGDLAVRFPNLLEPWTENMYARLKDPCASVRKNAVLVLSHLILNDMMKVKGFINEMAIRLEDEDERISNLAKLFFLELSKKGNNPVYNLLPDILSKLSKQKLSKDSFCNIMQFLIASIKKDRQMEALVEKLCNRFSGVTDVKQWEYIAYCLSQLSFTEKGMKKLIDLFKTYELALSEDSVMDHFRTILNKGKKFAKIELKSCIEEFEDKLNKFHMEKKEQEVTARNAQIHQQKIDSKGFTVATNSEDHQESNTASDETDGEVVDACTEEITLSLNDKSKSNLHVLEECSGVSNELTEANLGETEVESSMVNTRGVQRSRAKKSSMKDGKRDISVTKLRTVRTRRYTSLTARLWINTTFGMHLYD >OIW20743 pep supercontig:LupAngTanjil_v1.0:Scaffold_41_207:21121:21378:1 gene:TanjilG_21806 transcript:OIW20743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEIVPFSVSYGCSVAKSGIMVGNTDCHSGLRQRVIILLSLFILYHSSPFTSFHRSSSRMFTAVTEDQGNLVPFGVGTKPTVGVV >OIW20744 pep supercontig:LupAngTanjil_v1.0:Scaffold_41_243:8071:11187:-1 gene:TanjilG_21809 transcript:OIW20744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKSASVSVKSSLETTGPIVTVGKVTEVNNDTFWPIVKAAGDKTVVLDMYTQWCGPCKIIAPKFQELSEKYLDVVFLKLDCNQENRPLAKELGIRVVPTFKILKDNKVVKEVTGAKFDDLVVAIDTVRSG >OIW20745 pep supercontig:LupAngTanjil_v1.0:Scaffold_41_247:12127:18667:1 gene:TanjilG_21810 transcript:OIW20745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLNIKVTLLLLFILAVEFCFISNANFVLPVQRKFKVSHKNLTEIKAHDTRRHGRFLSAVDIEIGGNGLPSKTGLYYTKIGLGSPVKDFYVQVDTGSDILWVNCGGCTTCPKKSGLGMELHLYDSKDSTTSEVVPCDGDFCHATYEGQISDCKQGKSCPYSIIYGDGGQTTGSFVKDLLTFNRVSGNLHTGPDNSSIVFGCGSKQTGSLGKPDDDALGGIMGFGQSNSSMLSQLAASGKCVWFLSLFGSTNLIQWAHYNVVLKDVEVDGDLLQLPLDIFDSGNGRGTIIDSGTTLVYLPPMIHSQLVSKILARQPTLKLYLVEDEFTCFQYSDKLDDGFPLVKFHFDGISLIAYPHDYLFYYRDDMYCIGWQKSSSQTKDGKDLILLGDLVLSNKLVVYDLENMEIGWTDFNCSSSIKVKDDKTGSVYAVGAHQLNSASTVLIGIVLPFFLLLIIAMITV >OIW20746 pep supercontig:LupAngTanjil_v1.0:Scaffold_41_248:30065:33926:-1 gene:TanjilG_21811 transcript:OIW20746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCFSVESRSPHPSYRKNSKKKLGSHSSSTEYWKNEPLHRVPGRIFLNGSTQFASLFTQQGKKGTNQDAMLVWENFCSRQDTIFCGVFDGHGPYGHMVAKRVRDSLPLKLNAHWEHCVSGDEVLKEISVNAAGSMNSEEAAFVSADEESRTSIDAEETTKHPEIFQTLKQSFLKAFKVMDRELKTHPSIDCFCSGTTAVTLVKQGRHLIIGNVGDSRAVMGTRAKDDSIVAVQLTVDLKPNLPAEAERIRKCKGRVFALHDEPEVARVWLPNNDSPGLAMARAFGDFCLKDFGLISVPDVSYRQLTENDEFVVLATDGIWDVLSNKEVVDIVAAAPSRSSAARALVESAVRGWRYKYPTSKVDDCAVVCLFLDSDLHPISSASNAKSNEQPSSADQVSSADGAASGPTILKASGTCRASNNASHGESNDEVSKDEEIDAESEKDWSALEGVSRVNTLLNLPRFVPDKEDDKAAAGMRKRK >OIW20747 pep supercontig:LupAngTanjil_v1.0:Scaffold_41_258:251:2625:-1 gene:TanjilG_21812 transcript:OIW20747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSSSPVPHQLPFLMSSQPLPPLAPPARKRIVSHGLIPSPTAEHGWGSIAPLASGYRTYAADPSSVGTKPFGFGPDFFARAPPPKVNIIMNEFAKNKHKMEMVHGQKNVFPSPSRGTGVFHPLPSVQLQLNNNNSRGMKHNEVGGSKQINIPNANAGGLVKHEECHELSLADEYGLPKEWTY >OIW20748 pep supercontig:LupAngTanjil_v1.0:Scaffold_41_258:12513:15946:1 gene:TanjilG_21813 transcript:OIW20748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIITNGTTITHAFIITSVTSTTILNAIATITTTSSAGKQEKCFTSEHGWGSPAPLASEYKTYAGGPSSVGTKPFGFGPDFCARPLPPKVNIRMNEFVENRHKMQMVHGQKSAFPSPSRGTTHGTSRGTGVFHPLSSVQLQPNNNNNRGMKHNEVGGSKPINIPNANAGGLVKHEECHELSLADEYGLPKEWTY >OIW20749 pep supercontig:LupAngTanjil_v1.0:Scaffold_41_273:8791:11058:1 gene:TanjilG_21814 transcript:OIW20749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELRSPTRPSQRSHSQTSLWSLSLVGPPLSMHSSSPVPHQLPFLMSSQQLPPLAPPARKRNVSHGLIPSPTAKHGWGSLAPLASGYRTYAAGPSSIGTKPFGFGPNFFARAPPPKVNIRMNEFAKNKHRMEMVYGQKNVFPSPSRGTGVFHPLPSVQLQPNNNNNRGMKHNEVGGSKPINIPNANAGGLVKHEECHELSLADEYGLPKEWTY >OIW20750 pep supercontig:LupAngTanjil_v1.0:Scaffold_41_279:2663:5245:-1 gene:TanjilG_21815 transcript:OIW20750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELRSPTRSSRRSHSQTSLWSLSPVGPPLPVQSSSQVPLQLPFLMSSQPSLLLAPPASKRSVSHDPIPSPTTEHGWESLTPLATGYRTYAVGQSSIGTKPFGFGPDFCARAQPPSPKVNIGMNVFVENKRKMEMVQAQKSVFPSTSRGTGVFHPLPSVQLQSNNNNNIGMKHNEVGGSKPINFSNANAGVLVKHEECHELSLADEYGLPKEWTY >OIW20751 pep supercontig:LupAngTanjil_v1.0:Scaffold_41_292:9754:11579:-1 gene:TanjilG_21816 transcript:OIW20751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLDNYRSILDNASVDIWLLIDAALAVASVDYTDEFKRRREGIVERIYTTTSASPPCRNCVANNNAETEKIVEEELNPHGGLFNDDENKKKILEIKQQLEYTNQSEDTLVELLHNLDDIDITFQALKRQKDSNFDSERLAATRKRLHENYKEVANAKKQRKIQVMDLHE >OIW20752 pep supercontig:LupAngTanjil_v1.0:Scaffold_41_308:42928:45167:1 gene:TanjilG_21817 transcript:OIW20752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLNYWLVPGGFAWRNYHYYYNDNKTNRHEKQTTKLSGPKGWPILGTLPHMGSLAHTKLANMATSLNAKRLMALSLGATPVIISSHPATAKEILCGPSFSDRPIKESAKILMFERAIGFAPSGTYWRHLRRIASLHMFSPRRIQGLESLRQNVADNMLMRVFKEMEEKGVVEVRGILQEGSLSNILESVFGTNGCLSEYQSGELGNMVKEGYELISKFNLEDYFPLKFLDFHGVKRRCHKLAARVTNLVGQIVEERKRSGEFVGKNDFLSTLLSLPKEERLGDSDMVAILWEMIFRGTDTVAILLEWIMARMVLHQDIQMKARQEIEKCIDQNGQLQDSDIPNLPYLQAIVKEVFRLHPPGPLLSWARLATQNAHVDKVLVPVGTTVMVNMWAISHDSTIWEDPWAFKPERFLKEDVSIMGSDLRLAPFGAGRRVCPGRMLGLTTVHLWLGRLLHHFTWLPAQRVNLSECLKLSLEMKTPLRCVVVRR >OIW20753 pep supercontig:LupAngTanjil_v1.0:Scaffold_41_310:31391:32649:1 gene:TanjilG_21818 transcript:OIW20753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTTHETKRKKSHDEELKHLGFVKTVVIQAFLCVSNLYQFAKHNSGSLRSVVGTVEDTVTNVLGSVYDKIKYVPLHLLVFVDNKVDEATHKFNEHTPPLIKQVANQAKVLVHEVSNKAENVVNEAQSGGLRKAASYVAIESKQFVLIGSVKLWAGFNHYPPFHAVAKIAVPTAARWSEKYNHVVKGIVGKGYSISGYLPLIPIDEIAKAFKQGETKVNEDDTTISNSD >OIW20754 pep supercontig:LupAngTanjil_v1.0:Scaffold_41_310:35831:36118:-1 gene:TanjilG_21819 transcript:OIW20754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFIMEFAENLVLKLMEDPNERDRRFREHVYKVKERCGKTKEMWALPMRPYGFWTFERHNSQIVADAQISQVAGRRDPYDDVLLNYHYSAPPKSK >OIW20736 pep supercontig:LupAngTanjil_v1.0:Scaffold_41_40:26270:27676:-1 gene:TanjilG_21799 transcript:OIW20736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKIPPSFRSAIANIRNPSSSHILPPQTQPNHFPRKKPSNSPTKSKKTQKPDSQTPPPSIFKSPNLSDAKNLFDSILKSYQPSSLDFRFHNNLLHSYASISSVQDSTAFLRHMINSSRNSFSPDHSTFHILLSQSCKSNDRSSLAPVHQVLNLMVSSGVSPDKATTDVAVRSLCSQGRLEEAVELVKELSSKHNSVPDTYTLNFLVKNLCKCRALSTVYSFMDEMRSRFGVKPDLVTYTILIDNVCNTKNLREAMRLVSALNEEGFKPDCFLYNTIMKGFCMLSRGSEAIEVYNKMKDEGVEPDRITYNTLILGLSKSGRVKEAKKMLRVMADKGFLPDEVTYTTLMNGMCREGHALGALELLKEMEAKGCSPNSCTYNTLLHGLFKARLLEKAVEFYEMMKSGGMKLETASYATMVRVLCREGRVADAYEVFDYAVESKSLTDVAAYTTLESTLKWLRKAKEQGLAV >OIW20735 pep supercontig:LupAngTanjil_v1.0:Scaffold_41_40:9239:13709:1 gene:TanjilG_21798 transcript:OIW20735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFGGNMFCLGIRDQSGNNNSNSDGWDSWEITTSTSSKVMNAFHQITATENALAVNNSHEAAGLANSLTFLPQPHNGNGGVNHHYHRHNQPHQYGGDGSQKVHVDPHLMCLKLGKRNYFDTSGAALKDGGSGGVKTVSLTAAVPRCQVEGCHVALLNAKDYHRRHKVCEMHSKAPKVVVLGLVQRFCQQCSRFHVVSEFDDSKRSCRRRLAGHNERRRKNPHNSVTRNTASEGNMLMVEDFPYLLSAGCALSLLPSRSNSWVSPVDLSIRCRAALHELIEENRAALMARPLVPEREWHLHHQAVEDFKVQIQPDSNYFPQQMFHQTQ >OIW20737 pep supercontig:LupAngTanjil_v1.0:Scaffold_41_59:11736:12921:1 gene:TanjilG_21800 transcript:OIW20737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDLQTVCCMCGDVGFPDKLFRCSKCHHRFQHSYCSNYYGELPEIELCDWCQSEEKRSSRYICSNSKKPVTGNDAGTTNRSEYSGEKIKQHDREESGSEKGKSPTPSPRTTTRRYKLLKDVMC >OIW20730 pep supercontig:LupAngTanjil_v1.0:Scaffold_41_8:3338:6428:1 gene:TanjilG_21793 transcript:OIW20730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRAYGVKKGKKRKHTEPKYDREAEEKQQVQEEESQQQEQQPEEDELSGIPIAPSELKSDKPKVTFILERASLEVAKVGKTYQLLNSDEHANFLRKNNKNPGDYRPDITHQVLLAILDSPLNKAGRLQAVYIKTEKGVLIEVKPYVRIPRTFKRFCGVMLELLQKLSISAVGKREKLLRTIKNPVTQYLPINSRKIGFSHSSEKVVDMNDYVKTVPTDMDFVFVLGAMAHGKIETDYTDDYISISEYPLSAAYCIGRICGALEGKWKIL >OIW20731 pep supercontig:LupAngTanjil_v1.0:Scaffold_41_9:2780:3325:-1 gene:TanjilG_21794 transcript:OIW20731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSNSNLHITLFSTFILFQLLCLQSTLSLDIPKVLTDNHDYNVFASFLSASGVIEDFNKAQGDAGITILVPSDAAFGKLPESLKIETLPADKRALVIKFHGIHSYYAQDVMKILQNSFQPTLATEVTSATSFRFSLSQSQGNAAIQTGIGNSAVVLNTIYDQKPVAIYSISDVLLPKEIFQ >OIW20755 pep supercontig:LupAngTanjil_v1.0:Scaffold_42_22:7868:15717:-1 gene:TanjilG_21917 transcript:OIW20755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCCRSPAAVAREDVKSSFSGHDNGKRDSSVAAKKSPVTVLAGVPKENIEEKYLVDRELGRGEFGVTYLCIDRGSRELLACKSISKRKLRSAIDVEDVRREVAIMRHLPRNNSIVSLREACEDDNAVHLVMELCEGGELFDRIVARGHYTERAAAAVTRTIVEVVQLCHKHGVIHRDLKPENFLFANKKENSPLKAIDFGLSIFFKPGERFSEIVGSPYYMAPEVLKRNYGPEIDIWSAGVILYILLCGVPPFWAESEQGVAQAILRGLIDFKREPWPSISESAKSLVRQMLEPDPKLRLTAKQVLEHPWLQNAKKAPNVPLGDVVKSRLKQFSVMNRFKRKALRVIADFLSTEEVEDLKDMFKKMDSDNDGIVSIEELKAGFQSFGSQLADSEVQMLIEAVGTNGKSTLDYGEFIAVSLHLKRLANDEHLRKAFSYFDKDEDGYIEPHELRNALMEDGTDDCTDVANDIFQEVDTDKDGRISYDEFVAMMKTGTDWRKASRHYSRGRFNSLSLKLMKDGSVNLGTE >OIW20756 pep supercontig:LupAngTanjil_v1.0:Scaffold_42_77:4196:5926:-1 gene:TanjilG_21978 transcript:OIW20756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNFNAKSASPQEQRLFSIYNQSKQASVVLQSNLATFRQNMVNAAIKPHSLGGVSHIASVSHLPSRNSIVGSTEFRNSSTCPNAQMTIFYAGSVCVYDDISPEKANAIMLLAGNGSNATRDMPVSIDKLQLPSSMHSKDDGFIISQFSPSPLPNPLATPRNHLESPNVGSVGSAAAKKVQPVSFPHARRASMARFLENRKER >OIW20757 pep supercontig:LupAngTanjil_v1.0:Scaffold_42_77:13369:22788:1 gene:TanjilG_21979 transcript:OIW20757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFKGRFFSSSKKSDSDGSSNSPRSISSNSPSRSDKKKLKDSKKSNNDDAAKSKSSPTGSSSPSPSPSSSVSPILASSLGLNKIKTRSGPLPQESFFSFRNDNNKIGASNLSKSDGKKEVVVVEKQKSRGSIRESFGSDHSVSPGTGATCSSREDSPNVLAPSPLQNRESSSNSGRHESSWAQSGGLRNSDASTPEVAYDYENPKESESPRFQAILRVTSAPRKRFPADIKSFSHELNSTGVRPFPFWKPRRLNNVEEILDGIRAKFDKAKDEVNSDLAIFAADLIGILEKDADTHPEWQETIEDLLILARRCAMTSPGEFWLQCEGTVQELDDRRQELPPGMLKQLHTRMLFILTRCTRLLQFHKESGLAEDEVVFNLRQSRVLHSAAKCIPPGLERDGKSSSAAKASKASTKKAYSQEQHILNWKKDVVQPQNLLLPPHDDPSKHLESPPIRNRMSFWKKFPSPPGKSSKETQLTDQNYARVEPSKVSNKKSSTSDADSAAKPSQLLPVKESQDHASKHQHKVSWGYWGDQHNIGEESSIICRICEEEVPTSHVEDHSRICAVANKCDQKGLSVNERLLRIAETLEKMMESCTQKDVHNITGSPDVSKLSNLSMTEESDVLSPKLSDWSRRGSQDMLDCYPEADNSVFMDELKGLPLISCRTRFGPKSDQGMTTSSAGSMTPRSPLMTPTTSQIDLLLAGKDSTEPRLGTLLITSFLSRRYVEIVSVCKTMVSPLIVLLLRSSEMNELADIARCVANTPLDDDRTMSYLLSCLDDLRVVGERRKYDALTVETFATRIEKLIREKYLQLTEMVDVERIDITSTVIDEDAPLDDDVIRSLRTSPVHSSADRTSIDDFEIIKPISRGAFGRVFLAKKRTTGDLFAIKVLKKADMIRKNAVESILAERDILITVRNPFVVRFFYSFTCRENLYLVMEYLNGGDLYSLLRNLGCIDEEVARVYIAEVVLALEYLHSLRVVHRDLKPDNLLIAHDGHVKLTDFGLSKVGLINSTDDLSGPAVCTFVSSILGEDEPRLPTSEHQQELRKKRSAVGTPDYLAPEILLGTGHGYTADWWSVGVILFELLVGIPPFNAEHPQSIFDNILNRKIPWPAVPEEMSPEAHDLIDRLLTEDPNQRLGARGASEVKEHVFFKDINWDTLARQKAAFVPASESALDTSYFTSRYSWNTSDGLAYPASDIDDSSDADSLSGSSSCLSNRQDEVGDECQGLADFDIGSSMNYSFSNFSFKNLSQLASINYDLLTKGLKDDPSTHSSQ >OIW20758 pep supercontig:LupAngTanjil_v1.0:Scaffold_42_77:28449:30854:-1 gene:TanjilG_21980 transcript:OIW20758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNPFILFLFLLLLPLAKPDLASERAALLSLRSSVGGRTLFWNATNQTPCNWAGVQCEHDRVVELHLPGVALSGQLPTGIFSNLTQLRTLSLRFNALTGHIPSDLASCTNLRNLYLQRNLLSGPIPDFLFGLSDLVRVNLGFNNFSGQFSTGFNNLTRLRTLYLEDNKLQGSIPDLSNVINLEQFNVSNNLLNGSVPLKLQSFSQDSFLGNSLCGKPLSLCAGDGRNGSGSGSGNVPAEIGNNGGKKKLSGGAIAGIVIGSVVVILLVVFVLILLCRKKSSSKKTSSVDVSNVKDRELEVNGGEKSVSEVENGGHGNGYSAAAVAAAALTGNGNKGGEVVVNGVGAKKLVFFGNSVRGFDLEDLLRASAEVLGKGTFGTAYKAALEAGLVVAVKRLKDVTISEKEFKEKIEVVGAMDHESLVPLRAYYFSRDEKLLVYDYMSMGSLSALLHGSKGAGRTPLNWELRSGIALGAARGIEYLHSQGPNVSHGNIKSSNILLTKSYEARVSDFGLAHLAGPSSTPNRVDGYRAPEVTDPRKVSQKADVYSFGVLLLELLTGKAPTHALLNDEGVDLPRWVQSVVREEWTSEVFDLELLRYQNVEEEMVQLLQLAVDCAAPYPDKRPSMSEVAQSIEELCRSSSKEDQDQIQHHDLISDI >OIW20759 pep supercontig:LupAngTanjil_v1.0:Scaffold_42_77:49078:50229:-1 gene:TanjilG_21981 transcript:OIW20759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMCLVARTGRHLQRYDGGCRQVVGCIPYRYRLKGNQNKELEVLVISAQKGNGMQFPKGGWEIDESMEQAALRETIEEAGVVGSIESKLGKWLYKSKRQDKLHEGYMFSLLVKKQLENWPEKNIRDRRWMTVAEAKEVCPYTWMKEALDVLVSRQPQI >OIW20761 pep supercontig:LupAngTanjil_v1.0:Scaffold_42_77:70666:71613:-1 gene:TanjilG_21983 transcript:OIW20761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVRDRRHLNLRLPLPEPSQRRPRFPLLLPPSTVTNTITISTADAIAPNDIEKLNVLGHGNGGTVYKVRHKRTNAIYALKVVHSDSDPITRRQIRREMDILRQTDSPHVVQCHGFFEKPSGDVSIVMEYMDLGTLDTLLKNNGTFSEPKLASVARQVLNGLSYLHAHQIMHRDIKPSNLLVNSNMEIKIGDFGVSKIMRRTLDACNSYVGTCAYMSPERFDPESYGGNYDGYAGDIWSLGVTLFELYVGYFPLLPSGQRPDWATLMCSICFGDPPSLPEGASAEFRSFIESCLKKESSERWSAAQLLTHPFLKG >OIW20760 pep supercontig:LupAngTanjil_v1.0:Scaffold_42_77:58085:58321:1 gene:TanjilG_21982 transcript:OIW20760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENMKMVYGENKESVKGENFAQKGVPIHSQIRKIKQESTEKIVDWSPGQPEIRPVFRELNRQVSRSRLGISGRPNFGR >OIW20765 pep supercontig:LupAngTanjil_v1.0:Scaffold_42_78:27089:29617:1 gene:TanjilG_21987 transcript:OIW20765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAVAASVIRSPATPCKPSILIGRFPLNGSCFARFPSNSRFSFKQQQQLCTSVFMPRQGHRRRSLVVRAAAPFPELSGSSSDKIAPLKLESPVGQFLSQILKDHPHLVPAAVDQQLEQLQTDRDADQQKQDPSASGTDLVLYRRIAEVKANERKKALEEILYALVVQKFMDANVSLIPSISPDPSGRVDSWPSGAGKLEHLHSHEAYEMIQNHLTLILGNRIGDSTSVAQISKLRVGQLYAASVMYGYFLKRVDQRFQLEKTMKILPGATEEENSGHQTATDDKRPSVAGGATHVMSHPEVSTWPDGDVSPGGFGYGIKPTRLRNYVMSFDSDTLQRYATIRSKEAVNIIEKHTEALFGRPEIVITPGGTVDSSKDENINISFGGLKRLVLEAVTFGSFLWDVESYVESRYHFVLN >OIW20762 pep supercontig:LupAngTanjil_v1.0:Scaffold_42_78:10763:10972:1 gene:TanjilG_21984 transcript:OIW20762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLHLVDEVKEHLDLFKKAGAITTIFCNQYLSADEEIKNILENFIWEYYEDSYLRVWKKLQNLLFLWL >OIW20769 pep supercontig:LupAngTanjil_v1.0:Scaffold_42_78:45974:50039:1 gene:TanjilG_21991 transcript:OIW20769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEVLILKGEDPAMMLTSGATGRISALFSLNALKSLIVFLNGVVLLILLPFRVLVSTPAKDDHEGHRKGAVVRVPATIVAWKSSSSVSSGNGASRRTMAIRRVLEEEKKDDNNNMVRDYWLFRPRRGDTIFTQSWIPTNVKVRGVVLLMHGLNEHSGRYNQFAKQLNANGYKVYGMDWVGHGGSDGLHGYVHSLDDAVSDMKVFLEKILTENPGLPCFCFGHSTGGAITLKALLDPKIEARIAGAVLTSPAIGVEPSHPILLALAPIASVLLPTYQCSSAYKKGLPVSRDPEALIAKYSDPLVSTGPLRVRTGYEILRITSYLQQNLTKVKVPFFVLHGTADSVTDPAASKKLYEEAASTDKTSKLYEGFLHDLLFEPERDAITQEIIQWLNSRV >OIW20768 pep supercontig:LupAngTanjil_v1.0:Scaffold_42_78:40750:43549:-1 gene:TanjilG_21990 transcript:OIW20768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLTLPPVAPHPRDDAIQLYKAFKGFRCDDSAVINVLAHRDATQRAYIQHEFRTMYSEDLLKRLTKELRGKLETAVLLWMHDPAGRDAVILKHSLTVTKVLEAATEVICSRTPSQLQHLRQLYHSKFGVYLEHDIERNTSGDHKKILLAYVTTPRHEGPEVNREIAEKDAKALYKAGERKLGTDEKTFVQIFSQRSAAHLAAASFCYKHKHGHSLEKAVKKETSGLFALALKTIIQCSENPARYFAKVLRKAMKGLGTDDNTLIRVIVTRTEIDLQYIKAEYLKKYKKTLHHAVRSETSGHYRAFLLSLIGPNH >OIW20764 pep supercontig:LupAngTanjil_v1.0:Scaffold_42_78:19871:21988:1 gene:TanjilG_21986 transcript:OIW20764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHHVGFVDAEDMNLTSGKRKFSSLIGIQTESWHSFGPPPPTVTSNAIVEFTAPVFNEEEEDLEIKIRSIIDNVPVRVSNTSGNSEGSGSGDFHQNILNAIESGKVENVPAPAIAIDYWRLPKDANLKDVNHFAWDIHHQGKELKEAPAPVGYH >OIW20766 pep supercontig:LupAngTanjil_v1.0:Scaffold_42_78:30491:33172:-1 gene:TanjilG_21988 transcript:OIW20766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVMVTRPLWMALFSVCFLSVSALLQRLEHPNKADGSLSLVVIGDWGRKGAYNQSQVATQMGRVGEKINIDFVISTGDNFYEDGLTGIDDPAFESSFSEIYTAKSLQKQWYGVLGNHDYRGDVEAQLNPILQNIDHRWFCQRSFIVDTDIAEFFFVDTTPFVDKYFLEPKDHKYDWREVLPREKYLSNLLKDLEIALRDSISKWKIVVGHHPVRSIGHHGNTEELNRHLLPIFEANNVDMYINGHDHCLEHISSTRSQIQYLTSGGGSKAWKGDIDDNNTDGVKFYYDGQGFMTLELEQNNAKVVYYDIFGNVLHVLNLFKGLHSAI >OIW20763 pep supercontig:LupAngTanjil_v1.0:Scaffold_42_78:13470:18079:1 gene:TanjilG_21985 transcript:OIW20763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRICEYKQKSGKVSNFLRMLRTCQSFARSEPTNKLRPAYFIVNGFITSYRQAYKSDLPAFIWIYMSVSRAAAGVEASKLFLAAISFIAALGFLLYGGRLFILLRHFPIESRDRQKKLYEVGSVTSVCCAGFLIRYVMLALSTFDENVDLVMS >OIW20767 pep supercontig:LupAngTanjil_v1.0:Scaffold_42_78:36122:38305:-1 gene:TanjilG_21989 transcript:OIW20767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGFSNMFMKFFLFTISFGLCILYASAELHKFAHSSKHDGSLNFLVLGDWGRRGAYNQSEVAFQMGKVGEKLDIDFVVSTGDNFYDNGLTSDNDTAFEESFTNVYTAKSLQKQWYSVLGNHDYRGDVEAQLSPFLREIDNRWLCLRSFIVDSELVEIFFVDTTPFVGEYFTEKKHKYDWQSIIPQKSYITNLLKDLELAIKESTAQWKIVVGHHAIRSVGHHGDTQELINQLLPILQENDVDFYMNGHDHCLEHISDTESSIQFLTSGAGSKAWRGDIHKTNQRGLHFFYDGQGFMSVKLTQTDATIEFYDVYGNVLHRLTSSKNLHSSM >OIW20770 pep supercontig:LupAngTanjil_v1.0:Scaffold_42_78:58326:58904:-1 gene:TanjilG_21992 transcript:OIW20770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGGPDNSNSAYRECLRNHAASLGSYATDGCGEFTVDNMSPAGLQCAACGCHRNFHRKLKYPPGGGGHRLGLINYTASHGPDPETTADMMDYSGGGGGEGGSEGRLMELERSGSGKKRFRSKFTADQKEKMLAFAEKLGWKLQRKDLDDEIERFCKSIGVSRQVFKVWMHNHKNSSSSSSASTGNVSSLTQ >OIW20795 pep supercontig:LupAngTanjil_v1.0:Scaffold_45_113:4660:6312:1 gene:TanjilG_23175 transcript:OIW20795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEMEGPEIKKIARFSSCRGVAFEINPNRINPFAIGSPPKQERIGTWLRLPWTRTSSFKVHSNATISSRSRSSSHFCDIDIDFYDDDDEVEFLAEVEDIEKQEKGNGVKVQKFQPKTDPPSSSRLFIIMLDQGLTVYKQLFLFCLTLNLVALALSAAGHFQYGRKRAALFSIGNILALTLCRSEAALRVVFWFVVKTIGRPFVPLRLKTAATSFLQSIGGIHSGCGVSCIAWLVYSLVLTLKNKDETPVEIIGVAFTILSLILLSTFAAFPLVRHLHHNLFERTHRFAGWMALMLLWLFIILTISYEPSTQSYDLTMTKLVKKQECWFTLAITFLIIIPWLTIRKVPVHVSTPSSHASIIKFEGGVQAGLLGRISPSPLSEWHAFGIISDGNKEHMMLAGAVGDFTKSLITTPPKHLYVRTMHFAGLPYLVNLYQRVLLVATGSGVCVFLSFLLQQPRKVDVFLIWVAKDIELNFGKEMKEMLSKYSKEKVVVHDTAICGRPNVAEMSVEGAIRWNAEVVIVTSNPEGSRNVLRACKRANIPAFGPIWDS >OIW20796 pep supercontig:LupAngTanjil_v1.0:Scaffold_45_118:6837:11104:-1 gene:TanjilG_23176 transcript:OIW20796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMEAFVPQERISGGYASPSSLVLDRERIELVEAPFKLEQKGVCYERSIDALRKHSEAERKRRARINSHLDTLRSVIPGAKKMDKATLLAEVIGHLKELRANASQACEGLMIPKDNDEIKVEEQEGGLNGFPYSIRASLCCEYKPGLLSYIRQELDALHLMIIRAEMATLGGRMKNVFVIISCKEQNFEYAEYRHFLASSVHQALRSVLDRFLVSQDILGTRKRRRISIFSSDLL >OIW20798 pep supercontig:LupAngTanjil_v1.0:Scaffold_45_124:19062:25620:-1 gene:TanjilG_23178 transcript:OIW20798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCCSDFGCNKGTKILAVIFDLDGTLVDTGRAGRYVLTEFLAKYGKELDMEKEGKKRLGMTQKESAAIFVKDYELPLTPDQFIEEITPHYRERWADAKALPGANRLIKHLQKNRVPLALASNSIHEYIEAKISHHKGWKESFSVILGSDQVKSGKPSPYLFEEAAKEMGVDAINCLVIEDSFVGVQAANAAKMKVVAVPSRKEADCYVVADVVLHSLLEFQPQLWGLPHFDDWVDKALPIEPIHLSGLYVTGSLYETTGNAAFSLPDQVVGLYIGWAKVDTDRRNLKILNVCLIDDNSEDKSEQKMEINLVGYIKALDKELTSTELEKLTEYKSLARASLDLPSFSYI >OIW20797 pep supercontig:LupAngTanjil_v1.0:Scaffold_45_124:12617:17139:1 gene:TanjilG_23177 transcript:OIW20797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATTSFPAIFATSETLAKPVTRSFATTNLAPQPLSSKLSFKSPSLRRCKAGGSAVGSRMVSAPAISKSPALLDFETSVFKKEKISLAGNDEYIVRGGRDLFHLLPDAFKGIKQIGVIGWGSQGPAQAQNLRDSLAEAKSDIVVKIGLRKGSRSFAEARAAGFSEENGTLGDIWETISGSDLVLLLISDAAQADNYEKVFSHMKPNSILGLSHGFLLGHLQSAGLDFPKNISVIAVCPKGMGPSVRRLYVQGKEVNGAGINSSFAVHQDVNGRATDVALGWSVALGSPFTFATTLEQEYKSDIFGERGILLGAVHGIVESLFRRYTENGMGEDLAYKNTVESITGIISKTISTKGMLSVYNALSDEGKKEFQNAYSASYYPCMEILYECYEDVASGNEIRSVVLAGRRFYEKEGLPAFPMGNIDQTRMWKVGERVRSSRPAGDLGPLYPFTAGVFVALMMAQIEILRKKGHSYSEIINESVIESVDSLNPFMHARGVSFMVDNCSTTARLGSRKWAPRFDYIITQQALVAVDKDTPINQDLISNFLSDPVHGAIKVCAELRPTVDISVPADADFVRPELRQSSN >OIW20800 pep supercontig:LupAngTanjil_v1.0:Scaffold_45_127:22094:22555:1 gene:TanjilG_23180 transcript:OIW20800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLTILIALVAALVLVVHTSAFQSSKQSCKRQLQQVNLRHCENHIAQRIQQQQEEEEDHALKLRGIKHVILRHRSSQEYSEESEELDQCCEQLNELNSQRCQCRALQQIYESQSEQCEGSQQEQQLEQELEKLPRTCGFGPLRRCDVNPDEE >OIW20799 pep supercontig:LupAngTanjil_v1.0:Scaffold_45_127:8750:14475:1 gene:TanjilG_23179 transcript:OIW20799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVDVIKSCIDSVTQILEYVEGAIVYLDAGSTESFQFIGAYPLLLNHGARAICSLENMSSFDAMVDWNSSSDPARKLVVITSRLLSDAHRYILRCLSSHQGVRHCTIFTSISEVSHAVFPGSPLGPDAYHEYESLLVQDYEELTKKFGTKSGMFGSNGPEKLNFEDDGSRFPSSGEEVPHLESSSSGRGFYEHNPLDFTENAVLKLLVSVHHFPMILCPISSRVFVLPSEGLVAESYLSAEHEDSISPGLPPLSTGVLSDADDVPPGVTLTANFLYHLAAKMDLKMEIFSLGDTSKTIGKILTDMSSLYDVGRRKRSAGLLLIDRTLDLLTPCCHGDSLVDRMFSSLPRRSRTTSQGKGSGILLKHGSSYLQRAPLDVQIPVAKMLNEEDSQIDNFRLIESVEAFLHGWNHGNNDSHMADLLNLSQNIHDKPHPSDIEILSGSFVSSESFRGTKFLEALLDMKTKDGALLVKKWLQETIRRENVILNMKSRPGQVTKSELLAMIKALARSQSSLLRNKGIIQLACATLSALEESNCAKWDAFISAEKILSVSLGETSQSLAAQICDLINKSALLGSHVNKGKMDTSKGILSLQDALLLMIVGYILAGENFPTSGSDGPFSWQEEHLLKEAVVDALLENPSVENLKLLDGIREELEANANKLKLKETAEEPSELDIDDFDDDQWGKWGEEEGDDDNRNEQVYDDVQLKLELRDRVDNYFKFLHKLSTLKIKNVPLRDGSLTVDGNFGEDTYMGKGLLYKLLTRVLGKYDLPGLEYHSSTVGRLFKSGFGRFGLGQAKPSLADQNVILVFVVGGINGVEVREAQEALSESGRPDIELIVGGTTFLTPDDMLDLLQGDFSYI >OIW20801 pep supercontig:LupAngTanjil_v1.0:Scaffold_45_144:11642:12528:-1 gene:TanjilG_23182 transcript:OIW20801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAPWYNSYYNHYMEGEPMRVVFESLFVKYKVDVVFAGHVHAYERSERVSNDKYNITNGICTPVKDISAPVYITNGDGGNLEGLATNMTQPQPSYSAYREASFGHGTLYIKNRTHAHYSWNRNQDGYAVEADKLWLFNRYWNPLDDSTTHIP >OIW20804 pep supercontig:LupAngTanjil_v1.0:Scaffold_45_145:19313:21708:-1 gene:TanjilG_23185 transcript:OIW20804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMGYSSSVALLALLMSIVVLCNGGKTSTYVRNLIEKPVDMPLDSDAFAIPPGYNAPQQVHITQGDLVGQAMIISWVTVDEPGSNEVIYWSDSSLQNFTAEGQVFTYTYYNYTSGFIHHTTITNLEFNTKYYYEVGIGNTTRQFWFITPPEVGLDVPYTFGIIGDLGQTFDSNTTLTHYQNSNGTTLLYVGDLSYADDYPNHDNVRWDTWGRFTERSAAYQPWIWTAGNHEIDFDPQIGETQPFKPFSNRYHTPYEASQSTEPYYYSIKRGSAHIIVLASYSAYGTSTLQYKWLTSELPKVNRTETSWLIVLMHAPCYARKCWLLTINTINTERVSNDKYNITNGICTPVKDISAPVYITNGDGGNLEGLATNMTQPQPSYSAYREASFGHGTLYIKNRTHAHYSWNRNQDGYAVEADKLWLFNRYWNPLDDSTTHIP >OIW20803 pep supercontig:LupAngTanjil_v1.0:Scaffold_45_145:15936:17402:-1 gene:TanjilG_23184 transcript:OIW20803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRCGQFKGYSRVTKDIDAGDYERRRTKNKKKTKEKKETKEKEEEEEEKKKKEEKRGGGGGGGEGEEEEEEEFEMSA >OIW20802 pep supercontig:LupAngTanjil_v1.0:Scaffold_45_145:6948:8051:1 gene:TanjilG_23183 transcript:OIW20802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAKNQFHHISLPFILCLGFLAFQVTSRTLQHASMYEKHEQWMIRYGKVYKDAEEREKRFSIFNENVNYIEAFNNAGNKPYKLGINQFADLTNEEFNAYKNGFKGYMSSSITRTNTFKYENVTAVPSTVDWRQQGAVTPVKDQGQCGCCWAFSAVAATEGINKLRTGNLISLSEQELVDCDTKGVDQGCEGGLMDDAFKFIIKNHGLDTEANYPYQGVDGTCNADEAANHAATITGYEDVPANDEQALQKAVANQPISVAIDASGSDFQFYQGGVFTGSCGTQLDHGVTAVGYGVSADGLNYWLVKNSWGTQWGEEGYIKMQRNVAANEGLCGIAMQASYPTA >OIW20805 pep supercontig:LupAngTanjil_v1.0:Scaffold_45_148:13792:16217:-1 gene:TanjilG_23186 transcript:OIW20805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYSSSVALLALLMSIVVLCNGGKTSTYVRNLIEKPVDMPLDSDAFAIPPGYNAPQQVHITQGDLVGQAMIISWVTVDEPGSNEVIYWSDSSLQNFTAEGQVFTYTYYNYTSGFIHHTTITNLEFNTTYYYEVGIGNTTRQFWLITPPKVGRDVSYTFGIIGDLGQTFDSNVTLTHYQNSKGKTLLYVGDLSYADDYPNHDNGETQPFKPFSNRYHTPYEASDSTEPFYYSIKRGPAHIIVLASYSAFGSSTLQYKWLQAELPKVNRSETSWLIVLMHAPWYNSYNNHYMEGESMRVVFESLFVKYKVDIVFAGHVHAYERSERVSNDKYNITNNICIPVNDLSALVYITNGDGGNLEGLATMKQPQPSYSAYREASFGHGTFDIKNRTHAHYSWNRNQDGYVVEAAKLWLFNRYWNPHDDSTTHKH >OIW20806 pep supercontig:LupAngTanjil_v1.0:Scaffold_45_165:2321:2488:-1 gene:TanjilG_23187 transcript:OIW20806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGREESVNADNKDAHEQLPLQGAQQEEIKWSEYLKQSISSWKHNVEPNFSVYLCG >OIW20807 pep supercontig:LupAngTanjil_v1.0:Scaffold_45_184:7842:8745:-1 gene:TanjilG_23188 transcript:OIW20807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMGYSSSVALLALLMSIVVLCNGGKTSTYVRNLIEKPVDMPLDSDAFAIPPGYNAPQQVHITQGDLVGQAMIISWVTVDEPGSNEVIYWSDSSLLNFTAEGQVFTCTFYNYTPGFIHHTTITNLEFNTKYYYEVGIGNTTRQFWFITPPEVGLDVPYTFGIIGYYL >OIW20808 pep supercontig:LupAngTanjil_v1.0:Scaffold_45_185:2461:4083:-1 gene:TanjilG_23189 transcript:OIW20808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKTALTNVPFTLSKLLASTILLDINYAASIFSFIQNPNLFMFNTMLRGYSMRNLLYKALPIFNELRKSGILLDQFSFITVVKACGRDFNVGVGKGVHGIVVRSGNGSFVDLKNTLMHFYCVCRRIEDARKVFDEFPERNDLVSWNTLMGGYVFVSKANLVFDLFREMCWSGIKASVATVLSLLSAASDIGSFVGGKSLHGYCIQLGLSSNLNVVTALIDLYAKTGHINLARKVFDGLTVKDVVLWNCLIGKYAKNGLVEEALDLLQQMRLQGVEPNSSTLAGLISACPASGSTQVVRYVISFIEEEELELDVVLGTALVDMYAKCGFLVKAMDIFERIKGKDVKCWTAMISGHGVHGQPTNAIKLFNRMENEGFKPNEVTFLAILTACSHGGLVIEGLEIFISMVQKYGFTPEVEHYGCLIDLLGRSGMLNEAHKLIMSLPIKSDATAWRTLLSACRVYGDVKLGECVKDVLTNIYEAHPADSLLISSTYAVAGRISDHTRMQEQTNVIGENNCGMIETVGETMVKEVGLSAVEIDNEE >OIW20809 pep supercontig:LupAngTanjil_v1.0:Scaffold_45_185:23831:24169:-1 gene:TanjilG_23190 transcript:OIW20809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTSTGLRGEAPKVVQIETRYVQTDAINFKEVVQSFTGKNSSTAWIGQKNDADQSYDVVVARNSEIKGGTSVTVAKPEDGAASMLMNNISFKDFDNLFLELPPMDIEARPWF >OIW20810 pep supercontig:LupAngTanjil_v1.0:Scaffold_45_196:4310:5638:-1 gene:TanjilG_23193 transcript:OIW20810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAMGTNLDDEELLGLYEVMNALVDEPDLAQTYPQPCLETPWPGVQCEESTDDPPIYHVTKIHIGPDIFFPPCKTSAYLSQSLLKLTYLKTLSIFNCFVTSPVTLPSTLFGPFSCLEHLALHSNPTLYGVIPTSLGSVPNLRVLSLSHNSLQGNIPREFGGLVCLEQLDLSYNNLSGQIPKEIGGMKSITILDLSWNKIEGNMPYSLGQLQLLQKMDLSSNKLTGIIPHQLGNLKRLVLLDLSHNFINGPIPETMSSLELLEYLLIDDNPIKAGIPLFIGNLSKLKSVSFSGCGLFGSMPNIFSSLESLSALTLDNNNLTGPIPPTLGLLPNLDQLNISHNSLNGILQLSDEFIVKLGRRLDVRRNSDLCISDHSNNKNLSSYLEIPSCVAKNGSFADRTPKGPAGIKPTWYKSNISSSSTLLDLQVILFALVSNFILSLFL >OIW20814 pep supercontig:LupAngTanjil_v1.0:Scaffold_45_208:45514:46999:1 gene:TanjilG_23197 transcript:OIW20814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTFKYHQYQVVGRALPTQSDEHPKIYRMKLWATNEVRAKSKFWYFLRKLKKVKKSNGQVLAINEIFEKNPTKIKNYGIWLRYQSRTGYHNMYKEYRDTTLNGAVEAMYNEMASRHRVRFPCIQIIKTATIAAKLCKRESTKQFHNSKIKFPLVYKKIRPPTRKLKTTYKAKKPNLFM >OIW20811 pep supercontig:LupAngTanjil_v1.0:Scaffold_45_208:8424:11031:1 gene:TanjilG_23194 transcript:OIW20811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMGYSSSVALLALLMSIVVLCNGGKTSTYVRNLIEKPVDMPLDSDAFAIPPGYNAPQQVHITQGDLVGQAMIISWVTVDEPGSNEVIYWSDSSLQNFTAEGQVFTYTYYNYTSGFIHHTTITNLEFNTKYYYEVGIGNTTRQFWFITPPEVGLDVPYTFGIIGDLGQTFDSNTTLTHYQNSNGTTLLYVGDLSYADDYPNHDNVRWDTWGRFTERSAAYQPWIWTAGNHEIDFDPQIGETQPFKPFSNRYHTPYEASQSTEPYYYSIKRGSAHIIVLASYSAYGTSTLQYKWLTSELPKVNRTETSWLIVLMHAPWYNSYYNHYMEGEPMRVVFESLFVKYKVDVVFAGHVHAYERSERVSNDKYNITNGICTPVKDISAPVYITNGDGGNLEGLATNMTQPQPSYSAYREASFGHGTLDIKNRTHAYYSWNRNQDGYAVEADKLWLFNRYWNPLDDSTTPIP >OIW20812 pep supercontig:LupAngTanjil_v1.0:Scaffold_45_208:26126:29170:1 gene:TanjilG_23195 transcript:OIW20812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTDANEGFHFSSLVQGLNLVYGLVENGDFEATPSKGFPSESITEGPTEIPTWKSNGTVELVESGEKQGGMILIVPQGRHAVRVGNDAEISQEIHVDKGSIYSVTFCAARTCAQLESLNVSAASASQNIDLQTLYNVQGWNPYAVSFNAMEESIRLVFKNPGMEDDPTCGPIIDNIAIKKLFTPDRPKDNAVTNGDFEEGPWMFRNASLGVLLPTNLDEETSSLPGWIVESNRAIRFIDSDHYAVPQGKRAIELLSGKEGIISQMVETKADKLYSLTFSLGHADDKCKEPLAVMAFAGDQAQNIHYTPNSNSTYQIANLNFTAKADRTRIAFYSVYYNTRSDDMSSLCGPVVDDVRVWFSGSNGLGGLGIVRLGLVILGLVWVIV >OIW20813 pep supercontig:LupAngTanjil_v1.0:Scaffold_45_208:30683:32721:-1 gene:TanjilG_23196 transcript:OIW20813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRKVREPKEETVTLGPAVRDGEHVFGVARIFASFNDTFIHVTDLSGRETLVRITGGMKVKADRDESSPYAAMLAAQDVATRCKELGITALHIKLRATGGNKTKTPGPGAQSALRALARSGMKIGRIEDVTPIPSDSTRRKSGRRGRRL >OIW20815 pep supercontig:LupAngTanjil_v1.0:Scaffold_45_208:59703:66415:-1 gene:TanjilG_23198 transcript:OIW20815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERFARSNEGSRSDPSPEWTGTGAGTGLEGSMWQLGLGGGDGGGGGEESYPLRPGEADCMYYLRTGVCGYGSRCRFNHPPDFGVVIRASRTGEFPERVGQPVCQYYMRTGSCKYGPSCKYHHPKEGGGTANPVSLNYYGYPLRPGEKECSYYVKTGQCKFGSTCKFHHPQPTVVQIPPLPPPVPQVPHLSVPMPSPLYPTVQLPSGPSQQFGVLVARPPLLSGSFVQSPYGPMVLSPAMVPYSGWDPYQAMATSPVLPSSTPSNVGSAQLYGITQIAPSSAAYTGPYQPSVSSVGPSSSSQKEYSLPERPDQPECQYFLKTGECKFGPSCRYHHPQAMNALKATVTLSPAGLPLRPGAAPCTHYAQRGVCKFGPDCKFDHPVASLSYSPSASSLADMPVAPYPVGSSIGTLAPSSSSSELRPDLTSRSKEPVSFRVSSSLSTSTGSVGLTLSTGEPVSQSRTQPSAQSSNPLAAPSTATSGNVSHTSS >OIW20772 pep supercontig:LupAngTanjil_v1.0:Scaffold_45_21:5994:8995:-1 gene:TanjilG_23138 transcript:OIW20772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRLAAASVSHIARPKNPSFFSAPQLNITLKPRPNALLPRPISKFDSQWHIRAISEAAAEPSISKKEGEEQSPKDWKIKMLYDGDCPLCMREVNMLRERNKTYGTIKFVDISSDDYSPEGNQGLDYETAMGRIHAVLSDGTVVTDVEAFRRLYEQVGLGWVYAVTKYEPIAKIADSIYGVWAKYRLQVTGRPPIEQILEARKKKESNFRHILTSEIETMTNCLD >OIW20773 pep supercontig:LupAngTanjil_v1.0:Scaffold_45_21:22682:24256:-1 gene:TanjilG_23139 transcript:OIW20773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGNGKQHRWKISLHRSSKSKLDPKTPPKEFICPISGSLMSDPVIVSSGQTFERVAVQVCTDLNFSPVLENGSRPDFSTVIPNLAIKTTIINWCNKSHTVHPHAPDYGSLESTVREMMAAEAPEERIRVSEKELLKNVAENPPVNFSHAATELGTRINHFDSGSSEESVIIGESHGTPLPFTIRPTCLSSTSSTSIEISEIEIQNPSGPISEEEEKLLTNLRSSEVFEQEQGVISLRSITRNKEEARFSLCTPRLLFVLRSLVQSRYTVVQVNAVASLVNLSLEKRNKVKIVRSGFVPFLIDNLKAGSSETQEHAAGALFSLALDDNNKMAIGVLGALQPLMHALRSDSERTSHDSALALYHLTLVQTNRIKLIKLAAVSTLLSMVKSKTRDLVSRILLILCNLAVCVEGQTAMLDANAVECLVGLMRENELNSESTRENCVAALYALSHRSFRFKLLAKEAKAVEELKKIEKTGTERAREKAKRMLQMMRAVGEEEEEGLEGTLESGGLTRNWNRVGGGSTTF >OIW20817 pep supercontig:LupAngTanjil_v1.0:Scaffold_45_212:9903:17766:-1 gene:TanjilG_23200 transcript:OIW20817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPSKTHSSSDDEPLSNASTSSDQEEHHINELEDEDELEAVARSAGSGDDENSPDSDEDSPPVQDADEDDEGEDGVDPEISKHEKARLREMDKMKKQKIQEILDAQNAAIDADMNNRGKGRLNYLLQQTELFAHFAKGDQSSSQKKSRGRGRHASKVTEKEEDEEYLKEDDVANNTRLVTQPSCIEGKMRDYQLAGLNWLIRLYENGINGILADEMGLGKTLQTISLLGYLHEFRGIKGPHMVVTPKSTIGNWMNEIRRFCPVLRAIKFLGNPDERRHIKEELLVAGKFDVCVTSFEMAIKEKSALRRFSWRYIIIDEAHRIKNENSLLSKTMRIYSTNYRLLITGTPLQNNLHELWALLNFLLPEIFSSAETFDEWFQISGENDQHEVVQQLHKVLRPFLLRRLKSDVEKGLPPKKETILKVGMSQMQKQYYRALLQKDLEVVNAGGERKRLLNIAMQLRKCCNHPYLFQGAEPGPPYTTGDHLITNAGKMVLLDKLLPKLKERDSRVLIFSQMTRLLDILEDYFMFRGYQYCRIDGNTGGDERDASIEAFNKPGSEKFVFLLSTRAGGLGINLATADVVILYDSDWNPQVDLQAQDRAHRIGQKKEVQVFRFCTEYTIEEKVIERAYKKLALDALVIQQGRLAEQKTVNKDELLQMVRFGAEMVFSSKDSTITDEDIDRIIAKGEEATAELDVKMKKFTEDAIKFKMDETAELYDFHDDKDENKVDFKKIVSENQIEPSRRERKRNYSESEYFKQTMRQGGPTKPKEPRIPRMPQLHDFQFFNSQRLTELYEKEVRYLMQAHQKNQVKDSIDVDETEVGHPLTAEELEEKEHLLEEGFSSWSRKDFNTFIRACEKYGRNDIKSIAYEMEGKTEEEVERYAMVFKERYKELNDYDRIIKNIERGEARISRKDEIMKAIGKKLDRYKNPSLELKVQYGQNKGKLYNEECDRFMICMVHKLGYGNWDELKAAFRTSPLFRFDWFVKSRTTQELARRCDTIIRLVEKENQEYDERERQARKEKKLAKNNTPSKRALARQTESPSSKKRRQLTVDDYATGKRRK >OIW20816 pep supercontig:LupAngTanjil_v1.0:Scaffold_45_212:4600:7888:1 gene:TanjilG_23199 transcript:OIW20816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPSLHIFPIFSNMFFFFPQPYLSLVIHVDSKSRSGSDPKKEIPIPKDGQTTHIAAQTFTFRELAAATKNFRPECLLGEGGFGRVYKGRLENTGQVVAVKQLDRNGLQGNREFLVEVLMLSLLHHSNLVNLIGYCADGDQRLLVYEFMPLGSLEDHLHDLPPDKEPLDWNTRMKIAVGAAKGLEYLHDKANPPVIYRDLKSSNILLDEGYHPKLSDFGLAKLGPVGDKTHVSTRVMGTYGYCAPEYAMTGQLTLKSDVYSFGVVFLELITGRKAIDNTRSHGEHNLVAWARPLFKDRRKFPKMADPLLQGRYPIRGLYQALAVAAMCLQEQAATRPLIGDVVTALTYLASQTYDPNASNLSNRLGSSSTPRSRDGRRNMGDSVDSPDRGRLGSPSSYRNSPDYRKSHSRDPSSGTELGRSVSNGGSGRKWGLDDVERQESHRDSPVNTGRARETPRNRDLDRERAVAEARVWGENWREKKRANAMGSFDATNE >OIW20771 pep supercontig:LupAngTanjil_v1.0:Scaffold_45_3:15408:16110:-1 gene:TanjilG_23127 transcript:OIW20771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRPPHAPPRQLFKHQSWSPDMIREEAWQRRKESHFSSRYRLSKSLSNADLEELKACIELGFGFDSPKIDPKLSNTIPALELYLAVNKQYNSHSLSRSSSTSSFVSESDTSSSNNTIFNPVGLQMPVTLYSIVVTRVSGYLRGDLQRHVDT >OIW20779 pep supercontig:LupAngTanjil_v1.0:Scaffold_45_30:61475:63048:1 gene:TanjilG_23145 transcript:OIW20779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRGVWQLQKLIVSYSDWGGSSRGIRAFMESNLPAFKEKNPQLEVVTELIRGRHPHLKGFYKNKNERVICVKNMDPEEILVHATRLRDSLGRKVLKLKTRHVVKHPSVQGTWTTAVKF >OIW20774 pep supercontig:LupAngTanjil_v1.0:Scaffold_45_30:3227:5966:-1 gene:TanjilG_23140 transcript:OIW20774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEGDKDNDFYGVLGLNKECTESELRIAYKKLALKWHPDRCSASGNSKFVEEAKKKFQAIQQAYSVLSDANKRLMYDVGVYDSDDDENGMGDFLNEMVAMMSQTKPNENGGESFEELQQLFQDMFQDDIGSNGNTSHTASGCSASSTYMTFSETSNSNKRNSSEMNFGKVDDSFNFNGSYQNFCFGVSIYFFLMFFI >OIW20777 pep supercontig:LupAngTanjil_v1.0:Scaffold_45_30:38149:45815:-1 gene:TanjilG_23143 transcript:OIW20777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKSNSDKSSKNPEQDINLLKSDVASFASSLGLSTSESTYSGFNDVDFRKKTKTPKKQQQTTEKSTTHNTQKPKNKTLPQNNETHEPNRPKPKPPVLSLDDGNNEKGFNKFKNLPKVPLVKAGELGVWYEDASELESKVIGEGKGVEINNVEEWKGFVEKKKEMGERLMAQYAQDYEKSRGKSGDIKMLVSTQRSGTAADKVSAFAVLVGDNPIANLRSIDALLGMVTSKVGKRHALTGFEALQELFIASLLPDRKLKSLIQRPLNHIPENKDGNSLLLFWHWEECLKQRYERFVVALEEASRDMLPALKNKALRSIYCLLSRKSEQERKLLSAIVNKLGDPDNKAASNADFHLSMLLSDHPNMKAVVIDEVDSFLFRPHLGPRAQYHAVNFLSQIRLSNKRDGPKVAKRLIEVYFALFKVLITAPNSEEKLDKTSKENPKEKSEGTQESHAELDSRLLSVLLTGVNRAFPYVSSDEADDIVEVQTPVLFRLAEMFVGLLLRAMKRDVNLKRVAAFSKRLLQVALQQPPQYACACLFLLSEVLKARPPLWNLVLQNEYIDDELEHFEDVIEETDNEPSTASNKQDNETGLIQNGDDADSESEDDLPASSQDDDSDDASEDGDFVLARKEKNSAISKSVSNNEGQQVHASDKKSILPGGYDPRHREPSYCNADHVSWWELMVLASHSHPSVATMAKTLLSGANIVYNGNPLNDLTLTAFLDKFMEKKPKLSTWHGGSQIEPSKQLDMNAHMIGSEMLLLAEEDVPPEDLVFHKFYTNKMNSLTKQKKKKKKTANEEDAEDLFDVDGRGADGDDESDNEEIENLLDSTDPSFEAVDYDYDDLDAAANEDDEDLIGDVDDAEEMDIPSDMGEEDDDDDAPLLDEDDGSDDNIELNAGDIDDASDVEEIEVDKKKRKRKSGGKSGASPFASYEEFEHLLEDDDNNEKKPSPKKHKSKKNKKK >OIW20776 pep supercontig:LupAngTanjil_v1.0:Scaffold_45_30:31284:33974:1 gene:TanjilG_23142 transcript:OIW20776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMGHLYALDFDGVLCDTCGETAISALKAAKVRWPPLFHGVDSTTQDWIVDQMITVRPVVETGYETLLLVRLLLETRVPSIRKSSVAEGLTVEDILENWFKLKPIVIEEWNENPDELIDLFGKVRDEWLNTDFSGWMGANRMYPGVTDALRFASSRVYIVTTKQSRFADALLKELAGVTIPPERIYGLGTGPKVEVLKKLQKMPEHQELTLQLATLKNVIKEPELDQWNLYLVDWGFNTQKEREEAAANPRVQLLELSDFSKKLI >OIW20775 pep supercontig:LupAngTanjil_v1.0:Scaffold_45_30:19912:20304:-1 gene:TanjilG_23141 transcript:OIW20775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLGMLTKLKSAIKRWPSLTKLSRNNSCVSAASTDSDKGATTTSSSNEEQQHGLHTVYVGKSRRQYLVNSDIIDHPVFQELVDKSCSDSDGVVVSCEVVLFEHLLWMLESNETQMGSMDELVEFYNCAC >OIW20778 pep supercontig:LupAngTanjil_v1.0:Scaffold_45_30:50201:52333:1 gene:TanjilG_23144 transcript:OIW20778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATANATAAPRQLSQKELDIQLMLAAQVHLGTKNIDFQMERYIFKRRFDGIYIINLGKTWEKLHLAARVIVGIENPQDIIVQSARPYGQRAVLKFAQYTGAHAIAGRHTPGTFTNQLQTSFSEPRLLILTDPRTDHQPIKEAALGNIPTIAFCDTDSPMRYVDIGIPANNKGKHSIGCLFWLLARMVLQMRGTIRPGLKWDVMVDLFFYREPEEAKQQEEDEAPAVEYAAITDFNASSLAADGQWPTAIDQSWTDAVPQPIPAVPGVGWVAPEAVPVAGDWGEAVPPPHIPAPVIDSIPASGWE >OIW20780 pep supercontig:LupAngTanjil_v1.0:Scaffold_45_30:64466:66701:-1 gene:TanjilG_23146 transcript:OIW20780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGGAIISDFIPADGAALGMSRRVTADILWPNLRKKTRKKFSKLLRPETVVLNDDFEDDFRNFKDDSDIEDDDEFMESGFEPTKPSNQNLKTLSRGSKTVKTVKLNGQAEESSKRKRKNQYRGIRQRPWGKWAAEIRDPRKGVRVWLGTFNTAEEAARAYDAEARRIRGKKAKVNFPDEAPDATPKRLKIRPEEPKENLNLVQPKVNKMSNLEEYFMGHVEQKPSINQYSNISPFPGNGLDLTPLDASDNVTAYFSSEHSSNSFDYSDLGWGEQGRMTPEISSMLSAPMEGQSEFVQVANQQKNLQSNSENVVSVQDDRAKSLTEELLCMESHLKFFDQTPYLSGSWDDASLASFLETQDGGNPMNLWSFDDLPSVAGGVF >OIW20783 pep supercontig:LupAngTanjil_v1.0:Scaffold_45_34:27641:31641:1 gene:TanjilG_23163 transcript:OIW20783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGKRRKNDDSDNDEGQKKPDMKKTKREELLPSMIKNKEKRSLVHAKLKRQKKLDKIAKHKARDAAEKRALELGEEIPERMVPRTIENTRELDETICKPDDEELFAGNDADEFSSILKREQTPKILITTCRFNSSRGPDFISDLLSVIPNAHYYKRGTYDLKKIVEYAKNKDFTSVVVVHTNRREPDALLIIGVPDGPTAHFKLSKLVLRKDLKNHGNPTSHKPELVLNNFTTRLGHRVGRLIQSLFPQDPEFKGRRVVTFHNQRDFIFFRHHRYIFETREVKKTDSKDKKDKDGASVTGPERKAIARLQECGPRFTLKLTSLQHGTFDTRGGEYEWVHKPEMDTSRRRFFL >OIW20784 pep supercontig:LupAngTanjil_v1.0:Scaffold_45_34:38635:42392:1 gene:TanjilG_23164 transcript:OIW20784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVAGGSNVAMFKLKRGNSSAHNVISGDNSDEDLSRKNSSVCFPSMAEVDRDTSYVSFTTFNILAPIYKRIDPQNPVLRESDFRPLWMARNERILDSLLSESSSIMCLQEFWVGNEELVDMYEERLGDAGYCLFKLARTNNRGDGLLTAIHKEHLSVVNNRELFLNDFGDRVAQLLHVKSVSPFSQNQKGSFHQEFLVINTHLLFPHDSSLCIVRLHQVYQILQFVELYQRENRLKPMPIILSGDWNGSRRGHVYKFLMSQGFVSSYDIASQYTNSYADSNKWVSHRNHRGNICGVDFIWLCNPNQARKPLKTSWAEAIFSMLKYQLRKATLSEDDAFAFLKGDHCVESVTYFSFSKALHKAKLIGVPYGLCFQQLQDLWNQADVDGNGVIDYEEFKQKIWNSKCPEHVFGNLIGSMEDTNTNEEEAIGFKVKNAMLSPREVEKGLWPENYSLSDHAILTAVFSPARMRCSVSQYCSQLVHANIC >OIW20781 pep supercontig:LupAngTanjil_v1.0:Scaffold_45_34:10825:12734:-1 gene:TanjilG_23161 transcript:OIW20781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASACASSAIAAVAISTPRQVSPTSMCTTPVGGRSTTALCAAADPNRPIWFPGSVPPAWLDGSLPGDFGFDPLGLSSDPESLRWNVQSEIVHCRWAMLGAAGIFIPEFLTKIGILNTPSWYTAGEQEYFTDTTTLFIVELIFIGWAEGRRWADIIKPGSVNTDPIFPNNKLTGTDVGYPGGVWFDPLGWGNGSPEKLKELRTKEIKNGRLAMLAVFGAWFQHIYTGTGPIENLFAHLADPGHATIFSAFSPK >OIW20782 pep supercontig:LupAngTanjil_v1.0:Scaffold_45_34:20468:20638:1 gene:TanjilG_23162 transcript:OIW20782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KHIIILKERNFTTIVENNRLMMVEFNSPWCSHCKSLAPEYVVAATELEYDDVDLRT >OIW20785 pep supercontig:LupAngTanjil_v1.0:Scaffold_45_35:13476:14943:-1 gene:TanjilG_23165 transcript:OIW20785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAMQGVKGFSDSREEEEEEGATTEEIITREESNSRLHQQEAASSNFMNHHTKQIEFMDLSLGGSNNKDINMNNGEANFQQGSQSSQAIVTEKEHMFDKVVTPSDVGKLNRLVIPKQHAEKYFPLDTTSNEKGLLLNFEDRNGKLWRFRYSYWNSSQSYVMTKGWSRFVKEKKLDAGDIVSFHRGIGELYRHRLFIDWRRRPNQYHGGVGVLDPNSGTLIAPLFLPNQPHLSQYSIRWGGRLYSMPSPSPTQQTRHHHHEHFQHLNYNNMYPFHHHGGGGSGSHHYMNNYNEVSNSGSGSLYYLRSTTPSMQMSDHQSFMARQQQHEGGVNNIAPIIIDSVPVAHHHHQQQQHHGGKSGTTSSNNTNSASKRLRLFGVNMECGSSPSEDPKCCTVPHASSSLQPLSTSARFGDNQRGEASMHFDLDPSLQYRRQ >OIW20786 pep supercontig:LupAngTanjil_v1.0:Scaffold_45_35:44629:46913:-1 gene:TanjilG_23166 transcript:OIW20786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSENGEEGPRSAPRLNERILSSMSRRSVAAHPWHDLEIGPGAPHIFNVVVEITKGSKVKYELDKKTGLIKVDRILYSSVVYPHNYGFIPRTLCEDNDPIDVLVLMQEPILPGTFLRARAIGLMPMIDQGEKDDKIIAVCVDDPEYKHYTDLKELPPHRLMEIRRFFEDYKRNENKEVAVNEFLPPATAIEAIQYSMDLYAEYILHTLRR >OIW20787 pep supercontig:LupAngTanjil_v1.0:Scaffold_45_39:4241:6187:-1 gene:TanjilG_23167 transcript:OIW20787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSSSTLTISTLFDGKAPRHSLAFSPQCVRLPTLHPSLVQSQNLPLKTTTYCRKNARNVTAMATGDAPADVATTESLEIVKPLQEAWEKVEDKYAVSSLAVAGVVALWGSTGLISAIDRLPLIPGVFEVVGIGYTGWFVYNNLVFKPDREALVEKIKETYSEIIGSS >OIW20788 pep supercontig:LupAngTanjil_v1.0:Scaffold_45_48:1309:2091:-1 gene:TanjilG_23168 transcript:OIW20788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METCPLPPQWEVLVLVSQHLDPQTLAIASCVSKSWLFSMSCDHLWKPILTTHFPSLSTLTPAVPFQRLFAIGHSAAKRRRKNPSKSKPKLSLSDLVFAVTLSSHESSCVVTITKPCDTLLVDPPGVFRFDVDVMDEGFKYGSADLRKGLEGVKVTWNVILKGWKEIFTMMDCEGNVGFVTGSGEGWFAQELPTPGCCSNAAASTVEADLKLQMCDGRESDGKVRVKKVNLGIMNVVNWRYVSVEDGLRYLEHFLLTCNEL >OIW20789 pep supercontig:LupAngTanjil_v1.0:Scaffold_45_81:10700:12430:1 gene:TanjilG_23169 transcript:OIW20789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDSNSTTTTNINTTEPIGQNLIKLISNLCFSFFVFSVLIFTIIAITYQPPDPWLQSAPALTQLFTQPQNPTFHVDTSIFKTGEDITLPPQHAPNPSRAAATSVTESQIQITEDKIANSTIPLPSDVCASEEIDSGTLNCSDPRVLVAVQRFNLRAFKSIVFFEYRTPVNGSDSDECDVAWRFRNKKEKSWRKYRDFRRFKIGVTDDCRYKVVHAGGWHSGSNARRNGTRATGAGGRGKNSPRISSNSNRDDEINDTIPSLGSQVNFRNGKYLYYSRGGDYCKGMNHYMWSFLCGLGEAMYLNRTFVMDLSMCLAATYNPSNKDEEGKDFRYYFDFEHLKEEASIVEEGEFLRDWKKWDKNHRKKRKVPVKKVVTHKVTPMQLKKDKSTIIWRQFDAPEPENYWYRICEGQAAKYVQRPWHALWKSKRLMNIVTEISGRMDWDFDAVHVIRGEKAERKDLWPHLDYDTSPDALVEKLKGMVQPWRNLYIATNEPFYNYFDKLRSNYKVHLLDDYKELWGNTSEWYNETSLLNNEKPVEFDGYMRVAVDTEVFYRGKTRVETFYNLTQDCKDGVNTC >OIW20790 pep supercontig:LupAngTanjil_v1.0:Scaffold_45_81:30727:32140:1 gene:TanjilG_23170 transcript:OIW20790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDHILELVGAFVGVNIGTDVSDMPSASKLVDILKANQITHVRLYDANAQILQALSNTGIEVIVGVINEEVLRIGESPSAAAAWVIKNVIAYVPATNITAIAFGSEILSTIPNAALVLVSGMNHLHKALVAANLHSRIKVSTPQSMDIIPKTFPPSIATFDSSWNSTMYQLLQFLKNTNSFYMLNAYPYYGYTKADGLFPIEYALFKPLPSVKRIVDPNTLFRYDCMLDAMVDATYYSIEAIHFNDIPIVVTETGWPWLGGGNEPDATVENAETYNNNLHLRVLNGSGPPSHPSIPINTYIYELFNEDKRNGPISEKNWGIFYPNASAVYPLSLSASDGVTGISASVFCVAKAGASNDKLEDGLNWACGQGLTNCAAIQPGKPCYLPNNLISHASFAYNDYYQKAHGAGGTCDFNGTATTTTQDPRIHNQIPEILK >OIW20792 pep supercontig:LupAngTanjil_v1.0:Scaffold_45_82:9238:13399:1 gene:TanjilG_23172 transcript:OIW20792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISGGGGRSFSTSSTSSWAPTTWVSASGKRIQREMVELNNDPPHDCSAGPKGDNLYHWIATIIGTPGTPYQGGIFFLDIIFPTDYPFKPPQVVFKTRIYHCNVDPDGHVSMGILKDGWSPALTITKVLLAVRSILTNPDPYNAVVPGIAHLYLGDRAKHDDIAAECTVRFAK >OIW20791 pep supercontig:LupAngTanjil_v1.0:Scaffold_45_82:3504:5041:1 gene:TanjilG_23171 transcript:OIW20791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKSSKGYAWAVSAGFNAALSAISTKLPFHQIVRYGMVLLFNVTMWTSYVNSLKALSSLQATVTNFATNFISSGLVGFFFFHESLSPQWFAGALLIIIGVVILSKSSIETKVSTD >OIW20793 pep supercontig:LupAngTanjil_v1.0:Scaffold_45_83:7944:13281:-1 gene:TanjilG_23173 transcript:OIW20793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASALISRVSRRFLKSSLPSYSPSITVFNPLISTQPPVKLNHGLNTSFVPFKQSLYQIPTFEYFKNARSLSNFQIVVHISTSPSKENKNQSGSLSRGDISWIDLYLPRQVQPYARLARLDRPIGTWLLLWPCMWSITLAAAPGQLPDFKMLALFGCGALLLRGAGCTINDLLDRDIDTKVERTKSRPVASGLLTPFQGLSFLGFQLLLGLGILLQLNDYRRLILVACLLLSTHEENYFLGWAAVKGSLDPAIVLPLYASGVFWTLVYDTIYAHQDKEDDLKVGVKSTALRFGDSTKEWITGFGIACLGNLALSGYNAEIGWPYYAFLAAASGHLCWQIITVDLSSRADCNRKFVSNKWFGAIIFGGILAGRLSS >OIW20794 pep supercontig:LupAngTanjil_v1.0:Scaffold_45_85:9514:17077:-1 gene:TanjilG_23174 transcript:OIW20794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDSHGNLDEQISHLMQCKPLSEQEVRALCDKAKEILMEESNVQPVKSPVTICGDIHGQFHDLAELFRIGGKCPDTNYLFMGDYVDRGYYSVETVTLLVTFKVRYRQRITILRGNHESRQITQVYGFYDECLRKYGSANVWKIFTDLFDYFPLTALVESEIFCLHGGLSPSIETLDNVRNFDRVQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDISEQFNHTNNLKLIARAHQLVMEGYNWGHDQKVVTIFSAPNYCYRCGNMASILEVDDCKGHTFIQFEPAPRRGEPDVTRRTPDYFL >OIW20819 pep supercontig:LupAngTanjil_v1.0:Scaffold_47_11:185:980:-1 gene:TanjilG_23802 transcript:OIW20819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKDQLNVLKALDVAKTQWYHFTAIVIAGMGFFTDSYDLFCISLVTKLLGRIYFYEEGSNKPGSLPSNVAAAINGVALCGTLAGQLFFGWLGDKMGRKRVYGITLMLMVICSIASGLSFSKDPKAVVITLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGFGILAGGMVAIIVSSVFSAYYPAPPFSVDPVLSTIPQADYVWRIILMFGALPALLTFYSRMKMPETARLR >OIW20820 pep supercontig:LupAngTanjil_v1.0:Scaffold_47_25:5030:8275:-1 gene:TanjilG_23803 transcript:OIW20820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASALETLCGQSYGAKQHRMLGIHMQRAMFTLMIVGIPIAIIWANTRSILIFLGQDPEISTEAGKYAQLMIPSIFAYGLLLSLNRFLQTQHIVFPMMFFSAVATLLHILLCWVMVYKFGLGNRGAAISSSISYWFNVIMLSLYVKFSPSCAKTWTGFSKEAFHCMPFVRLAIPSALMVCLEMWSFEMVVLLSGLLPNPKLETSVLSICLNTVGTIWMIPLGLGGAVSTRVSNELGAGHPRAARLAACVVLIMVLVEAIIVGMVTILIRNIWGYAYSNEVEVVKHVAQMMPIIAVSNFLDGFQGVLSGTVRGCGRQKFGAYVNLGSYYLFGIPSSIVFAFVLHIGGKGLWLGIICALFVQGVSFLIVTIRTDWEQEAKKVADRVYDSLIPESVVS >OIW20821 pep supercontig:LupAngTanjil_v1.0:Scaffold_47_31:4308:5232:1 gene:TanjilG_23804 transcript:OIW20821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLSKEEKSKRILRGVKTIFFLITMVISLVLFSAPILLVIADALVPSALLSTLSLQTLSSHFHNYDFRYSLIDIPLISIIRSFIIFCVYSLCDGPKLSRGPYLGITTMCSVLSLMFVSLKAVYIFSSVSSDEGSGYIRASEIALFVCSYALAVGHVVVAYRTSCRERRKLLVYKIDIEAVSAPFNFIIIISLFR >OIW20822 pep supercontig:LupAngTanjil_v1.0:Scaffold_47_33:7310:14241:-1 gene:TanjilG_23805 transcript:OIW20822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFLALLFIITIFTVSLFLLRKSRYRRLRLPPGSLGLPFIGETLQLISAYKSDNPEPFMDQRVNRYGPIFTTHVFGEPTVFSADPETNRFILMNEGKLFDCSYPGSISNLLGKHSLLLMKGALHKRMHSLTMSFANSSIIKDHLLVDIDRLIRLNLDSWTGRVLLMEEAKKITFELTVKQLMSFDPDEWTESLRKEYVLVIEGFFTLPLPLLSTTYRRAIKARTKVAEALTLIVRQRRKESESREKKDMLGALLASGDNFSDEEIVDFMLALLVAGYETTSTIMTLAIKFLTETPLALAQLKEEHDQIRARSSDPDAALEWTDYKSMAFTQCVVNETLRVANIIGGIFRRAMTDINIQGYTIPKGWKVFASFRAVHMNPDHFKDARTFNPWRWQSNSEAVNPGNLYTPFGGGPRLCPGYELARVVLSVFLHRFVTRCSWVPAEEDKLVFFPTTRTQKRYPIIVKRREEYKPSSTK >OIW20818 pep supercontig:LupAngTanjil_v1.0:Scaffold_47_8:1266:5384:-1 gene:TanjilG_23799 transcript:OIW20818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAENENNPLTLSDEQILGQIYSTHVHSDTKFDAGSLFTLVENVLTRSTHIVENLVQGTTGNIDHSDDLIPRANFSSPLCTLKQISSELCCKPPGEENAHRTTLTILNKLSNYSWDAKAVLTLAAFALEYGEFWLLFRHQSNDLLAKSLAIIKRVPSLTKDLEKHRFVILEFNNLIKATLQVIELIFELGKLASIHNTRDVPALIPALEQIPVDVYWAIITVAAIVTQIDSLTLDKDTRQELAPFGQKINIILNKLRKQILLCNEQIEEAEYLKLLTLYFQTPTEISVVLKFLFYGKNAPKADIYDGETQVSIEVLQKKDLFLFFSTLDITETDFDHLIPIYNTIKTGNQYKILWVPIVEEWNDELRIQFDSLKSKMPWYVLYNSEPIRGLKFIKENLHFTNKPTIVVLSPQAKILHSNASYMIEVWGLSGFPFTETIEESLTLESSWIHSLVTDINPHIPQWFKEQKYIFFYGGKDKEWIQRFTKFASTLASDSTLKEANISIELFCIEFEPVNVNRFWKGIESLFMSKVDKITTSVTQEVQKLFSYKNESGWALLTHGSTVLLTGHGTTMLKTVSEFEKWKKLVIKTGFEISFKEHYEKVILSTRICSHIQIPKITGKIPDFIECPECHRRMEVFISYKCCHIEEEKIAAINA >OIW20824 pep supercontig:LupAngTanjil_v1.0:Scaffold_48_2:7773:8378:-1 gene:TanjilG_23984 transcript:OIW20824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDENPSSSSANGRYDVVEEEEVILFRPLTRHNSAPSHVPSISSDDTMSPRDKDDQSLPTDDCLHCATSLLMAQNPCQGDPRELHDDNLNFSSDKPFKQQEHSTKESNKYTFSEAPISVGPPSLNAWVLGRGSFSYNKDNETNGDSKHKLEPIDEIASSSLEGLSINKTEFSDITSVDAGSPVPMEDMMWWKKKKLFSLGH >OIW20823 pep supercontig:LupAngTanjil_v1.0:Scaffold_48_2:6724:7044:-1 gene:TanjilG_23983 transcript:OIW20823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPTSTHLNATAIHENLLYHGNYRFNQIDRWGNPLSSDQYNTYIEPPNPEPLQPNHFNNFQRTSPYWYGVVTDLKNESQSLLEYLKEEECRLRRDPNLRGPTFMGN >OIW20826 pep supercontig:LupAngTanjil_v1.0:Scaffold_48_3:13691:15836:1 gene:TanjilG_23986 transcript:OIW20826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLIFLGSSFSIVWYMRYHKIVRRTYNKDQDTFRHYILILPCLLLALVINERFTFREVMWAFSLYLEAVAILPQLVLLQRTRNIDNLTGQYVFLLGAYRALYILNWIYRYFTEPHFIHWITWISGLVQTLLYADFFYYYFQSWKNNQKLNLPA >OIW20825 pep supercontig:LupAngTanjil_v1.0:Scaffold_48_3:3336:5514:-1 gene:TanjilG_23985 transcript:OIW20825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNGAREPWDWHSEDFCLQNTSDFDNPQQLWNETTPVKDCGELAYNVNRSESRQAQKEPDGCRETSSQAKRRRMLQFKSQDVGHSNSNEQMSLECPKLNGKEDDTEDVIPEVSQWLSGASANALASTSASVSSYEDLDFESAEGWLADCLKGVEMQLRPDDVNFSGADDVHVGHAELCDLPPALEQNVVRQRLTRTPKNIVFKGRKSFIRTPTKLASAVAYPFAFIKPSGVHGDVTLKEINQRILTPPPSKPKQGIEDPSAYPRSPITGKPVVGKTKIRTEGGKGSITIMRTKG >OIW20827 pep supercontig:LupAngTanjil_v1.0:Scaffold_48_3:19539:19805:1 gene:TanjilG_23987 transcript:OIW20827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TKGQDSKWNNPLHVPDCLLVHLKTFCLKEYQGWESEKEFVGYILQNARVLETMTIYIASSLDLDAHLQIRRNLSTLQRSFQSCHIVFH >OIW20828 pep supercontig:LupAngTanjil_v1.0:Scaffold_48_72:2702:21051:-1 gene:TanjilG_23988 transcript:OIW20828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPRCLSIAELGCASGPNAFIVVSEIINIVEKLCREMNHKSLEYNVLMNDLPGNDFNNIFKSIRSFKEKLSNEMESQIGPCYFYGVPASFYGRIFPNQTLHFVHSSSSLHWLSKVPEGVENNKGNIYMSNTSPLNVLKAYYEQFQKDFSLFLKCRAEELVEGGFMVLTLVGRRSEHRSSKECCYFWELMAKALNDMVLEGIIKEEEIDTFNIPYYNPSPSEVNLEVLNEGSFTINRLEVFEVNWNAYDNWNALEYESAKSESFNDSGYNVAQCMRAIAEPMLVNHFGKAIIEEVFSRYQAILNDCMSKEETKFINVTISLTRKSSLRCC >OIW20829 pep supercontig:LupAngTanjil_v1.0:Scaffold_48_78:2338:3474:1 gene:TanjilG_23989 transcript:OIW20829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTHTDSSPIPSITTLPLNLHFNLHHVLPLLLLLFILIQFPPPATAQLPNTLTPPPPDNTISNVQFNKSMVMALVILVVVFVLLGFVSVYTRQCTERRMRGRHDLSIPIIGSNHRPRGLDREIIETFPTFIYSTVKSLKIGMATLECAVCLNEFQDDEKLRLIPVCNHVFHAECIDAWLVNHSTCPVCRANLVSTPSEVVPFMTIQLPDQTDPEPDPVHVDEFSGRQGTVMKESPKLSNNNSVNQNRPRRSRSTGFRFTNLLPRSHSLVQRGENLERFTLRLPEEVRNQLVTSTLSRTKSLGVAFTPESSERRGYRTRSVGSGCGRNNLERLDQSDRRMFRWMSRAGSNISKKVTEFNKDDVGERSSDRLFSGKENDM >OIW20830 pep supercontig:LupAngTanjil_v1.0:Scaffold_48_78:21836:24170:-1 gene:TanjilG_23990 transcript:OIW20830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQYVNHKFSNQYKATIGADFLTKEVQFEDRLFTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNVLKSFENLNHWREEFLIQASPSDPENFPFVVLGNKVDVDGGNSRVISKKKAKAWCASKGNIPYFETSAKEGFNVEAAFQCIAKNALKNEPEEEMYLPDSIDMGGGGRQQRSTGCEC >OIW20831 pep supercontig:LupAngTanjil_v1.0:Scaffold_48_80:7357:15433:1 gene:TanjilG_23991 transcript:OIW20831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNQITVKDLVEEAKKRIVILLVCVVGLSYLMSLTSSSVWVNLPAAISLIIILRYLSLDFEMKRKAAAYNNKASSTSVQSSKKPPENPKVVAKFEWRAKVNSPVVEDAIDHFTRHLVSEWVTNLWDLINLICSHLELFRAAHSKVKKQHKGSLTIQCQDTELKLVLAAENKLHPALFSAEAEHKVLQHMVNGLMSVTFKSADLQCSFFRYTGRELLACAVIRPVLNLANPRFINERIESVVVNKTKVNKGAAASPKASDTKVDELQIPPDHCSECLDPSANGVELVMLRNDQSKNAKKSSARNNANDNFNKDPLLAIDARSSRSWTSLPGNSHANSDQGIQQHHSGGEWGDILDVISRRKTQALAPEHFENVWTKGKNYKKKDGENQSNEQVSPHPVVGKSLKVEHMKATGPKEKDRTPKLNPSIGSHINSGHISQFTVENVDFHADKNQSSSLVTLYKDDEHNNIHMRMGESESSTSFTSEDDETTTVTGLDSPVTKVWDGRTNRKQDVTYVHHPLENFDNHGRKKRNKNHSRYPRLSRTQLGSKRSRPSGHDKHTWQEVERTRFLSGDGQDILSSSKSHVNSEDYSDDDDDTGSLGRIYSGATASSSTSSISKSESHSLAANPLKSSSLLDSFFKLKCEVLGASIVKSGSTTFAVYSISVTDINNNTWSIKRRFRHFEELHRRLKEFHEYNLHLPPKHFLSTGLDITVIQERCELLDKYLKQLMQIPTVSESIEVWDFLSVDSQTYIFSNSFSIMETLSVGMDAKPSEKTKIASNFSGPASDTSASRRENYSSESKEAVLQTRNNVVVDGLRSKVNGMSPSLPIKNAQESRKSLDSSGGNTDIRASPNNMEKTVKGRDSLDKVSDLHHDTSDTLPAEWIPPNLSVPILDLVDVILNLQDGGWIRRQAFWVAKQILQLGMGDAFDDWLIDKIQLLRKGSVVASGVERVERILWPDGIFLTKHPNRRPPPRSSPSQSSSQSHQSTEVSSSKMDDEQQREADRRAKLVYELMIDQAPSAIVGLVGRKEYEQCARDLYFFLQSSVCLKQLAFDLLELLLSSAFPELEDVFKQLHEEKHKFGEFRAN >OIW20832 pep supercontig:LupAngTanjil_v1.0:Scaffold_48_80:20261:21736:1 gene:TanjilG_23992 transcript:OIW20832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDGTVTLYNTTHITDPKQNPFSFKVGLSQTLRGGTIFQVSNLHQAKIAEEAGARAIVVSDPVTRHEGISRMPDPLLVKEIKRVVSVPVVARARVGHFVEAQILEAIGVDYVDESEELGVADHRNFINKHNFRTPFVCGSRNLGEALRRIREGAAMIRTQGDLKGSGNIVESVKNVRSVMRDIRVLSSMDEDEVFAFSKKIEAPYDLVVQTKQLGRLPVVNFAAGGIVTPADAALMMQLGCDGVFLGSEVFDSPNPFNRVRGIVQAVRHYNDPHVLVETSSGLGLDEEMEGLNLSDNRIESFDGA >OIW20844 pep supercontig:LupAngTanjil_v1.0:Scaffold_49_101:13623:23278:1 gene:TanjilG_24332 transcript:OIW20844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAKKENGGIIPGPTNPMVTPLLTDLYQFTMAYAYWKAGKHQERAVFDLYFRKNPFGGEYTVFAGLEEVIRFIANFRFTEEEIAFVRESLTDSCEDGFFDYLRGIDCFDVEVYAIPEGTVVFPKIPLLRIEGPIAIVQLLETPFVNLINYASLVSTNAARHRNVAGKSKTLLEFGLRRAQGPDGGIGASKYCYIGGFDATSNVAAGKLFGIPLRGTHSHAFVSSYMGLDEILDKSLRRKDGSSTCEDFVSLVQTWLSKIQWSNSLRGIFGETNQSELAAFISYAQAFPNKFLALVDTYDVMRSGVPNFCAVALALNDLGYKAGGIRLDSGDLAYLSCEARKFFCAIEKEFGVPEFGKMFITASNDLNEETIDALNKHGHEIDAFGIGTYLVTCYAQAALGVVFKLVEINNQPRIKLSEDVSKVSIPCKKRSYRLYGKEGYPLVDIMTGENEPSPKVGERILCRHPFQESKRAYVVPQRVEELLQCYWSGISDKARENLPPLKAIRERCITQLELMRPDHMRRLNPTPYKVSVSAKLYDFIHFLWLNEAPVGELQ >OIW20845 pep supercontig:LupAngTanjil_v1.0:Scaffold_49_108:8749:9075:-1 gene:TanjilG_24333 transcript:OIW20845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLAAQYWILGERPQLAMVMSRREVIYRPISHDFIGWDSGLTKLTLYTVVEDLTLGMGALFPCVHAYTNNFTPPGCRDPTGLHCWIEAKLKESITWSVMNCPERRAQF >OIW20847 pep supercontig:LupAngTanjil_v1.0:Scaffold_49_108:52756:52977:-1 gene:TanjilG_24335 transcript:OIW20847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRPVSFPDWVGELEALPSLVVCAHHTRTTFTYTNDDISSIPVARRSCCKPRRTLPRSLLKEAPPLVPLCSAN >OIW20846 pep supercontig:LupAngTanjil_v1.0:Scaffold_49_108:39036:42229:1 gene:TanjilG_24334 transcript:OIW20846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDQLSKQTSIFGLRLWVVVGVCVGAAIVLLLVLISLWLTSKRSKTKTKTKTKTKHNNDPNIIPDISKEIQDHANGPPKKPDPFPEPDPVHGIERQALLTLQQEDNHNNNNCNNNGLLGFNRIQFEIGKNHRISYPERGFMRSSSQSQHGSGEARTVDQVATVIPEVSHLGWGHWYTLRELEDSTGGFADENVIGEGGYGIVYHGVLKENTHVAIKNLLNNKGQAEREFKVEVEAIGRVRHKNLVRLLGYCAEGAHRMLVYEYVDNGNLEQWLHGDVGPCSPLTWENRINIILGTAKGLTYLHEGLEPKVVHRDIKSSNILIDRQWNSKVSDFGLAKLLGSDSSYITTRVMGTFGYVAPEYASTGMLNERSDVYSFGILIMEVITGRNPVNLVDWLKKMVSNRNAERVLDPKLVEKPTSRALKRALLVALRCTDPNAQKRPKMGHVIHMLEAEDSPYKEDQRVKRDPGHSPNNRVCDGLKEEATVSGDECSINQYAG >OIW20841 pep supercontig:LupAngTanjil_v1.0:Scaffold_49_12:3225:4838:-1 gene:TanjilG_24309 transcript:OIW20841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRKVREPKEETVTLGPSVRDGEHVFGVARIFASFNDTFIHVTDLSGRETLVRITGGMKVKADRDESSPYAAMLAAQDVATRCKELGITALHIKLRATGGNKTKTPGPGAQSALRALARSGMKIGRIEDVTPIPSDSTRRKSGRRGRRL >OIW20848 pep supercontig:LupAngTanjil_v1.0:Scaffold_49_130:31279:32331:-1 gene:TanjilG_24336 transcript:OIW20848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWVRGESVGRGSFAKVNLAIPRNSSIQFSSPTAVKSSEVSTSSSLKNEKRILDWIGSCPRIIRCFGDDYTFENGSDYYNLFLEYASGGSLSDQLKLHGGKFQETSVRGYARSIIEGLMHIHENGFVHCDIKLQNILVFSGGEIKIADFGLAKKTGENEKKTGFECRGTPLFMSPESVNSGEYESPADIWAFGCAVVEMVTGKPAWNVRSDPNMFSLFVRIAVGEELPEIPEELSQEGKDFLAKCFFKDPTKRWTAEMLLKHPFLSDGTVTLECVNEKKPSPRSQFDFPDWVSSTTTSVPCTPEFGEASEWKYDSTSLSNFFSPTNRLREIATNNGPLDWSESDGWTCVR >OIW20849 pep supercontig:LupAngTanjil_v1.0:Scaffold_49_150:21010:21639:1 gene:TanjilG_24337 transcript:OIW20849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ERSTGRSRGFGYVTFTSVDDAKEEMRAPPANKVTRIFVARIPVSVTEETFRSHFEKYGEITDLYMPKVLCSL >OIW20850 pep supercontig:LupAngTanjil_v1.0:Scaffold_49_159:7296:7487:-1 gene:TanjilG_24338 transcript:OIW20850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQQLAINQQMFQLQHQQQASQTRQFQQLNSHPQQEPQSQPQKKTQRNNELQSQQRNDKASAY >OIW20833 pep supercontig:LupAngTanjil_v1.0:Scaffold_49_2:4488:5923:-1 gene:TanjilG_24301 transcript:OIW20833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLPDVLLQVILSRINDAREVAACNCVSKRWNDSTAYIKALRFPHNSFDNICSTGESSDTIIRRMLSRVLQLEKLVVYSPFSSAGIESWLSIVGQSLRDLELRMDDVPNDHNISDKQSKVECIGAASNLESLRLWGVLILHSPKWNVFQNLRNLELIDLQVDQPVLNFVFQSCPFVTRLLLLKCQGVLSLSINLTYLEECKLDIYGMGNCSLTLNSPVIESFEVQGCSVIVVPQSQHLRHLSISNKAGRVYRVDFGNLPALEFLSMRGICWYWDAICKMLKLATEVKHLFMKVEFTGDFETLQPFPEIDFVDFFNNHKKLQKFDIHGAMFAALHLRNNVQHMNSGFVIPCLEEVVITVRSLLNAEQKMSTLESLLKYGENLRIMVIKITQMKSTHRSVDDFFKQISWFKYMNQNIVKIE >OIW20834 pep supercontig:LupAngTanjil_v1.0:Scaffold_49_2:11697:16265:1 gene:TanjilG_24302 transcript:OIW20834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQTPLTSRLSPKPSLPLLPRRGSIQLPRRVSVVKAFSEAAEVENENGSMSLLERCFVAPSSHGNGSVSLSSSAGLSPVMKGQYGALGSVTLEKSKLDTSQKQTKSSPELDIGGGSGGLGKNISHGGGDGGDDGGDDDDYFDDFEDGDEGDEGGLFRRRIILEELFDRKFVDAVLNEWQKTMMDLPAGFRQAYEMGLVSSAQMVKFLATNARPTTSRFISRTFPQGMSRAFIGRLLADPAFMYRFLLEGAASIGFSVWSEFENRKGRIKQEWDLALINVLTVAACNAVVVWSLAPCRSYGNTFRFDLQNTLQKLPNNIFENSYPLREFDLQKRALCFLFKAAELCMVGLSAGAVQGALSNTLARKKEGRLSVTVPSVSSNAFGYGAFLGIYANLRYQLLCGFDRAMINRFDVIGVALFFSTAFRVMNVQLGETSRRTWLGIEADPLAQSDEKLKAYTRPSENVEKSSSKWFISKNALVSGLGLLGIKQGKLDSGAESSAPKARRKRIVRKKVVAGTA >OIW20835 pep supercontig:LupAngTanjil_v1.0:Scaffold_49_2:28310:29160:-1 gene:TanjilG_24303 transcript:OIW20835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMGRGSAMMLLFCLFVIHSEMVHAATYTVGGAGGWTFNTVGWPKGKHFRAGDTLVFNYSPSSHNVVAVNRGGYSSCRTPTGSKVYRSGKDQIKLSKGQNYFICNYVGHCEAGMKIAINAA >OIW20837 pep supercontig:LupAngTanjil_v1.0:Scaffold_49_3:28860:37173:1 gene:TanjilG_24305 transcript:OIW20837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESAGAMSKELSKWREVKDFYKRASELYIECGRSQPASDALAKGARALEAAMPEEAIQLYADACTILEDDGGDQMAIDLYRAATSVYIKIGKYTDAASFLLKLGLAADKCNAAYSQCKAYLGAIIVYLYAHDFKQAEKCYNGCSQIDAFLRSDENHCASKLLAAYTDGDIEEIKKIAQSSTISNLDHMVIRLARKLPTGDVNASKANTANEDEKPLDENDLT >OIW20836 pep supercontig:LupAngTanjil_v1.0:Scaffold_49_3:19391:26173:1 gene:TanjilG_24304 transcript:OIW20836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEKKVIEEEEERKQNQISLEDLSKNLKEGERIVGPTRRPDGTLRKPFRIRAGHVPQDEIAIYQSKGALWKKEMASQVGPPGYGGDGPALDAKPKTKSVKRNERKKEKRIQAALEKDKDLEPTAAEDSAKQVVSIINSGHTSESVKSLTTQISEIAVSGNSSIVTPTSDSVDGSSQIDPIQDIDKRIRALKKKIRLKEEAQQKTAAKDLKAEQLDKLTKLEDWRKELKLLEDKRAETAAAS >OIW20839 pep supercontig:LupAngTanjil_v1.0:Scaffold_49_3:57701:61643:-1 gene:TanjilG_24307 transcript:OIW20839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRMETPNGAVHNGGARRRGCTFQRNDFFPEESFKNWNNYSRAFLETPFRLKDRVLTRSSDQTELVEVKSRSNHEMKKTLNWWDLMWFGIGAVIGSGIFVLTGLEAREQAGPAVVLSYVVSGISALLSVFCYTEFAVEIPVAGGSFAYLRVELGDFVAFIAAGNILLEYVIGGAAVARSWTSYFATLCNKNPDDFRIIVHKMSSDYNHLDPIAIGILIAITILAVYSTKGSSIFNYIASVFHLIVIAFIIIAGLTKANTENYSPFAPFKVRGVFHASAVLFFAYVGFDAVSTMAEETKNPARDIPIGLVGSMVVTTFAYCLMAVTLCLMQPYQNIDINAPFSVAFSAVGMDWAKYIVALGALKGMSTVLLVSAVGQARYLTHIARTHMMPPWFAHVDERTGTPINATISMLAATAVIAFFTDLGILSNLLSISTLFIFMLVALALLVRRYYATGVTTKVNQIKLIVSLVLILGSSAAISAYWATSDGWIGFAIAVPLWFLGTAFLCISVPKAKEPKLWGVPMVPWLPSLSIAINIFLLGSIDKKSFIRFGVWTGFLLIYYLFLGLHASYDTAKEFDQRKNNTVEVDKVWDKVEDGFVDGEVSKVPVST >OIW20838 pep supercontig:LupAngTanjil_v1.0:Scaffold_49_3:48929:52871:-1 gene:TanjilG_24306 transcript:OIW20838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRMETPNGAVHNGGARRRGCTFQRNDFFPEESFKNWNNYSRAFLETPFRLKDRVLTRSSDQTELVEVKSRSNHEMKKTLNWWDLMWFGIGAVIGSGIFVLTGLEAREQAGPAVVLSYVVSGISALLSVFCYTEFAVEIPVAGGSFAYLRVELGDFVAFIAAGNILLEYVIGGAAVARSWTSYFATLCNKNPDDFRIIVHKMSSDYNHLDPIAIGILIAITILAVYSTKGSSIFNYIASVFHLIVIAFIIIAGLTKANTENYSPFAPFKVRGVFHASAVLFFAYVGFDAVSTMAEETKNPARDIPIGLVGSMVVTTFAYCLMAVTLCLMQPYQNIDINAPFSVAFSAVGMDWAKYIVALGALKGMSTVLLVSAVGQARYLTHIARTHMMPPWFAHVDERTGTPINATISMLAATAVIAFFTDLGILSNLLSISTLFIFMLVALALLVRRYYATGVTTKVNQIKLIVSLVLILGSSAAISAYWATSDGWIGFAIAVPLWFLGTAFLCISVPKAKEPKLWGVPMVPWLPSLSIAINIFLLGSIDKKSFIRFGVWTGFLLIYYLFLGLHASYDTAKEFDQRKNNTVEVDKVWDKVEDGFVDGEVSKVPVST >OIW20842 pep supercontig:LupAngTanjil_v1.0:Scaffold_49_35:4279:6556:1 gene:TanjilG_24330 transcript:OIW20842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKAIHVSDVSSLDIVPESPSFSLYSTRFSDGVQVSRAEFKVPKFLVIGHRGHGMNALQSLDRRMKAIKENSITSFNAAASFPIDYIEFDVQVTNDDCPIIFHDDVILTLNNGTLFEKRITDLSLSEFLAYGPQREAGKEGKALLRRNKDGKIVQWDVEQDDTLCTLEEAFLHVHPSLGFNIELKFDDHTVYEQDYLVHVLKAILKIVFDHAKDRPIIFSTFQPDAASLVKKLQSAYPVFFLTNGGCEIYEDVRRNSLEEALKHCLENGLQGIVSEIKGVFRNPGVVTKIKESQLSLLTYGALNNVPEAVYIQHLMGIDGVIVDLVQEITEVVANLITSAMVVDEEGLTEGIGKLHSKPQFSQKELSFLLNLIPKLIQL >OIW20843 pep supercontig:LupAngTanjil_v1.0:Scaffold_49_39:37543:39116:1 gene:TanjilG_24331 transcript:OIW20843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCGNSTLEPEEASPSRRLNRHNRQRTIVPPINNKDSDDDDGAMVVMNPLRDDKEEAKTAKGVGLNEKLKEKCVMNKKEEEENVVLNSGGGKEEHVGDHDIHEGKNVKNNEEEQEEDSDRDDSYIGPGSPSFRDYCIDYDSTNRSSMADSNDYCDSGDSTMNCSGHDSMNRKTMPKNEPSVNANKESEKKERRGRGFRNVINGGKGRGGRRNLLNFSCYNSSSESNAEGSMNKVVGKTV >OIW20840 pep supercontig:LupAngTanjil_v1.0:Scaffold_49_4:977:11991:-1 gene:TanjilG_24308 transcript:OIW20840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIWNYVVTAHKPTNVTHSCVGNFTSPHDLNLILAKCTRIEIHLLSPHGLQAMLDVPIYGRIATLELFRPYGEAQDYLFIATERYKFCVLQWDPDTSELITRAMGDVSDRIGRPTDNGQIGIIDPDCRLIGLHLYDGLFKVIPFDNKGQLKEAFNIRLEELQVLDIKFLFGCSKPTIVVLYQDNKDARHVKTYEVALKDKDFVEGPWSQNNLDNGADLLIPVPPPLCGVLIIGEETIVYCSANAFKAIPIRPSITKAYGRVDPDGSRYLLGDHTGLLSLLVITHEKEKVTGLKIEALGETSIASTISYLDNAFVYIGSSYGDSQLIKLNLQPDAKGSYVEVLERYVNLGPIVDFCVVDLERQGQGQVVTCSGAYKDGSLRIVRNGIGINEQASVELQGIKGMWSLRSSTDDPFDTFLVVSFISETRILAMNLEDELEETEIEGFCSQVQTLFCHDAIHNQLVQVTSNSVRLVSSTTRELRNEWHAPTGFSVNVATANASQVLLATGGGHLVYLEIGDGTLQEVKHAQLEYEISCLDINPIGENPNQSNLAAVGMWTDISVQIFSLPDLNLITKEHLGGEIIPRSVLLCAFEGISYLLCALGDGHLLNFMLNTSTGELTDRKKVSLGTQPITLRTFSSKNTTHVFAASDRPTVIYSSNKKLLYSNVNLKEVSHMCPFNSAAFPDSLAIAKEGELTIGTIDDIQKLHIRSIPLGEQARRICHQEQSRTFAICSLKYNPTSAEESEMHFVRLLDDQTFEFISTYPLDTYEFGCFIISCSFSDDNNVYYCVGTAYVLPEENEPTKGRILVFSVEDGKLQLIAEKETKGAVYCLNAFNGKLLAAINQKIQLYKWVLRDDGTHELQSECGHHGHILALYVQTRGDFIVVGDLMKSISLLIYKHEEGAIEERARDYNANWMSAVEILDDDIYLGAENNFNLFTVRKNSEGATDEERGRLEVVGEYHLGEFVNRFRHGSLVMRLPDSDVGQIPTVIFGTINGVIGVIASLPNEQYVFLEKLQSNLRKVIKGVGGLNHEQWRSFNNEKKTVDARNFLDGDLIESFLDLNRSKMDDISKAMDVSVEELCKRVEELTRLH >OIW19757 pep supercontig:LupAngTanjil_v1.0:Scaffold_4_11:13434:18097:-1 gene:TanjilG_21249 transcript:OIW19757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNKTWLWRKKSSEKTIIATDNGNVASKENEEVQALLADKEELEKDLKRLNDKLALALSDCNAKDELVKKQTKIAQEAVAGWEKADGEVLSMKKDHDEALQQRLVYEERVSHLDGALKECMQQLRFVRDEQGQRIHDAVMKVSNEFEKERRVFGEQLSDTNKRLAKAEIENSHLNKSIFAKEKLIEDLKKKLTQVEANHNALVIRLESTESDNASLKYEVRVLEKELEIRNEEREFNRRTADASHKQHLEKVKQIAKLESECQRLRLLMRKRLPGPAALAKMKSEVEMLRQDSFEMRRKKLNSNGLMVESSVDNSPETTIRRINNLTEQLFSVEEENKTLKESLNRKMSELQFSRVMLSRTASKILQLESQIEESSKGQVTVDQPGCNLTSHEFSVASMSDIGSDDKVSCAGSWASALISELEHFKSGKQKDSLSCKSVGASDINLMDDFVEMEKLAVVSAEKTPEISHASLAAVSEINGFSEPGTTETTSDVVGKEIIPVSDHISDFSRSNQETYSIDILNGNIPSWLQDVVEMVLEQNSVTHKNPDDILEDIRVALRHLNNPDPCDFDSNKGSGHIDKSDPPHFNSSVLDTNGVVNNADTSSLKENKQQSQIYLSKSIGKIIELVEGISMPAVDYNDSDPLRRRDGNIVSYENPEVPTGYMVRVFQWKTSELRNILQQFLHVCYDLLNGKADHEKFAIELTTALDWIMNHCFSLQDVSSMRDAIKKQFDWDETRSESEAEIGMISHFAEAGELNLPKEQLPCLHQVTTSNDLELRTGEMDDDEKEEFKNSKDMLIIAQSQTEALEWKLRESEKIVASLRLELQALKESNRVLEDQIQNHKMINSDLDAQPTEAESKEAQHKVLALEVELEKKNRCCEELEVRCLEFQLQLESMSKEWSDHGANPKDKPLRTDWEITAASEKLAECQETILNLGKQLKALTAPKDASLFDPVIAGQSNTDNNMAIITTTTATTNVDTSILPQKVVKVKNRSLLDQMLAEDDTKAKVSKAIDYNSTTTTIPTIIQPLEKILALNGVKGHNDDNDTVNSLAILPAQKTRSESLWKKILRRQKKSSKKNTPSL >OIW19565 pep supercontig:LupAngTanjil_v1.0:Scaffold_4_5:8694:9635:-1 gene:TanjilG_21229 transcript:OIW19565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACLNMYNSEPNCHHHHNQCVPMSPRISFSNDFVDSQQAMKQERVSPRSDSALVSSDFEFSVTNYNMMSADELFSKGRLLPFKDNKVHKGTTTLREELLVDEDDEYQEFSLRPPKGSSSTRWKGFLGLRKSHIGSKKGEKSGGSYDRGVEAGRSELINESARLNITPQELLNEGGSSCIDVEIGI >OIW20851 pep supercontig:LupAngTanjil_v1.0:Scaffold_50_43:1459:5134:-1 gene:TanjilG_24929 transcript:OIW20851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKLVEKEAPQSFQNVALVIGITGIVGNSLAEILTLRNTPGGPWKVYGVARRPRPSWNDNHPVHYIQCNISDEHDTQAKLSVLTDVTHIFYVSWSSRPTEAQNCEVNGAMFRNVLRALIPNAPNLRHVSLQTGAKHYVGPFELFGKIKPHEPPFTEDLPRLNAPNFYYTQEDILMEEVQKKEGLTWFVNRPQVIFGFSPYSLMNLIGTLCVYAAICKHESVPLMFPGTKAAWECYSIASDADLIAEQHVWGAVNAHARNEAFNISNGDVFKWKHLWKVLADKFGIEDYGFEEGSSCLRLSELMKDKGHVWEEIVKENQLQQTKLDEVGDWWFADVILGGEAFLYTMNKAKEHGFFGFRNSTKSFINWIDRTKAYKIVP >OIW20853 pep supercontig:LupAngTanjil_v1.0:Scaffold_50_45:19769:21961:-1 gene:TanjilG_24931 transcript:OIW20853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKSTMPSTFNDHLNWYDSSLKSVSASAEMLYTYKNIAHGFSTRLTLQEADTLEKQPGILSVIPEVRYNLHTTRTPQFLGIDKATTLLPASDQLSQVIIGILDTGIWPELKSLDDTGLGPVPSSWKGVCETGNNFNSSNCNRKLVGARFFSKGYEAALGPIDEKIESRSPRDDDGHGSHTLTTAGGSAVAGASLFGLASGTARGMATQARVAAYKVCWLVGCFSSDIAAGIDKAIEDGVNILSMSIGGSLLDYYRDIIAIGAFSATAHGILVSTSAGNGGPSQGSLANVAPWLTTVGAGTIDRDFPAYISLGNGKTYTGASLYNGKSLSDSPLPVVYSGNVSNSSVGYLCLSDSLIPSKVSGKIVVCERGGNSRVEKGLVVKSAGGIGMILVNNEEYGEQLISDAHLLPAASLGQKSGDALKNYVFSDPNPTATLAFGGTKLQVQPSPVVAAFSSRGPNALTPKILKPDLIAPGVNILAGWTGEVGPSGLSVDQRHVSFNIISGTSMSCPHVSGLAAILKGAYPEWSPAAIRSALMTTSYTTYKTGETFQDGATDKPATPFDYGAGHVDPLASLDPGLVYDANVDDYLGFLCASNYTSLEIKIATKRDFTCDSRKKYRVEDFNYPSFAVPLETASGIGGGSNAPSTVQYSRTLTNVGTPGTYKASVSSQITSVKIVVEPGVLSFTELYEKKSYTVTFTYTSLPSGTTSFAHLEWSDEKHRVISPIAFSWT >OIW20852 pep supercontig:LupAngTanjil_v1.0:Scaffold_50_45:4852:7160:-1 gene:TanjilG_24930 transcript:OIW20852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKQGFFSALKHEVVRGLSPSRSRSKSPARSPSRMSNTLRKSRTHHAPPQDLFMSRSGSMRPLEALSPLKEGPDGNDDGDSSNREGKWGHWMKGPLTRNSVVSSSGSSSAMDGKKSDLRLLLSVMGAPLAPVHVCTIDPFPHLSIKDIPIETSSAQYILQQYIAASGGKKLQNSINNAYAMGKLRMIASEFETANKVTRNRNSSKSTETGGFVLWQMNPDMWYVELALGGSKVRAGCNGKLVWRHTPWLGAHAAKGPVRPLRRALQGLDPRTTASMFINARCTGEKKINGEDCFILKLNADPSTLKARSEGPAEIIRHVLFGYFSQKTGLLIHLEDSHLTRIQNNGGDAVYWETTINSFLGEYRPVEGIMIAHSGHSVVTLFRFGETAMSHTKTKMEEAWTIEEVAFNVPGLSMDCFIPPSELNFPSMSEACELPQGPRMKTAAAAAADHAKVPQLQKSHERNMNNIKWTVDL >OIW20854 pep supercontig:LupAngTanjil_v1.0:Scaffold_50_45:38305:40939:-1 gene:TanjilG_24932 transcript:OIW20854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLTAANIVLFASLTLLLSFLITKLVSFSIPHTTTTTNKLNQLRHVSSEPLTVQTESKVQFQLPTNIETDHNKEKVNEETKAESNAVELSVIPDIFAVESSEEFDPEADLNGSVAEQRNKDCDSIEKISVEKQSSVEQERDVILDDWEGIERTELEKEFMAATEFVVGNNGSKSVGNDVEMELYGLHKVATEGPCRQPQPMPLMLSARAKWNAWQKLGNMSPEVAMEQYISLVSDKVPGWIKYASAGIRKHELVGSEISESVAAHLSTSLSHQQMILTDRELEQEKESSAHDRSPLTEAGLENNVKSQIILTDYLYNIIPI >OIW20855 pep supercontig:LupAngTanjil_v1.0:Scaffold_50_46:3451:8331:-1 gene:TanjilG_24933 transcript:OIW20855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSGVDLEAFQAALNRDIGGDASASQPSGSDSALSQGINNSVSQAIPQWPTSSHDNRTDSQNQESKTAQQREQLSSEVELKQHGSLLEQSQHVASQVVNNPPFSQKQSHDEFHQSQNVQVSVQNSQMIGIQNSGKDPVHNHEIVQTHNPSNESQYAKLQQISNQQDTITEQPRSLMNQPSKQVPFGLLLPILIPQLPKDRAMQLQTLFTKLKKEEVPKDSFVRLMKGIVGDQMLRLAIAKVQQIRPNQGPAGQQPAVRMPTVGSGARQFNDPHALAQLHQRSMNATADQSHMISSSVHNAGSNARKSQELDAKIESQGLQTSHLPTSSSNIGRQETERSSVHVQGLNKQQQQHLHFSSAYGSTGGNYNPFSGTTTSSTSSIKPQLLDSHLGQTPHQSTGPNHIGGVPQGLNTSMPKLERHNSFNDPKRLPGGSMSSVVNNTTPQQASHAWKPSINKEQNVSLLSSVSYVKKEPSDPSTEQQHRLNLSKLHGLPSVNSSQIEQGSSNQGIVKDEFSRGLPASTSMPPTTSTGLPPHNSAPPSVMTQPDPSVSIPSSTSGIMARAPMKKPFPAQKKPLEALGSSPPPSSKKQKTSGGSVEQSIEQLNDVTAVSGVDLREEEEQLFSGPKDDSRVSEASRRAVQEEEERLILQRTQLNKKLVEILVKYGLKGIGNDVERCLSQCVEERMRGLISNLIRLSKQRVDFEKTRHQTVVTSDVRQQIMTINRKLREEWEKKQAEAEKLRKSNDIENNTGVDGDKEKDEGRKSTKVNKEEDEKMRTNAANVAARAAVGGDDMLSKWQLMAEQARQKREGGTDMSSGSQSAKDVNRKSSSASGRSMKDNQEGDKKGPTIPSSGTSRKHGKNHALASQTRVDRSISVKDVIGVLEREPQMSKSPLIYRLYERIHSDAPAEQG >OIW20856 pep supercontig:LupAngTanjil_v1.0:Scaffold_50_46:24563:30611:1 gene:TanjilG_24934 transcript:OIW20856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAAISTPLNKKRTATPTRPPLLPSESDNALAPPRGSKSREVTSRYMSSSSSSSFSVSKRCVSPQITRTVNSTPSMIRHATPLLKRSQSVGRKRIGTPRFNSNNNDNNNNNNNSLCAGAGNGNVPCGGATQKVLFTSTRSLSVSFQGESFSIQVSKAKPAPSPTVSSLRKCTPERRKTTTTPSRNGGSDQQPWPGKIPVVNCMNRNSDCGGDSARKIVRSLQNSIADVRGSIERNKDGGSEVNASDNESLSSSSSSEFCGGGCGGRSHATVVPARFWQEANARSRRQTENPSSPSSRNGGNGNKPAIIVPPKLFGPKKLQVDCPVLSPRGIVNSRGLVACSPIGSTIRPSSPIKLATPWSPSRGISPSQSRTGVTSSLSNRFGNEPSVLSFAVDVSRGKIWESRITDAHLLRLAYNRLLQWRFVNARADATLSVQTLNAEKSLYDVWLAASKLRESVRAKRTELQMLKQQFKLISILKEQMIYLEDWACLDLVYSSSLSGAIEGLKASTLRLPIVGGAKADVLNVKDAICSAMDVMQAMASSICLLLPKVGHINSLVIEVANLNAKERVLLENCRDLLSIVTAMQVRIILDI >OIW20857 pep supercontig:LupAngTanjil_v1.0:Scaffold_50_48:3973:4659:-1 gene:TanjilG_24935 transcript:OIW20857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSPTTATPSFTFDTKNHHSLNESWTKGKRSKRSRLDNHHPSCTEEEYLAYCLIMLASGGATTPPPLVSSAKPNFKCSVCDKSFPSYQALGGHKASHRKISGGESSSSAATTNSANTTAIAMSNGGGKVHECSICHKSFPTGQALGGHKRRHYEGGNGGNNSPTTITASEGVGSTHTVTNHRDFDLNIPALPEFSISTMVGEDEVESPLPLMKKPRLFMIPNIEIPQH >OIW20858 pep supercontig:LupAngTanjil_v1.0:Scaffold_50_60:16044:17927:1 gene:TanjilG_24936 transcript:OIW20858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLGAFPDGDWDCFLRMFLSEEHDFYSRQFLDQSSSLLGEHDELNIEMQPTFLSAPEAGENETVFYSLDDHNSSLQYISQESSYSSNCTVDNIFIANNPGHTNNYFSYPNHCVLENNTSLSMDEKNILTSFVPLLSDTLMEDNINLNEDEGSERLENYYHSLVESIVLPTKRKLHVAELEALADDKTNNKFVNQKKKPHLSKDVQGCMKDSRSKRDKKLAKKKEAEEFNTRSDGHSSSSSIPEDNNASQENSGEDTSGSKSILNSNGKTRASRGSATDPQSLYARKRRERINERLKILQNLVPNGTKVDISTMLEDAVNYVKFLQLQVKLLSSDDLWMYAPLTYNGLGIGFNLNMKNSPQL >OIW20859 pep supercontig:LupAngTanjil_v1.0:Scaffold_50_61:5737:19833:1 gene:TanjilG_24937 transcript:OIW20859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGEQPPKKRRISIPDSPPSSPPPPLPSEAPSSVPSSPPQTLPRPPTPPLTQDQILSKRRNKEEIRNVRECLKRIRFCLSKKGGPSFMSELEQNYLALIAASRGCMSVQRIVADLIPQYACYCPTALEAAANVIINMHNWSLAFINRGEDSNCITFATARACIFGLADICCTASSVAPTSAVIKGIRSAVFQNALTFFMALFEGNDVIQLVDKNFLNMQDSLEVFSELKQKLLDEYECSLTKLTKSHALCLLWIFFSCPKDLLAACVELLGPTRKEEASIGRRFLSLVTNTLDVDGNAVQLLDSENNGPKSCKGSIGSDIRDHCSGDEIMTDDNCLSEINSSVRNRCLLMLVLNKDSSLRKWMLCRCKNLLDLLTNASPGISSVLQGILRRFAQQAESEDCHIDSGEDKSDSSIYMNRNEDEIVGESSEKVGKSRFLVGSSTDGLTDKVSDKCLIGHGSAVSLDTVPMSKSGHFDDGLSRPKGEEGNMPHVMCSTPRDSVSHQIYSSGVRNPVDSRSNSCEVSNGCPNVEKNQVLNMNFNSPPLRSSSGTACTIPTSPSHQFMSPSAPRSQIAWCYDGDPATMDIVSASRQLWVGLVGPDMSESHIRFQLERFGPIEQYFFFSVKRFALVEYRRIIDAIKARHCLPGSFPCCVKFMDIGFGTRGAMNGFAIGYSSHIYAGNISSQWAKDDILHESWKVIRKSPLSVVDLSCECALLMEFETPEEAISIMWHLRQLRRERSNYNRHSAPVTGNVGIGHVYSDGARPVSGPPHLELKINNQVARSPHARTLSGSPVDSSHIRISHLCSLLASLRTKYNIKTQNTSLHDNYMTGNSCASMRGEDTVPSSTLWITIPSSFSLFLTDDEIMAICNLAVGNSGSIVRLTQANMQMGCGWFVECSNVDGAVSVLTNLRGCPGLFFQIEFSKPGNQNALLFPVILEKNSVEHVSPIINSENRGGGVHGAPMSQSNWQFPGSREMLEVGTRKPDGYDNLSMDSLQGGSVAHSLSVTQGPSISPPQQIQSSTFIRPVYGPPNGPWGLQGMDNQLPVNQFRTGAMPNNFHGSSVASSFIPAPVTPLAQIQGAPLQPYNQLIPQPVIPLPFSSLPYPHSVVPPPPPSSPPPLPQTLPPLVPPPPCSPPPPPPPLLVQESINMECSSQPLHYQWLGTLCKSGVNYCTIYACRADSNICKYSNSMPEPAEWPTKLDMTKRTDFRHVESTFAATPPNRREVCRLIPSSTSDHKRDFISYLKQRDCAGVIKIPAAKSIWARLLFILPHSLETCSLLSIAPDPSDCLIALVLPKDTSFEWM >OIW20860 pep supercontig:LupAngTanjil_v1.0:Scaffold_50_63:4080:5095:-1 gene:TanjilG_24938 transcript:OIW20860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAGKSTEIFQFTQQTEVVPDSQTPDLNTRLERIFHSMISSAISKIKVSQPSETPRIENTSTQGSEDVPMMIDESVELDSNVVIPDPVEDNNVATMDPTMYKKRTRRTSTVLHVQSISFEHPSIVDNIDMDKLTSVNEAKNPMFAPVRKLSYRERLKGWIVEFTEREKTKIKEKVRIVSFEDKLPYKPRERII >OIW20861 pep supercontig:LupAngTanjil_v1.0:Scaffold_50_63:8066:9174:-1 gene:TanjilG_24939 transcript:OIW20861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTSILEALNVRVEGSGNHYLVFSHGFGTDQSAWQRVLPYFTPRYSVILYDLVCAGSVNPDYFDYRRYTTLDAYVDDLLNILDSLHVTTCAYVGHSISAMIGMLASIRRPNLFSKLILIGASPRFLNDKDYHGGFEQGDFEQVFSAMKANYEAWVNGFAPLAVGADVPTAVREFSRTLFNMRPDISLFVSRTVFNSDLRGILGLVKVPCVIMQAARDCSVPAAVATYMEEHLGGRSTVLWLDSEGHLPHLSAPSYLANQLDIALLS >OIW20863 pep supercontig:LupAngTanjil_v1.0:Scaffold_50_72:20840:24539:1 gene:TanjilG_24941 transcript:OIW20863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCSCSGEQFKIDEAPQSPESLATRDFSASGLSSRTGDCESKFDETQVEDVESTLKETLSLNYEEARALLGRLEYQRGNYDAALQVFHGIEIRVLTPRMIRAIAEKTKQRKPHSKADYVLPNVMSMHSVSLLLEAILLKAKCLEELGRYTEAAKECRIILDTVESAIPNGMPGGIGEDWKLQEIFHRALELLPNLLIKAGCLDEAVTAYRRALVKPWNLEPLRLARVQKDLATTLLYGGFEVNLPSQLQVKSVTTPNSNIEEVILLLLILLTKMAFQEIDWDAKIMDHLTFAYSTTGLFESLADHVEQILPGVYDRTERWYILALCYSAAGQNEAALNLLRKACGSSAGKHRPHFPSFMLAAKLCSEYPNHAREGIQLSRQVIDLAKHQNEHFLSQGRKILGICYGAAARTSVHDYERTAFQRESLNFLNDAALIENNDPEVIFSLGLENAVQRNLDAAYDNIRMYSGMTVGSSRRGWQLLALIVSAKQRFKDAETTVDFAFDESGRIDQLELLKLKAVLQIAQQKPKQAVETYRILLALIQAKKELWLQAKNVYQVEAFRHEALTERKLEMEAWQDLATIYADLSSSLDAKACVEKAKLIEFFSPRSWHITGKLFEAQSLYKEAFVSFSISLSVEPDYIPSIISTAQLLIKLDMQSLPIAKSFLMNALRLEPTNHDAWFSLGLISKTEGSLQQAADFFQAAYELKLSAPVQKFE >OIW20868 pep supercontig:LupAngTanjil_v1.0:Scaffold_50_72:44827:46921:-1 gene:TanjilG_24946 transcript:OIW20868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANQKHSNDIVSSLSFTEFPEDVQITILSFLNPSDIANFACISKRFASLCQNDTKLWFTLCHRRWGPKTHINKWIKGEITYKLLYRTLHGWDNLIGFWRRSGSEGKTAAVTPSLVFFEWGPSFLSGSRVSPSKTGTYEVIKSPFLWMSLSSEGRVVKFIDPSGRADLNSELFEFGCGVSENELIPVNVSFIGKTHFVVEENLNIACSKFKENMRHGFRRSSSGANFGGGDDYGAGEDVIGIESSGSLPDRVVTEIYQRFANRTSPGSDKSRKQRRKEKERLARRKLDPEHFVKIVNFSPTPSRPLQGLWKGICDDLTLAFYLVAYDDIGGISCRLVGDYPEHFSSYAPIFWTSKAAFLESPFSLEEESLYDNRIHLQPLQPDDENHEQFPFSDDEVVNQIRQLHLSENEVVHRILHISSSYDLVISDLAINPRCAEGRIWQYQNGTFGFGFIRDNFVIDMKHIIQDGYILDTINPSGE >OIW20865 pep supercontig:LupAngTanjil_v1.0:Scaffold_50_72:29740:32908:1 gene:TanjilG_24943 transcript:OIW20865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNQDEQQEQQPLKLKQLGPTESLEIDSGLTLVPRVKLNLTIYPSTPLTLTNPIDEWKMKRALLDFLHSSFHYSISVPEEDLTVKRLKDLKKRKREDAVAAGTLHVWDLGFLEKGLEKDYELREIEEKFLEWRKGLVEKLDGIELNLEGVRFRLNVIVPISDDFHGMKKAWEEFYAFQNRGYSRGKREPDTIILRGVPSRWFAEPRVSSKPSMLVTHTIFSTFGKIRNLNVAEDDDLGKEANEGSEDLISGLYCKIVVQFEKHRDFHDALRVLCSRSLQKQGSRLKADYEVNWDKDGFFWNSRNQTLEKNKVAAVAADHNRSEAPRRQAYGSRHSPDNVRPRRFKVNFDILRLKDLSYCPNYLFSYVMNFLSHDLSSSLDFRLVRNVNGTHRNFPHTMFWYMEMIQFLEVIDLDWLESGQAHEVG >OIW20869 pep supercontig:LupAngTanjil_v1.0:Scaffold_50_72:50262:51329:-1 gene:TanjilG_24947 transcript:OIW20869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKTLSPYPNPSKTAEIMSRYRPIAPKPETSSTSINEGSTSQKINSSPYLRTLWSQLQARPTRTRKRGRVPLSLPSSFKRHKTHVLGLCPPCHVTSPPKNLCFQTFHPLPQPHFPPPNHGLGMLKSVTNQNLVTLPLLPCTPEQCPAPKFDSSEEVVAIYLNTKAGNIPEERDFLQQLQRPAGVIGNKVIAPQPIRPIGSCINVGCITEDSTLAPESAVKAKTKHEVEVEVECEALPAVISDSNNRVRMANSAYKEMVGQPECAWLESMASGGAGGKAVQCSKRISGEVALNLCDSSVIPISSNGFSCWVRIEWETDQKKKVSINAFCDVLRLPCESRDYLFTWRFHTRFRETSQ >OIW20867 pep supercontig:LupAngTanjil_v1.0:Scaffold_50_72:41053:43242:1 gene:TanjilG_24945 transcript:OIW20867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFAGTTQKCMACDKTVYLVDKLTADNRVYHKACFKCHHCRGTLKLGNYNSFEGVLYCRPHYDQTIKRTGSLDKSFEGTPKVAKPEKPSDIKKPASVKVSSMFGGTRDKCSGCQKTVYPTEKVTVNGTPYHKICFKCSYGGCVISPSNYIAHEGKLYCKHHHIQLIKEKGNLSKLEGGKEKNAVDEKIDA >OIW20866 pep supercontig:LupAngTanjil_v1.0:Scaffold_50_72:35116:36021:-1 gene:TanjilG_24944 transcript:OIW20866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKPFVCGTFHHEDDDNPLLVSPGFSPRKSKRKYENPYSTRGLDKFSELLADLDEKRQKIYSEMNPNDISFVRFVYSNTDDFVPIVVKVKNKDHHKQHRSEELRVIKARHLTPSESMNKSTTESNAAIVEEIKQQPKLELEAKESTKNFSWNMKKWDMWKPYFYVPIVIILILMLLTMFGRSFATLCTCVLWYIIPTLKDSSSLNPRKSMQKKDHIRGLSEIKKVVVTNEVNTNKKKDYVRGFSEKKMVVNEGMKKKDYIRRWSGKKIETEGLVSLTSDHSPEASKNYKSITAKHGYKKSW >OIW20864 pep supercontig:LupAngTanjil_v1.0:Scaffold_50_72:25854:27677:-1 gene:TanjilG_24942 transcript:OIW20864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLCSCFTGGAKRMTKEEERLASEEARQRAAEAAQKRQEQFDKSAAGRAARAQLQGMAKQAANSNKGEPVLKWQMG >OIW20862 pep supercontig:LupAngTanjil_v1.0:Scaffold_50_72:3917:5342:-1 gene:TanjilG_24940 transcript:OIW20862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDSMSTLLCQENVTFLEGAFGDEEYPFIPLHEYGVFSEDEYIAILIEREISFGFKKDESYVLENWIKCARADVINWILKTRATLGFHFQTAYLSVTYFDRFLSKRSIDNGKYWSIWLLSVACISLAAKMEEYHVPLLSEFQLENHCFENKVIQRMELLVLSTLEWNMNIVTPLDFLPYFTTKLCNKPPPSNILSKTMEPISILMKEVNLMDHRPSVMAATATLVALDQQLTMEAIGLKMSSIIHQYTFLDHKDIFACYNLIKGLYKEKTRRNKLVHSSSPSSPKRRRFTFNDDKESCDGKKLP >OIW20872 pep supercontig:LupAngTanjil_v1.0:Scaffold_50_78:19210:25719:-1 gene:TanjilG_24950 transcript:OIW20872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGELYKISSIDWKPSPVIALATSVDGLRVAAARQDGSLELWLVSPGSIGWHCQLTIHGDPDKRVSSLIWCPDGSNDDNSCGRLFSSNVDGSVSKWDLFHLKQMTVLESDGVSIWKMALTLPMPKCEEMISETKGLIARNGFVSKVDNSNEHDENSESDEDSDSPDTIKQTVIEYPRVAIGYDDGCVRIYAISNTDDFMYVKSLPRVKGRVLSVTWSTDASHVYSGSSDGLIRCWDVISGHEVYRITAGLGGLGSGHDLCIWALLSLRSGALVSADSTGSVQFWDSKHGTLLQAHSLHKGDVNALAAAPSHDRVFSAGSDGQVILYKLSSQLVSPDGVNSPILMKRWIYVQNVRAHTHDVRALTVAVPITQEDPLPDERVKRARREEKPIEFSYHKWAHLGVPMLISAGDDTKLFAYPVKEFTKFSPHDICPAPQRTPIQLVLNTAISQSSMILVQSSHGLDIHLLQLRNVHTARGHAKTEMLARVKSKASQKIICSTISNSGMLFAYSDHLKPSLFELRRSEGGKVTWSVSKRKLPPKLPFAHSMIFTHDSSWLIVAGHDRRIYVVDVGSSELVHTFTPCRDLQDETLPPTEPPITRLFSSSDRQWLAAVNCFGDIYVFNLEILRQHWFISRLDGASVTAGGFPPQNSNVMIITTSSNHVYAFDIEAKELGEWSKRHTYVLPRRYQEFPGEVIGLSFPPSSTSSSVVVYSSRAMCLIDFGLPVEQDDNDMLNIQDPRVKTLQNLSVKKKIRYKNFNVLPFENPVLFLAYMSKNSFFMIDKPWLEVVKCLEAPPVHRHIFGT >OIW20870 pep supercontig:LupAngTanjil_v1.0:Scaffold_50_78:6495:8006:1 gene:TanjilG_24948 transcript:OIW20870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENVKMKKRHPSIPPNFVTIDDLKQRWLKQKQHEKEEAEQKEAEEKVRQLERENEERERQRFQDLQKQQQQGEPVAVVRTRSAPRVHNMKQIQHRPKIQTDSGINSRWIAVARDGNVELDNKGKESKKMKKLENKGNESKKMKKKRNAMVVVKGKETNEESEFSEAKKSAIESGLNEMDKNVKRNSKVVEKGKETSLESEFSEAKKSEIESRLNEVYKNVKRKPKVVEKGKETSEVSEFSEAKKSAIESRLEEVYKNVKRKPKVVEKGKETSEESQFSEAKKSAIESKLKEVYKTVKRKPKVVEKGKETSDKSEFSRAKKSAIESGLNKIDKNVKRKPMVVVKGKEKREESEFSEAKKAAIESGLNLVEKFVKQNPSVEELKKKENEVIAESESSEAKKSTTETEIELNEEKNDDSIVDEVEEKFGGLLVNSENGKQGGKIKRVSKGFGQSQPVRANEYYFGGSHHGFSRPGQDRHNDKRNKVVWVKKGGNDNAHGGGGEFEA >OIW20871 pep supercontig:LupAngTanjil_v1.0:Scaffold_50_78:15569:15808:1 gene:TanjilG_24949 transcript:OIW20871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEFEEDLSMVSDASSGPPHCDDECYCENWYPYKYTKESQKNVIDYSRIQHQPSTLDDTASSSLFNCPKESQKVNVIYL >OIW20875 pep supercontig:LupAngTanjil_v1.0:Scaffold_50_82:49893:50324:1 gene:TanjilG_24953 transcript:OIW20875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFQDETVQFSCPTVLETMLTPGDIEELFSLINQPRDPESPNSGSQGSNRAVYSTQERKIRRMKSNRESARRSRWKKKRHSENITNQVNRLRAENRELKNRLGLTMHHHLLLSLENESLRSESMVLMAKLTDLIGILSTLLSQ >OIW20873 pep supercontig:LupAngTanjil_v1.0:Scaffold_50_82:5873:6337:-1 gene:TanjilG_24951 transcript:OIW20873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSKEHKQCLFARIIASPIKAFGKARDMYVRSVTKCGQSMCSNVPMDGFGGYSTFPRSYSTGSSTRSEENDDFAELIRVASARTMGDRIGMSLDMKKHQQQQQQRQKQQQQKASKGLLKSSSVGLAKIDEDKPFEQDYYPRSRSHAVGKTNSAF >OIW20874 pep supercontig:LupAngTanjil_v1.0:Scaffold_50_82:27414:28703:1 gene:TanjilG_24952 transcript:OIW20874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMMAQVKIDAFMCLETKMKGGVLSNEKLDLKVMIEELESNIEVPSVFICPISLEPMQEPVTLCTGQTYERSNILKWLSLGHKTCPTTMQELWDDSVTPNKTLSHLILTWFSHKYLDLKKKLEDVQGRVLELLDMLKKVKGQARVKALKDLRRIVTSHVCARKVVEENGGIGLVSSLLGPFTSHAVGSEAIGIIVNLDLSLELKRNLMHPAKVSLVVDIMNEGTIETKMNCAKLFQIFLMEGNDYETEIVSSLSLLVGLLRLVRDKKNPSGVLTGLILLKSICSHESVRTSLVSIGAVPQMIKLLPNLNNECLEIALHILEVLSTIPEGRLALKECPNIIPYVVKLLMRVSESCTQIALSILWAIYKLAPEECAAQAVEAGLAAKLLLVIQSGCNPVLKQMSSEFLKMCSLNYSASILISKCMLTTTIQ >OIW20876 pep supercontig:LupAngTanjil_v1.0:Scaffold_50_83:6988:14159:1 gene:TanjilG_24954 transcript:OIW20876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKICVAVRVRPSTSEDSFNGSFWKVEENRITLHRTHDTPLSGSSYAFDHIFDESSTNASVYSLLTKDIIHAALDGFNGTAFAYGQTSSGKTFTMNGSENDPGVIPRAVKDVFEKMERMSDREFLIRVSYMEIYNEEINDLLTVENQKLQIHESLERGVFVAGLREEVVNNAEQVLNLINAGEVNRHFGETNMNVRSSRSHTIFRMVIESKGKDSNSSSDCSISDVVRVSVLNLVDLAGSERIAKTGADGVRLKEGKSINKSLMILGNVINKLSDGSKQRGHIPYRDSKLTRILQPALGGNAKTSIICTIAPEEIHIEETRGTLQFASRAKRITNCVQVNEILTDSALLKRQQLEIEELRKKLQGSHAEVLEQEFELERGKLEMELREERKSRDQWILEQRMKLENSSSITFSDCGTNDSQGQGSLRQRFTEECSDINSTSEGDIFKSPCLKTAPSAFVARRPKYTTLPDCSPPPDAFSNVADEDMWMKMNNGYVADLDSLQTTPIQKFQSFPTSDSTPVTGRLNEIEKYERETQELRSQLELANTKINELERKHSDEVTLSKQLMGETPEHQQETQIIQELPLRLSKSVEDFKDSFEEVLSAMQRFASVGKLSTTKVLSTISEIGAQLFATLEANFTMNRDNEKSSSGNYALMDEQQKVFRERMNNIITSLELSDCSTVEWQERSPLCSCEHKGSGLGRENAYSKEVLNERYGSLEKEFLLLKEEKDSLLQKFTESSEKLAMVTSQKENTVKDLNTEVQRRKNLEGEIKQFYAAFALRQKSHNSFHSECKTIVEKLRAQTPISVPKSFD >OIW20887 pep supercontig:LupAngTanjil_v1.0:Scaffold_50_88:97213:105429:1 gene:TanjilG_24965 transcript:OIW20887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAELGQQTVELSKLVSATANESYTWLKELVDKCRSSDLSDTDKKISMLKFLTKTQQRMIRLNVLSKWCQQVPLIQHCQQLASTVSNHDMCFTQASDSLFFMHEGLQQARAPVYDVPSAVEILLTGSYQRMPKCIEDVGTQHTLTEDQQKPALKKLDMHVRSKLLEVSLPKEFSDIKVSDGTALVRVDGEFKVLLTLGYRGNLSLWRILHLELLVGEKNKPVKLEEVRSHVLGDDLQRRMAATENPFSILYSVLHELCVALVMDTLLRQVQVLRQGRWKDAIKFELITEGGMGHGASSSSVQNPDGESDSPALRTPGIKIIYWLDFDKNASMSDSGACPFIKIEPGSDLQIRCQHSNFVIDPLTGKEADLSLDQSCIDVERLLLRAIFCNRYTRLLEIKRELGKNIQVCRTADDVVLQSCMSEPDIEHDQKEDKCCSKDSEEHEVLCVRAYGSSFFTLGINIRNGRFLLQSSQNIVASSALLECEEALNQGSMTAAEVFISLRSKSILHLFASIGRVLGLQVYEHGFSAVKIPKNILNGSAMVIMAFPDCGSSYFLLMQLDKDFKPLFKLLETQPDPSAKDNLFGDLNQVLRNKKIDIGQIQVHENEMNCLVDWGKLCSLLPNAACPNQTSGHEFLSDIQIESSMQIARGHTSGFSSLVDEVFGHERGSSAASFSVQDHSSSLNASLPSHYGSVPMNFRSLKAGTPSPKWEGGMQISQVNNVTKASGVTTHYSGSFFSSGSVKGPFQSGPVASISAGQGRSTAGKRLPASKSEQDLSSLKSPHFIDISSSTAMEEDQLRVLTDTSTDGGSRPSQLLSPSRPTVSRMSVPNSRPNGPHLESFKAAGSSSCVTISQAVESTVNYSTSEDVSSKHDKKSRKRTASDMLNLIPSLQGLENNSRIYKRRNISDLSGSQLSLKQSLISAEMIPKAETYSYGSLIVEANKGNAPSSIYIAALLLVVRHCSLCIKHARLTSQMDALDISYVEEVGLRSASSNIWFRLPSARGDSWQHICLRLGRPGCVYWDVKISDQHFRDLWELQKGSSNTPWGSGVRIANTSDIDSHIHYDPDGVVLSFESVEVDSVKKLVADIQRLANARTFALGMRKLLGTRADEKSEELISSSDVKVSGAKVGTDTSDKLSEQMRKAFKIEAVGLMSLWFSFGSSVLARFVVEWESGKEGCTMHVSPDQLWPHTKFLEDFINGGEVSPLLDCIRLTAGPLHALAAATRPARAGPVPGVAAALSFIPKQTGYLSPQGLLVGSSTTSVGQPTAGPGVNTVMSTASGLTNQTLSMLVAAGRGGPGIVPSSLLPIDVSVVLRGPYWIRIMYRKHFAVDMRCFAGDQVWLQPATPPKEGCTSGGSLPCPQFRPFIMEHVAQELNGLDPSFTGQQSGGLANSNNPNSGSGPQQMAANGNRMNLPTSATMSRTGNQVAGLSRAGNALAGSSNLALMTSAVSLRRPPGTIVPAHVRGELNTAIIGLGDDGGYGGGWVPLVALKKVLRGILKYLGVLWLFSQLPDLLKEILGSILKENEGALLNLDPEQPALRFFVGGYVFAVSVHRVQLLLQVLSVKRFHQQQQQHPNPAQDELTQTEISEICDYFSRRVASEPYDASRVASFITMLTLPVSVLREFLKLIAWKKGISQAQVGDVVSVQKPRIELCLENHSGLNVDDNSESSSAFKSNIHYDRLHNSVDFALTVVLDSAHIPHVNAAGGAAWLPYCVSVSLRYSFGETPNVAFLGMDGSHGGRACWLHVDDWEKCKQRVARTVEVNGNAAAADISQGRLKLIADSVQRTLHMCIQGQRDSSGVTSSSGAT >OIW20880 pep supercontig:LupAngTanjil_v1.0:Scaffold_50_88:41201:42421:1 gene:TanjilG_24958 transcript:OIW20880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRRRNSVHAALNCDDVLFEILLRLPPSTISKSIIVSKNWLRVICNPRFRHIYLTKWGQEFRLLGFCVCNFLYLGRPRDGLHRPPWEPALPFLSTCRDGDELKHSGILKQLGYFIDCSNGVILSGRHPKTYIVYNTMTKQQYQLPEPQQFYKTLCMALIVVEHLDDVLYKVIRARCESRLKLRNNVSIETFSSQTGQWKQTTLMCSTPFALCPRTTGMVVGGVVHWFAMWGKLAIYDPRLGDRNVALVKLPGGLLSVEREESILGESHDGNLLFGSSNTYGMEIWKLEKEAEVHPPPRYSNCTHFNYTWTPVCRISFKTMWKQNASLSMHHSKETQILSFLPRNSASVYVRSGWNIFLCNLKTRMVELVHYQGRGGAISWESSKVVPYFLPAWPISSALPLQHGT >OIW20884 pep supercontig:LupAngTanjil_v1.0:Scaffold_50_88:78730:82766:1 gene:TanjilG_24962 transcript:OIW20884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGREVTGIQVLDKKPNGNFSDRVRVSPKIAAMVQAMDHDMKESTEAIHDKKDVLSAKISNSNAADLTDEKSEVQKTDDNENLGSDSKLPSENEPTSPSALLPSDDATEKHVTYAQTGDAEAVATRLNSPPKASNMNTSNSSKTSQPNLPFTPKKPLQHDDKKHHDDEDNWSLASSARSKLTVGSAPNFRCSERAEKRREFYMKLEEKQRALEEEKNQYEARKKPNSPFTPKKPLQHDDKKHHDDEDKWSLASFVASARTARSKVTVGSAPTFRCSERAEKRREFYMKLEEKQRALEEEKNQYEARKKEEEQAALRQLRKKLVIKAKPVPSFYYEGPPPKTELKKLPLTRPKSPKLSRRKSYGDAFAISSPEICIRARNSFGSNLKNGSVSPVKKNKDSVTGHNTSDACKTKEEQNLDKEPKSAPTNITEQTNADISVQS >OIW20888 pep supercontig:LupAngTanjil_v1.0:Scaffold_50_88:108298:110443:-1 gene:TanjilG_24966 transcript:OIW20888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDGGMQVLDGTQLKGVDLSLPPSKLTGAELLDIAHSKLSSYFFGLSIPQNLNLSVLSRIGVTDINGFRSSEFDAEKADQLFRDYITAIADELKDNPVVVSVLDGSTIRLILEDEDDFAMLAENVFTDLDVEDKGKINKTQISNALENMGLDMGVPPLSEFPLLNDLLIKHGADGEGELGQAQFAQLLQSVLQDLEQELFKNNVVFIHKIQIVNGSKLRQLLAKENELNSIVEKALHEKSEANDGLGSSEIIRNFLERNAKDLGLPSIEADEAVAILYDDVFADVAAKEKEGVELDKEELVNLLKDVLEKFADLLESNPVYQDFA >OIW20878 pep supercontig:LupAngTanjil_v1.0:Scaffold_50_88:6595:9453:-1 gene:TanjilG_24956 transcript:OIW20878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSFPVLRTHMENKYMKPPDSFQLSPVRDLIANSASLQSTLPNSNARSVGQMDNVSSEMHSTSPFISHPRESDEILWGPDPFQDVLSFPDNFPVQHDQVENSACYLNDGNVKKTDFGEWVDQLMSIDDSLHPNWNQLLGDDNIAEPKPKATQVSQNKHIPSGEVNGLPNSASTAPHTKPRMRWTPELHEAFVEAVRQLGGSEKATPKGVLNMMRVEGLTIYHVKSHLQGEPRRNDKVVDSNLGKKSLCLRGQDYIHLPSLDPTRNTELPDTNLSHHQKVCKSLLISSIVHITRSKGITEALRLQMDLQKRLHEQLEIQRKLQIQIENQGKHLQMMFEKQREIGDMAKGPSCSLDEPSANTALPSPREDSIEATNEEHDKPESNSSIPEAITEESSRDESIKQMSEEAEVTNKNGAVDDQFEAPPPAKRVKSQ >OIW20883 pep supercontig:LupAngTanjil_v1.0:Scaffold_50_88:62248:63048:-1 gene:TanjilG_24961 transcript:OIW20883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMPAKVGGGISISSSEVHNYYKSITTPLASAFLEWLLMILLFIDAAFSYVITKFASFCNLQNPCLFCSRLDHVLGKEKKGYYWDLICTSHKSHISSLVLCPAHNKLVNVQGLCETCLFSFTNIDKSNTEIYPLLVSKLGQESGSRFDEDPLLGAEHLIPTTATRCSCCNEQNVLRGFGQRLVLAKSNESEGADFDELDAVGNNFHDKKRRRSKQSVSFKDAHLRNNQLDPLSHVGYTELKISSDTESEAPLSDADDNTINITTTV >OIW20885 pep supercontig:LupAngTanjil_v1.0:Scaffold_50_88:84423:87038:-1 gene:TanjilG_24963 transcript:OIW20885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTIADFLLFSLVCISIILLNAIHASSQVASSSPSALILGCGLDKDGEKDADGRAWGYDEKFLQQGGNSIKSKASFQDPSLLDVVPYMSARVFTSETSYKFPIESDKRYWLRLHFYPSVYGSYNPSESYFSVIANDVTLLSNFSASITCQALSQAYLDKEYSLAPLNMDTLTITIKPNDKSFGFINGIQLIEMPELFDSSASLVGYSDQTIDVKSLHLQTMFRLNVGGQYISPKQDSGLSRMWYDDTPYLYGAATGVTNQAENDVKINYQNMPTYIAPSNVYATSRSMGNDKNVNMGYNLTWIFNVDPNSMYLARLHFCDYYYSRVNEIAFNIFLNNQTAQSQADVIGWTGGKGVPTYKDYVIYVQDDAGEDQLWLALHPAPDSKPEFYDALLNGVEIFKVNDTNLSGPNPQPSDMLIKHEEEERKFETNKGYSKTVVGSAAGGAAGFALVAAIVCVAVYNKKKRVPGSYSHTSWLPIYGTSQTSGTKSTMSGRSTNSANLSAMTQGLCRYFSLQEVKQATKNFDESNVIGVGGFGKVYKGVIDNGVKVAIKRSNPQSEQGVNEFQTEIEMLSKLRHKHLVSLIGFCEEDEEMCLVYDYMERGTFREHLYKGNKPLCTLSWKERLELCIGAARGLHYLHTGAKYTIIHRDVKTTNILIDENWSAKVSDFGLSKTGPNMNQGHVSTVVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLFEALCARPALNPSLSKEQVSLADWALICKRKGTLEDIIDPHIKGKINPESLKKFADTAEKCLSDHGVDRPSMNDLLWNLEFALNLQENPDGSTRSAARVVDESEFEDISLANNDMANHYKNLSLGSEDELSSSKEDSSENSTAVFSQLLNPSGR >OIW20882 pep supercontig:LupAngTanjil_v1.0:Scaffold_50_88:58551:61412:-1 gene:TanjilG_24960 transcript:OIW20882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMPAKVGGGISISSSEVHNYYKSITTPLASAFLEWLLMILLFIDAAFSYVITKFASFCNLQNPCLFCSRLDHVLGKEKKGYYWDLICTSHKSHISSLVLCPAHNKLVNVQGLCETCLFSFTNIDKSNTEIYPLLVSKLGQESGSRFDEDPLLGAEHLIPTTATRCSCCNEQNVLRGFGQRLVLAKSNESEGADFDELDAVGNNFHDKKRRRSKQSVSFKDAHLRNNQLDPLSHVGYTELKISSDTESEAPLSDADDNTSIPVRATDDAKEEIKVIHELMEPCSTASLLEPSSESGVQLENTDSHDTKSEAAKMECGNGLEDLQCKQVERNDVCPSPNEPISSNNVPVQVSKENNDLAVDEVRLTSEKRSTDYDEEIIKSSNKVTTSDQQNPNSLDLGDAYKLAVSNRGRQLSGMLVEHWLGKDSRRMSEDLKTLSSQLSARAMDLISPRLSINGDDVKTCDVSNSAGMQIFQKMISLERNESGLSLDGSIVSEIEGEGAVDRLKRQVEHDRKLMSALYKELEEERNASAVAASQALAMITRLQEEKATLHMEALQYLRMMDEESEYETGALQKLNDLVSEKEKEIEYLEAKLDFYRKKYHGESALENMVDTDSEVRVKDLGLDHSQCTSTEKDESVLGKSVTEDLKNVQSVRSSSSELEDERLYISQRLKKLEKQVYFFLNIHQSEDSCLNSASPPKLNSDAVDDDPSSKKPPVCKENSEVEYDGHSNDFASSESVVSDMIGRLKVVEADQSFLEHTMKLLRNVEGIKVLQEIADHLQQLRRIGIPEIDQPVA >OIW20886 pep supercontig:LupAngTanjil_v1.0:Scaffold_50_88:90265:92054:1 gene:TanjilG_24964 transcript:OIW20886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGEGPPHVESSTTTTMSSWELQRYIPIMTLPNMELKKGNMLTKQASMCETPRDIAWERRRKRRIHQKQEQRILHDYSGHLTNEDWNELKGCIELGFGFNEEDGQTLCNTLPALDLYFAVNRNLSPSPVSTPHSGGSASSFGSPTTPDSDSWKICTPGDDPEHVKTKLRHWVQAVTCSVMQSH >OIW20881 pep supercontig:LupAngTanjil_v1.0:Scaffold_50_88:44980:55837:-1 gene:TanjilG_24959 transcript:OIW20881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METPVNIIVGSHVWIEDPSVCWIDGHVSKINGQDAEIQTTNGKKVVAKLSKIFPKDMEAPAGGVDDMTKLSYLHEPGVLQNLKIRYELNEIYTYTGNILIAINPFQRLPHIYDLHMMQQYKGAPLGELSPHVFAVADVAYRAMIHEGKSNSILVSGESGAGKTETTKMLMRYLAFLGGRAVTEGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKSGRISGAAIRTYLLERSRVCQINDPERNYHCFYLLCAAPPEEIEKYKLGHPKTFHYLNQSKCYELTDISDSREYLATRRAMDIVGISQKEQEAIFRVVAAILHIGNIDFAKGKEIDSSVPKDDKSKFHLKTTAELLMCNADGLLDTLCKRVMITPEEVIKRSLDPQSAAISRDGFAKIIYSRLFDWLVNKINNSIGQDSNSKSLIGVLDIYGFESFKTNSFEQFCINFTNEKLQQHFNQAHLLVTATSRFNMVFRDEFQHVFKMEQEEYTKEQIDWSYIEFVDNKDVLDLIEKKPGGIIALLDEACMFPKSTHETFANKLYQTFKNHKRFIKPKLSRTDFTISHYAGEVLYQSDHFLDKNKDYVVPEHQDLLGSSKCPFVAGLFPPLPEETSKSSKFSSIGSRFKLQLQQLMETLNSTEPHYIRCVKPNHVLKPAIFENVNIMQQLRCGGVLEAIRISCAGYPTRKPFFEFINRFTLLAPEVTEADEDEKTVCKKVLENMGLKGYQIGKTKVFLRAGQMAELDARRAQVLSNAAKTIQRRIRTHHARKHYLALRKKAVYVQSLWRGRLACKLYENMRREDAVVKIQKTVRRYEARKAYNKLQASVLALQTASRAIASFKEFIFRKRNKASTIIQARWRCHIAASYYKRLRKGSIVTQCRWRGRLARRELRKLKMAARDTGALKELKDKLEKRVEELTWRLQLEKSLRTNLEESKAQEISKLQNSLQEVQSKADETNAMLIKERENSKKLIEETPPVIKETQVIVEDTQKIESLTVEVESLKNSLESERHKSDDLERKYNEAQAYGEDRGKKLEDTEKKVRQLQESLTRLEEKITNLESENQVLRQQAVSMAPTKFLSGRSRSIMQDMHSTSMSHRESSEMDDKPQRSLNEKQQENQELLIRCISQPLGFAGNRPIAACIIYKWLLHWRSFEVERTSIFDRIIQTIGHAIESQDNNDVLAYWLSNASTLLLLLQRTLKASGAAGMAPQRRRSTSGTLFGRMTNSFRGAPPGVNISIINGSMNGGVDTLRQVEAKYPALLFKQQLTAYVEKIYGMIRDNLKKEISPLLGLCIQAPRTSRASLVKGASRSVASTEAQKALIAHWQGIVKSLGIFLNTLKENNVPPFLVRKVFTQIFSFINVQLFNSLLLRRECCSFSNGEFVKAGLAELENWCFNATDEYAGSAWDELKHIRQAIGFLVIHQKPKKTLNEISHDLCPVLSIQQLYRISTMYWDDKYGTHSVSPDVISNMRVLMTEDSNNAVSNSFLLDDDSSIPFSVDDISKSMEQIDISDVEPPALIRDNFGFSFLMPTSDGQLPLQH >OIW20877 pep supercontig:LupAngTanjil_v1.0:Scaffold_50_88:2646:4676:1 gene:TanjilG_24955 transcript:OIW20877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAEVTFTKEVVVKNCEGNREEEEEEKAASEDGIPHKVEKKQVHAIKDILDECASESLFSLSIDSNKQVSLDNVEVNSPMQKLPSITKVEYDAVVTKSKEQPNLISIRVVNNSNSSEESSALEESNEDKRKMYRYHDCCDEGYESVNIDESDFDVTFEDDEKKREGAEDVNRSLFQEESSESLFSLSIDSRKRISSAENLDGEVNSLIVSAAEKGRRIKHDDSAVLNPIENITTQQGKVKATMCKTKENKENINLVAQDFDIDHIPISPEPNLKLSSYKTRKKQEIGVDTSLSSWLVEPETTPITMKSSNYSARKHTRKEGRGSSVLSNEDRPILGALSIEVIRKYSSVSATCSRSRSLDEIPIIGTVGSYWSHTGKCMDSELDKHRKDARLKCSSTIKRKLERTFEDELGHFPVQ >OIW20879 pep supercontig:LupAngTanjil_v1.0:Scaffold_50_88:19845:27401:1 gene:TanjilG_24957 transcript:OIW20879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVVSSPFQLLEINMISAQDLVPVSKSIRAYAVAWLHPERKLATQIDEIGHTNPTWNEKFVFRVDEEFLNSEKSVIMIDIYTSAWIRDILIGTVAVLITNLLPPSVRSGNRKSKLRFVTLQIRRPSGRPQGILNIGVTLLDNTMRSMPMYSELSTSSVGYWDTMESKKKKKKNKKHNAKEDNETVEFDQSENNSAFDSNLLTLQRCQSEKNDSSLNEYSYNGVGKNYYGFDGNGSEVSVTGKGFGIVNANESACNSDVGPSPSLVAAAIAKGLYPMPMLSLQSAESSAIDEWSENNRTEGMKTKIERWKNELPPVYDDLDSDIKNIKKQSSMHGSSGKQRRGNNGLCSCFGTVFGCEISITCGGGGKRKKKGGGGACIGSSSSQTRNNDAEAYVTLLYGDEFLLGVRVLGKSIRNTGSNKDMVVLVSDGVSHFANNLLQADGWIVEKISLLANPNRVRPKRFWGVYTKLKIFNMTNYKKVVYLDADTIVVKNIDDLFKCGKFCANLKHSERLNSGVMVVQPSETIFNDMMSKVTTLNSYTGGDQGFLNSYYSGFPDAHVFEPNLSPEVLNSRPVPEMERLSTLYNADVGLYMLANKWMVDENELRVIHYTLGPLKPWDWWTSWLLKPVDVWQDVREKLEESLPGTGGGQNPKDGFLVKLLFLLPFFALLFCCYHSFLKTQGHFSSFSRNSLWNNIRHLYYRIRSSGSLPYTTISSSTTNSSHQLLNGAQHKVPAYLGGTSVGVCLMVAVMSIGLAISIIPRQVMPWTGLLLMYEWTFTIFFILFGGYLHFIYRWGKILASRAASSLSSPVSSDYDSGKRHQRQLSSCNAAKWYYGFGMALLAIVAPSLPCLFGVTALFLRLGLMVIGGIILASFMTYASEHLAIQSFMKGLEDWDIARNRS >OIW20889 pep supercontig:LupAngTanjil_v1.0:Scaffold_50_89:9272:9627:-1 gene:TanjilG_24967 transcript:OIW20889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSGENWPSHSDLDVGDYVKDLCFDDEEFGDDDESDPMIGENNKRRRHQELTERLLALSDTIPGLNKRVGNFN >OIW20896 pep supercontig:LupAngTanjil_v1.0:Scaffold_50_99:50299:56022:1 gene:TanjilG_24974 transcript:OIW20896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSIDIMKININKAKLAGADLVEIRLDSLKIFHPSQDLNTLIKDHILPQLFTYRPKWEGGMNVGDDNKRLEVLRLAMELGADYIDVELQVADQFYDSIRGKTLNKTKVIVSSHNYQHTPSVEDLGKLVARIQATGADIVKIATTAVEITDVARMFQTMVHSQVPFIGLVMGDRGLISRILCAKFGGYLTFGTLESGVVSAPGQPTIKDLLDLYNFRQVGPDTKVYGIIGKPVGHSKSPILHNGAFKSVGFDGVYVFLLVDDLTNFLRTYSSTDFVGFSVTIPHKEAAVKCCDEVDPVAKSIGAVNCIIRRPTDGKLIGYNTDYVGAISAIEEGVLQGRHDGGGTAVSPLAGKMFVVIGAGGAGKALAYGAKEKGARVVIANRTYDRARELADVIGGDALALADLDNYHPEDGMILANTTSIGMQPNVDETPISKHALKSYSLVFDAVYTPKMTRLLKEAEESGATVVTGLEMFLGQAYGQFEKFTGMPAPKQLFKKITENL >OIW20890 pep supercontig:LupAngTanjil_v1.0:Scaffold_50_99:1457:4753:-1 gene:TanjilG_24968 transcript:OIW20890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHIQNKRFKAATTAKPLAIVTAQCESHVQTTILCAKSNGIQIRIRSGGHDTEGLSYVSDVPYIVLDLFPLHSVDVDISSATTWVQAGATLGQLYYHIYEKSKVHAFPAGVCTSLGTGGHFSGGGYGNLMRKYGLSVDNIIDAKIVDVNGNILDRKSMGEDLFWAIRGGGGASFGVILSWKIKLVLVPAKVTIFTVNKFVEDGAADVLYKWQEVAPNLDEDLFIRVEHSVVDGTKGGKKKVKVAFIGEFLGTIDKLLPLVSKSFPELGLRKSDCIEMPWINSTLYWDRIPIGTPLETLLTTPKEPMTIYFKSKSDYIKKPIPKNDLYAIWDKFIESKSMIMQWNPYGGKMAKIPSSATPFPHRAGNLFKIQYFLIWTEDGDKANNHYLNISRSFYNLMAPYASKSPRETFLNYRDTDIGANYPNGAADVLYKWQEVAPNLDEDLFIRVEHSVVDGTKGGKKKVKVAFIGEFLGTIDKLLPLVSKSFPELGLRKSDCIEMPWINSTLYWDRIPIGTPLETLLTTPKEPMTIYFKSKSDYIKKPIPKNDLYAIWDKFIESKSMIMQWNPYGGKMAKIPSSATPFPHRAGNLFKIQYFLIWTEDGDKANNHYLNISRSFYNLMAPYASKSPRETFLNYRDTDIGANYPSNTTSKDIAKTYGSKYFKENFDRLVNVKTMVDPLNFFRYEQSIPTKSGY >OIW20898 pep supercontig:LupAngTanjil_v1.0:Scaffold_50_99:66586:70931:1 gene:TanjilG_24976 transcript:OIW20898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALARIARANLRRSGGGVGSYVSEKEILYERACTPKCSLASLVNSKANGKFSYIPGIKEQKYMNFSIRGIAGTPHYQFSSNTERIMDESDSELEHEEPRYAGLEATKASEKPRVVVLGTGWAACRFLKGLDTGIYDVVCISPRNHMVFTPLLASTCVGTLEFRSVAEPVSQIQDALAKNPNSYFYLASCTGIDTDKHEIYCKAVNNGGLPHEPYQFKVAYDKLVIASGAEPLTFGIKGVKEHAFFLREVNHAQEIRKRLLLNLMLSENPGISEEEKKRILHCVVIGGGPTGVEFSGELSDFIMSDVRERYSHVKDYVHVTLIEANEILSSFDVSLRQYATKHLTKSGVHLMRGVVKEVHPKKLVLSDGTDVPYGLLVWSTGVGPSQFVNSLNLPKSPGGRIGVDEYLRVPSVEDVFALGDCAGFLEQTGRPVLPALAQVAEREGKYLVELLNKIGKQNGAKAFSTKDMPFGDPFVYKHLGSMASVGRYKALVDLRQSKDAKGLSLAGFVSWFIWRSAYLTRVISWRNRFYVAVNWATTLFFGRDNSRIG >OIW20892 pep supercontig:LupAngTanjil_v1.0:Scaffold_50_99:22928:32294:1 gene:TanjilG_24970 transcript:OIW20892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METDESSRVLPFQLQFDKPLASQVKIAEWNPEKDLLAMVTDDSKILLHRFNWQRLWTIAPSSGKCITSLCWRPDGKAIAVGLDDGTLSLYDVENGKLLRSLKSHGASIVCLNWEEDSHPVTDDCGHASKYEDRTSRFFPPAPRVPRMPGLVSGDNGFMDDGDDSFQELSNSAHQRFNILCSGDKDGNICFSIFGIFPIGNTNIHNLTFPTSCEGTETTYGLLNASIHKVALSKDLCRLIVMCSGDLVEVGNNLGKIHTTEHNEHGLHCLALNTSIFWNRKNELHQVAQQASNIEDLTEVVRTSLSVMSRQWSDAMHTFQEKFSSLSTLIVDHGLDSSPQEEFLSLLGGARTSPPVHQFLVNTLGEVGVKRILKVLCAAGKELQRIVLDHLQPAAEVIGFRMGELRGFSRWRARYHAIGLDELLINNATEKAGMLLVQVERFMRVLSSVMQQYSNFFNWLLKCIKLLMSEPSDQLLPYNSELVIIFLKFLYEQDPVKQLLEISESDYDVEIDLETMQRVRELVQFGGFSDTEYLRRTLAKEFQQMELSFKEAFQMPFTTISRKILCEDLLPLFPLPTLPKASSSMRIPTSVSYYEDSSTASLSHHTCQNQFLDYVSFQVPDESFSDIANCICIVRGFMHDTDCLKKGYSSLEAVLLSVPVDYQCVDLSLYKDSQVVLLLNKNSTTSESTGDGCLMILQASDLPYVSISRSACIDAWRLQDLKDSVAYLDIEDEKARTIPHSVIAPLAVSASRGVACVFAARKRALVYILEEDEDDVSDAE >OIW20894 pep supercontig:LupAngTanjil_v1.0:Scaffold_50_99:41317:41952:-1 gene:TanjilG_24972 transcript:OIW20894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVKLWNDKREREMYDNFAELYAIIKATESLEKAYVRDIISPKEYELECQKLIAHFKTLASTLKDTVPSIEQFVDTYKMECSAAINRLVISGVPATVEYRVAAAANTSNSAAMVAGCVQFFITTMDSLKLGMLAVDDVHPLLSDIYGSLNKLTFLPPDFEGKTIVNEWMTRLSKMGASYVLNEQEARQLHFELESSYNSFIASLPNADMIE >OIW20897 pep supercontig:LupAngTanjil_v1.0:Scaffold_50_99:60433:63200:1 gene:TanjilG_24975 transcript:OIW20897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALARIARTNMRRRSGSAFGSYASVNDTFYGIAYTPLCPLQSNVYFKANDKFSHIPGIKEQNHLNFSMRGISGTPHYQHSSANTPRVAEVSETELEHEEPRYAGLEATKASEKPRVVVLGTGWAACRFLKGLDTEIYDVVCISPRNHMVFTPLLASTCVGTLEFRSVAEPVSQIQDALAKNPNSYFYLASCTGIDTDKHEIYCEVVNHGELPYEPYQFKVAYDKLVIASGAEPLTFGIKGVKEHGFFLREVNHAQEIRKRLLLNLMLSENPGISEEEKKRLLHCVFIGGGPTGVEFSGELSDFVMRDVHERYTHVKDYVHVTLIEANEILSSFDLSLRQYAMKHLTKSGVHLMRGVVKEVHPKKIVLSDGTDVPYGLLVWSTGVGPSEFVKALNFPKSPGGRIGVDEYLRVPSVEDVFALGDCAGFLEQTGRRVLPALAQVAEREGKYLVELFNKIGKQNGAKAFCSKDIPFGDPFVYRHLGSMASVGRYKALVDLRQSKDAKGVSLAGFVSWLIWRSAYLTRVVSWRNRFYVPMNWATTLVFGRDNTRIG >OIW20895 pep supercontig:LupAngTanjil_v1.0:Scaffold_50_99:44358:44984:-1 gene:TanjilG_24973 transcript:OIW20895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLHSLKVFLISTFLLFTALGLNISVSLIKHFFATQVPSTWNFFLLFFKPPYLILLINAIIIAIAATSKFHHPTPPPPPLPPLLVEVQPDQVELDMKSSTTSVVSGVVLEDEENGVESTETSTSPTLEEVWKMITKKRETVVLKKSEKFEVKDWRDIPMVCATATGFSKEPSLSQDELNHRVQGFITKIKNGTRLQKQYSFVNHSIQ >OIW20891 pep supercontig:LupAngTanjil_v1.0:Scaffold_50_99:17856:21156:-1 gene:TanjilG_24969 transcript:OIW20891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTKLVSCVILDLDGTLLNTDGVVDKVLKIVLSNYGKEWDGREALKIVGKTPFESAADVVQDYGLPCSTTEFISQISPLFYDQWCNIKELPGANRLIKHLKSHDVPMALASNSPRESIEAKLSYHDGWKSSFSVIIGGDEVRTGKPSPEMFLEAARRLNVEPSSCLVIEDSLPGITAGKAAEMEVVVVPSLRKQSHLYSAADMVISSLLDLQLEKWGLPPFEDWVEGTLPLDRWYIGGPIVKGFGRGSKALGIPTANLSPEGYSDLLAEHPAGVYFGWAGLSTRGVFKMVMSIGWNPYFNNKEKTIEPWLLHDFNEDFYGEELRLLIVGYIRPEANFPTLESLIAKIHEDRRVAERALDIPLYSSYKNDSYLRSSHE >OIW20893 pep supercontig:LupAngTanjil_v1.0:Scaffold_50_99:35462:38916:1 gene:TanjilG_24971 transcript:OIW20893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRPAVISDRVTFNGTVTLLSLLVDGTLWWPEISQRSLKVDKEVLGFIADGPKIKLKTIVEIGDGCSCMAGTKAKLVRYDVVFEPSSEESHKLWCHKLRELIDSLGRPKRLFVFVNPFGGKKSGSNIFNDEVKPLLQDSQIQFTLQETKHQLHAKEVVASLDVSKYDGIVCVSGDGILAEVVNGLLEREDWDNAIKTPLGVVPAGTGNGMAKSLLDSVGDPCAVANAVLAIIQGHKQQVDVATITQGETRFFSILMLAWGLVADIDIESEKYRWMGSARIDFYGLCRILRLRHYTGRVYFVPAPAFEAYGEPTSYPGISTSKGSISDQIDVEPVNLQRPCYQGPEVNLEDLSWRIISGPFISVWLHNVPWGAENTMAAPDAKFSDGYLDLIIMKDSPKLPLLSIMSKLDNGDHVKSPYVTYLKVKAFILEPGPRSEEPEKEGIIDADGEVLARGKGSYQCEKKTLMAYDKLQIAVDQGLATLFTPV >OIW20905 pep supercontig:LupAngTanjil_v1.0:Scaffold_51_103:18525:19720:1 gene:TanjilG_25077 transcript:OIW20905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GSSLLPTLLVPFLVASSKVKGQSKPLRLDESKALVYGIQIGQSTDTMMAVQNKTQEPPSSLQIQHETLACFLHFVRLMNLSTFFLIGQTGQYSENKSDKDLETIHEIGEILASGTGLQFSEGKVKWNLKKATKESKEPWRALYG >OIW20906 pep supercontig:LupAngTanjil_v1.0:Scaffold_51_103:32977:45151:1 gene:TanjilG_25078 transcript:OIW20906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPSNNTETEEETTAFRRKRLRRVSFADNEITSVHIFRRDDDDSSGTPPVSTPDHEILGFFSDLAGDSDNDDKESSSPPDAEAVDGRDSFLRPVGSPSPGGSSTADDDFHGPVSASFIRPDRLSDSGISDDNHDHTMDSTAFSMHYRSLARSESGDLKTPTRFGLQFEEKTPSQTSSPLRGPGSLMELTKAKKQTCQSEVSPADVGSGGRDSSDMCIVGEKLQIYDYDYDRLPPILDAILAEGSKDLSAVSPLDSKAGGLLNGYLKVEGSSGSAMRNVGNDDMAVREEALAELGDLNGYSIGSPVIQVTDDSLYSKGDSTVGHVAIHGIQTPVSSIKEIKEVVKGETVPIYKDFTTPRTSSLREGVNKLKRRLSKYSPGTPLFNGKDCEYKQVETFNAPLAEKLFSLTPESNMHQSLINIDDHVIGSSRSISKLSPYQEDVETIMDEENFHLVSADNSFNDKNWKPVETEASPLQMTHLDRVTVYDLTENTAENKKDEIEIVTHDTTLTSLNQKLSPSVECQRNCSDELKQLDKQNESVSIGLANTEKPNNLELSGFVNTEMPSSPSVFSQDNKSAKSATKRKLAQSSAEKVLVLSTPIQEVTTLLPSDGHGPIDNNYHSALQVAESPATKTGVEISSGKKRKGVKISSGKKQKGVKILSGGDDIDKKGGLDRSSPDVNKSGNSEREKVGDQTWNDWADILKSFLGSTDQLLPPSVEKLNLRSIGMLEDILVHLQKVKKMEILCSEIHSQIITDPLNIPRHKRVVEARMLMFNITYEKAKLQLMNIKREKLQKKVEQLRSGLEESQMLKLNFISSSHQSGAMDTQDSDSNIHTSLLNSKEKCQVSCEKVTRMRQELETLDSEAKSLNEFFHSYCKIAGEQSCADTIKSVHDYLQKRMSCKFIFKNLKLWDIEDFERENGCLKVLLNYCSYVFQRFTINAGQPSIIVSNNLNDVNIVKTFPNMDALSAFVFVLNPHTTKEYSSSICLAQETQITSSLLSNLLDVFEEVQSARMEIRNLVDAKFYSHSVQQLDLELSFIEFYSGKKVKVTLDLTCLKCAVYPVEVLPSQIYDPAGAEQKSLPSSFVAEIRTAAESVKVGYSRVTRLCRCISQTVQACGQCR >OIW20907 pep supercontig:LupAngTanjil_v1.0:Scaffold_51_104:9188:14031:1 gene:TanjilG_25079 transcript:OIW20907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYCRSDAACLCLSCDRNVHSANSLSKRHSRTLLCERCNSQPAVVRCAEEKVSLCQNCDWLGHGSSTSSSTHKRQTINCYSGCPSSEELSSIWSFILDISSMSGSSCEQELGLMSINENSNTSSRVPPENQNVTGSDQVNNLPGKDKSGVGASSKSESSTESPVPDHPAGPSNQCLPKFYRPGTKCPSICEDNMYPDFEMGEADINLENYDELFGMTLTHSEELFENGGFDSLFGIKDISAEDSDCLGAVVAESSVELVNAMQPACSNAESADSILSTKTEPIICFTARQAPSNISFSGIAGESNAGDYQDCGASSMRLMGEPPWHSPFPENSLHSASNRSNAVMRYKEKKKIRKFDKRVRYASRKERADVRRRVKGRFVKVGDAYDYDPLGPTRSY >OIW20909 pep supercontig:LupAngTanjil_v1.0:Scaffold_51_117:24195:24561:1 gene:TanjilG_25081 transcript:OIW20909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGESRENEASAVKWFKVRVAVVHRRGSGSEGSVTPILSHKMGCQSLNPTPSSPLFISSGHDEMRWFKVTLGGDRGSWP >OIW20908 pep supercontig:LupAngTanjil_v1.0:Scaffold_51_117:10603:12804:1 gene:TanjilG_25080 transcript:OIW20908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKVVHVSDIPSLDIVPESPCFSNGVEFKVPKFGVIGHRGHGMNALQSIDRRMRAIKENSIMSFNAAPNFPIDFIEFDVQVTNDDCPVIFNDDFILSQENGIVIEKRITELYLSEFLAYGPQREGGKDGKVLVRKTKDGKIVQWEVEQDDPLCTLEEAFLKVEPSLGFNIELKFDDHILYDQDYLVHVLKAILKVVFDHAKNRPIIFSTFQPDVASLVKKLQSTYPVS >OIW20910 pep supercontig:LupAngTanjil_v1.0:Scaffold_51_122:946:1970:-1 gene:TanjilG_25082 transcript:OIW20910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLETIKTVELLQFVSWESLLGILLSLRICYILLLTAVMLSCIIRKEEDTQVGVQPCEIDIWLHLVNVYPHFSTPNTRFDKRVRYASRKERVDVRRRVKGRFVKVGDAYDYDPMGPTRSY >OIW20911 pep supercontig:LupAngTanjil_v1.0:Scaffold_51_123:7141:9822:1 gene:TanjilG_25083 transcript:OIW20911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKVVHVSDIPSLDFVQESPCFSNGVEFKVPKFVVIGHRGHGMNALHSIHQRMRAIKENSIMSFNAAANFPIDFIEFDVQVTNDDCPVIFHDDFILSQENGGKDGKVLVGKTKDGKIVQCEVEQDDPFCTLEEAFLKVEPSLGFNIELKFDDHILYDQDYLANVLKAILKVVFQHAKDRPIIFSTFHPDVASLVKKLQSTYPNSLEEALKLRLENGLQGIVSEIKGLFRNPGVVTKIKESEISLLTYGSLNNVPEAVYMQHLMGIDGVIVDLVQEIIEAIANLITSAMVVDEEGLTEEMGKMQLHSKPKLKVKPFYIGFS >OIW20913 pep supercontig:LupAngTanjil_v1.0:Scaffold_51_123:26189:26555:1 gene:TanjilG_25085 transcript:OIW20913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGESRENEASAVKWFKVRVAVVHRRGSGSEGSVTPILSHKMGCQSLNPTPSSPLFISSGHDEMRWFKVTLGGDRGSWP >OIW20914 pep supercontig:LupAngTanjil_v1.0:Scaffold_51_123:28839:29030:-1 gene:TanjilG_25086 transcript:OIW20914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKRNHCASEAAMAMDLYSASDDDHETVFRFLLFHEMRALPKKKHHHVTNFLVFRQVAQYESE >OIW20912 pep supercontig:LupAngTanjil_v1.0:Scaffold_51_123:17045:20154:1 gene:TanjilG_25084 transcript:OIW20912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKDVHVLDIPSLDIVPESPCFSNGVEFKVPKFAVIGHRGHGMNALQSIDQRMRAIKENSIMSFNAAANFPIDFIEFDVQVTNDDCPVIFHDDFILSQENGIVIEKRITELYLSEFLAYGPQREGGKDGKVLVRKTKDGKIVQWEVEQDDPLCTLEEAFLKVEPSLGFNIELKFDDHILYDQDYLVHVLKAILKVVFHHAKNRPIIFSTFQPDAATLVKKLQSTYPVFFLTNGGCLEDEKKNSLEEALKLCLENGLQGIVSEIKGLFRNPGVVTKIKESEISLLTYGSLNNVPEVVYMQHLMGIDGVIVDLVQEITEVVANLITSTMVVDEEGLTEGMGKMQLHSKPKFTHLEFSFILKLIPKLIQI >OIW20915 pep supercontig:LupAngTanjil_v1.0:Scaffold_51_130:2478:5186:-1 gene:TanjilG_25087 transcript:OIW20915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKAVHVSDIPALDTVPKSPCFSNGVELKVPKFIVIGHRGHGMNALQSIDRRMRAIKENSIMSFNDAANFPIDFIEFDVQVTNDDCPVIFHDDFILSQQNGVVFEKRITELSLSEFLAYGPQREVGKDGKVLLRKTKDRKIVQWEVEQDDPLCTLEEAFLNVESSLGFNIELKFDDHIVYDLDYLAHVLKAILKNIYLLNFPANAASLVQKLQSTYPVFFLTNGGCEIFEDERRNSLEEALKVCLENGLQGIVSDIKGEFRNPRVVTKIKESEISLLTYGSLNNVPEVVYMQHLMGIDGVIVDLVQEITEAVANLITSAMVVDEEGLTKGVGKLQLHSKPKFSHLELSFLLRLIPQLIQI >OIW20917 pep supercontig:LupAngTanjil_v1.0:Scaffold_51_131:12831:14908:-1 gene:TanjilG_25089 transcript:OIW20917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKAVHVSDIPALDTVPKSPCFSNGVQLKVTNDDCPVIFHDDFILSQQNGVVFEKRITELSLSEFLSYGPQREVGKDGKVLLRKTKDRKIVQWEVEQDDPLCTLEEAFLNVESSLGFNIELKFDDHIVYDQDYLAHVLKAILKVVFDHAKDRTIIFSTFQPDAASLVKKLQSTYPVFFLTNGGCEIFEDERRNSLEEALKVCLENGLQGIVSEIKGVFRNPGVVTKIKESEISLLTYGSLKYVLSCHMQCFK >OIW20916 pep supercontig:LupAngTanjil_v1.0:Scaffold_51_131:4013:6089:-1 gene:TanjilG_25088 transcript:OIW20916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKAVHVSDIPALDTVPKSPCFSNGVELKVPKFIVIGHRGHGMNALQSIDRRMRTIKENSIMSFNDAANFPIDFIEFDVQVTNDDCPVIFHDDFILSQQNGVVFEKRITELSLSEFLSYGPQREVGKDGKVLLRKTKDRKIVQWEVEQDDPLCTLEEAFLNVESSLGFNIELKFDDHIVYDQDYLAHVLKAILKVVFDHAKDRTIIFSTFQPDAASLVKKLQSTYPVFFLTNGGCEIFEDERRNSLEEALKVCLENGLQGIVSEIKGVFRNPGVVTKIKESEISLLTYGSLKYVLSCHMQCFK >OIW20899 pep supercontig:LupAngTanjil_v1.0:Scaffold_51_14:16404:18654:1 gene:TanjilG_25071 transcript:OIW20899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVFLYHVIGDLTVGKPELVEFHEDEAVESAIKAIGESPEGSIPIWKKKSHVGVIENSDMRQQRFVGILNSFDIVAFLAKNECLENQEKALKTPVSEIVNPNNSLLKLVDPGTRLIDALDMMTHGVKRLLVPKSVVWKGMSKRFSMVYYGKWLGNGESPSSSNNNLPSNMNQNRPSSSNVIREKFCCLSREDVLRFIIGCLGALAPLPLTSIAALGAINSSYSHIDSSTPAIEATTKLPQDPTAVAVIERTLDGHCKIIGEISACKLWKCDYLTAAWALANLSAGQFVTGVEDNVTSSSLPELNINSTTGDNNLVNGDSSRKPRIFSSRSIGFFSNSSSPSFGSRSMYRGRSAPLTCKITSSLAAVMAQMLSHRATHVWVTADESDDVIVGVVGYADILAAVTKPPTVFIPANRASQGFQNEIQI >OIW20918 pep supercontig:LupAngTanjil_v1.0:Scaffold_51_147:3383:15828:-1 gene:TanjilG_25090 transcript:OIW20918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFNATTNFPIDFIEFDVQGIVFEKRITKLCLSEFLAYGPQREGGKDGKVPVRKTKDGKIVQWEVEQDDPLCTLEEAFLKVEPSLGFNIELKFDDHILYDQDYLAHVLKAILKVVFDHAKDRPIIFSTFQPDAASLVKKLQSTYLVTNDDCLVIFHDYFILSQENKRITELCLFELLAYGPQREGQKDGKVLDRKTKDGKIVQWEVEKDDPRCTLEEAFLKVEPSLGFKIELKFDDHILYDQDYLAHVLKAILKVKL >OIW20919 pep supercontig:LupAngTanjil_v1.0:Scaffold_51_154:28842:29294:1 gene:TanjilG_25091 transcript:OIW20919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSSLPAPSEGVLCILLVNTVSSISLFKGIVRTILHIVGIDVSSSSPNTSQNPPESFELHLSPLDGFIEEFRSKTPSRSFDSVCGCDHQPEHDCTVCLTEFEPKSEINRLPCGHIFHKVCLEKWLDYWNITCPLCRTPLMPEDDRSCLW >OIW20900 pep supercontig:LupAngTanjil_v1.0:Scaffold_51_62:41342:43183:1 gene:TanjilG_25072 transcript:OIW20900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRITILALSFIFFFNLVLITFAQDSLVREDSGGSVDLGRRGKIVNDQEDDGWNNGVGIGLGSDAGLGVFDAFFASLSMILVSEIGDETFIIAALMAMRHPKSIVLSGALSALIIMTLW >OIW20902 pep supercontig:LupAngTanjil_v1.0:Scaffold_51_81:11888:12046:1 gene:TanjilG_25074 transcript:OIW20902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLILSKFDLFEKKVEEVPSTEYDWFSDFHPTISHLRLLSYRAKAIRVPGERV >OIW20901 pep supercontig:LupAngTanjil_v1.0:Scaffold_51_81:2661:2987:1 gene:TanjilG_25073 transcript:OIW20901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIHMAQSKGWSSVWLECDSTAVVDIFKGNKPVPWKLSNLMNKCNRVMMDMQVKVSHIFREWNACADKLANFGVIYRMDHWWDSPPLFLLHEFHRNLLLLPNYRFRGL >OIW20904 pep supercontig:LupAngTanjil_v1.0:Scaffold_51_94:45884:46810:1 gene:TanjilG_25076 transcript:OIW20904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFHFSFGTQPNQESFSLERISEGPFPSKTTQSTVTCIYQANVAGQWKNVTVLWCKNLMNHTLHLNVDSTGGEFHQTCKIDVKPWYFWNKKGYKSFEVSGHQVEAYWDLRMAKFSGGSPEPSSDYYVALVSDEVVVLLLGDYKKKAYKRMKMRPGIVEAMLLVKRENVFAKKSFSTKAKFDEKRKESDIVVESSTNGSKDPEMWISIDGIVLIHIKNLQWKFRGNQTVMVSKQPLQVFWDVHDWLFSESGSGPGLFIFKPGSKESESEEEEKRYEESHSDDVSSGYYSTRSYAPAFESCFVLNAYKLE >OIW20903 pep supercontig:LupAngTanjil_v1.0:Scaffold_51_94:33131:38645:1 gene:TanjilG_25075 transcript:OIW20903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDALAAFIAKLWRFVSFLPFFFFLFILGIMKGTVIGPIAFAIIVIGNLAVIIGLWTAHVVWTYYCVARTKRFGLVLKVVILICLPVPVLLLPILGIVGSLLGGIGYGYCAPLLATFEAVGQDVKDKFYHCFIDGCWSTIKRSCTVVQDVTDFCFHSYFSYMDELRENLPSHEKPFDIKLSILPCCLLVILVAVPFDVVLITSIAIWKSPYMLFIGWKRLLEDLIGREGPFLETECVPFAGLAIILWPLAVVGAVLAACISSLFLGLYGGIVVHQVWDWLFKSCEVNGRILLRDGLISVKEIEECILKGNCKKLGIKLPAWSLMHCLLTSAKCDTDGLVISDDEELTRMNGPRDKVFEWFIGPLLIMKDQLKKLKLEETEETCLKELVMRCKNELPEEWDSTGFPSSDTVRRAQLQAIIRRLQGIVTSMSQIPTFRRRFMNLVKVLYIEALQARASANHIGGSAIPKHSEKDSPC >OIW20939 pep supercontig:LupAngTanjil_v1.0:Scaffold_54_101:1037:1228:-1 gene:TanjilG_25779 transcript:OIW20939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIKQLRTSWRKIKRENSRILCSSRVVNVQYDPNSYLKNFDDAIIRTLIMHLNHSQQDLQHPQ >OIW20940 pep supercontig:LupAngTanjil_v1.0:Scaffold_54_101:4683:4970:-1 gene:TanjilG_25780 transcript:OIW20940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLENNNNWPSYERVAYDSIVCVIKLETRMKIKRLRMLWKKIKRENSRNLCSSRVVNVQYDPSSYLKNFDDGYSKDADNVSHSFSARFAASSMIF >OIW20923 pep supercontig:LupAngTanjil_v1.0:Scaffold_54_13:12190:13343:-1 gene:TanjilG_25729 transcript:OIW20923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNKDQFESSSKTDKTNMNHVTIKTAENSSNSNLNITTSMTMSSVSSSSPSSPPSTPSRYENQKRRDWITFGQYLGNHRPPLSLSRCSGAHVLEFLRYLDQFGKTKVHTQLCPFFGLPNPPASCPCPLRQAWGSLDALIGRLRAAFEENGGKPEANPFGAPAVRLYLREVRDSQATARGISYEKKKRKRVPQVQASPQPSTTATFK >OIW20920 pep supercontig:LupAngTanjil_v1.0:Scaffold_54_2:6826:8043:-1 gene:TanjilG_25726 transcript:OIW20920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTQKSQPEKQSSAATAPPAITSCRRKKSEEATFLEDLKDHIDEFINASVDEHKTCFQKTVQKMFGLSKIVAERNSNDVKQVENKERMVVQLVLLVVEDEKGMALQPLLAMVEVLG >OIW20926 pep supercontig:LupAngTanjil_v1.0:Scaffold_54_23:42541:43131:1 gene:TanjilG_25732 transcript:OIW20926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRLKLTKKLHPAKKAWKSFSNTFQSKFHKINISKSIKTTLKLLLSTLHTLIHLIPYRKNRSLTTTRPYSSSYYHVQHKNFAAITIDDLFGEGSQVSMHDTNKSTTTTNFNNNNNNNHAQGETSRSKGVHGNKGLHGGESSDMATIEDAWKAVVAKSPQLQVDTKAEEFIYKFREDMRLQKEKSLLEFQEMLARST >OIW20925 pep supercontig:LupAngTanjil_v1.0:Scaffold_54_23:28324:40134:1 gene:TanjilG_25731 transcript:OIW20925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPASYGNHERDTEQVFFALKKGTQLVKYSRKGKPKFCPFRLSPWHYQDEASLIWISRGKEKKLNLSSVTRIIAGQRTAVFRRYLRPEKDYLSFSLIYKKGERSLDLICKDKVEVEVWFEGLKALISTGPRIRRTRSDFTDDSIDFVQNDRLSVGTLEFTSSIARGRFSVDSDVGPERANMPIRTSIGDGSRVSVSSIGYASSVASGPDDIESLGDVYIWGEVWTDGISSDGLGSQAPSKIDVLAPKALESNVVIDVYQIGSGVRHIALVTRQGEVFTWGEESGGRLGHGSDKDFCQPHLVESLAMTSMSFVSCGEFHTCAVSTSGDLYTWGGGTRNAGLLGHGTDVSHWIPKRVNGPLEGLQVVSLACGSWHSALTTSNGKLFTFGDGRFGILGHGDRESVSYPKEVQLSSGQKAIKVACGVWHTAAIIEVTDPSVSNASSRKQLFTWGDGDQYRLGHVNKETYLQPTCVSTLAEYDFQQVACGNTMTVALTLSGHVFIMGSNAYGQLGNPLSDGKVPILVRDKLVGECVEEISCGAHHVAALTSRSELYTWGRGANGRLGHGDTDDQKSPKLVEAFKDRHVKNISCGSNFTTCICIHKWVSGADQSLCTGYGMADPASYGNHERDTEQVFFALKKGTQLVKYSRKGKPKFCPFRLSPWHYQDEASLIWISRGKEKKLNLSSVTRIIAGQRTAVFRRYLRPEKDYLSFSLIYKKGERSLDLICKDKVEVEVWFEGLKALISTGPRIRRTRSDFTDDSIDFVQNDRLSVGTLEFTSSIARGRFSVDSDVGPERANMPIRTSIGDGSRVSVSSIGYASSVASGPDDIESLGDVYIWGEVWTDGISSDGLGSQAPSKIDVLAPKALESNVVIDVYQIGSGVRHIALVTRQGEVFTWGEESGGRLGHGSDKDFCQPHLVESLAMTSMSFVSCGEFHTCAVSTSGDLYTWGGGTRNAGLLGHGTDVSHWIPKRVNGPLEGLQVVSLACGSWHSALTTSNGKLFTFGDGRFGILGHGDRESVSYPKEVQLSSGQKAIKVACGVWHTAAIIEVTDPSVSNASSRKQLFTWGDGDQYRLGHVNKETYLQPTCVSTLAEYDFQQVACGNTMTVALTLSGHVFIMGSNAYGQLGNPLSDGKVPILVRDKLVGECVEEISCGAHHVAALTSRSELYTWGRGANGRLGHGDTDDQKSPKLVEAFKDRHVKNISCGSNFTTCICIHKWVSGADQSLCTGCRQPFGFTKKRHNCYNCGLVHCHGCSSKKAMKAALAPTPGKPHRVCDNCYAKLKGSVSETGAFFNKEATRPRSSIYGSERLPRGEVRSSRTLLSPITEPVKYLEIRSSKPGSKHDSSSIVRASQVPSHLQLKDVAFPSSLSAMQNTFKPVILPSQPPIQPPIQPPIQPPIQPPAPPAPVISRPTSPYARRPSPPRSTSPGFSRSLIDSLKKKNELLNQEVSKLQKQIQSLKQRSDMQEMKIEELQKNVQGATSLAGEESSKHRVAKEFIKCITDQLSEVNEKLPPDISGSETLRTMQAQAKDFLEERVDVQYSSFPSSFESVQQTATHIPASESDAPKPLEQRLEKNDAATFEVSPSIDEGNVLQENKRIFESSTEMPLPTSSTSASLDLYKSGKEGETSVIEQFERGVYITAIVLPSGGKVFKRVRFSKRKFDEQQAEEWWNQNKDRVFKKYSPAGTNDAAGTGSFITRSHSEENVKASSSSKN >OIW20924 pep supercontig:LupAngTanjil_v1.0:Scaffold_54_23:523:15701:1 gene:TanjilG_25730 transcript:OIW20924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLCSLFSPIARSSSSSPSSSNKPRLFSMPLTETSVRKKSTLVCAQKNSLEYRKLGDSDLNISEITLGTMTFGEQNTEKESHDILNYAFENGINALDTAEAYPIPMKKETQGSTDLYVGSWLKSQSRDKIILATKVCGYSERSSYLRDNANILRVDAANIKESVEKSLKRLGTDYIDLLQIHWPDRYVALFGEYSYDPSKWRSSVPFVEQLQAFQELIKEGKVRYIGVSNETSYGVMEFVHAAKVEGLPKIVSIQNSYSLLVRTRFEADLVEVCHPKNCNIGLLSYSPLGGGSLSGKYIDINSEAAKRGRLNLFPGYMERYNKSIAREATIEYLELAKKHGLTPVQLALGFARDRPFMTSSIIGATSVDQLKEDIDAFLTTERPLPAEIYADIEAVFKRYKDPAIL >OIW20927 pep supercontig:LupAngTanjil_v1.0:Scaffold_54_35:1926:3258:1 gene:TanjilG_25734 transcript:OIW20927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLTTEDTTLMNKNMMDNHLGWTSIFGTSSGGNTVGSFEASINQPEPDSPEPFPLTLIIQMEGSIIAALAVVEERIEDALVHDGFNVKATILVKGHQEVNLGSKGQGFSSKEMSELHQASWLETKLNKTGKESWVADPRGTNESFKGIGLKQIIRYE >OIW20928 pep supercontig:LupAngTanjil_v1.0:Scaffold_54_39:50795:56149:1 gene:TanjilG_25735 transcript:OIW20928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGFEGQCSNYDEIRERRSDVENSEDERRRFKIGTLKKKAMHASSKLTHSLKKRGKKKIDYRVPALSIEDVRDANEETAVNELREKLIERGSLPPKHDDYHTLLRFLKAANFDILKTIQMWEAMLAWRKEFRTDTILKDFVFEEREEVLQYYPQGYHGIDKEGRPIYIERLGKAYPSRLMHITTIDRYLKYHVQEFERALQEKFPACSIAAKRRISSTTTILDVEDLGMKNFSPTAANLLAAITKIDNNYYPETLHRMYIINAGTGFKKMLWPAARKFLDPKTIAKIQILDPKSLHKLLEAIDSSQLPDFFGGSCTCPTEGGCLSSNKGPWNDPDIMKHVHKLEATFVRQITRMPDEQQNFDSIQTHPLKGRRSDTSTAESGSDVDDYSSLFRQRSSPYPCLAPVHEEIRESDLNGYYSCDDSIPTTDKVIESDQLHFIQEQSMQTENTTNVACRTNSEVTTSFLERFVTFFCSLRLQFWRTRSNVNSSITTEHDINSHSAASEATSERDPILQCRQRLQRLEKVVEELSDKPDGIPRDKEKMLMDSLDRIKSVEFDLEKTKKVLHATVMKQVEIAKLLEDLQESKCRQRRLFC >OIW20929 pep supercontig:LupAngTanjil_v1.0:Scaffold_54_39:72285:72665:1 gene:TanjilG_25736 transcript:OIW20929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIWFVHAGCSVNFEFLNYTIITSRCKGPAYLPKDCCAAFKDFACPYADVLNDLQNDCASTMFSYINLYGQYPPGLFANECREGKDGLACPALPPSESANDTGNQITHCPSLLLLLLTACSLIMLF >OIW20930 pep supercontig:LupAngTanjil_v1.0:Scaffold_54_41:4784:8018:-1 gene:TanjilG_25737 transcript:OIW20930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLGSESVEENEVNHDDGSFGNENFGFGFHGNLDAVTPKSDHKGTVDEAGSEEGGNSKGTSGKGVGLKKWKRIRRDVVKDPNSSADSGKILKRGLSGNANLSENQPFLRDVKEKGEEGSSNIFGNVEFSDGYAIRGSSTDSRYAVGSGFAVGTDSENSEDRSSKSSTAASQPKLRSEKSRSKNVNSKNLGNSAQRVPQGKGPIESSNKPRGGGRVTMEKENSLSSMESDSRSSNFKQGIFAATSNGNHRGRSNVYDGDNGGEAHTNENFTEGVQPGYGKEKVGEYEDLVAENLATNLPWGVAEERSVNNQSSPVEDTLIESISSLQAVQEALEEEVLKFREIGVEVVSPDDDSAAGIAAVDLGLHNSCLSGQSGAEETKQTASSSMEIQVSSVKQNISILENKLEELQGMLALKDSRIAELEKYKEVESELEGLFKQKIEAEVEYLAITNVMKNLKVGADFQLKLLEKQEKLSENQAEVLNKVIETESKASLLKKKAEELEKYSDDTEVVKKSLELQKGVWEESAFACSPCFNCNFGMTRTVMLGDFEIVVCFKIHCGLDITEVPKFKVV >OIW20931 pep supercontig:LupAngTanjil_v1.0:Scaffold_54_42:16360:19317:1 gene:TanjilG_25738 transcript:OIW20931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAREDNNLGINATNPSAMSRTLKVKIASSNIKQGEESLENSCLPSPNIKSSIESTPYGSPLVSPPSSAFVSALQSPYISPRAIISKPLDESTLENQPLHSTITTHPSTPEDVPSSSYTPPSDAFEFSDDTSDTKLKYVTCVPVPEAADPRISFSFPVPRISFAKGPISPASNSKLRSCDVYIGFHGQNPKLLRFCKWLKSELEHQGIDCLLADRAKYSDSQSHEIADRVICSVPFGLVVVTSYSFLNHLSMEEVRFFAQKKNLIPLLFDTGPAEIMALLNCNSIDKECKEAIDGLMKCNEFNLEANDGNWRSCVTKAATILRAKLGRKNADMKNNNVQGFENLPFPRNTYFVGREKEIIEIEGLLFGNGNCLEQVQDHCMKGEASGSGQSEGLGDEESEMVIRKGGRYISLEMGKSTKEPTLEAWVEPIIGNNKRLKNKKSKSGNYKSLCSSVICINGVSGIGKSELALEFAHRYYQRYKMVLWVGGEARYLRQNILNLSLNFGLDVGADSEMERGRMRSFEEQEFEAFKRIKRELFGETPYLVIIDNLETEEEWWEGKDLYDLIPRNTGGTHVIVTTRLSKVMNYDIVQLPPLPLSDAMILIRGRKKKDNSAEAMEFLEKFNDKLGRLSFGLWLIGSLLSELAIEPSDLFEAINQVPLNEESNSCYMSIEEEKWFKSNPFLTKTLHFCLRTLEKTKAKENLLAIRMLLVSGWFSPVPISSSLLLNTAKGIPIAENSLKKWTKCLSLKLSCFSSRTWKSEEDSAMLLVKIGLARIANHQDGCWIQFHPITQAFARTKGGLQYANAAVQGVRKMGNHVNLDQSWASAFLVFGFKSDPPIVQLKPNDMVLYIKKTALPLAVQAFTTFSRCNSALELLKVCTNALEEVEKSFVSQIQDWSHDSICWQRRLQRSQQKVDEYVWQDVTLLKATLLETRAKLLARGGHLDSGKELCRTCISIRTVMLGHNHAQTLAAQETLARLVRMRSKI >OIW20932 pep supercontig:LupAngTanjil_v1.0:Scaffold_54_44:29083:31772:-1 gene:TanjilG_25739 transcript:OIW20932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPRQPRGGFYASEYRRDGNFERSNPGSKPYSQPPFRRGGFNDGDVFVEAGRLAAEYLVSQGLLPPNVLNLRLNDNCNVGGGVGVGGFRKQGESVVEGGGGRASALNRLGNNVDGGMSWRRKPGFEEFGQKGSGRRRGGFRSNGFDWGRDFRRNESWNDRYRGNMNFNDDDDDGVTGQQDEEHQQQQQEDGVGGGDVDVLQKPDSNEIVPKSEDGDGLDAETDKDGRVSGELLDSKQNSSGAEKDAYNMEMEFGESSNDLENVSGEVKEVKEKDVACADDDDENDMEKSSISKNLSVQSNDQENMSSSTVFTDLLSFCKSVKVPTRIRSSRTNKNLKADHHHDDEDEIFKDMGSLQGPDVITENESVKGPSSGDLLSEKTYDLEHTDSDIVKVEPVQDVENTKEFDTICNAEEVQPIGFQSGQDGGFMHDNGQESSATVPEYGSCSTMAEERGEKRAAEAGDMRVETKRLREWLPVLVPRTGEYFVNSNRMEIKESLVEDEISLIDKVTMSSDQESLMSSQFTQGRVKPSLKCSEEKQSLPSSFRTCDLNLIEASEVHENHVDHPILIYPPGTKAKKELPVDIGLPMSHASISGKFSTHSTSGKEIEIIDLENDSTEEEKPVENMERKTDNMFQGLEGFTNHAQSTGDINDVQDGYGLMISELLATDFPNCSSVPSDINSVHNEMDLHNGPEPLIGEDDSIYMSLGEIPLIMGDWLVTALVLQLTFEVVEIVTV >OIW20921 pep supercontig:LupAngTanjil_v1.0:Scaffold_54_6:9583:9807:1 gene:TanjilG_25727 transcript:OIW20921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPEAARTARESLDLAFHMSNILDTGLDRHTLSVLIALCDLGVNPEALAAVVKELRREKNSLSSSVPAAPSSLS >OIW20933 pep supercontig:LupAngTanjil_v1.0:Scaffold_54_60:3293:3850:1 gene:TanjilG_25773 transcript:OIW20933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPKGFVPPSRPSGGDAKDPPPPPRAFEMLPKGPVSPSGPSYGHAKDPPPPPRAFGMLPKGPVPPSGPSDPPPPPRAFGMLPKGPVPPSGPSDPPPPPRAFGMLPKGSVPPSGPSDGHAKDPPPPPRAFRMLPKGPVPPSGPSDPPPPPRAFGMLPKGSVPPSGPSDGHAKDPPPPHRAFGMLPK >OIW20934 pep supercontig:LupAngTanjil_v1.0:Scaffold_54_64:13809:14924:-1 gene:TanjilG_25774 transcript:OIW20934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGLKSLEKSSLLFGDGDFTMGEVVVVFLTEASSVHGDGGKRREPPILLWPREKLYHEGQMQFECFVVSSMKLSLPKLRYLKQAIVDKNPVVANAAIVSALVAFIYSRRILKL >OIW20922 pep supercontig:LupAngTanjil_v1.0:Scaffold_54_8:8637:14056:1 gene:TanjilG_25728 transcript:OIW20922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRGRSDGSQKKRIVASLCVVAIFLGFLYVYNGSIFGSQNTGSSALEYGSKSLKKLGASYLGADDDSDGKQDESSSSVVKQGDVGDDIVPKNYPVCDDRHSELIPCLDRHLIYQMRLKLDLSLMEHYERHCPPPERRLNCLIPPPPGYKIPIKWPHSRDEVWKANIPHTHLANEKSDQNWMVVKGEKIVFPGGGTHFHNGADKYIAAIANMLNFTDNNLNNEGNLRTVLDVGCGVASFGAYLLSSDIIAMSLAPNDVHQNQIQFALERGIPAYLGVLGTKRLPYPSRSFELAHCSRCRIDWIQRDGILLLELDRLLRPGGYFAYSSPEAYAQDEEDRRIWRVMSALVGRMCWRIAAKKDQTVIWQKPLTNDCYMEREPGTQPPLCQSDDDPDAVWGVNMETCITPYSEHDHKAKGSELALWPARLTSPPPRLADLGFSNEMFEKDTELWQRRVENYWNLLKPKVSSNTVRNVMDMKANMGSFGAALRSKDVWVMNVVPHDGPNTLKIVYDRGLIGSTHNWCEAYSTYPRTFDLLHAWTVFSDLEKKDCSLEDLLIEMDRMLRPTGFVIIRDKQNVIDYVKKYLTALHWEAVATADSSSDSSDRDGNEVVFVIQKKLWLTAEL >OIW20935 pep supercontig:LupAngTanjil_v1.0:Scaffold_54_80:10079:10597:-1 gene:TanjilG_25775 transcript:OIW20935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPKGSVPPSGPSDSHAKDLPPPPRAFGMLPKGSVPPSGPSDGHAKDPPPPPRAFGMLPKGSIPPSGPSDSHAKDLPPPPRAFGMLPKGHVPPSGPSDGHAKDPPPPPRAFGMLPKGHVPPSGPSDGHAQDPPPPPRAFGMLPKGHVPPSGSSDGHAKDPPPPHRAFGMLPK >OIW20936 pep supercontig:LupAngTanjil_v1.0:Scaffold_54_93:13095:15211:-1 gene:TanjilG_25776 transcript:OIW20936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVQNGDASSNMNTMNDESLMVNLTPVAPEQTGKGLPYAPENWPEEGDIWGWRTGKRVSVTTGFFNDRYLYPPTRLGRPLRVKPGFPSKLSVERYIKDNFPHLDLNHFFASFSWKIPALLNGNEELIAAVPIQNFKQESESFDSVVCKAGNQCCSSLNFEKKKYPSAMPCDICCVEPGFCRDCCCILCCKTVDSAFDDYSYIKCEVKFGDDICGHIAHMECALRARMAGTIGGSIGLDAEYYCRRCDGRTDMISHVNKVAQICESIDLDYDIEEKILHLCICLLRDSQKASAKELVSHLELSISKGETVPEDDDDSYSPTPQSAGFSDNSDAANDVTTVNERTDVRTRLESFGPLSDSLKLEVEVDEVLKALRKSQQFEYNLAEERLYAHKNYLQNLYQQLEYEESELASKKHSSRSVAVISKRKVQIRKEVMKFEDMKRVANGFGKTSKDILKDNFGLEIAD >OIW20938 pep supercontig:LupAngTanjil_v1.0:Scaffold_54_99:4404:4556:-1 gene:TanjilG_25778 transcript:OIW20938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELGQLTMNEEYDRKEKGISLKASTSQPNEEINDDHSDSDNDDETLNLLV >OIW20937 pep supercontig:LupAngTanjil_v1.0:Scaffold_54_99:3824:4144:-1 gene:TanjilG_25777 transcript:OIW20937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTTNEASGSDNKDTSDEVYSDTSSSSSDSPSYEILYSAYVEMHEELKKLAKVSNERKRIILLNQQKINQLQKELDELKLENETLDLIFSCIHAIAQKLIYVKYYL >OIW20944 pep supercontig:LupAngTanjil_v1.0:Scaffold_55_14:77841:78989:1 gene:TanjilG_25939 transcript:OIW20944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKDNDVENASISLKVQKDQNLNATAPATDNDNQRKWHTSLMQYISVYGVAAGYCLSASLLSIINKWAVMKFPYPGALTALQYFTSAAGVFLCGWFKFVEHDSLNLLTMWRFLPAAIIFYLSLFTNSELLLHANVDTFIVFRSVVPILVAVGETLFLHQPWPSVKTWASLTTIFAGSVLYVITDYQFTFMAYSWALAYLVSMTIDFVYIKHVVMTIGLNTWGLVLYNNLEALMLFPLELLIMGELKKIRHEISDETDWYSFQVVLPVGLSCLFGLSISFFGFSCRKAISATGFTVLGIVNKLLTVVINLVVWDKHSTWVGTVGLLICMLGGIMYQQSTSKPKVANEAAAHGNEEEQLKLLEMKANPETNIDDNEVNKSRELN >OIW20943 pep supercontig:LupAngTanjil_v1.0:Scaffold_55_14:22123:26509:-1 gene:TanjilG_25938 transcript:OIW20943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGGPTTSSSNSSPRVAAGPTTTRRRVADNIDAAEKQPSFSDFTDDEPDDIASNVSALPGGPHHHLPHHLYPITRYLLVRTRVFFCLPESFVLRVEHMFLWLAVVVHSLRSRKNMCRKMFVVLIVMVVMSVFLKVSLMGGDGNGKSIENGHLILQRFKEDWASAQRVVTETETSMPKRVLERLTTSEIWMKPNSDNYYQCISRPRNRIRTKSKTNGYLLVHANGGLNQMRTGICDMVAVAKIMNATLVLPSLDHESFWTDPSDFKDIFDWRHFMNVLKDDIEIIEYLPVKYASVEPVQKAPVSWSKASYYRGEILPLLKRHKVIKFTHTDSRLANNGLASSIQKLRCRANYQALKYTPEIEELGKTLVDRLRNNNEPYIALHLRYEKDMLAFTGCTHNLTVEEAEELRVMRYEVKHWKEKDIDSVDRRLQGGCPMSPREAAIFLKAMGYPSTTTIYIVAGPIYGSNSMAAFRSEYPNVFTHSTLATEEELEPFKPYQNRLAALDHIVALESDVFVYTYDGNMAKVVQGHRRFEGFRKTINPDRLNFVRLIDQLDHGSISWEKFSSEVKNLHSDRLGGPYLRQAGESPRTEENFFANPFPGCVCNKTQELITSRKLDQQFGIESQR >OIW20945 pep supercontig:LupAngTanjil_v1.0:Scaffold_55_17:13048:15954:1 gene:TanjilG_25940 transcript:OIW20945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVADSNSKSDISFAGLFASSAFSACFAEVTTIPLDTAKVRLQLQKKAVAGDATLPKYKGMVGTVATIAREEGISALWRGIVPGLHRQCLYGGLRIGLYDPVKSLYVGKDHVGDVSLSKKILAAFTTGAVAITIANPTDLVKVRLQTEGKLPPGVPRRYSGSLNAYSTIVRQEGVGALWTGLGPNIARNGIINAAELASYDQVKQTILKIPGFTDNVVTHLLSGLGAGFFAVCIGSPIDVVKSRMMGDSSYKSTLDCFVKTLKNDV >OIW20941 pep supercontig:LupAngTanjil_v1.0:Scaffold_55_7:13473:17414:1 gene:TanjilG_25933 transcript:OIW20941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTISKDSLLEVVQEEEEGPSESALLELENNSRPRRIALFVEPSPFAYVSGYKNRFQNFIKYLREMGDEVLVVTTREGVPEEFHGAKLIGSRSFPLPWYEKLSLSLALSPRIISAVAEFNPDIIHASSPGIMVFGALAIAKLLAVPIVMSYHTHVPVYIPRYTFSWLVKPMWWVIKFLHRAADLTLVPSVAIGKDLEEARAAAANQIRLWNKGVDSESFHPRYKSHDMRLRLSNGEPEKPLIVHVGRIGVEKSLDFLKSLMDRLPEARIAFIGDGPYRVELEKLFEGMPAVFTGMLGGEELSQAYASGDVFVMPSESETLGQVVLEAMSSEIPVVGARAGGIPDIIPEDQDGKISYLYTPGDLEDCLSKLKPLLDNKELRETMGKAARLEMEKYDWRAATKKIRNENYNAAIWFWRKKRAELLGPLQWLAKRIFPSPEAKLIGDANEPYMV >OIW20942 pep supercontig:LupAngTanjil_v1.0:Scaffold_55_7:35628:36139:-1 gene:TanjilG_25934 transcript:OIW20942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLYDHKFQVIREGSNSINASLKVLVKVLAKVGGTWLGAKPGPRHWVHASGCWSWDNSDRGTCISRRWAQGQFWSRHMHHYGRWPHVIVTEASTPIRCSGACAPNWVLGVAHWPTRRGMAPFSTMGVTWWLVGRGILLDH >OIW20946 pep supercontig:LupAngTanjil_v1.0:Scaffold_55_73:3544:6442:-1 gene:TanjilG_25941 transcript:OIW20946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSDLLFNRQRTLHDILGGGLVADLILWRRKNLTVGILLVTLAAWVMFERSGYTLLSLVSNVLLLLIVILFLWAKSAAVLNRPAPPLPKLHLSEETVNEVAAFIRTRVNDLLSASQDVALGKDSRLFLKIAAYLCLISIVGGLTDFLTLAYTSLFIVLTVPALYERYEDCIDRNILKGYHRLCQLIIGEFMIVVWGMKV >OIW20947 pep supercontig:LupAngTanjil_v1.0:Scaffold_55_74:3652:7664:-1 gene:TanjilG_25942 transcript:OIW20947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMEGTSNGGMLYHEVQESKLCAVHCVNTVLQGPFFSEFDLAALASDLDCKERQVMMPALSSGDFLSEESHNVSLDGDFSIQVLQKALEVWDLQVIPLDSPVAEPAQIDPELESAFICHLQDHWFCIRKVNGEWYNFDSLYAAPQHLSKFYLSAYLDSLKGFGWSIFLVRGNFPKEFPISSAEASNGYGQWLLPEDAERITKSCNSVQAPHQRINAGQEHSNQFRSYGEAEMFSDMEDEDLKAAIAASLMDSSSAVANTEASAPQIDDQRSKQLVITEATPLNDQLNKQGEANLPLNDQSSKHVAATEAGSLQNDQSSQEVASTVSGSRTDEDQNNEGKTL >OIW20949 pep supercontig:LupAngTanjil_v1.0:Scaffold_55_76:21996:23918:1 gene:TanjilG_25944 transcript:OIW20949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEKEVIEPRNHERQHIYQSRNPYYRYDLEPFRVRRKDFWLLSTVNKLLKEFIKRLSHEADGLIFQGWDDPYVPRTHEGLLKWKYAELNSVDFLFEVDGDRQLLYINDRGKKRLMEGNTVAFGDDSDPSFYSGKIIECSRNPETQEWVFLRIRTDKSAPNEFNTYKKVMRSIKDNITQDDLLDEINEIIRLPMYADRIQHDSKANQLAAMARRR >OIW20948 pep supercontig:LupAngTanjil_v1.0:Scaffold_55_76:6430:11849:1 gene:TanjilG_25943 transcript:OIW20948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVAMDLNASPVPDEEEDTFEGHAVREFIASEERVESAVDIARREREERKRRLKRERPDDRPVHVSQSPGYDQLFHTKALKSHDKSRLPPGWLECPSFGQEIYCMVPSKVPLGESFNDCIAPGKRYSFKQVIHQQRVLGRKLGLVIDLTNTSRYYPVTDLKKEGIKHVKIQCKGRDSVPDNLAVNQFVYEVTQFLVRQKHSKKYILVHCTHGHNRTGYMIIHYLMRSMSLSVTQAIKMFSDARPPGIYKPDYIDGLYTFYHEKKPEMVVCPPTPEWKRSSELDLNGEAVPDDDDDGIPDPHLHENHETDARMTNDDVLGDEISNDQQEAFRQFCYQSLKLSGGLSISGH >OIW20950 pep supercontig:LupAngTanjil_v1.0:Scaffold_55_81:5887:9766:-1 gene:TanjilG_25945 transcript:OIW20950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGVGDEEPALKRIKLSSIGLVALSNVSSSKEPVRGSSSGFMARPLSSPEGDKQVIGSRGLIKREEFVRIISKTLYSLGYRKSGEHLEEESGIPLHTSAVNLLMQQILDGNWDESVASLHKIGLEDESIVRSASFLILEQKFFELLDGEKVTEALKTLRTEITPLCTNSSRIHELTSCVVSPSPRRNTVRVGSRSKLLEELQKLFPPTIMIPEKRLEHLVEQALISQRESCPFHNSSDKEISLYSDHHCGKDQIPSRTSQILEAHDDEVWFVQFSHNGKYLASASHDRSAIIWEIDGNGGLSVKHRLSGHQRPVSSVSWSPNDQELLTCGVEEGIRRWDVSTGKCLQIYERTGAGLVSCAWFPTGKYILSGISDKSICMWELDGKEVESWKGQKTLKISDLEITGDGEEIISICKDNAVLLLNRETKDERFIEEYQTITSFSLSKDNRFLLVNLLNQEIHLWNIEGDPKIVGKYKGQKRTRFIVRSCFGGLKQGFIASGSEDSQVYIWHRSSEKLIEVLPGHSGAVNCVSWNPANPHMLASASDDRTIRIWGLNCMKVMYQNALTNGTHYCNGGNLDEAKLIFN >OIW20951 pep supercontig:LupAngTanjil_v1.0:Scaffold_55_81:11299:13491:-1 gene:TanjilG_25946 transcript:OIW20951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTQCLLHQHALTTLARSSSSQRIVVNTKPNQLVCKAHKNAPQDDNTLSRRLALSVLIGAAAVASKVSPADAAYGEAANVFGKPKANTDFLPYNGDGFKLLIPAKWNRSKEVEYVGQVLRYEDNFDVTSNLVVTVTPTDKKSIKDYGAPEEFLSKVDYLLGKQAFFGETASEVSDYYELNEKHTKHHCIAYPNRVSTRIFQGGFDANAVATANILETAAPVVDGKEYYYLSVLTRTADGDEGGKHQLISATVKDGRLYILKAQAGDKRWFKGAKKFVESAATSFSVA >OIW20952 pep supercontig:LupAngTanjil_v1.0:Scaffold_57_2:22346:23729:1 gene:TanjilG_26493 transcript:OIW20952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSATASLGYGGPISFIIQQQQTKKNFITKHHNNVKVVMGWRRSYGISNTAKRVVRCSGIGIGDFIGGDLVKLDIGQWLSDVEEHKAIAIYPPHEGGYEGRYLSRLRRQGYYFLDLSARGLGDPETTLTKIHPVCPAHLGKQPIARWYFPPEVDYRLEALPPDAKGLVVWIIEAKVLSKAELQFLALLPTLRPNVRVIAECGNW >OIW20955 pep supercontig:LupAngTanjil_v1.0:Scaffold_57_3:15217:15849:1 gene:TanjilG_26496 transcript:OIW20955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFRLPLFTATVLLFLSATATARPCRSFFMSSYTFRNPSSNTFTTITEFRSFTPLHRITADNDNVNDKLSIQIIDNDFIDSLFQQRHHHHPFHNNNNLKFDRSASLSRSELGFSTTSNYASFDSLRNRTKDIISVVVALLFGVGCGALTSATMYLVWSVVSGFRDYRGSGYDSFSSSDDENEIETPKKLGYEKIPAAEAVAPPAAKDVV >OIW20953 pep supercontig:LupAngTanjil_v1.0:Scaffold_57_3:2715:4944:1 gene:TanjilG_26494 transcript:OIW20953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRVGTQNESWLTRSVMAVFAFFRYAEFEILFFLFFFIAYLLFKDITSRPEYNQILVKKPGGPEFWP >OIW20956 pep supercontig:LupAngTanjil_v1.0:Scaffold_57_3:18705:28257:-1 gene:TanjilG_26497 transcript:OIW20956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKVAATTNREIDGYIPNYPSLPPQLICQVHNIRMYADVETYEVYAQMTLQLLTSPANYFCNTLTASDTSTHGGFSVPRRAVEEVFPPLDFSQQPPEQELIARDLHAVEWKLRHIFRGQPKHHLLTTGWSVFVSAKRLLARDSVLFIWNEKNQLLLGIRHANRPQTVMPLSVLSYDSMHIGLLAAVAHAAATNSCFTVFYNQRASPSEFVIPLSKYIKAVYHTHVSVGMRFRMLFETEESGVHRFFCMRNLTNTQRQPKHHLLTTGWSVFVSAKRLLARDSVLFIWNEKNQLLLGIRHANRPQTVMPLSVLSYDSMHIGLLAAVAHAAATNSCFTVFYNQRASPSEFVIPLSKYIKAVYHTHVSVGMRFRMLFETEESGVHRYMGTITGISDLDPVRWPSSHWHSVKLRCNVFIFCMSICVSKVSLDSSVLYTLVCRIHPELLQNAGQIDPENQTRTFVKVYKSGLVGCSLEILRFSSYHELREELAQMFGIEGKLEDPLRSGWQLVFVDRENDVLLGDDPWESFDNNVWYVKILSPEDIQKMGEHAVESLAPSSGQRLNGTGAEISHRFWIGINWLPRILRDSLERFISAVLARSLNNGIISWLSDGTFA >OIW20954 pep supercontig:LupAngTanjil_v1.0:Scaffold_57_3:5463:6071:-1 gene:TanjilG_26495 transcript:OIW20954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIHFTSGAGQETGRTTILDRLSFSSSSFHATEIPCPKCNHQTSGDGVIASCLSCFLSGSQLCRFDYGVSIPYFLVKVRGGTCTLASSDSAADVLHRAYILLENGFGRYDTVDNNCEDFAIYCKTGLQVDKSRQTRIGLSGQAVSFFSVTGSIISRLPFISAKCAGLPFGCAMYCYDRYFSDIGTRSDVSKVPVEKLIAEMK >OIW20961 pep supercontig:LupAngTanjil_v1.0:Scaffold_58_11:25724:26057:1 gene:TanjilG_26673 transcript:OIW20961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTLRIKLCSGVPRGWPAPPLLALLVLEKMVCLVVVTSEVQRLVELYKGEKLTITVYGHNLGAAVATLNAVDIAANGYNKGAPV >OIW20960 pep supercontig:LupAngTanjil_v1.0:Scaffold_58_2:47966:53052:1 gene:TanjilG_26672 transcript:OIW20960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGRSPGKWFKNLLSRKKSSSKYKSSKKDDILKPSDNKDELRSSDLTVSDQIADSLVIPSPISGANATKVVLSEKEVVSSSSHDNDIISAGDEEVHAKAVANFGSQEDLEKLRLTDAATTVQAASKGYQVTDNSSSKSESSKKDDILKPSDNKDELQSSDLTVSDQIADSLMIPSPISGANASKGVLSEKEVITGSLHDKDIISAGDEEVHAKAVANFGSQEDVEKLRLTDAATTVEAASKGYQVINNTSSKSESSKKDDILKPSDNKDELRSSDLTMSDQIADSLVIASPISGANATKGVLSEKEVITSSSHDNDIISAGDEEAHAQAVVSFGSQEDLEKLGLTDAATTVQAASRGYQARLTFQTQKGIIQLQALIRGHLVRRQAVSAYHCVKGIVKFQALVRGYNVRRSDIGLAVLKIRKDPKCSNSIGVITTTQSEKLCDIVFVRKLLASSSVAVPLSLRFYHGDPNLAKEWLSRWTRSHFWPPLPELNKKLDSLSNDKNGSHRTVEKGQIKRNTRKSPSVKAGDGSGSGSGSSKYKQHLKKDSNRLLLSAQEHPRKEIEKSSSVKSRLESVSDRAEVVDEKRKHSTRKNSGHTVSDVSKLGSSASSEKMKDLAVSKAKDLAVSKAKDLAVSKAKDLAVSKAKDLAVSKSKESDPEKSLGQQVEYKHDNQPHGDPFALSKTSVINVTDEGTIDVLDHTVTEVSKLDQRVSSEKMTDLAVSNSKESDPDKSPRQHVGDKLDNDPHDNSMDFLQQSVMNNYIDEAIQGVSEELSGGGSDNYVSNNYQRRASLPANFNDQDNDSQNTPRLPSYMAPTESAKARIRAQGSPRSASAFVDNNSVTRRLSLSSSLNANSDSFSPRSERLVISGRGGIRTDRSLSSLRDGNGTEKLIQPRWRR >OIW20959 pep supercontig:LupAngTanjil_v1.0:Scaffold_58_2:41409:43286:-1 gene:TanjilG_26671 transcript:OIW20959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRDTTLTKLVSNGLFKEALYLFSQLHSSSNYATHSFTFPPLLKACAKLCKPSYGQILHALLLKTGFQSDPFASTALTSMYASNHSYFSDALKVFDEMPHPNMASFNALLSGFSRNGCCGDALRVFRRIGLGSLGMNSVTVASLLLACEASNYVEQVHCLAMKLGVLADVYVATSLVTAYSNCEEIIFATKVFEGLLEKNVVSYNAFISGLLLNGVPRVVLDVFKDMIRREGLEHKPNSVTLASVLSACASLSCLRFGRQVHVLVVKFEAGGDEVMVGTALVDMYSKCGSWYSAFEVFNEMEGDRRNLITWNSMISGMLMNAQSELAVDMFQKLESEGLQPDSATWNTMISGLAQQGLCVEAFKYFRKMQFASVTPCLKTLTSLLSACADSSALMHGKGIHGHAIRADIDTDDFLATAVVDMYMKCGHTSWARRTFDQFDTKPDDPAFWNAMIGGYGTNGDYESAFEIFDEMLEEMVQPNSATFVSVLSACSHTGQVDRGLQVFKMMRKEYGFQPKPEHFGCMVDLLGRSGCLDEARDLVQELVEPPASVYASLLGACKSYLDSNLGEEMAMKLLGIEPENPAPLVVLSNIYAGLGRWREVERIRGMITDKGLDKLLGFSIIEVA >OIW20958 pep supercontig:LupAngTanjil_v1.0:Scaffold_58_2:17436:22876:-1 gene:TanjilG_26670 transcript:OIW20958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMQSGIGISKVFLIAGAGYTGTILVKNGKLSDLVGDLQSLVKSWEKSGDHGDGDSEYADAIASQVRRLAQEVRLLATNRSITVLNGGSGQSNLPSLVVPAAAVGVLGYGYMWWKGISFSSLMYVTKRSMENAVADLTKKLQHASDVIADAKKHLTQRIQNLDDKMLKQNELARSIKDEVSGVRSTITNFHDDLGILQQTVETLDERLSTLSWKQDYANHGLTYLIDFVNGKTRKMPEFLQQEQLKLSGKTPNALTYPGTPNIKGLKDILAETLSSGLDRSASDSIVPDGMDKLEQQRRPLLRGTSIRF >OIW20957 pep supercontig:LupAngTanjil_v1.0:Scaffold_58_2:10620:14457:1 gene:TanjilG_26669 transcript:OIW20957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMHEVVDMSFDANVKESESYWQEHMKTLDAYWQEKAVVAEKVNKEAFFPDPYALSGNLTSSVSEIIVGNKGRRNLKAQNKGPCLATNPIDRCWRCDPDWEKNRKKLANCVQGFGRDTIGGKRGPIYVVTDSSDSDMLNPKPGTIRHAVTRNGPLWIIFKHSMVIRLNQELIMTSDKTIDGRGADVHIAAGAGITIQYIKNVIIHGIKIHNIVVGSGGLIRDSENHFGFRTMSDGDGISIFGSSNVWIDHVSMRKCQDGLIDVVMGSTAVTISNSHFTDHNEVMLFGASDSFSGDQIMQVTLAFNHFGKRLIQRMPRCRWGFIHVVNNDYTHWEMYAIGGSQHPTIIKEVWKNWQWRSINDEYMNGAFFRQAGPVLSNRPFSRKDMMSARPGSYVGRLTRYAGSIRCRGGEPC >OIW20964 pep supercontig:LupAngTanjil_v1.0:Scaffold_58_20:43731:47522:-1 gene:TanjilG_26676 transcript:OIW20964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDMKKKLQKHKQVVVNEESKKKERHIVTWNKEEDDILREQISTHGTENWTIIASKFKDKTTRQCRRRWYTYLNSDFKKGGWSPEEDMLLCEAQKVFGNRWTEIAKVVSGRTDNAVKNRFSTLCKKRAKYEALAKENNSSFINSNNKRVILQHGYNTEAELEYAIANKKMRRSHIPDYGAEKIGFGDRSHKQNGTPLNQQSRAPFAELSQNSRNVNIFPAQNNKIQGTFLKKDDPKISALMQQAELLSSLALKVDAKNMDQSLENAWKVLQEFLNRTKESDTPRYKIPDLQLVDLKDLLEDLKSSSEGIEPCWRQMELYVDSPGSSEYSTGSVILPHSADENLEHALHQEIGTELKSIQIGDGDGDQGVRECDQGLLFSPTVTQDIFSYCEEQINNDGIVSALSSAEFNSPLQVTPIFRSLTAGIPSPQFSESEKNFLMKTLGMESPSLNLSAKTSQPPLCKRTLLHSL >OIW20962 pep supercontig:LupAngTanjil_v1.0:Scaffold_58_20:25668:28728:-1 gene:TanjilG_26674 transcript:OIW20962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCLDSSAKIDAAQSSRNTSAGISRSTPSSLSIPSYSEKSNSSTSLPTPRSEGEILSSPNLKAFAFNELKNATRNFRSDNLLGEGGFGYVYKGWIDQNTFTAAKPGSGMVVAVKNLKPEGFQGHKEWLTEVNYLGQLHHRNLVKLIGYCLEGENRLLVYEFMPKGSLENHLFRRGPQPLSWSVRMKVAIGAARGLSFLHNAKSQVIYRDFKASNILLDAEFNAKLSDFGLAKAGPTGDRTHVSTQVMGTHGYAAPEYVATGRLTAKSDVYSFGVVLLELLSGRRAVDKTMAGVEHNLVDWARPYLGNKRKLFRIMDTRLEGQYPQKGALMAATLALQCLNVEAKARPPMTDVLETLEQIEAPRNPGRNSHSEHQRGVHTPGRRSPAPNRSPMHLTPTASPLVSHQQSARVH >OIW20963 pep supercontig:LupAngTanjil_v1.0:Scaffold_58_20:38134:41437:1 gene:TanjilG_26675 transcript:OIW20963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAHATGLRRILVLAFCVAGIWSAYIYQGVLQETLSTKRFGPNGERFEHLAFLNLAQNVVCLIWSYIMIKIWSNGESGGAPWRSYWSAGITNTIGPAMGIEALKYISYPAQVLAKSSKMIPVMLMGTLVYSIRYTIPEYLCTFLVAGGVSTFALLKTSSKTISKLAHPNAPLGYGLCFLNLAFDGFTNATQDSLKARYPKTSAWTIMLGMNLWGTIYNMIYMFGWPRGSGFEAVHFCRQYPEAAWDIFLYCCCGAVGQNFIFLTISRFGSLANTTITTTRKFASIVISSLLSGNPLSTKQWGCVFMVFSGLSYQIYLKWQKLQRLQKKRKAT >OIW20967 pep supercontig:LupAngTanjil_v1.0:Scaffold_58_23:38852:40389:-1 gene:TanjilG_26679 transcript:OIW20967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQTPHHDDHQPPPSLNSILPTCTPQDYHGGPSFLGKRSMSFSGIELGEEVNAEEDFSDDGSQVGEKKRRLNIEQVKTLEKSFELGNKLEPERKMHLARALGLQPRQIAIWFQNRRARWKTKQLEKDYDLLKRQYDAMKSDNDALQAQNQKLQAEILALKSREPTESINLNKETEGSCSNRSENSSEIKLDISRTQAIDSPLSPNLISRPLFPSSSTTTRPTSVTQFIQIASRSDLQCQKIDQVAKEESLSYMFCGMDDQSGFWPWLEQQ >OIW20968 pep supercontig:LupAngTanjil_v1.0:Scaffold_58_23:54801:57318:-1 gene:TanjilG_26680 transcript:OIW20968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGGYSVEVTGLSAKATEKDVHDFFAFSGIIEHVEIVRSGDYACTAYVTFKDAYSQETACLLSGATILDQRVCITRWGQYEAGFDFWNHPSYNHEEETSSTTPQSSQFVSSAGEAVTVAQEVVKAMLAKGYVLSKDALATAKDFDESHQVSATATAKVSELSQRIGLADKISAGVEAVKSVDQKYNVSETAKAAASATGRSVAAAANSVVNSSYFSKGALWMSGALTRAAQAASDLGTRNGRQ >OIW20965 pep supercontig:LupAngTanjil_v1.0:Scaffold_58_23:9536:12045:-1 gene:TanjilG_26677 transcript:OIW20965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVSGKWVKVDQKGTGPGKRSSHAITIIGQKVYVFGGEFAPRVPVDNKIYIFDLETQSWSVAEASGDTPPPRIGVTMAAVGDTIYVFGGRDGEHKELNELYSFDTKTNKWALISSGDVGPPHRSYHSTTADDRYVFIFGGCGLAGRLNDLWAFDVVDNKWVEFPSPGENCKGRGGPGLIAVQGKIWVVYGFAGEEVDDVHSFDLAHKTWAQVETSGQKPTARSVFSTLSNGKHIIIYGGEIDPSDQGHMGAGKFSGEIYALDTETLAWQKLEEVADLGGHPGPRGWCAFSGAAWKGSRKGLLVYGGNSPSNDRLDDIFFFSIN >OIW20969 pep supercontig:LupAngTanjil_v1.0:Scaffold_58_23:61835:62890:-1 gene:TanjilG_26681 transcript:OIW20969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNRRSRSRQSGGSAEITDAQITDLVSKLQQLIPELRARRSDKVSSAKVLQETCNYIKNLHREVDDLSDRLSQLLANTDSNSPQAAIIRSLLM >OIW20966 pep supercontig:LupAngTanjil_v1.0:Scaffold_58_23:18992:20548:-1 gene:TanjilG_26678 transcript:OIW20966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSTPLLSPPTATAAVSYNHHTLHSSKPLSLFFKSPPHHRTTRLHVSSPTNKPTTTTTTSTVTTQKPTGETVFFDGGAHYGDLLANVVLGFTLFWLPLTLAAVSRGFFLRYRFTNLRVTVISGFTGQDRSDFSYNVIKDVQVVPRFIGEWGDIIITLKDGTKVDLRSVPKFREIAKYCLDMAQKSVVLKETEPKGF >OIW20970 pep supercontig:LupAngTanjil_v1.0:Scaffold_58_26:3061:3582:-1 gene:TanjilG_26682 transcript:OIW20970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSSSSLSSKKHPVYHGIRRRGGKWVSEIREPRKTNRIWLGTFLTPEMAAAAYDVAALALKGGETVLNFPESIGRYPVPATNSPDDIRAAAIVAAELMNAPEASNYPLSNVFQPENAATPWFSETGFLDEEAVFSMPSLLMDMAQGMLLSPPRMSPHNSPENSVGESLWNYY >OIW20971 pep supercontig:LupAngTanjil_v1.0:Scaffold_58_29:2848:6197:1 gene:TanjilG_26683 transcript:OIW20971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLKLYVDRLSQPSRAVLIFCKANGIDFEEIKVEIFKRQHLSPEFEGTVMIAVIPTTLEIKSLGEAINPLQKLPAIVDGNFKLFESHSILIYIASAFPGVANHWYPADLTKRAKIHSVLDWHHLNLRHGAVIYLRNILAPILGLPLNQEAAAEGEKILISSLSIIENIWLQGNGLYLLGSFQPSIADLSLVCEIMQLEILDEKDRDRILSPHKKVLQWIENTRNATKPHFDEVHNLLYKLKKNLSAQYQANGMMELKIKTPLTSKL >OIW20972 pep supercontig:LupAngTanjil_v1.0:Scaffold_58_29:8616:10968:-1 gene:TanjilG_26684 transcript:OIW20972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMTIDIEDEGTHDIGFFWENQSLGFSDFDNSGDIKEKLVIEKSNPEEGNEGKPLMSKKRTRENVCRSEKKVISGKEKDGKSCKVDHEMHILNERERRKKIKNMFATLHAFLPQLPSKVDKLTIIDEAVDYIKYLKQTLEKLEKQKQERFQSISAFRYEPSSHMINSHLYPINSNEASIAYDHGSFNNFTNISGNQNPSNSTAISVPQQPLAFQIWSSPNVVLNICGKEAQFCIFTAKKPGLLTAIASVLEKFKINVIYANIMCDANGNRYMIQTNANPHQLILESTSLEEIYKQAAREIMQWIS >OIW20973 pep supercontig:LupAngTanjil_v1.0:Scaffold_58_42:1503:4857:1 gene:TanjilG_26706 transcript:OIW20973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRVIPPRILKNIRQNSATTTATAIKSLNPIHPSIISSTTIAQPSLYDEANQKPPSTTVQPPSLNFNDPEKLFSSVSTSKLLRSSAVLHATAIGPMVDIGMWFMKSKIVQTGVLKNLVMSSVKNTFYDNFCAGEDAADAGRSIRWLNDVGLRGMLVYGVEDAHDNEGCDHNVKGFLHTVDVSLSLPHKSVSFVIVKITAICPMKLLERISDLLRWQQKDPSFILPWKEDTLPIFAESSPLYHTQKKPDPLTPEEENDLELANKRLLELCQKCVQANIPLLVDAEHTTVQPAIDYFTYSSAIMHNKGDNPIVFGTIQTYLKDAKERLLLTSKAAEKIGVPMGYKLVRGAYMSLENKIAESLGFASPIHNTIDATHKCFNDCTSFMLEKIANGPGNVVLATHNIESGKLAAAKAHEVGLGKVNHKLEFAQLYGMSEALSFGLSHAGFQVSKYMPFGPVEMVMPYLLRRAEENRGLLAASGFDRQLMRKELGRRFKASVF >OIW20974 pep supercontig:LupAngTanjil_v1.0:Scaffold_58_51:13656:15941:1 gene:TanjilG_26707 transcript:OIW20974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSGLEVAFLCKKNVASPLSGQYIVFTISYEYNKVFYIAVISASTVSGASGLSKFVPISRRVLKGLKDYGRKVVDLELFTQYLEEWVMENLNGDSKNGKQSFSSPFTIDELRKLDMALEGVPFQQLIRMPTFSDVCDDIIEDKYIAAEDFLHAVIIGLWRTFWHKSGPLPLCLSCPSHLGSKFCSVERAISRGRLREMHGLALVSKTGSDLKFKWDQVVEFVLFKPEVMLDNALKVSARTICEALFYGFHVLVSRSLSKISSVNSDSVFLLFLDSKCGAVMKFSGDLGKLDLLNSTDPYLSVAEWIKKYAEICVSPVEPIWNRLGNANWGDVGTLQVLLATFYSIAQWNGPPRKSVASLISDHSLRLQRRRIECCIIETENALVPYEGSSVHQAGEIVELDQNDLFSKKRASRLKLKHHDILALEDPQQGQKSFQIHESLVGGNYYLYRAVCLDHPSELLTLYVGAHTSRLEPSLEDMSLWYQVQRQTKVLNILRNQGILSKYLPEIVASGRILHSGPCKKEIPGGRCDHPWCGTPILVTSPVGESLSSVVADEGSFSADEAIRLCRDCLFALRSAGLANVQHGDICPENIIRIFEKQGMHNQVMYVPISWGHAVLEDRDSPAINLQFSSSHALQHGKLCPSSDAESIVYLLYFISGGTMRQQDSIESALQWREKSWAKRLIQQHFGQVSNILKAFADYVDSLCGTPYPVDYDIWLKRLNNAIENWTDKRKMIEEGAITLRLEDAAESSGASGPSFDTSFL >OIW20975 pep supercontig:LupAngTanjil_v1.0:Scaffold_58_53:34175:35441:-1 gene:TanjilG_26708 transcript:OIW20975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSPCCEKEHTNKGAWTKEEDERLINYIKIHGEGCWRSLPKAAGLLRCGKSCRLRWINYLRPDLKRGNFTEEEDELIINLHSLLGNKWSLIAARLPGRTDNEIKNYWNTHIKRKLYSRGIDPQTHRPLNAAAAATTTTTARPANTIITTTSNKKMNKDNISNINQDINNNNFQLVNGTEDSNSSSGVTTDELAFHPHNQLNLDLSIGLPSNHPIAPSSSTMNPENSNKKLKQEPQVLYQWYNGINNVATSQKEGVCLCYGLGFQSNNQGCSCKAMETGTTTVTATTATDNHDLYRFCPPMSF >OIW20976 pep supercontig:LupAngTanjil_v1.0:Scaffold_58_61:10588:17230:1 gene:TanjilG_26710 transcript:OIW20976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTSFSIASSTNLGAQSHSHSLPRFFSNPTFLFVTQSHSQWGIATSSVRRSSQWKIPRCSFNGCNGNQGKDGNFGPQQEEGVVHCEVQVVSWRERRVKAQILVNADTESVWNALTDYEHLADFIPNLVWSGQIPCPYPGRIWLEQRGLQRAMYWHIEARVVLDLQEIINSAWDRELHFSMVDGDFKKFDGKWSVKSGTRSSTAILSYEVNVIPRFNFPAIFLERIIRSDLPINLRALAYRAERNFVKNLKLPLPENHWHESVAFNGSSVKKINSASYDSDKLRPAEKKEGFSSLVSGSLPASSGEVSSNWGIFGKTCRLDRPCMVDEVHLRRFDGLLENGGVHRCVVASITVKAPVREVWNILTAYETLPEIVPDLAISKILSRDNNNVRILQEGCKGLLYMVLHARVVLDLCEHLEQEISFEQVEGDFDSFQGKWIFEQLGNHHTLLKYSVESKMRKDTFLSEAIMEEVIYEDLPSNLSAIRDYVENRNASKSSEVCEQSTNSEQQIVSCDLQNDDDFGSAEEVSNCNVQSSSQQRPKVPGLQRDIEILKSELLKFISEHGQEGFMPMRKQLRLHGRVDIEKAISHMGGFRKIATIMNLSLAYKHRKPKGYWDNIENLQEEISRFQRSWGMDPSFMPSRKSFERAGRYDIARALEKWGGLHEVSRLLSLNLRHGSRQQDALTKDKRSDAKASPNDVDGETKTPSKPCISQDTQKWLRKLKHLDINWFE >OIW20977 pep supercontig:LupAngTanjil_v1.0:Scaffold_58_61:44153:47926:1 gene:TanjilG_26711 transcript:OIW20977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRRSWLWRMKSIENSPGETESSGSLSSYSERFFDDQVYPAECTPSPEVTSKTAPDEDVTDVKTLTEKLSAALLDIRTKEELIKENAKLAEEAVSGWEKAENEVLTLKQQLDAAKQKNSVLEDQVAHLDEALEECMKQLWQAKEKKEQNINESVANNPYGWDSEKSELEGKVKDLEAQLETAKAYAAASIRSDLYYRLEDVEKENSILKSELQSRLEELEFRIIERDLSNQAAEAASKQHLESVNKVAKLEAECRKLKNIARKTLSSNDHKSLTASSVCVESFTDSLSDSGERLQTFDSDMRKLGGWDMNEHERRHSDSWALITELDQFKNENSNGKKSKNHMVPSTEINLMDDFLEMERLAALPDTENGGSFLVVGSASDQPNVGQEKMKAEAETMAQKNTELEKKLHKMEADKLEVELVLIKCQEQLEASENRIKEAELKVEELQRELALARKSNQEVYEELKPIQAKNEITESKFIAAQTEVEELTLKICSLEEEIEKERALSSENLVMCRKLEDELMRMKDEAQHQQDAEILYREGVNTNSEQKLKQEKELALAATRFAECRKTIESLALQLKSLATLEDFTLDSENSMESTCEVKQGPQNGEQLKLHNSELSLLQRDSESSLSLNKSIAYEKMHSSFGRFHPRSKSVSRTRNHL >OIW20978 pep supercontig:LupAngTanjil_v1.0:Scaffold_58_62:23143:24986:-1 gene:TanjilG_26712 transcript:OIW20978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGILIKIFTLFLECPIIGTLLLYILKGNNLIHMLITNADLEESPLYVPLHHFKDIEEKEVESLDSSLSPPEKVAERFITAVDESGKPPIQMGFFIHYNGDDILRQANESTMRYQKGKPISVLDGNLVAIKDEIDCLPYPTTGGTKWLHNERHCTDDACCVKHLRLCGAVLFGKTNMHELGSGTRRINPHYRVLSLNWTVGMVGIPAGTVEDALIT >OIW20979 pep supercontig:LupAngTanjil_v1.0:Scaffold_58_62:27598:33607:-1 gene:TanjilG_26713 transcript:OIW20979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDFDALLASEFGFKPQGRTTSPISDSKESSFGSRSTPNDVVNSIPNHTKNLTSDNPFADLFTDFGSKPVYDDDSDIFDGIPGLKTASKVTYDDVFVSMDSAAKGSSGGSGGDSVFDDLLGGFGKSKGSSFGGVRLEKDEKGVGEFDDLIPGFGSSESTSDRPAPDIGLSSESAASASKAASSTTQDPFKVFESTLAPSDSPQGQFTDPLEEISKLSSSRKAKHHSSSYSNGGVYADSDPFDGLGKSVPAFSSERTIGKGSSSPTPWSNPSSSWTRDKESFEKSSVRSPERHSQNKIPVEQDQEFQQDPFDMPTYSSGSNIPVGQRSTSPSYDNDGFSQANIEVDMSPKYEENSEPNDDIWLTVSEIPLFTQPTAAPPPSRPPPPRPVHIPKSGTGSPASANARKKDNESSFPSSTRFSQVPKSAPASAKLSPASQFDELEDFAIGRSSGNHNEHGNGLSDEELEMNSAAAAMKEAMDRAQAKFRHAKEFREKENTKAARSKEHVQLEKDDTNVLEERAKQERLDHEWQQKEREEKEQHRLEREREEKERERQRLERERARQAVERATREARERAAAEARQRAERAAVGKVNAEARERAERAAVQRVQAEARERAAAEAKERAEKAAAETKEREARERAAATRAEAEARVKAERAAVQRAAAEARDRAAAEARERAAAAARANQQKNDNDFESFFSMGARANSAPRPPRPSSSESVFDAQFQPDVTMKSTGVSSSMKKASSSTNIVDDLSSIFGAAPSSSGEFQDIEGETEERRRARLERHQRTQERAAKALAEKNQRDLQTQREQAERNRLAETLDFEVKRWAAGKEGNLRALLSTLQYVLWPECGWQPVSLTDLITGAHVKKAYRKATLCIHPDKVQQKGATLQQKYIAEKVFDLLKAILSSEDHNPISATSPTAY >OIW20980 pep supercontig:LupAngTanjil_v1.0:Scaffold_58_65:2212:5420:-1 gene:TanjilG_26714 transcript:OIW20980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSISQTLLLLFFFTFLSLSQPQPQSPSDVPSTTVCIIGAGIGGSSVSHFLRKYSPDSTPFTKILIFERNPVVGGRMATVTIAGDTFEAGASILHPKNLHAVNYTKLLNLKVKPPSLESNSLGIWNGKKFVFKTVKISSYDIALIDRFLKLPFIEYLVSYIVSLYNSVLLLVRYGFSLLKMQNFVESAVDKFSKYYEGDESRPIFESVDEMLKWSGLYNLTTRSLKDELVDAGLSPLLINELITVITRINYGQSVHMSGLAGAVSLAGSGGGLWSIEGGNWQMAAGLINQSDVVLHLNEEIKSVAHVGDYYELNSTKGNSYTCDVAVVATPLDELNIQFNPPISIPERKLQHTYTTFVRGLLDPVYFGLKAESKIPELVGTIEDPDLPFSSISVLKKHNEKESTYKIFSRQPMADTLLDSIFSARKETIRINWAAYPHYHAPEIFAPFILDGRHLYYVNAFENAASTMETSCVAAENIARLMLSRYFGQVILPSSLTPSSQGEGEHSDL >OIW20981 pep supercontig:LupAngTanjil_v1.0:Scaffold_58_67:5314:7239:1 gene:TanjilG_26715 transcript:OIW20981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHEHCDSSLILSLPDDVFAIIVRFLLPRDVCNLGMCCRNLNALVASEKIWLTQCGLLGILPHKNLVEWRKGVSSYKALCRFLASVQPLIGIWVHQNPELGNVVYVMPGFISVVGCRIIPQELSPLGIEDSPILWASVFEVIGDFNGSATFLLHGREKGIDYIYPALVKSIEKSCNLLLLEVEPGLQTNLGTSLQIRTFGHCSGVELSRKVCRSNNDISRSQRVNNEAMVPFSKLAYTDRRKLLEVTTSHIRQKVPDTVAEPLFPRLRDDKDNFKNDLVILWERRSLLSEMFNLGCSQTDCKASSQQEVSSKQLEVDNIRKSLNCSRPICNSLPEEGGCTQCIKRKSLGGYFWNRLKQILGRSNLMNVSHSNSKKLTSSSEIRHAQLQEFLKSSDTIRLTLKAPTVKLSSYRAWPNMHDNRFALYKLPLRVPREDQEYAGLWGGTFGWPPGKLSEDKPEKALFFLLLSYEESQGQQLLIATKILEGTHYVLHPNGSAMFIANINEFSSEPFPWDTDADSNPVDIKEAFIGEGIATGYGFRYPGSKPGSLFIFQNGGIAFIWKESRAILTLKRLNLQELLKKGERIPSLPPIANFSYLTKSYSNVFTAFPSPSNSLSSPRFVSTLLCLLASFFPPSIMTYLP >OIW20982 pep supercontig:LupAngTanjil_v1.0:Scaffold_58_70:1078:4317:1 gene:TanjilG_26746 transcript:OIW20982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEECTNVNGSGQTRTASEQKKLESWLPITASRKAKWWYSTFHNVTAMVGAGVLGLPFAIAQLGWVPGVLAIVLSWVVTFYSLWQLVEMHEMVPGRRFDRYFDLGEHVFGPKLGFWMVMPQQLTVQVASTIVYCVTGGKSLKKFFALLSSNMSDIRQTYYILVFVVLQLLLSQTPNFNTLKGVSLLAAVMSVCYSMVAFCTSIVKGTEHHPHHYGLRSHTLPGKIFDIFNALGTIAFAFAGHSVVLEIQATLPSTPEIPSKKLMWRGVMVAYTVVILCYLSVAISGFWAFGNYVEDDVLISLEHPNWLIAVANFMVFIHVIGSFQVFAMPVFDTIESNLVQKWNFNPSRTLRIIARSTYVVIVGFVAICIPFFGGLLGFFGGLAFASTSYIFCSIVGILIATLAPIGGIRTIIVSAKTYKMFS >OIW20983 pep supercontig:LupAngTanjil_v1.0:Scaffold_58_70:6151:12077:-1 gene:TanjilG_26747 transcript:OIW20983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLEEPLSLDKLPSMSTINRIQRFSSGACRPSVDNLGMGDCWIEGRSCSTSNSCNENNEECTVEAFPWKRQTRGMSRGDSFSQKTMTMGRNSMKLGMIDDPFSSSDYQDSPKCNSKEINNMAYKFMKGIPKFVKIVEVGPRDGLQNEKNIVPTSVKIELIHRLASSGLSVIEATSFVSPKWIPQLADAKDVMKAVQNLDGIRLPVLTPNLKGFDAAVAAGAREIAVFASASESFSKSNINCSIEESLARYRAVTRAANELSIPVRGYVSCVVGCPVEGQISPSKVAYVAKELYDMGCFEISLGDTIGVGTPGTVVPMLLAVMAVVPAEKLAVHFHDTYGQSLPNILVSLQMGISAVDSSVSGLGGCPYAKGASGNVATEDVVYMLNGLGVKTNVDIGKLMLAGDFINKHLRRPSGSKTAVALTADASKI >OIW20984 pep supercontig:LupAngTanjil_v1.0:Scaffold_58_75:9098:11989:1 gene:TanjilG_26748 transcript:OIW20984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGFLLGPSIPTGPFDKYKQMLFPYGSPDILNAISSLGYAFFLFLNAVQADFTLTTKTGKKAWAIGLTSVLTPIAIGYLVIESKTFFPFWESLLGNYEATSLLAVVISHSGCSFTVIAQFLTDLGILNSEIGRLALSSSFVINLSSGIFVGIGTAIFKSYEIDTLMIMMNVIYYIAFITLVPLAGRPLLRWVERQTPEDGRVNKTYTYAIIVALLLLGFLAGQFHQPFLSGALVFGLVVPEGPPLGAELIDQLDLFATSFLTPIFITCCSMKLNLTLLPATPTLVAVILFFIVMVHLIKWILCISICRFCNIPLTDSFCLALILSCKGVVDICSYMTVYDTLSQSEEAISVMIISVLMVGTISAFGVKTLYDPSRKYAGYQKRNIINLKPNSELRVVACMHKPSHMIPIKNVLQLCSPSPGNELVVDLLHLMELVGRASPIFIAHHHQQKKGGESSHHNYSGEVIVTFNLFEREYAGSASINTYTAISPFTLMYEDVCHLALDKFASLIILPFHIRWTEDGGIESNDNNIRSLNTMVLERAPCSVGILVSHGGHRNSSNYNVAMIFLGGHDDREALCFAKRTFKDPNNNLVVYRLLARDRDITDWEHMIDDEALREVRGTYVKIENVTYQEKTAEDASHTTSFLKDIADKYDFIIVGRRHGIQSSQIDGLENWTEYSELGVIGDLLASPDMRTTASILVVQQQHTATKS >OIW20986 pep supercontig:LupAngTanjil_v1.0:Scaffold_59_12:27922:33108:-1 gene:TanjilG_26780 transcript:OIW20986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFAAPSIGSAGGGSALRAFEFGRTYVVRPKGKHQATVVWLHGLGDNGSSWSQLLETLALPNVKWICPTAPTRPISAFGGFPSTAWFDVQDLSEDAPDDLEGLDASAAHVVNLLSTEPADIKLGVGGFSMGAATALYSATCFTVGKYGNGNPYPANLSAAVGLSGWLPCAKTLSNKLQGVDEATRRAQSFPVLLCHGKGDDVVPFKFGEKSSKCFSSTGFQDVSFKAYNGLGHYTIPEEMDEVCAWLRSKLGLDGTSA >OIW20987 pep supercontig:LupAngTanjil_v1.0:Scaffold_59_13:11271:15169:1 gene:TanjilG_26781 transcript:OIW20987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTSANAGGGRSGAALDKDVDYAQYFCTYSFLYHQKEMLSDRVRMDAYFNAIFENKRHFIGKTVLDVGTGSGILAIWSAQAGARKVYAVEATKMSEHARALVKANNLQDVVEVIEGSIEDISLPEKVDVIISEWMGYFLLRESMFDSVICARDRWLKPTGVIRVKFHRYPSHARMWMAPIRTGIIDHKVADYQSAMDDWHGFVDETKTCYGVDMSTLTNPFSEEQRKYYLQTSLWNNLHPHQVIGTAAIIKDIDCLTATVPEIEKVRSNFSLSITTDNMKLCGFGGWFDVHFRGRSEDPAEQEIELTTAPSVDYPTHWGQQVFLLHPPTHVGEGDDLSISFVMSRSKENHRLMEVELGCEIKQKSGKLLAPFKNKFYIE >OIW20988 pep supercontig:LupAngTanjil_v1.0:Scaffold_59_15:1099:5563:-1 gene:TanjilG_26782 transcript:OIW20988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWFTKLLKGSSRGHHGKYRDARISDNHSAGELTDIEKEEIDRAIALSLSVEDVKGKKVVEDDSQSEDDLQLCEIDEEEDEYIGKVQQEEDDQIAKIQQDKDEHLGQIQLEEDEQLARAIQESLSIGSPLSNAGSLFQPFPHLFPPVYRTCAGCNAEIGNGRFLSCMGGVWHPECFRCEACDLPIVDYEFSLSGNHRYHKSCYKELHHPKCDVCMNFIPPNSAGLIEYRAHPFWLQKYCPSHERDGTPRCCSCERMESRDTKYLLLDDGRKLCLECLDSAIMDTHECQPLYLEIQEFYEGLNMKIEQQVPMLLVERQALNEAMEGEKNGHHHLSETRGLCLSEEQTITTILKRPKIGAGYRIIDMITEPYKLIRRCEVTAILVLYGLPRLLTGSILAHEMMHAWLRLKGYGNLRPEIEEGICQVLAHMWLDSEIYSVSGNEAASSSSSSSSSSPSASSTSSKKGKRSDFEKKLGEFFKHQIEADSSSAYGDGFRQGNQAVLKYGLRSTLDHIRMTGTFPY >OIW20989 pep supercontig:LupAngTanjil_v1.0:Scaffold_59_15:18406:18750:1 gene:TanjilG_26783 transcript:OIW20989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSPNKTTFTKKYSSTTLRASLQDSQPPNSTQQQLNLSVLRFTLGIPGFDESYLPRWIGYGFGSLLLLNHFFDSDSSTVTPAQLGTEVLSLSLASFSIALPCLGKFLKGAQPVD >OIW20985 pep supercontig:LupAngTanjil_v1.0:Scaffold_59_8:15033:17372:1 gene:TanjilG_26779 transcript:OIW20985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTLGSTQSIHGKEYGYGAHDFPASGVFEVEPRKCPGFTYRCSINLGQVNVPRSEFRTFIEKIVSEYHGDTYHLIYKNCNHFTNDVSCRLTGKRIPSWVNRLAKIGSLCSCLLPDTLQVTTVKQLPEYHQCSEDEVTESLSSATASPELGDEQEKCLLSPLARIEDVSFVKEKEVLVK >OIW19796 pep supercontig:LupAngTanjil_v1.0:Scaffold_5_103:33468:38598:-1 gene:TanjilG_24495 transcript:OIW19796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQIQSPVSGPPPQNGVAPGAPNTSFSTTSLYVGDLDFNVNDSQLFDLFSQIGLVVSVRVCRDITTHQSLGYGYVNYSNPQDAARALDVLNFTPLNNKSIRVMYSHRDPSIRKSATANIFVKNLDEAIDHKGLHDTFSSFGHILSCKIATDASGQSKGYGFVQFDSKEAAQSAIDKLNGMLLNDKQVYVGHFLRKQDRDNFLNKTKFNNVYVKNLSDSMIDDDLKKFFGEYGTITSVVVMRDGDGKSKCFGFVNFENPDDAAKAVEALNGKKIDDKEWYVGKALKKTEREQELKGRFEQSKKEIVDKYQGVNLYLKNLDDIISDEKLKELFSEFGTITSYKVMRDPSGASRGSGFVAFSTPEEASRALVEMNSKMIAGKPLYVALAQRKEERRARLQAQFSQIRPIAINPSVAPHMQLYPSRTPGLGQQFLYGQGPPAMIPQQAGFGYQQQLVPGTRPGSGPMPSFFLPMVQQGKQGQHPAGNRGAGPVQQSQQQMVPRGRVYGYPPGRNVQDVRLTGILPTHNAVEQPMPIQALATALANAPLEQQRTMLGEALYPLVDQLEHDAAAKVTGMLLEMDQPEVLHLIESPDDLKAKVAEAVDVLRIVAEQQMNIPGDQLASLSLDDNVVS >OIW19797 pep supercontig:LupAngTanjil_v1.0:Scaffold_5_103:50898:56121:-1 gene:TanjilG_24496 transcript:OIW19797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSFWIWWIVAVIHILPFYDSNPLIQTIHARTPQSPVQCNQSGCTLQNSYGAWGDRKDCYALNITYPTTEEQLRLAVSYAVQNNLKVKVVTKLSHTIPKLACPEGKTNTLLISTEKYDSGIQIDAANLAVTADSGVGLRALIDAVESAGFSLVAAPYWEGVSIGGLISTGAHGSSWWGKGGAVHDHVLGLKVIVPASESERYAKILWLEALDPLFNAAKVSLGVLGAISKVKLSLEHRFKRSISYNFTDDAHIEDVYINHAKQYEFADITWYPSKHTAVYRYDSRVPLDASGNGIYDFIGFQANSILISESVRAAENLLENTKSVNGKCLTAATTLEYKKLVGNGLKNNGLIFTGYPVVGHQGKMQTSGSCLYSTRFDTACAWDPRIKGLFFYESTAIFPASRFGNFIRDVRKLRDLNPENFCGVDNYNGILIRFIKASDAYLGQSEDSVVIDFNYYRANDALTPRLNEDVWEEVEQLAFFKYGAKPHWAKNRNLAFLGVQHKYPKFNQFIAIKHKLDPQSVFSSTWSEEILYGKESEKFDGCALEGLCICSEDRHCSPQMGYYCRQGLVYKEARVCRYSSSITTTSIA >OIW19798 pep supercontig:LupAngTanjil_v1.0:Scaffold_5_109:11403:15806:-1 gene:TanjilG_24497 transcript:OIW19798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSYWIWWIIAVIHILPIFDSNPLIQTIHARTPQSPVQCDQSGCTLQNSYGAWGDRKDCNALNITYPTTEEEFRLAVSQAVQNNLKVKVVTKFSHTIPKLACPEGKNNSLLISTEKYDSGIQIDAANLAVTADSGVGLRALIDAVESAGFSLVAAPYWEGVSIGGLISTGAHGSSWWGKGGAVHDHVLGLSIIVPASESEGYAKILRLEAQDPLFNAARVSLGVLCAISKVKLSLEPRFKRSITYNFTDDAHIEDVYTDHAKQYEFADITWYPSKNTSVYRYDSRVPLNASGDGVYDFIGFQANSILISKSVRAAEKLLENAKNVKGKCLTAATTLGFKKLMGNGLKNNALLFTGYPVVGYQGKMQTSGSCLYSTKFDTACAWDPRIKGLFFYESTAIFPASKFGDFIQDVRKLRDLNPENFCGIDNYNGILIRFIKASDAYLGQSEDSVVIDFNYYRANDASAPRLNQDVWEEVEQLAFFKYGAKPHWAKNRKLAFLGVQKKYPKFDQFIAAKQQLDPQSVFSSTWSEEILYGNESEKFDGCALEGLCICSEDKHCSPQMGYYCKEGLIYKEARVCRYSSSSTTITSIV >OIW19799 pep supercontig:LupAngTanjil_v1.0:Scaffold_5_115:31624:33389:-1 gene:TanjilG_24498 transcript:OIW19799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVHQLTREGLWEHETLSFTLGCKRLCSLAPKNTNTDVSTITTTTTPFDLKSFIRPESGSRKFGSLVDNKKDPPSPKDQVETHPGGTRWNPTQEQIKILEMFYKGGTRTPNTQQIEKITVQLSEYGRIEGKNVFYWFQNHKARERQKRKRNSVGLALSPPTPTFTSSITFETRGGIDQEDSPNKCRSWAFEYLEDQSWASCKEEDHKILELFPLHPELGKSR >OIW19800 pep supercontig:LupAngTanjil_v1.0:Scaffold_5_116:21670:28805:-1 gene:TanjilG_24499 transcript:OIW19800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDSADVLNFVNTTLDWVKFALDAPSARAVVFGFHIGGHLFVEVLLLVVILFLLSQKSYKPPKRPLTNKEIDELCDEWVPEPLIPSLNEEMQYEPPVLESAAGPHTIIDGKEVVNFASANYLGLIGHQKLLDSCSSALEKYGVGSCGPRGFYGTIDVHLDCEARIANFLGTPDSILYSYGLSTMFSAIPAFSKKGDIIVADEGVHWGIQNGLYLSRSTVVYFKHNDMDSLRNTLENISSKNKRIKKLRRYIVVEAVYQNSGQIAPLDEIIKLKEKYRFRVLLDESNSFGVLGISGRGLTEHYGVPAEKLDIITAAMGHALASEGGFCTGSARVIDHQRLSSSGYVFSASLPPYLASAAITAIDILEENPYLITKLKNNIAALWRGLSNITGFTIASNPESPIVYLRLEKSKGSTKDDLHLLEKIAHLVLKEDSVFVVPSKRSTQDNCRLPLGIRLFVSAGHSESDLHKASESLKRVAVLVLGGHN >OIW19801 pep supercontig:LupAngTanjil_v1.0:Scaffold_5_120:4235:8861:1 gene:TanjilG_24500 transcript:OIW19801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTGSNTFTMILLMFTLLALSSAIDMSIISYDKTHMNKNNDNKLMTLYEEWLVKHGKQYNALGEKETRFEIFKDNLRFINEHNNGLNRTYKLGLNRFADLTNEEYKAKFLGTRVDPKRRMVKLRTRSNRYAPRVGDELPESVDWRKEGAVVGVKDQGSCGSCWAFSTIAAVEGINKIVTGDLISLSEQELVDCDRSYNEGCNGGLMDYGFEFIINNGGIDSEEDYPYKAVDGRCDQYRKSAKVVSIDDYEDVPSYDEKALQKAVANQPVAVAIEGGGREFQLYDSGVFTGRCGTALDHGVNAVGYGTENGKDYWIVRNSWGPYWGEDGYIRLERNLASSRSGKCGIAIEASYPIKAGQNPPYPGPSPPSPVKPPSVCDNYYTCAESTTCCCIFEYANTCFEWGCCPLEGATCCEDHYSCCPHEYPICNIYAGTCLRSMNNPFGVKALKRTPALRRNSAGGENNISNA >OIW19802 pep supercontig:LupAngTanjil_v1.0:Scaffold_5_120:13837:16855:-1 gene:TanjilG_24501 transcript:OIW19802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELVTATDLVVSASLALLLSFLVAKLVSFAITTDPKTTRINQLNRLCHVSVENKVHFQVPTNLETDHNTNVGEIEEINVESKAFELSVTPDIAVVEDSNVREEFDSEAVVVGSDDSVAEQRKTDSDCVNRLFNVSAENKVPFQLPTNIETDHSTNEEEKEETTVESNALELSVTPDISVVEVSDVTEEFDSKVVVGSAGSNVEQRKTDCDCVEETGVEKPSYVEEEEEERDVVLDGDDWEGVERSELEKVFMAATEFVVGSESEWFGSDVEKELYGLHKIATEGPCRQSQPMPLMFSARAKWNAWQKLGNMNPEVAMEKYISLVSDKVPGWMKDTSAGMSEHEPTGSEIPETLGADLNTSLSHQQMMLTDRELEQERESSAQDHSPLTESDLENNVRL >OIW19803 pep supercontig:LupAngTanjil_v1.0:Scaffold_5_123:10356:15747:-1 gene:TanjilG_24502 transcript:OIW19803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSIVKLLEDDEDETMHSGVDLEAFQAALNRDIGGDASASQPSGSDTVLSQGSNNTFSQTIQQWPTSTLDKQADSQNQEPKIAQQQEQPSSEAELKQHVSLMEQPQHVASQVVNNPPFSQKQSQDEFYQSQNVQVSVQNSQMIGIQSSGKDSVHNHEVVQTHNPSNESQYAKLQQISNQQDSFAEQPGSQINRTNRQLPKDRAMQLQTLFTKLKKNEIPKDSFVRLMKGIVGDQMLRLALINVQQTRPNQGPSGQQPDERMAAVGSGARQFNDPHALAQLHQRSMNAAADQSRMTSSAVQNVGGNARKSQELDAKIESQGSQTSQLPPSSSNAVSQETDRSSVHIQGLTKQQQQHLHFSSAHGSSGGNYNPFSGTTSSSISSINPQLLGSHLGKTPHQNTGQNHLGGATQGLNVIGMPKLQQQNSFSGPKRLPGGSVSSAVNNSAPQQTSNAGQPSINKEQKVGMLSSVSYVKKEPSDLSTEQQHRLNLSKLHGLHSAQIEHGSANQGIVKDECSRGLPVSTNMPPTTFQHNSSASSSVMTQPDPSVSIPSNTSGIMARTPLKKPSPAQKKPLEALGSSPPPPSKKQKTSGGSVEQSIEQLNDVTAVSGVDLREEEEQLFSGPKEDSRVSEASRRAVQEEEEKLIFQRTQLNKKLVEILVKYGLKGIGNDVERCLSQCVEERMRGLISNLIRLSKQRVDFEKTRHRTVVTSDVRQQIMIVNRKLREEWEKKQAEEEKLRKINDVENTTGVDGDKDDGRTKSTKVNKEEDEKMRTNAANVAARAAVGGDDMLSKWQLMAEQARQKREGGTDLSSGSQTAKDMNRKSSSAFGRSMNDNQEGDKKGPTITSSGAARKHGKSHALASQTRVARSISVKDVIGVLEREPQMSKSPLIHRLYEKIHSDAPAEQG >OIW19804 pep supercontig:LupAngTanjil_v1.0:Scaffold_5_126:4402:15663:1 gene:TanjilG_24503 transcript:OIW19804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQAMMPPKKRKYYRLSLETLPSLSPLALEERSPSTQTLSLLPTRSLVEEEIAAKLRNKDEIQSVYKCLKYIKLCLSQKDKSFASDLEKSYLNLIAASKGCVSVQRIVADLIPQYACYCPTALETAANVIINMLNRNLALINRGEDSNVIAFATARACIFGLADICCTASSVVPTSTVIKGIRSAVFQNVLAFFMALFEGKDVIQLVDKNFLKIQDSPEVFSELNQKVLDEDDCSLIKLTKSCALCLLRIFFSCPKDLLAACMEFLVSSTKEEASTGMRFLSLVTSTLDDGNDAVLLLDSAKDGPKSSTGSTGSDIRGDEGGEEIMTDDNCVSGSNSSVCNSCLLMLVLNKDSSLRKWMLHRCKKLLDLLTNSSPEISSSLQGILGMIPQQADLEDCQIDSDEDKSDSSTYMNRNEHEIVGKSSGKVGISKFLVGSSTGGLTDKVSDTYLRGHGSAVSPDTVPVSKMGSHFDNGLSRPIGEEGNMPNVMCSTPRDLVGHQMLSSGFRKPLDVRSNSCEVSDDCPNVEKNQALNMNFNSPPLRSSSGTACNILTSPHHQFMSPSALRSQIAWCCDGDPAAMDIVSASKQLWVGCVGPDMSESHIRFQLERLGPIEQYFFFSVKGFALVEYRGIIDAIKARHYLPGNFPCCVKFMDIGFGTRGAMNGFAIGYSSHIYAGSISSQWAKDEILHESWKVIRKCPLSVIDLSCECALLMEFETPEEATSVMLHLRQLRRERSNYNPHSAPVTGNVGIGHVYSVGATPVPGPPHLELKINNQVAGSPHARTLPESPADSSQTRMSQLYSLLASLCTKYNINQNIGLHNNYMTGNNCASSMRGEDTVPSSTLWITIPSSGSMFLTDNELMAICNLAVGNSGSIVRLTQANVHMGCGWFVECSNVDGAVSVLKNLRGCPALFFQIEFSKPGNQNALLFPNIPEKNSMEHVHGAPMSQSNWHFPGSREMSEVGARKPDDYDNLSMDPQQGGGVAHSLSVIQGPSVPPQQQIQSSTFIRPVYGPPNGPWDSQGMNNQLPVNQFRTGVMPNNFHGSSVTSPFIPASVTPLAQIQGTPMPPYNQLIPRPVIPPSLSSLPHPQPEMPPLLPSSPPPLPQTQPPLVPPPPPPPLPVQESINMECSSQSMQYQWQGSLCKSGVNYCTIYACRADSNICRYSNDIPEPAEWPTKLDMTKRTDFQHVKSTFAVTPHNRREVCRLIPSSTSDHRRDFISYLKQRDCAGVIKIPASNSIWPRLLFILPHSLETCSLLSIAPDPSDCLIALVLPKDTNFEWM >OIW19805 pep supercontig:LupAngTanjil_v1.0:Scaffold_5_126:17866:18849:1 gene:TanjilG_24504 transcript:OIW19805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTESPTNYSYMGHNFSDDSSSSAFSDCNSDRSGEFQTAPSQTRRFLIACATENSDDLIHQLVRDLTSCSIDEQKQAAMEIRLLAKNKAENRVKIAKAGAIKPLISLISSQDLQLQEYGVTAILNLSLCDENKDVIASSGAVKPLVKALNTGNSTAKENAACALLRLSQLEENKGIIGRSGAIPLLVNLLENGAFRGKKDACTALYSLCSVKENKVRAIKSGIMRVLVELMADFESNMVDKAAYVASLLVSVPEARAAVVVEGGIPVLVEIVEVGTQRQKEIAVAILLQICEESVAYRTMVAREGAIPPLVALSQSGTIRVKQKVKS >OIW19807 pep supercontig:LupAngTanjil_v1.0:Scaffold_5_129:23265:24689:-1 gene:TanjilG_24506 transcript:OIW19807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDSISTLLCQENVTFLEGELGNEKFPFIPLSDYGVLSEDEYIAILIEREIRFGLKKNESFVFENWIECARADAINWIFKTRAALGFYFQTAYLSVAYFDRFLSKRSIDNGKYWAIRLLSVACLSLAAKMEECNVPFLLDYQSEDYCFENKVIQRMELLVLTTLQWNMANVTPFAFLPYFITKLCNETPPFNVLSRAMQLIFTLMKEVNLMDHRPSVIAAAATLVAVNQQLTIEAVKLKMSSIHQHRFLDPKDIFACYNQIQSLYLEKTRRGKLVDSSGPSSPIQSRPIGIIESPLVTSVAMTKRRRLAINDGKESCDGKKLP >OIW19809 pep supercontig:LupAngTanjil_v1.0:Scaffold_5_129:50060:51985:1 gene:TanjilG_24508 transcript:OIW19809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFAGTTQKCMACDKTVYLVDKLTADNRVFHKACFRCHHCKGTLKLSNYNSFEGVLYCRPHFDQIFKRTGSLDKSFEGTPKIAKPEKNSDNEKPAASKASSMFGGTRDKCAGCQKTVYPTEKVTVNGTPYHKSCFKCSHGGCVISPSNYIAHEGKLYCKHHHIQLIKEKGNLSQLEGDQEKNAVHEKINGEVAA >OIW19806 pep supercontig:LupAngTanjil_v1.0:Scaffold_5_129:19447:20871:-1 gene:TanjilG_24505 transcript:OIW19806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDSISTLLCQENVTFLEGELGNEKFPFIPLSDYGVLSEDEYIAILIEREIRFGLKKNESFVFENWIECARADAINWIFKTRAALGFYFQTAYLSVAYFDRFLSKRSIDNGKYWAIRLLSVACLSLAAKMEECNVPFLLDYQSEDYCFENKVIQRMELLVLTTLQWNMANVTPFAFLPYFITKLCNETPPFNVLSRAMQLIFTLMKEVNLMDHRPSVIAAAATLVAVNQQLTIEAVKLKMSSIHQHRFLDPKDIFACYNQIQSLYLEKTRRGKLVDSSGPSSPIQSRPIGIIESPLVTSVAMTKRRRLAINDGKESCDGKKLP >OIW19810 pep supercontig:LupAngTanjil_v1.0:Scaffold_5_129:57568:59729:-1 gene:TanjilG_24509 transcript:OIW19810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNLKDSNDTVSPLSFTEFPEDVQLTILSFLTPSEITNFACTSKRFVSLCQNDTKLWFTMCHRRWGPKTHINKWVKGKVTFKLLYRTLHDWDNLIGFWRRSGNQGTTTAAATPSLVFFEWGPSFISGSRVSPSKTGTYDVIKSPFLWMSLSSEGQVVNYLDPSGRVDLNSDLFEFGLGFGFSENELIPVNVSFMGKTHFIVEENLNVVCSSSYEQRMHEFSRSSSGVNLGGDDGFGVGENVSGIGSSGSLPDRVVTEIYQRFANRTSPGSDKSRKQRRKEKERLARRKFEPEHFVKIVNCSPTPLRPLQGLWKGICHDLSLAFYLVAYDDIGGIACRLVGDYPDYFSSYAPVFWTSKAKFLESPFSMEEESLYDSRIHLRPLQAVNEIYEQFPFSDDEVVNQIQQFHLSHNEVVHRILHVSSHYDLVIPELGGTINQRNTKGRIWQYLSGTFGFGFVHDNFVIDMKHIIHDGCILDAVKPSAN >OIW19808 pep supercontig:LupAngTanjil_v1.0:Scaffold_5_129:40060:41985:1 gene:TanjilG_24507 transcript:OIW19808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFAGTTQKCMACDKTVYLVDKLTADNRVFHKACFRCHHCKGTLKLSNYNSFEGVLYCRPHFDQIFKRTGSLDKSFEGTPKIAKPEKNSDNEKPAASKASSMFGGTRDKCAGCQKTVYPTEKVTVNGTPYHKSCFKCSHGGCVISPSNYIAHEGKLYCKHHHIQLIKEKGNLSQLEGDQEKNAVHEKINGEVAA >OIW19812 pep supercontig:LupAngTanjil_v1.0:Scaffold_5_131:35343:35654:-1 gene:TanjilG_24511 transcript:OIW19812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANTTISTLFPFLSTLTNITITSKTITTTKLFHLSAILFHIFYFHQQISQPKPNHSRSHIYDSYSQSWKEYLNFHGMKYFYNNMTNEYTWEIPHPTLFSPPPS >OIW19811 pep supercontig:LupAngTanjil_v1.0:Scaffold_5_131:33547:34014:-1 gene:TanjilG_24510 transcript:OIW19811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFYIHFQNGKHHNLSPISLPLNNGQHHNHSQNHHPNHTISPLNHLIPHILLFIKKSFSHNLIIHHLRSMTHSQPWKEYLNFNGMKYFYNNMTNEYAWEIPHPTFFSPTPSQILTPHFVHPPIADLFVEIRDLLHKLQEDLEDGHNSNVSTQRQI >OIW19813 pep supercontig:LupAngTanjil_v1.0:Scaffold_5_134:6716:9615:-1 gene:TanjilG_24512 transcript:OIW19813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFEHGNENFLKCASLSGLSTTNVSEMATSSVSMAPSDHVTNTPFLASSAWDPLVETLSQAQTFGGSSMVSHGDFGNTSHLVQYMCNSNLRDIVSEVPSYASRNFSETVGTFGQLGYRSNYNVIKDGGTESAPVNCEQSHVENSATEEGDPGSGPSGNRRKRSFDHNSSFRPNKNAEGDSMKDSPGKSSGGAKEHGKKQKVEQNSSADLHGKQLEKQGKENSQSGEAHKDNFIHVRARRGQATNSHSLAERVRREKISERMRLLQELVPGCNKITGKAVMLDEIINYVQSLQQQVEFLSMKLATVNPELNFDVERILSKDILQSRIGHGISGYGPGISSSHPFPNTTFQGTMPGMPSTSTQFPPLPQTVLDHEFLSFYGMGYDSSTALENLGPNEDNLLDLSIRK >OIW19816 pep supercontig:LupAngTanjil_v1.0:Scaffold_5_142:38054:41275:1 gene:TanjilG_24515 transcript:OIW19816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRPGIPSRRFGENGGGLFSSSKAKSSPILSIGLLIVGGLFLVAYMYKGSGGFGGLDSVSRVEGDYLCSGEVQQAIPILQQAYGDSMHKVLHVGPDACYVVSKLLKQDETEAWGLEPYDIEDADSNCKALIRRGSVRVADIKFPLPYRPKSFSLVIVSDALDYLSPRYLNKTLPDLARVSADGIVIFTGVPSNNQKAKVADVSKFGRAAKMRSSSWWTKYFAQNNLEENEAASKKFGQASTKSSYVPKCQIFHLKSLH >OIW19815 pep supercontig:LupAngTanjil_v1.0:Scaffold_5_142:16241:19764:-1 gene:TanjilG_24514 transcript:OIW19815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNETNDTKKDDKPQDIKLWAIFLFGLIGATASRFALSRSRGSSFRSAFQEEAWKRYNKRLQEEYEEEMERVERIRRMQNVFNRERNKQKRSYESWTENGTGTYHQHSQRDDWYWKAEETFRDQWSNYRNTPRENGNYSLSHHYSVLGLDRSRTAPYTDAEIKIAFRNKAKQHHPDQNQDNKVCENVTEAAETKFKEVMCSYEAIQQERKNHNL >OIW19814 pep supercontig:LupAngTanjil_v1.0:Scaffold_5_142:10498:14304:1 gene:TanjilG_24513 transcript:OIW19814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDPYAIGWVLCSVLSLFALYSLVFAGNNRPKEEEAQCSENVTTTAGERGSVNRNGDADVIIVGAGVAGAALAHTLGKDGRRVHVIERDLTEPDRIVGELLQPGGYLKLVELGLEDCVEQIDAQQVFGYALFKDGKHTRLSYPLEKFHADVSGRSFHNGRFIQRMREKAATLPNVKLEQGTVTSLLEDKGIIKGVQYKNKDGQHLTAYAPLTIVCDGCFSNLRRSLCNPKVDVPSCFVGLILENCELPCANHGHVILGDPSPVLFYRISSTEIRCLVDVPGQKVPSISNGDMAKYLKTVVAPQIPPELRDAFIAAAEKGNIRTMPNRSMPADPLPTPGALLMGDAFNMRHPLTGGGMTVALSDIVVLKNLLKPLRDLNDAATLCKYLESFYTLRKPVASTINTLAGALYKVFCASPDEARNEMRQACFDYLSLGGLFSQGPVSLLSGLNPRPLSLVLHFFAVAIYGVGRLLLPFPSPKRIWIGARLLSSASGIIFPIIKAEGVRQMFFPATVPAYYRTPPPSQ >OIW19817 pep supercontig:LupAngTanjil_v1.0:Scaffold_5_144:11805:14372:1 gene:TanjilG_24516 transcript:OIW19817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQTKGLPIRLLRLLVMFIAACVVFSIISMYTIKHFGIGSVVTTMSSKLQPCYKEPEDGLLEKWIKPRSNLIHNMTDKQLLWRASFVPRIKNYPYKRVPKIAFMFLTKGPLPLAPLWERFLKGHESLYSIYIHSLPSYQAQFPPSSVFYNRQIPSQVSEWGKMSMCDAERRLLANALLDISNEWFILLSESCIPLYKFSFIYNYIMKSKYSFIGAFDDHGPYGRGRYDPDMAPLVNITEWRKGSQWFEINRKLAISIVEDTKFYPNFEQYCTPACYVDEHYFPTMLTILAGNALANRSITWVDWSRGGAHPATFGRTDINEDFLNRVRGGKECLHNNRNSSVCFLFARKFAPSALEPLIQMDSKVLGF >OIW19818 pep supercontig:LupAngTanjil_v1.0:Scaffold_5_147:27654:28217:-1 gene:TanjilG_24521 transcript:OIW19818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALETHPLQSLTPQEFTELESLINKYHKFEPLPNTCTSIITYRIEAPAKTVWPFVRSFENPQKYKHFVKGCNMSGDGSVGSIREVTVVSGLPASTSTERLEILDDDNHILSFRVVGGEHRLQNYRSVTSVNEFNKEGKVYTIVLESYIVDIPQGNTGEDTKMFADTVVKLNLQKLGEVAMTTLMQAQ >OIW19819 pep supercontig:LupAngTanjil_v1.0:Scaffold_5_154:19052:19566:1 gene:TanjilG_24522 transcript:OIW19819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIKAFKLVQILKTNTICVEARFSPITPISYIIIQRLLDSIFLHKDDTACPAKNFYTYESLIQASKCFPRFGSVGSLSIRKREIAAFLAQISYETIGGWATAPDGPYASWNKLVLRVYLFDKGFLHCLMPLWIS >OIW19820 pep supercontig:LupAngTanjil_v1.0:Scaffold_5_157:30011:32126:1 gene:TanjilG_24523 transcript:OIW19820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFSLRIFFYFTLFILSVSAHSGHHDDDGDGGGDDAAPNLRSRPLILAKIWCLIVIFVATFVSGVSPYVLKWNEGFLVLGTQFAGGVFLGTAMMHFLSDANEMFGDLTKKEYPFAFMLACGGYLITMFADCVISSILEKRDIRTRNGGDVELQGAGAEVDKRSGNGITSQSQYEDNDGANHHLRNPALVSASSLGDTILLIIALCAHSVFEGLAIGVAETKADAWKALWTISLHKIFAAIAMGIALLRMMPNRPLFSCAVYAFAFAISSPIGVAIGIVIDATTEGAVADWIFAISMGLACGVFIYISINHLLSKGYMPHKPIKVDSPFFKFMAVVFGVGVIAIVMIWDT >OIW19821 pep supercontig:LupAngTanjil_v1.0:Scaffold_5_157:59987:62789:1 gene:TanjilG_24524 transcript:OIW19821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVGDLNKVWEIKALKRKPREDEATKMLDKIAKQVQPIMRKHKWRVKLLSEFCPTNPRLLGLNVGAGIHVKLRLRRPNRDLDFYPFDQVLDTMLHELCHNAHGPHNANFYKLWDELRMECEELMSKGIAGTGEGFDLKGRRLGGYSRQPPVSSLRTTALAAAEKRLQLGSLLPSGPNRLGGDSVIMKALSPVQAAAMAAERRLQDDIWCASQSCEPSDYEDVNYESAENLVHKGGNMGKSRLTNNYIPPSELIPRKRSRVMDSSVLANSSSTPNFVDLTMGSPKSVNLTGSQGRSFGIESISHSHSGSQAGSSSANISGSLGSLSGDERTLHSEEPAMWGCLTCTLLNKALAPLCELCGTQRPKDVATKYKMWSCKFCTLENSVKLEKCSACDQWRYSHGQPVSTGAPNLGT >OIW19822 pep supercontig:LupAngTanjil_v1.0:Scaffold_5_161:12564:15575:1 gene:TanjilG_24535 transcript:OIW19822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGHEESCTFGPLAAMSSRNLSSSSSAFFSATQSPFFSPRTSSCHLLESIRSDARSPSDRIRVDAAPPSTSSAIQEPKSLVNFTSTLSDVPASPDGINSSKVNLQKLDRISSSIGISDSTISSYCPPNDDGYSEQKEKRSKKGRNQRTSSTPGSRSVSSYRLKSCDVFIGLNSRKPPVLRFANWLCAELEIRGISCFISNRAKCRNSSKLGNAEKAMDVAYFGIVIITRKSFKNRYTIEELQFFSGKNNLVPIFFDLSPADCLVRDIIEKRGELWEKHGGELWLMYGGLEQEWKDAIHGLSRVDEWKLEAHEGNWRDCILRAVTTLAMRLGKRSVAENLTKWKEKVKEEEFPLTRNENFIGRKKELSQLELMLFGDVTGDSQKDYIELKARTKRKHVTIGRGKSNVIDERWREKHIGNVSREEKEPVIWKESEKEIEMQSIEFPQKNFYSRSKSSGKYTRRKRGMKILFGKGIACVSGDLGIGKTELVLEFAYRFHQRYKMVLWLGGESRYIRQNYLNLRSFLEVDVGVENSLEKTKIKGFEEHENEAISRVRQELMRNIPYLVVIDNLESEEDWWDHKLVMDLLPRFGGETHVIITTCLPRVMNFEPLKLSYLSGVEAMSLMIGSGKDYPVAEVDALRIIEEKIGRLTLGLAIVSRILSELPITPSRLLDTIKRMPLKDISWSGKEAQSLRKNTFLLQLFDVCFSIFDHADGPRSLATRMVLVSGWFAPGVIPVSLLRLAAHKIQEKHKRNRFCRKVLRSLSCGFSSSYTKRSEFEASSLLLRFNIARDSTRQGYIQFNGLVKLYARKREVTGAAQAMVQAVISQGSISQNMDHLWTACFLIFGFGHDPPVVALEVTELVYLVKKLVLPLAIHTFITYSRCTAALELLLLCTNALEAADQSFVTSVDKCLHKSLCWRSIQTNAQLNPCLWQELALCRATVLETRAKLLLRGAQFNIGDDLIRKAVFIRTSICGEDHPDTVSARETLRKLTRLIENVHIHASA >OIW19823 pep supercontig:LupAngTanjil_v1.0:Scaffold_5_162:313:4945:-1 gene:TanjilG_24536 transcript:OIW19823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRELNRDIVWPVLPLLVDGRMLDLYRLFSLVKERGGHAAVSRKESWGFVTKELGFDLRVLASVKVAYGKYLNDFEAWLKTNFEDKVFRNGIHGYSWGFKPLPLEIENELRGLLCPDLKEKDDEHDLEEKYNEIGPKEKDGELEIKEEEDKLVELKLKKIRKCIDSVNHKNETNLLDTKNQNNICEDVQNVHADDKEKLCIGDKDDRATLDKEDIEKEYNNRKRKREALSGMLNWMKHIAKCPLVPVTPPIPKPLKWKEYKGQDFLFQLLRAREVLLLKQCVEPNGGPTSFKNQKMHPSMYEDEVAGGHHSTARLRCSGRQPTTFKSRLCSCCNSHSADGKCPPKKTAKVDLLTAKIMAKKSGDKVWTKEKVSIGPHHQAEVPEWTGVISESDSKWLGTPVWPLPEHDSKPDTETNLIGRERKDKCSCKIPGSVQCHRFHIAENRLKLRLELGPLFYHWGFDSMGEEVSLQWTSVEERRFKDLLRSHAPIWNNTSIYFPRKRRRDLVSYYFNEFVIQLRTYQNRVTPNNVDSDNDEAEFGSFGHGFRMDALGVQQVLPECSENKQCTDFEY >OIW19825 pep supercontig:LupAngTanjil_v1.0:Scaffold_5_169:30152:32051:-1 gene:TanjilG_24538 transcript:OIW19825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSSDDGVGDLLFFSDSFPFFSDIPQENSNNTNQHQFDETIPSSLDPFSPSFFSFSPPTTNLENLTLYRQPNHVLNAPTLENEFGSFSSALDGFEVKSEECQLGIDYNINTYNQHHFFPHSYSGAENVSKYMQRSFSSNSFDGKPGFLFKSHCDRLIDSPNFQRHAINSPENSSLDGQMRRVCSTGDLQNMKANNISQTEEEPNLKVGRYSAEERKERISKYRAKRSQRNFNRTIKYACRKTLADNRPRIRGRFARNDEAIDIPKVQCTTRYEEEVDFWIEELHEEQENVTMGAEEYVNNFGASQFQYCGF >OIW19824 pep supercontig:LupAngTanjil_v1.0:Scaffold_5_169:2673:10562:1 gene:TanjilG_24537 transcript:OIW19824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHGDKNRPFKKLKSSKFEDSRKGFGDDDDGYGGDDGYDGEDTKTKDFSKLELKPDHPNRPLWACGNGRIFLETFSPLYKQAYDFLIAIAEPVCRPESMHEYNLTPHSLYAAVSVGLETETIVAVLNKLSKTKLPKDMIKFIHDSTANYGKVKLVLKKNRYFIESPFPEVLKTLLRDGTIAQSRITSEGANEDGFTISKAVGEISGTHDELINGAEVAAAAEEKEAHSFEIDPSQVENVKQRCLPNALNYPMLEEYDFRNDTVNPDLDMELKPQAQPRPYQEKSLSKMFGNGRARSGIIVLPCGAGKSLVGVSAACRIKKSCLCLATNAVSVDQWAFQFKLWSTIREEQICRFTSDSKERFRGNAGVVVTTYNMVAFGGKRSEESEKIIEEIRNREWGLLLMDEVHVVPAHMFRKVISITKSHCKLGLTATLVREDERITDLNFLIGPKLYEANWIDLVKGGFIANVQCAEVWCPMTKEFFAEYLKKENSKKRQALYVMNPNKFRACEFLINYHERARGDKIIVFADNLFALTEYAMKLRKPMIYGATSHVERTKILQAFKTSKDVNTVFLSKVGDNSIDIPEANVIIQISSHAGSRRQEAQRLGRILRAKGKLQDRMAGGKEEYNAFFYSLVSTDTQEMYYSTKRQQFLIDQGYSFKVITSLPPSDEGPSLSYHHLEDQLALLGKVMSAGDDAVGLEQLDEDTDEIALNSARRSQGSMSAMSGAKGMVYMEYSTGRHKGQIKSKPKDPAKRHQLFKKRFGST >OIW19826 pep supercontig:LupAngTanjil_v1.0:Scaffold_5_171:27257:30895:1 gene:TanjilG_24539 transcript:OIW19826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQQQNLEKMQLRQSYRNLWHTDLMSTIQADCPYCCFAVLCAPCVSYLLRKRALYDDMSRYTCCAGYMPCSGRCGESKCPEFCLCTEVFLCFGNSVASTRFMLQDEFNIQTTQCDNCIIGFMFCLQQLACIFSIIACIVGSQEIQEASQLLNCLADFVYCTVCACMQTQHKIEMDKRDGKFGPQPAMAVPHAQQMSRFDQPIPPSVGYPPQPAYGQPYGYPPAPPPPYQGYPPAPPPPSQGYPPATGYPPAAYPQQGIPPSGYPR >OIW19827 pep supercontig:LupAngTanjil_v1.0:Scaffold_5_172:11516:11977:-1 gene:TanjilG_24540 transcript:OIW19827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFPVCYSDFMFSKLIFHILSVFSIIRKLISTFFRFIGLQDFIEPHTSGSHNSTQIHGFQHLHALLIHEILPVVSYKELVDPPDNCAVCLNEFEEVDEIRRLANCTHVFHRGCLDRWIEYDQKTCPLCRTPFIPHDMQGAFDERLWATSAAAL >OIW19828 pep supercontig:LupAngTanjil_v1.0:Scaffold_5_179:11767:16260:1 gene:TanjilG_24541 transcript:OIW19828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSTKFCYSDARIHQTNLVNQIQGFVTDPEMFNLTTNMEMIGFSKNYLHQQSFFNKLNQQHQGPPSSSKAMNESSNTFYHQEYNTNKPDFTSGILETSSDNHLILSAHYSVSTTWQENRTSLLVDDSSLRHVLPSEGNNLSQRPSEGLSLSLSSNNIGLQPFELRHQHQQQPDFAFSSSSREGFYSKSSQQQQMMQQGANIYQGHFMLKNSKFLVLAQELLNEFCSLETKQNDVVKQKSHQKNLPSFEEDPKTNNSLTPLEFLELQKRKTKLVSMLEEVNRRYRHYRNQMKVVVSSFEAVAGSDAATVYSALALKAMSRHFRCLKDGILDQVQATRKAMGEKEPASPDITKGETPRLRILDQTLRQQRTFQQMIIMETHPWRPRRDVVSNWFINARVRLWKPMVEEMYLEEAKEQENMAYSEGGTNNHEHISHKFIQNHEDKNQMKARLLPIDSECVSSIINNHDHLQNDPNNCISPNEPCFGSMELDFSSYTHHYDHNVNQVINTSSGGSCSGVSLTLGLQQHDESGISLAFPPAIQSSMFYATDQIDEECQPVHYSLLDGETQSNMPYRNLMGSQLFHDLVG >OIW19829 pep supercontig:LupAngTanjil_v1.0:Scaffold_5_181:12073:16178:-1 gene:TanjilG_24542 transcript:OIW19829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVSLKDSEKLIWEQMRTPTSSSATHCKTLPKLLLLLILFVSLTYVVYTLKLVSTSRACNNDSPFLTNTLVTSNINATATSTVLRRESRETLRTELRHVVFGIAASAKLWEQRRNYIKLWYKPKTMRGVVWLDENVNTTTATSNNNTESLPPVKISGDTSRFSYTNRQGHRSAIRISRIVSETLELGLRDVRWFVMGDDDTIFVTENLLRILRKYDHNEFYYIGSQSESHLQNIFFSYGMAYGGGGFAISYPLAKALHKMQDRCIQRYPGLYGSDDRMHACMAELGVPLTKEIGFHQYDVYGNLFGLLAAHPVTPLVSLHHLDVVEPIFPNMTRIEALQQLTIPMKLDSAGLMQQSICYDKSKSWTISVSWGFAVQIFRGVFSPREMEMPSRTFLNWYKRADYTAYAFNTRPVSRNPCQKPFVFYFTKAKFNSTVQEIVSEYDRHRVPHPECRWKMDDPSSFDKVVVYKKPDHHLWDRAPRRNCCRVMNSNKKGTMVIDVGMCKEGEVSET >OIW19830 pep supercontig:LupAngTanjil_v1.0:Scaffold_5_183:18232:20631:1 gene:TanjilG_24543 transcript:OIW19830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVLLFLLALMVVLPSLSVVESRKAPVLNSFQYCAVSCRAYGASLTDFGGVGDGTTLNTNVFQTAIDHLSQYSTNGGSQLYVPPGKWLTGSFNLTSHFTLFLHKDAVILASQDENDWPVIDPLPSYGRGRDTQGGRFSSLIFGTNLTDVVITGDNGTLDGQGDLWWQKFHNGELKYTRPYLIEIMYSTNVQISNLVLVNSPSWNVHPVYSSNVIVQGITILAPVHSPNTDGINPDSCTNTRIEDCYIVSGDDCVAVKSGWDEYGIAYGMPTKQLVIRRLTCISPTSAVIALGSEMSGGIQDVRAEDIVAINTESGVRIKTAVGRGGYVKDIYVRRMTMKTMKWAFWMTGNYGSHADNNFDPNALPLIQNINYRDMVAENVTIAATLEGLSNAPFTGICISNVTIELAKKAKKVPWTCTDVAGISSGVSPVPCDLLQDQGPEKIGSCTFPEYPLPIDDVEVQTCTYYRNF >OIW19831 pep supercontig:LupAngTanjil_v1.0:Scaffold_5_183:21542:24595:-1 gene:TanjilG_24544 transcript:OIW19831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKESSSAASASGEAKIKRVLTHGGKYAQYNVYGHLFEVSSKYMPIRPIGRGACGTVCAAVNSETHEQVAIKKIGNAFDNIVDAKRTLREVKLLRHMDHENIIAIKDIIRPPKKETFNDVYIVYELMDTDLHHIIHSDQPLTDDHCQYFLYQLLRGLKYVHSANVLHRDLKPSNLLMNANCDLKIGDFGLARTTSETDFMTEYVVTRLYRAPELLLNCSEYTSAIDVWSVGCILGEIMTREPLFPGKDYVHQLRLITELVGSPDDASLGFLRSDNARRYFRQLPQYRKQTFSARFPNVPPEALDLLEKMLIFDPNKRITVDEALCHPYLSSLHKINAEPICPRPFTFDFDQPTCTEENIKELIWKESVKFNPDPPSQ >OIW19833 pep supercontig:LupAngTanjil_v1.0:Scaffold_5_183:27830:29566:-1 gene:TanjilG_24546 transcript:OIW19833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIISEKNRKEISKYLFQEGVLYAKKDFNLAKHPEIDVPNLQVIKLMQSFKSKEYVRETFAWMHYYWFLTNDGIEFLRTYLNLPSEIVPATLKKQAKPLGRPFGGPPGDRPRGPPRFEGGERRFGGDRDSYRRGPRGPDEVGGDKGGAPADYRPSFGGPGGRSGFGRGSGAPTSSNLS >OIW19834 pep supercontig:LupAngTanjil_v1.0:Scaffold_5_183:39477:41946:-1 gene:TanjilG_24547 transcript:OIW19834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHHSCCNQQKVKRGLWSPEEDEKLIRYIATHGYGCWSEVPEKAGLQRCGKSCRLRWINYLRPDIRRGRFTHEEEKLIISLHGVVGNRWAHIASHLPGRTDNEIKNYWNSWIKKKIRKPWVSSNSNIAKGVDHHSLFNYHSNQLDHYANYKQDNLTTKPPPVQETLFSSTCPQFMFDTSSLDHGTTTLTDNNLRPELFQGSVGLSTETWNLSHNHQVHAPFTAGMDNTNYLPPLIENVENMVPFEVQSCSMNDKGEDALECLQRQELNEWVEIQQQQCPNFLFCWDNVEGQHSGEELAPNLSNMGTNTLPSFP >OIW19832 pep supercontig:LupAngTanjil_v1.0:Scaffold_5_183:26392:26571:1 gene:TanjilG_24545 transcript:OIW19832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHVTFFLSSIFSLEMKQRKIDILHQNKILKLVEMNLVMTYIDMFFDTVENKKGLQQIL >OIW19835 pep supercontig:LupAngTanjil_v1.0:Scaffold_5_184:1244:3888:1 gene:TanjilG_24548 transcript:OIW19835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPATTENNQGSFLGRISIRRNQVMSMDHDSELEDLELFQKHVSDRFSDLLSSTIEDPSYEPLLSIAWLRKLLDEFLCCEAEFKAVLLMGRDPSQISKPPLDKLIPEFLERTVKALDLCNAVTLGIDAVKNLQRLAEIAVSSLEEAQIGDGQVRRAKKALSSLVTAMLHEDKDGGNAKGTERNRSFGRRGANNSAANNKGNFRSLSWNMARNWSAAKQIHAMSSNLYAPRGGESTGLAMPVYIMSSVLVFVMWTLVAAIPCQERNGLGTHSPFPRQLAWAQPMIGLQEKIAEEWKKKEKKGSVGLLEEMQKMDKVGQSLIDFAESFQFPAEAERLEEVKGYVEELAEICRKMEEGLEPLQQQIREVFHRVVRSRAEFLLVLEQAGKLSAPPM >OIW19836 pep supercontig:LupAngTanjil_v1.0:Scaffold_5_185:7847:12416:1 gene:TanjilG_24549 transcript:OIW19836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSGSFNSSKYFILSFHHFTAIIFHNKFFVLCVSCETVCKFPMSAISNSLSLKHGLSLRCPQTTHLLRYRNSSTSINFRTFQKRCYAAFANENREYVIVGGGNAAGYAARTFVEHGLADGRLCIVTKEAYAPYERPALTKAYLFPHDKKPARLPGFHTCVGSGGERQTPEWYQEKGIEMLYEDPVKNIDIEKQTLTTNSGKLLKYGSLIIATGCTASRFPEKIGGNLSGVHYIRDVADANALISSLEKAKKVVVVGGGYIGMEIAAAAVAWKLDTTIIFPDDRLLQRLFTPSLARRYEELYQKNGVKILKGVSIKNLEAGTNGHVAAVKLGDGSIVEADTVIVGIGSKPAVSPFEKVGLNTDVGGIQVDGQFRTSIPGIFAVGDVAAFPLKIYNRTARVEHVDHARRSAQHCVKALLSAQTHNYDYLPYFYSRVFEYEGSPRKVWWQFFGDNVGETVEIGNFDPKIATFWIESGKLKGVLLESGTPEEFQLLPKLARNQPAIDKAKLQNASSVEEALDIAQASFFVTSDYQLSSE >OIW19837 pep supercontig:LupAngTanjil_v1.0:Scaffold_5_185:17085:18566:1 gene:TanjilG_24550 transcript:OIW19837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKVVLKLDLHDDKEKQKAMKMVCSLSGIDSIAMDMKEKKLTIVGDIDLILLVDKLRKAWHTEILTVGPAKEPEKKKEEGKKDDGKKDDDKKKKEEEEKKKEEQIAEFFKFYRGYNPQITPCYYVQSVEENPNACAIC >OIW19838 pep supercontig:LupAngTanjil_v1.0:Scaffold_5_186:17614:20731:-1 gene:TanjilG_24551 transcript:OIW19838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQPQLLSKIAMGNGHGEDSPYFDGWKAYDENPYHPTMNPNGVIQMGLAENQLTSDLVEDWIMSNPEASICTLEGVHNFKAMANFQDYHGLSEFRNAVAKFMSRTRGNRVTFDPDRIVMSGGATGAHEVTAFCLADPGDAFLVPTPYYAGFDRDLRWRTGAQLVPVICKSSNNFKLTKQALEEAYEKAKEDNIRVKGLLITNPSNPLGTTMDRTTLRTLVSFINEKRIHLVCDEIYAATIFTHPDFISIAEIIEQDTDIECDRDLIHIVYSLSKDMGFPGFRVGIIYSYNDEVVQCARKMSSFGLVSTQTQYLLASMLSDDEFVERFLTESAKRLEQRHRVFTMGLARVGIKCLQSNGGLFVWMDLHHLLKSPTFEAEMELWKVIIHEVKINVSPGSSFHSSEPGWFRVCYANMDDKAVQVSLQRIRAFVLQNKDLMVSEKKRCWHSDLRLSFKSRRFDDIMMSPHSPIPQSPLVKAST >OIW19839 pep supercontig:LupAngTanjil_v1.0:Scaffold_5_189:1421:5773:1 gene:TanjilG_24552 transcript:OIW19839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPETPVCYVGVARKSAAFKLMKQMGWEEGEGLGKEKQGIKGYVRVQNKQDTIGIGLEKPNQWAFDTTQFDNILKRLKVQAPQANETDDEEKVETKTSVPVAVKNEDSVPKSTRPQGRYKRRERGKLVSGYSSKDLEGILAIKGEISNGNDNSDKEMGLLETSEVQILEDEESKCPDIHPDWWGIKFGFVSGGLLGAERKKKKSMTSEIAKNGKERTAFFEQDQEDLYNLVQDKATTGKQGLGIKDRTKKVAGCYFQGKKTSFNDSDDEDSDDINSQEEETDDDDLMEVEKIVEPKIKLKKLCKQILRKVPGESLKLKQLKVLIDEHSSSVFSSFSSKREAIAYLKQKLTGNRKFCIEGKIVRLASK >OIW19840 pep supercontig:LupAngTanjil_v1.0:Scaffold_5_197:11215:12258:-1 gene:TanjilG_24827 transcript:OIW19840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENNALLRLLVILLSLYCVVYVAAVPATRSSMIRKMVPSSVQDHIPKENLAVGLKNNDEEFDMEEGVIENRMMLDVADYPGTRANPAHHPKAPGKH >OIW19841 pep supercontig:LupAngTanjil_v1.0:Scaffold_5_199:79826:82559:1 gene:TanjilG_24828 transcript:OIW19841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHQVQQDPNRNGSEKSEPVRSRWTPKPEQILILESIFNSGMVNPPKDETVRIRKLLEKFGTVGDANVFYWFQNRRSRSRRCQRQMQASLEQRNQMVQPPQVGVGAIPYHHANTSPTITATAATATTNAIGSSSSFTDSSSSCCGILGGQENIDSFFSISPQMGFSEIDYSSAATSVFYPSPVASNWSNQSGFGGTNISGFITVFINGIATEVPREPLDIKRVFGEDVMLVHSSGIPLPTNEFGFLMQSLHHGDSYFLLCLVICWWRLQILEITYSLVSVTLSTFNSSFSLGFKANTWYELITLAAIEASVTCFFNCLFCS >OIW19785 pep supercontig:LupAngTanjil_v1.0:Scaffold_5_21:38018:39643:1 gene:TanjilG_24431 transcript:OIW19785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRRYAFGRVDEVTHPDSVRATVAEFISTFIFVFAGEGSILALDKIYKIRGSSASELVVIALAHAFGLFAAISSSMHVSGGHVNPAVTFGALLGGRISVLRAVYYWIAQLLGSIVAALLLRLGTNNMRPESFHVSVGLGAAHGLVLEIAMTFGLMYIVYATAIDPKRGSIGSLAPLAIGLLVGANILLGGPFDGACMNPARAFGPALVGWRWKYHWVFWVGPLIGAAFAALIYEYVMVPTEPPHTHQPLATEDY >OIW19784 pep supercontig:LupAngTanjil_v1.0:Scaffold_5_21:32764:33525:-1 gene:TanjilG_24430 transcript:OIW19784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQRQKIHPEELEAHPPPTAPLVPPNSSSSEKGTPMQVQHIAPSLQHHAIPAIYPTPKRRSCFCKCICWTLSLFVLIIIVLAATVGTLYLVFKPKLPNYSVETLRISDLGLNFDMSLYAKFDVKITANNPNKKIGIYYEKGGKLSVWYTNTRLCEGSIPKFYQGHQNKTVLNVSLNGQVQSGRTLMAALQQQQQTGQVPLDLKVHAPVAIKLGSLKLMKVKVLGECKLVVDSLSSNNLISIKASSCKFRMKL >OIW19783 pep supercontig:LupAngTanjil_v1.0:Scaffold_5_21:22556:28478:1 gene:TanjilG_24429 transcript:OIW19783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCLVSTAKDSGGNRRTPGSIGEVSVYVPGLRIPKPVDFAQSLGDCLSKSIVERLSALRTRIVVMAAQEGPTITRTKRKSATQHGGSTLADLQQALEDYLPVLLGLVKDGSHLQYKVQFVWVNQEDDAEETAMFNAWYEVLSVLHLMAMLLLLQANLLLLPRTSTDGYQPKVSDESRRASVDIFLKAAGYLDCAVRHVLPQLSAELRRNLPVDLAEGVLRALCLQALGQGVGIQLGMAIDSAKATLAVKRRLACEMVKYWQQAQDNIMNLSFANGWGEKHCLYVKWEYVEAKKMIYQAAAYYYHGMILDEGNTEKSHGMAVAALQAADEYFKESKKLCEAFNAATPLSRSPPLWGTMKYLSEKIPKDTSSKVRINRDLYTYERIMETAPTLPDFTLALKPDEYQLPSADTSWRSENMNGVQTDSNHVRVTNDKT >OIW19842 pep supercontig:LupAngTanjil_v1.0:Scaffold_5_224:6098:8471:1 gene:TanjilG_24829 transcript:OIW19842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLWFPILNNKDKWVKIIDFPVLNIAVTVNEVEALYVLFKRLSSSIIDDGMIHKEELQLALFQTPNGENLFLDRVFDIFDEKRNGVIEFDEFVHALSVFHPYAPIDEKTDFAFKLYDLRQTGFIEREEVKQMVIAILTESDMNLSDDLLEAIVDKTITDADKDNDGKISKEDWKAFVSINPSLLKNMTLPYLKDISIAFPSFLFKSEAEM >OIW19843 pep supercontig:LupAngTanjil_v1.0:Scaffold_5_224:14868:15293:1 gene:TanjilG_24830 transcript:OIW19843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYINALVGEVICRAQPGSDIGSRLHLSVVMSYGNVLEGDDLKYSVSKKEDEKNSYLSALLPLQSYSIKGGIHRKDVRHHSPMLMAQQQHAVTHKDNAERFCFEDFKEACF >OIW19844 pep supercontig:LupAngTanjil_v1.0:Scaffold_5_229:3055:3834:-1 gene:TanjilG_24831 transcript:OIW19844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTISGNCVSSKQISLSKAAKILSKFVSADNGASQVTNAYLHRASTAFNELKQLHKELKSPHPQKKHKRHRTEETHDDNRKMVEKPVDSFKINQELNHGRLFGSENADEDGEKSTQTVAKFSQEVNGSTGYDAENGIGSEKHKKNKRKKHDGDNRVKFEEGIVEGKLNTHIQNETEIGRVKSQEGDSNMGTEEGKKQKKEKKKKNDKEGKGKLPISVENETEPGRGQRSGGDGNIGMEEGKRPKKEKKEGTGSLSKKKM >OIW19782 pep supercontig:LupAngTanjil_v1.0:Scaffold_5_4:18611:19423:-1 gene:TanjilG_24428 transcript:OIW19782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVNPIEDIVVTKPATVASLERKPRPQKEQALNCPRCHSTNTKFCYYNNYNLTQPRYFCKTCRRYWTEGGTLRNIPVGGGSRKNKRSSSNSSCSSSSPHKKVSDLLITPQNPNVHDGQDLNLSFRNIPELVQQNNNESISASVSPTSTATTSQLSALELLTGITSDSRGLMSSFMPMNASVPGDPNSVYNCGFHLQDFKPSLSFSLDGIGNLHGSVQETSGRLLFPFEDLKQVASTNTTIMDHNNNNSKDQQHEYSNGGYWNGMFGRGSW >OIW19786 pep supercontig:LupAngTanjil_v1.0:Scaffold_5_44:18264:21905:1 gene:TanjilG_24432 transcript:OIW19786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANIVKSHRFGGNDLNPLTLSDELILEPIYSTHVHSVTNVDSLKDSLDKVYLNHINGDGHCDRKILYDLVSNIVFESCPQIPITNFKPEFSTLKLISSQMIITRSAANCVHQTTMWILQLLKNYSWDAKVLITLASFSLEYGIFQHLTRVPIKDPVGVSLKLLNQVQSRKVSDDITELVKLSVSVFQHIGKWATWSSNGYYDPENVPSLTEAFKEIPVVVYWTIATLVASTSNLIGFSLGDYKLSEFKYRVSVSAIRESDGIDITLKWKWLWDVLKKVIPGLKIKEDRYIIIYGGSNNKWIQDFTIELDSFKRDYDIKRAEIIIDHYQLGKDDPKKVPSFWIGVEWNKQNKLRHKEIVDCEIQGIANSLFCLKRDPQGWVILSKGSNIKLLGHGEAMYQTVREFQNWKNNVIDEEGLFDIAFKEYYDTKVKEISILEPCSVNFDNYASSVIATITCPNPTCARVMEVTSVNCKCYIQDAPNNYGY >OIW19787 pep supercontig:LupAngTanjil_v1.0:Scaffold_5_45:4672:5859:1 gene:TanjilG_24433 transcript:OIW19787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNIIVQPTIFREYIGSNEYSHHHGFPSHIINEEVTEFHFILAFAKENYEETLTTKVGDGNFSRHWKISSFSAERIHKLKKENPNVKVIISIGGRGSNFPFNTNDSMIWVHNAFTSIKNIIDDYNHNSDIRIIDGIDVNYEEIHNDENFVKCLGLLIKLLKLKGLITIASIAPSASVHTQYKKLYHHYKYCIDWVNYQFYGQTLSNKRDFLNLYIALSKDYPSHRKDYPSILLAGFSTDPSDAGTISQDTFLDGCHQLFKDNLLPGIFVFGADYSKVKGLSIVYFAMFY >OIW19788 pep supercontig:LupAngTanjil_v1.0:Scaffold_5_45:7145:9118:-1 gene:TanjilG_24434 transcript:OIW19788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKYEKLEKVGEGTYGKVYKAKEKATGQVVALKKTRLEMDEEGIPPTALREVSLLQLLSQSIYIVRLLAVEHIDKVPKAAAPSSQPKPLLYLVFEYLDTDLKKFIDSHRKGPNPRPLPPSLIQSFLFQLCKGVSHCHSHGVLHRDLKPQNLLLDQQKGILKIADLGLGRAFTVPLKSYTHEIVTLWYRAPEVLLGSSHYSTGVDMWSVGCIFAEMARRQALFPGDSEFQQLLNIFKILGTPTEEQWPGVTSLRDWHVYPRWEPQNLARAVPSLGPDGVDLLTKMLKYNPAERISAKAALDHPYFDGLDKCQF >OIW19789 pep supercontig:LupAngTanjil_v1.0:Scaffold_5_53:7911:8637:-1 gene:TanjilG_24435 transcript:OIW19789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNSGWTTKQNKKFENALAIYDKDTPDRWQKLARAVGGKTVEEVKRHYEILVEDLKKIEEGHVPLPNYRNVTIVGGSNKGCNYMDAEKRMKALRLQ >OIW19790 pep supercontig:LupAngTanjil_v1.0:Scaffold_5_59:25499:26930:1 gene:TanjilG_24445 transcript:OIW19790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLKSKKGWKSMLPLHFKGKSSTRFSLFHKVNSASYGPAKTPVYLNVYDLTPMNGYMYWSGLGIFHSGVEVHGVEYAFGAHDYSTSGVFEVEPRQCPGFKFRKSIFIGTTSLDAVQVREFMECQAASYNGDTYHLIVKNCNHFCKDICHKLTGKSIPTWVNRLAKLGIFSTSHYFIQIVVDFCIALEQEE >OIW19791 pep supercontig:LupAngTanjil_v1.0:Scaffold_5_87:17645:20281:1 gene:TanjilG_24469 transcript:OIW19791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLETMESSVNGGFSQSQFNSCGDSSEEELSVLPRHTKVVVTGNNRTKSVLVGLQGVVKKAVGLGGWHWLVLTNGIEVKLQRNALSVIEPPNGNEGDDDLEFENVPWNGSDMAWDDTQKSHKSRPRMHRSLASSQRTLSRSFSADSQSKGSITMPHGWMKVDLSKLEMAALWRYWRHFNLVDAVPNPSKEQLVDVVQRHFMSQQMDELQVIMGFVQAAKRHKTMCK >OIW19793 pep supercontig:LupAngTanjil_v1.0:Scaffold_5_90:27968:29730:-1 gene:TanjilG_24471 transcript:OIW19793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDALGPLNSDSRLKHRPLTYVRFLMGVICFVMFLSTAFICLVYLAPLAVVVLPLLSKRCSRKAVSFFFGIWLSMWPYLFEKINKTKVVFSGDRIPMKERVLLIANHKTEVDWMFLWDLALRKGRLGCIKYILKRSLMKLPIFGWGFHILEFIPVERKWEVDEQILYHKLSTFKDPQDPLWLALFPEGTDYTEKKSEASKKFAAEVGLPVLTDVLLPRTKGFYACLLALRGSLDAVYDMTIAYKNHQCPSFRDIVFGIDPSEVHLHVRRIPMEEIPVSETKASSWLVDTFQKKDQLLSDFKIQGHFPNQAFLVHILLLRRASIYN >OIW19792 pep supercontig:LupAngTanjil_v1.0:Scaffold_5_90:16524:25818:1 gene:TanjilG_24470 transcript:OIW19792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELMVRCMLSRFCFKLRFAVVVFEVVLILALLEANNAKPQEHQLQWGGLEGRVENVASHSCIHDQILEQRKRPGHKVYSVTPQVYEPGRSKPLRHKGRALLGLSMSPALQKDVKQPIRIFLNYDAVGHSPDRDCRKVGHIVKLGEPSVTSLHGFPSCNSHADPPILGDCWYNCTFDDISGEDKKHRLRKALGQTADWFRRALAVEPVKGNLRLSGYSACGQDGGVQLPREYVEEGVSGADLVLLVTTRPTTGNTLAWAVACERDQWGRAIAGHVNVAPRHLTAEAETLLSATLIHEVMHVLGFDPHAFAHFRDDRKRRRNQVTEQVMDENIGRMVTRVVLPRVVMHSRYHYAAFSGNFTGLELEDGGGRGTSGSHWEKRLLMNEIMTGSVDTRSVVSKMTLALLEDSGWYKANYSMADRLDWGRNQGTEFVTSPCNLWKGAYRCNTTQSSGCTYNREAEGYCPIVTYSGDLPRWARYFPQANKGGQSSLADYCTYFVAYSDGSCTDTNGARPPDRMLGEVRGGNSRCMASSLVRTGFVRGSVAQSNGCYQHRCINNSLEVAVDGIWKVCPRASGSIQFPGFNGELICPAYHELCNADPAPVSGQCPNGCNFNGDCVEGKCYCFLGFHGHDCSRRSCSNNCSDNGRCLSNGICECKAGYTGIDCSTAVCDEQCSLHGGVCDNGVCEFRCSDYAGYTCQNSSMLLSSLSVCKNVLGNDISGQHCAPSEPSMLQQLEEVVVMPNYHRLFPGGARKLFNIFGSSYCDEAAKRLACWISIQKCDKDGDNRLRVCHSACQSYNLACGASLDCGDQTLFSSEGEDEGQCTGSGEIKLSWFNRLRTRFYLRNSSSSGISGSNTLGFRDCLLIQHCLEKMDE >OIW19794 pep supercontig:LupAngTanjil_v1.0:Scaffold_5_99:8853:10696:-1 gene:TanjilG_24493 transcript:OIW19794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLLLDNSEGLLLSLDSHKSVPAPFLTKTYQLVDDPNTNHIVSWGENDTTFVVWRPPEFARDVLSNYFKHNNFSSFVRQLNTYGFRKIVPDRWEFANEFFKKGEKHLLCEIHRRKTAQPQQVTMNHNHHLSSPLATNNVPSFFPFHDRVSISPPTDSNELPIWCDSPPLTSPTSERSNYNTSVTALSEDNERLRKSNNVLLSELAHMKKLYNDIIYFVQNHVKHVPPNNNTYYPSAPIMMQRQMNKQVKGCYYDYYSTNPRQNSPSNTSRSSITIFEKPGGNSSCKTKLCGVSLQSKKRVHPEYGSNNVIDYSEINYKTRLVLEKDDELSLKLMLPNPSC >OIW19795 pep supercontig:LupAngTanjil_v1.0:Scaffold_5_99:48763:53910:-1 gene:TanjilG_24494 transcript:OIW19795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGQRSTVGSLPETLEFDCGSTSSNTTVNPPIFWNNMQNPAENHIPEYILSPSEMNSSYVNYINQDWQNLSGWSLGEPSSSNTLNEVNNNEPKRELGWSSSLSASAIGGPILERCFRPANALSLDNVITSYMPMHSSNSHLVSQSLNLNASLIDSGSGNSRHVEHPNLPKSSGPVNEHIPPITSSASFTLPSGSNGFLVEDNDGRPGCSRDTRRVSGKRKAVERDFGQSLDIGSCSHSQNRDGSAWNTFPTQNYAGSNFSRPASVELVNARIGLSMGNVASESVPDTNVAGGSDSLLRNCRLRINPPSQQNSIAPTAFSSGSVVRNTGISSSTSMLQRVHRHDSSLDLRSVPPVDTMIPQSQPHIVHAPALPRNAQSFRWSSASSSTNNLSSNSLMNANRDNLPHEEGSSRSMPRNISEQPVFVPPTNLRNLVRNPAIRASSSSNLSIPGNVASSRPGSNSAINPSSASPWVSRPNPHQHYPRRLAEYVRRPLFSSGSAAAGSPINSYSSLRGPATSYMLSSGVVHPGSASLLERPSDNEHAIPPSLRPLGVAGEGSGRIVSELRNVLGLMRRGGSLRLEELLALEERIGNVSTGLNEETILKHLKQKKYSVEKGSQHEAEPCCICQEEYKDGDDMGSLDCGHDFHADCVKQWLTHKNICPICKTAGLAT >OIW21992 pep supercontig:LupAngTanjil_v1.0:Scaffold_605:45228:46278:-1 gene:TanjilG_27442 transcript:OIW21992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSLTILVLLLFLHILAFVLAIGAERRRSEAKVVPDEYDNRTYCLYTTDASTVYGLSAFVLLLLSQAVVNAVTRCLCCGKGLVSGCSSTCAVLLFVISWISFLGAEACLLAGSARNAYHTKYTGDYLKHDLYSCATLRKGVFAAAAALTLFSMLASILYYWAHSRADTGGWVKHRDEGLGLATQHHHHQGPEFDKA >OIW21990 pep supercontig:LupAngTanjil_v1.0:Scaffold_605:25380:27643:-1 gene:TanjilG_27440 transcript:OIW21990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFHLLSLFLLLLLLLCCNSLAPVVNSLNAEAYVLLTLKQSLTDPQGSMNNWNVSDQNPCSWNGITCKDQSVVSISIPKRKLYGSLPSSLGSLSQLRHVNFRNNKLYGTLPPELFQAQGLQSLVLYGNSFSGSVPNEIHNLRYLQTLDLSQNFFNGSLPATIVQCKRLTTLVLSVNNFTGPLPDAFGTGFLSLQKLDLSFNQFNGSIPSDMGNLSSLQGTVDLSHNHFSGLIPSTLGNLPEKVYIDLTYNNLNGPIPQNGALMNRGPTAFIGNPGLCGPPLKNPCDSDIPGANLTPPIPFLPDSNPSQDIGNGYGKSKGLTKGAVVGIVVGDIIGICLLGLLFSFCYSRVYGFNQDQDENVVNKGTKGRIECFCFRKDESEALSDNVEQYDIVALDNQVAFDLDELLKASAFVIGKSGIGIMYKVVLEDGLALAVRRLGEGGSQRFKEFQTEVEAIGKLRHPNIATLRAYYWSVDEKLLIYDYISNGNLATAIHGNAGLATSTPLSWLYRLKIMKGTAKGLAYLHEFSPKKYVHGDLKPSNVLIGHNMEPHISDFGLGRLAIIAGGSQTLESNHVAAEKPQEIRQNSMSTEVTPNVFATGYQAPEAMKVVKPSQKWDVYSYGVILLEMITGRLPIVQVGNSEMDLVQWIEFCIEGKKPLSDVLDPYLAEDADKEDEIIAVLKIAMACVHSIPEKRPTMRHVLDALNRLSISSD >OIW21989 pep supercontig:LupAngTanjil_v1.0:Scaffold_605:12460:17989:1 gene:TanjilG_27439 transcript:OIW21989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHTCPSTTKAKSIRGNESNDAVFSVFPFFPYMATIQSDLLLSAFYKHASSTFRSFPRATACRLLSPTPRPPLRLRAFSTGAADSTVVKVSDKPSVCTADELHYVSVNNSDWRVALWRYHPCPKAPPRNHPLLLLSGVGTNAIGYDLSPGSSFARYMSGQGFETWILEVRGAGLSLRGSNSKDIEQSANVISEKMEALSENATTVQGSNSKDVEQCAHAISEKMESASESATNGVVASKKELNSVSCAESEISAAHGVEDENVYVKGDLIGLASVWDESKLVTRLTETFLRLSERVSGFLSENQSKVMSANLLDRISKLLAGSPLYEQFNDIRGKLSTLLETRENSSITSQITELSQKLVNIIEEAQLSIVTPPLFDLQTRFSSTVEDFQKQLELMVNYDWDFDHYLEEDVPAAIEYIMKESKPKDGKLLAIGHSMGGILLYSMLSRFGFDGKEPRLAAVVTLASSLDYRSSNSYLKLLVPLADPAQALNVPVVPLGALLAAAHPLSSRPPYVLSWLNSLISAEDMMDPDLLKRLVLNNFCTIPAKLLLQLTTAFREGGLCNRDGTFFYKDHIHKINVPILAIAGDQDLICPPEAVEETAKLIPEQLVTYKVFGEPGGPHYAHYDLVGGRLAVEQVYPCIIDFLTCHDK >OIW21991 pep supercontig:LupAngTanjil_v1.0:Scaffold_605:31653:34867:-1 gene:TanjilG_27441 transcript:OIW21991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSRSYSNLLELTSCGSPSFGREKKRLPRVATVAGVLSELDDEASNSAGSDAPSSISQDRMIIVGNQLPLKAHRKDDGTWDFTWDEDSLLLQLKDGLGDDVETIYIGCLKEEIEPSEQDDVAQYLLDTFKCVPTFLPPELFTKFYHGFCKQHLWPLFHYMLPLSPDLGGRFDRSLWQAYVSVNKIFADKVMEVISPDDDFVWVHDYHLMVLPTFLRKRFNRVRLGFFLHSPFPSSEIYRTLPIRDELLKALLNSDLIGFHTFDYARHFLSCCSRMLGLSYQSKRGYIGLEYYGRTVSIKILPVGIHIGQIQSVMNLPETESKVAELQNRFRDQTVMLGVDDMDIFKGISLKLLAMEQLLLLHPEKRGKVVLVQIANPARGRGKDVQEVQSETYATANRINETFGRPGYTPVVLIDTLKSYERIAYYVIAECCLVTAVRDGMNLIPYEYVICRQGSEKIDEILGISPFTQKKSMLVVSEFIGCSPSLSGAIRVNPWNTDAVAEAMDSALVVPESEKQMRHEKHYRYVSTHDVAYWARSFLQDLERACRDHLRRRCWGIGFGLGFRVIALDPNFRKLSVDHIVSAYKRTKHRAILLDYDGTMIQPGSISNTPNAEAVGILNSLCSDPKNCVFIVSGKERKTLTEWFPSCERLGIAAEHGYFVRTNQNAEWETCFSVPDFEWKQIAEPVMQLYMETTDGSNIEAKESALVWNYEFADRDFGSCQAKELLDHLESVLANEPVSVKSGPHIVEVKPQGVSKGIVAERLLSTMQQKGVVPDFVLCIGDDRSDEDMFEVIASARASLSPVADVFPCTVGQKPSKAKYYLDDTGDIWRMLQGLADASDSLLDILPCGLVFIKHFDL >OIW21988 pep supercontig:LupAngTanjil_v1.0:Scaffold_605:1807:4159:-1 gene:TanjilG_27438 transcript:OIW21988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEIQLGSHTIRSHGVRVARTHMHDWLILLLLVIIDAVLNIIEPFHRYVGEGMMTDLSYPLKDNTIPFWAVPIIAILLPLVIILVYYFIRKDVYDFHHAILGLLFSVLITAVITDAIKDGVGRPRPDFFWRCFPDGKAVFEPLTRDVRCTGDKSVIKEGHKSFPSGHTSWSFAGLVFLAWYLSGKVRVFDRRGHVAKLCLVFLPLLVAALIAVSRVDDYWHHWQDVFAGGLIGTTVASFCYLQFFPPPYDMDGWGPHAYFQMLAESRSSAQPSTVNNDIFQVQSAELQTVSLHIPHQHNGYTQGNS >OIW21000 pep supercontig:LupAngTanjil_v1.0:Scaffold_60_101:3510:8062:1 gene:TanjilG_27345 transcript:OIW21000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLFKDLSKLSAYRDRRFPGNQEEFEYALLTSTTVYVGNMSFYTTEEQVYELFSRAGEIKKIIMGLDKNTKTPCGFCFILYYSREDTEDACKYISGTILDDRPIRVDFDWGFQEGRQWGRGRSGGQKELEVQRQLVDYGAGSLDSFPQVIPNSYGRQGGGNGHGGSYRRGRDYHRKRRRDDDRRHTQEFSKRTSDHDSRRNSDNESRPEKNPRFRERGDSDDDEDDDRKRRA >OIW20999 pep supercontig:LupAngTanjil_v1.0:Scaffold_60_101:2204:2746:-1 gene:TanjilG_27344 transcript:OIW20999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVAGAAAVRTVIRSCSTRCAAFHLGSEAKSAHSPFRMASNKPLSQSMPRRRVESSFCVESMLPYHTATASALVTSMLSVSRGRYGWLLEGIISAAIALQCVIC >OIW21001 pep supercontig:LupAngTanjil_v1.0:Scaffold_60_102:18768:22134:1 gene:TanjilG_27346 transcript:OIW21001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFHLFLLLLLFLSPPHPSLSLTQDGLILLHYPNLHLPDWNPLDSTPCNWTGVTCHPTTSAVTALNLSNLQLSGPFPTSICLLPSLSSLSLSFNNLNSSLPSSTFSSGCARLRHLDFSQNILTGPIPNTLSSLPNLIYLDLSFNNFSGEIPASFSHFRRLESLILVNNILNGTIPFSLGNVSTLKTLDLAYNHFLTGTIPKSLGNLTNLEGLWLAGCDLVGLIPSSLGNLNRLQNLDLSRNMLTGAIPETLFLGLKSIIQIELYENFLSGELPEMMNVTELERFDASVNGLSGKIPSELCKLKKLESLNLYDNKLQGSLPECIAGFENLYELKLFNNTLKGTLPSDLGNNSMFQTLDVSYNHFSGEIPANLCGGGTLQELMMIYNSFSGRIPESLGNCKSLIRVRLRNNNLSGTVPEALWGLPHLYLLELVENSLSGSISNAISGAKNLSILLMSRNMFSGSIPDSIGLLESLVEFVASHNSLTGSIPISMVKLSQLDRLVLSDNELSGEISEGIGEWRKLNELDLANNKFEGNIPKELGNLPVLNYLDLSGNHFSGEIPFELQNLKLDLLNLSNNQLSGDIPPLYANENYRKSFLGNQGLCGGLSGLCPRPTFGENKNTKYVWIFRFIFVLAGVVFVVGVAWFYFKFKNFKKMKKRFNMSKWRSFHKHGFSEFEIVKLLSEDNVIGSGASGKVYKVVLSNGEVVAVKKLWAVNKVETESVDSEKDGFEAEVETLGNIRHKNIVRLWCCCKSGDNNKLLVYEYMSNGSLGDFLHSSKKCLLDWPTRYKIAIDAAEGLSYLHHDCVPPIVHRDVKSNNILLDSEFGAKVADFGVAKFFRGVSQGAESMSVIAGSYGYIAPEYAYTLRVNEKSDIYSFGVVILELITGRPPLDPENEDKDLVNWVTSTLDQKGEDQVIDRTLEIKHREEISKVLSVGLICTSSLPITRPSMRRVVKMLQEITSVPKSRSGRFSPYYQEEASENDHQGNIV >OIW21002 pep supercontig:LupAngTanjil_v1.0:Scaffold_60_103:6054:6488:-1 gene:TanjilG_27347 transcript:OIW21002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKKGFELKYQARSSDELTKIFEAQVRNDNPLKKQDILTSNTQAKRCTPKHERTKISATRPIDNHQSISTSTSIVKPNEKQEQLKHFLATKKSTQSTRYGQMQNKKHDYKKKLVTAENSSHTTGANEMQNRTQDQRMNPFQRDS >OIW21003 pep supercontig:LupAngTanjil_v1.0:Scaffold_60_103:18823:22109:-1 gene:TanjilG_27348 transcript:OIW21003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDGEISHDPEAEVIALSPKTLMATNRFVCEICLKGFQRDQNLQLHRRGHNLPWKLKQRTSKEVRKRVYVCPEKTCVHNHPSRALGDLTGIKKHFCRKHGEKKWKCEKCSKRYAVQSDWKAHSKTCGTREYKCDCGTIFSRRDSFITHRAFCEALAEETARVNAGSNINNSLGGNIGYNLMQTSLLPSMATHISSFLKPISNTEEPNIQTSRALSLWMGQKSQAHETLVNDNLHETHQLGCPISQGGTLYCSQNPFVSCSNPIPSNYQLNWVFDNKFSSNGNQYLTSTTTSLPLGNNIIKDTTNQLVSVPSLYSSQHQSLQTTSTNMSATALLQKAAQIGATSSTESSFLGNFGLKCRNGEVQDVNKFCGMYGSSSLFTSLGSEEGNYAGDLSEMPLTKRRHIQSEESAARGQTRDFLGVGVQTICHPSSINRWI >OIW21008 pep supercontig:LupAngTanjil_v1.0:Scaffold_60_104:52048:54012:-1 gene:TanjilG_27353 transcript:OIW21008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDHTNPQNSNVPKPQNAVVPILLEPKRENSFRMQIDQERKTMEKLLVLLRTFKPIHFKPTKDLNFTHHATLLHRLGLWDFVHVEFDSTFRGDLVAQLIASYAPNLGCGYVNGVRVLVNKAQFGRAMNLTGEVVVDNVLDGMNLIESIGFVEEFMWNWMVLNDDDDVCEVPNVVLRCEKLIKEGLLEKVDWLGLMWSMVEKELKAPRLVDCYYASHLNRLIKTQLEESLVEVEDKSSDVIIGVDDYNVEFSLGQYNVEKVVVENELVEGEQIMDFELNKEESMHWFSDPKSSTEEHILRLCNITDFKGFDCVQNKDEEGEHMQTQEEKNEKEENEHEGHIHAMEAMPFRSRIDLGDKDGEDEYEGHTIPKPISYEIDLSGNSSGEEDAAEDKYEDYIIALEAKPMPFSSRIDLCGNSAAEEEHEGYNYATDTNQMPFSSTIDLSGNSVGEEDAEDEHEGYNYAKEAMPVPFSYGFDLSGSSAEDSICYVDDPQMISRVPSLFGNGQKRKFGLDDHNSHRFMNESNKRLRSENQLNFEPVDFNMCMDQMQHSMGKARLIYQTKCQSCEGSTMNEQILRDEIRKRDNMIEHLQKEKVNENQKIFRLEKELHMMSNLVESYREVIRETHKAFAEYRARCPQADEPFYKDVRRSGGLF >OIW21010 pep supercontig:LupAngTanjil_v1.0:Scaffold_60_104:79113:79397:1 gene:TanjilG_27355 transcript:OIW21010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPILLTNEIDPVPANGSDSQCFKKGATEIGFMREPLSDITNLFDQLSNPQIVVTPPSFASVTVQPNFRNKTASNVVCDSTHRVCSKILRMGFR >OIW21011 pep supercontig:LupAngTanjil_v1.0:Scaffold_60_104:82279:84872:-1 gene:TanjilG_27356 transcript:OIW21011 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BETA1 description:hypothetical protein MAKMRVRLPMLILLLGVVFLLAASIGIAYGEKDFTKNPPKEREEEEHEPRQQPRPRQQEEQEREHRREEKHDGEPSRGRSQSEESQEEEHERRREHHREREQEQQPRPQRRQEEEEEEEEWQPRRQRPQSRREEREEREQEQGSSSGSQRGSGDERRQHRERRVHREEREQEQDSRSDSRRQRNPYHFSSNRFQTYYRNRNGQIRVLERFNQRTNRLENLQNYRIIEFQSKPNTLILPKHSDADFILVVLNGRATITIVNPDKRQVYNLEQGDALRLPAGTTSYILNPDDNQNLRVAKLAIPINNPGKLYDFYPSTTKDQQSYFSGFSKNTLEATFNTRYEEIERVLLGDDELQENEKQRRGQEQSHQDEGVIVRVSKKQIQELRKHAQSSSGEGKPSESGPFNLRSNKPIYSNKFGNFYEITPDINPQFQDLNISLTFTEINEGALLLPHYNSKAIFIVVVDEGEGNYELVGIRDQQRQQDEQEEEYEQGEEEVRRYSDKLSKGDVFIIPAGHPLSINASSNLRLLGFGINANENQRNFLAGSEDNVIKQLDREVKELTFPGSIEDVERLIKNQQQSYFANAQPQQQQQREKEGRRGRRGPISSILNALY >OIW21006 pep supercontig:LupAngTanjil_v1.0:Scaffold_60_104:27066:29207:-1 gene:TanjilG_27351 transcript:OIW21006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTARFIKCVTVGDGAVGKTCMLISYTSNTFPTDYVPTVFDNFSANVVVDGSTVNLGLWDTAGQEDYNRLRPLSYRGADVFLLAFSLLSKASYENISKKWIPELRHYAPTVPIVLVGTKLDLREERQYLIDHPGATPITTAQAIIKSWQGEELKKAIGAAVYLECSSKTQQNVKAVFDAAIKVVLQPPKPKKKRKKTRSCFFH >OIW21004 pep supercontig:LupAngTanjil_v1.0:Scaffold_60_104:2546:6145:-1 gene:TanjilG_27349 transcript:OIW21004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFRNVKVPNVPGGGAASALLKLGIVGGIGLYAASNSLYNVDGGHRAIVFNRLIGVKDKVYPEGTHFVVPWFERPVIYDVRARPHLVESTSGSRDLQMVKIGLRVLTRPLPSQLPTIYRTLGENYNERVLPSIIHETLKAVVAQYNASQLITQREAVSREIRKVLTERAANFNIALDDVSITTLTFGREFTAAIEAKQVAAQEAERAKFVVEKAEQDKRSAVIRAQGEAKSAQLIGQAISNNPAFITLRKIEAAREIAHTISNAANKVYLNSDDLLLNLQEMNLEPSRK >OIW21007 pep supercontig:LupAngTanjil_v1.0:Scaffold_60_104:32332:36289:-1 gene:TanjilG_27352 transcript:OIW21007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKNTSSDTQAMKRRYESWMKQHGRKYKDKEEWEVRFGIYQANVKFIESINSQNYSYKLKDNKFADLTNDEFKSNHLGYRQHRLYSKTRFRYHDHGELPKSIDWRKKGAVTNIKDQGNCGSCWAFSAVAAVEGINQIKSGKLVSLSEQQLIDCDIQNGNLGCQGGDMEIAFTFIKKHGGITTNKDYPYHGSDGTCKKARNHAVNISGYENVPSQNEKMLKAAAANQPVSVAIDAGCYEFQLYSKGIFSRSCGKDLNHGMTIVGYGEENGHKYWLVKNSWASDWGDSGYIKIKRDIGDKDGVCGIAMDASYPIKH >OIW21009 pep supercontig:LupAngTanjil_v1.0:Scaffold_60_104:67217:67960:-1 gene:TanjilG_27354 transcript:OIW21009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYFDEYHDHESIPSFNNDMGHSHFNKNILLIIAIATLSIVFIFVFALYLYTRGFLRRQARSRDAIHHLRLNMAHAHTQAIEPCNKGLDPLLIEALPMFIFKRKGPHQQQREDHDDDECAVCLSALEDEEMVRLLPNCKHNFHVGCIDTWLASHSTCPICRTEAEPWLVPQPREGPNGLILHGAPVAPLLVEPIEGASDGTTIGGSPKINGSNSRLSSFRRILSRERSMKRIQPSSHGDIDQDLERQ >OIW21005 pep supercontig:LupAngTanjil_v1.0:Scaffold_60_104:16517:17209:1 gene:TanjilG_27350 transcript:OIW21005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKYTSINFNHIYDKTGTNNNNTNSNTSNLSKNQSLSSSSSFSSPSYSSVSAPNKHGKILVLTRPTPKPVNPHINSPSPLTQQQQQLNQVQQVSDRTRSEPGPNEISLRPLGRTGTPTGSLVPAHVVNNEKDKEVPLISSKPDKFVPPHLRPGYVPREEGSGQVRPRRQEHVGTLVQYGEDGRPKSGGQERMRRGSRSDSGILGRPGSSGSRPNSSGWYVLAKLIYNVL >OIW21012 pep supercontig:LupAngTanjil_v1.0:Scaffold_60_108:5336:7387:-1 gene:TanjilG_27375 transcript:OIW21012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKASALYSSQENTNTNSSSYSSSLSPSMGMVYADMGTLSLCPNYGVAGSSWGFPFMRECNIGREFEEQRNISDVVMEGKDSDCSDGVGENSEKININEENPNENHVSGKEVDTSGQSKLCARGHWRPAEDSKLKELVALYGPQNWNLIAEKLEGRSGKSCRLRWFNQLDPRINRRAFTEEEEDRLMQAHRIYGNKWAMIARLFPGRTDNAVKNHWHVIMARKYREQSSAYRRRRLNQSVHRRMDEDTTNFVSKDTIATGIEPPPPYCLNLPNNLATFPYASSSFHGGCGGGVEFGLNGSTHMIGERDQAMISSSKQAPPIHFGFYAQHTPIEPLPGARSNDIMGEYISQTKHRDKPYDTEPSQLQPSGFYPHYNTYLMPMQYHQNYNNFYSLTSNSTAEAMLGNELSLSSVAEQHKDNELVLNSDPSSDAIHQPPFIDFLGVGATTT >OIW20991 pep supercontig:LupAngTanjil_v1.0:Scaffold_60_11:19320:25268:-1 gene:TanjilG_27336 transcript:OIW20991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTLLPRIGVSLCTPRLLQPPHSSKKPNKFTSSSGSGGSSLSATCSASKWAERLISDFQFLGETSSDNNHLSHSSTATLSPSLPPPPPLDPPERYLSIPIDFYQILGAETHFLGDGIRRAYEARFSKPPQYAFSDDALISRRQILQAACETLADPASRREYNQGLVDDEDGTVLTQVPFHKVPGALCALQEAGETGLVLQIGQDLLRERLPKSFKQDVVLAMALAYVDISRDAMALSPPDFVAGCEMLERALKLLQEEGASRLAPDLQAQIDETLEEITPRCVLELIALPLGDEHRARREEGLQGVRNILWAVGGGGAAAIAGGFTREDFMNEAFLHMTASEQVDLFVATPSNIPAESFEAYGVALALVAQAFVGKKPHLVQDADNLFQQLQQTKVATMMNATSVYTPKEKREVDFALERGLCTLLVGKLDQCRSWLGLDSESSPYRNPSIIEFIMENAKGDEDSDLPGLCKLLETWLLEVVFPRFRDTKDITLTLGDYYDDPTVLRYLEKLEGVGYSPLAAAAAIAKIGADATAVIGHVRDSVINALKKVFPAGSEDQIVKQLENVDKDHINFSESESPVVLSDQDTSANVEVSGIKNSTDIGGKFITDEIKDASVKITCAGVVIGLVTLAGLKFLPARNDTSILRKMTGSAKASDTINSGSLQEEEFREQLPKMDARVAEALVQKWQNIKSQAFGPDHYLGRLQEVLDGDMLKIWTDRAAEIAERGWSYDYTLEDLNIDSVTISQNGRRAVVEATLKESTHLTAVDHPQHDTSNSRTYTTRYEITFAGSGWKIVEGAVLES >OIW21013 pep supercontig:LupAngTanjil_v1.0:Scaffold_60_115:45533:48034:1 gene:TanjilG_27376 transcript:OIW21013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSPCCEKVGLKKGPWTPEEDQKLMAHIEEYGHGSWRALPAKAGLQRCGKSCRLRWTNYLRPDIKRGKFSLQEEQTIIQLHALLGNRWSAIAAQLPKRTDNEIKNYWNTHLKKRLTKMGIDPTTHKPKIDALGGSGACQTKDVANLSHMAQWESARLEAEARLVRESKLQLGSCSNNTQPTRLVLNKITAQPSLPPCLDVLKAWQSSWLKPHQQITSPTTTKPNNDNKMYQHSMYAMMLCTDHDNLESPTSTLNFPGTTLPISTTTTVAILNENLLPLTTTTKNTPTTMEEVESWRYLNLTKQNNNNTIEEELLGENKIMMESNTLSTLQDDDIMVTMEAFRTSSGYDNIIVPTVLSNTVMEGLSSTNAMVYDSNNDNLGAENEENMGIMNGDGSIYNVNLEENNNHKHYWNSILNLVNDSMSGSSVF >OIW21014 pep supercontig:LupAngTanjil_v1.0:Scaffold_60_115:63411:66551:-1 gene:TanjilG_27377 transcript:OIW21014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPDQRPVRSSRFAATKFGSKTMSLIKFLALFIIAIPLLMILRLTFPNPSSYLKMGFDQERTLNRMSHNGTLIAEHGSADSSNMSKDKFLGGLLAHGHHEGSCLSRYQSSLYRKTSPYKPSSYLISKLRSYEELHKRCGPYTESYNRTLKQLQLGQTQSISSANSECKYVVWVSFSGLGNKILTIASAFLYAILTNRVLLVDPGKEIHDLFCEPFPDNSWLLPNNFPLKSKFDLYEQKSPDSYGNMLKNNILSTSSESLPSFLYLHLAHDYKDHDKLFFCDQHQKSFSEVPWLIMKTDNYFVPSLFLSPSFEPELIRLFPNKSTVFHHLGRYLFHPTNHVWGLITRYYKAYLEKADERIGIQIRVFEIEAGPHKHVMDQILACTLQQKVLPEVDKQKTFIPPPRTSSTKAVLMTSLSFGYFDNLRTIYWEHPTITGDVIGVYQPSHEEYQQTDKKSHNRKAWAEMYLLSLTDVLVTSAWSTFGYVAQGLGGLKPWILYKPENHTTPNPACGRAKSMEPCFHAPPFYDCKRKTGADTGNLVPHVRHCEDMSWGLTLVDTDSNNDL >OIW21015 pep supercontig:LupAngTanjil_v1.0:Scaffold_60_118:12653:13120:1 gene:TanjilG_27378 transcript:OIW21015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPDSEPLQSQPFAAEAEPVETPPPVPLGSESPEPPKAEERDVEEEEEEEKGECGFCLFMKAGGCKDAFIDWENCIEEAEKNKEDIVEKCAKVTAVLKQCMDSHSDYYEPILRAEKAAEKQAVEELEKEKELEAQSEKNAPNGGASGSKSNDEK >OIW21016 pep supercontig:LupAngTanjil_v1.0:Scaffold_60_118:16203:18333:-1 gene:TanjilG_27379 transcript:OIW21016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQIPSRGATKACMKMVGDSYIGSSDEVVIAISFFPLNIIYRRSRVFFLTCLFHVVCAPLYKVTLLDFFLADQFTSQGVALRSFVFYICYYDWGDIQHRQSTCSSSKIFKTITFIVPVIPFWFRFLQWLGRLFEEKDTLQAYNLSKYLLTIVAICLRTSYSLNNGMTWKVLCWVFSICTEMFSIYWDLVIDWGLVQRHSENRSLRDKLIIPHRSVYFAAMVVDALLRFAWLQTILNLNVPFLHKQSIITIAASLEIIRRGIWNFFR >OIW21017 pep supercontig:LupAngTanjil_v1.0:Scaffold_60_126:1112:6742:1 gene:TanjilG_27380 transcript:OIW21017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTIFSACSTSTFLSPTIFHNKGLSFIPKVPPLQLKKPLHAKSLTSLETDISLSPAVQTFWQWLQKEGVISNKTPVKPSVVPEGLGLVAVKDISRNEVVLQVPKRLWINPDAVAASEIGSLCSDLKPWLSVALFLLRERSRDDSVWKHYFGVLPEKTDSTIYWSEEELLELQGTQLLNTTLSVKEYVQNEFLRLEKEIILPNNKLFPNPITLDDFFWAFGILRSRAFSRLRNQNLVIIPLADLINHSARVTTEDHAYEVKGAAGLFSWDYLFSLRSPLSLKTGDQVYIQYDLNKSNAELALDYGFVEPNADRNAYTLTLQISESDPFFDDKIDIAESNGFGEMAYFDIFFNRPLPPGLLTYLRLVALGGTDAFLLESLFRNFIWGHLELPVSRGNEELICRVVREACKSALAGYHTTIEEDNKLKETNLDSRLAIAVGVREGEKKVLQQIDKIFKEKESELDQLSYYQERRLKDLGLCGEAGEIIGGDLEKFF >OIW21018 pep supercontig:LupAngTanjil_v1.0:Scaffold_60_126:7395:12403:-1 gene:TanjilG_27381 transcript:OIW21018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIRTESVQVRCDKLQSPMIPRNRLRVWFVRVCLSIVLWTCLAQLVTLSELWHSHFISGITSRIYHTTLHPLEAENGVVQAPPPFTPPRKYTSNGFLRVSCNGGLNQMRAAICDMVTVARLLNLTLVVPELDKKSFWADPSNFEDIFDVRHFMDSLRDEVRIVKRVPKRFLSKNGSSTLDMPPVSWSNEKYYLEQILPLFSKYKVVHFNKTDARLANNGLPLDLQKLRCRVNYQALKFTPEIENLGQKLVQILRDKGPFVALHLRYEMDMLAFSGCTRGCTNEEAEELKQMRYAFPWWREKEIVSEERRSQGLCPLTPEETALILKALAFDRETQIYIASGEIYGGERRLAELRAAFPRIAKKETLLVHDELQQFQNHSSQMAALDFMVSVASNIFIPTYDGNMAKLVEGHRRYSGFEKSILLDRKKLVELIDMHQNGTISWDKFVHAVQRVHEKRMGQPALRRVVVDKPKEEDYFYANPHECF >OIW21020 pep supercontig:LupAngTanjil_v1.0:Scaffold_60_127:6598:10968:-1 gene:TanjilG_27383 transcript:OIW21020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGGGESLSSDKQKEKARVSRTSLILWHAHQNDAASVRKLLQEDPSLVNATDYDNRTPLHVASLHGWLDVANCLIQFGADVNSQDRWKNTPLADAEGAKRNSVIQLLKTHGGSSYGQNGSHFEPNTVPPPLPNKCDWEVDPSELDFSNSARIGKGSFGEILKAHWRGTPVAVKRILPSLSEDRLVIQDFRHEVNLLVKLRHPNIVQFLGAVTDRKPLMLITEYLRGGDLHQYLKEKGSLNTAAAINFSMDIARGMAYLHNEPNVIIHRDLKPRNVLLVNTSANHLKVGDFGLSKLIKVQSSHDVYKMTGETGSYRYMAPEVFKHRRYDKKVDVFSFAMILYEMLEGEPPFANYEPLDGAKRAAEGHRPTIRAKGYTPELIELTEQCWAADMNERPSFIDILKRLEKIKENLPSDHHWHLFSS >OIW21021 pep supercontig:LupAngTanjil_v1.0:Scaffold_60_127:21647:22303:-1 gene:TanjilG_27384 transcript:OIW21021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNPPSVGNFVGRKLKRKIEESSIIKQDLHLSLSLNLSSNYAIHESSSKPSFKSLKSNVDLGFDSKEHQFCCKFCDKKFLSPQALGGHQNTHRRERILSMTEKEFPMSTFGLSAYSCSCPSIANHHFHGSPLHHKGHMHLMAHMNHMPWRSFEFGGGNKELHNTNFSANQFGMTSNSLGRCVETPQRLNHRDIDQVPSLTRYVLNRSITTNGDLEGLP >OIW21019 pep supercontig:LupAngTanjil_v1.0:Scaffold_60_127:2677:4886:1 gene:TanjilG_27382 transcript:OIW21019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPKPLFHLPLLFLVLFFSSKTESLRFELESGGTKCISESIHNNAMTVGTYSVVNHNEGHPLPDSHKVTVKVTSAFGNNYHQADAVQAGKFAFYASESGDHVACFSAAEHNPKVILTVEFVWKSGVQAKDWSTVAKKGQVDVMELEVKKLIESANEIYKEMSYIRARVATVAPQDLF >OIW21022 pep supercontig:LupAngTanjil_v1.0:Scaffold_60_129:17312:20767:-1 gene:TanjilG_27385 transcript:OIW21022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGGNGEQQPPPSTAEKGGPSRRPTITLPPRASMDTLFNGGFSPFAFSPGPMTLVSSFFADNNEECKSFSQLLAGAMSPVDHNRYSGEGGGGGGAFEHNNNNNNNNNNNNTQLSSPLFMVPPGFSSVGLLDSPTFLFSPPQGNFGLTHQQALAQVTSQAVQAHSNVQIQDEHSSSVSLSPAVTSSATQPLMLLLTPDSRAASTEPVDYSHSEQRSQSSSVNGDKPNDDGYNWRKYGQKQVKGSEFPRSYYKCTHPNCPVKKKVERSFEGHVTAIIYKGEHNHQRPHPNKLTIDTLSSNVKSNVQGNHDAAYQFQSGSLNRLEEGTISHSMSKMDPESSQATAERVSGTSDSEEVGDHETEVDERNDEPDPKRRNTEVSLPDPASSHRTVTEPRIIVQTTSEVDLLDDGYRWRKYGQKVVKGNPYPRSYYKCTTPGCGVRKHVERASTDPKAVITTYEGKHNHDVPAAKTNSHTMANNSSSQLKRQNAIPQKHSFSSRGVGGNEEQPVARLRLKEEQIT >OIW21023 pep supercontig:LupAngTanjil_v1.0:Scaffold_60_132:1872:9704:1 gene:TanjilG_27386 transcript:OIW21023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRQVATSATTWGGLPTTDTGDSVVTLDQVPRWSDADHSLRYGSGESPFSSSHFPDPLATQSETDGSGGSGSVSRFPVDHETNSRIYLWRGDPWNLEVDAMVNSTNESVDEAHSSPGLHAAAGPCLAEECATLGGCRTGMAKVTKAYDLPARRVIHTVGPKYAVKYHTAAENALSHCYRSSLELLIENGLQSIAMGCIYTEAKNYPREPAAHVAIRTVRRFLEKQKDSITAVVFCTSTTSDTEIYKRLLPLYFPRDKHEEQVALSKLPADVGDENGETIIDERKIRIKPLRKLSISKPPEVPVDLPVSDVGLTRSNSSYLESFLDPAFMSLIKDPDQRRLEQWEKTAEAQKRWDFAKLFGFGDLGGPPLSVAEQYSLHSRYLSKANSLNLSEIADMKIVYRGGVDSEGRPVMVVVGAHFLLRCLDLERFVLYVVKELEPLMQKPFTIVYLHSAASLQMQPDLGWMRRLQQILGRKHQHNLHAIYVLHPTFGLKAAVVALQLFVDNVVWKKVIYVDKLLQLFRYVPREQLAIPDFVFQLSIYVVI >OIW20992 pep supercontig:LupAngTanjil_v1.0:Scaffold_60_19:49223:54417:-1 gene:TanjilG_27337 transcript:OIW20992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEMEILEEYVSMPVSPMANYFNSTVLNVFVLGVLESEIPIDDSTVSTLLEHVFLPTSTRFSSIMIMDNKGKKHWKQVDVSVKEHIKIPIFPTSKQLKCYDELFNEYITKISTEQLPQHKPLWEMHIIKYPTTNAKGTFIFKLHHSLGDGYTFMTTLLSCVQRADNPSVPITFPSSQGSVKSTMNSKNMLQKLPETFSLVKSAFEFWKGALNGSLTAKDGHTAIRSGHEDVGFRPINVTNVSLSLDNIKEVKNKLRVSVNDALVGMIFLGIRLYMEAMNHESSKANTTALVLLNTRKVRAYKSIKEMLSTNSEAQWGNRICFMQVPIPKLRDTSSSNPLEFVLEANKIIKRKRYSLAVPLTDVLLDLINKIKGPQAAARYVQKTLNNASLSISHMVGPVEQVSLANHPIKGFYFMTVGLSQSLTVTITSYMGYLRVGFGVEKGFIDEHQLNSCFQTSMEMMLNATRT >OIW20993 pep supercontig:LupAngTanjil_v1.0:Scaffold_60_26:15635:16962:-1 gene:TanjilG_27338 transcript:OIW20993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMGAGQSFLSLLLLVIPVLILSSLSQATATKYYVGGNEGWVLKPNVSYNDWASKTRFKVNDTLYFKYQVGHDSVLVVTEEAYDTCNTSHPIHNMEKGDSETTLNKPGPFYFISGQVDHCKQGQKLAVVVISSPPSSAPSPSNVPSTPGTHDHSENHAPAPAPTQSAASLTLSGSVGVAMALGFGMVLFF >OIW20994 pep supercontig:LupAngTanjil_v1.0:Scaffold_60_26:38851:41520:1 gene:TanjilG_27339 transcript:OIW20994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGSHPVGLGKVYVRNLTLTGIIKKTRTVMECLHRFCRECIDKSMRLGNNECPACRTHCASRRSLRDDPNYDALIAALYPDIEKYEEEELEFREEEKNRNKQIQASIAKVVQRQSEALGKKRKDTPGASVTRSQRNQRNVHSRRQNQMIDNQGSEDNEEENDNNEKDSSSADERCTEPRQRKRKRWTRVRPSQPSSSRASPDGGSLESDMDISRENQGTSRQVTRPRKLTWGGGGFRSHTRYGSGGASNSKISRSGRLSKLVDHLRNLDENADEFEIHLMLVSVDKQSTPILQQPHLCCRPTTSVKHLCEYVARQTPLAVEEVEILAVKGCGGTKCDKATNDTSALICDELTTLVIDPCKDELEILKEHESLAGITSKCISKMEHLILAYRRKESL >OIW20995 pep supercontig:LupAngTanjil_v1.0:Scaffold_60_26:50789:53716:1 gene:TanjilG_27340 transcript:OIW20995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYSTPPSHRSNPFPLTVETVAAESSPSPLQKSKARLTDRLEIVADELPEPAVVRQRRKGRDSSIAVGQLPKKARRARKRSTEVAIREEKDAVLAEEVGKPRKRRNNVRSKKEKVNLVPSVPYSSSSLKAEEENGIDLDHVGQILGDLIMWKDSPKSVLWFGLGSLCFLSSYFTKGLNFSIFSAMSQFAIPFLGFSFFSNSILQRNQVEKNCEFKLKESDVLHLAKLILPALNFAISKTRVLFSGEPSMTLKVAPFLLLGAKYGHLITMWRLCAIGFIGSFTVPKLYSSYSAHINQISECMKSWLLDTWCACTHKKKMIAALLMAFWNLSSVKTRIFTAFILLVLFRHVKQHVLLEIADGEAREVEKEQQLAPVVVESVEKETLQASVSAEPEEKERQQALVVWEEQSVPKPAP >OIW20990 pep supercontig:LupAngTanjil_v1.0:Scaffold_60_9:16033:17718:-1 gene:TanjilG_27335 transcript:OIW20990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGFFSLAGREGPQNKEEEQERQVNTQFLFRNEEIYNRGFEIWPQYHNQNVNTNTNTNNNNNNYYSFGVGSSRRNSSSSNNVVDDIVSVSDESTRFGFTVMRASGSSGFGGMNCQDCGNQAKKDCPHLRCRTCCKSRGFQCQTHVKSTWVPAAKRRERQQQLSSLQQHNEQQQQNQQQQFWGDQSSRGNRENQGAGSLTVASLPATTTGLELGQFPPELNSQALFRCVKVSPMDAPDERYAYQTAVNIGGHVFKGILYDQGPDSPYTSATGGAGEGSSGGGGRNGGDAQQLTLTTATTTGNPFDHSQLYPPPLNAFMAGTQFFPPRS >OIW20998 pep supercontig:LupAngTanjil_v1.0:Scaffold_60_94:26318:27124:-1 gene:TanjilG_27343 transcript:OIW20998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFGTQFSFFFIFVLLLVLSPQIQAREGKFLNFFTHFRTTHNVKAESLAPITAPSPSPITPSPAPAAPEQEPIIASGPAPEPEFVDSGEGYGLYGTDSNQYPPTKETTTTTTTFENQLLKEDFTGEKYETEYHKNNFYNNNDKTNININNNNEDNEVYRNIDNNQQYRSNYNNGYNKNYVDSYSNNNNNNYNMNGYEGNREGMSDTRYMDNGKYYHVNSGNENYKLNNNGYESERGNTENEGYYEKNQYPNEFDTMEEYEKQQGYTP >OIW20997 pep supercontig:LupAngTanjil_v1.0:Scaffold_60_94:18679:23256:1 gene:TanjilG_27342 transcript:OIW20997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLFKDLSKLSAYRDRRFPGNQEEFEYALLTSTTVYVGNMSFYTTEEQVYELFSRAGEIKKIIMGLDKNTKTPCGFCFILYYSREDTEDACKYISGTILDDRPIRVDFDWGFQEGRQWGRGRSGGQKELEVQRQLVDYGAGSLDSFPQVIPSSYGRQGGGNGHGGSYRRGRDYHRKRRRDDDRRHTQEFSKRTSDHDSRRNSDNESRPVNFTTRDASALLIKKKIHGFEREVTLMMMRMMIENGGLNQNFMLNLS >OIW20996 pep supercontig:LupAngTanjil_v1.0:Scaffold_60_94:13432:14463:1 gene:TanjilG_27341 transcript:OIW20996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPTTKRKPVFVKVDQLKPGTSGHTLTVKVVSSNPVKTVTNRGGWSSVLTVRPTRIAEFLIGDETGTILFTARNDQVELMNPGSTLILRNAKIDMFKGSMRLAVDKWGRVEVTEPANFEVKEDNNLSLVEYELVNVVEE >OIW21024 pep supercontig:LupAngTanjil_v1.0:Scaffold_61_12:2621:3184:1 gene:TanjilG_27460 transcript:OIW21024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSSYASPCAACKYLRRRCIPDCIFAPYFPPEERQKFANVHKIFGASNVTKLLNELLPHQREDAVNSLAFEAEARVRDPVYGCVGVITFLQRQVQRLQKELDVVNADLLRYASNEISPLPASLAIPPLTQVQQIHQGNQFGAKFRNEESEFCRQPPMTYSFPYSLPWSDTSLEDINEGGGGGNM >OIW21027 pep supercontig:LupAngTanjil_v1.0:Scaffold_61_23:50546:51242:1 gene:TanjilG_27463 transcript:OIW21027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVTTSHAIKVLYSYGGKILPRATDGELRYVGGYTRILTVDRSVSFSELMVKLGELCGSSMILRCQLPNGDLETLISIKNNDDLANIIEEYDHASSKLPHPLKIRAILSPPRSLKKVSPSTSSSSTSTAPSTSKSPHNSADSLPYVTAAYRTARYNRSPVVGYPIGISNVAAKASCYNGKFDRNPRFLYRGPYCNNYCH >OIW21026 pep supercontig:LupAngTanjil_v1.0:Scaffold_61_23:42897:43256:1 gene:TanjilG_27462 transcript:OIW21026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKKTEKSTCSSAPNHRETRVLCQKSCRRVNWRKRRRDDGSRSHHPHPAVGTMEARPPWPSHIQAETHHLAVGLTRDRKCVTTTVRDAALSAIFVVPSSSGIPITKGWRFNGASLYSCA >OIW21025 pep supercontig:LupAngTanjil_v1.0:Scaffold_61_23:29106:34092:-1 gene:TanjilG_27461 transcript:OIW21025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAITGENEDTADYSGASLPSSGSDAKNRTVTYFYEPTIGDYYYGQGHPMKPHRIRMAHNLIIHYNLHRRMRIIRPFPAGSEDIRRFHSPDYVDFLASVSPELAETNHSHYRQLKRFNVGEDCPVFDGLFDFCQASAGGSIGAAVVLNRGDTEIAINWAGGLHHAKKAEASGFCYVNDIVLGILELLKAHKRVLYVDIDVHHGDGVEEAFYTTDRVMTVSFHKFGDFFPGTGHIKDTGWGPGKNYALNVPLNDGMDDENFRSLFRPIIQKVMEVYQPDAVVLQCGADSLSGDRIGVFNLSVKGHADCLRFLRSFNVPLMVLGGGGYTVRNVARCWCYETAVAVGVEPSNNLPYNEYYEYFGPNYTLHVEPSNVVNQNTAKDMEKIRNTLLEQLSRLPHAPSAPFQTTPSSTQVPEEEEEEMEPRSKHPIWTREVSDFDSDDEKPSTKFSNLTTHMR >OIW21993 pep supercontig:LupAngTanjil_v1.0:Scaffold_628:411:23818:-1 gene:TanjilG_27891 transcript:OIW21993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFLLHLKFLVQPETITGKSGDPVGYYRYAGITNPDVWFETSEVWKVKAADLTISPVYRAAMDVLDLNKEKGDLDIVAKASHSSQSMMRKLDALTIRKVFKTFRNIAIVILISTSHPPISSI >OIW21028 pep supercontig:LupAngTanjil_v1.0:Scaffold_62_2:1463:4556:-1 gene:TanjilG_27658 transcript:OIW21028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPELNNINGVTNGVDSDIKFVSSVNPEKLIIDTDPGIDDSMAIMMAFRSPEVEILGLTTIFGNAATEDATRNALVLCEIAGQHIPVAEGSHEPLKGGKPRIADFVHGKDGLGNLFLPSPKANKIEKSACEFLVEKVSEYPGEVSVLALGPLTNIALAIKSDSSFASKVKRIVVLGGAFFALGNVNPAAEANIHGDPEAADIVFTSGANIVVVGINITTQVQFTDADLLALKESKGKYAPLLSDMCKFYRDWHVKSDGVHGIFLHDPVSFVAVVRPDLFTYKTGVVRVETQGLCVGHTLMDQGLKRWNTSNPWTGYSPVSVAWTVNVDGVLNHVRELLMKP >OIW21029 pep supercontig:LupAngTanjil_v1.0:Scaffold_62_4:5961:8320:-1 gene:TanjilG_27659 transcript:OIW21029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNWPKSATKSWWSSHENLRVIGVLLLGQLVSLFTALIGLTSSLIAKQGVDAPLTQSMFAYASLALIYGSILLYRQQKPLVSWYWYLLLALADVHGTYLITQAYQYTTITSITLLYCCTIPWAIILTWFFLGTRYSLWQLSGAALCVLGLSVIVFSDTGSGGGGSKFILGDVLVILATLFYGISNVGVEFCAKKKDRVEVLCMIGVFGFLVTIVEAYPC >OIW21994 pep supercontig:LupAngTanjil_v1.0:Scaffold_644:2806:4446:1 gene:TanjilG_28274 transcript:OIW21994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQWITMEWLHHLKIIMQARSKDFFSLSYDSLAVSARYQNSEIGFVSSSSGQSVWFLLC >OIW21995 pep supercontig:LupAngTanjil_v1.0:Scaffold_644:20405:20620:-1 gene:TanjilG_28275 transcript:OIW21995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRNKWNLITLYSIKEREICHLQRVGKEEKESNSFSLSLSLSNSLFLFSFFHSLAGTTAIVRKHVCLQKTT >OIW21030 pep supercontig:LupAngTanjil_v1.0:Scaffold_64_61:13432:14636:1 gene:TanjilG_28256 transcript:OIW21030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNESLEESGWTMYFDDFFNNNRNIIEDGGCNSMSFSGVDSSSTSLVSDAASLATQKLAENFLMSKNGNRSLSLKKRKKIRTAFVDDSLEDTASSPVNSPKEKGNTSEQRDEREELSLNGKDSECTELKKRGLCLVPLSMLANYLG >OIW21031 pep supercontig:LupAngTanjil_v1.0:Scaffold_64_61:16356:16872:-1 gene:TanjilG_28257 transcript:OIW21031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLLFATASSASKVVDVNIMQSSPRPFIMLKYSKFKAWWSKSKDEGALNVIDYAQESLKKGDYFSLSAASKAVIIDVEDCITGGDPEDTPYNDKSKLPQYVENVQKVVHIVVIISNHLIQN >OIW21032 pep supercontig:LupAngTanjil_v1.0:Scaffold_64_62:8505:11812:1 gene:TanjilG_28258 transcript:OIW21032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINSSCGSSELDLCRDESMAFLLKFVAMASILISGICGIAIPLFGKHRRFFSTDGNLFVAAKAFAAGVILATGFVHMLNDSYKALKDPCLESYSWSKFPFTGFFAMVSSLLTLLADFMGTQYYERKQRMKGHDFVVNVDVNDDDYVSMESDGETGVVAVGGKGKVFGEEESGGMHIVGMRAHGSQHGHQHGHDDCGGGQVKEHHSHGHSLAVGGSDDDESSVRHVIVSQVLELGIVSHSVIIGLSLGVSQSPCTIRPLIAALSFHQFFEGFALGGCISQAQFKTSSSTIMACFFAVTTPLGVGIGTSIASVFNPYSPIALITEGILDSFSAGILMYMALVDLIAADFHSKTMRCNLRLQITSYCLLFLGAGLMSSLAIWA >OIW21033 pep supercontig:LupAngTanjil_v1.0:Scaffold_64_62:23997:24416:-1 gene:TanjilG_28259 transcript:OIW21033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQSPDSSEEVITGKLHELLDESDNEDCGQFCFQEETVEGVMQELFKEIAFPYSQTPSQVFVNDGKNGSCGASVSDTASTVMAGIEVVSPSDDFLLTEKVCTVVDVEEEKEMEVFDGGDFDDDDEWIGRVLSWSQQNQV >OIW21034 pep supercontig:LupAngTanjil_v1.0:Scaffold_64_63:11128:12524:-1 gene:TanjilG_28260 transcript:OIW21034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRECERPPWREHSRGRQSRRDSFRVGRHWVYLGTLSEASLYHIRKPSYKDRRSYVEAVKGEASGVEKEGESKAAECFMKNPGTMGGADTPHFLGV >OIW21035 pep supercontig:LupAngTanjil_v1.0:Scaffold_64_70:5897:6187:1 gene:TanjilG_28261 transcript:OIW21035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAANGLAINASSSTNKTGIPNKEKKYRTNHLSQFKNKCKSTAITAGSSQGERKLECFKDCALSLGNNSAFQQVFPRDEVAEAALLLMDLSCGFV >OIW21998 pep supercontig:LupAngTanjil_v1.0:Scaffold_656:12682:13607:-1 gene:TanjilG_28421 transcript:OIW21998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVIAAYLLAILGGNEAPSAHHLTSILASVGAEADNEKIELLLNEVKGKSVVELIASGREKLASVPSGGGAVAVAAAPAASGGAAPAAEAKEEKKVEEKEESDDDMGFSLFD >OIW21997 pep supercontig:LupAngTanjil_v1.0:Scaffold_656:5717:10929:1 gene:TanjilG_28420 transcript:OIW21997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEHENSAKAIEDEPVIGPGPAPRNRLKRPLQFEQAYLDTLPSANMYEKSYMHRDVVTHVAVSAADFFITGSIDGHLKFWKKRPIGIEFAKHFKAHLGPIEGLAVSGDGLLCSTISDDRSVKVYDVVNFDMMVMIRLPYIAGAVEWVYKQGDVKAMLAVSDRNSSFVHIYDVRAGSNDPIISKEIHLGPIKVMKYNPIYDSVISADVKGIIEYWSPATLQFPEDEVSFKLKTDTNLFEIVKCKTSVSAIEVSPDGKQFSITSPDRRIRVFWFRTGKLRRVYDESLEVAQDLQRSDAPLYRLEAIDFGRRMAVEKEIEKTEDAPLPNAVFDESSNFIIYATLLGIKIVNMHTNKVARILGKVENNDRFLRIALYQGDRNSKKVRKIPAAAANANESKEPLTDPTLLCCAFKKHRIYLFSRREPEEPEDATKGRDVFNEKPPADELLSVSDIGKAATTSLPDNVILHTTMGDVHMKLYPEECPKTVENFTTHCRNGYYDNLIFHRVIKGFMIQTGDPLGDGTGGQSIWGREFEDEFHKSLRHDRPFTVSMANAGQNSNGSQFFITTVATPWLDNKHTVFGRVVKGMDVVQGIEKVKTDKTDKPYQDVKILNVTVPKS >OIW21999 pep supercontig:LupAngTanjil_v1.0:Scaffold_656:15183:17744:-1 gene:TanjilG_28422 transcript:OIW21999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSESLQFCLSKFTFNSNGTPTRRRRVIATPKYKHVRPFQCMVRETGEDKHHIVVKNGKDSLDICRVVNGMWQTSGGWGRIEKNNAIDAMLKYADAGFTTFDMADIYGPAEDLYGIFINRVRRERPPEFLEKVRGLTKWVPQPVKMTSSYVRDSINVSRKRMDVESLDMLQFHWWDYSNSGYLDALKHLTDLKEEGKIKTVALTNFDTERLQIILENEIPIVSNQVQHSIVDMRPQQKMAELCQLTGVKLITYGTVMGGLLSEKFVDTNISIPFAGPAINTPSLQKYKRMIDAWGGWSLFQGLLRTLKQVASKHGVSIATVAVKYILDQPAVAGSMVGVRLGLSEHIQDSNAIFSLVLDEEDLNSIRESTAKGKDLLKVIGDCGDEYRGA >OIW21996 pep supercontig:LupAngTanjil_v1.0:Scaffold_656:570:4418:1 gene:TanjilG_28419 transcript:OIW21996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPWLDDLTDDLQSLSFASSTTADIKRSTSFSSETTTVTASTSTHHPPPTTKHHAPSSDPLWSAIHRIRSESPSRRILPSDLRFTRRLGVGDISSVYLAEMHEGSVIFAAKVMDKKELASRRKEGRARTEREILELLDHPFLPTLYATIDAPKWLCLLTQFCPGGDLHVLRQRHPNKRFLQPAVRFYASEVLVALEYLHMLGIVYRDLKPENVLVQSDGHIMLTDFDLSLKCDDSTPTAQIISDQKSPHIAPHHIEPSQFTSSKCILPNCIVPAVSCFHPKGKRKKKKKQNQHNGPEFVTEPIDVRSMSFVGTHEYLAPEIVSGEGHGSAVDWWTLGIFIFELFYGVTPFRGMDNELTLANIVARALEFPKEPNVPTTAKDLISQLLVKDPARRLGSTMGASTIKHHPFFQGVSWALLRCTTPPFVPPPYTKDKEPVSDESSCPETPIDYY >OIW22000 pep supercontig:LupAngTanjil_v1.0:Scaffold_656:18950:20247:-1 gene:TanjilG_28423 transcript:OIW22000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGESEKLVSNLFQMARDNAPSIIFIDEIDFLCGQRGEGNESEASRRIKTELLVQMQGVGNNDQKVLVLAATNTPYALDQVKDVLFEPVRKTQDAMFFFKSSEGMWIPCEPKQQGAVQITMQELAAKGLASKILPPPITRTDFDKVLARQRPTVSKGDLEVHERFTKEFGEEG >OIW21037 pep supercontig:LupAngTanjil_v1.0:Scaffold_65_12:4529:4795:1 gene:TanjilG_28384 transcript:OIW21037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLHVPYKHEEDDVMGSVFIGGDMGSERIGIVAMRDYLRSFGARLKNHGFSEDDNVVATRTVDGEDGGFNFGSNGIVGTRQVTKNLHL >OIW21038 pep supercontig:LupAngTanjil_v1.0:Scaffold_65_12:5592:6236:1 gene:TanjilG_28385 transcript:OIW21038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSERAILTPTNENVHKLNDIIINHFLGEDHNLLSFDEVEGDTNNLYQQEYLNSITPGGLPPHVLKVKKGAPLMLLRNIDPKGGLCNGTRLLCRGTYMNLLDVEILTGQHAGHRAFLPRIKLKTSDNVGLPFVLIRKQFPVRLSFALTINKAQGQTIPNVGIYLPKHVFGHGQLYVALSRGVSKATTKILIKEGKIQGEEGDFTKNIVFKDILLH >OIW21041 pep supercontig:LupAngTanjil_v1.0:Scaffold_65_15:10291:11394:-1 gene:TanjilG_28388 transcript:OIW21041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTLTTFSLFLLLSFSTVTSSFTSTTIFDAAEILSASGFETMAFNLELASQTLSRSHSLTIFAPTDFAFSQIPHLPLSLLRYHLLPHAFSLHSLTSLPFGASIPTLLPSHSLTVTTDHRHRHRHHIRLSINNVTVNPSPVYNDGTIVIFAIDNFFDPYFELPAKSVIRTETNAKSSSEIIADLAACLSARKNEMKWSFGETSSVMRSRGFSVMASFLDMQFLGNEDRPKLTLFAPIDEVMATHALNVSDYSSILRQHIVPCRIAWSDLVNLEDETLIWTYERGFTVSVTKSSNEMLLINGVAVIFPELYFSDWLVVHGVQDVLSVAKGAPDSPSQNVTVEHKDGKEFQLGEEINIPAQHYHFSVFH >OIW21042 pep supercontig:LupAngTanjil_v1.0:Scaffold_65_15:18074:19380:1 gene:TanjilG_28389 transcript:OIW21042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQLTFNTIGTLQRDAPPNRLSPNYPEPPPHPTEDGANFAEQAKQMSAALVKAAKQFDALVEALPISEGDEEAQVKRIAELQAENDVIGQELQKQLEAAEINNEKD >OIW21039 pep supercontig:LupAngTanjil_v1.0:Scaffold_65_15:1741:3218:1 gene:TanjilG_28386 transcript:OIW21039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPFVSPCGGLRPIATSCSIQVREVLVGGWCFNDEVPGAGWHLEVSGEWGLGRDLSATVEHELDSVVTHVKVLEEDNSWLNNNALEADRRAIEAENGLLCCWDEVFGSNGGLDVG >OIW21040 pep supercontig:LupAngTanjil_v1.0:Scaffold_65_15:4919:8419:1 gene:TanjilG_28387 transcript:OIW21040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVERKCLFTLFTVAFLSLTFLFLFSFSIFTSPKPLPSFVHHGSHYPPAFAYFITGGNGDKNQIFRLLLAIYHPRNRYLLHLGMDASDEERQGLAAAVISVPVIRAFGNADVVGNADYVTHLGSSNVAITLRAAAIMMKLDSGWNWFVTLSARDYPLITQDGSQWVILSRSFLEFCLFGWDNLPRKLLMYFTNVKLSQEGYFHSVICNAPEFKNTTVNGDLRYMIWDNPPQMEPHALNVSVYGQMVESGAAFARQFDADDLVLDMIDKKILQRGRNQVAPGAWCSGRRSWWVDPCSQWGDVNILKPGSQAKKLEESVSVLLDDWNSQTNQCQTSSE >OIW21043 pep supercontig:LupAngTanjil_v1.0:Scaffold_65_21:20166:25719:1 gene:TanjilG_28390 transcript:OIW21043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVPTGTGAAIGGFAGDALPVARALSNVVDCLITHPNVLNAAMLYWPMPNALYVEGYALDRFAEGLWALQPVHQNRVGIVLDAGIEDDLRIRQLQVADAARASLGLPVVEYIVTDTPLQVEKWVDPKTGQSTGRIKHPDSLLRAVQTLVNRSKVNAIAVVGRFPDDDTDDVDDYRQGMGIDVLAGVEAVISHLVVKEFQIPCAHAPAMSPLPLSLSLSPKSAAEEIGYTFLPCVLAGLSKAPRYLTNNSESMEKGCILATDVDSIILPRDACGGDGTLAFSRSKKSKPLIITVDENETVLNDTPDKLGLEALQVSNYWEAIGVIAAHKAGINPFSLRRNKIRNIGCISSMPVNGHLISG >OIW21047 pep supercontig:LupAngTanjil_v1.0:Scaffold_65_27:53563:57954:-1 gene:TanjilG_28395 transcript:OIW21047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDLPQGYRPNVGVCLINSEDQVFVASRLNVPGAWQMPQGGIEDGEEPKSAAIRELREETGIISAEIIAEVENWLTYDFPPAVKAKVSRLWGGEWHGQAQKWFLMRLTKNDSEINLANGEVDPEFAEWKWANPEEVIEQVSRKTLVPKRSTFLFSRD >OIW21044 pep supercontig:LupAngTanjil_v1.0:Scaffold_65_27:24866:25677:1 gene:TanjilG_28392 transcript:OIW21044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNLQAKDTSESRNVKNDGDGVSLKLRVPKKEVEKLIQCSKNESEVVERIIELYMANNGGKDSKHEIEEIGEKMMVQEQIMMHRKHDIVGERFKGTRGARC >OIW21045 pep supercontig:LupAngTanjil_v1.0:Scaffold_65_27:34504:34686:1 gene:TanjilG_28393 transcript:OIW21045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERLIKAWKSSTLSEVYVAVRGLQLSTMDSAKPCRPTLQAELDSFTLKLCGTCEGSSGHY >OIW21046 pep supercontig:LupAngTanjil_v1.0:Scaffold_65_27:43883:46925:-1 gene:TanjilG_28394 transcript:OIW21046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSATELTNSKISYESYAIKPPMHPTYDLKGIIKLALAEDAGDRGDVTCLATIPSDMEVEAYFLAKEDGIIAGIALAEMIFHEVDPSLKVEWSKNDGEFIHKGLQFGKVHGRAHNIIVAERVVLNFMQRMSGIATLTKAMADAAHPAYILETRKTAPGLRLLDKWAVLIGGGRNHRMGLFDMVMIKDNHISTAGGVTNAIRAVDLYLEQKNLQMEVEVETRTLEEVKEVLHHASQTKTSLTRIMLDNMVLPLPNGDVDTSMLIEAVQLVNGRFETEVFKTKFV >OIW21048 pep supercontig:LupAngTanjil_v1.0:Scaffold_65_53:22429:26189:-1 gene:TanjilG_28397 transcript:OIW21048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRNRGQQLPMKGGAPPPGPPPHGRVSPPMHDHLYGSRAPLGHHHHPALLEEFRESQLGLGHRPPPIHHAAILEEQLAAQHHDIQALLSDNQGLAATHVALKQELEAAQHKLQRMAQIKESMRADTDARMRELREKLVYLEGELRGTEAMRAELAQVHADIKELTAVKQDLYGQVQVMTQDLARMTADLKRMPALRADVEAMKHELQRASAATEYEKKGFAENYEHGQMMEKKLISMAREMEKLRAEIANAEKRARAAATAGNSGPGYNTNYGSAEVGYAGNPYPAIYGMNPAQPGMENFPQYGAGPSAAWNAYDMQRAQGHR >OIW21049 pep supercontig:LupAngTanjil_v1.0:Scaffold_65_65:5816:32949:-1 gene:TanjilG_28398 transcript:OIW21049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCHILLVVELIQSLVFLGNCMNLVNYFLKYMHYPVAQSSNMLTNFVGISYFLTIVAGFINDSYLTKLTAFVLYATIELLGVVLLTYQAKNSNLLPPENETPSTFQASILYIGLGAMAIGIGGSKATLPTHGADQLDHTKQSLISSFFNWYYISILAASILAATLMVWIEESYGWGWSFMISAILICCSISIFLAGFPLYRCKKPSGSPIKRMVKVFVASVRNTNDTTTVEVHPSAKKQSYAKEKSYNKFKFLNKALNDDTIEITQVEETKSFLGLLPIFATTIMLNCCVAQFMTFPVQQGNFMNRKIYNFTITTQSISLIPLVVVLVLLYLVEQSKRFYGNNEVINIIYQPHVRMGVGLALSSVSMAVAAMVEYKRLSYANCYEKLLIKINNMCFLIVVVELIQTLVFLGNSMNLVRYFLKYMHYSVAQSSNMLTNFVGISYFLTIVAGYINDSYLTKLTAFVLYATIELLGVILLTYQAKNSNLLPPENETPSTFQAAILYIGLGAMAIGIGGSKATLPTHGADQLDHTKQSLISSFFNWYYISITIGGILASTLMVIVASKQNTNDTTTIEVYQSAKEQSYAKEKSYDKFKFLNKALNDDTIEVAQVEETKAFLSLLPIFATTFLLNCVVAQLMTFSVQQGNFMNRKIYNFTLTTQSISMIPLVAVLVLLYLLEQSKRFYGNNEAINKIYQPLVRIGVGLAGSTVSMAVGAIVEYKRLKEFNKGNLMSAFWLIAQTLLSSLSEVITVGGTLELFYSKAPNGMRSICTSLSWCSTSMGYFLSTVLVTVCNSVSGRFGQAWIGGQDLNHDRLDLFYALLSFLSLINFVVFVILARGF >OIW21050 pep supercontig:LupAngTanjil_v1.0:Scaffold_65_71:26613:28626:-1 gene:TanjilG_28399 transcript:OIW21050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCYDDGSFNAELENGVSSIISCEEEGNRAAIEDAVKVLLMGLGEDINREGIRKTPFRVAKALHEGTRGYRQNVKDIVQGALFPEAGLDDRVGHAGGAGGLVIVRDLDLFSYCESCLLPFQIKCHVGYVPSGQRVLGLSKLSRVFEVFAKRLQEPQRLADEVCSALHQEIKPAGVAIVLQCIHIHFPDVESVFLDSNHQPWFKMLVSSGTGVFENKNANEWADFYSLVNFRGINMEKIHVRGSSDLSWCPSKSSRCAEVSSEIGPTSLVMATAIASIIKSLGEDPSRDELVGTPSRFVRWLMKFRSSNFDTKLNGFLHGGIDLLNTNGEVNLYDKKLHSEMNLPFWSQCEHHLLPFHGVVHIGYLISDEYIRIGKSLFQSIVHFYGFKLQVQERLTRQIAETLSPLLGGDVIVVVEASHTCMISRGIEKIGSNTATIAVLGCFATDLDIKASFLESIPSPAASEEQ >OIW21053 pep supercontig:LupAngTanjil_v1.0:Scaffold_65_78:45471:46607:-1 gene:TanjilG_28402 transcript:OIW21053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWVLSEADEKDEEVGYLDELEAYLVMFQASIFEVFEPKSVEDCSVVFEETNAEFSDEKREDFCSTEDANITNSVKKPVQKVDENVEATNPISEVKSIESLFQENAEELEDFCSSEEREDFSSTEDANIANSVEKQVQKVDENVEATKSIAEVKSLESLFQENVEELEDKGVKKLDPESNKVEESEEKWSLRSGSNKVKGYNRDIYANNKVKSQRSLDSNYYLGSPESNLDYSGRVTNQSLCSSNLGSFGSMRVEKEWRRTLACKLFEERHNNGDGSDGGMDMLWETYETESNKVLKKSNTKKGKKGEIEYYEDDEEEEEEEEFEEGKLCCLQALKFSAGKMNLGMRRPNLLKFSKALKGIGWLHHVGKNGKKVQPMK >OIW21057 pep supercontig:LupAngTanjil_v1.0:Scaffold_65_78:85595:86251:-1 gene:TanjilG_28406 transcript:OIW21057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTASTGADDVEAGFAKLQGEDFEYYMQTYSIILGRNSKKSTVDVDLSSLGGGMNISRHHARIFYDFTRRRFALEVLGKNGCLVEGVLHLPGNPPVKLDSQDLLQIGDKEFYFLLPVRNILAGHGPRHYPNHVTPPALVSGGPVAPHYNYHMAASSAAAAGPGAIVKKGRRDYYEDEYDDEDDVGGSSGKKGRRDGYEGYGYGGVSSGGKALLPGGLG >OIW21054 pep supercontig:LupAngTanjil_v1.0:Scaffold_65_78:72527:73024:-1 gene:TanjilG_28403 transcript:OIW21054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENAIGGSNVEAGFAKLHGVNFEYYMQTYSIILGRNSKNFIVDLDLTSLGGGKKISRQHARIFFDFTSRRFALEVLGKNGCFVQGMLHLPGNPMVQLNSQDLIQIGDIKFYFLLPLRNILATTTTMKNGKRVNYEDQGNDDKDCDGRSSKKKGSKGKALMAGASS >OIW21051 pep supercontig:LupAngTanjil_v1.0:Scaffold_65_78:32856:37076:1 gene:TanjilG_28400 transcript:OIW21051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSEHQVPPRTPAADIVGNAFVDQYYHMLHESPELVHKFYQDVSKLGRPEQNGLMGITTTLEDINKKILSLGYGELSAEIISVDAQESYGGGVIVLVTGLMTGEDNVKQKFTQCFFLAPQEKGYFVLNDAFRYVNEDGIQVSAPDIGSPISHDNVADPAVLETQVSEQISVVDEDGEGEEVYNPENGQASIEEGEEAPVPEVVDETPDDSQIVAGLTSQIEDMPKKSYASIVKVMKENAAPSSTAAVVSVKYVVKSRNQQETVAPPPPNLSETNGSSINTNEIGNNHETEAEGYSIYLKGLSPNATPSLVENEFKKFGPIKSGGVQVRTQKGFSFGFVEFEAASAVQSALEQKSSALLDDDDSLTDIRNSRIRFPTGRAPGYRSEGARGRGGNYGNGRGYGRGGDFNGRGDYGYRNGNRGGFSSRGSDGGFQRSDTMGSHGGRNDHMGANGSRVNRTGGSAVNVASKTTAVHVPASA >OIW21055 pep supercontig:LupAngTanjil_v1.0:Scaffold_65_78:79902:82125:-1 gene:TanjilG_28404 transcript:OIW21055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWPGFVEPFAQSASLRVLQLQSDMMDKKPEGRSRVDRDADNLQLQQLEEKDVVSSVATVLSDLCGPGEWMPMEKLHAELVEQYSSVWHHSRVRRYLTSEEWPGSESKGKPWYGLLMQLRKYPEHFVINTRSKNRVTLEFVSLVSLIS >OIW21052 pep supercontig:LupAngTanjil_v1.0:Scaffold_65_78:38549:40543:-1 gene:TanjilG_28401 transcript:OIW21052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSDGGGVSGFSSIKRSILALKSDPLKPRLDQIRKQADDHRSLALVYASYARKLKLESSKLVRIFAELSRNFSDLMNKPQYRTLFSSDSVPVDEALVRQMEKEVKEHIKTTRQVIGEAKESFDNQLKIQKLKDTIFAENEQLTKAKKQGAFSSLIAAKSIPKSLHCVSMRLMEERIAHPEKYSDEGKPTPPEVEDPKLYHYAIFSDNVVAASVVVNSATKNAKEPRKHVFHVVTDKMNLGAMQVMFKLKDYNGAHIEVKAVEDYKFLNSSYVPVLRQLESANLQRFYFENKLENATKDTTNMKFRNPKYLSILNHLRFYLPEMYPKLHRILFLDDDIVVQKDLTGLWNIDMDGKVNGAVETCFGSFHRYAQYMNFSHPLIKAKFNPKACAWAYGMNFFDLDAWRKEKCTEEYHYWQNLNENRTLWKLGTLPPGLITYYSTTKPLDKSWHVLGLGYNPSISMDEIRNAAVVHFNGNLKPWLDIAMNQFKPLWTKFVDYELDFVQACNFDV >OIW21056 pep supercontig:LupAngTanjil_v1.0:Scaffold_65_78:82954:83285:1 gene:TanjilG_28405 transcript:OIW21056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGNKTQAMFHSYDKMGTKLAPSASRIRFRTGPLTNKFEAPNRYPNSLYPTITHSIIEDKHHRESLNH >OIW21036 pep supercontig:LupAngTanjil_v1.0:Scaffold_65_8:40449:41165:-1 gene:TanjilG_28383 transcript:OIW21036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWNPRAYQEEEDDSWEVRAFAEDTNNIMGTTWPPRSYTCTFCRREFRSAQALGGHMNVHRRDRARLHHPPPFNPTTTTSPSFINIPPHNLVANGGVCFLSRLPNPNTNSTAPLFSTPLNACAKSSPSNTLLNGSSYPPNSLDQRSVHNIAEETAQVKGKDRPFSSLERICEERNIREAMSTNSNSFDFTMAQVSSNDFYSSIYRSSSKVEEPTTSSTNQGHHEELDLELRLGHGSTPT >OIW22003 pep supercontig:LupAngTanjil_v1.0:Scaffold_668:8036:9418:1 gene:TanjilG_28546 transcript:OIW22003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRQFLHYSKTLITFTSHHITSPLPTHHFQTQKLQSFHSPNHDTSISPIGSPTRVQKLIASQQDPLLAKQIFDYASTVPNFRHTYSTYLILVLKLGRSKNFTLLDQIIIRLKNDPSYKITHTLFTYLIRVYGEADLPEKALKMFYTMMQFNLKPLTKHLNCILEILVSHRNYVRPAFDLFRDAHKHGVVANTRSYNVLMRAFCLNGDVSIAYMLFNKMFKRDVLPDIESYRILMQALCRRNQVNGAVDLLEDMLNKGFVPDSLTYTTLLNSLCRKKKLREAYKLLCRMKVKGCNPDILHYNTVILGFCREGRAHDAGKVIDDMHVNGCLPNLVSYRTLVSGLCDMGMFDEAKKYMEDMLGKGFSPHFAVIHALVKGFCNVGRIEEACGVLTKSLEHGEAPHTDTWMNVIRMICEDEGQKISEAIDEILKIEIKGHTRIVDAGIGLENYLIRKIQAKSRAF >OIW22005 pep supercontig:LupAngTanjil_v1.0:Scaffold_668:14093:17507:1 gene:TanjilG_28548 transcript:OIW22005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMYRENDTVSSPIAAINCGTPVAVEYVRSLTEVGTMTRFLHECIAHQRTLDIELDDLLSQRNNLHEHLLHLQRSSEVLNIVKSDSHYMLNNVSSTCDLADDVSRKVRELDLAQSRVRSTLLRIDANVERGNCLEGVYRALDSEDYESAARYVQGFLKIDAEYKDCGNDERERERLMSAKKQLEGTVRKKLSVAVDQRDHSEILRFIQLYTPLGMEEEGLHVYVGYLKKVIGMRSRIEFEQLMELRNNGSNVNVNFVLCLTNLFKDIVLAIEENNEILSGLCGLCGEDGIVYAICELQEECDSQGSMILKKYMEYRKLAKLSSETSAQNSNLLAVGGGAEGPDPRNVELYLEEILSLVQLGEDYTEFMISKIKGLTFVNPELVLRTTKAFKIGSFSKVAQDLTGFYVILEGFSMVENVRKAIRIDEQVPDSLTTSMVDDVFYVLQSCLRRAISTLNVSSVRAVLSGANNLLINEYQEALQQKIRVPNLGEKLFFGGVGVHKTGTKFAAALNNMDVSSEYVLKLKYEIEEQCAEVFPAPTDHEKVKSCLSELGESSNAFKQALNAGIEQLAASITPRIRPVLDSVGTISYELSESEYADNEMNDPWVQRLLHAIETNVAWMQPLMTANNYDNLVHLVIDFIVKRLEVIMIQKRFSQLGGLQLDRDTRALVSHFGIMTQRTVRDKFARLTQMATILNFEKVSEILDFWGENSGPMTWRLTPAEISRVLGLRVDFKPEAIAALKL >OIW22001 pep supercontig:LupAngTanjil_v1.0:Scaffold_668:515:811:1 gene:TanjilG_28544 transcript:OIW22001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein WILQCWSDDECLKLLKKCYEAIPDDGKVIVVEALLGVMPENNAAWKAISQTDILLMAQSPRGKERSDKEYMELAIRAGFSGIRYECYVRMFCVMEFFK >OIW22002 pep supercontig:LupAngTanjil_v1.0:Scaffold_668:2042:3443:-1 gene:TanjilG_28545 transcript:OIW22002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENHHLPPLPPTPPPQTQAPPLIYQHHLPPEKPMAKGKPSHYTKTSSTKRSICTFITILLLLAGITLLVLWLVYRPHKPRFTVVGAAVYSMNTTTLPLMSTTMQFTILIRNPNKRTSIYYDRNQAITPKIMLPPLYLEKHSTVSLSPVIGGTPVPVSVEVSNGLMVDEAYGVVGLKLVFLGRLRWKVGAIRTSHYGLYVKCDMLIGLKKGFVGQVPLLGAPPCKVDV >OIW22004 pep supercontig:LupAngTanjil_v1.0:Scaffold_668:10710:12796:-1 gene:TanjilG_28547 transcript:OIW22004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANATLSLCFNYSFLNNKPQFVTSSKRCVSFSPLPIVLRSNTRVYTALSTEHVNDDVSENEEQWMKPKATEVYVFNLPRKIDSEYLLDLFKPHGNVLYVEVCRNAETGESKGCAYVTLESINSARNAVSALDGLDVGGREMRVRFSVEMNRRGKNRKTMNSSPKKVIYYESPYQLYVGNLPKHVRPEELRHLFVRFGTVASLRIFIDKKEEISRVYAFVSFLSERERDAAMSINGIAFGGRTLVLRQGGGKV >OIW21077 pep supercontig:LupAngTanjil_v1.0:Scaffold_66_100:2831:3031:-1 gene:TanjilG_28526 transcript:OIW21077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLRRRRDRSIQSRINMAERSIRRGTKETSSVGMAKSVAPFTEVAHARDSQICKERVSNVWTWHGG >OIW21078 pep supercontig:LupAngTanjil_v1.0:Scaffold_66_130:1125:1490:-1 gene:TanjilG_28527 transcript:OIW21078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSLSSGGDSRMEAGRCRNQPRRLSDGAASRPSSHFRPTESTAALAGDGEGRQQRDHRGSRPESKAALGPRFKTILGRDSPHKTVPARGNTLWLNH >OIW21079 pep supercontig:LupAngTanjil_v1.0:Scaffold_66_131:13679:17776:1 gene:TanjilG_28528 transcript:OIW21079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEAKAHEAAAPPEVSNSPTSIEALPSRPPPYPPTTTTKKRPLDSDPLFQNSNYVKIRALVRDLRPHFIEVVQTPDYQNCKASQEIQEQLKILMNLYDNLKADVVSLGKSKNMQDGQNLDHKQEQHLEHVKSPEQLQVEKAFARSSEIKLNSSVPGLQKLQTEDCQTHGTYVVGGSAFGWNFITFSNNEPVYYGRTKEQFRAVNQLSNSTGPGLNPPQPLV >OIW21080 pep supercontig:LupAngTanjil_v1.0:Scaffold_66_146:1210:1650:1 gene:TanjilG_28529 transcript:OIW21080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTRFKKNRKKRGHVSAGHGRIGKHRKHPSGRGNAGGMHHHRILFDKYHPGYFGKVGMRYFHKLRNKFYSPIVNIDKIWSLVPQEVKDKATKDNVPLIDVTQFGYFKVLGKGVLPENQPVVVKAKLVSKIAEKKIKEAGGAVLLTA >OIW21081 pep supercontig:LupAngTanjil_v1.0:Scaffold_66_150:1982:4720:-1 gene:TanjilG_28530 transcript:OIW21081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKISCFFSCCYSTSVNEQNNNDYIEVVNDRNFRVFTYKELKSATDNFHASGKVGEGGFGSVYKGRLRDGTLVAVKVLSVEQESMRGESEFVAELATLSNIKHQNLVGLRGCCVEGTQRYLVYDYMENNSLHNTFLGSEEGRIRFSWLSRKHVSIGVAHGLLFLHEELKPHVVHRDIKGKNILLDHNFIPKISDFGLAKLLRDEVSYISTRVAGTLGYLAPEYASTGQVTRKSDVYSFGVLLLQIVSGLTVVNAYQESERFIVEKAWAAYEANDLIRMVDPVLNMNYSMDEALKFLKVGLLCVQEKANLRPTMSEVVEKLTNNFDMQDVHITKPGLVSDLRTIRIKQKIGMNSSPLKQESNSSVTFSSSIWSMSNLAR >OIW21082 pep supercontig:LupAngTanjil_v1.0:Scaffold_66_152:5280:8991:1 gene:TanjilG_28531 transcript:OIW21082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNVGSNSRVNNRRRSSGSGRRIHPPPVRPQPEITANQFIYPAVTTTPHHNYSDYYPPPPMWGRYPQPQPQPQLQLPPQPMPYVEHQKAVTIRNDVNVKKETLKIELDENNPRSFVVSFMFDATVDGSITIIFFAKEGEGCILTPMKEKTLPPVTVNFQKGIGQKFKQPAGTGIDFSVFEESDLVKVSDMDVYPLAVKADASSRDGDGSNETLTSGKTNSQMTQAVFEKEKGELRVKVVKQILWVNGMRYELQEIYGIGNSVESDLDGNDPGKECVICLSEPRDTTVLPCRHMCMCSGCAKVLRFQTNRCPICRQPVERLLEIKVGSEAEE >OIW21083 pep supercontig:LupAngTanjil_v1.0:Scaffold_66_162:8099:12577:1 gene:TanjilG_28532 transcript:OIW21083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKIVNNDLKSSEITEELPSEQHGTRQNHNLEIPTRKLKGSEEDHFRINMPPTPPPPRTVNYSPYPSSGLSRSDETAGSSSSKSRSTIKNFLTKLSFKIHNSSSEIEKAAFLALQGSSTVAPKTLSISRTLSPSMLAAGTAKTALSLPVTPIAHSKPESTHGGNIASVPISVENGMKLPIHRSHSVPDFTKDDNASCMFRMVPTTPRLAEKTVTTTSPTSMPDDTAENEDGGEDIPEEEAVCRICMIELGEGTNALKLECSCKGELSFSHRECAVKWFSIKGNRICDVCKQEVQNLPVTLLRIQTVREHIGLEAEISQHRQGEIMLFLSFFFFNLWDGSILIIGNTLAYFCFLEQLLVSKMGSSVVVMPLPFSCILGLLASVAARTMVRRKDVWVYATVQFALVVLAGQIFYSVIHIPAVLAILLGTVTGFAVVMCGASILAAILKWNQQHDSQQAVLPDQSSSAAVHQTRTNSDHPAIVS >OIW21084 pep supercontig:LupAngTanjil_v1.0:Scaffold_66_164:7235:9748:-1 gene:TanjilG_28533 transcript:OIW21084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQGYPFSLSTSSSIGGFSQEQNTNPNPKPNQPPLPKKKRNLPGTPDPDAEVIALSPKTLMATNRFICEICNKGFQRDQNLQLHRRGHNLPWKLRQRSNKEVRKKVYICPEKTCVHHDPSRALGDLTGIKKHFSRKHGEKKWKCEKCAKKYAVQSDWKAHTKTCGTREYKCDCGTLFSRKDSFITHRAFCDALAEESARLTSVTTSTNLNFKNEESSMMHSQQGLAHGLIGGDGSLHQNVAGIPQFVPHDFQLHFNGIGMGEDQQRPSLSLWLNQGNHHQMNNTNNNNNNPSDMGQNSGLYVSSGLPEIMQMAHANNNALIGSNSPMMSNYGVPVTNSTTANLSLSSLPIGKRVESSGNSDLASIYSDGQNKQSSKPASPMSATALLQKAAQMGSIRSNTNPSIFNGSFGVMTSSSSQQENFASTSSAVAMLGNAANFSSLSHSSNSFDQLMMQTNQSESMKLKILSGSNSAEHNLTRDFLGVSGGGGGAGPQFLPQELAKFASLGSPMGLTQFTGNQ >OIW21085 pep supercontig:LupAngTanjil_v1.0:Scaffold_66_169:8845:12689:-1 gene:TanjilG_28534 transcript:OIW21085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVIDILTRVDSICNKYDKYDVEKLNDAKISGDDAFSRLYATVDDDIAALIQKAEIASKDKGKASAVAINAEIRRTKARLLEEVPKLQRLAVKKVKGLSSQEFAARNDLALALPERIQAIPDGTPAAPKQTGGWLGAASDSHPEIKFDSNGRFDDEFFQHSEQSSQFRQEYEMRRIKQAKGLDTLKEMAHGMNEELDRQVPLMDEIDNKVDKASSDLKNTNVRLKHTVNQVI >OIW21086 pep supercontig:LupAngTanjil_v1.0:Scaffold_66_169:16608:26950:1 gene:TanjilG_28535 transcript:OIW21086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNNPPLQDNSNKNWSLQDFEIGKPLGRGKFGRVYVARERKSKYVVALKIIFKEQIKKHKIDHQLVREMEIQTSLRHPNILRLYGWFHDDERVYLILEYAHNGELYKELRKRGHLSEKHAATYILSLTKALAYCHEKHVIHRDIKPENLLLDHEGRLKIADFGWSVQSSNKRHTMCGTLDYLAPEMVENKAHDYTVDNWTLGILCYEFLYGVPPFEAESQLDTFRRIIKVDLCFPSTPSISLDAKNLISRLLVKDSSRRLSLQKIVEHPWILKNANPMGKSQLQLNYYTNSCSKAEEIIKQQVVQLYNKHGNTAISWVRNLFHDCMVKSCDASLLLVNRGGVVSEQTAERSFGMRNFKYVNTIKEAVEQECPLTVSCADIVALSARDGIAMLGGPNIEMKTGRRDSKESYATVVEEFIPNHNDSISLVLSSFQAIGVDIEATVALLGAHSVGRVHCKNLVQRLYPTVDETLNPSYAAYLKRRCPTPNPDPNAVQYVRNDLKTPMIIDNNYYNNILQHKGLLTVDEELATEPRTAPYVQKMADDNEYFRQQFSRAVVLLSENNPLIGDEGEIRKNCGYVNGN >OIW21087 pep supercontig:LupAngTanjil_v1.0:Scaffold_66_180:11940:13437:1 gene:TanjilG_28536 transcript:OIW21087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDEFDFANKIPPSFDHVGNVIKESGSKGFNPGLIVLLVVIGLLLTFLVGNFVLYTYAQKTLPPRKKKPVSKKKMKKERLRQGVSAPGE >OIW21088 pep supercontig:LupAngTanjil_v1.0:Scaffold_66_188:5156:5912:1 gene:TanjilG_28537 transcript:OIW21088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGEGMGPAQKTAKALGEALFHKETENPIRQIVIICGCNKSLVSTLESLEWKFLVKVRGFETIVAKWMGPCDCIIIKAGPGIIAEALIRGLPIILNDYISGHEKGNVPYVVDNRVGVFTRSSKETARIVTEWFRTKSDDMKRMSENALKLAQPEAVFGIVRDIHELALQREPSNFPYALTSSFTSLI >OIW21089 pep supercontig:LupAngTanjil_v1.0:Scaffold_66_189:1410:1601:-1 gene:TanjilG_28538 transcript:OIW21089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHDRRNEKLNQHSASPNVHQPEAHNQLSDRGALTVSSESRRIMTEAHECPGAMPQAHHDRGA >OIW21090 pep supercontig:LupAngTanjil_v1.0:Scaffold_66_190:6450:9576:-1 gene:TanjilG_28539 transcript:OIW21090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWQVLLAAAVAGSTGFITIHFLNNPHSQSIQHPHQNPSSSYESDDSVTDLHTRDENNGVFVFSTSESLRQDGESQSRSRPIGSENGVKVPNADQKKKKKKGGKKLLPFWLNKRRITNRVLVSKVPSSSSSKDNSFGMALGFGIMYMMSAEKTEINKLNNTVEELALNVQELKYELDGRKLLCAHQILDSGGDIDTNSCKISGKHDQVMPKKTNREFRGTDVNIWSTFVNDGGECGSSALTEEPEPRVLEMDQLEAELEFELQKLSGCTVDGHWHEEIRPTLDELEVPDEDPNFNYSQFRGALPSELNQKLNNLLIKQQENQIAELESELHLTRSNFQQKEAELQALKECVKHLTDFSLSTVSDDETHALNDTKETSDLEQSVIQGI >OIW21060 pep supercontig:LupAngTanjil_v1.0:Scaffold_66_2:13728:16798:1 gene:TanjilG_28506 transcript:OIW21060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRSSKIAGRKGAQDAKKAKLYSRIGKEVVSAVKKGGPNVTSNSVLAAVLEKAKELDVPKDIVERNIKRASEKGQEAYIEKIYEVYGYGGVSMVVEVSTDKIHRSVAKIREVLRDYGGKMADSGSVMFKFTRSRVVNIRVTNADKDQLLNIALDAGAEDVIEPPTYEDDTEEDRSERYYKIVGSSENYSSILSKLREEGIDFEPDNGSELLPNATIEVDDEAMDLNKELMHQLLELDDVDAVYTDQK >OIW21058 pep supercontig:LupAngTanjil_v1.0:Scaffold_66_2:4540:4960:-1 gene:TanjilG_28504 transcript:OIW21058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRYRSVSRPAFSLIKSTFTKPTSNSKPSPFLLNNTPSSPTLPRSIAQLGCVQSLLPLHSAVSSARLTSCLGIDSSSSRSLTQGMLCSANPGV >OIW21061 pep supercontig:LupAngTanjil_v1.0:Scaffold_66_2:17736:21645:-1 gene:TanjilG_28507 transcript:OIW21061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFTSFPSIKNSISLPTMVVSDMSDNFRIGFSFRNRFIHKRQHNLQDLTIANIASPLPLSVPPPPSPPPPKEPSGTTGGGQQHVAWTSIPQERWEGELHVQGQIPLWLNGIYLRNGPGMWHIGDYHFRHLFDGYATVVKLHFMNGRLIAGHRQIESEAYQAAKKNQKICYREFSEVPKAANFLSYVGELVNLFSGASLTDNANTGVVKLGDGRVVCLTETQKGSIVIDPNTLDTVGKFEYSDSLGGLIHSAHPIVTDTEFLTLLPDLIKPGYLVVRMEPGTNERRVIGRVNCRGGPAPGWVHSFPVTEHYVIVPEMPLRYCAQNLLKAEPTPLYKFEWHPDSKAFMHVMCKTSGNIVASVEVPLFVTFHFINAYEEEDEEGRVTAVIADCCEHNADTTILDKLRLQNLRSFNGEDVLPDARVGRFRIPLDGSPYGKLEAALDPKEHGKGMDMCSINPNYLGKKYKYAYACGAQRPCNFPNTLTKLDFELKRATNWYDEGAVPSEPFFVARPGATEEDDGVVISIISEKNGGGYALLLDGPTFQEIARAKFPYGLPYGLHGCWVPKQ >OIW21059 pep supercontig:LupAngTanjil_v1.0:Scaffold_66_2:7624:9490:-1 gene:TanjilG_28505 transcript:OIW21059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPGKIASQCAHAATGMYAELMQRSILRRWEQCGQAKIVVTCRNQQEMNNLKEAADSIGLPTFVVADAGRTQVLAGSKTVLAVGPGKLVVE >OIW21070 pep supercontig:LupAngTanjil_v1.0:Scaffold_66_21:15894:17121:1 gene:TanjilG_28516 transcript:OIW21070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRATQSSNISWLVEISSKHEKQRLLARVTRRGTYGTSKKRPRGASSASSSSQRSNTATTSHQDVNLMPPPPALEHPKALQKEKVALAKEKVAHVVEIEELSDLIGDDAQVTWDSTLKYVSLAHPEEDLSWMKISTYIKQEVFLE >OIW21072 pep supercontig:LupAngTanjil_v1.0:Scaffold_66_22:37491:39518:1 gene:TanjilG_28518 transcript:OIW21072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSETIEVKNQRAMKRIILLINTILLAIGACGGPLITRLYFIHGGKRIWLSSCLLTIGFPIALIPIVTSYHRSRRRSFSDMGSPKPKLFSMTPLLFSAFSIIGVVTGLSNYLYAYGVARLPVSTATLIMSTQLAFTAIFAFLLVKQKFTFNSVNAIILLIFGAGILAEHAGSDRPSGESSKQYVIGFALTVVASALSGLMLPSIEFMYKRTLSITYSLVMELQVVMALSATLVCIVGMIIDNDFKVISREAQEFGLGETKYYVVLVASAILWQFYMMGAIGIVFCASSLFSGVMISVMLPITEVLAVIFYKEDFQAEKGISLALSLWGFVSYFYGEFIQAKKMRKNNISETELPPDSIVPVE >OIW21071 pep supercontig:LupAngTanjil_v1.0:Scaffold_66_22:28261:33021:1 gene:TanjilG_28517 transcript:OIW21071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTFEVPELEEDITKEKPYGNMKYVAVMKAETIKNLIFGGVKAEKLKGLLNDGIKGDKIRFFMISRKKSSKLKVWTLRILCVMLLWTIAIYFKGFGEILTPRFFNIHSAFSLSPERIYDNNGYLMVSSNGGLNQMRAGICDMVTIARYLNVTLVVPELDNTSFWNDHSQFQDIFDVDYFVSSLRDEVRILRELPPQQKKKVESESLYSMPPISWSNMTYYYNVILPRIKTYEVVHFTKSDARLANNGIPEEVQKLRCRVNFHALRFTPSIEILAKKIVRILKERGSFLSLHLRYEMDMIAFSGCNEGCDEEEINELKKMRYSYPWWKEKEINSEKKRIDGLCPLTPEETALTMRALGIDPNIQVYIAAGDIYKSEKRMATLKEAFPNLVKKETLLEPSELDPFRNHSNQMAALDYYVSIESDIFFPSYKGNMANLVEGHRRYLGFKKTILLHKKFLVQVIDQYKNGTINWKEFSSKVKEAHADRVGNPITRSVVPGKPKEEDYFYTNPQECL >OIW21073 pep supercontig:LupAngTanjil_v1.0:Scaffold_66_24:6882:16387:1 gene:TanjilG_28522 transcript:OIW21073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLVLHSPSIPTPTPQQQFLFHNSLFPSFKSRTPFHQTSPYLSCISNTRRNSHFQALANGDAELVDDELSFLSLTGKPDRNMALLDDYESDELDFDSDPNHRSGYVALLGKPNVGKSTLANQMVGQKLSIVTDKPQTTRHRILCICSNPDYQMVLYDTPGVLKKEMHKLDSMMMKNVRTAAVNADCVLVVVDACKAPEKIDELLEEGTGDLKDKPPTLLILNKKDLIKPGEVAKKLEWYEKFTDVDEVIPVSAKYGHGVEDVKDWILSKLPHGPAYYPKDIVSEHPERFFVAEIVREKIFMQYRNEIPYACQVNTVNYKARPDAKDFIQVEILVEKSSQKIILIGREGKALKLLATASRLDIEDFLQKKVYLEIEVKVKENWRQDEALLKNYGYGGQIRVL >OIW21074 pep supercontig:LupAngTanjil_v1.0:Scaffold_66_26:10232:12085:1 gene:TanjilG_28523 transcript:OIW21074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVAMTRRRVFVQTDRGCVLGMELDHTDNAHTVKRRLQVALNVSTEESSLTFGDIVLKNDLSDIRNDSLLLTRNLMHRSSSTPCLSPTGRDLQHKDKSGPIEILGQSSHLDRMNDIVNDIKKAIKMGIDPTPVHSGLGGAYYFRNIIGENVALVKPTDEEPFAPNNPKGFVGKALGQPGLKRSVRVGETGFREVAAYLLDYDHFANVPPTALVKITHSIFNVNDGVNGNNFLRKKLVSKIASLQQFIPHDFDASDHGTSSFPVASVHRIGILDIRILNTDRHAGNLLVKKLDGNGTFGQVELIPIDHGLCLPESLEDPYFEWIHWPQASIPFSEDELAYIGNFDPARDCDMLRRELPMIREGCLRVLVLCTIFLKEAVAFGLCLAEIGEMMTREFRRGEEEPSELEVVCLEARKMLAEREELSPRTELGDDEFLFDIDYDEAGYDLTLKTTMEDPLTKAHFHAVFGNGFARSPLCKLDESIEEGGEEGDEDSPQGFVTSPMQEKTPTISKLSVSLKNTMLGEKNLNHHKYSSGNVPKKYSTGKADNGYFASALSGHRSANEQLPASIGFVKLVDMTEDEWTMFLEKFQELLYPAFAKRKSITLGQRQRQRLGTSCQF >OIW21075 pep supercontig:LupAngTanjil_v1.0:Scaffold_66_27:8022:9127:-1 gene:TanjilG_28524 transcript:OIW21075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMKIISCVLLVFLSAAILSSARLMPPGAEVNGSSAIKASIAISTTNKTMVKTLAGIEESLKKLSIKQVMRKVGNANKSASRVQGNKGVRSSHLNDEVDNGFMAFTADYHRPKHHPPKNN >OIW21068 pep supercontig:LupAngTanjil_v1.0:Scaffold_66_3:60149:64842:-1 gene:TanjilG_28514 transcript:OIW21068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METKLCHQPLAFSSSQFIIPFSKRLKPTTNLTFHHHLHSSPKLVLYNSLSGVDPTAEKQDSVKTKPIYLPTPQNRDLRTPHSGYHFDGTSRKFFEGWYFKLSIPEQRQSFCFMYSVENPAFPKKLTSLEEAQYGSRFTGVGAQILGADDKYICQYSPESTSFWGSRHELKLGNTFVARQNSRPPNKEVPPQEFDNRVLEGFQVTPLWNQGFIRDDGRSNYVETVKTARWEYSTRPVYGWGDVGSAQKSTAGWLAAFPVFEPHWQICMAGWIEWDGKRIEFENAPSYSEKNWGGGFPRKWFWVQCNVFEGGSGEIALTVGGGLRQIPGITETFENAALIGIHYGGKFYEFVPWNGVINWEVTPWGYWYFSADNGRYLVELEGKTDDPGTTLRAPTAEAGLSQACKDTCFGDLKLQMWERRYDGSKGKIILDVTSNMAALEVGGGPWFNTWKGKTSISPVLNKALGLPIDIDGIFNVVPLFKPPGL >OIW21064 pep supercontig:LupAngTanjil_v1.0:Scaffold_66_3:35435:37172:1 gene:TanjilG_28510 transcript:OIW21064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTEKLEQQHPIHLRIQTHREIISEQDVEFNSPRISGSEGTMFQTMQNPCPESPWTLSPLSTPSSSLIYHCIASLHRHEGNIYAIAASKGLVFTGSNSTRIRVWKQPDCIDRGYLKASSGEVRAILTYSNMLFSSHKDNKIRIWNFTVSERFKSKKVGTLPRKTSFLMFPKSNNTTKHKDSVACMAYYHSEGLLYTGSYDKTVKAWRVSDRKCVDSFLAHEDNINAILVNQDDGCLFTCSSDGSVKIWRRVYTEDSHTLTMTLKFQPSPVNTLALSSSFNHCFLYSGSSDGMINFWEKERLCYRFNHGGFLQGHRFAVLCLATVGNLIFSGSEDTTIRVWRKEEGSCYHECLTVLDGHRGPVRCLAACLEMEKVVMGFLVYSASLDQTFKVWRIKVLPDENMCLDYSSDQRESRGKVREYDMSPVLSPSWVEKKLQGSHFNY >OIW21065 pep supercontig:LupAngTanjil_v1.0:Scaffold_66_3:38770:40386:-1 gene:TanjilG_28511 transcript:OIW21065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKIALGSTQEITKPDCIQALVVEFIATFLFVFTGVASAMVVDKLGGDALVGLFVIAVAHGLVVAVMISAAHISGGHLNPAVTLGLLVGGHITFYRSIFYWIDQFVAASLASYLLYYLTGGQRIPVHTLGSGVGYGEGVIWEIVLTFSLIFTVYGTMVDPKKRSEGGTGPIVVGFVVGANILAGGAFSAASMNPARSFGPALVSGNWTDHWVYWVGPLIGGALAGFIYENFFIHRSHVPLPLDEEN >OIW21063 pep supercontig:LupAngTanjil_v1.0:Scaffold_66_3:27414:31088:-1 gene:TanjilG_28509 transcript:OIW21063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEIKSTTLKLNSSAQDGSSNPKFDSLALKKKMDTSNIMKSVTTTVTKSQVKSKPSSSAASNTVSKTTTTKVRERKVYSLPGQKHDPPEQKEPLRIFYESLSKQISTSEMAEFWLMEHGLLSPERAKKAFEKKQRKQKEIRTGTPVKPSKPPTKTETSSQKQQQASKNGDIKAENRIVNESDDDDDDDFILRDVPAKDTPISKLVRYSICMSRPCHVVPGSGFR >OIW21062 pep supercontig:LupAngTanjil_v1.0:Scaffold_66_3:16042:16704:-1 gene:TanjilG_28508 transcript:OIW21062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVEPQNSESESTSNSNSNSNSSEPSTTKKVKRIRDSNKHPLYHGVRMRNWGKWVSEIREPRKKSRIWLGTFSTPEMAARAHDVAALSIKGNSAILNFPDLVDSLPRPVSRAPRDVQAAAAKAAQMDNLDIPTSTTTTTLSMSSSSSLSSLASAMDLCTEESHELSEIIELPSLENSVDEKREFVLMDSVDGWNMYQPPWFEDFDQLGIPESRFESLVWN >OIW21066 pep supercontig:LupAngTanjil_v1.0:Scaffold_66_3:43235:44962:1 gene:TanjilG_28512 transcript:OIW21066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLRKRDIPEEKYSNAFLGYGPEDSNFTAELTYSYGVDHYDIGTGFGHFGVVVEDVAKTVDLIKMKRGKITKEPGSVKGGSTIIALAEDPDGYEFQLSERGPTPEPLCQVMLQVGDLDRAIAFYEKALGMQLLHKRDNPEYKYTVATMGYGPEDKNTVLELKYNYGVTNYDKGNGYVQIAIGTNDVYKTAEAVKLCGGKVIREPGPLPGINTKITVFLDPDGWKWVWPLNLFAFMRFLLCASRLYLITKNLALHWLI >OIW21067 pep supercontig:LupAngTanjil_v1.0:Scaffold_66_3:50815:55044:-1 gene:TanjilG_28513 transcript:OIW21067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERIIGGKFKVGRKIGSGSFGQIYIASNIETSEIVAIKMESKKAKHPQLLYEAKLYNFLQGETGIPSMKWCGTDGDNNVLVIDILGPSLEDLFVYCGRKFSLKTVLMLADQMLTRIEYAHSKGFLHRDIKPDNFLMGLGRKASQVYIIDFGLAKRYKDPNTNKHISYRENKSLTGTARYASCNTHIGIEQSRRDDLESLGYVLMYFLRGSLPWQGLKAVTKKEKYDKIREKKLSTPVEMLCKSYPVEFASYFHYCQSLQFDQRPDYGFLKRLFRELFTREGYDSDNLFDWTILKYQQRQLAKRQNSSYPADTVPSSLKPMVVDRRHKGVNHSAQISITKQLAHLDRPSGRVQPKPSNIQSPNAKNHTEKHNVYNGPSTSSVMPGSSTGNISKPERPLETSNRGHVLGINARVSSSWIPSLRRISSAK >OIW21069 pep supercontig:LupAngTanjil_v1.0:Scaffold_66_3:67062:73502:1 gene:TanjilG_28515 transcript:OIW21069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWHQQYIGKVKHAVFHTQKTGRKRVLVSTEENVVASLDLRRGEIFWRHVLGTNDVVDGLDIALGKYVITLSSDGSILRAWNLPDGQMVWESFLQGSKASKSILIIPAMDQILEATPPFAEKNLKADKDDLILVFGKGCLHAVSSIDGEVLWRHDFVGESIEVSHIIHSPDVIHVAGFVGSSEFYVYGLDAKNGELLKNNHAALPYGTFGELLLVSSDILVLLDDTRSNIVTLNLKNGEISYSRKQISELIKDSSGQAVILPSRLPGMFALKINSHVLLVKITNEGELVVVDKINNAAAVSDALSISEGQHAFAFVHHGDNKIHLSVKDVNDWNGDLLKESIVIDHQRGNIDKIFINNYVRTDRSHGFRALMVMEDHSLVLVQQGEIVWSREDGLASVVDVTTSELPVEKKGVSVAKVEQNLFEWLKGHVLKLKGTLMIASPDDVAAIQAIRLRSSEKSKMTRDHNGFRKMLIVLTRAGKVFALHTGDGRIIWSILLPTLRKSEACERPVGLNIYQWQVPHHHALDENPSVLVVGRCGPSLAAPAVLSFIDAYTGLEVNSLSHAHTVAQVIPLPYTDSTEQRLHLIIDVNQHAYLYPRTPEAIDILKREFSNVYWYSVESDNGIIRGHALKSNCKAVDEYCFDLRDLWSIVFPSESEKIIATVTRKLNEVVHTQAKVMTDYDVMYKYISKNLLFVANAAPKASGDIGTATPEEAWLVIYIIDTVTGRILHRMTHHGCQGPVRAVFSENWVVYHYFNLRAHRYEMSVVELYDQSRADNKDVWRFVLGKHNLTSPFSSYSRPEVTTKSQSYFFTHSVKTLEVTSTTKGITSKQVLIGTVGDQVLALDKRFLDPRRTLNPSQAEKEEGIIPLTDSLPIISQSYITHSFKVEGLRGIVTVPAKLESTSLIFAYGVDLFFTQIAPSRTYDSLTEDFSYALLLLTIVALIAAIFATWVLSERKDLQEKWK >OIW21076 pep supercontig:LupAngTanjil_v1.0:Scaffold_66_81:3041:3371:-1 gene:TanjilG_28525 transcript:OIW21076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLRSRRDRGSSRSGQPDLQGEGVQRLDVAWRMNVDATLAAKEEGSPLLVTSKSFLGSASSPSGSRRWQWVMFFDL >OIW22006 pep supercontig:LupAngTanjil_v1.0:Scaffold_670:12168:13568:1 gene:TanjilG_28624 transcript:OIW22006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMSDDNGVVHHVAMLPSAGMGHLTPFLRLATFFLNHNIKVTLITPHPTHTPSESQLLSRFQSSFPQVNQINFNVESSLSTQSPNSHIAIPYFQMIDDIRTFAAKALSHLLSSLSPPLSFFVFDYFMLSSVLSITQSLSLPNYVLFTSSASFFALFSYFSTLPSSLSEHETVEIQGIPPIPISSISPYLLAPNSIFKKVFIEDSSQITKFDGFFINTFEALEHQLLEAVNAGKVLPGMPPLLPFGPFVPCEFEKEGDQWRKPLKWLDDQPRGSVVFANFGSTTEFGWDQIREIADGLVRSGIRFLLVVKDKKYFNEDDKKEEAGLEEVLGYELVDRVRDKGLVMKEWVYQSGILSHEAIGGFLSHCGWNSIVEAAWNGVPIFGWPQRGDQKMNAEVVERSGWGTWNKNWGWIGERLVTGEEIGDAIKVFMNNESFKIKASKIKVAARKARSVGGDCEVTLHKLFQK >OIW22007 pep supercontig:LupAngTanjil_v1.0:Scaffold_676:5912:9894:-1 gene:TanjilG_28628 transcript:OIW22007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKAIRVHQLGGPQVLKWEDVEIGEPKEGEIRVKNKAIGINFIDVYFRKGVYKAPSLPFTPGMEAVGVVTAVGAGLTGRQVGDLVAYAGQPMGSYAEEQILPANKVVPVPPSIDPVIAASVILKGMTAQFLLRRCFKVEPGHTILVHAAAGGVGSLLCQWGNALGATVIGTVSNKEKAAQAKEDGCHHIIIYTEDDFVTRVNEITSGNGVDVVYDSVGKDTFEGSLASLKLRGYMVSFGQSSGSPDPVPLSAIATKSLFLTRPTLMQYVVTRDELLEAAGELFANVASGVLKVRVNHTYPLSEAAKAHEDLEGRKTSGSAVLIP >OIW22008 pep supercontig:LupAngTanjil_v1.0:Scaffold_676:11782:12465:1 gene:TanjilG_28629 transcript:OIW22008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSIISPQWHDKATGFFSSSGVKLKEAKESAGTFVGEVTKDTKSNVAEVAGRVGTMVKTRWALLQQPSTRHAVQDRLISAAATTSVFLRKGLSGTKDTVAVGKSKVEEVMLLTK >OIW22010 pep supercontig:LupAngTanjil_v1.0:Scaffold_686:11993:13351:1 gene:TanjilG_28669 transcript:OIW22010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIMSNSQQSKHVAVFAFPFGSHLMPLLNLVLKLAHAAPNCSFSFIGTDKSNAILFPKPYIPKNIKAYNISDGVPEGHVMSSNPTEKLNLFLSTGPANLLKGIELAVAETKQRVTCIIADAFVTDSLIVAKALNVPWIPLWLPMSCSLSCHFYTNLIREQYANNAGNRTLDFLTGLSQLCVEDLPQDVAKGGEDETLFSKTLASLGTVLPQAKAVVINFFEELDPPLYVQHLRSILQSLLYVVPLPFPLLLPSDTDKNGCLSWLNRHNAKTVAYVSFGTVVAPPPHEIVAVAEALEESGFPFLWSLKDNLKGLLPSGFLQRTGMLGKVVPWVPQSQVLAHDSVGVFVTHCGSNSVTESICNGVPMICRPFFGDQGISARVIQDVWENGVIIEGRVLSKNGLLKSLNLILVQEEGKKIRENALNMKKTVQDAARPEGKSAQDFKTLVEIISES >OIW22009 pep supercontig:LupAngTanjil_v1.0:Scaffold_686:8399:9316:1 gene:TanjilG_28668 transcript:OIW22009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEPRYFDTKLFPGNDDHPSHDVYRGQMLVKEVYETLRSSPQWNQTLLLITYDEYGGFYDHVPTPIRGVPSPDGIRSSENFNFDRLGVRVPTIAVSPWIEKGTHYCMSNQ >OIW22011 pep supercontig:LupAngTanjil_v1.0:Scaffold_693:2749:7056:1 gene:TanjilG_28757 transcript:OIW22011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCLGKCEDSDSEPEDIGQRYDGSGVHNHKTHETFANNSRPQPNNQQPYQLPEKPYVHVPSPKPIQKPDTILGKPFEDVKQFYTLGKELGSGQFGVTHLCTENSTGLLYACKSISKRKLVSKSDKEDIKREIQIMQHLSGQPNIVQFKGAYEDKSFVRVVMELCAGGELFDRIIAKGHYSERAAASICRQIVNVVHICHFMGVMHRDLKPENFLLSSKDENALIKATDFGLSVFIEEGKLYRDIVGSAYYVAPEVLRRRCGKEIDIWSAGVILYILLSGVPPFWAETEKGIFDAILEGDIDFESQPWPKISGSAKDLVRKMLIQDPKKRITSAQVLEHPWIKDGNASDKPIDSAVLSRMKQFRAMNKLKKLALKVIAENMSAEDIQGLKAMFTNMDTDKSGSITYEELKAGLQRLGSKLTEAEVKQLMEAADVDGNGTIDYIEFITATMHRHKLEKDDNLYKAFQYFDKDNSGFITGDELQTAMKEYGMGDDATINEIISEVDTIISEVDTDNDGRINFEEFCAMMRSGNQQHAKLF >OIW22013 pep supercontig:LupAngTanjil_v1.0:Scaffold_698:10807:11577:-1 gene:TanjilG_28760 transcript:OIW22013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDRVYPAAKPTTNATVNAAASNPSLPATKAQLYGATRPTYRPQPYHHRRSRRRICCTICFWIILIILILLLLIGVASTVVYLLYRPHLPSFTVTAIKLSRFNLTHSSLSSKFDVNVTATNPNKRITFSYDSTNVSIFSGNLDVGDGTVPGFKHGEKNTTILKVSILRSGVALDTENEAKLKSSMNSKSGLPLKVQLETKVKVNMGKLKTPKVRIRVVCDGIRVTLPVGKKSTVGSTSNAKCDVDVRFKIWKWTVG >OIW22012 pep supercontig:LupAngTanjil_v1.0:Scaffold_698:1549:4160:1 gene:TanjilG_28759 transcript:OIW22012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVLMFQQQQQQHQQTLLVEENMSNLTSASGEASASSGTRTEIGNSSNYPQQQYLAPPPSSQAQPQAKRKRNLPGNPDPDSEVIALSPKTLMATNRFICEICNKGFQRDQNLQLHRRGHNLPWKLKQRTNKEIIRKKVYVCPEESCVHHDPLRALGDLTGIKKHFCRKHGEKKWKCDKCSKKYAVQSDWKAHSKTCGTREYRCDCGTLFSRRDSFITHRAFCDALAEESARGTIPNHHSSLLQSSLLQTHDHQDNNIHANNNIFSLKKEQQSFSLISPQIMPPWLGPQSNNNINNNNNNTLDFSSTSSIFSHHHHHYQDQTSNPNPSSLGPTTLVPVVQPVTSPHMSATALLQKAAQMGATMTKPDHVTMSTTAGGVSHSSFLNHVMFEGTSFEDAFGGILNSNNENNIIQKTNNGDNDGGGRGNEAMTRDFLGLKPLSHSDFLTIASMGNCMNSSNSHHQQNQSPNPWQG >OIW21093 pep supercontig:LupAngTanjil_v1.0:Scaffold_69_15:29550:30023:1 gene:TanjilG_28711 transcript:OIW21093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DERASGSPFSSKKGKKSSSKKPKHRGLGVVQLEKIRLHSQIYCDGYQHIFIHSPHHSKFNNDDPRRQIACSSIPASSFHTSYSPPYGFQPKIAKVYLNTKNQTSNREILNQTTLPNNISTMAEMFLQLVLCMIKQVVKQDTIYTRLHNGKAISIAEY >OIW21094 pep supercontig:LupAngTanjil_v1.0:Scaffold_69_34:8710:10954:1 gene:TanjilG_28723 transcript:OIW21094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTASTLTNSIKQEYPNNEDDSVVSDCESAVSGANVIQQRHGVLEKGNMFVRLVEHDMVHDLIKKSFLRGLEGDFVEAKTEVVAIRRSVCSSVMLQARVRSFQIYVQAVAKLRDGDANMKYAWYGTRGEDEIEDIVSHSFGLAHAYGHQVCLSPDHSPLQSVKNSVADKNGVRHLLLCRVILGRTELVNPGTEQCYPSSEDFDSGVDNFSAPKKYIIWSSQMNTHVLPAYVISFRVSSFTGVEKSEEPLRPSSPWMPFPTLISVLSKVLPLPDITLISKFQRDHKEKKISRHELINKVRQIAGDKLLIAAIKSHRGKV >OIW21092 pep supercontig:LupAngTanjil_v1.0:Scaffold_69_6:12794:13575:1 gene:TanjilG_28710 transcript:OIW21092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESCILTIRLATIIGYLDKMETELYFSELCLLLRTLNCLLSSSPDFLILFFTEAIFYGGVAVFLFQLDHLSRPTQQGTNASNNDTLPPQLIQRVSSVSTMVLSLIIPMVTMGLVWPWTGPAASATLAPYLVGILVQFAFEQYARHRKSPSWSAIPLIFQVRTIQSPVD >OIW21091 pep supercontig:LupAngTanjil_v1.0:Scaffold_69_6:51:455:1 gene:TanjilG_28709 transcript:OIW21091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NQDETPLLYSLVFGEGVVNDATSVVLFNAIQSFDLEQIDLSIALQFLGNFLYLFMTSTLLGVLSGLLSAYIIKKLYIGRYHLDRVVIIFIVSIYCDGIPLR >OIW19847 pep supercontig:LupAngTanjil_v1.0:Scaffold_6_10:15699:18352:1 gene:TanjilG_27204 transcript:OIW19847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKGWGLTLDTSSSTSLPFFSSKQPPQPPLSMNFFSKDNTTMFPVLGFPVNLSRPTNKEEEEEEEGGDQIRMVVGEVDFFSDKNKSSTSARHDHNHLHQHVKPTIKKELVCVDEKPYNSNLQVNTGLQLLTANIGSDQSTVDDGVTSEAEDKQAKNTELAQMQMELQRMNSENQKLKEMLSHVSGNYTALQMHLVALMQQQQLQKPRSESTENEVVQKKAEEKKHGIGGEMVQRQFLDLVPSGTVAEVDDHVSNSSSDERTRSSTPQNNKIEAGTRDYVRNTNGKNELAREESPGSESQGWGPNKLQKMNPPNVMDQSNIEATMRKARVSVRARSEAPMISDGCQWRKYGQKMAKGNPCPRAYYRCTMAVGCPVRKQVQRCAEDRTILVTTYEGTHNHPLPPAAMAMASTTSAAASMLLSGSMTSADGIMNPNLLSRAILPCSSNMATLSASAPFPTVTLDLTNNDLNPLELQRHQLHHNHPSPLFQLPFPVGQNPQNFGAATPFAQALYNQSKFSGLQFSQEHQVGSSQVPPLAPRPNLQQQPSQQQPSLADTVSAATAAITADPNFTAMLAAAISSIIGGAHANNNDDNNNSNINNMASKLSSFSGN >OIW19848 pep supercontig:LupAngTanjil_v1.0:Scaffold_6_10:25268:28967:1 gene:TanjilG_27205 transcript:OIW19848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNMFNVVVLGLCLSTLLFSLVSCAPNDDGLHRIGLKKMKLDSDRGERLISHMGFKEAAILSSPLRKYHLQNNVLGAGDTDIVGLKNYLDAQYYGEISVGTPPQKFTVIFDTGSSNLWVPSARCYFSLACYFHARYRSRESSTFKPNGTSAAIQYGSGAISGFFSYDNVKVGDVLVKNQIFIEATREPGVTFVTAKFDGILGLGFQEISVGNAVPVWYNMVEQGLVKEPVFSFWLNRNPQEEQGGEIVFGGVDPAHFKGEHTYVPVTRKGYWQFDMGDVLIGGKPTGYCANGCSAIADSGTSLLAGPTAVITMINQAIGASGVASHECKAVVNQYGQTILDLLFTNAPPKKICSQIGLCTFDGTRGVSMGIESVVDTNERQSTGSSHDATCIACEMAVVWMQNQVRQNQTQEQIIDYVNELCDKLPSPMGQSSVDCGKLSSMPNVSFTIGGKTFDLAPEEYILKVGEGPAAQCISGFIALDVPPPRGPLWILGDIFMGPYHTVFDYGKQRVGFAQAA >OIW19849 pep supercontig:LupAngTanjil_v1.0:Scaffold_6_12:18180:18449:-1 gene:TanjilG_27206 transcript:OIW19849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGQGKAKMAEAGAMKGHHHSPAQHSTEVLHQRKKMPMSPMTMAIAGFAATFVMGYCVLYTKKKPEASAMDVAKVASGTSNPENTHPNN >OIW19871 pep supercontig:LupAngTanjil_v1.0:Scaffold_6_132:118:5937:1 gene:TanjilG_27238 transcript:OIW19871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSPERLKGLWTSVSNPNVRPCATTAHGQRRVLRGLDCPKGTAQPQGPVAPIASSPFKLQSRRVSGRDTTPFSLSGWDDETPRGGPIDSSPICLVCDSPKPAHADRIMGDCTGPPESIIATGSSPNSALEAFRHNSTDGSVTATATRPTARPRVLGPSPTQEGENPFPPRVQPSTPSPYACCEKAYQRQDSLPDAAPSAQSSKYNNNESSGISLTCRNTPTYATPLMSLYVAKLKSSSTGSSFPTDKCKSVPLPAPRPSNNASAPVYDRDPPCGITQPARLHVSSPDKARPDADNGTIRVGCTRGPHPNFQGPRSNCQHRSKCDALRIAAPYLSAKEFHGVRELKEKRKLSWQHPRASLGQFTIDSLADNYCCRGTLLHFGPPRLLIRVFATTTKICTVGGSRQAHAQTLLRTPTRPSYSSMLQDIKMPNALTAEYKRNALAPSILRASCFGSSDDRFARQNRYGLPSGFPLTSPWPGIVHHLSGTNMCAQGAALLKRMSSPGVAGRHGFESPMTRVHARLLGPCFKTGRSGSESRSAADRRGHEHLSSSLWRKGLARITPSGTAQVCIFKQTDSEEMPTRFVPAATRACHPLCGPVQVGLKRAILMRVDGHSLTLHFPHDVSRGDSALGFSMFPRRMREAKRRTLKFACFFVSNRPSPSGFILRRTDFLIISKTERSLCLKAFKGTTPQREQNPKLARSNTHEVCAVAWAVWPLIQDNYPVKEQKTQARETGRQIQKRPSRRQKPARIALKGEPLERLSPHAQIERERDELVLRARRKSFKNIVIRRQAEATSAGKGDWQSQASVETQKKTTRLLLVYRDFRVKFGPPSTREGFTYSNLVTTFTSSKYSSLVIFPAASKFPKELRAPVQRPH >OIW19872 pep supercontig:LupAngTanjil_v1.0:Scaffold_6_132:8834:34789:1 gene:TanjilG_27239 transcript:OIW19872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIALEPLRTSPSTTSFRAGPVTVEASERPWDAATTDSAPWDQSSASTANTFPRDKPNRGTLLLASQRDAPRLQARTRAHDTLPDDWTCAPSCCGYAPEGFTKHHILPCRTGRTRSLGTSVGCRYYRLRTVGPIQRLNSQRISEGQAYRVTLLLASQTTAPRLQARERAHDTLPDDWTCAPSCCGYAPEGFTKHHILPCRTGQNQKPRNARHYPTRHRVTKPEPRQPAPGHVRPGFGMEDDSVELPTTPWKNAPACLGQVAGALGGSPMACHPDPPRPQKDGGPATLEASARPTDARNYPTRHRVTKPAPRQEGLTHQEIRVGPRGTVEALDASPTSPTCPDDTKPKHQPAPGRVRPGFGMEDGSVELPTTPWKNAPACLGQLAGALGGSPMACHPDPPRNAWNIIPKHFPKLRPRNIEASPSNDFPPKCGTRPVLSRLFDARGRGPEGPVPNPSPDRHAATRSRRESSSSSPPTADGFGTGTPVPSPQSQSFSRGYGSILPTSLAYIVPSTRGCSPWRPDAVMSTTGRGRHSVLRIFKGRRGRTGHHATCGALPAAGPYLRLSRFQGGQAICTDDRSARAHAPGFAATAAPSYSSGPGPCPDGRVSAQLGTVTQLPVHPASPVLLTKNGPLGALDSVAWLNKAATPSYLFKSFAPIPKSDERFARQYRCGPPPEFPLASPRSGIVHHLSGPDRYALTRTLHRRSGSVGGATHKGIPPISFLAPYGFTCPLTRTHVRLLGPCFKTGRMGSPQADARSTQVPKHTKRRALPTTIAMMTSPRACQQPGLGPPSQFASVHAPSRLADRLSPFHIRPRHIAGPHPLPSRQFQALFDSLFKVLFIFPSRYLFAIGLSPVFSLGRNLPPDWGCIPKQPDSPTAPRGATGSGHDGALTLSGAPFQGTWARSAAEDASPDYNSDTEGDRFSWRPTDPHGSKSRKAGGGDTHDRSRALAQPPSITAPSTADSVFNQPRALGLMASGATCVQRLDGSRDSAIHTKYRISLRSSSMQEPRYPLPRVFRISVSQRRPHEHRLRADGGELNDFNFLGAFRAGVLLLGQEDTAEGSPTETLLRLLLPLNDKVQWTSHNVAGSEPPTSPQSEHFTGPFNRQIAPPTKNGHAPPPIESRKSSQSVNPYYVWTCGVLKATSADPWSASFMVETRTLFVFHKSKNFTSDYEIRMPPTVPVNHYSDPEGQHNRIRILCAGGTTRPVKARSASPAEGTSRPVHTNGGPIDPTQAVSQAPSPESNPNSPSPVTTMCCHRKRLSKTDTTAKCYSREPINRRDSTGQTHQPAFAACTASKGTLDTCDNASHHNSQLTLHTHHFRILQRPQEGAWMERPTTHFRMIALEPLRTSPSTTSFRAGPVTVEASERPWDAATTDSAPWDQSSASTANTFPRDKPNRGTLLLASQRDAPRLQARTRAHDTLPDDWTCAPSCCGYAPEGFTKHHILPCRTGRTRSLGTSVGCRYYRLRTVGPIQRLNSQRISEGQAYRVTLLLASQTTAPRLQARERAHDTLPDDWTCAPSCCGYAPEGFTKHHILPCRTGQNQKPRNARHYPTRHRVTKPEPRQPAPGHVRPGFGMEDDSVELPTTPWKNAPACLGQVAGALGGSPMACHPDPPRPQKDGGPATLEASARPTDARNYPTRHRVTKPAPRQEGLTHQEIRVGPRGTVEALDASPTSPTCPDDTKPKHQPAPGRVRPGFGMEDGSVELPTTPWKNAPACLGQLAGALGGSPMACHPDPPRNAWNIIPKHFPKLRPRNIEASPSNDFPPKCGTRPVLSRLFDARGRGPEGPVPNPSPDRHAATRSRRESSSSSPPTADGFGTGTPVPSPQSQSFSRGYGSILPTSLAYIVPSTRGCSPWRPDAVMSTTGRGRHSVLRIFKGRRGRTGHHATCGALPAAGPYLRLSRFQGGQAICTDDRSARAHAPGFAATAAPSYSSGPGPCPDGRVSAQLGTVTQLPVHPASPVLLTKNGPLGALDSVAWLNKAATPSYLFKSFAPIPKSDERFARQYRCGPPPEFPLASPRSGIVHHLSGPDRYALTRTLHRRSGSVGGATHKGIPPISFLAPYGFTCPLTRTHVRLLGPCFKTGRMGSPQADARSTQVPKHTKRRALPTTIAMMTSPRACQQPGLGPPSQFASVHAPSRLADRLSPFHIRPRHIAGPHPLPSRQFQALFDSLFKVLFIFPSRYLFAIGLSPVFSLGRNLPPDWGCIPKQPDSPTAPRGATGSGHDGALTLSGAPFQGTWARSAAEDASPDYNSDTEGDRFSWRPTDPHGSKSRKAGGGDTHDRSRALAQPPSITAPSTADSVFNQPRALGLMASGATCVQRLDGSRDSAIHTKYRISLRSSSMQEPRYPLPRVFRISVSQRRPHEHRLRADGGELNDFNFLGAFRAGVLLLGQEDTAEGSPTETLLRLLLPLNDKVQWTSHNVAGSEPPTSPQSEHFTGPFNRQIAPPTKNGHAPPPIESRKSSQSVNPYYVWTCGVLKATSADPWSASFMVETRTLFVFHKSKNFTSDYEIRMPPTVPVNHYSDPEGQHNRIRILCAGGTTRPVKARSASPAEGTSRPVHTNGGPIDPTQAVSQAPSPESNPNSPSPVTTMCCHRKRLSKTDTTAKCYSREPINRRDSTGQTHQPAFAACTASKGTLDTCDNASHHNSQLTLHTHHFRILQRPQEGAWMERTALTSQLPLTRPPVRQTRRYHTDHSPQCQWQASKWKHMVPPDPLRRARTEKVTAKTDRDKGSKFIRLPNPPTTHFRRTGSALRASPNTTSFHGEKGLTGNIFPKPTTHFRMIALEPLRTSPSTTSFRAGPVTVEASERPWDAATTDSAPWDQSSASTANTFPRDKPNRGTLLLASQRDAPRLQARTRAHDTLPDDWTCAPSCCGYAPEGFTKHHILPCRTGRTRSLGTSVGCRYYRLRTVGPIQRLNSQRISEGQAYRVTLLLASQTTAPRLQARERAHDTLPDDWTCAPSCCGYAPEGFTKHHILPCRTGQNQKPRNARHYPTRHRVTKPEPRQPAPGHVRPGFGMEDDSVELPTTPWKNAPACLGQVAGALGGSPMACHPDPPRPQKDGGPATLEASARPTDARNYPTRHRVTKPAPRQEGLTHQEIRVGPRGTVEALDASPTSPTCPDDTKPKHQPAPGRVRPGFGMEDGSVELPTTPWKNAPACLGQLAGALGGSPMACHPDPPRIPREARVMAWVTFTEHSDHVPWHGSPSPSTRNLCHGMVLSRLFDARGRGPEGPVPNPSPDRHAATRSRRESSSSSPPTADGFGTGTPVPSPQSQSFSRGYGSILPTSLAYIVPSTRGCSPWRPDAVMSTTGRGRHSVLRIFKGRRGRTGHHATCGALPAAGPYLRLSRFQGGQAICTDDRSARAHAPGFAATAAPSYSSGPGPCPDGRVSAQLGTVTQLPVHPASPVLLTKNGPLGALDSVAWLNKAATPSYLFKSFAPIPKSDERFARQYRCGPPPEFPLASPRSGIVHHLSGPDRYALTRTLHRRSGSVGGATHKGIPPISFLAPYGFTCPLTRTHVRLLGPCFKTGRMGSPQADARSTQNHDNTPRNQAIAYFRYAHNA >OIW19873 pep supercontig:LupAngTanjil_v1.0:Scaffold_6_136:8192:8811:-1 gene:TanjilG_27240 transcript:OIW19873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCNSPLEGTKAWPPVGSKKFETPSYLPPLSPESLAKEVDYLLENGCVPRLEFELEHGFVYRENHRSPGYYYGRYWTLWKLHMFGCTDSGQVLKEVEEAKTAYQNAFIRIIGLDNKRQVQYISFIAYKPPSF >OIW19874 pep supercontig:LupAngTanjil_v1.0:Scaffold_6_142:13399:13614:-1 gene:TanjilG_27241 transcript:OIW19874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFSCIKIIFIVPQLLLILLIIFANYPQYGVDCRPLLINHEQSRAYELHLQALPRGPAPGSGGGGQGEGEP >OIW19850 pep supercontig:LupAngTanjil_v1.0:Scaffold_6_15:13457:14113:-1 gene:TanjilG_27216 transcript:OIW19850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMWGVLDSSKKDQVPVQHDPRGVMGAQRVAPASNDEAFVHSVLRQTSPRRIITRVVKKDIHRGLDLIVVEADRLFVTYQAKREVRARRRVLVLEHAITPPVEEHIAREELVPYPLVTIIDSDTEVEEDLMSPSLKTLA >OIW19875 pep supercontig:LupAngTanjil_v1.0:Scaffold_6_151:11130:13138:1 gene:TanjilG_27242 transcript:OIW19875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ETISQLLALTYFSVLSNNFSGSIPKNFGKFSPSLIDVELSENNFSGELPPDLCTGFKLRKLTAYNNNFFGPLPKCLRNCSALTRVQLEENQFTGNITEAFRVHPNLSFISLGGNHFFELSNCKGLQSLNLSHNKLYEDIPTELGNLASLQYYLDLSSNSFSGAIPQNLEKLVMLMILNVSHNHLSGKIPLSISHMLSLQSVDFSFNNLTGPVPTDGIFQTTTAEAYVGNSGLCGEAKGLIHCSSQHKSGSFGVKKIVLVTITPFCSVLFIVMIIFGILKFHQKSKEHGEESKSTEEYQLFHHTVLGRDARFTFSEILEATKDFNEMYCIGKGGFGSVYQAELPTGEIFAVKRLNVIDSSEIPKLELQSFENEIKTLTGVRHRNIIKLYGFCLWKKQMFLIYEFAERGSLTKVLYEEESLELSWDTRVEIVHGIAHAISYLHKLAQTMRVTEKCDVYSFGVVVLEIMMGKHPKDLLTTMSSIKSLSSMENLHAKVLLKDVLDQKLTSPRDHLAYLVMVIMSIAFSCTHVAPESRPTMRSVVQHISASITKQAYCTESFDLVTISQLMGFHN >OIW19876 pep supercontig:LupAngTanjil_v1.0:Scaffold_6_152:17561:21574:-1 gene:TanjilG_27243 transcript:OIW19876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAHTAISVDRSSAKQLNNMGMSEALPSSFHVLTMPLQETHPKLSDSQMALVEKELTTRPIMHSSSNRAVGHMFSSSPGYSTDLHHSSLSPHEKHSRKGHFISQSVSNITSLPLSYSSNNGPPPSTSTHYFKGNSVSWNTDDFLDFPDNTSIDNSQVESSACSIMASEEYSKRNEWLAWADQLISDDDTCNWNGLLSDNIQNLEPKVGCQVAKPLSQFPSRHQSQGHQKLPASSGEHRVGAAPSSSANSAPAKSRMRWTPELHEAFVEAVNQLGGSERATPKGVLKVIKVEGLTIYHVKSHLQKCRTARYKPESYEGSADKKLSAIGDVSSLDLKTGIEITEALRMQMEVQKQLHEQLEIQRNLQLRIEEQGRYLQMMFEKQCKSRIEPFKASSSAIESPSGVPSDAIKDSSSKSELEASKVNEANGITTVEYATKTQACESPKQHASDDSV >OIW19877 pep supercontig:LupAngTanjil_v1.0:Scaffold_6_153:26528:36610:-1 gene:TanjilG_27244 transcript:OIW19877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRNPKVVAAYSAMANLGIHVSKVKPVLKKLLKLYDKNWELIEEENYRALADAIFEEDENKEGRADDEEAHLQDEPLQPLKRSRLRGQETQSLPPPTSSGPSSAGYPLKIPKLEDGTVPESSYGRKHQSTAVLSDGNAQNETRQLPPCDSIVDKGKQPVSPNVTYRRRRLASERAPPAVPLIIPKDEPIDDMPEFAVPLSMILPEQSSGRDSSINNGAAEKQDGHDTVSLPCRDGKVRAQDILPSSHEVAASNVEIASPAAGEVNATEKQDGHDTVALPCRDGEVGAQDILPSSHEEVPSNVEIASSAVGEVTAAEKQDGDDTVALHCRDGEVGGEDILLSSHKEAASNVEINLSAMGEEGSVKISPSVNVSMEPEEFETLLVEGNKENGSINDISSADLVAPQIPDSKPYPSGLDDTIPVSKKVGTNDFIESDDGKLLVDVVFPNSPSSMLVSKHHPTTEIRTCQYVNDLTKGEENVKIAWVNNTSTDSPPLFHYVRRSVVFRDAQVKISLSRIGNEDCCSTCMGNCVLSSKPCFCANKTGGEFAYTAQGLLKEDFLKDCIAISHHPQHYFYCKDCPLERSMSDGCLEPCKGHLKRKFIKECWSKCGCGKKCGNRVIQRGITCKLEVFLTSEGKGWGLRTLEDLPQGAFVCEFVGEILTVKELHGRNIKYPKTGKRTYPILLDANWDSGVMEDKEALCLDAGSYGNAARFINHRCFDANLVEIPVEVECPNHNYYHLAFFTSREIAAQEELTWDYGINFDDQDQPVELFQCRCGSKFCRNMKRSNSRGGSAGNKFRMSLGLPVAATVNCADNTGAKNLYIISVKGIKGRLNRLPSACVGDMVMATVKKGKPDLRKKVLPAVIVRQRKPWRRKDGVFMYFEDNAGVIVNPKGEMKGSAITGPIGKECADLWPRIASAANAIV >OIW19878 pep supercontig:LupAngTanjil_v1.0:Scaffold_6_157:4489:5413:1 gene:TanjilG_27266 transcript:OIW19878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPQDLGTKLTALVVDDNRISRKIHQKLLNSVGIMKNQGVGNGKEAVDIHCYGQSFDLILMDMDMPIMNGIEATKILRSMGICSMIVGVSSRSMESEIQEFMEAGLDDYQVKPLTNAKLKSILDKIKPNFTFK >OIW19879 pep supercontig:LupAngTanjil_v1.0:Scaffold_6_167:2783:3913:-1 gene:TanjilG_27270 transcript:OIW19879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMWSDLPFDLLANIFSFLSLDSLARARSSCKNWHACSKSYPLTPTTSSTHSKSWFLALPIHIHHRPCCYAQNPVMGNWHKLSMEFLPISAVKPVASIRGHILLRVTNSTMFQLALCNPFTREFRYLPRLNFSRTNPAVGLVVSILDSNNDIQFHHFRVYVAGGMSKSAKHCGATYEATTEMYDSKLKTWQIVGSMPMEFAVRLTVWTPNENVYVNETLYWITSARVYSMMRFDIGTNKWNVLNVPMGDRLEFATLVKWNGALALVGGTFSDGACIWEMNEGGIWCLVDKVPVELGLKLLSGKRNWDSVKCVGSEDSICLFRDLVSGMVACKKVGDKSTWEWVWVDGCGYIKGKQVPNCAIRGTLVYPTLVSSLIF >OIW19880 pep supercontig:LupAngTanjil_v1.0:Scaffold_6_169:6943:7833:-1 gene:TanjilG_27271 transcript:OIW19880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMSRPGDWNCRSCQHLNFQRRESCQRCGESKYGERVDFMGFGGRGGSSFGLMSGSDVRPGDWYCAASNCGTHNFASRSSCFKCGAFKDDLAGGGYNNDILRSRGFGGGGGGAGGGRPGWKSGDWICTRSGCNEHNFASRMECFKCSAPRDMY >OIW19881 pep supercontig:LupAngTanjil_v1.0:Scaffold_6_169:34557:35592:1 gene:TanjilG_27272 transcript:OIW19881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQDHSYRVGETKGRTEEKTNQMISNIGDKAQAAKDKAQETAQAAKVKAQETAQAAKEKTQENSGTAREKASEMGQTTKEKAQAGKDNTGGFLQQAGEKVKGVAQGATEAVKNTLGLGQNDEDKEHCPTHNPRNY >OIW19882 pep supercontig:LupAngTanjil_v1.0:Scaffold_6_169:37707:42981:-1 gene:TanjilG_27273 transcript:OIW19882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKDNLKKPALTAFTRNGDYLAILSANGTAKIWNTSNGSLVAEWKPSDGDHDISYSCIACSFTGKKRRKEQGTWLLALGTVDGSVFAVDVSTVERKWTTSHPGGVCGLSFANKGSILHVVGHKGMAYEINIETGEVSKEFKISKKSISSLAFSHDEKYLAIVRSKVRVKSWENGEEVLNFPDDLGDIQYVSIASDAKAVVTSDLEGKHLQVWRCDLNSGTVTSGPTLPIRHSPLFFECHHGYNEEDDLVVLAVLGSGTSYVWHLSASSEEEIQPTKITVKADKENSESSKKKRGSIIASRLQPLGEDKQMKALVAYGSVDHPRFSVLGISNSGENIVLNAGDETDSVQQNDSPSGKAVPIENKKSKKRQATSDPDHPTTIDKVDFDQGEAADGVLLDDDPSEPTMGEKLASLSLLDENKSKSEKEQESSILTKPPSADSVHVLLKQALNADDRALLLDCLYTQDGKVIKKSVAELNPANVLKLLHSLISIIESRGAILACAVPWLKCLLLHHASGIMSQESSLQALNSLYQLIESRVSTYKSAIQLSSSLDILYSGVIEEEVDEGEIIPVIYEDKDSEEESEDAMETDQDSEDGQQSDEAFDDVSDIEGSGDMMGE >OIW19883 pep supercontig:LupAngTanjil_v1.0:Scaffold_6_172:10201:13757:-1 gene:TanjilG_27274 transcript:OIW19883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRRLLSSHLKTLTSSLSPPRSASSAAAIAAAAPPALSALPRRHFSDDTSAVKKKVEDVVPIATGHEREEIQAQLEGRDILEIDHPEGPFGTKEEPAVVKSYYDKRIVGCPGGEGEDEHDVVWFWLEKGKPHECPVCSQYFVLEVVGPGGSPYGHGDDDHHH >OIW19884 pep supercontig:LupAngTanjil_v1.0:Scaffold_6_172:19141:23870:-1 gene:TanjilG_27275 transcript:OIW19884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPSSTGSGCILWFRKGLRIHHNPALQFASQNGVSHLYPVFVIDPNYMKPDPNSFSPGSTHSGLNRIKFLLESLVDLDFNLKKLGSRLLILKGDPAQVLIRCLKEWNIRKLCFEFDTEPYYQALDIEVKNFALAEGIEVFSPVSHTLFNPKDIIEKNGGKPPLSYQSFIKLAGQPLPLLSTELSSLPPVGHLGTCDVFEIPSIRDLGYEDAKQGEFSPFKGGESEALKRLAECMKDKVWVANFEKPNGNPSAFLRPATTILSPYLKFGCLSSSYFYQQIQDVYKTMPKHTSPPVSLLGQLLWRDFFYTAAFGTPNFDRMKGNRICKQIPWKDDDKLLEAWREARTGFPWIDAIMVQLRKWGWIHHLARHSVACFLTRGDLFVHWEKGRDVFERLLIDSDWAINNGNWLWLSCSSFFYQYHRIYSPITFGKKYDRNGDYIRHFLPVLKDMPREYIYEPWTAPLSIQTKAKCIIGKDYPMPVVSHDSASKECRRKMREAYVLNKELNGLVSEDDLKNLRRKLDDSEEQGAKAKRSRQQVIG >OIW19885 pep supercontig:LupAngTanjil_v1.0:Scaffold_6_175:4910:5152:1 gene:TanjilG_27276 transcript:OIW19885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRLKDEKVDITTLPLWSLTKLHLHSPLLRQPMSSPVNISSDHGDDPSILHLQQCQRNSRGFLLTMLVDVVIGIKRLKFD >OIW19886 pep supercontig:LupAngTanjil_v1.0:Scaffold_6_175:5521:10263:-1 gene:TanjilG_27277 transcript:OIW19886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGVHCFEKLRRCVRTVFFVVALVASLLVTSLPMVVAMMDVVAPCVLISNFTCVTCYSFKEHLRRYAFKSSLTDIPLVSIIRSLIIICVYSVCDGPAISYGPYLGTVTLCSFLSTVLLSVKACAFSAHSQIEAEASRSLTMQRLHLKKSWGMPVLFFSSLVFALGHTVIAYRTTCRARRKLWFHRADPEAVLSCKNVFSSYSKVPRSPTPGGKSRTPKSDSEMRRRPFGTAHDEELLARLLADSDSLFITCQGLTLHYKLSMPGLVKCTLSSTPCLDSNSCHSSSSMAGGLAKFNRQLLGSSSKIQPQLYRSYSNQFHGSCLHAPLLDRPICSEDAPVFHLDDICEEDETRKLDSLSPEQNLEAIGQLGIVLVHGFGGGVFSWRHVMGSLAQQSSCRVAAFDRPGWGLSSRPRQREWEENELPNPYKLESQVDLLLSFCSEIGLSSVVFIGHDDGGLLALMATQRVQTSMNSFNVTVKGVVLLNVSLSREVVPSFARILLHTSLGKKHLVRPLLRTEITQVVNRRAWYDGTKLTTEVLNLYKAPLSVEGWEDALHEIGKLSSETILPAKTAEALLQAAEDIPVLVIGGAKDSLVSLKSCQAMASKFVNSRLVSISECGHLPHEECPKALLAAISPFISRLLSTSDMQSQ >OIW19887 pep supercontig:LupAngTanjil_v1.0:Scaffold_6_175:29398:31030:1 gene:TanjilG_27278 transcript:OIW19887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVRLMKLESQWRRIGDYLSPFLLSFNHNPCYILCLIYFSQLFILTEAPQSIGRTPTRSAYDDVNSAMKAIAPVYNFAAAITFISEFLTSSMSLSYVFFEFGDIEANYVGIDINSLQSNAFVTAGLISVTISPTSSKPKNPILSFVMDLSTIFHATLYAGFIASTGLLAGSHFITGWSYEMNGLPLTLDLSYLPQLPGPKKKQTFMIIWVSVSVLSLH >OIW19890 pep supercontig:LupAngTanjil_v1.0:Scaffold_6_183:56869:57183:-1 gene:TanjilG_27294 transcript:OIW19890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLENLHCLGTTKNSTSWPSYERIGYNPIVCVNKNETRMKIKRLRKLWRKIKREKRRIFCSKYVVNVQYDPSSYLKNFDDGYSTDSDSFSQSFSARFAAPSMVF >OIW19888 pep supercontig:LupAngTanjil_v1.0:Scaffold_6_183:35438:36486:1 gene:TanjilG_27292 transcript:OIW19888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLGITSLAVLSVYYRFSWQMEGGVVPWSEMFGTFALAVGAAVGMEYWARWAHRALWHDSLWHMHENLNL >OIW19889 pep supercontig:LupAngTanjil_v1.0:Scaffold_6_183:47010:51196:1 gene:TanjilG_27293 transcript:OIW19889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNNGNGGMKEERRRRIAERGSDRMALITGRINALPPTPNSPTSSPTYRQPPRAHSLSYNHSESDNLVDDDHQARSAEEKHDASVQSSRLKHQTGFKYSNFEDFNNIGEGESLIQEDSEGDVGGAKTKPSSVTSRAQNESLDTKKKKKLVWHKHTFFSSRELNLSILASETTRAICSLIIALLVVFFYMISEGIAATRPIYIVLLTDITIVLGRLYREKARVLEETEGENVEAPRDGHSWGDAVKLMERGLVAYQAIRVALLDGPILIVTMLSTPDGLILTEADGPSLVHDRANLTKTDGPSLAHNRTILIEDV >OIW19891 pep supercontig:LupAngTanjil_v1.0:Scaffold_6_186:12527:14218:1 gene:TanjilG_27295 transcript:OIW19891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKQGGKAKPLKKPKSDKKDYDEIDIANIQKKKDEEKALKELKAKASQKGSFGGSGLKKSGKK >OIW19892 pep supercontig:LupAngTanjil_v1.0:Scaffold_6_189:1124:2860:-1 gene:TanjilG_27296 transcript:OIW19892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQLLLLAIVLFPLLTLPSAESKTVNGLTIDLIHGDSPLSPFYKTKTATIPNNGDYFMKIFVGTPPVVLHAIADTGSDHISVQYSEAVSQCRYSLSSYREKSITEGDLVSDTIRFGSKDGPLLTTPKTTLECGNLNTENFKSIGKGVVGLGAGPLSLVSQLSDKFGHIFSYCLLPHNVNSTSKLKIGRESTIPPFLAVTTTPLVPASRYTAVLTGVSVDRDNLKPPRSLRIVIDSGSRLTYLTNPLYGHIQTAVADAVDFEAIDNPPAPYSLCLK >OIW19851 pep supercontig:LupAngTanjil_v1.0:Scaffold_6_26:11774:30116:1 gene:TanjilG_27217 transcript:OIW19851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDYSENLAVAHIRRLVDIAACTTAFGAENGKVEKAEKVEDIRRSNRPFLEDKTEDDLFHIDVRVCSGKPTTIVASRTGFYPAGKRFLVSHTLVGLLQEISRVFDAAYKALMKAFTEHNKFGNLPYGFRANTWVVPPVVADNPSVFPPLPMEDEVWGGNGGGQGKDGKHEKRKWAKDFAILAAMPCQTSEERQIRDRKAFLLHSLFVDVSVFKAVSAIKHLKDSEQSSTADTAIPTSCEERTGDLTIKVTRDVSDASMKLDSKNDGNRVLGLSEEELAQRNLLKGITADESATVHVSAEKELEGSPNSLEIDIEDQPEGGANALNVNSLRILLHKSSTPQSSNATQRNQSTDFEYSRYSQSIVRKVLEQSLLKLKEKPTRHSKSIRWELGACWVQHLQNQATCKNEPKKADEAKVEPAVKGLGRQGGLLKELKKKIDTKNSKVEQGKDISTCTGVELNNSDATQEELERKDEEKESMLRKLLHDAAYTRLKESKTGLHLKVELADKLPHVQSLCIHEMIVRAYKHILQSVVASVENVSELASSIASCLNILLGTPSPESKDADITSCDELKWKWVEIFLQKRFGWKWKYENDQELRKFAILRGLCHKATIYQQKALDINERELGLDHPDTMKSYGDLAVFYYRLQHTELALKYVTRALYLLHLTCGPSHPNTAATYINVAMMEEGLGNAHVALRYLHEALKCNKRLLGPDHIQTAASYHAIAIALSLMEAYSLSVQHEQTTLQILQAKLGSDDLRTQDAAAWLEYFESKALEQQEAARNGTPKPDASISSKGHLRYVTRALYLLHLTCGPSHPNTAATYINVAMMEEGLGNAHVALRYLHEALKCNKRLLGPDHIQTAASYHAIAIALSLMEAYSLSVQHEQTTLQILQAKLGSDDLRTQDAAAWLEYFESKALEQQEAARNGTPKPDASISSKGHLSVSDLLDYITPDADLKEREAQKKARAKLKGKPDQNSETASDENHKEEDTSQGSSIQEITSDKENKSDTQFEEHRIDKVESTQLDQTMLNESNNPTQDESSDEGWQEAIPKGRSLTGRKSSSSRRPTLAKLNTNFKNVTQSSKYRGKPTNFSSPRTSVHETSSGPSLPVTEKFNKSSTFSPKLNKSNAPAAGPENLANSKSAPASPAPGVQIAKFSPASSSITVQSAGKLFSYKEVALAPPGTIVKAVAEQSPKGNPIVQQNPEASQEIVGKKDSHSNVATTKDVDDYAKKPIDEVQQKPVHDEQKEKEATVVTDNEEKVKSNDVKHEVIEVKPKEVNNDPVIEKRVEAGNITATDRNSASDGESEIKVQEISQAREPDLNPRNILAEDEKRSLDNDAPVSKKVIEGDEKQHELHSGSTDSKPLPSEGEKQDDIEIVKETTKKLSAAAPPFNPSTVPVFGTVPVPAFKDNGGILPSPVNIPPMLAVNPRRSLHQSATARIPYGPRISGGYNRYGNHVPRNKPVFPTGEQSTDGNPNSPPRVMNPHATEFVPGQTWIPNGHNVPPNEYIASPNGTLISPNSFPSVSPNGNPLSSSGDPAPLNDIPVDQNGSATPPTSSTDSAQVLYFDTNLENKNQTLHEENKDSSSSEASCEKQQVEQNPQDRLSAANENCSRTEEKAEDLSPTADGNEEDKVTSKDTVDEKRSDKCWGDYSDGEADPIEVTS >OIW19852 pep supercontig:LupAngTanjil_v1.0:Scaffold_6_26:39633:44532:1 gene:TanjilG_27218 transcript:OIW19852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLSQQQPQKNNASNDNNNKNLNATKNLTTKVKRTRRSVPRDSPPQRSSIYRGVTRHRWTGRYEAHLWDKNCWNESQNKKGRQGAYDDEETAAHAYDLAALKYWGQETTLNFPLPTYENEVREMDDISREEYIGSLRRKSSGFSRGISKYRGVARHHHNGRWEARIGRVFGNKYLYLGTYATQEEAATAYDIAAIEYRGLNAITNFDLSHYINWLKPNNNDTNIIKYNIVDSNPIVCDRNITPYPNQEMGCNFFNNQEKSFKNGEDQTTMTQTRLADAASTLGLLMTSNSTLLESELLSCIFPDEIQTYFDCEDYSKYGESDDLMFNELESYLPPIFHFDDFDICLK >OIW19853 pep supercontig:LupAngTanjil_v1.0:Scaffold_6_27:12439:14346:1 gene:TanjilG_27219 transcript:OIW19853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHITVIPGHLQDLWNLWGLEVLVLLSFTMQVILTVLGSRRKDIPGMWIRFTVWFTYLLSASLAKMILGKLTVIPESVPDQRNIRRELKGLFAPLLLVQIGNPDGITAYSIEDNRLGLRQLLGLAFQIAVVFWIIVKSWTHSELSYLYLPLFISGLIKHGEVVWCLKSALSQRSGIITIQEIDHEANMPALFRMLPEDIPNIELILKAYYRFFSLKPHRDNWLYQPLYESLPWMTVDEYAPDDIFRITDAELSFMYDALYTKAPIIYTKQGFIFRVVSFFGLVSTLCGLSIIFTQEISSHWKGSFIVGILAGAILMEAYQIAQLPFSDWAIIQMIKHQNLPLMIPCLRILGSRASNWKRWSHTLSQFNLLSFCLRDKQLKCNKILKYHGNDMEFKKNKSRTRMKFPQELKVLIVQEMKDIDGDRGLKPFNQRGEWSLARYECLNDFKWSVKRDFDKSITIWHIATDICYYADSQYHTTNHKIQMAHFLSNYMMYLLALRPHMLSTTTAKIIFQHSFDKLKDLLVQKEQQHVKDEKEACKILRMERLPHHTSSERKSETVVTSKWHMLRDAQRLARNLMVKENRWEIICSVWVEMLCYAAANCSMDYHSAQIRRGGGLITHVWILLAHKTDKYHISD >OIW19855 pep supercontig:LupAngTanjil_v1.0:Scaffold_6_35:40153:40626:-1 gene:TanjilG_27221 transcript:OIW19855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIRGLAFLALIVVALSAVVSASRVERKDLGLDLGGVGVGLGAGVGIGLGGGGGAGSGAGAGSGSGSSSSSGSASSSGSGSGSGSGAGSNAGSHAGSQAGSEAGSGSGSGAGSEAGSNAGSHAGSEAGSGSGSGAGSEAGSYAGSHAGSGAGGHGN >OIW19856 pep supercontig:LupAngTanjil_v1.0:Scaffold_6_35:42985:47561:-1 gene:TanjilG_27222 transcript:OIW19856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCRLSNNLTGLFNLLTLLLSIPILITSIWLTKQSSTQCETWLQKPIIILSIFLIILSLCGFIGSCCGATWLLWLYLFVMYLLIVITFAFTVFVFVVTNKGAGEVVSNRGYKEYRLGDYSNWLQKRVNENETWERIRSCIQSGKLCEKFQTQFGNDTVQQFYQENLSALQSGCCKPSNDCNFTYHSPTVWNKTEGVVHSNPDCNVWDNDPNVLCFNCQSCKAGLLQNLKTDWKKVSIINIIFLIFLVIVYSIGCCAFRNNRARGWK >OIW19854 pep supercontig:LupAngTanjil_v1.0:Scaffold_6_35:13732:18457:1 gene:TanjilG_27220 transcript:OIW19854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKAFGLAKAHGHHKGLDTAIVKATNYDHALPKEKHIRTIFQSLSPSKPRSEVVYCIHGLARRLSQTYNWAVAVKALIVIHRAMRELDSTVWVELVNYRRGNGCLINIAHFRDSSSPYACLLTRSFWCQALDYSAWIHNYALYLEDRLQCFLIMNYDVGTNSSPGGAATHNRLIQYALSVVAGESVKLYVAITVKVVELLDKFFEMHCDDATKALEIYQKSGHQAERLSEFFETCRGLDFGRGQKFINIKMPPASFMSTMEEYIKDAPCTLMLEYNVKDDDKVAASESAAPVGDLLPEVNQDSEKNETSTPTQAADLMGLYDLLTGASEFEENSLALAIVPIDHSSSSSNDENGPSPITGWEDALFTEPEPESFTENVVAVGGLEVSKLENLYDNAITVTQQNEAYQIGQVASNPFDFGATDYNQYNMTTESSTIQNAYYGPSNMTPQMVGIPYQDYYMMHQQQPQEPFVMNKKSNNPFDEPNMLALDMPSLPTQST >OIW19857 pep supercontig:LupAngTanjil_v1.0:Scaffold_6_36:528:6258:1 gene:TanjilG_27223 transcript:OIW19857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARWDAILSLPVQNPPTLEISAIDLVWSKVEGWCDKLDRVALIPFARVDDFVRGESNNQDCPTRFHVEARRKRPPSKPKVDGILEYILYWCSFGPDDHRKGGIVRPSRTTYVPKKKNAGRPNTKRGCTCHFIVKQLVAKPSVALIIYNDDKHVDKKGLPCHGPQDKKAAGTRAMFAPYISEDLRLRVLSLLHVGVSVETIMQRHNESVEKQGGPSNRDDLLTHRYVRRQERAIRRSTYELDADDVVSFSMWVENHQSNVFFYEGFSDSDPFTLGIQTEWQLQQMIRFGNRGLLASDSRFGTNKLKYHIHSLLVFNSDKKAIPVAWIISPRCSSIDAHGWMRALFNRVHTKDPTWKLAGFILDDPLYDVPSIRDVFQCSVLISFWRVRHLWHKRIVKCSETDMQIKISKRLGWIVDNICRHQGTVSLFDDFIEDFIDETDFMDYFKATWYPRIGAWTDALKTLPLASLETCAAIEFYHSQLKIRLLNEKDSSVYQRADWLVDRLGTKVHSYFWLDEYLGKDDFARYWKNEWMSGLTSWRKALKIPETDVLMEDGCAKVTDQNDRDKAYVVWNPGSMLSICNCSWAQDGNLCEHILKAISICRKRGSILSSISLFQYRQTLKNMLHCPPYDSLIRDHAMSLAVSVQKQLNTLLDKESVQTDVEPNEKQIIINIPQETSRIVSAKHDQNLVSERHVNSEILSRKGDGCEDGIESPGHAVGGIAYHDSSRNHVPSETAGEDSLPADMDVDETVSNNAFLESKERALLTIGNEISATKNVLLDDKIEEDTSDKGHKDYVMDVDPLPVYIASSTTETMMHCETHQNSVNGVMSCSKDADSHMTDSPAVEELARITNESSGIRKEDMNLGTNT >OIW19861 pep supercontig:LupAngTanjil_v1.0:Scaffold_6_36:47693:51403:1 gene:TanjilG_27227 transcript:OIW19861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLFLISHSIFIDTFEIRSYFFPLLKPPTGSPDQWQPDPNAEPKPDPPLRVFMYDLPRRFNVGMINRRDTTETPVTVVDWPPWPENWGLKKQHSVEYWLMGSLIHEGEDGERREVVRVLDPEVADVFFVPFFSSLSFNTHGHIMTDPATEIDRKLQVDVMELLRKSKYWQRSGGRDHVFPLTHPNAFRFLRNQVNRSIQVVVDFGRYPKGMSNLDKDVVSPYVHVVDSFTDDEAQDPYESRSTLLFFRGRTSRKSEGIVRAKMVKILTGYDDVHYERSFATEENIKTSSIGMRSSKFCLHPAGDTPSSCRLFDVIVSHCVPVIVSDQIELPFEEDIDYSQFSLFFSFKEALQPDYMINKLRKFPKEKWTEMWRQLKNISHHYEFQYPPKREDAVNMLWRQVRHKLPKVRLSVHRSRRLKIPDWWHKR >OIW19859 pep supercontig:LupAngTanjil_v1.0:Scaffold_6_36:17358:17675:-1 gene:TanjilG_27225 transcript:OIW19859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSLLHLLGMFDDDDDDDEDDTMETQSRNYPNHGVVAPRRNATQPPNHGAVAPRRNATKPPAAFNNTGTQNLRGLINNTGYTKGNGNGSIIFGGFDSSTRTYNK >OIW19860 pep supercontig:LupAngTanjil_v1.0:Scaffold_6_36:42298:43087:1 gene:TanjilG_27226 transcript:OIW19860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLFLISHSIFIDTFEIRSYFFPLLKPPTGSPDQWQPDPNAEPKPDPPLRVFMYDLPRRFNVGMINRRDTTETPVTVVDWPPWPENWGLKKQHSVEYWLMGSLIHEGEDGERREVVRVLDPEVADVFFVPFFSSLSFNTHGHIMTDPATEIDRKLQLERKSAVEWGGNDDNFIGVPTSSGLGTR >OIW19862 pep supercontig:LupAngTanjil_v1.0:Scaffold_6_36:53925:56774:-1 gene:TanjilG_27228 transcript:OIW19862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTTSSMVSPKSSVLLAKRKRKIHKLLEDEDDDNVGREEGKISEAKSESMKGDSKKRQFRKKTVSFDDSESEEEMLKDQREKEELEKHIREKDEARTWKLTVHKLTQKEKEEEIRRSNALEKDDVRVLRNSSRHEFLKKTVDKKLIELGNYIEDEQYLFEGVKLTEAEKSDYRYKKEIYELLTKQSEEADNVNEYRMPDAYDQDGVVNQKKRFSAAMRHDRDRISDEKMNSFAEQELWEEHQIGKASWKYGSENKKQASDDYQFVFEDQIDFVKASVMDGDNVCYEEMDDSFQKSKAKSASEALLEERKKLPIYPYRDELLKALDDHQVLVIVGETGSGKTTQIPQYLHEVGYTKHGMIACTQPRRVAAMSVAARVSQEMGVKLGHEVGYSIRFEDCTSEKTIVKYMTDGMLLREFLGEPDLASYSVIMVDEAHERTLSTDILFGLVKDISRFRPDLKLLISSATLDAEKFSDYFDSAPIFKIPGRRYPVEINFTKAPEADYLDAAIVTSLQIHVTQPPGDILVFLTGQEEIETAEEMLKQRTRGLGSKIAELVICPIDANLPTELQAKIFQPTPGGARKIVLATNIAETSLTIDGIKYVVDPGFCKMKCYNPRTGMESLLVTPISKASAMQRAGRSGRTGPGKCFRLYTAYNFHNDLDDNTVPEIQRTNLANVVLMLKSLGIHDLIHFDFMDPPSVEALLKALELLFALSALNKDGDLTKVGRRMAEFPLDPMLSKTIVASEKYKCSADIISIAAMLSVGNSIFYRPKDKQVHADNARLNFHIGNVGDHIALLKVYNSWKESNYSAQWCYENYIQLRSMKRARDIRDQLEGLLERVEIELISNSNDLDAIKKSIASGFFPHSARLQKNGGYQTIKHPQTAHIHPSSGLAEVLPIWVVYHELVLTTKEYMRQITELKPNWLVEIAPHYYQLKDVEESVSKKLPRGEGRA >OIW19858 pep supercontig:LupAngTanjil_v1.0:Scaffold_6_36:10088:13154:1 gene:TanjilG_27224 transcript:OIW19858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFCSECNNILYPKEDKEHRILLYGCRNCDHEEVADNNIVYRNKIHHSDGERTQGLQNVAADPTLPRTKSVRCAQCNHGEAVFYQGTAPGEEGMALFFVCCNPNCGNRWRD >OIW19863 pep supercontig:LupAngTanjil_v1.0:Scaffold_6_45:10960:13409:-1 gene:TanjilG_27229 transcript:OIW19863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTTSSIISPQSSALTQYQKQEREAAFLANKKRKIHKLLEHDDNVAQEKVKICEAKSESMKGDSKKRKFRKKTASFDDSESEEERLKDQREKEELEKHIREKDEARTWKLTVHKLTQKEKEEEIRRSNALEKDDVRVLRNSSRHEFLKKTVDKKLIELGNYIEDEQYLFEGVKLTEAEKSDYRYKKEIYELLKKQLEEADNVNEYRMPDAYDQDGVVNQKKRFSAAMRHDRDRISDEKMNSFAEQELWEEHQIGKASWKYGSENKKQASDDYQFVFEDQIDFVKASVMDGDNACFEEMDDSFQKSKAKSASEALLEERKKLPIYPYRDELLKALNDHQVLVIVGETGSGKTTQIPQYLHEAGYTKRGMIACTQPRRVAAMSVAARVSQEMGVKLGHEVGYSIRFEDCTSEKTIVKYMTDGMLLREFLGEPDLASYSVIMVDEAHERTLSTDILFGLVKDISRFRPDLKLLISSATLDAEKFSDYFDSAPIFKIPGRRYPVEINFTKAPEADYLDAAIVTSLQIHVTQPPGDIWVFLTGQEEIETAEEMLKQRTRGLGRFCKMKCYNPRTGMESLLVTPISKASAMQRAGRSGRTGPGKCFRLYTAYNFHNDLDDNTVPEIQRTNLANVVLMLKSLGIHD >OIW19864 pep supercontig:LupAngTanjil_v1.0:Scaffold_6_45:48810:49223:-1 gene:TanjilG_27230 transcript:OIW19864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAHIDPMMIMRTKSKVFAEEPHSPKLPLVTYWYTGLITILGFIAIAMFIRIAYSFWKLSSGNNLQQEKQDLEAQKSETQVHEEKMFVVMAGEERPTFLATPMWSNRSSSFKDNISNGVCTSNKVEMDQALGVKNCL >OIW19865 pep supercontig:LupAngTanjil_v1.0:Scaffold_6_47:52510:54080:1 gene:TanjilG_27231 transcript:OIW19865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMRYKNGKGGEIVSNSPRDTKGHGTHTASTTAGSYMANASFFGYASGIARGMAPQVHVAAYKFLAWWIGVVEPSTLPTDTRKSPFNIVSTMSCPHISGLAALIKVAHPKWSPSAIKSTLMTTTYTLDNTNTTLHESSIGAISTPWAHGADHVDPQKALSHGLVYDASTNDYIKFLCSLNSLDHIQTIVQNRNVNCSKKFSDPGQLNYPSFSIFFGIKMRVVCYRRTLTNVGDDNSVYNVVIDGPSTISITVKPSKLVFGKVHEKKRYNVTFVSNKGASIKAAFSSINWSNLQHQVRSPIAFTWLGK >OIW19866 pep supercontig:LupAngTanjil_v1.0:Scaffold_6_49:16200:19316:1 gene:TanjilG_27232 transcript:OIW19866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENDVYTKDGTVDYHGNPANRRETGTWRACPFILGNECCERLAYYGMSTNLVLYFKNRLHQHSAIASKNVSNWSGTCYITPLIGAFVADSYLGRYWTIAIFSIIYVIGMTLLTLSASIPGIKPTCHGNGDENCHANVGQSAVCFLALYLVALATGGIKPCVSSYGADQFDDADPAEKEHKSSFFNWFYFSINIGALIASSLLVWIQDNVGWGWGFGIPAVAMAIAVVSFFSGTRLYRNQKPGGSALTRICQVIVASIKKYHVQVPSDKSLLYEIADTEIAIEGSRKLEHTNELRFFDKAAVIGQSDNVKDSANPWRLCTVTQVEELKSILRLLPVWSTGIIFATVYGQMGTLFVLQGQTMNTHVGNSTFKIPPASLSIFDTLSVIFWVPVYDRIIVALVRKFSGNKNGLTLLQRMGVGLFISIFAMVAAAILEVMRLRSVRKHNYYELEEIPMTIFWQVPQYFIIGCAEVFTFIGQLEFFYDQAPDAMRSLCSALSLLTAALGQYFSSLLVTIVTSISTKNGSPGWIPDNLNYGHLHYFFWLLAVLSVLNFIAFLLVAKLYTYKKAIGTLR >OIW19867 pep supercontig:LupAngTanjil_v1.0:Scaffold_6_49:27160:28717:-1 gene:TanjilG_27233 transcript:OIW19867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCRSSVEPNLAPATPTRLRHPPPRYPSPAADGNMNIVQSECVMASASKDASNITRVDKKGLKKLHKWGTLWNKFGFVQRRKEEKLEEEEYGAGDMVSMPGSESESWQKLRRVVNGQVSGSVSQKLIRSYSVSCQNSCRADGLVDDLGHPETKLNILNGTQDLTIHRNRSIRHSPNNVDNGLLRFYLTPLKSYRRSMSRRNGLKDLNPTARSLIKAVTFENISGCKKYL >OIW19869 pep supercontig:LupAngTanjil_v1.0:Scaffold_6_51:29936:30541:-1 gene:TanjilG_27235 transcript:OIW19869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVNRRTQSGYSRSFGSTRTNSYSKVVTLEPSTTNSYRKEGSSIGSVVAPLKNNHDMGSSKIGGDYRRLTSAKMKEKREKGLCFRCDEPFSRDHRCRNKQLHMLLMADEEEAEEEEVEEGNEAGIEVQAFNSLQLSLYSMKGLTSSRSWEIGETLREKPVVVIIECGASHNFISRDLVDDLQLKVEGTITYVVELGDGHHI >OIW19868 pep supercontig:LupAngTanjil_v1.0:Scaffold_6_51:24256:24432:-1 gene:TanjilG_27234 transcript:OIW19868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKLEVIQDFYLFELRGVDMVLGLEWLASLGKVRADFGRMKLSIKKGEQTSLYLGILP >OIW19870 pep supercontig:LupAngTanjil_v1.0:Scaffold_6_57:64582:64818:1 gene:TanjilG_27236 transcript:OIW19870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAAAVPLLYAICMYKDYEILNHRMLLTLMDRISNMKMQNGAWLYGLIQVTSSDPEPFAYDFDRSIIMNDWYHQSTNE >OIW19845 pep supercontig:LupAngTanjil_v1.0:Scaffold_6_8:8510:11745:1 gene:TanjilG_27202 transcript:OIW19845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRDNKKASKPSTSGAPRIRTLSDLNRPSADSDSDSDAPQEYYTGGEKSGMLVQDPTKGNDVDAIFNQARQLGAVERPLDQVQEPPRPTSFTGTGRLLSGETVQSATQQPEAVVHNIVFWSNGFTVNDGPLRSLDDPENASFLESIKKSECPKELEPANRRSSVNVNLIRRNEKCPEPAKQHPVSFQGVGRTLGSSSTSVAPEPTVASTPLNSAPAASAALVVDQSLPSTTIQIRLADGTRLISPFNLNHTIHDIRGFVDSSRPGSQQNYQLQLMGFPPKVLNDHTQTIQQAGLANSVVIQKF >OIW19846 pep supercontig:LupAngTanjil_v1.0:Scaffold_6_8:20008:25945:1 gene:TanjilG_27203 transcript:OIW19846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTEQNAVNQTQHQNSEGNQSGPNDSGNSEREFKQVRASMHSAITLNKTEVLDDVLNNFSEGYFSLSHENRRNLLLVLAREYDLDRSQVRELIKQCLGLDLPSDKAQVSGPEEDSLFSSFYRIERNLRHALKPVYEDLFDRLNTHPGGLRFLSNLRADILSILAEENIASLRALDSYLKEKLSTWLSPAALELHQITWDDPASLLEKIVAYEAVHPISNLLDLKRRLGTGRRCFGYLHPAIPGEPLIFIEVALLKDVAQSIQDVLWDSPPIPETEATCALFYSISSTQPGLAGINLGKFLIKRVITLVKREMSHISTFATLSPIPGFMQWLLSKLASQTLLAEADMSQQLADGSSSTFYENILNPEEEEALMGLPKDNVDGTNGMEVMFNLLTSKSYKWIHSPEFLSVLKKPLMRLCARYLLQEKKRGKALDSVANFHLQNGAMVERINWLADRSEKGLSQSGGIMVNYVYSLEHIEEYAHSYFTNGEIHASPVLHHYVEVMASA >OIW22015 pep supercontig:LupAngTanjil_v1.0:Scaffold_701:7158:9604:1 gene:TanjilG_29204 transcript:OIW22015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDDASKLLQSQTHILHYTFSFINSMSLKCAIDLCIPDVIHNYGQPMPLSILISSLPIHPSKTTFIYRLMRVLTHSGFFSHHNNELEEVSYDLTDASRLLLKDHPFSMRSMTQIILDPILLRPWHQYSTWLKNDEPTPFDTENGMSFYEYAGQDPKLNDMFNDAMESDSRLVSSVIIEKCEGVFKGLESMIDVGGGTGTMAKAIAKSFPQLECIVFDLPHVVAGLEGSYENLKYVGGNMFEAIPPTDSILLKCILHNWNDEECLIILKKCKEAIMSKGEKGKVIIIDMVIGIEKQDKETVELKLLYDMEMMALVTGKERNEKEWSELIFSAGFNNYMITTVLGPKSLIEVYP >OIW22014 pep supercontig:LupAngTanjil_v1.0:Scaffold_701:4086:6122:1 gene:TanjilG_29203 transcript:OIW22014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLSILISSLPIHPSKTTFIYRLMRILTHFGFFSQHKNELEVSYDLTDASRLLLKDHPFSVTPLIQVILDPNFVRPHQYSTWLKNDDITPFEMEHGVAFYDYVGQDPKLNHLFNDAMASDARLVSTMVIEKCEGVFKGLESLVDVGGGTGTMAKAIAKSFPQLDCIVFDLPHVVAGLQGGYDNLKYVGGNMFEAIPPTNSILLEWILHNWNDEECVQILKKSKEAITREGKEGKVIIIDMVMENLKEDNESIETKLFFDLQMMVLFAGKERNEKEWAKLIFSAGFNNYKITPILGLKSLIEVYP >OIW22016 pep supercontig:LupAngTanjil_v1.0:Scaffold_701:12441:12914:1 gene:TanjilG_29205 transcript:OIW22016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHGVAFYDYVGQDPRLNNLFNDAMASDARLVSTMVIEKCEGVFKGLESLVDVGGGTGTMAKAIAKSFPQLDCIVFDLPHVVAGLQGGYDNLKYVGGNMFEAIPPTNSILLEVKYFEIPICSFLLDYLGFPNELRYIFFTISCAIICIISCNCLDFI >OIW22018 pep supercontig:LupAngTanjil_v1.0:Scaffold_702:7016:9463:-1 gene:TanjilG_29207 transcript:OIW22018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLLLRMSFGPIEDTNSLLGFACKSHIIERTQRRFKGVTFANKAFALSSAAVVANPIRSSEEKVYEVVLKQAALVKERSRDAKVALDLDTKPVENGEFSNGDLLNVAYDRCGEVCAEYAKTFYLGTRLMTEERQKAIWAIYVWCRRTDELVDGPNASYITPSALDRWEQRLNDVFQGRPFDMYDAALSDTVTRYPVDIQPFKDMIEGMRMDLRKSRYNNFDELYLYCYYVAGTVALMSVPVMGIAPESKVSTESIYNAALALGIANQLTNILRDVGEDARRGRVYLPQDELAKAGLSDEDIFSGQVTDKWRSFMKGQIKRARKFFDEAEEGVTQLDAASRWPVWSSLLLYRQILDAIEANDYNNFTKRAYVGKAKKLLSLPAAYGIALIGPQKLSKMVLG >OIW22017 pep supercontig:LupAngTanjil_v1.0:Scaffold_702:3169:5932:1 gene:TanjilG_29206 transcript:OIW22017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQLEPGIFGVMDSANEASSSSLSFVSSLLSNGSSNEHEANTEVLSLSRLSGSLEKLLIDSEYDYSDAEILVEGMSVGVHKGILASRSSFFHELFKKGNVDSMKEGKPRYLMSELVPYGRVGYEAFQVFLHYLYTGKLKGSPLEVKTCVDESCIHDACRPAIDYALELMYASATFQMKELVLLFQRHLLSFAEKALVEDVVPILMAAFHCQLSQLLSHCIQRVARSGLDSIYLEKELPHEVVTEIKSLQVQPHPESTPNNAMEVEPLNSKSIRKIHKALDIDDVELLKLLLNESSVTLDDACALHYACAYSQAKVVQEVLTLGLADINHRNVRGYSVLHVAARRKDPSILVALLKKGACASDTTPDGQTALSICRRLTRRKDYHEKTMQGKESNKDRLCVDVLEREMRRNSMSVNMSVSSELTADDLHMRLDYLENRVAFARLLYPAEARVAMENAEEDSKSMYSSSTALKGSSGNLKVDLNETPSARTKKLVHSLLKTVENGRRFFPHCSEVLDNFLEDDMADVFFLEKGTEKEQKLKKARFMELKDDVQKAFHKDMAESNHHSGRFLSMLSSSSSSSRRESLGHRVRKR >OIW22019 pep supercontig:LupAngTanjil_v1.0:Scaffold_706:3224:5428:-1 gene:TanjilG_29208 transcript:OIW22019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGRSYSPSPTPPPPPHTHRDGGGGGGGGGGGGGRRRGYSSRRESGRSPSLPPPPPPPPPSHRDRRDRGYSRDRDLPTSLLVRNLSHDCRPEDIRRPFSQFGALKDIYLPKDYYTGEPRGFGFVQFVDPADAADAKHHMDGQFLLGRELTVVFAEENRKKPTEMRTRERGGRSNDRRRSPPRYSRSPRYSRSPPPRHRSRSHHYYSPPPKRREYSRSVSPEERRYSRERSYSPQKRERSYSRSPPPFNGSGSRNRSQSPAKDPPSRSRSPTPDRESSRGARSPSP >OIW22021 pep supercontig:LupAngTanjil_v1.0:Scaffold_713:4666:9040:-1 gene:TanjilG_29330 transcript:OIW22021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLRVCLFVLIGLVVALPVSMARFVVEKNSLSITSPEKMKGTYDSAIGNFGIPQYGGSMAGNVVYPKDNNNGCKEFNHFSISFKSTPGALPTIVLLHRGSCFFALKVWNAQKAGASAVLVADDIDEPLITMDTPEEDGSSAQYIQNITIPSALIGKSFGEKLKDAISGGDMVNVNLDWREAVPHPDERVEYELWSNSNDECGVKCNMLMEFVKDFKGAAQILEKGGYTQFTPHYITWYCPQAFTLSKQCKSQCINHGRYCAPDPEQDFSTGYDGKDVVIENLRQLCVFKVANETATPWVWWDYVTDFQIRCPMKEKKYNKELSAGLDIKKIEKCIGDPDADSENLVLKQEQDAQIGKGSRGDVTILPTLVVNNRQYRGKLEKSAILKAICAGFEETTEPAVCLSGDLQTNECLTSNGGCWRDNAANITACKDTFRGRVCECPMVDGVQFKGDGYTTCEASGPGRCKINNGGCWHDARNGHAFSACSDDGGVRCQCPTGFSGDGVKNCEDIDECKEKKACQCPECSCKNTWGSYDCTCSGDLLYIKDHDACISKTASQGKSAWAAFWVILIGLVMAAGGAFLVYKYRIRQYMDSEIRAIMAQYMPLDGQVEVPNHVNDQRA >OIW22020 pep supercontig:LupAngTanjil_v1.0:Scaffold_713:2234:3185:1 gene:TanjilG_29329 transcript:OIW22020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMVAAAISSFSIPTFRVTETYSPSLITLRPTPSHAPHAAVKLPMLRTVKTLATVSPSPPPPPPPGKTRGIMKPRRVSPEMQALVGLTEVSRTHALKHIWAYIKQNNLQDPENKRVIICDEKLKKVFAGRDQVGMLEIAGLISPHFLK >OIW21101 pep supercontig:LupAngTanjil_v1.0:Scaffold_71_41:4160:4510:1 gene:TanjilG_29234 transcript:OIW21101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCSPFLLPTVANGNKGELRRCEWRKGTHQSWLWLLKPRFGTTRTKREWVMFGRVLATVVTPLEILTMGGGACVPRDTIEQGGVAPSMAPLTRNEGHLRLVVVQLHGSSVPMDDGD >OIW21102 pep supercontig:LupAngTanjil_v1.0:Scaffold_71_43:3622:7750:1 gene:TanjilG_29235 transcript:OIW21102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPILVKSGSFRHGLAEKKERLLSMAGDYSIGMPESDVEEEHASYKCCLCDGIVKCWKRVKSFGVKGWEIGRSDPRNVMFSAKLGLALTLLSLLIFLREDMSRYSVWAILTVVVVFEFHIGATLSKGLNRGLGTLSAGALALGMAELSASAGKWEELIIIISIFTIGFCATYAKLFPTLKPYEYGFRVFLITYCFITVSGYRTGEFVETAVNRFLLIALGASVSLGVNICIYPIWAGEDLHNLVTKNFMGVATSLEDIVNNYLSFVEYKRVPSKILTYEASDDPIYNGYRSAVESRSKEDSLIGFAVWEPPHGHYKMLKYPWKNYVKVSGALRHCAFMVMAMHGCILSEIQAPAEKRHVFHCELQRVGSEGAKVLRELGNKLGKMEKLDREDLLYEVHEAAEELQHKIDKKSYLLVNSESWEIGNRPREEKGDNLQGLFNRDGERKFLEHKSLSEAILDLRSVEVPKSWDAKLTSENNPAVPAAITLNNISKTYESASALSLTTFTSLLIEFVARLQNLVDSFEELGEKAKFVDPLEHQEEPVRHGGFWTRLFNCFQSKD >OIW21103 pep supercontig:LupAngTanjil_v1.0:Scaffold_71_49:22623:24302:-1 gene:TanjilG_29318 transcript:OIW21103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLQQDRDTLNKLMAFSIKDFHYALTIFNYIHHPSLFIYNLMIKEFVKRGSFRNAISLFHRLRQQEHGVWPDNYTYPYVFKAIGCLRDVSEGEKVHAFVVKNGIVFDAYVCNSLIDMYAELGKVGSFEKVFDEMPERDEVSWNVMISGYVRCRSFDEAVGVFRRMREESDEKPTEATIVSTLSACTALKNLELGKEIHGYIASGLDLTIRMGNALLDMYCKCGCLSVAREIFDEMPVKNVICWTSMVTGYVNCGRLDEARDLFEKSPTRDVVLWTAMINGYVQFNRFDEGIALFQEMQIRRVKPDNFIVVTLLTGCAQLGALEQGKWIHNYIDENRITVDAVVGTALIEMYAKCGCINKSLEIFYGLKEKDIMSWTSIIFGLAMNGKASKALELFEEMETFGARPDDGTFIAILSACSHGGLVEEGRKLFYSMSRKYNIEPNLKHYGCFIDLLGRAGLLHEAEELVRKLPDESNEIIVPLYEALLSACRVYGNIDMGERVATILAKVKSSDSSLHTLLSSIYASADRWEDASKVRSKMKDLGIKKAPGFSAIEVDGNRG >OIW21104 pep supercontig:LupAngTanjil_v1.0:Scaffold_71_49:39371:41002:-1 gene:TanjilG_29319 transcript:OIW21104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSVEEIRNAQRAQGPATIMAIGTATPLNCVDQSTYPDFYFRVTNSEHKTELKEKFKRMCEKSMIKKRYMHLTEDILKENPSICAYMAPSLDARQDMVVVEVPRLGKEAATKAIKEWGQPKSKITHLIFCTTSGVDMPGADYQLTKLLGLRPYVKRYMMYQQGCFAGGTVLRLAKDLAENNKGARVLVVCSEITAVTFRGPSETHLDSLVGQALFGDGAAALIVGSDPMLEVEKPLFELVWTAQTILPDSEGAIDGHLREVGLTFHLLKDVPGLISKNIEKALVEAFQPLGISDYNSIFWIAHPGGPAILDQVELKLGLKPEKMRATRHVLSEYGNMSSACVLFIMDEMRKKSVQDGLKTTGEGLEWGVLFGFGPGLTVETVVLHSVAD >OIW21095 pep supercontig:LupAngTanjil_v1.0:Scaffold_71_5:3028:4429:-1 gene:TanjilG_29228 transcript:OIW21095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSKSLSNSSEDDNELRRGPWTLEEDKMLVQYISDHGEGRWNLLAKRSGLKRIGKSCRLRWLNYLKPDVKRGNLTLEEQLLILELHSRWGNRWSKIAQHLPGRTDNEIKNYWRTKIQKQARCLKIDTYSREFQEIIRHLWIPKLLQKAKESSSSMSIQNQSIPIPLGSGPQYSAIPTQIPWQGPCINEATGPNYLDQHAQNSDSEHNNGSCISFSESANISKVSNLLGYTKNQFYGLGTNDFGTLTYDEYGVNNNAYEMDAFNITTTIGDCHMVESNWVNNDFACRTWSMDELF >OIW21096 pep supercontig:LupAngTanjil_v1.0:Scaffold_71_5:8814:9056:-1 gene:TanjilG_29229 transcript:OIW21096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKEELILTDESMIVTEGIGNMTEDAEIMTEPARTNLIEEIEIMTEPATTNLTEDAEFLTNAHNVKLDRGNRSSEKINMH >OIW21097 pep supercontig:LupAngTanjil_v1.0:Scaffold_71_6:8731:9333:-1 gene:TanjilG_29230 transcript:OIW21097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPSLFHPITTTNINKGLKTNRVSHSIKKTSSSSPSLSSLLPPNKPQQQRQPVIIYTHSPKIIERHPKDFMALVQKLTGLSRDHSEEETDEDSNPISSFNSPSRLPPPKHEPEAAVENIVEAKYPKEENETSSVITNENNGGYSSMGEVKSCFMAAPSSMTMEPPLMHYMRNLPVFEPNSDEFMCSAKSFFNYPEPFFFP >OIW21098 pep supercontig:LupAngTanjil_v1.0:Scaffold_71_6:10630:10872:-1 gene:TanjilG_29231 transcript:OIW21098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPKTSQKELDEALTQLFINKLKENQEQQDACHAAITIVLHNITERLANIRFVPVLPQDPPPDLLSEAFASHLTQHGSST >OIW21099 pep supercontig:LupAngTanjil_v1.0:Scaffold_71_6:12290:15807:-1 gene:TanjilG_29232 transcript:OIW21099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKQISGEGLSANMAGMSKNQLYDIMSQMKNLIQQNQNQARQILIQNPLLTRALFQAQIMLGMVQSPQAVPNVQPTVSHSTQQSVQPIQQLNVQSAPLLPGQVGSQDQAGVSQTQVPLRKLQNQQSVPVSSAAVPAMSHQPQPLAAHSLQMPQQPKGHLTPQVAPAPLPQSAQLPSIPSPSLHPSSQPLHPPQMPTTSSQLQQHLQAPGFPHMPLQPPLPPQLRPPSGPPFHPQYPSQMGTNLGFQHAPHNIAQPMFHPGAKPPVSVGTTFPQGLTSLPSQQSFKSPYQVGNMPLGPPDFANQAGNAMQVDRPSWMPGPSENPPQHSGPPGPSSIVSGQMGAANQSLRPPALSPEMEKALLQQVMSLTPEQIDLLPPEQRSQVLQLQQMLRQ >OIW21100 pep supercontig:LupAngTanjil_v1.0:Scaffold_71_8:7557:9533:-1 gene:TanjilG_29233 transcript:OIW21100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITDMKAASALAAKTARACHSCLRRRARWFCAADDAFLCHGCDSLVHSANQLANRHERVKLQTASNKVATKAQAWHSGFTRKARTPRNNKHLVLQQRLKGKVLFDNSSTNVLPVVPELGGEEEQVFDDEESEEQMLCRVPVFEPFDADEFCNIYNNEVSMKNDAANDETACDLDSFSEFLASDMNLADFAADVESLLGTSEVFDCKEEEEINGVGVKGAIVKVKDEELDEDTACHLDSVFDMTNEAFDWNIDSVLSTAPAATPEDVEKVVVSVAVPATKVETKRDINLRLKLNYEEVIATWASQGCPWTTGNPPKFNSDECWLDLLGSSSGVDAQCSSYAEVRRSLRGHHADGGREARVSRYREKRRTRLFSKKIRYEVRKLNAEKRPRMKGRFVKRTCFFGGANNAFEPYH >OIW22022 pep supercontig:LupAngTanjil_v1.0:Scaffold_728:6319:7071:1 gene:TanjilG_29416 transcript:OIW22022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEFETSIPTAFDPFAEANAEDSGAGTKEYVHVRVQQRNGRKSLTTVQGLKKEFSYTKILKDLKKEFCCNGTVVQDPELGQVIQLQGDQRKNVSTFLVQAGIVKKEHIKIHGF >OIW22023 pep supercontig:LupAngTanjil_v1.0:Scaffold_728:8783:9107:-1 gene:TanjilG_29417 transcript:OIW22023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLVDAQGEILDNIESQVNNAVDHVQRGTSALQTAKKLQKSSRKWMCIGIIILLIVIAIIVVGVIKPWKHK >OIW21105 pep supercontig:LupAngTanjil_v1.0:Scaffold_72_17:16462:21654:-1 gene:TanjilG_29334 transcript:OIW21105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRLKLQPGISDAIEEEPEECDVTGTYSNNSTLACMINSEIGSVLAVMRRNARWGGRYMSGDDQLEHSLIQSLKVLRRQIFSWHHQWHAINPALYLQPFLDVIRSDEAGATITSVALSSVYKILTLDVIDHNTVSIEDAMHSVVDSVTSCRFEVPDASSEEVVLTKILQVLLACMKNKASVMISNQHVCNIVNTCFRIVHQTGTKSELLQQIARYTLHELVRCIFSHLQDVDSTDHTLVNGSSNLKHETRGLNRQSDNGSLSSEYDNQPLPTNFSPNAASVVTATLMEEKAAIAISGNEGVPYDMHLTEPYGVPCMLEIFSFLCSLLNVVEHTGMGPRSNTIAFDEDVPLFSLTLINSAIELGGPSIRRHPRLLSLIQDELFRNLMQFGLSMSPLILSMVCSIVLNLYHHLRTELKLQIEAFFSCVILRLAQGRHGASYQQQEVAMEALVDFCRQKTFMVDMYANFDCDITCSNVFEELANLLSRSAFPVNCPLSAMHILALDGLTAVIQGMAERIGNGSVSSEYSPVSLEEYNPFWMVKCENYSDPNHWVSFVRRRKYIKRRLMIGADHFNRDPKKGLEFLQGRHLLPDKLDPQSVACFFRYTAGLDKNLVGDFLGNHDEFCVQVLLEFARTFDFQDMNLDTALRLFLETFRLPGESQKIHRVLEAFSERYYEQSPLILANKDASLVLSYSMIMLNTDQHNVQVKKKMTEEDFIRNNRHINDGNDLPREFLSEIYHSICKNEIRTTPEQGSGFPEMTPSRWIDLMHKSRKTAPFIVSDSKAYLDHDMFAIMSGPTIASISVVFDHAEHEEVYQTCIDGFLAIAKISACHHLEDVLDDLVVSLCKFTTLLNPSSVEEPLLAFGDDMKARMATVTVFTIANSYGDYIRAGWRNILDCILRLHKLGLLPARVASDAAEESEFSPETVHGKPITNSLPSAHMQSFGTPRRSSGLMGRFSQLLSLDTEEPRSQPTEQQLAAHQRTLQTIQKCHIESIFTESKFLQAESLLQLAKALIWAAGRPQKGNSTPEDEDTAVFCLELLIAITLNNRDRIGILWQGVYEHISNIVQTTVMPCALVEKAVFGLMRICQRLLPYKENIADELLRSLQLVLKLDARVADAYCEQITQEVSRLVKSNASHIRSQLGWRTITSLLSITARHIEASEAGFDALLFIMSDGSHLLPTNYVLCIDTARQFAESRVGQAERSVRALDLMAGSVTCLAQWTREAKEAMVEEQVSKFSQDIGEMWLRLVQGLRKVSLDQREEVRNHALFSLQRCLTGADGIHLPHGLWLQCFDLVIFTVLDDLLEIAQGHSQKDYRNMEGTLIFAMKLLSEVFLQLLPDLSQLTTFCKLWLGVLSRMEKYMKVKVRGKRSEKLQETVPELLKSTLHVMKTRGILAQRSALGGDSLWELTWLHVNNISPSLQVEVFPEQDSEHSLHEQGESAGGGWVPDEMVSIPSSETASYEDTGTVDRL >OIW21107 pep supercontig:LupAngTanjil_v1.0:Scaffold_72_45:10566:10718:1 gene:TanjilG_29359 transcript:OIW21107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEVTSLVRDDGLRLFSLTNTSTNGGKEYATQYQTYAVVFVYGYWFTYNL >OIW21109 pep supercontig:LupAngTanjil_v1.0:Scaffold_72_45:18387:19775:-1 gene:TanjilG_29361 transcript:OIW21109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKARLVAKGFHQQLGMDYNETFSPVVKLITIRILLTLTITFQWPLEQLDINNAFLNGLLNEKVYMQQPTCFQHSDKTLVCKLHKAIYGLKQAPKQWFEKLKGTLFSLGFTTSKCDNSLFIHFSSTYKLYVLVYVDDIIVTRSSQSHVQQLISSLNSAFALKQLGKLDYFLGIEVKHLQDGSLHLNQSKYVKDLLERAHMHLAKSAATPMASNCKLTKHGGQSFEDPTLYKSIVGALQYATITRPDIAFSVNKVCQFLSQPLQDHWTTVKRILRYLQCTNTYGIHLKPAPTLVPLFITTFCDVDWATDTDDQKSISGACLFLGPNPITWWSKKQNTISRSSTEVEYRSLALATQELSWVESLLTELQLPYKTPLVLCDNLNTIAMAHNHVLHNRTKHVELDLFFVRDKIQTKTLHVKHIPSEFRTIDVLTKHLSTNKFLSLRRQLKVQEASVASMGYIRDLG >OIW21110 pep supercontig:LupAngTanjil_v1.0:Scaffold_72_45:24210:27354:-1 gene:TanjilG_29362 transcript:OIW21110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANIMGFQTSLVLPPPPPGYFMLWATLFLLAIHLVYISACPVCYLPVEEAIALMPKFPSPSPVLKNLKFVYEENLSRDGEFGGSDFGGYPTLKQRNGNFDEINEPSNISEYSRKTVCFLMFVDEITEEYLRSSGKMGISKKVGLWRVIVAHNIPYTDARRTGKIPKLLLHRMAPNACYSIWLDGKLELVVDPYQILERYSALLYATEVFVEKECNFAISKHYKRFDVFMEAEANKVAGKYDNASIDFQVDFYKNEGLTPYTEAKLPLISDVPEGCVIVREHIPISNLFTCLWHNEVDQFTSRDQISFAVVRDKLLSRVNFHITMFLDCERRNFVVQKYHRDLLLKLAAPISVALSPPPSPPPPLPVLETLPEKAVIPSIRRGSGRHRRPGSRGHRKVVAGNRH >OIW21106 pep supercontig:LupAngTanjil_v1.0:Scaffold_72_45:3526:8309:-1 gene:TanjilG_29358 transcript:OIW21106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDSDSDTFSESSSSEDQEDIDYLYGGQARSILSSLEESIGKIDDFLSFERTFVYGDVVCSLSDPSGQMGRVTSVDMLVDLENVQGNILKNVNSKTLLKIRSISEGDYVIKGLWLGQVQRVVDKVTVLLDDGSKCEIIASRKEKLLPVTHHFLEDSQYLYYPGQRVKVNSSTASKSARWICGTWSDNKDEGTVCAVEAGLVYVEWFASALLGSNFNVSSPPSWQDSENLTLLSCFSHANWQLSDWCMLQVADQKERMEQLDLSNEHGMTRGHRRRKLNSNVGELFIVSKKKTKVDIIWQNGEHALGLDPQSLVPVNVINTHEFWPHQFVLEKGASSDDPLKPSSQRWGVVLSVDAKERTVNVQWKTVPISEPGNLAEDTMMETVSAYELVEHPDFSCCFGDIVFKNQQQLVYPAAKEDARPMTDVNLVAPLIDWDENQNNFPGNCYLSCIGNVTGFKDGDVEVKWATGLTTKVAPYEIFRIDKHEGSAVTPNPYETDLEELPQEMNEHGSPPSDQKGKGLLNYDGGRGNCEKHSGESSSFSLPQAAFEFFSSIKTSIFQTLGVTSFSRAVSLVPKFDEENRSDFLGEKVLDTCDPCTESHSMSGLQSTEDRTPYDEITMTRERNDFPVYLDSNSPDQLKQFDIIDNCSDHHFFDEGKGLALSQVKSGWVKKVQQEWSILEDNLPESIYVRVFEERMDLMRAAIVGASGTPYHDGLFFFDICFPPEYPSEPPMVHFNSGGLRLNPNLYESGKVCLSLLNTWTGTSSEVWNPGASTILQVLLSLQALVLNDKPYFNEAGYDQQIGRAEGERNSVSYNENAFIVTCKSMLYLLRKPPKHFETVVEEHFRQRSQHILVASKAYLKGVTIGCAFGSGNPEDENQKGTSTGFKIMLSKLFPMLVEAFSDNGIDCSQFNELQK >OIW21108 pep supercontig:LupAngTanjil_v1.0:Scaffold_72_45:17020:17696:-1 gene:TanjilG_29360 transcript:OIW21108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSSPPWQKSEEYNLNSENPTIIDLLLLPKAFRVLQNQQCRTLKSVESPPSNNEMGSSSAFFVICILHSIIAMTSGSLMMFYMKEVYTFGHGVETATNLLGSTPHDQLLIKTSDSFSGLLLLAIGFLLFMVSFVKDRDFQPFFAKGCMLLHVFMVMWRIYFERKVEDLAWDCLRQTVGDVLLALSWVFFLLYSWREKYD >OIW22024 pep supercontig:LupAngTanjil_v1.0:Scaffold_738:106:3940:1 gene:TanjilG_29729 transcript:OIW22024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VLVRNIPHDAHESVRELVEHFFLVNHPDHYLTHQVVYDTNKLARLVRRKKKLQNWLVYYQNKHERTSEEPEMKTSFLGLWGEKVDAIDYHVAEIDKLTKKIALEREKVTNDPKSIMPVAFVSFKTRWAAAVCAQTQQTRNPTLWLTQWAPEPRDVYWRNLPIPYVSLTIRRLIMAVAFFFLTFCFMFPIAFVQALASLDGIHKYAPWLNPLVRIPLVKSFIQGFMPGIVLKLFLIFLPRTLMIMSKFEGFESLSSLERRSASRYYIFSFVNIFLGNILTGTALQQLDTFIHQPASQYPATIGNAIPLKASFFITFIMVDGWASIAAEVLMLKPLLYYHLKNFFLVKTEKDREEATDPGSIGFIKGEPQMQLYFLLGLVYAVVTPTVLPFIIIFFGLAYVIFRHQIINVHNQEYESGAAFWPDIHFRIVFALIVSQIVLMGLLATKKAVSSTPFLIALPILTIWFHIYCKGRFESAFVKFPLEEAMMKDTVERAREPNLNLKSFLKNAYVHPVFKDNIDHDHDNDNDNDNDEILSENCESESATTVPTKRHSRRNTPSPDRITDA >OIW22025 pep supercontig:LupAngTanjil_v1.0:Scaffold_738:8690:9379:1 gene:TanjilG_29730 transcript:OIW22025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YRAFDEVNGIEVAWNHVNLEDVLQSPQQLEKLYSEVHLLKSLKHENIIRLYNSWVDDKNKAINMITELFTSGSLRQYRKKHKNVDIKAIKNWARQILRGLCYLHSHSPPIIHRDLKCDNIFVNGNNGHVKIGDLGLAIAMQQPTARSVIGNMLSLLLRYTRVHGPRAI >OIW21123 pep supercontig:LupAngTanjil_v1.0:Scaffold_74_11:31058:36365:-1 gene:TanjilG_29779 transcript:OIW21123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPQDVKTVFIMLWLQKIDEMSDSILYGYAEGGIFDASQYDFFGKGIAEEVELGGLEDEDDYTPAVAFNEEEFFLNTDEADDVRSLSDIDDLTTTFLKLNKVVSGPRSAGVIGERGSRENSSASEWSQREDVPYWFDQQAYESEGSQDGSRWSSHLHSPVTHLHLQESKPLYRTSSYPEQLRQEQHRLQHCSSEPVPNWLFDQPFYDSETSEDGKRWSSQPHSSIVHLQESRPLYRTSSYPDKQQELPRFSSEPILLPKSSFTSYPPPGGRSQQASPNHSIGQLNFPYHAGGAHMASSSQNRSHLFNSALHLSGSKHESHFSGNARQLTTGSPLNRQIQNQWVHQAGLYPGDHPNLLSNMLQHQLHNRSGSVSPHLMTQLQQHRLHYPVQQHAGYLSGFQSHLFNPLFSSGSSVVNKYEHMLGLGDVREHKPKSRKKGRQSHRLSQQGSDASSQRSSFVFRSKHMTSDEIEGVVKMQLALTHCNDPYADDYYHQACLAKKSSGAKLKHSFCPTQIKDLPPRARSNSEPHGFIQVDTLGRVSFTSIRLPRPLLEVDLPKSSVGGSSEQNISQKALEQEPLFAARVAIEDGLCLFLEVDDIDRFLQSNQLQDGGTQLRRKRKVLLEGLATSLKLVDPLGKNGHKGGLAAKDDLVFLRLVSLPKGRKLLAKYLRLIVPGSELMRIVCMAIFRHLRSLFGGVPSDPAAAETTSGLAKVVCQCVQGMGLGDLSACLAAVVCSSELPPLRPLGSTAGDGASLILASVLDRATELLTDPQAACNYNIGNRSFWQASFDEFFGLLTKYCMNKYHSITQSLHIQGAPNVSAIGSDSAKAISKEMPVELLRASLPHTNDHQRKLLLDFAQPSVPAVGFNSFTGSSGGHVNSETVLS >OIW21122 pep supercontig:LupAngTanjil_v1.0:Scaffold_74_11:13567:28074:1 gene:TanjilG_29778 transcript:OIW21122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWLWSNSIHNIKAGEHDSLKLGGTLNDTHQLCSENGKSCIRFFQQTNSTTFLDILIRDDFVIWTANRNQPITNGSGILSLDNTGVLKIESEDWNPIILYSPPPQSTNNISTVATLLDSGNFVVQQLNPNGSTKRILWQSFDYPTDNLFPGMKLGVNHKTGRSWSLVSWVSQTLPTSGNFKLEWEPKERELVITRIGKVLWKSGKLIKNRFEHIPEDSQHKYSYTIVSNEDEDYFTFTTSNEDPVTWTMYQNGQVVSSDGKDIAKADKCYGYNNDGGCQKWEIPTCRDSSDVFESKTGYLNFSSDVTRRERNRSYGIGDCQAICWSNCTCAGFMSLDEDDESGCIFFLGKSLEGFISVSVALKFNMIVKKPHRRGTKKWIWLSVVIATALLIICTSILFLVKIKRKRVVEDKRKRELETEMRGVTSEGSTDIKDLENELNKGHDFKVFSYTSVKEATNNFSSENKLGQGGFGPVYKGILPTGREVAVKRLSKTSRQGIVEFKNELKLICELQHTNLVQLIGCCICEQEMILIYEYMSNKSLDFFLFDSTRSNLLNWPKRFNIIEGISQGLLYLHKYSRLKIIHRDLKAGNILLDENMNPKISDFGMARMFTQQESNVNTNRIVGTHGYMSPEYAMEGILSTKSDVYSFGVLLLEIVSGRKNNSFYDVEHPLNLVGHAWELWKAGACLKLMDPSLNGMFDPDEVQRCIHIGLLCVEHYAKDRPNMSDIISMLTNKSVAITLPTRPAFYIGRKTFEGEIYSKSTESNIDSTKETSNFSEVEPRYLWLWSSCIHNVKAREDDSLKPGDTLNGTQQLCSENHNYCIRFYHQTTSSSYLDIMTKGNFVIWTANRNQPITNGSGILSLDKSGVLKIESQIWKPIILYSPPPQFTNNISIVATLLDSSNFVVQQVNPNGSTKRVLWQSFDYPTDTLFPGMKLGVNHKTGHNWSLVSWVSKNLPSSGNFKLEWEPKEGELVITRIGKVLWKSGKLIKNRFEHIAEDSQHKYNYTIVSNEDEDYFTFTTSNEEPLTWTLFETGQVISSDGKDIAKADKCYGYNNDGGCQKWEIPSCRDTSDVFESRTGYLNFSSDVTSYETNSSYGIGDCQAICWSNCTCVGFTSLDEDDETGCIFFLGKSLEGVISVSVGEKFHMIVKKPHHRGTKKWIWLSVVIATSLLIVCTSTLFIVKNRRKCMVEDKRRGKVETEMHDITSEGSIDMKDLEDELNKGHDFKVFSYASVMEATDNFSSENKLGQGGFGPVYKGILPTGREVAVKRLSKTSGQGVVEFKNELKLICELQHMNLVQLIGCCICEHERILIYEYMSNKSLDFFLFDSTRSKLLNWSKRFNIIEGISQGLLYLHKYSRVKIIHRDLKAGNILLDENMNPKISDFGMARMFTLQESTVNTNRIVGTYGYMSPEYVMEGVFSTKSDIYSFGVLLLEIVSGRKNNSFYDVEHPLNLVGHAWELWKDGVCLKLMDPSLNGMFDPDEAQRCIHVGLLMRRTLCKRST >OIW21124 pep supercontig:LupAngTanjil_v1.0:Scaffold_74_17:8625:9628:1 gene:TanjilG_29780 transcript:OIW21124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMMNPMDASMNLYNNYSLVFPLLETTTVTASETLHHPAPPYSSLIPDSFATLKTITAMKSDVSTTNVSVSRKRSIDSVDYRLPYNKNRATDFSFLGEHLFIQIHQQQLEIDNLVSQHMEKVRMELEEKRKRQVRMLMESIELRVMKRLKSKEEDIETIEKLNIALEEKVNSLCIENQIWRDLAQTNEANANALRSNLMQALTNVKESAGEDGGATVGAAANDAESCCGSNDENEVWRMIAGGAHDKEEERSERMCRKCGKEESCVVILPCRHLCLCTVCVSTLQICPICNSFKNATLHVNLI >OIW21111 pep supercontig:LupAngTanjil_v1.0:Scaffold_74_2:4244:8164:1 gene:TanjilG_29760 transcript:OIW21111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFPAAIVILPIGTLFILSGLIVNVIQALLFILVRPISRNCYRKINKVLIESLWLELIWLIDWWARIKIELYADSETFQLMGKESALLICNHKSDIDWLIGWVLAQRRGCLGSTVAIMKKEVKYLPVLGWSMWFSDYLFLERNWAKDEAALKSGFQQLNQIPVPFWLALFVEGTRFTHTKLLAAQEFAVSRGLPIPRNVLIPRTKGFVTAVKETQGTIPAIYDCTFAVPKSETSPTLLRMLKGISCSDAVLEKYNTTNIFSEQELQHIGCRPKRSFMWTSLLSTWQGILFTVLFLVLVTIIMEILIYSSESERSKSNMILPTQDPMKQKLLQT >OIW21112 pep supercontig:LupAngTanjil_v1.0:Scaffold_74_2:12326:14641:-1 gene:TanjilG_29761 transcript:OIW21112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHSPGQRHWPQLFYVVAFCLITISVAADYNSYYGSKPTHYNQPKHPQHPIYYPKSPLQHVYKYPPYVYKSPHPPPSSYVYKSPSLPAYFKKSPYVYKSPPSPPPPYVYKSPPPPAYTEKSPYIYNSPPPPSPSPPPPYVYKSPPPPAYTEKSPYIYKSPPPPSPSPPPPYVYKSPPPPAYTEKSPYIYKSPPPPSPSPPPPYVYKSPPPPAYTEKSPYIYKSPPPPSPSPPPPYVYKSPPPPAYTEKSPYIYKSPPPPSPSPPPPYVYKSPPPPTYTKKSLYVYKSPPPPSPSPPPPYVYKSPPPPAYTEKSPYIYTSPPPPSPSPPPPYVYNSPPPPAYTEKSPYIYKSPPPPSPSPPPPYVYKSPPPPAYTEKSPYIYKSPPPPSPSPPPPYVYKSPPPPAYTEKSPYIYKSPPPPSPSPPPPYVYKSPPPPAYTEKSPYVYKSPPPPSPSPPPPYVYKSPPPPAYTEKSPYIYKSPPPPSPSPPPPYVYKSPPPPAYTEKSPYIYKSPPPPSPSPPPPYVYKSPPPPAYTEKSPYIYKSPPPPSPSPPPPYVYKSPPPPAYTEKSPYIYKSPPPPSPSPPPPYGYKSPPPPAYTEKSPYIYKSPPSPSPSPPPPYVYKSPPPPAYTEKSPYVYKSPHHPVYTKKSPYIYKSPPPPAYTKKSPYIYKSPPPPSPSPPPPYVYKSPPPPAYTEKSSYIYKSLHHPTYTKKSPPSPPPPYVYKSPPPPAYNEKSPYIYKSPTPPSSSPPPPYVYKSPPPPAYIEKSQYVY >OIW21125 pep supercontig:LupAngTanjil_v1.0:Scaffold_74_20:20117:23776:-1 gene:TanjilG_29781 transcript:OIW21125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHMLDGYAVAFLLMLSLSVEVIDASAGDADPHYRICVSQCEESGCVGERCFPNCKFSSDGEPIDRPWYMQEPLYLQWKEWDCQSDCRYYCMLDREKEKELLNQGPVKYHGKWPFSRIYGMQEPASVAFSALNLAIHFHGWVSFFILLYYKLPLEDGKKAYYEYAGLWHIYGLLSLNSWFWSAIFHSRDVDLTEKLDYSSAVVLLGYSLLVAILRSFNIRDEATRVMVAAPLIAFVTTHVMFINFYTLDYGWNMIVCVVMAVAQLAIWAVWAGVSRHPSRWKLWLVVFAGGLAMLLEIYDFPPYEGLLDAHALWHATTIPLTYIWWSFIRDDAEFRTSDLVKKAK >OIW21126 pep supercontig:LupAngTanjil_v1.0:Scaffold_74_22:7097:9030:1 gene:TanjilG_29782 transcript:OIW21126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGTTTNEIAGTVSDRVYELKAFDDTKAGVKGLVDAGIGKVPSLFHHPPDKFGKASNLGNTEHVIPVIDLSEVGKDPSTHQEIVRRIREASEKWGFFQVVNHGIPVSVLSDMKDGVRKFYEQDIEVKKEVYTRELKPFVYNSNFDLYSSPALNWRDTFACYLSPNTPKPEELPEVCRDIQLEYGKHVMKLGTTLFELLSEALGLHPNHLKGMGCAEGLITLGHYYPSCPEPELTMGTTKHSDNDFLTVLLQDHIGGLQVLYQDQWIDVPPAPGALVLMTNDRFKSVEHRVLANSVGPRISVACFFSTGLLPSPKLYGPIKELLSEDNPPRYRETTVSEYVTYFIAKGLDGISALQHFRI >OIW21129 pep supercontig:LupAngTanjil_v1.0:Scaffold_74_23:43698:47326:-1 gene:TanjilG_29785 transcript:OIW21129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQQGTGTSQINNNNVDFHIPDEILSVIPTDPYQQLDLARKITSMAIASRVSSLESDSSRLRHKLVEKERLIFDLEERVSGLSNACHEAEARLKNAFDDNAKLSKERDQLAATVKKLCRDLAKLESLKKLMQSLTVDNPPQAETIDIGTCDQSVPKAYPDKVSYIHLISALLADDDESSYTSHHSYSGSTDVGKTVDEASRYAGQRFSLTPYITPRLTPTGTPKVISTAGSPRGYSAATSPRKASGTTSPVKLSYDGQSSISSWYPSSQQSSAANSPPRGQSLPGRTPRIDGKEFFRQARSRLSYEQFSAFLANIKELNAQKQTREETLRKADEIFGSDNKDLYLSFQGLLNRNVR >OIW21128 pep supercontig:LupAngTanjil_v1.0:Scaffold_74_23:16189:34010:1 gene:TanjilG_29784 transcript:OIW21128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLPSTVFPLPAASPSLLKPHAGKKNLSSFSPILNAYKASSSRGVVARGSSTKSFGFGHGNSVLDPLNERVNDRPIEAGVGYLEDLGGVIQEDLSQNVVCEAPVSDAIRVQDLDNSRVVGLTHQGNVGTSLPVPKSLGVVLKSGVMSLRKSKPKNMGSKVLPEGCSPLGLPCCIPANLEGINSKVFGKSLFEVGSSSKVNDFDCDKEDVLVFGEEVCVTKADASRRGKSKPLFDVHRPLRRQFLGERAKEERGEEERGGEDVVNSHHDSLKVLPLLAPSNSNDIGVIKEGGVSAAMFKEDGVPIPNVLDDITVGGTANDLFSFNDGGPLGPLVPNFNNEECVLVPSILNGVSSLNDDIDLNGLNEELVEFSPHKYVVDKCHVLEEGTPRLAMLDGCSLVDRGVSSPPNGLLSPPLNKDQLLHSGGVPGSSNVLGFLLLDHALSSEAVCSVGVSCDGLNADRAKVNAQHTLLDVQPCSLDVSVNAGTMRLSNGMACGFVKDKKQKLSKKDKKKKSLIEWKNFCIDLGDVLVISNPIQMEQQRSDAVKSMVYEANARVRDPVYGCVGAISSLQQQVDVLQTQLALAQAEVVHMKMHQAITLLDHDQPPVPIASNSSSQTKSFFAMDIVVDQANMGESLWSC >OIW21127 pep supercontig:LupAngTanjil_v1.0:Scaffold_74_23:4579:6128:1 gene:TanjilG_29783 transcript:OIW21127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGTATNESAGTLKSHSGRVHELKAFDDTKAGVKGLVDAEVAKIPSLFHHPPDKFGKASNLEHVIPVIDLTDVNKDPNTRQNVVSMIREASETWGFFQVVNHGIPVSVLSDMKDGVKRFYEQDIEVKKKVYTRELKPFVYNSNFDLYSSPALNWRDSFACYLAPNAPKPEQLPEVCRVILLEYGEHVIKLGIALFELLSEALGLHPDHLKNKGCAEGLMTLGHYYPACPEPELTMGTTQHSDNDFLTVLLQDHIGGLQVLYQDKWIDIPPAPGALVVNIGDLLQASWSLSSSVCV >OIW21130 pep supercontig:LupAngTanjil_v1.0:Scaffold_74_25:2496:3086:1 gene:TanjilG_29786 transcript:OIW21130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWKQMHPLHQIAETPTHKLLLKQWLKEQELIHGRIALKETQIDSIRKEITLLYIFFFLFHSTTLMLLFNNSNFSSSSSICHRSWVPSLCSLVFSLGIIWGVRYKGEVEGHMEKMLKREKEDKGLLGKCVEELKKKGLEFDLLKEVDALRRAKSLRVVEGKEGGGVKKWSSRDFVSLFFFSMTCLSIVLTRIILCT >OIW21131 pep supercontig:LupAngTanjil_v1.0:Scaffold_74_29:1768:3009:-1 gene:TanjilG_29787 transcript:OIW21131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEVSSLLRVMDGGYKEDIDNKHRMVGNESNSVSSTALITRDLLGGSNSIETQDLNLDLQVPLGWEKRLDLKSGKMSIQRCNSSSSSPSMSENKLNMNEAGPKLDDLNFPPSSSKVPLNLFDETTLDLKLFSSSLPSSNFQSVCTLDKVKSALERAKKEPIRKKRSLMKSTLFSSPSASYSSSSFSIRETLEEESDEKVLSSPMAVGCPGCLSYVLITKNNNPECPKCNSVVTLPLMKKPRIDLNITI >OIW21133 pep supercontig:LupAngTanjil_v1.0:Scaffold_74_33:11165:11482:1 gene:TanjilG_29789 transcript:OIW21133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSKDDITYGTSQARLNEDEAVRVVYKHGTPLEGGKIADSEPVDMFSSAHNISKSSQTKDSNTNQSQLNRDTDDNKERDGSIEFTTGAPRLPNKVPPTMAHKKY >OIW21132 pep supercontig:LupAngTanjil_v1.0:Scaffold_74_33:7509:10496:1 gene:TanjilG_29788 transcript:OIW21132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNGRSPCCDKSQVKRGPWSPAEDMRLIAFIQKYGHDNWRALPKQAGLMRCGKSCRLRWVNYLRPDLKKGEFTKEEVETIMKLHEAFGNKWSKIASHLPGRTDNEIKNAWNTHLKKRLANKKTLEIASNENKMGISIAPSFISSSKPIFLNETLNQVIPTVGNMYDMEASEVAMVKDPEEDLEEKSFNEFVDITKNSNQLSTLVSSNVNKSLQEAEKPNSVVEMPMEEDYDFWKILDNIPIQTNDVQLGQVGASNPPNFGQEGVQDAETKKYLHDLENDFGSDATKETNKDLCVEKNNAMVELGMNPQPLDFYEIIRSPESDSDIDLGYVHWWSSWPQNPGL >OIW21137 pep supercontig:LupAngTanjil_v1.0:Scaffold_74_35:50617:53368:1 gene:TanjilG_29793 transcript:OIW21137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEIDDIDYTDNLFMRLLQCTLCGQNNRFNYEGFSYKILQTIEEGIYGRVYRCRHSATGDIVAIKQIKFDDEKIGVPSSVVREVSLLRALQHVNIVSLLKVLTKETYVNLVFEPLDQDLSHYIRDNRGVQDPSIRKSFLRQILVGVAYCHAHKILHRDLKPKNIWIDNSRMIIKIADFGLAREFGGDMLLTRYPPNRYYRAPEVFLHCQHYSSGIDLWSVGCIFGEMVIGRPILGDTCDELDAIFRMLGTPSEENWPGITQFPLFGSYAIYNPMDLSTVFSGLEPEGLELLKMLLCLDPKRRISAETALKHAYFKD >OIW21139 pep supercontig:LupAngTanjil_v1.0:Scaffold_74_35:65854:67096:1 gene:TanjilG_29795 transcript:OIW21139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEELILLDEWLSMFGMRVRIALAEKEIKYEYKEEDLTNKSNLLLQMNPIHKKIPVLIHKGKPICESLIIVEYINEVWKDKVPLLPSDPYERSQTRFWADFVNKKVGDVGGRIWAGKREEIEVAKKELIEGLKKLEEVLGDKPYFGGNTFGFVDIALIPFYSWFYTYEELGNFKVDEECPKLIVWAKRCKQRESVSKSVADEKEVYKFVVDYRKSHELD >OIW21142 pep supercontig:LupAngTanjil_v1.0:Scaffold_74_35:80395:81914:1 gene:TanjilG_29798 transcript:OIW21142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDALAVGGEFGILEGRTFALIHPIVLGGLFFYTLWTGYLGWQWRRVRTTQNEINELKKQVKPTAVTPDGTPVEAPPSPVQLKIKQLSEERKELVKGSYRDRHFNAGSILLGFGVLEAIGGGLNTWLRTGKLFPGPHLFAGSAITVLWALAAALVPPMQRGNETARNLHIALNALNVALFVWQIPTGIEIVLKVFEFTKWP >OIW21140 pep supercontig:LupAngTanjil_v1.0:Scaffold_74_35:67687:68673:-1 gene:TanjilG_29796 transcript:OIW21140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNEVMLLDFILSTFGIRVRIALAEKGTEYEYKEQDLVNNKSALLLEMNPIYKKIPVLIHNGKPICESLIIVEYIDEVWKDKAPLLSTDPYQRAQARFWAKFVDEKVHGVAFRIWTGKKGEHEAEKKELVENLKHLENFLGDRSYFGGENFGFVDIAMIPFYKWLSTYEKIGNFKFDCPKIIEWGERCMQNVESVSKFVSDEKDVYELVKAYRTKFDLDLDIKSNLMMK >OIW21136 pep supercontig:LupAngTanjil_v1.0:Scaffold_74_35:36269:38319:1 gene:TanjilG_29792 transcript:OIW21136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCFPLLFGKKVSSVAKRDPDIYQELPGLKNVRIFTYKELRNACDNFSSSNKIGEGGFGSVYKGLLKDGKVAAIKVLSAESRQGVREFLTEINVISEIEHEYLVKLYGCCVEGDQRILVYNYLENNSIAQTLLGGGYSDIQFSWRTRTTICIGVARGLAFLHEEVRPHIVHRDIKASNILLDKDLKPKISDFGLARLIPSHLTHVSTRVAGTIGYLAPEYAIRGQLTRKADIYSFGVLLVEIVSGRCNTNARLPTGDQYILEKAWELYEQEELIRLVDISLNGFFDAEEACRILKIALLCTQDNPKLRPSMSSVVKMLNKEIDISEIKITKPGLISDIKDLKVAEQKSNNDMKTSSSYSASSSASESQGNTMSFAASSAVNTTFTIQYDPNT >OIW21134 pep supercontig:LupAngTanjil_v1.0:Scaffold_74_35:3461:5173:1 gene:TanjilG_29790 transcript:OIW21134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLLNSMSSAAGLSAKTKQRGHHSLHQVPKFHAFSLNNGFPSVLASTQIAISSKDSVFTLPNWRAVTWKNERKSKEIRLNEAFHYLEYMVGKGHKPHVSQATQLLYDLCNSKKSRKAVKVMELMVGSGAMPDAACYTSLVNHLCKRGSVGFGMQLVEMMEENGFPTTTFTYNSLLKGLCMHGNLDQSLQLLDRFTKKGLVPNAFTYSFLLEAAYKERGVDEAVALLDDIIANGGVPNLVSYNVLLTGLCKEGRTDEAIKLFREIPAKGFEPNVVSYNIILKNLCYEGQWDEANELLEEIYETNQSPSVVTYNILITSLSLHGRTTDALEVLDEMTKTGFKATATSYNPIIARGCKEGKVDLVVKWLDQMISRHCKPNEGTYNAIAVLCVEGKVQEAFFIIQKLGNKQNSYTMREFYKVVITSLCRKGNSYPAFQLLYEMINLGFTPDSYTYSALIRGICREGMLDGAVEIFRVLEENDYKPDIDNYNALILGFCKYGSTEFAIEIVQTMIDKGCMPNETTYTILVEGLASEDEMDMAADLMNELYSKEVLSQSTVERLCLQHDFNELTM >OIW21135 pep supercontig:LupAngTanjil_v1.0:Scaffold_74_35:24706:25857:-1 gene:TanjilG_29791 transcript:OIW21135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASACINNNIPISQPTFPSFSWLTPKPSDNDSSTNHSPEIIPDLHSSAADFEFCLEDPVTMLPADELFSDGKLVPLHLHLSSLKPFNPSTTTNSPSPEESSTPATATTTPVEPFLFSPKAPRCSSRWRELLGLKKKQLNNNTNSEQQKTTSLSSSTKSIKQFLHHRSSKTTSSENTSLTLPLLKDSDFESISISSRLSLSSSSSSGHDHEDLPRLSLDSEKPTTISNPNPNPVHISLHRNPNAHPRMRFVKNREGSMYNKTNKTNPTRKVESSVVESRVVTVDSPRMNSSGKIVFQSLERSSSSPSSFNGGPRYKHREMERSYSANVRVTPVLNVPVCSLMGSSKSGSVFGLGPFFSSSSPQKKEGVGAGGGSGNNRHRYIRN >OIW21141 pep supercontig:LupAngTanjil_v1.0:Scaffold_74_35:77079:77919:1 gene:TanjilG_29797 transcript:OIW21141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNEVVLLDYILSTFGIRVRIALAEKGIEYEYKEQDLVNNKSALLLEMNPIYKKIPVLIHNGKPICESLIIVEYIDEVWKNNPLLPTDPYERAQARFWAKFVDDKVHTVARTMWLGKDGEHEAEKKELIENLKHLADLLGDKPYFGGEKFGFVDIALIPFYKWFYMYEKIGKFNLDCPKIIEWAERCLKNVESVAKFLSDENDVYDLIKMHRKKIGVD >OIW21138 pep supercontig:LupAngTanjil_v1.0:Scaffold_74_35:55138:59114:-1 gene:TanjilG_29794 transcript:OIW21138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEKDITEKEKENERKSINDIKKWVIVGAMITVLMASAMTYDRRASSSITLFFKDQNSSCHCPKVSQKYTGIIEDCCCDFETVDSINGEVLHPLLKELVTTPFFRYFKVKLGCHCPFWPEDGMCRLRDCSVCECPENEFPEPFKKNFHPALLSTDFMCQEGKSQASVDRTVDNGAFRGWVETDNPWTNDDETDNDDMTYVNLQINPERYTGYSGPSARRIWDAVYTENCPKYPAGEIFQEKKVLYKLISGLHSSISIHIGAEYLLHEVTNQWGENPELMNDRVLRYPDRVQNLYFTFLFVLRSVMKAANYLEHAEYNTDNNKEDMKSHSLVKQLVHNSKLQAACPLPFDEAKLWQGQSGPELKQTIQMQFKNISSLMDCVGCEKCRLWGKLQILGLGTALKILFSVDDKSNRSEPFQLHRNEVIALLNLLNRLSESVKLVHEKADMIENIVHTVEPASTKITIVNDCQELCQMVLADYETRKFLDVTLQLQMFRRRREGYII >OIW21144 pep supercontig:LupAngTanjil_v1.0:Scaffold_74_38:23745:24056:1 gene:TanjilG_29800 transcript:OIW21144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGGCRRKPVKIVIINTQYVETDAMNFKSVVQKLTGKHSCSDDVEVGKAKRVRHNGVVSGVEVPCSSDAAHGSSFSISDFSLSDFDMLLAEMPLINGNMWSH >OIW21143 pep supercontig:LupAngTanjil_v1.0:Scaffold_74_38:8401:12338:1 gene:TanjilG_29799 transcript:OIW21143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVVENSADAVAPASGKLDSKLKPDESEFNVQNLVDMFKKLNPLAKEFFPSSYPQHDSAHESYNQFLPNNFVATNEPLVNVLNPNNRRRSANFNHGRGGRRMSARMPNPRREDSVRRTVYVSDIDQNVTEEQLASMFSPCGQVIDCRICGDPHSVLRFAFVEFTDGYGARAALSLNGTMLGFYPVRVLPSKTAILPVNPTFLPRSADEREMCTRTVYCTNIDRKVSQAEVKQFFESFCGEVTRLRLLGDHQHLTRIAFVEFAMAESAILALSCSGMLLGTQPVRVSPSKTPVRPRVTRPTGHN >OIW21145 pep supercontig:LupAngTanjil_v1.0:Scaffold_74_49:8114:10572:-1 gene:TanjilG_29801 transcript:OIW21145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVLSKQTTERYSYIRNCNKTSTLSTIPVVDLSKPEAKALIVKACEEFGFFKVINHGVPIEAILKLESEAINFFSLPHNQKEKAGPPNPFGYGNKKIGVNGDVGWVEYLLLKNNQDYNSMRLSSVFGQNTDKFSVLNEYMCAVRKMGCEILELMAEGLNIEEKNVFSKLLMDKESDSVFRLNHYPPCPEMALDNKSDEKLVGFGEHTDPQIISLMRSNNTSGLQICLKDRSWVAVPPDYNSFFINVGDSLQVMSNGRFRSVRHRVLANGSDSRLSMIYFGGPPLSQKIAPLSCLMKGNEESLYKEFTWFDYKKSAYASRLADNRLGHFERFAAS >OIW21117 pep supercontig:LupAngTanjil_v1.0:Scaffold_74_5:69061:72154:-1 gene:TanjilG_29773 transcript:OIW21117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESPFKGDILKGKVALITGGASGIGFEISTQFGKHGASVALMGRRKQVLDSAVSVLKSLGIPAVAFEGDVRKQEDATRVVQSTFQHFGKIDILVNAAAGNFLVSAEDLSPNGFRTVLDIDSVGTFTMCHEALKYLKKGGQGRNSSTGGAIINISATLHYTANWYQVHVSAAKAAVDATTRNLALEWGTDHDIRVNGIAPGPISGTPGMSKLAPDEVTSKDREERPLYKLGEKWDIAMAALYLVSDAGKFVNGDTLIVDGGLWLSQPRHISKEAVKQVSRSIEKKSRVAPVGVPKSKL >OIW21115 pep supercontig:LupAngTanjil_v1.0:Scaffold_74_5:29470:37728:1 gene:TanjilG_29771 transcript:OIW21115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGTVDGTRTCKVYSENECVKASDRLIRNVLVANKGVIPSCASNAKGGPKTMEKRTVKYGTSANVNVRKALADVSNVQQNSSTNIRCDGSRIKVSTGSSTKIVSVSLRKSFTVSLGATVRLLGLGPGSHGRVQRKSDVQLDTSKKGTDSRAYLGHQKNDTDVAQSVVTTKERFVRKHVLATRKMIEVLKQQKDKVLSPLRQQKAEELVPNLLIPEANLLTQEANLLIQEANQSLQEAIYGRIELVMALFKFITTKSPCLILIVHFHSIHLGCRVQTNALHTSSRKSTKPLIRTALNASTSQRTLKTTSISGLKKSQSTAAMSAHDELTASSSLPENGSVIVSDDTNQVHLPSNDESNHKTDLSFIPRKKSSRRKSYTTSIIERSKFLKESEVTEQDNLPDIDNECNQLEVSEYIDEIYQYYWVCEVHFKFDLMPETLYLTVTLVDQYLSQVSINKSDMQLVGLTALLLASKYEDFWHPRVKDLISISAQSYTRDQMLGMEKLILRKLKFRLNTPTPYVFMVRFLKAAQSDKKMILKFHKAAGLGKLAVTHEKYSRPELSGVAAVKPLDKLPL >OIW21113 pep supercontig:LupAngTanjil_v1.0:Scaffold_74_5:5922:8957:1 gene:TanjilG_29769 transcript:OIW21113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPILEAPGSNDTSTATRKQTKRPKYSKFTQQELPACKPILTPRAVISSFLIVTIIFIPIGVASLQASRNIVEIVDRYDSQCIPANVTDKVAYIQSTADKTCNRELHVEKHMKSPIYVYYQLDNFYQNHRRYVKSRNDEQLRAAGKANSTSGCDPEHKVNDMPIVPCGLIAWSMFNDTYSFSRDKSNLTVNKKGISWKSDREHKFGKDVFPKNFQNGSIIGGAHLDENIPLSEQEDLIVWMRTAALPTFRKLYGKIEVDMEKGDVIHVTLKNHYNTYSFNGEKKLVLSTAGWLGGKNDFLGVAYLTVGGLSFFLAMAFTVLYFVNPRGKVTYGYPPVAFTCGLLHQDWNIL >OIW21116 pep supercontig:LupAngTanjil_v1.0:Scaffold_74_5:39716:66448:1 gene:TanjilG_29772 transcript:OIW21116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHLRHRRDSAPATTRWHNKFDENLEQWPHLNELVHCYTTDWVKDDNKYGHYESVGTPSFRSQIYEGPDTDIETEMRLASARRVKGEDVSEDDIPSTSGRQFMEAAADGDLDVPKQHVGLSPLPAYEPAFDWENERSLIFGQRILETPIPQYGSPDVVSNGMKISVKVQSLQFQAGLAEPFYGTICLYNRERREKLSEDFYFHVLPSEMQDAKITNDCRAVFYLDAPSASVCFLIKLEKHATEEGGITASVYSRKDPAHLTEREKQKLQVWSQIMPYKESFAWAIVSLFDTSIGAASVGPASPSSPLATSVSGSSSHEGVFEISGKISLDGRLGSSNGNSVVVEVSNLNTVKESYTEESLQDPKRKVHKPVRGVLRLEIEKHQISQAELENMSESGSITNDSVDPGDRFADSSSGKYPSNVSNDPQASVSKWNLYDGKEVSLNGANQHVNPDFNADDFHAFDFRTTTRNEPFLQLFHCLYVYPLTVSLSRKRNLFIRVELREDDGDVRRQPLEAMYPRDTGPDASFEKWDHTQIAVGARAACYHDEIKLSLPAMWTPMHHLLFTFFHVDLQTKLEAPKPVVIGYAALPLSSHAQLRSEVTLPIMKELVPHYLQDAGRERLDYLEDGKSVFKLRLRLCSSLYPINERIRDFFLEYDRHTLRTSPPWGSELLEAINSLKNVDSTALLQFLHPVLNMLLHLIGNGGETLQVAAFRAMVNIVTRLGGTREAPEKQPHKFGSNQGCHTFTACAAHEWSCRTTTMALVAFPALPLCRLAGGPFDLGMPAARYNSSGWILDGQKVQQESVDDAERNHFLVNYVDCAFDDFGGRQPPVYPGLSTVWGSLARSKAKGYRVGPVYDDVLAMAWFFLELIVKSMALEKTRIFYLSLPTGEDIPPMQLKDGVFRCIMQLYDCLLTEVHERCKKGLSLAKRLNSSLAFFCYDLLSIIEPRQVFELVSLYLDKFSGVCQAVLHECKLTYLQIICDHDLFVEMPGRDPSDRNYLSSVLIQELFLSWDHEELSLRAKAARILVVLLCKHEFDVRYQKPEDKLYIAQLYFPLIGQILDEMPVFYNLSSVEKREVSIVILQIVRNLDDASLVKAWQQSIARTRLFFKLMEECLLLFEHKKPADGMLLGSSSRNPVGEAPASPKYSDRLSPAINNYLSEASRQEVRPQGTPDNGYLWQRVNSQLSSPSQPYSLREALAQAQSSRIGASAQALRESLHPILRQKLELWEENLSASVSLQVLEVTEKFSVMVASHSIATDYVKLDCMTAVFMSFLSRNQPLSFWKAFFPVFNSVFDLHGATLMARENDRFLKQVTFHLLRLAVFRNENIRKRAVVGLQILVRVSLYLDKFSGVCQAVLHECKLTYLQIICDHDLFVEMPGRDPSDRNYLSSVLIQELFLSWDHEELSLRAKAARILVVLLCKHEFDVRYQKPEDKLYIAQLYFPLIGQILDEMPVFYNLSSVEKREVSIVILQIVRNLDDASLVKAWQQSIARTRLFFKLMEECLLLFEHKKPADGMLLGSSSRNPVGEAPASPKYSDRLSPAINNYLSEASRQEVRPQGTPDNGYLWQRVNSQLSSPSQPYSLREALAQAQSSRIGASAQALRESLHPILRQKLELWEENLSASVSLQVLEVTEKFSVMVASHSIATDYVKLDCMTAVFMSFLSRNQPLSFWKAFFPVFNSVFDLHGATLMARENDRFLKQVTFHLLRLAVFRNENIRKRAVVGLQILVRSSFHYFVQTARLRAMLIITLSELMSDVQVTQMRSDGSLEESGEARRFRRSLDEMKDETKSASLLKECQLSESALVTVPDKITENMWSWSEVKYLSDSLLLALDASLEHALLSPVMTMDRYAAAESFYKLAMAFAPVPDLHIMWLLHLCDAHQEMQSWAESAQCAVAVAGVVMQALVARNDGVWCKDHVASLRKICPTVSSEISSETSAAEVEGYGASKLTVDSAVKYLQLANKLFSQAELFHFCASILELVIPVYKSRRAYGQLAKCHTLLTNIYESILEQESSPIPFTDATYYRVGFYGDRFGKLDKKEYVYREPRDVRLGDIMEKLSHIYESRMDGDHTLHIIPDSRQVKAEELQPGVCYLQITAVDPVMEDEDLGSRRERMFSISNGSVRARVFDRFLFDTPFTKNGKTQGGLEDQWKRRTVLQTEGSFPALVNRLLVIKSESLEFSPVENAIGMIETRTAALRNELEEPRSSEGDQPPRLQSLQRILQGSVAVQVNSGVLSVCTAFLSGEPATRLRSQELQQLIAALLEFMAVCKRAIRLHFRLIGEEDQDFHTQLVNGFQSLTAELSHYIPAILSEL >OIW21114 pep supercontig:LupAngTanjil_v1.0:Scaffold_74_5:10284:11430:-1 gene:TanjilG_29770 transcript:OIW21114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYLRVRAGILLYLTLATISLTLCDADKSMRLLKGHKHRRNLLNKQNTKKLKIIKHIDLNPLDSSNIQPYSVSSPFALPPYESLAPIPLPENNPPFCVYPSPTPTTPTSIPTPPSPYYYTSPVIPSPTPTILGPSGPSGSLPTPTPQIVLSPPSQMPGSPEPILNPPIIFPGPPGPTMNPPYYEPSPPSPTMFHPPIVYPPPSVPPPPYSVPVMALWCVAKSSVPEPIIQEAMDYACLSGADCSPIQPNGPCFEPNTVFAHASYAFNSLWQRTKAAGGTCAFGGIAVLISVDPSEFY >OIW21120 pep supercontig:LupAngTanjil_v1.0:Scaffold_74_7:33111:34376:1 gene:TanjilG_29776 transcript:OIW21120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAPTAEMICSMSYGGNSNWSGLPLDLMELILQNLTIMDYLKCRQICKSWQNMVDDAISTKGKCPPKPHFPLLLHPTHTILHPIDLIEQKYYTLPTELKDGTSLKWLIGEKTWCSQRNNVEHYVNVFTSVEGWLVIQDYFNKRWKEQYQFWGLICFYNPVSRAMIKLPKLCFTTEENDSYESSKVVVSSKPDCEESIVVILLFQYYSDHRLFFCNVRGISWTEIEIKHSPEICYSDIAIHGSKLYAITKVGCSEYVVVYNLSNPNVVTSERIVMVQQGFTFPHWKLECYAYEKSFLLIDSTCGDLFIVQCKLRYEDDFGQWPKEFAVYKLDKSGPRWLKIESFDDRVLLLDDKGVQFTTNLDGSCMKSMSRNCVYFSLSTKFSRETVNSVGVFSLIDKEIKCFLPFLVHEVGSVWFTPSLW >OIW21119 pep supercontig:LupAngTanjil_v1.0:Scaffold_74_7:20458:26868:-1 gene:TanjilG_29775 transcript:OIW21119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQSILHKQLQCITMEPRKEEFYPVSQSIMQDPMNGVYISRRPLDHNTLDAKAVLNYSIQTGEEFSLEFMRDRVNLKKPVLNHAGSESGSKISMLSIGEKVPAEFNRQGTSVHGDRSNYGSIRPMPRTSLNQENTRLLHGHGSSGGYNSSSMMIKCLCSFRGRILPRPSDGKLRYVGGQTRILRIRKDISWQELIQKALLIYNQVHVLKYQLPGEDLDALISVSSDEDLQNMMEECNHLEGREYQQKLRMFLFSMSDLDDAQFALSSSSTGDDSEVQYVVAVNGMDLGSGNHSTALGVSFSANDIRELDRQNMERETSRVAVESVGVSSALLINTFDYSLATQFSQSEQLTFSNSYETNQLSYGDQIVQAGDTNHQYHVLHGLNPSHNPLILETPISIVPRVLNNLQGVLNENPPPRGFQIQNSEIPMMQEKKIGDSSVQQGSDTGEVLSSETPAPSQPFDGYLENISHEASSVVTMPEEHLPSTKKVEHQDHDETSTSSSVFVPANVDSHSNGIDLTCLHPPPLPERVYCSERSPKEQVELLNRSSKSDDNLNSQFHVSDLLSDVNPEDSITESGDNLHDGNLPNPTEELSTAAKCLPADGHTIDNGFAKPEMNKPFPDTNSQTKSNPSERMDPELNQVSQNNDGSKDVVKDDCFSPLLDKTKTKDDQSNLPALDHVSSVKHHDDPASSLPEIDWGDAYGKESNDNYIVQPLPVSLIGNITKDVSQDSPANVVSGQAQSDILIDIDDRFPRDLLSDIFSKAILGEDPSTLHPLATDGVGLSINMENHEPKSWSYFQILAQEGLENVSLIDQDHLGFSPVIGKSGDNIAHHFMPLTTDGFPLDHADSHLSYGEENQDLHPRIGTETNVLSSNYDESRSLVKDTESMQFDAIMESLRAPESEYEDGKFETRNSNLPPLDPSLGDFDIDAVQVIKNEDLEELWELGSGTFGTVYHGKWRGTDVAIKRIKKSCFTGRSSEQERLTIEFWREAAILSKLHHPNVVAFYGVVQDGPGGTMATVTEYMVDGALRHILLRKDRVLDRRKRLIIAMDAAFGMEYLHSKNIVHFDLKCDNLLVNLKDPLRPICKVGDFGLSKIKRNTLVTGGVRGTLPWMAPELLNGSSNKVSEKVDVFSFGIVLWEILTGEEPYANMHYGAIIGGIANNTLRPTIPSHCDLEWRTLMEQCWEANPATRPSFTEIASRLRVMSAAASQTKTQNQKASK >OIW21118 pep supercontig:LupAngTanjil_v1.0:Scaffold_74_7:5736:11518:-1 gene:TanjilG_29774 transcript:OIW21118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNQHQYNDTMEPRNEEFHPASQSIMQDHLDVMHINTITSDFNRSENKPVHNFSIQTGEEFALEFMRDRVNLGKPVFPNIVGEPNYSLGNMELKGILDIGHTGSESGSDISMLTKAEKGPKEFYRSNYGSVRSIPRNSSNQIFHRVVSSGASDSSSIKMKVLCSFGGRILPRPSDGKLRYVGGETRVISIGKDICWQELMQKASSIYNEVHVIKYQLPGEDLDALVSISSDEDLQNMMDECHDLCSRRGSEKLRVFLSSVNDLDDTRFGLGSIDGDSEIQYLIAINGTDSGSRNNSIRYGATTSANNIDELYKENIERADGRVPVESFGVSSSSLTGNVSPPLTIKASQPMLQTSNAFETYPVFYDGQVIHHGESTHYPLHRDLVYQGIVNEGHEPSELQARTSDFPAMQVKGRVDNFIYTDSGPVKVFSLETPYPVPLQPSDHNLQGNFSEALATVSVSEGYHPALPSPNNSKLQASEHNSLLVNTVNSIPIPKSGEEDLYATPSDAESNLMDLSFLEPTPLPNRVYYSERIPREQADLLNRSVKSEDARDSHLLMSDLLSDFNQKNSVTEYSGVTAVISTMTKPVHADGHTIHGGFTELQKHKPDAVSQVSFVERDENPSPKRPNFNRGEVSTRESNNDVQGQPFSVTENTSQHVYQDFPPGALSTHAQADILIDIDDRFPRDIIYDMFSKATISDASMSAGPLPTDGTGSSLNMESHEPIGWSYFQKLAQHGLDNVSLIDGDHLGFSSAQRKVQEESKSQDSTPLLGDGVLAEPTESRLNFGEENRKNIPVTTTTEVIVLHPNYDHSQIKDTENKNMDTMMENIRTQNSHFEDARDETRNAGIPQQDPSLGDLDFSTLQLIKNEDLEELRELGAGTFGTVYHGKWRGTDVAIKRIKKSCFTGRSSEQERLTVEFWREADILSKLHHPNVVAFYGVVQDGPGGTLATVAEFMVDGSLRHVLLRKDRYLDRRKRLIIAMDAAFGMEYLHAKNIVHFDLKCDNLLVNLKDPLRPICKVADFGLSKIKRNTLVSGGVRGTLPWMAPELLNGGSNKVSEKVDVFSFGIVLWEILTGEEPYANMHYGAIIGGIVNNTLRPTIPSYCDHEWRILMEQCWAPNPAVRPCFTEIARRLREMSAAATQTRGQGHKASKGQQI >OIW21121 pep supercontig:LupAngTanjil_v1.0:Scaffold_74_8:6985:7284:-1 gene:TanjilG_29777 transcript:OIW21121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAEDEEEAIGSVVHPFYSPLSIEAKEQWSRARATEAPPPSSNPVHMHIVFFVVPATLNPEVPDHLSGQPDPSLSMLLNSYNNLAGEGEGEGEEEKVDY >OIW22027 pep supercontig:LupAngTanjil_v1.0:Scaffold_759:5911:7512:-1 gene:TanjilG_29999 transcript:OIW22027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSLVGLQNAGKTSLVNSIATGGYSEDMIPTVGFNMRKVTKGNVTIKLWDLGGQRRFRTMWERYCRGVSAIVYVVDAADRDSVPISQTELHELLTKPSLNGIPLLVLGNKIDKSEALSKQSLVDQLELESIKEREVCCYMISCKDSVNIDVVIDWLIKHSKTAK >OIW22026 pep supercontig:LupAngTanjil_v1.0:Scaffold_759:1840:4612:1 gene:TanjilG_29998 transcript:OIW22026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLLSIFSLLFLFAIIATGDDLASDRDVLLILRAAVGGRSLLWNITQTSPCLWNGVFCNENRVTVLRFPGMGLSGKIPLGLGNLTQLQTLSLRFNALTGTIPSDFAKLVNLRNLFLNNNLFSGEIPDSLFNLKNLVKLTLGNNNFSGEISPKFNDLTRLDTLLFENNNFSGSVPDLNVPSLQSFNVSNNHLNGSIPKRFSDFSVSAFAGNSLCGKPLQPCPGTESGKNSKKKLSGGAIAGIVIGSSIGVLLILLLLFLLCRKVSGKNDSNDVVPSKQVEAVAPREKSGNDSNSVVAAAKNDFKNGNSLVFFSNVNKPFELEELLSASAEVLGKGTFGTTYKATINMGMSVAVKRLKEVTAPENEFRQKIEQVGKMAHVNLVPFRAYYFSRDEKLILNDYMPMGSLSALLHANSGAGRTPLNWETRSGIALGAARGVAYLHSHGPTSSHGNITSSNILLTKSYEARVSDFGLAYTALPTFTPNRVSGYRAPEVTDAGKVSQKADVYSFGIMLLELLTGKAPTHSSLNEEGVDLPRWVQTVVQEEWSNEVFDMELLRYQNVEEEMVKLLQLAIECTAQYPDKRPSMNVVESRIQEICNSSLEKERLSEKYYPAESVAPQD >OIW21165 pep supercontig:LupAngTanjil_v1.0:Scaffold_75_102:7070:12456:-1 gene:TanjilG_29983 transcript:OIW21165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHFTNNNNNLEFEDQDAVPLRTSHFDSDFEDDFEMSKVKSDTSASEARNGKDIQGIPWERLNNSRTQSRENRLKDYQNYQNLRGCSHNLHKECLKVQKGKNFYDFQFNTRLVKSTIVHFQLRNLLWATSKHDVYLAQNYSVMHWSSLLRRGKEVLNVFKPIVPTVKHPGFLAQSVSRVQISTIAVRGNLIVAGGFHGELICKNLNHPGVAFCSKISTDDNAITNVVDICRDPTGSLRVITANNDSQVRVFDAEKFTSLGCFKYAWSVNNTSVSPDGKLLAVLGDSTECLIADASTGKVTGILKGHLDYSFASAWHPNGQILATGNQDTTCRLWDIRNLSQSVAVLKGRMGAIRGLRFTSDGRFLAMAEPADFVHILDSKSGYLQGQEIDLFGEIAGISFSPDTEALFVGIADRVYGSLLEFTRKHHYSFIDSMF >OIW21166 pep supercontig:LupAngTanjil_v1.0:Scaffold_75_102:23088:23370:-1 gene:TanjilG_29984 transcript:OIW21166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLDDNDEDDNKVVDEGGGGGQDKSFGSVPCSICLEVVADNGDRFGTIEIEFLSLEFEYYVLVSFA >OIW21167 pep supercontig:LupAngTanjil_v1.0:Scaffold_75_106:32058:37363:1 gene:TanjilG_29987 transcript:OIW21167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSALEIGIKFRKVVVISIRGCYRSVCNHPFLVCFLGFLLLLYRYFPFLFSVLVSASPVLVCTAVLLGTLLSFGQPNLPEFEKEEKVNHDISSFQTGFSVGDTVVVDRDQSYFVKGYSEYRSDVEESGVEEASLAGERDNRGEEDCGLLSDVPLDDENPEVVQPEKPLREEVEREFHSFELGEKSGEVNEENLRSEGVSSDEEAIEKQYVLVEKVNDYILDHDIENEKTPGGPVDFSPRSSWKHVENSDDDDDDSVESGSDQAESSSPDASMADIMPMLDELHPLLDLDAPRPAHISRDGSDAASEKSQKSNDDSVESDEEDAENHDEVEEDGVDERDDEEETEGGKEDESKSAVKWTEDDQRNLMDLGSLELERNQRLENLIARRRARRLMAEKNLIDFDSADIPINVAPITTRRNPFDFPDEFYANMGLPPIPGSAPSILQPRRNPFDIPYDPSEEKPDLKGDSFQQEFTMFTHKDKDTFFRRHESFSMGSSVLGLSKHERHDINWKPVFITERMASEGTSYGSFQRQSSEVSDSKMSSVPDTESVSSIDHDERKFSEQDLSQEAELMSNIDHASDGAEHGSQSSGENDSVEMIEMEESDVHPDEVEIVLGGVENPTEMEFYPETEVVGIHEQFNARETYLRREPSDEDNSSRSSRSSLSEVIDNIPDETTDKTYILKHEDDEVSGEVEESRISTQGSVEESIFQQVSGEVEGIQHVEPVYDSSPPASGKLQSFASVSADLAMEFSERSLPPVSVEMADDVADEESELHDERPEGNNSGREETQGASSQLHMEVKNELRSEKSEDINQHNVPGDESYAVDTNLVDQNGSIVSEGLSSDIELVEGVTNSGSVLKQDLTDHISADSEIILQQNVDSPSEKSILPGDETVEEGAVLNGLSRYHSANMSEFVQDADEILDSVVSDVHHRSTSHSSLPEASVVHSNLPSEKNEHEEIISPDKEDINQIEQDKIALSSSVEQGNPDIYQDLDRNTVSFTSDSQQEVDVDSSSNSENHQSDSDKLVVEPSSSDHDESQNSDIVRVDSAQDVVTSDDGAGELHDAIDKAPLSISSVTSETSDTPEFNPQEVDLVVDRHQETESVYEEVEHLDHSAGDYMSHVTEENKEFDDIKEIDEEFLSELDIVGDFSVRDASVSLHTDIVDEKTVDAQGSSLSNDVKIAEVEQDIPVLEARSLEDINLAFKQLQEGIDVKEVLLPSTVKDQLVSEESKDHPEVNSDLQVIEARSAEDTNIAFNQSTEGNHGELPKPLDLNGESDKIEENDVGSTKVIENIEAATSADELNRVPSDKPENAPLSKSGDEGKISM >OIW21169 pep supercontig:LupAngTanjil_v1.0:Scaffold_75_107:36166:40490:1 gene:TanjilG_29989 transcript:OIW21169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRTLRRRLHHGDIDGKSRERLETSGLDSLSEPLLADDDYSEHKKMCTLEDIWDDERKKAQIHWTFLFSNLIAQWAQWFATIVLGSGSLIGRLLTLHSTALNGQNNRMLPPSLSPLQEERLRSLRQRLEVPFDGSKMEHQDALKQLWKLAYPDRQLPSLKSELWKEMGWQGSDPSTDFRGGGFISLENLIFFAMKYPVSFFHCICTHAHIVLA >OIW21168 pep supercontig:LupAngTanjil_v1.0:Scaffold_75_107:21720:33378:1 gene:TanjilG_29988 transcript:OIW21168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALVIVVMLMVAFVVVAVAIRRKGSEFVNEFWKVLPVALKRVYGTRDQHGRKAVIRLVDIWEERKVFGSRSQGLKDEVTGKNPRPSSASNGKSSNSIKIVKKDAHSVRIKLAVGCLPEKILTAFQSVLDEHPNEEEALSKCNAAARDYSKLVEDVEKQLAQGTPLGSTLVNDLQEQEKELKQYMDQLDNAEASRVTLLSQLKDALQEQESRQELVRTQLLVAQGQIDKAASIRKQLNNAAEATLPSIQLNSTTNQPSFAPSQTSEDDNKKAAAAAVVAKLAASTSSAQMLTSVLSSLVAEEAAAMNGNLNSTGFTSGLPMYNPEKRPKLENPMAVSDVNSSDQGRSSFFANLQQPSITNGPLAPSTSLQAMSPANQLQTAFGSAPQPPPHSTANPPNQYVQPTGLMVGGIPYGYGSNSLPPPPPPPLPAHMAIGFSMASTQPAQQQHQSSPGGFYGSPPGFGFYGQSHPSTPPPVPRQ >OIW21171 pep supercontig:LupAngTanjil_v1.0:Scaffold_75_127:35197:36885:-1 gene:TanjilG_29991 transcript:OIW21171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSILFFLLLSFFILKTSSQSCTSQKLTLPKTNTLYSNCINLPYLTSFLHYTHNLSNSSLSIAFIASPPSPSGWVSWGLNPTGTGMVGTQAIAAYTQDGKITIKTLDIQSYKVLVPGKLSYEVWNLSAEQSDGVIRIFATLKVKEVNEVNQVWQVGPSVSNGRLDIHGFQPQNLNSKGILKLSGGQNFSSVGTMVDSKTRNRNIHGILNAVSWGVLFPLGVIIARYMRTFPSADPAWFYLHVGCQLSAYVIGVAGWATGLKLGSNSVGITYSLHRNIGIALFALATLQIFALFLRPKKDHKYRYFWNIYHHSIGYTIIILGLINIFKGFDILNPEKIWKTTYIGVIAALGVIAVLLEVVTWIVVLKRKANKSTKTFDGYNNNGQ >OIW21170 pep supercontig:LupAngTanjil_v1.0:Scaffold_75_127:4206:5900:-1 gene:TanjilG_29990 transcript:OIW21170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSILFFLLLSFFILKTSSQSCTSQKLTLPKTNTLYSNCIDLPYLTSFLHYTHNPSNSSLSIAFIASPPSPSGWVSWGLNPTGTGMVGTQAIAAYTQDGKITIKTLDIQSYKVLVPGKLSYEVWDLSAEQSNGMIRIFATLKVKEVNEVNQVWQVGPSISNGRLDIHEFQPQNLNSKGILKLSGGQNFSSVGTMVDSKTRNKNIHGILNAVSWGVLFPLGVIIARYMRTFPSADPAWFYLHVGCQLSAYVIGVAGWATGLKLGSNSVGITYSLHRNIGIALFAIATLQIFALFLRPKKDHKYRYFWNIYHHSIGYIIIILGLINIFKGFDILNPEKIWKTTYIGVIAALGIIVVLLEVITWIVVLKSKAKKSTKTFEGYNNNGQ >OIW21147 pep supercontig:LupAngTanjil_v1.0:Scaffold_75_17:18582:25474:1 gene:TanjilG_29893 transcript:OIW21147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCCQCNKNMDELLTQHKYNCKGCGHWICGECIRGCGLVPNIDSDNSTAIKEIISCKFCSDDANRKSSEKVHPSASPQESPRQCSEPPSPCFGVESERISSPQNSELSQGNHFESYLQYQNCGTHPSSVSTHLSTFRTDEKGTEDSQKQFLNQSRTFCDNYSDKDLSSISARHDIYNYNSVGSCPSESPSRNGFTSSRLEQLPVQKGQVKSPTSQYDAPIGQQSVAVLSKPEPGIEDAYNTAYFSDDLSIFQNQNENSQRPLDFENNGLIWFPPPPDNENDDADGNFFAYDDEDDDIGDSGVFSSSSSLSDMFPAKEKHNEETKEPLETAIHGHFRALVSQLLEGEGIKVGKESDPEDWLGIVATLAWQAANFVRPDTSKGGSMDPGDYVKVKCIACGSPSESTLIKGVVCTKNIKHKRMTSQYKKTRLLLLGGALEYQKVPNQLASFNTLLQQENDHLKMIVSKIEALRPNVLLVEKSVAPYAQEYLLAKEISLVLNVKRPLLERIARCTGAIITPSVDNLSKARLGHCELFRLERIVEDHESANHLNRKPKTLMFFEGCPRRLGCTVLLKGTCREDLKKIKHVVQFAIFAAYHLSLETSFLADEGATLPKMIIKPSTHLPENATADTDLKLGTSGSAQEHHYDPRLNSHIGTMVDYRVENVLSDSYYNNLTSDLNVKPDYLNQCNESEGDTISNMRDLLPPELQEAMVEEERGCGEVAEPMKDKINEDDFSGEYFSATDSHQSILVYFSSHCVSKAAVCERTRLLRIKFYGSFDKPLGRYLRDDLFEETSYCSSCKEPAEAHILCFMHQQGNLTINVRRLTSVKLPGERDGKIWMWHRCLRCPHVDGVPPATRRVVMSDAAWGLSFGKFLELSFSNHATANRVASCGHSLQRDCLRFYGFGSVVAFFRYSPIDVLSVHLPPSVLEFGHIQEEWIRKEAGELFSKVETLYVEISDVLERLETKISSPGTGNELSDTFDIFNHILELKDMIQRERTDYHCLLQPAPETPQPGKVALDILELNCLRRSLLIGSHVWDHRLNSLDSLIKKSLTSKVKPVIELCAEVKELRVDSIHKDQSPDSELEQNNPQPLKLHESGESHMVGEQDNMLEPHASVAFTSYDLDEEVCLDGKFIGNRTLSQCFPPEESNLSEKIDSAWTGTDEDPTNVEPLHTYQPNIVAAGSVLHSNQNHNPPFRRLKHPIRVQSFDSALRVQERMRKVLPSSSHFSQIRSFHASGDYRNMIKDPVYNVVESNFHMLPWETQKLNLILSSTPSFISSISRIADGARLLVPQTHNSDIVIAVYDNDYSSIISYALSSKEYEDWVSDKSDLHGGSWDARERNREDSATSSFSAAWASLDLNYINYGNYRSESAASSIDSLMKDSKKPSHLQVSFGDDSLGAGGKVNFSVNCYFAKQFDSLRRKCCPSKVDFVRSLSRGQRWTAQGGKSNVYFAKSLDERFIIKQVTKTELDSFVEFAPQYFKYLMDSMNSGGPTCLAKILGIYQVIVKYTKGGKETKIDLMVMENLFYNRKISRVYDLKGSERSRYNSDTTGTNKVMLDMNLLEALRTKPMFLGSRAKRSLERAVWNDTSFLASIDVMDYSLLVGVDDESKELVMGIIDFMRQYTWDKHLETWVKASGILGGPKNAAPTIVSPKQYKKRFRKAMTTYFLTLPDQWFS >OIW21146 pep supercontig:LupAngTanjil_v1.0:Scaffold_75_2:25466:30490:-1 gene:TanjilG_29892 transcript:OIW21146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDYGIPRELSDLQKIRSLYQPELPPCLQGTTVRVEFGDATTVADSADAPSISRLFPNTYGHPLAHFLRANAKVPDAQIITEHPAIRVGIVFSGRQSPGGHNVIWGLYNALKIHNPGSVLLGFLGGSEGLFAQKTLEITDEILSTYKNQGGYDLLGRTKDQIRTTEQVNAALATCNSLKLDGLVIIGGVTSNTDAAQLAETFAVAKCPTKVVGVPVTLNGDLKNQFVETNVGFDTICKVNSQLISNVCTDALSAEKYYYFIRLMGRKASHVALECTLQSHPNMVILGEEVAASKLTIFDITKQICDAVQARAEQEKYHGVILLPEGLIESIPEVYALLKEIHSLLRQGVAVDNISSQLSPWASALFEFLPPFIRKQLLLYPESDDSAQLSQIETEKLLAYLVEVEINKRVKEGKYKGKKFNAICHFFGYQARGSLPSKFDCDYAYVLGHICYHILAAGLNGYMATVTNLKNPVNKWRCGAAPISAMMTVKRWSPNPGAISIGKPAIHPAPVDLRGKTYELLRQNAASFLLDDIYRNPGPLQFEGPGADAKAFTLSVEDQDYMGRIKKLQEYLEQVRTIVKPGCPQEVLKAALSIMASVTEVLTSMTTSSTNLLSL >OIW21148 pep supercontig:LupAngTanjil_v1.0:Scaffold_75_23:3696:6811:-1 gene:TanjilG_29894 transcript:OIW21148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKPNCRDVKGMNKGAWSQQEDQKLRDYIQKHGEVCWSTLPQAAGLLRCGTSCRQRWTNYLRPDVKRGNFGEDEEDLIIKLHALLGNRWSLIAGRLPGRTDNEVKNYWNSHIRRKLISNGIDPNNHRLSQNVLPLQNPSICGSSKSSSLEDNNCKNKSIKPQVEHYGQDSDAASCEEVESYALPDLNLDLSL >OIW21149 pep supercontig:LupAngTanjil_v1.0:Scaffold_75_24:3792:5334:1 gene:TanjilG_29895 transcript:OIW21149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDNFGSTPARYVTFANNLFTDSIPKSIGNASKTLTEVLFLGNKFEGCLPYEIGYLKKATVIDVSKNYLTGPIPLSFGCLKKIRYLNLALNKFYGPVPEILSQLPGLCNNGNLSLSDNYFTEVGPECRKLIKSNVLDVKNNCIPGFPNQRSYEECYQLSCKVKPCPNEKYLSYIPCKEYCGQSTSFVSETTAPAPVTYKSLKPHRLRL >OIW21150 pep supercontig:LupAngTanjil_v1.0:Scaffold_75_33:12125:13567:-1 gene:TanjilG_29896 transcript:OIW21150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAETTEHSPIHIMFFPFMGHGHMIPMVDMAQLFASKGVKTTILTTPLNAPFIFKTIEKSKTHSNINIQTIMFPSVEEGLPDGCENFDSIPSPELVPVFFKRTELLQEGFEQQLSLQHPDCVVSDMFFTWTTDSTSKFDIPRIVFYGFSFFAMCAYECVRLYKPYENVSSDSESFVIPNLPSDIKMTRIQLIEYLNIGGWVERLTKFRESEEKSYGVVVNSFYELEKDYVDYFRKIMGRRAWHIGPLSLCNNDKEQIKYRGKQASIDDNEWKKWLDTKEANSVVYVSFGSVVNFPDSHLREITLGLEASGQQFIWVVKKSKKDGEEWLPEGFEKRIEGNGLIIRGWAPQVSILEHEAIGAFMTHCGWNSTLEGIAAGVPFITWPVSAEQLYNEKLVVDVLKIGVPVGVKRWCLFADIDDSIKWDAIEKTVRKILAKDEGAEERRNKVKELSRLARKAVEEGGSSDLDLDAFIVELSSLRG >OIW21151 pep supercontig:LupAngTanjil_v1.0:Scaffold_75_42:123:593:-1 gene:TanjilG_29897 transcript:OIW21151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLGSHIRSNTPNSPIFPDVSQDGVTQLSLGKPLKCLKMTRNQKYDSGQEAVQAKQAPDQTKFVTKLTRSRARWSGQMHQDVGQMHQDVGQKHQGAERVHQSTGRGPNPDRGTKPSLTEAQGPDLIRELGAGPGLTEAHGPDLIRALGARPGLTEA >OIW21152 pep supercontig:LupAngTanjil_v1.0:Scaffold_75_78:1740:2102:-1 gene:TanjilG_29898 transcript:OIW21152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKKVNTMDLPSSSSITGDQTTLKISTSLMDSFRGCGISGINVEKEELRHSVIMPEYLCSQFAIAFDIRITMQDRATTLAVWRMTMKRMLLYLRHQWLFSLILIAVAALALSSRRGSSI >OIW21153 pep supercontig:LupAngTanjil_v1.0:Scaffold_75_84:8478:9206:-1 gene:TanjilG_29927 transcript:OIW21153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIINEPTAAAIAYGLDKKATSVGEKNVLIFDLGGDTFDVSLLTIEEGIFEVKAIAGDTHLGGEDFDNRMVNHFVQEFKRKNKKDISGNPRALRRLRTACEKAKRTLSSTAQTTIEIDSLFEGIDFYSPITCARFEELNMDLFRKCMEQVEKCLRDAKMDKMSVHDVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDKAVAYGAAVQVTILTGRVMRRFRIFSSSMSPHSLLVWRLLVVS >OIW21155 pep supercontig:LupAngTanjil_v1.0:Scaffold_75_86:19533:20772:1 gene:TanjilG_29929 transcript:OIW21155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRPPCCDKEVVKKGPWTPEEDIILVSYIQEHGPGNWRAVPTNTGLSRCSKSCRLRWANYLRPGIKRGNFTEQEEKMIIHLQALLGNRWAAIASYLPQRTDNDIKNYWNTYLKKRLKKLEPGSEGGSLGNVYNSSTSKPIPRGQWERRLQTDIHMAKKALSEALSPNKILSLPEQNDSNLYNDIGSIKQTNPNSVCYASSADNIARLLKGWMKNPPNKASSKSNSIVSTQNSFNNFAGIESASSEGKTNSVDLSETFESLFGYNDSLDYSSNSEFSPSLSPEASTFFQDETKPSTSIAEIPFSLLEKWLLDDIKISCSDVTSSVDDHATLF >OIW21156 pep supercontig:LupAngTanjil_v1.0:Scaffold_75_86:68211:68483:1 gene:TanjilG_29930 transcript:OIW21156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKRQVVVRRLEGPPSRSVRSVKYGECQKNHAANVGGYAVDGCREFMASGDEGTSSALICAACNCHRNFHKKEVEAETVSECSSPTSSGT >OIW21154 pep supercontig:LupAngTanjil_v1.0:Scaffold_75_86:8872:9387:1 gene:TanjilG_29928 transcript:OIW21154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMAQKALSQSWFSQLPETMDILTQGGANCNLNNQFSNLDNNISSYTTTKIASSTIQQQELHQLSPSDIPSYKPIISNNITNPTNPTTDDSFMFYTFETIGPTYPVDFVGFEDTNNQHYSNSSGFSINLPQDMQMQPNMPSPMQLNGFPLNLSPNDDDPIFSWELITPLPQ >OIW21163 pep supercontig:LupAngTanjil_v1.0:Scaffold_75_87:93827:96531:1 gene:TanjilG_29937 transcript:OIW21163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSPCCDKVGLKKGPWTPEEDQKLLAYIEEHGHGSWRALPSKAGLQRCGKSCRLRWTNYLRPDIKRGKFSLQEEQTIIQLHALLGNRWSAIATHLSKRTDNEIKNYWNTHLKKRLTKMGIDPITHKPKNDALLSSDGQSKTAANLSHMAQWESARLEAEARLVRESKLRSHSLQNQLGSSTFASSSSASTSLLNNNKQEAASTTEMVPIQSRSIDVLKAWNNGGWLKSNEVSNGVGDLESPTSTLSFSENAPPIMTTTTTNSGSGGILGENTMPMIEFVGSSSGCSVKEEGEQEWKGYESSNNLAEYKDGIMENSVNTFTCGLHELTMSMEGAATWPGSHESLRTNDHAIVEEGFINLLLNTNSGNHRSLSECGGDSNNDEGSGNGSDGDFYEDNKNYWNSILNLVNSSPSDSPMF >OIW21157 pep supercontig:LupAngTanjil_v1.0:Scaffold_75_87:14358:15329:-1 gene:TanjilG_29931 transcript:OIW21157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTGTSTPTTEKSSDPEPELPTRIQQPPAAVTIKPLSFSNGVLKRHHHQIVNATANVAATTAAIIYKECLKNHAASLGGHAVDGCGEFMPSPNVTAGDPASIKCAACGCHRNFHRREPDEPPISTTTHVIEYQPHHRHLPPPPPPTFQPPPSRSPNSSSPPPISSSYYPSAPHMLLALSGGAGLSVPPESTAAPANIAGIGGSSASPRKRFRTKFSQEQKEKMHEFAERVGWKMQKRDEDLVMEFCNEVGVDRSVLKVWMHNNKNTFGKKDVVALVTTTTTTTNNTNNNASNGVVLESENVLEQPQNDDSGNVGSGTNGSSSS >OIW21159 pep supercontig:LupAngTanjil_v1.0:Scaffold_75_87:45660:56394:1 gene:TanjilG_29933 transcript:OIW21159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPKPELSPTESNSARGRSTTRPRLFIKEMVMRNFKSYAGEQRVGPFHKSFSAVVGPNGSGKSNVIDAMLFVFGKRAKQMRLNKVSELIHNSTNHQNLDSAGVSVHFQEIVDLEDGTYEAVPGSDFVITRVAFRDNSSKYYINDRSSNFTEVTKKLKGKGVDLDNNRFLILQDVKNEAEAFMLKELSLLKWQEKATKLAVDDTDGKMVELQGNVSTLEENLKAERDKIKESKQTLKELETKHNNYMKRQEELDNDMRKCKEEFKEFERQDVKYQEDYKHLTQKIKKLEDKVEKDSKKLEALVKEGEDSTDLIPKLEDDIPKLQKLLIEEERLLEEITERSKVETEKYRSELSKVRAELEPWEKQLIEHKGKLDVSCNENKLLNEKHEAACEAFKDAQKQMKSISETIKSKATSISQIKSDIEKSKFEASKAHQVEKDCIIEQDALIPLEQGARQKVAELKSVLDSEKSHGSVLKAILKAKETKQIEGIYGRMGDLGAIDAKYDVAISTACYGLDYIVVETTNAAQACVELLRRENLGVATFMILEKQVDLLPMLKKNVSPPEGVPRLFDLVKVQDERMKLAFFAALKNTVVAKDLDQATRIAYGGNNEFRRVVTLDGALFEKSGTMSGGGGKPRGGKMGTSIRAASVSMEEVANAEEELSELTNKLNKIRRTIVDAVKQYQASEKVVGALEMELAKSQKEVESLNSQHSYIEKQLNSLEAASKPQEDELDRLKALKKIISTEEREINRLTQGSKQLKEKALELQKNIENSGGEKLKSQKSKVKKIQSDIDKNNSEINRHKVQIETGQKMMKKLTKGIEESKKEKERLAEEKENMKTIFKEIEQKAFVVQESYNKTQELIDKHCVVLGEAKSEYDKMKKTVDELRASEVDVDFKLKDMKKILKDLEMRGKGYKKRLEELHTAISKHLEQIQVDLVDHEKLQATLSDELLNGACDLKRALEMVTLLEAQLKEMNPNLDSIAEYEFFQYRKKVSLYNERVEELNTVTQERDDIKKQYDELRKKRLDEFMEGFNAISLKLKEMYQMITLGGDAELELVDSLDPFSEGVVFSVRPPKKSWKNIANLSGGEKTLSSLALVFALHHYKPTPLYVMDEIDAALDFKNVSIVGHYVKDRTKDAQFIIISLRNNMFELADRLVGIYKTDNCTKSITINPGSFVVYQKAT >OIW21160 pep supercontig:LupAngTanjil_v1.0:Scaffold_75_87:59386:65095:1 gene:TanjilG_29934 transcript:OIW21160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFSFPVETNVKHKLLLLLHTKNHHYIHHGSLRFPLKYSTINALAFDPSETRKGGRLHHRCRRNIKAVGKSAGTSNEDKDELEDDDDVLKGTIEKSKKVLALQKNLLQQIAERKKLVSSLNSDSIPELEGDSISYEHREKSLSSDSNPQKGAIGSGNAVENQIGGIVLSNDVHYDEEILDVPSVSNGRGFHEDEEEDDKFSPAEMTSSRLYFNEQLKNKRYETIKPDALPNYLSYSTKTSSLKFENREGVSDSSAKVVTNEASEVVGEDENPPPMAGVNVMNVILVAAECAPWSKTGGLGDVAGSLPKALARRGHRVMVVAPRYGNYAEPQDAGIRKRYNVDGQDMEVTYFQTYIDGVDFVFIDSPIFRHLEHNIYGGNRMDILKRMVLFCKAAVEVPWHVPCGGVCYGDGNLVFIANDWHTALLPVYLKAYYRDHGLMKYTRSALVIHNIAHQGRGPVDDFKYLDLPQHYIDLFKLYDPVGGEHFNIFAAGLKTADQIVTVSHGYAWELKTSEGGWGLHGIINDSDWKLRGIVNGIDNRDWNPRFDVHLTSDDYTNYSLETLQSGKCQCKAALQKELGLPVRDDVPVIAFIGRLDQQKGVDLIGETIPWMMGQDVQLIMLGTGRPDLEQMLRQFENQHHDKVRGWVGFSVKMAHRITAGSDILLMPSRFEPCGLNQLYAMNYGTVPVVHAVGGLRDTVQPFDPFNESGFGWTFDSAEANKLIHALGNCLWTYREYKKSWEGLQRRGMTQDLSWDNAAQQYEEVLVAAKYQW >OIW21162 pep supercontig:LupAngTanjil_v1.0:Scaffold_75_87:78823:81674:1 gene:TanjilG_29936 transcript:OIW21162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGQRSSHGKRPHSHSDNDNRGNKRRNSSGDRDQFVIDSEDTVYRYLCPSRKIGSVIGRGGEIIKQLRIDTKSKVRIGETVPGCDERVVTIYSPSDETNAFDDSGDYVSPAHDALLKVHHRVVAEDLHSDRDDDEGGQQVTAKLLVPSDQIGCIIGKGGQIVQNIRTDTGAQIRILKDDHLPPCALGSDELVQISGDPAVVKKALYQVASRLHDYPSRSQHLLTSAGPGVYPPGSSFMGPSAGAPIVGIAPLMGAYGGYNGDAGDWQRSVYSAPRVEAPSRDFSVQLVCPNGNIGGVIGKGGMIINQIRQDTGATVKVDSSAKEGDECLITISTKEFFEETFSPTIEAAVRLQPRCSEKVERDSGIISFTTRMLVSSSRIGCLIGKGGSIITEMRRLTKANIRILSKENLPKIASEDDEMVQISGDLDVAKDALVHVLTRLRANLFDREGAVSALLPVVPYLPVPGDGPDGLNYDSRDGRRHGRGHSYSSGYGGSSDLAAGDAYGSYGGSQLGSGDPYGAYGSYSLGRTSTAGMVFPGGETMLTKLDGSSAACLLLPKPEDQMKPGFDNLMAHPDAST >OIW21161 pep supercontig:LupAngTanjil_v1.0:Scaffold_75_87:69608:70135:-1 gene:TanjilG_29935 transcript:OIW21161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDVVIFIAVIFAIGFLFFPSIEILITGSIKIVKFVFCVMKEEFEVAPTIYISIGLGVTCSALATWVVVVCTSRKCGNPNCKGLKKSAEFDIQLETDDCVKNSSNSMTKDGGVVGVVKKGLFELPRDYHRELEAELRKMAPPNGRAVLVLKARCGCSVGRLEVPGPRKHNRKIKK >OIW21158 pep supercontig:LupAngTanjil_v1.0:Scaffold_75_87:37046:37940:1 gene:TanjilG_29932 transcript:OIW21158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIANKFIALLIFALVIISMLQTMVMASQGHGGNHYDNKSKYGPGSLKSYRK >OIW21164 pep supercontig:LupAngTanjil_v1.0:Scaffold_75_90:4479:5419:1 gene:TanjilG_29975 transcript:OIW21164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQQEAQNGGSESASAAVSFSALKPQLFVEAPKANDAVLFYKAAFGAEEVSRSLNPKRKAEHELPLILSAELKIAGSTVIVADVTGDNASPVKTGENGVVLCLETSDVEGAIAHAVSAGAVVEGEVVEGEGACCGGRVGKVKDPYGFVWLICAPGNKCGADVEA >OIW22028 pep supercontig:LupAngTanjil_v1.0:Scaffold_763:5319:8096:1 gene:TanjilG_30300 transcript:OIW22028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMIHSIMGMNMSPSTGLIGFCIKRKDYENGIERRVYCSIQPAWAGTAIPDPNLKIWDGPKPITILGSTGSIGTQTLNIVAEFPHRFKVVGLAAGSNVTLLADQVKTFKPQVVALRNESLIDELKEALADSEHQPEIITGEQGVIEVARHPDAATVVTGIVGCAGLKVTPYPYLHDLQHAS >OIW22029 pep supercontig:LupAngTanjil_v1.0:Scaffold_765:1017:7065:-1 gene:TanjilG_30301 transcript:OIW22029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPQPPSSSTTAQNATEPSQPPQQPPLQQPQSSPSLPPISSSLHSSPNPNPIRNPNPLQPQTQASISRPPAPAPRPPPSSFNRTLPPPQQQPSHHQLQQQSHFHHFSSAPSTASSIPGGAAPRGGMAIGVPTHHQSPSPTFSSSFGQHQHFGSLNRTGVNVTESPSSSNTSQVKAPMQGMGMLGSAGSSSQMRPGGMPAHQQRPVQSSIRPPLSAQNNQSTPSQSFQGHGLVRNSSVGSPVPPSPSASQSMQSLNQPWLSSGPQGKPPLPSSSYRQQLNPQSMQQRSHIISQQQQPTPTASQQKQPLPSNQSQEHFGQQVPSSRAAIHVPHQQQVTRIQGSGNQKPSSLVAAQSSAVQTGIHSKLPNADTDESSNSILSKRSIHELVHQVDPAQKLDPEVADVLVDIAESFFESITRSGCSLAKHRKSTTLEAKDILLHLEKNWNMTLPGFGGDEIKSYRRQPVSDIHKERLASIKKSIVATEAANAKGAAGQASGSAKSSQAKTPLNVIGSPNFKSS >OIW22030 pep supercontig:LupAngTanjil_v1.0:Scaffold_767:1188:3572:-1 gene:TanjilG_30303 transcript:OIW22030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPNPFHDMLENPTILGVFSGMTMFLGHIWLAFLIGLIVGWLWKPKWACVGKEKLTSSLAKSLDFCSQSSSSPSKNVFSPLKGYSSSPCLNSIKMQSPNPQTLVVNKGVDKKASPSSSSVKCDGSSSTLNSNEDISNTCTMEDLHHLYQLVEEKDGGLPWIQMMDRATPTMSYQAWRREPKDGPPQYRSSTIFEDATPEIVRDLFWDDEFRPKWDDMLASSTTLEECPTTGNMKVQWIRKFPFFCKDREYIIGRRIWESGRSYYCVTKGIDCPSIPGQDKPRRVDVYYSSWCIRAVESKRNNGQLTACEVLLFHHEEMGIPWEIAKLGVRKGMWGTVQKIEPGLRAYQEARASGAPLSQPAYMAQVNTKINLEYLQSIGTNESSPETENVITAEKPQGVNVPKMLVIGGAIALACSLDKGLVTKYVIFGVARRLANIGKK >OIW22031 pep supercontig:LupAngTanjil_v1.0:Scaffold_769:2824:3513:1 gene:TanjilG_30304 transcript:OIW22031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFEHYFSDERKSKSIPNAVTEAENYNGCFDCNICLDFAYEPVVTLCGHLYCWPCIYKWLHVQSDSLAPDEHPQCPVCKADISHTTMVPLYGRGQASSQSHHDGKPSSCCDIFIPPRPSASSAEALLGTSSQSGQQLPYRNPYQGHHEEDSSPQLLNPGYQNPVVGMLGEMVYARVFGNSENLYAYPNSYQLMGSNNPTLRQQEMLIDKSLNRVLIFLFCCFVLCLIVF >OIW21175 pep supercontig:LupAngTanjil_v1.0:Scaffold_77_11:4237:4641:1 gene:TanjilG_30498 transcript:OIW21175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIVSPPSSPGQEQEPHSPPQPSEANDIYLLSKSSRKKPYKPPLIPILVIVSPPSSLGQEQEPHLPPQPSEAYKKRYQFCSPPSPKHKHKQNSPPPLSLEDKHWHNQHPHHKHHYAPPSGHKHPHHLAPSRKQN >OIW21176 pep supercontig:LupAngTanjil_v1.0:Scaffold_77_11:24873:26952:-1 gene:TanjilG_30499 transcript:OIW21176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTASASAKNVANSVGGKTARACDSCITKRARWYCAADDAFLCEACDSSIHSANQLARKHERVRLKITSCNSSNTKETINYDAPMWHKGFTKKARTPRQKAKNPFHLVPEVDHLDDANSNEESDEKLLYRVPILDPLAAELCTTPSSVAVVEALEVETSFTKGEEGKASLGYKNYGVESLHGFISLDTELEEFAADVESLLGNGLENECNIGMEELGLIDRKEENCNSWENSEKVKVKEEEKVDQMEIGREREPLELCFDYDIDDDRDESPMTNEEVEEKVDLDFTKDVMNGGELKENEQEKRNALLQLDYEGVITAWASQKSPWVNGDKPNFESDQCWPHCMGSCGMQLHHQPYGELGGLFGGHAAMAEGGREARLLRYREKRQTRLFSKKIRYEVRKLNAEKRPRMKGRFVKRASFAPPPTFPLL >OIW21177 pep supercontig:LupAngTanjil_v1.0:Scaffold_77_11:32708:33855:-1 gene:TanjilG_30500 transcript:OIW21177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHILSNFSNSVKLKYVKLGYQYLVNHIITLTLILPIVAIFIEVISVGPYEILNLLNSLDFDLVSIICPSIPIILITILYFMQKQPTIYLVDYACFKLPITCQFPFATFMEHSKIILKNNLKNVEFQMRILEQPGLVEENSLPHEIHYIPPKPTMEAAIIVLPNSLFRMGGVVILLSNRISDHARAKSTLYHIVRTPKGDDDKAYCSVFEEEDKEGKIGIQLSKYLMAIASEVLKSNIRTIGPLFLPNSEQLGFIVTLLARKYLNPKWTPYILDFKQAFEHFNIHVGDRAVIDEL >OIW21179 pep supercontig:LupAngTanjil_v1.0:Scaffold_77_16:60606:61290:-1 gene:TanjilG_30502 transcript:OIW21179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPILSNFSNSMKLKYVKLGYQYLVNHIITLTLILLIVAIFIEVISVGPYEILNLLNSINFDLVLIIYSSILVILIAILYFMLKSPTIYLVDYACFKPPVTCQVTFATFMEHSKIILQNNPKSVEFQMRILERSGLGEETSLPPAIHYIPPKPTMEAARASSVQHLLCPQWLSISTNSGATLRALTYLEWVAAQG >OIW21178 pep supercontig:LupAngTanjil_v1.0:Scaffold_77_16:56853:58343:-1 gene:TanjilG_30501 transcript:OIW21178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPILSNFSNSMKLKYVKLGYQYLVNHIITLTLILLIVAIFIEVISVGPYEILNLLNSINFDLVLIIYSSILVILIAILYFMLKSPTIYLVDYACFKPPVTCQVTFATFMEHSKIILQNNPKSVEFQMRILERSGLGEETSLPPAIHYIPPKPTMEAARGEAELVIFSALDTLFNQTSLKPSDIDILIINCSLFCPTPSLSAMVINKYKLRSNIKSFNLSGMGCSAGLISIDLARDLLLVHRNSNAVVVSTEIITLNYYQGNERAMLLPNCLFRMGGAAILLSNRRSDHKRAKYTLDHVVRTHKGGDDNAYHCVFEEEDSEGKIGISLSKNLMAIAGEALKSNIKAIGPLVLPNSEQLRFIVRLLARKYLNPRWTPYIPDFKLAFEHFCIHAGGRAVIDELQKNLQLSSRDVEASRMTLHRFGNTSSSSLWYELNYIESKGRMKKGDRVWQIAFGSGFKCNSAVWKCNKNIKPSLEGPWADCIDRYPVHIPDIVKL >OIW21180 pep supercontig:LupAngTanjil_v1.0:Scaffold_77_17:7145:10929:-1 gene:TanjilG_30503 transcript:OIW21180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSSTFIFRSTQTLISPINKPFNHNLLPFSTKLITKPNKTTSLTVSAKKKTPIEGVSDELNDIASFNLDFAYTRRRVREAFAQVQLQLDHCLFKNAPAGIRTEEWYEKNWRGLEIFCKSWLPESGVPIKASVCFCHGYGDTCTFFFEGIARRIAASGYAVYAMDYPGFGLSEGLHGYIPNFDDLVYDVIEHYAKVKARPEVRGLPRFIMGQSMGGAVTLKVHLEESNKWDGVVLVAPMCKIADEVLPPDPVMKVLTLLSNVMPKAKLFPNQDLAELTFSEPSKRKLAVYNVVSYGDNPRLKTGMELIRATKEIESQVHKIYAPLLIMHGAEDKVTDPLISQFLYEKASSKDKTLKLYEGGYHGILEGETDERIFTIHDDIVSWLDSRVKK >OIW21181 pep supercontig:LupAngTanjil_v1.0:Scaffold_77_17:14501:17611:-1 gene:TanjilG_30504 transcript:OIW21181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPPPPPPPSLPLLHLTIFTFFFFHHLLLTQATQTPDLHPLLSFKTTSDTHNKLTTWNSTTHPCTWSGISCLNNRVSRLVLQNLNLTGSILPLTSLTQLRLLSLKRNRFNGTIPSLSNLTSLKLLYLSHNNFSGQFPSSITSLSRLYRLDLSYNNFSGRIPVTVNNLTHLLTLKIDGNRMSGHIPDIKLPSLRDFNVSGNRFSGRIPVSLSGFNGSAFERNKDLCGAPLQKCKDIATLISPLNPRNITSAPTVPSSPSSKPLPGNPTRKHGAPKMSPVVLIAIIIGDVLVLAVVSLLLYCYFWRNYSIRESMSNSDSKVLEKIAYYSSSSAYPNGYGKGGMVFFEGAKRFEVEELLRASAEMLGKGGFGTCYKAVLEDGSVVAVKRLKEVNIGGKKEFEQQMEVIGKLRHPNVVSLKAYYFAKDEKLLVSDYISNGSLFWLLHGNRGPGRTPLDWTTRLKIATGAAQGVAFIHKSNNKLTHGNIKSTNILVDVAGNAQVADFGLSIFTTRSNERKSNGYRAAEASSDGRKQTQKSDVYSFGVLLMEILTGKCPAMDGGDYVGASVDLPRWVQSVVREEWTAEVFDLELMRYKDIEEEMVALLKIAMECTVAAPDQRPTMCHVAKMIEELHGSNASTLDSVSESPSVCET >OIW21172 pep supercontig:LupAngTanjil_v1.0:Scaffold_77_3:7903:11015:1 gene:TanjilG_30495 transcript:OIW21172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METINEDIVIVGAGIAGLTTSLGLHRLCIQSLVLESSESLRAAGFAFATWTNGWKALDAVGVGDILRHQHVQIHKYVTTSLITGQQTSTTSFQGTEKHGPCEVHCVRRKILLEVLAKELPGDTIRFKSKVVAIEESGFYKLLHLADGTIIKTKVLIGCDGVNSVVAKWLGFKKASHSGRYAIRGSPEMKTNHGILSGFLQYFGKGFRAGFLPCDDKTVYWFFTWTSNSQGNEIEDNPAKLKQSMLNKLEKMPSDVRSVIENTRLNDFLSFPMRYRHPWELIFGNISKGNVCVVGDALHPTTPDLGQGACLALEDGVVLARCLGEAYSKIEKGEQEEDQYKKIEEGLKKYANHRRWRCIDIITTSYILGSIQEVYSKLGTFFRDKVLAAFLANLFLKKSDFDCGKLNSS >OIW21173 pep supercontig:LupAngTanjil_v1.0:Scaffold_77_3:19064:25437:1 gene:TanjilG_30496 transcript:OIW21173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVQQHDGLSEDNERAGDACVSSSVNQKGPVSVSDVSNPSAATESGAVNEPGGVSCKKSNTPHFYRQDVVRNTTSGLIGIVTEVAGDSDSDSSITDDEDDSEDEDADSEEENADDSNNASRNCDQNSADGDFKTGALLADQMRVLWINETESTQNFNDVEVVDRGFLHGDFVAAASDPTGQVGVVVDVNISVDLLAPGGSIIKDISSKSLKRIRDFTIGDYVVLGPWLGRIDDVLDNVTVLFDDGSVCKLTKADPLDLQPVSKNILEDGHFLYYPGQRVRASSSSVFKNSRWLSGLWKANRLEGTVSNVTVGSVFVRWISSAGYGPYSSSSPAEEQSPKNLKLLSCFAHANWQLGDWCLLPSPALSSSISLDKGISKLELNVSVTNELDSNQKGSVCDSEEVTAEELNGNEDPTDLDPVDALEGNDGNVGSNPSRDSSSCGSSSISVSKDPVHETWPLHRKKIRKVVIRKERRAQKKEESFEKALLIVKTRTKVDVAWQDGVLERERDSTSLIPIDNLGDHEFVSEQYVVEKTSDDGLDISETRRVGVVRSVNAKERTAFVRWLKPVDRAEDPREFDKEEVVSVYELEGHPDYDYCYGDVVVRLSPVSVSLETASVEESIEKSMQKNEERRIKKETKSRTGTGKVEIASGGEACKEYSDPYWVGNITGLTNGDIEVTWADGMVSTVGPQAIYVVGRDDDESIVAGSQISDAASWETVNDDEMEVLEETREDILRENSSSITSASEESGENGFGRSAALAVPLAAFRFVTRLATGIFSRGQRNLDVVHLQPKDESELPSRDESSSQKCVATVGENSGNKSGRNEEVVPETSEILEACEALCTLRNKDAPASYDDDACSFKHFDITKDPLDHYFTGTNGQSNNRKWFKKVQQDWTILQNNLPEEIYVRVYEDRMDLLRAVIVGPYGTPYQDGLFFFDFHLPPEYPDVPPSAYYHSGGWRINPNLYEEGKVCLSLLNTWTGRGNEIWDPKSSSILQVLVSLQGLVLNSKPYFNEAGYDKQIGTAEGEKNSLSYNENAFLLNCKTMMYLIRKPPKDFEVLIKEHFRRRGHNILKACDAYMKGYLIGTLTRDASVSDKSTQNSTSVGFKLMLAKIVQKLYLSLNELGADCEEFRHLQGL >OIW21174 pep supercontig:LupAngTanjil_v1.0:Scaffold_77_3:28116:30116:-1 gene:TanjilG_30497 transcript:OIW21174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSNHQLVSDENEGNNNHRNNNHSFPLTTTTEASPDTSSLPHDISLDRIGLCNSSLNFMHHHQFNYNNQGSFLHDNNQTNNNDECMNMNDHAQDMQQYFEPLDTKDHNKQGQKGSDSMSDCSDQNEEEEDGKYRRRNGKGNQSKNLVAERKRRKKLNDRLYNLRSLVPRISKLDRASILGDAIEYVKDLQKQVKELQDELEENSDTGADSNCFNNNNNNNHVVGVKQTDNVNGTEIGPKEPEHCKTQNGFHVGTSRNNGYITNQKQEVDASTIDKQTQQMEPQVEVAHIDGNEYFVKVFSEHRMGGFVKLMEALNTLGMDVVHATVTSHKGLVSNVFKVEKKDSEMVEAEDVRDSLLELTRNPCKGWNHEMTATSENGVGRDQHHLHNNQMGAYHPHQYHT >OIW22032 pep supercontig:LupAngTanjil_v1.0:Scaffold_785:4934:6666:1 gene:TanjilG_30760 transcript:OIW22032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSPIKDLTTSSISVESQGQAPSPLRKMIAVASIASGVQFGWALQLSLLTPYVQLLGVPHAWSSIIWLCGPISGLIVQPIVGYYSDRCKSRFGRRRPFICTGAIAVAIAVILIGFAADFGHSLGDNLTLKTRPRAVAFFVLGFWILDMSNNMLQGPCRAFLGDLSAGNQSKIRSANAIFSFFMAVGNILGYAAGSYGKLYKVFPFTETKACNVYCANLKSCFFISIILLTILVIFVMIYVEEIPLTSSSNINDENDIGITLCIRSLFGAFKELKRPMWVLLLVTCLNWMAWFPWVLYDTDWMGKEVYGGEVGHKVYDMGVHAGSLGLMFNSIVLGVMSLVVEPLSRLVGGLKRLWGLVNFILAIGLAMTVLITKEAEAHRQFTVVAKGVREALPPSTGISGGAFALFSVLGIPLAITYSVPFALASIFSSTSGAGQGLSLGTLNLAIVIPQVRNNDKILKLLNFFVCEVFD >OIW22033 pep supercontig:LupAngTanjil_v1.0:Scaffold_788:1598:2192:1 gene:TanjilG_30761 transcript:OIW22033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKYFGNAYRGDPGVPHTDHQRFYNYWIGATIFSALLWKDPYMWQLTNQWNDHDVTDSNPVNSLFAWG >OIW21184 pep supercontig:LupAngTanjil_v1.0:Scaffold_78_6:11169:16750:-1 gene:TanjilG_30542 transcript:OIW21184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQWDIDSDLSIHEEENAAASFIFNHHQVDGALPFPFPLQTAPCGFVVTDAIDPDHPIIYVNTVFEMLTGYRAEEVLGRNCRFLKRRGPFEFQGELLNFRKDGSPLMNRLRLTSIFGDDEITHVIGIQFFTEADIDLGPVLGSAIKESAKSSDRFCSVLSSLRPFPVGDRNVTRGVCGIFQLSDKVISLKILAHLTPRDIASVGSVCRRLYELTKNEDLWRMMCQNAWGSETTRVLETVPGARRLGWGQLAMELTTLEAAAWSKQTVGGVVEPSRCKFSACAVGNRVVLFGGEGVNMQPMNDTFVLDLNSSNPEWQHVQVGSPPPGRWGHTLSCVNGSLLVVFGGCGTRGLLNDVFLLELDAKPPTWREISGLAPPLPRSWHSSCTLDGTKLIVSGGCADSGEVECPVLEILVAQHLLQDLIDHVAISLPGGRILIFGGSVAGLHSASQLYILDPTDEKRTWRILNVPGCPPRFAWGHSTCIVGGTRAIVLSGQTGEEWMLVDLHELSLASSAI >OIW21183 pep supercontig:LupAngTanjil_v1.0:Scaffold_78_6:7439:8831:-1 gene:TanjilG_30541 transcript:OIW21183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVESTESTESTGSTGAIFIVVSMVVIIVKISVLYYFCGKRIFPSVSPEPQFMTLTMDKFLTDMEREKPIRFTDQQLRIATDNYSNLLGSGGFGAVYKGIFSNGTIVAVKVLLYGSSDKRIEEQFKAEVSTIGRTHHFNLVRLYGFCFERNLRALIYEYMVNGSLDRYLFHQNKTLGFEKLHEIAVGTARGIAYLHEECQQRIIHYDIKPGNILLDRNFNPKVADFGLAKLCNRENTHITMSGGRGTPGYAAPELWMSFPITHKCDVYSFGILLFEIIGRRRNFDVNLPESQEWFPILVWKKVDTGQLEELTIACGIDEKDKEITERMVKVALFCVQYRPESRPIMSVVVKMLEGSLEIPDPVNPFQHLMDGIFTAHPVPTSQSYTNTSTSSGSCVMVTDSSIVCTTPIMRRNEIELASSNG >OIW21185 pep supercontig:LupAngTanjil_v1.0:Scaffold_78_6:48675:61103:1 gene:TanjilG_30543 transcript:OIW21185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNSLQHRCKVIEDGFKCQQWKRKCTINAISDGQSIEPESQAPDKKSMKDSVKDGLTVVASVLMIIVNCGLNELCDLEIDKINKPHLPLTSGALSIEAGIAIVAASAFLGLWLSWMSGSWPLFCNVLYNNVLAVVYSVDLPLLRWKKSSFLTAIYILTNIGVVIPIGSFLHIQDIPDMEGDEKYGIKSLTLRLGQKQVLGHATLALVLQYRAKSVDPKNKDSVQSFYMFIWKQVQTLLRREGYFSVKVIPIGEKILLKGNIEENVLDLLKSVAESDCDSDMNMRICEGVCGSLVNDWVDSKASDSVLATQKEKAEVVVEKSDGRDKLKLKRVMGSEDPKGNHVVVDWS >OIW21182 pep supercontig:LupAngTanjil_v1.0:Scaffold_78_6:248:5467:1 gene:TanjilG_30540 transcript:OIW21182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRSKFEVLGLCCATEAELVERILKPLNGVNDISVTVPTRTVTVTHDVLLISESLIVDALNSARLEASLRLEGETNTENKWPDLATLLCGLLLVLSFFKYLYQPLELLALGSVAIGSPKVVFRTIASIRALSLNINILVLIAGYISVKTTVLAKDTVVARMSKLVEEAQSRKSRAQRIIDNFAKYYIPVVVLISASIAVVPVALGVPDIKRWFRLAIVVLLSGCPCALILSTPVAIFCALTKAATSGLLLKGGDYLETLSGIKTVAFDKTGTITRGEFTVTDFCAIVDDISIETLLYWVSSIESKSSHPMAASLVEYGMLHSIKQIPENVENFQNFPGEGIYGKIDGRDIYIGNRRIGARAGCERDPVSQVQEERNGYIYCGSTLIGVFSLADTCRSGALEAIEELKSLGVRSVMLTGDSTQAANYVQSQLNHALDDVHAELLPEEKAVIVKNFKNDGLTAMVGDGINDAPALATADIGISMGISGSALAIETGNVILMSNDIRKIPEAIQLARKTTRKLIENVIISISTKIAILVLAIAGYPIVWLAVLTDVGTCLLVILNSMLILQEKPKYDDAKSTNRSPKYGTFLEDKSITLLESNSDEQLGLLTSEKCGKKCCKNETNLAPTTSESKHESLGISKLVFDEVHSVKPCNDCCLHEVKMCQDSSCRKKNSSDCCQLDQEVHIKDKKYSTGSVVLVTHDATLESGSYKDKSKDSSELLGTSGIPKCCKRGCCSDLVNDIGSLNEIVIV >OIW22034 pep supercontig:LupAngTanjil_v1.0:Scaffold_790:5102:5818:1 gene:TanjilG_30823 transcript:OIW22034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSRDSIHHNHPVTPRRSQRLILIQQQQHKPSNPPTPRTPFNPKLSKSYDSTKKHSNQKSSSKSHTNSQNGTTGLRRSARLNNGDGGIPSLRRSPRLSDLKLPVNEENGKKPHIEENVSGGSGSSISRSRISTRVKAGHQVGDADEVKKNENCVVEEGKVKNGDMVEVGVKRKRKRPREKETAIGWTKEQELTLQRAYLAAKPSPHFWKNVSKLVLFTCLNVLCECLFYETVFIELHL >OIW22035 pep supercontig:LupAngTanjil_v1.0:Scaffold_796:1482:2516:1 gene:TanjilG_30827 transcript:OIW22035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFSSIPLYLDPSNWHQQPNQHQANANNRPHELLPPLPSPPSCGGDGGGSGRLGLVADEATQLAKMLPPDQTTQKCPRCESTNTKFCYYNNYNLSQPRHFCKTCRRYWTRGGALRNVPVGGGCRRSKKNKKSSRSKSPSSTDNYKPTLSNSTSSNPSGIVTPDLIGRFPQLNNPTFMASLQNMNRYGMGNISSTNHMGLQIGGHGLTSVGGVLQQFPFLNGFQSTSAVSYPFQSESFEAAPYGLVKLEDLNSSRNPPSVSENNNGYYSWTDLSRLASSSTSHLL >OIW21186 pep supercontig:LupAngTanjil_v1.0:Scaffold_79_15:62139:71621:1 gene:TanjilG_30782 transcript:OIW21186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKFSKELEAQLIPEWKEAFVNYRQLKKLIKKIKLARVPKQQVPQGEFGRSMFDTFRFITNKLCSSDKEKQDIIQVRRKTMEDSEEEVYETELAQLFSEEDEVHVFFARLDEELNKVNQFYKKQESEFLERGEMLNKQLQILLDLKQVIGDRRRRNSPSKSSNTGIFPHFPVRESSFLESCGESDETNSEVSQTDEVITRLERNGVNFVNSSTRVKTKKGKAKTSIRIDIPSTAPTRAITAVTSMLWEDLVNNPIKEGSGEFINKRKIQYAEKMIRSAFVELYKALGLLKTYSSLNMVAFSKILKKFDKVSCQNASASYLKEVKRSHFISSDKVVRLMDEVESIFTKHFANDDRKKAMKFLRPQHHKDSHMTTFLVGLSTGCFVSLFCVYAILAHLCGIFSPSTEQTYMENVYPIFSVFALLSLHLFMYGCNLYMWKGTRINHNFIFEFSPSTALKHRDAFLMCTTLMTTVVGAMVIHLFLRAAGFSPSQVDAIPGILLLFFLVLLICPFDILYRPTRFCFIRVMRNIVCSPFYRVLLVDFFMADQLTSQIPLLRHLETTSCHIFATVFKTHHPEKCHSGRQYMEITYIISFMPYFWRALQCARRWFDDSDVNHLANMGKYVSAMIAAGARVTYGRQADYLWFGVVLVTSVVATVYQLFWDFVKDWGFLNLNSRNPLLRDDLVLKNKTIYYMSMALNVVLRLAWVETVMHLKVGPVQTKLLDFLLASLEVIRRGHWNFYRKAEINGAKISVGDPLAFIEHQFNCK >OIW21187 pep supercontig:LupAngTanjil_v1.0:Scaffold_79_15:72353:76021:-1 gene:TanjilG_30783 transcript:OIW21187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASSTRRIKDRAAATYGITAAAATVKPSRSITPLSTSRRHPSPSDKENRRSISRGSASNLTQKPVTRPVPRVNKSSINAVDAGDSRERKSTSSVPRGRSSSPSEFTRNMLDNVKLRRVSVDRVVRDPTREHLGSRSVKLNGGSVRNGVNIDANSEKVKTFRSSSVVKQKGKGSFQICVEKSGSECVVVNGGKVGSCLVEKDSILKDGCELKLDLDNLVKKEVENECAIVVGGSVSSSSSSSKYPSKLHEKLAFLEGKVKRIATDIKKTKEMLDMNNPDASKVILLDIQDKISGIEKAMVTVNSDDSDGKMGLKMSDACTGKQLCMIADNDGLQTEKVDHGEGKSLVKGLNSEELEARLFPHHKLLQNRTLIKESSENSVRNESEPSVLKDKGSSLVDEKSITSEFLDSLSKETSKVDVAKSCEVQETGGSGGASEVMKNSSSSTFNQKCNVDLVLEAEEKLEDFDDQENRQREFVEEETDEAFNYSLKEIGCKTATAGWFVSEGEAVLLAHDDGTCTYYDIANSEEKAVYLPPPEVSFNMWRDCWVIRAPGSDGCSGRFVIAASAGNTMDSGFCSWDFYTKEIRACQFEVGTTSSRTALRPLPNNIRRNSASSMVAAEARQWWYKPSGPLIISTASSQRGVKVFDIRDGEQIMSWNVQKPVLAMEFSSPLQWRNRGKVVVAEAESISLWDVNSIVPQALLSVSSGAKKVTALHVSNSDAELGGGVRKRVSSSETEGNDGVFCTSDSINVLDFREASGVGRRIPKHGGVNVHSVFSRGDSVFLGCTNSSSSGKKQTSSLLQQFSLRRPGLLSTYDLPESNAHPHYAAISQVWGNSEFVMGVCGLGLHVFDALDGSGAQSSREVVGPNDLYWPSFDYLGSRALLISRDRPAMWRHLIA >OIW21189 pep supercontig:LupAngTanjil_v1.0:Scaffold_79_19:18506:19253:1 gene:TanjilG_30785 transcript:OIW21189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCNSSSWCIAKYLANDTELKNNILYVCDFLDDCKLIQPGGSCFIPDTLINHASVVMNEYYAKKGRNTWNCYFSGSGLITQSDPSYGSCKYA >OIW21188 pep supercontig:LupAngTanjil_v1.0:Scaffold_79_19:13262:13756:1 gene:TanjilG_30784 transcript:OIW21188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLHLDQTITTQSSEPPQAFLSISQDFPTTQTTPSHNLTTINNPHENGDEIEQLMQFLGLLEKENDGFYEDGSDCNSCHCEGGFYSKVVGVEGPKCGKEVKRLDGWIKHFMNGGGEEEKVEPLRLAHLLLGKAAFISEGTDAGFGGLEFPSTIQEFLHTNPPSI >OIW21190 pep supercontig:LupAngTanjil_v1.0:Scaffold_79_20:3196:4570:1 gene:TanjilG_30786 transcript:OIW21190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFKRFIEIGRVAQINYGKEYGRLVVIVDVIDQNRALVDAPDIVRSQINFKRLSLTDLKVDIKRIPKKKDLISALEAADVKNKWEKSSWGRKLIVQKRRAALNDFDRFKIMLAKIKRAAVVRQELAKLKKTAA >OIW21191 pep supercontig:LupAngTanjil_v1.0:Scaffold_79_20:7639:10319:-1 gene:TanjilG_30787 transcript:OIW21191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSISIIMSPFFVLLILSLLSLSSSTSLDFKSTYIVHINHQRKPDIYSTHYEWYTATLSSLSTFDYDPNPLLYTYTTAYSGFAASLTSQQVDELLKSDSVIDIYQDTVYQLHTTRTPEFLGLQTHSGLPKGLVNETLNKRLHDIIIGVLDTGVWPESKSFDDTGMPDIPKRWHGECESGKDFASSLCNKKLIGARSFFKGSYMAMRKKNDKGSEIVNNSPRDTEGHGTHTASTAAGSHVANASFYGYASGIARGMAPQARVASYKVCWEEGCFSSDILAGMERAIEDGVDVLSLSIGGLSDHYFRDAIAIGAFAATKRGIFVSCSAGNSGPEPKSLSNVAPWITTVGAGTLDRDFPAYGVLGNKNRITGVSLYSGKGIGSEPVGLVYNKGVKSNQSSSMCTPGSLDPKLVRGKVVVCDRGVNARVEKGLVVKKAGGVGMILANTAQNGEESIADRHVLPAMAVGEIVGDKIREYVGSDPNPTVVLSFGGTVLDVKPAPVVAAFSSRGPNNLTPQILKPDVIGPGVNILAGWTGVVGPSTLPTDTGKSPFNIVSGTSMSCPHISGLAALIKAAHPKWSPSAIKSALMTTAYTLDNTNTTLHESSVGAISTPWAHGAGHVDPQKALSPGLIYDTSANDYITFLCSLNYSLEQIQMIAQNPNVNCSRKFSDPGQLNYPSFSILFGSKNRVVRYTRTVTNVGDGNSVYNVIVDGPSAVSITVKPSKLVFGKVHEKKRYTVTFVSKKGDAIKDAFGSISWINSQHQVRSPVAFTWSE >OIW21192 pep supercontig:LupAngTanjil_v1.0:Scaffold_79_31:24459:27484:1 gene:TanjilG_30788 transcript:OIW21192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQKFRLKSFLLGNGGRKRNHWIAKKPSWMMPITHGYHVVEHNVINDDSDDSDFDSVVIQREQMDQTELWYFGIFDAVIVDGVTKFLQSHFFDKKLKESHMRRKTIETLKKAYLSAKTKTREENESKETCRMGSTSVMVINGEKLVIANMGDYRTIFCRNGIAHHITGTHKQSTKRHWYHKLFAGPRHSKGSELVVGSERIDSDTEFLILASNGIWEVMKNQEAVNLIRHIEDPQEAAECLAKEALIRMSKSNISCLIIRLD >OIW21193 pep supercontig:LupAngTanjil_v1.0:Scaffold_79_32:9638:10156:-1 gene:TanjilG_30789 transcript:OIW21193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTQALIAHIESHMKNEEVKIRNLYSPNSIYSQRQVSPFFPNTFPMTRQLQETRNFSDNSNSFQASPQSSATHLLRRNSFFSGTQVGALTPNREMKLSPSVLSCAGNNAINIANLSIPQNRKRKLADIYPVDGTREFIVQLEKPFKKIEFIDLVNIDDDNSDVKPLNLALKL >OIW21194 pep supercontig:LupAngTanjil_v1.0:Scaffold_79_39:11125:13647:-1 gene:TanjilG_30790 transcript:OIW21194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKTGKWFRNFLAGGKRDKEKSSTNLSVSNGTENPTTPLSTTPKEKRRWSFRRSSATATVSKELIFAEQNVTASVPVQTVTDAQSEQQKHAEAETAVICMDASDYNGAFRTIEEASAIKIQSVFRSYLARKALCALRGLVKLQALVRGHLVRKQATETLRCMQALVIAQTRARAQRAGMVSEGKPNQKQSTSRKSKQDDLFRHMYNEMDIGLEENIKIVEMDVCEPKANSRSRNSTTNHGQHELSEHIFSSYYSPNGSCSKEENFKLSPAPSALTDLSPRGCSGHFEEYSFTTAQNSPHYYPDVLRAEDSNAPFAFPRPDYEEAMAYDYLLFPNYMANTESSRAKLRSHSAPKQRPDSYERQPSRRRASVEGRNVPKPVRMQRSSSHVGITAQNYQYPWSIKLDRSSVSLKDSECGSTSTVLTNSNYCRSLFAYDPQGQRY >OIW21195 pep supercontig:LupAngTanjil_v1.0:Scaffold_79_48:10395:11261:-1 gene:TanjilG_30791 transcript:OIW21195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVPLIDMLNDLQLGFNSLQNPSQITFFLSISIAYNFCKWGAVILALVATFSTFINRVTIFIIRFRNKAASSLPSLPCLDDYDDSDEDDDYEFSNDDDDAPSSEFEEDEEYDQPSASASGFYFRLSGSGSDEFRNETGMHKRRRSIGDIFSLSEIANSESVIKLWDSIGFGLGLDFDDYEDPVTPRGKSPVILTSSPSVVVSAGEGSCGNLAVEVWDTRLRRRKPSVVAEWGASVGKTVRVDSGGVQKVYVRDDGRYGVMVGDMRNVSTPLGNVTESDADNWWDANAK >OIW21196 pep supercontig:LupAngTanjil_v1.0:Scaffold_79_53:1414:5364:-1 gene:TanjilG_30792 transcript:OIW21196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPLFLNTTSFQPTRSSPSFPFSRRPFIPPAIRSVVTVNASASAPLLDLKGGKGMSEFFDVELKVRDYELDQYGVVNNAVYASYCQHECHVIMENECYSFHIENVGVKVRTFNQSGDKFVVKVKVSGSSTARIYFDHFIFKLPNQEPILEARATVVWLDKNYRPIRIPAQIKYKFVKLVRNEDSFR >OIW21198 pep supercontig:LupAngTanjil_v1.0:Scaffold_79_66:10968:30652:-1 gene:TanjilG_30799 transcript:OIW21198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAACHEESEKVERAFILQLLGVDGSNSGNELLQQSASDYVKTFDQSLHEFPPLDQLQQQFPDKVHLEPYPYLFKDGSVKNVVPDPDVPSNCDVDSPEYPSYRFDLHPGIKPKIGSGDKDEAVVGLLSNLSLEGLSPHWIRPVPPRLPILDGELVWLNPDDNHELMWDYGMCVDTSRGAAARDLIAKALKGALAPAQQEQVLVELANDPKLVYHCGLTPRKLPELVENNPLIAVDVLTKLINSPEISEYFTVLVNMDMSLHSMEVVNRLTTAVELPSEFIHMYITNCISSCVNIKDKYMQNRLVRLVCVFLQSLIRNNIINGRKKPRVKENLSEIEYGFLVATSDMCSFVIHER >OIW21199 pep supercontig:LupAngTanjil_v1.0:Scaffold_79_66:64869:69240:1 gene:TanjilG_30800 transcript:OIW21199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKQKPEPQTWRPDPFHTTTVFRPPESPWDPMEFLSRSWSASAFEVSKALTPAQLPPQPSKPIIMNNNNHNGGGGCGGRGGGSVIIEEIAGEVEESSSSSVVAVSGNPFSFASSETSQMVMDRIMSQSQEVTSPRTSGRLSHSSGPLNGSLTDSPPLSPSEVDDFKYSRCNNHHNNVISNSLSSQYRTTMNGGAAAGGGSKTVGRWLKDRREKKKEETRAHNAQLHAAISVAGVAAAVAAIAAATAASSSSGKDEQRAKTDMAVASAATLVAAQCVEAAEAMGAERDHLASVVSSAVNVRSASDITTLTAAAATALRGAATLKARALKEVWNIAAVIPVEKNLVAGGGNGTGSNGSSSSSFSGELAPEENFLGICSRELLARGCELLKRTRTGELHWKIVSVYINRTNQVMLKMKSRHVAGTITKKKKNVVLGVIKDMPAWPGRHLLEGGENRRYFGLKTVMRGIVEFECRNQREYDVWTQGVARLLSIAAEKSNKNRI >OIW21197 pep supercontig:LupAngTanjil_v1.0:Scaffold_79_66:4506:7594:1 gene:TanjilG_30798 transcript:OIW21197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFASVIALSVILVLLQPLSTTSDFLSPLLSPIFDDVCKEVECGKGTCKPSKNSTFLFECECDPGWKQALASDDESVLKFLPCIVPNCTLNYSCSIAPTPAPEKARKANESIFNACHWVDCGGGSCNKTSLFSYSCGCDAGYYNLLNVTAFPCYKECNIGLGCSDLGIPMTNTSTAEPPALNDNSKNEASSILEGRSLWMAMLIMFLVMIQLQ >OIW21200 pep supercontig:LupAngTanjil_v1.0:Scaffold_79_69:6842:9168:1 gene:TanjilG_30801 transcript:OIW21200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLTLATTLHRCKPSILVHLAISPPKPLLIANPVEAGLFPILLFLHGFLLENSFYSQLMQHIASHGFIVIAPQLYKLPKTDASDEIKSTAAITNWLSEGLSKLLPQNVKPDLSKLALSGHSRGGKTAFALALKKLNTSTTLNFSAIIGVDPVDGLGKAVQISPPVLTFSPHSFNFNMPVLIIGSGLGEVQRNPLFLACAPKGVSHEEFYSECNKPAWHFVAKDYGHFDILDDVTKGILGKSTCCLCKNGNSRKPMRSFAGGVIVAFLKGYLKGDNSDLLAIRDKRLVAPVDLQIEYSV >OIW21201 pep supercontig:LupAngTanjil_v1.0:Scaffold_79_71:6553:9252:1 gene:TanjilG_30802 transcript:OIW21201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQMKEFLGEVVPQPMEGLHESGPPPFLTKTYDIVEDVSTNQIISWSVGNNSFVVWDHQAFSISLLPRYFKHNNFSSFVRQLNTYGFRKVDPDKWEFANEGFLRGQRHLLKNIRRKKTTQPQTPQHALAPCLEVGKFGLDFEIDRLKRDKQILMVEVVKLRQQHQNTRNTLQEMESRLQKTEQKQQHMMKFLARAMKNPNFMQQWVQQKELEEDISKKRRLNIDQGMSSDIVNVEVAELGYAHHEECSAFVKLEEQEYTDILGLEVSDLDLAMDIKEQSGSQRNIDEKGIELESRDKGIDEAFWEDFLNEGTQENLVGFNNVADEDIDVLVQEFDYLA >OIW21202 pep supercontig:LupAngTanjil_v1.0:Scaffold_79_74:7614:14080:1 gene:TanjilG_30803 transcript:OIW21202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVPSTPRNGVGASSPETTEYLIGTFVGDKSFPLSSEFWQKLLELPFYAEWPSNRVHQACNLLARNNCYTRHLAKILFHLACYLQESMSTSGVPPLVYGKAVNAVHITSVFLKHLIESAQGGNINLYLALHVDESISKDILGDQTIENLVMQNVLNFIASTDVSPDTYLLHLELLNFMIIAMSTQLLCGPSPGLNDVNPFLDAAMDLDRSLVISVVQKLLLNYITRPGVPFNQESGSQSHMLKKVGSAAVNVVSLPFSYLISSSSEGSRSPIADRSLNMLLVLIHYHKCVVSEGYSAIENDNSSTSNSLLKENTYFSDNPYYRALEHVTDCEFDRADVESIAHRGPIVKLPFASLFDTLGMCLADETAVLLLYSLLHGNSAFLDYVLVRTDLDTLLMPILEALYNAPRRTANQIYMLLIILLILSQDSSFNASIHKLILPTIPWYKERLLHQTSLGSLMVIILIRTVQYNLSKLRDVYLHSTCLATLANMAPHVHQLSAYASQRLIGLFYMLSRKYNKLADLRDNKIDTVKGNLIEGSSIVKDVSAELHIYTDFLRLVLEIINAILTYALPRNPEVVYSIMQKQEVFQPFKNHPSFNELLENIYTVLDYFESRMDAQKMDGNWSVNELLQVVIVNCRSWRVEGMKMFTQLHFTYEQESHPEEFFIPYVWQLVLSRWYGSGSSNRHT >OIW21203 pep supercontig:LupAngTanjil_v1.0:Scaffold_79_76:1933:2957:-1 gene:TanjilG_30804 transcript:OIW21203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIVESVGEGVKDLEPGDHVLLVFTVMINDGKSRFSINGKPIYLFVGTSTFSEYTVVHVGCLAKINSAAPLDKVCILSFGISTGLGATLNVPKPTKGSSVAIFGLGVVAFVD >OIW21204 pep supercontig:LupAngTanjil_v1.0:Scaffold_79_77:27556:31767:-1 gene:TanjilG_30805 transcript:OIW21204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEFTVTIIGGGPSGLAISACLKQNSISHIILEREDCNASLWRKNAYDRLNLHLAKEFCYLPFMPHPSSCQTFLTKAQFLQYLDRYVEHFNINPRCCRTVESAAYDGTKNKWRVEAKNTKEDTMELYESKFLVIATGENNEVYIPDDIPGLKSFEGEMVHTRYYKSGGKYKSKEVLVVGSGNSGMEIAYDLYNYGANTSILIRSPIHVLTKELIHQGMAISKNLPVQVVDSIITFLARLEYGDLSKYGIYLPKNGPLYIKEITGRSPVLDVGTIKQIKEGAIKVIPANISRIENKKVVFGNGLEKEFDAIVFATGYKSTANNWLKDYNYVLNDNGFPKNAFPNHWKGENGLYCAGLSRRGLFGVRADAEAIAEDINQVNKKHNMNDLPLS >OIW21205 pep supercontig:LupAngTanjil_v1.0:Scaffold_79_80:34201:34968:-1 gene:TanjilG_30806 transcript:OIW21205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQEGGGERNMNKQQQRHQHLPCPRCMSLNTKFCYYNNHSLTQPRYFCKDCRRNWTHGGALRNIPIGGRSHKQKNAEISSSSRPQQPPLPPLSNGVVVQTQQKDPYLMMQSFVDPFYQGGNGYLSTNYGLASGLNVGSFSSQYQNRPSPFYQMGGIEKEVQSLYMPQQGLNNIPSHISNNDYSVASLSDYPQNYFINNTNNITYDSSLWSSVINSSNTSLSGNTERKTFATDSSPMNPNQLSDFPLYGHPPSYYD >OIW21206 pep supercontig:LupAngTanjil_v1.0:Scaffold_79_80:38938:39135:-1 gene:TanjilG_30807 transcript:OIW21206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWGSVKALGRGYEYLMGVTIFAPVAILAWFPFVSEFQTRLLFNQAFSRGLQIQRILAGGKKQKQG >OIW21207 pep supercontig:LupAngTanjil_v1.0:Scaffold_79_80:43842:57547:-1 gene:TanjilG_30808 transcript:OIW21207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYLKPSQQALDLARRPSRSSVFTTFSTEVFDHDVVPSSLASISPILRVANEIERERPRVAYLCRFYAFEKAHRLDQSSSGRGVRQFKTLLLQRLERENASSLASRHKKTDAREIQAYYQQYYERYVRTLDQGERADRAQLGKAYQTAGVLFEVLCAVNKSEKDEEVAPEIIAAARDVQEKTEIYVPFNILPLDSAGASQPIMQLEEIKAAVSALWSTHGLNWPSSFEQQRQRTGNLDLLDWLRAMFGFQAYNVRNQREHLILLLANNHIRLHPKPEPLNKLDDRAVNAVMTNLFKNYKTWCKFLGRKHSLRYPQGQAEIQQRKLLYMGLYLLIWGEAANVRFMPECICYIFHNMAYELNGLLAGNVSVVTGENIEPSYGGHDESFLRKVITPIYRVIEKEAKKSKNGTAPHSSWSNYDDLNEYFWSPDCFSLGWPMRDDGEFFKSTFEPVQGRKGVLKKSGRTGKSNFVETRSFWHIFRSFDRVWTFYLLGLQAMVILAWKEISLLDVFQKDILYDVSSIFITAAILRLLQSILDMVLNFPGYHRWRFTDALRSFLKVIASLFWVIILPLLYANSIQNIPNSIKKLISFIPTKGSIPLYLLAVALYLLPNLLAAVLFLFPMLRRWIENSDWHIVRLFLWWSQPRCYVGRGMHESLFALLKYTLFWVILLASKFAVSYFIQIKPLVKPTKDIMSIQNVDFAWHEFFPKAKRNIGAVIALWAPVIMVYFMDTQIWYSIYSTLCGGIIGAFDRLGEIRTLSMLRSRFQSLPGAFNAYLVPTDNKQKKRFSFSKRFDEISASKRSEAAKFAQLWNEVMEMDLLVVPYSSDPSLKVIQWPPFLLASKIPVALDMAVEFRGNDSDLWKRICADEYMKCAVIEGYESFKHVLDYLVVGDAEKRIIAVIIKEVENNISKNTLLTNIRMGFLPSLCKKFVELVDILKEANPSKRGAVVVLLQDMLEVFTRDMMVNEISELVELNQSSKDYGRQLFAGAGAKPAIQFPPVVTAQWEEQIRRLYLLLTVKESGIQVPTNLEARRRISFFTNSLFMDMPCAPRVRKMLSFSVLTPYYSEETVYSKNDLEVENEDGVSIIYYLQKIFPDEWTNFIERLDCKKESEVWENEENILQLRHWASLRGQTLSRTVRGMMYYRRALKLQAFLDMANDKEILDGYKAITIPSEEEKQSKRSLYATLEAVSDMKFTYIATCQNYGNQKRSGDRRATDILNLMVNNPALRVAYIDEVEEREGGKVQKVYYSVLIKAVDNLDQEIYRIKLPGPVKLGEGKPENQNHAIIFTRGEALQTIDMNQDNYLEEAFKMRNLLEEFNEDHGVRPPTILGVREHIFTGSVSSLGWFMSNQETSFVTIGQRVLARPLKVRFHYGHPDVFDRIFHITRGGMSKASRGINLSEDIFAGFNSTLRRGNVTHHEYIQVGKGRDVGLNQISLFEAKVACGNGEQILSRDIYRLGHRFDFFRMLSFYFTTIGFYINSMIVALTVYAFLYGRLYLSLSGLERSIIRSARTAGNDALQAAMASQSLVQIGLLMTLPMIMEIGLERGFRSALGDFIILQLQLAPVFFTFSLGTKMHYFGRTLLHGGAKYRATGRGFVVRHEKFAENYRMFSRSHFVKGIELLILLICYSIYGVSTVNSTSYILLSLSMWFMIFSWLFSPFIFNPSGFEWQKIVEDWDDWKKWISNRGGIGVPSIKSWESWWDEEQEHLQHTGFIGRVCEVILVLRFFIYQYGIVYHLHIARGDKSILVYALSWIVILAVMIILKVVSLGRKRFSADFQLMFRLLKLFLFIGAIVALALMFTLLSLTIGDIFVSLLAFLPTGWAILQIAQACKPVVKAVGMWGSVKALGRGYEYLMGVTIFAPVAILAWFPFVSEFQTRLLFNQAFSRGLQIQRILAGGKKQKQG >OIW19898 pep supercontig:LupAngTanjil_v1.0:Scaffold_7_10:56526:58556:-1 gene:TanjilG_28769 transcript:OIW19898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKSLACYFFLWLLSHIGKNSFIWFGPIPRVTLYDPELIRDAFNKINDFQKPNANPLSKLLVRGLVTYDGEKWSKHRKIINPAFNIEKIKIMLPIFFISCNDLISQWEGMLSSDGSCELDVWPFLQNLVSDVISRTSFGSSYEEGKRIFELQKEQALLTTKAFMKAYIPGWRFLPTPTHRRMKEVNQDVKSSLKEIINKREQAMKAGESTENDLLGILLESNHKEIQEHGNNKDVGMTIDDVIEECKLFYFAGQETTAVLLVWTMVVLSRYPDWQARAREEVFQVFGNQKPDFDGMSRLKIVTMIFYEILRLYTPVISLARTVSNSVKIGNITLPAGVQVTLPIGLVHHDREIWGDDAKEFNPERFSEGISKATNDGKVSFFPFGWGPRICIGQNFAMVEAKMALALILQKFSFELSPTYTHAPSVVVTLQPKHGAHLILRKLKI >OIW19899 pep supercontig:LupAngTanjil_v1.0:Scaffold_7_10:62706:88986:-1 gene:TanjilG_28770 transcript:OIW19899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSYSTSSSSKLLFRQLFEKESSTYTYLLADSSHPDKPALLIDPVDKTVDRDLSLIHELGLKLVYAINTHVHADHVTGTGLIKSKVSDVKSVISKASSAKADLYVEQGDKIRFGDLFLEVRATPGHTLGCITYVTGDASDQPQPRLAFTGDALLIRGCGRTDFQIFTLPEDTLIYPAHDYKGFSVSTVGEELQYNPRLTKDEETFKNIMASKYSFIWFGSIPRVTLCDPELIRDAFNKFNDFRKPNINPIAKLLATGLNMTSDVISRTAFRSSYEEGKRIFELQKEQATLTMKSFMNAYIPGWRFLPTPTHRRMKEVNRDIKSSLKDIINKRYQAMKAGEATQNDLLGILLESNHREIQEHGNNKDVGMTIDDIIEECKLFYFAGQETTLVLLVWTIVLLSRYPDWQERAREEVFQLFRNQKPNFDGISHLKILTMIFYEVLRLYPPVTSLGRVIPNSMKIGNITLPAGVQVTLPIGLVHHDREIWGDDAKEFNPERFSEGISKATNDGKVSFFPFGWGPRICIGQNFAMVEAKMALALILQKFSFELSPTYTHAPSVVVTLQPKHGAHLILRKLKI >OIW19897 pep supercontig:LupAngTanjil_v1.0:Scaffold_7_10:18114:36876:-1 gene:TanjilG_28768 transcript:OIW19897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNIGIVAIATVTLSVIWLWRMLNWLWLRPKKLEKLLREQGLKGNPYRLLGGDLKDFHKSLSEAESKPMAISDDIVPHVSSYIQQSVNKHGKNSFIWLGPIPRVTFYDPELIRDAFNKINDFQKPNADPLAKLLVRGLVTYDGEKWNKHRRILNPAFNIEKIKIMLPIFFKSCNDLISQWEEMLSSDGSCELDVWPFLQNLVSDVISRTAFGSSYEEGKRIFELQKEQAVLTTKAFMKAYIPGWRFLPTPTHRRMKEVNQDVKSSLKEIINKREQAMKAGESTENDLLGILLESNHKEIQEHGNNKDVGMTIDDVIEECKLFYFAGQETTAVLLVWTMVVLSRYPDWQARAREEVFQVFGNQKPDFDGMSRLKIVTIIFYEVLRLYTPVISLARTVPNSVKIGNITLPAGVEVTLPIGLVHHDREIWGDDANEFNPERFSEGISKATNDGKVSFFPFGWGPRICIGQNFAMVEAKMALALILQKFSFELSPTYTHAPSVVVTLQPKHGAHLILRKLKI >OIW19905 pep supercontig:LupAngTanjil_v1.0:Scaffold_7_11:73299:76859:-1 gene:TanjilG_28776 transcript:OIW19905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVPNSTRPTHIRIIACLNNMRCPFCKTLNYAVEYRGVKTKEEKGLEQIEEQRVIEAKIRMRQQELLEEEERMNRRQEVSSTNMNVEVADVEYSSNAVAASSISVVEHEEIVSSQDSCATSMVRPSPSARTNRDDEFDVDLEDIMVMEAIWLSIQNGRQMYLSSADAPPGQDIANNHSTSTVMAPQTGSSSSPSGGLACAIAALAERQQTAEESSMSFSNENTSSSNMLQGSRRYYNRLGRDMVSYPHTENLNEVPPDDSVAMASGHSEWKIDHGPQVAETGTSYASSVAAEDGGESSSLPQSNEIVGSVQSATDPIVPESFEEQMMLAMAVSLAEARAMSSGQGASWQ >OIW19903 pep supercontig:LupAngTanjil_v1.0:Scaffold_7_11:38969:39139:1 gene:TanjilG_28774 transcript:OIW19903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAITQTDQTVLGPHRTASNSKYIFLITNKFTLKWPQSQESRPHFNKTRVKHMPTSL >OIW19900 pep supercontig:LupAngTanjil_v1.0:Scaffold_7_11:3945:9949:1 gene:TanjilG_28771 transcript:OIW19900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFEERKKSYQRKVLSDFETVRWLNHAIENIWPICMEQIASQNILLPIIPWFLEKYKPWTATPLNVSLTGSLYRILLYFQKEAVVQHLYLGRNPPLITEMRVLRKTNDDYLVLELGMNFLAADDMSAILSVKLRKRLGFGMTSKLHITGMHVEGKFIQARPYLSRVRVCFAEPPYFQMTVKPIFTRGLDVTELPGIAGWLDKLLSIAFEQTLVEPNMLVVDVEKFISPQTEPWFSVDEKDPVAYAKIEVIEASDLKPEDLNGLLDPYVKGRLGGYRFRTEIQKKTVTPKWHEEFRIPIITWEFNNVLAIEVWDKDRFYDNTLGDCSVNIGDLKDGQRHDMWLPLKNIKTGRLHLAITILEDNGKGFDTYDREETVDIEEIKNSSANDTSNESSFVNDSTIKNSFPSTQPEKSPEVAERKISLANDTSNKSSSGNDSTNENSFSSAQSEKYVEVADNYEPIDVEWQKETGIWVHHPGSEVSETWKPRKGKSRRLDTEIHKEPKGSIENFNSSTTSEPLCNDSSSPDNNPKDTHRMKSVKKGLHKIGTVFRRNHKREDQLDCVEEEVPSQHDNIRSVKAKGGGIGVKFIMEDNISGSSTDKLQAEARSNEGSGPESPGKGNAKGMAKNIFKQAGKSALGLKHVFGKSKKSKADAAAAALERKTFDETDSCYDDDESLIQSPIGERTPIASQDMVSSSDGSPKSKVIVVETVSSITTVDNGTPATKT >OIW19902 pep supercontig:LupAngTanjil_v1.0:Scaffold_7_11:32046:32210:1 gene:TanjilG_28773 transcript:OIW19902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQREGYDYDEVFTQVARFEVVRLIVAIASWNGWKLCNLMSRVPSSMDLLKKKST >OIW19906 pep supercontig:LupAngTanjil_v1.0:Scaffold_7_11:78498:78677:-1 gene:TanjilG_28777 transcript:OIW19906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQKVLGTLIGCRGDHPSLGCIRGGHIAATLQREVAAVTAKAVFCNCRSSVGSLGRKYK >OIW19904 pep supercontig:LupAngTanjil_v1.0:Scaffold_7_11:53552:71587:1 gene:TanjilG_28775 transcript:OIW19904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKPDSIRLYEGETGHEYIGRDMLEPRESDIPVLFLVLVVLPLVAYILLGKWSDTTKKRDKITLLAQLAAEEALRSEEMAVPDVIHPVSSLKSELPLCARCSAPAKTRCSRCKCVRYCSGNCQIIHWRQIHKHECKLLETDKSSSFPLPVSVDEYSHGSDFYDHLNSQLFGHNSKLTLRESAPLDNLIHPLTGLDASATADFSLLNYSEVSTFERRTSFKSKRGTQGRDNESICESSESNKATGSPSSSVVSKESRNNDYSVSEDDISKKVNASGSGVYFYGQDGSRSTIVEGGNYQSQYGNAFIPRNNDGWSSVSIEGNTDENAYECDTDILTNGGNAVKSGNCNYDGAAQYKCSIEMAVKGGVKAKKYSHPSKIRSSKSPKSTPKFLTDFCCPEIEKKGKIADEPKVSEIRDTISLHGTNGDASTGFMKMMGLRKSIKLTTPVSAEGSGVRFKKTKKMKMLFPYEEFVKIFQSEVFGLCPRGLLNCGNSCYANAVLQCLTSTKPLVVYLFCRSHSKFCCARDWCLMCELEQHIMTLRENGVPQSLSRILWHMRSINCHMGDGSQEDAHEFLRLLITSMQSICLEGLGGEKKVDPRLQETTFIQHTFGGRLQSKVKCLNCNHESERYENIMDLTLEILGWVESLEDALAQFTSPEDLDGENMYRCGRCTSYVRARKQLSIHEAPNILTVVLKRFQEGRYGKINKCITFPEMLDMIPFMTGTGDIPPLYMLYAVVVHLDTQNASFSGHYISYVKDLQGNWYRVDDTEVQPVLIDQVMSEGAYILFYLRSCPRPPVELTRKAMNQSVPGSSKHYPVEVQKRPKSGHRHESDFVVHEPSPIATSPTHLSDIYNSDYLRRSAANRNVLPFTQTYAQNSHHEFSDAASSDRSLFTSSDEASFTTESTRDSFSTVDYGDSCNMDTISSIFNYSRQKSYNKFSLSRPLTRLLITSMQSICLEGLGGEKKVDPRLQETTFIQHTFGGRLQSKVKCLNCNHESERYENIMDLTLEILGWVESLEDALAQFTSPEDLDGENMYRCGRCTSYVRARKQLSIHEAPNILTVVLKRFQEGRYGKINKCITFPEMLDMIPFMTGTGDIPPLYMLYAVVVHLDTQNASFSGHYISYVKDLQGNWYRVDDTEVQPVLIDQVMSEGAYILFYLRSCPRPPVELTRKAMNQSVPGSSKHYPVEVQKRPKSGHRHESDFVVHEPSPIATSPTHLSDIYNSDYLRRSAANRNVLPFTQTYAQNSHHEFSDAASSDRSLFTSSDEASFTTESTRDSFSTVDYGDSCNMDTISSIFNYSRQKSYNKFSLSRPLTRFFPQKGHIERVQRIDQESKKATHSSL >OIW19901 pep supercontig:LupAngTanjil_v1.0:Scaffold_7_11:25125:25586:1 gene:TanjilG_28772 transcript:OIW19901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLHENVLELGFEFSEANNVLLMSLMEEIHEDEYNGDDRLVTMIQSLEAEINDPLLGQRYEVGNLNGQDCYTSSINNHDHWIDMELVSSLTFDEMNALIPCGDERVVEHVGVEYEGGNEMDDFKLYYGGLLEQQQRETHLSQGPSGAIFSN >OIW19907 pep supercontig:LupAngTanjil_v1.0:Scaffold_7_12:11864:16787:-1 gene:TanjilG_28778 transcript:OIW19907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVAEISADATNTMDLKPKPESEFSVQNLVDMFTKLNPLAKEFFPSSYPQNHDNLYQGFNQFSPTNFLANAKPLANDNYPNRRKRNSFSQGRRSNGRSLKAQREDSVRRTVYVSDIDQQVTEERLAALFSNCGQVIDCRICGDPHSVLRFAFVEFSDEYGARTALNLGGTMLGFYPVRVLPSKTAILPVNPTFLPRSVDEREMCARTVYCTNIDKKVSQAEVKNFFESICGEVTRLRLLGDQVHSTRIAFVEFAIAESAILALNCSGMLLGAQPIRVSPSKTPVRPRVISATSH >OIW19908 pep supercontig:LupAngTanjil_v1.0:Scaffold_7_12:27082:27285:1 gene:TanjilG_28779 transcript:OIW19908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVLFLCALLLSMAMLNQGVMGHMNVKDESCKNGGQKLPGCNGKKPYIKPQPYTRGCSAITKCRDGM >OIW19913 pep supercontig:LupAngTanjil_v1.0:Scaffold_7_140:31571:33196:1 gene:TanjilG_28892 transcript:OIW19913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METCMALLLLTAITAYLVWFTCISRSLRGPRVWPLLGSLPGLIENCDRMHDWICDNLSACGGTYQTCICAIPFLAKKQGLVTVTCDPKNLEHILKTRFDNYPKGPTWHDVFHDLLGDGIFNSDGETWLFQRKTAALEFTTRTLRQAMARWVTRAIKDRLCVILKEAELEAQPVDVQDLMLRLTFDNICGLAFGRDPQTLGLGLPENSFAMAFDLATEASLQRFILPKVLWKIRKCLGIGMEISLSRSLVHVEEHLSSVIQKRKVELLTQQKDGTLHDDLLTRFMKKKESYSDKFLQHVALNFILAGRDTSSVAMSWFFWLMIQNPRVEEKILYEICSVLIVTRGIDIEKWLVEPLGFEELDHLVYLKAALSETLRLYPSVPEDSKHVVADDVLPDGTFVPAGSSVTYSIYSAGRLRSTWGEDCLEYKPERWLSVDGKKFTMHDSFKFVAFNAGPRICLGKDLAYLQMKSIAAAVLLRHRLALVPGHKVEQKMSLTLFMKNGLKVNVHKRDLRGILASIQKERDGDIQGKESADLRCNGVGA >OIW19914 pep supercontig:LupAngTanjil_v1.0:Scaffold_7_140:34181:39244:-1 gene:TanjilG_28893 transcript:OIW19914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLAGNEYRSVKDPSLQRRGVSGVLVAHYGGQIAKNPLSRCQHGMSFRTNSPLEERVPKPMQTFLNEGQHCSPVSWQYSQCMNFKVKAGGKSHSYLCSNTYRSSNIVQREVYGLGLRKRKHTQTELGKATNIRVQYKSDEQYDAETKMDPLPSSEGTGEAILVEGNLQQVSPGWQQFPKRWVIVLLCFSAFLLCNMDRVNMSIAILPMSQQFNWNSATVGLIQSSFFWGYLLTQILGGIWADKLGGKVVLGFGVIWWSIATVLTPIAAKLGLPYLLIMRAFMGIGEGVAMPAMNNMISKWVPVSERSRSLALVYSGMYLGSVTGLAFSPLLIQKFGWPSVFYSFGSLGSVWFALWLRKAYSSPKDDPDLGTEEKRLILEGSVSKEPVTVIPWKLILSKAPVWALIVSHFCHNWGTFILLTWMPTYYNQVLKFNLTESGLLCVLPWLTMAIFANIGGWIADTLVSKGVSITAVRKIMQSIGFLGPAFFLTQLSHVRTPTMAVLCMACSQGCDAFSQSGLYSNHQDIGPRYAGVLLGLSNTAGVLAGVFGTAATGYILQRGSWNDVFKVSVALYLIGTLVWNFFSTGEKILD >OIW19915 pep supercontig:LupAngTanjil_v1.0:Scaffold_7_146:9401:13910:1 gene:TanjilG_28894 transcript:OIW19915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QMMKPYIWLQISDGSIQQVEQEIAMFCPLISQEIIQKGMGSSKSCAIYLPQQVNPAMLSLVLDYCRFHQVPGRSNKERKSYDEKFIRMDTNRLCELTSAADSLKLKPLVDLTSRALARIIEGKSPEEIRDIFHIPDDLTEEEKLEPLRNISDDPRIRLLNRLYAKKRKELKEREKLKNAEAERERIDERSVDDLLSFINGKESDRKVNKTSKNKKKNRRKKEQLKNSSPKEPSELNNEVVEVNGRNTRQESSEAVRIGQTSNLDYTEDGTFFDIVDFDDDDDMDDEIDPALKEKIDREVEDFARRLNSNWPERMQDFLSPGRERKTPLLTSDRTSFLSRHACMFSVFLYFPLFILCFQAYL >OIW19916 pep supercontig:LupAngTanjil_v1.0:Scaffold_7_147:3305:10161:-1 gene:TanjilG_28895 transcript:OIW19916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSHGASTYTVASMFLLSFTLVLSGDIVHHDDVAPTRPGCANNFVLVKVPTYVDGVENSEYVGVGARFGPTLESKEKRANHTRVVLADPPDCCSKPKNKLTSEIILVHRGKCSFTTKANIADDAGASAIIIINNQTELFKMVCEVNETDVNIGIPAVMLPQDAGINLERHIKNNSIVSIQLYSPHRPLVDVAEVFLWLMAVGTILCASYWSAWTAREAAIEQEKLLKDGSDEYVNTENVGSNGYVEISTASAILFVLFASCFLVMLYKLMSYWFVEILVVLFCIGGIELHGANVTGVQPYFAVMHKLIIYILHVLSGTANLFGGSVIMHNVYSFRWFQHAAQTFVKLPFFGVVPYLALAVTPFCIVFAVIWAVYRRVSYAWIGQDILGITLIITVLQIVRIPNLKVGTVLLSCAFLYDIFWVFVSKWWFHESVMIVVARGDKSGEDGIPMLLKIPRLFDPWGGYSIIGFGDILLPGLLVAFSLRYDWLAKRNLRAGYFLWAMTAYGLGLFVTYVALNLMDGHGQPALLYIVPFTLGTFLSLGMKRGELKILWTRGEPEWVCPHIQEDHQPINH >OIW19917 pep supercontig:LupAngTanjil_v1.0:Scaffold_7_148:11450:16300:-1 gene:TanjilG_28896 transcript:OIW19917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVVSPMPATSQRIRSSGSVHNIRVPGIMSSITEIVEEPNPNVVSDTIYVAVANKVKDSQLNLIWAIRNSKGKGICILHVHVSATMIPFMGGKFPPSSLREQEVRAYWEIERQSMHKTLDEYLRICQKMGVRAEKLHIEMDCIEKGIIELISRYNIQELVMGAASNKYHSRKMTDLRSKKAIYVNEQAPTSCCIQFTCKGFLIRTRNRSLDGGKVEVTSPSVQQMARSEAERSPHLRSQCIDLGHNHFVNQTNRNEELFCSIRSSSDRHGRRIVPFSSSVRFSTPQNGLGREVTSDELDEQSRQSPSVFSTCSDDCSVKTVPSPSNLITEGNENVSALTLCNLSRNLCHSSPHSVLDGGMDDTLYDKLEQAMAEAENARQDEYHAIIRRGKAEKDVIDAIRRTKTAEILYKEELKRRKESEEALEKEKEELDNMKGQRDKVKEELQLALDQKSSLESQIASTELMMKELVEKIISDVSLLQTYKNKRDDLQMQRDNALREAEELRKKQGESSNTQLLQFFSEFSFLEIEEATNNFNPTLKIGEGGYGSIFKGTMRNTEVAIKMLRPDSTQGPSEFQHEVDALSKIRHPNIITLIGACTETWTLVYEYLPNGSLEDRLCCKDNSPSLSWQYRIRIAAELCSALIFLHSCKPNSIVHGDLKPSNILLDANLVSKLSDFGMCRILSCHENSVDDTTEFWKTDPKGTFAYMDPEFLTSGELTPRSDVYSFGIILLRMLTGRSAFGIAKEVKYALDNGNLKSLLDPLAGDWPFVQAEQLTRVALSCCEMNGNSRPDLYSDVWRMLESMRASSRGTNTFRLGSQGLNQPPPCFVCPIFQEVMYNPHIAADGFAYEAEAIRGWLDSGHDTSPMTNSKLPHSNLVPNHALRSAIEEWLQSQ >OIW19918 pep supercontig:LupAngTanjil_v1.0:Scaffold_7_161:2554:2970:-1 gene:TanjilG_28897 transcript:OIW19918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISPVWTCNEKTQNIVLDDDAGQGIGERLGEIDMSVKMRTTYTWTERPYEASLFPGIGFGIFLRSLGTTGQGTVSGRQFLWGVGLPKGNGGYKGFLGPDEDWPCTSA >OIW19919 pep supercontig:LupAngTanjil_v1.0:Scaffold_7_164:3540:7916:1 gene:TanjilG_28898 transcript:OIW19919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QHRSQPSQQSFSQAHSSQQGVFSHFSQSSLDEAVITHDQRAGSQEHDNSLRKISSLPRLAYSREESQPPNSRSSCNLVVKYKPEDHKNQLNEGIEHRIGIMETSLSRFAMIFDSVQSDVMQVNKGTKELLIEMECIRQKLIALDNSIQLLNKGQEEIKASTAGSWKFLSEHMSNQEKLQEVFSAISALPQLIRKSMQSVQSDLLNTTTEMQATKMNLQANVVPKVEMGGWRPVKKERVTFSDRKSGKMHKEKEPYTEKCKGGARDCAIVIESDEDSDEGFSCMVGKNAGKKRKKPSEYCKKQGGAREGILTLCL >OIW19909 pep supercontig:LupAngTanjil_v1.0:Scaffold_7_39:7108:9885:-1 gene:TanjilG_28888 transcript:OIW19909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGFKKNTTLLTFSILIILILPTLVLGACTCDEEDEDRNKEKALKYKIIALVSILLASAIGVCIPLLGKFIPALSPEKDIFFIIKSFAAGVILSTGFIHVLPDAFENLTSPCLNEHPWGDFPFTGFVAMCTSMGTLMVDTYATSYFQRSHFKKGQIEATDVEKEAERHEGHVHLHTHATHGHAHGAVTSSERSSEFLRHRVISQVLEVGIVVHSVIIGISLGASESPKTIRPLVAALTFHQFFEGMGLGSCITQAKFKVKAVVIMGMFFALTTPIGIGIGIGITNVYDENSPTALIVEGVFNAASAGILIYMALVDLLAADFMHPRMQQNGRLQIGANASLLLGAGCMSLLAKWA >OIW19893 pep supercontig:LupAngTanjil_v1.0:Scaffold_7_5:5296:5592:-1 gene:TanjilG_28764 transcript:OIW19893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSPSREKPELVELRKLIPLRPWKTPPPPLTQLRGQQYMKLKKPIIKNGVKVYWHPKILEEEFEEKIEIPYAGVFEFFDRLVVGIRRWSDKFFGQIFS >OIW19894 pep supercontig:LupAngTanjil_v1.0:Scaffold_7_6:12042:14977:-1 gene:TanjilG_28765 transcript:OIW19894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLRDFLLRARVLKLYRKALRISGRAPTSARADLRQTIRREMENNRNCNDKQRIRFLISEGLNKLKGLDEMLDMQADLRQTIRREMENNRNCNDKQRIRFLISEGLNKLKGLDEMLDMQGY >OIW19910 pep supercontig:LupAngTanjil_v1.0:Scaffold_7_62:19858:22553:-1 gene:TanjilG_28889 transcript:OIW19910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVSKSKFCVFALYCSDSRDRRKSKYLSYPYTDIGSRHDSLSDETEDIRTPCLSSKAKEASSTATKPLNGSSSFAKLGSKRFRRNWYRKFVSCSTISCSPEFINASSAELLSGLYSTAVDCTFPIENKRFGLVEWFFCRYRVSEFHDEAELATSLVNTEGGNTEKTLGIDLLDSKPVKKRKNNTAEKVARRKMKSLSGLSDVNISPSAGHSPNSDRKGKQKRNEEDVSSLHEDQYVGITLNGSSCKYSSVPEAPLILSHLSSEGKPKPKKIKKIEAVPEHPITQFASAYKSTNCGSLVIDLQLPSDIPERRNGKNKDELVSVFSNPELCVSHERLVENINNHSLLVSTTSEVGTVSVNETGLKNKMEQAAEVHLNAKLATDIPDLNGSVIAISPDEKSRQKRSLSACERHTKTINFNWMDDNGEALETCLLLQFSPGVYVPSKEDLLATFFHFGPLKASETKLFKDTDSAQVVFVRSEDAREAFRSLEQNKPFGANLVDYKLHHLSLTTPAERLGTSTQPTGFMPLPGKAPPPQEAFSTLKQNIVTSADAGVAFHSSEHNKPFGANTVVNKLHHPSVATPPAERFKIPIQRAAFMPLPGEAPPHGEAFCSLEQNKPFGALLADYKLHHPSAATPTTAQPTGFMPVPGEAPLPGRGVEQKKASGAILVNRKLHHPSAATSSEERFRTPTQLTEPGDAFCSVEQNISNSATNVNCALHHPSSATPPAERLMIPTLPTVFIPVLGEAPHPGEAFHSEDQNKANGATLINCKLHHPSASTPPPAEQIGKPAQPTGFNTFLAGEAPPPLHFIKQNLQMMTSMLKSSGNNLSPLMRAKLDSEIKNLMEKVSSRTPSSLPNSQQ >OIW19911 pep supercontig:LupAngTanjil_v1.0:Scaffold_7_71:26987:27493:-1 gene:TanjilG_28890 transcript:OIW19911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQTMSTPNEWFQFYNQNFSTPLSISDSTATTTVTATTIPITTVTTTTTIATSPPLPSSSTHLSPGGRVSKPRRRSRASRKTPTTLLNTYTTNFRAMVQQFTGGPSALPFVSTAASPASGFPNLMGLGFGSSPLILPMNPTTLMVSPPSYNLHQQPQHQQLYQNQNQQ >OIW19895 pep supercontig:LupAngTanjil_v1.0:Scaffold_7_8:6095:6934:1 gene:TanjilG_28766 transcript:OIW19895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKQPKLKNKILSILPKAAAAVSVTFQNSPFSPRRDHKLRSDHNATKWHKGAKGFSGPMIPEEARRKPKDGGVETQEPTSPKISCIGQIKQKKKQIHKAKAKTMSLPTPDSIRSHVSGAEDVDSEQVSEDVVKKKHSPNKFQRMFFHAAKPKTGSRKKLPPESSVIGKKTYFNKDVGSDRAPPMGDMRRFASGRETFANFDWRAQNTAEEMDQRDCFTDVEEDENEVIIPFSAPILVSGGSGRYSDLNLQPRKEINIWKRRTMEPPRPLQLKPVLTAK >OIW19896 pep supercontig:LupAngTanjil_v1.0:Scaffold_7_9:4345:4856:-1 gene:TanjilG_28767 transcript:OIW19896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVTSAAVTIPSFTALKANTTTKAIPASLKDFGVAVVATAATAILSSNALAVEVLLGSDDGGLAFVPNDFSVGAGEKIVFKNNAGFPHNVVFYEDEVPSGVDVSKISMSEEDLLNGPGETYSVTLSEKGSYSFYCSPHQGAGMKGKLTIN >OIW19912 pep supercontig:LupAngTanjil_v1.0:Scaffold_7_98:3314:4527:-1 gene:TanjilG_28891 transcript:OIW19912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEAADFCDWKDLSPDLQGAIFTHLSLEERLSIVPSVCKSWASALAGPYCWQEIDLEEWCNQTEPDKIDRMLVLLITRSLGSLRKLTVSCVQSEKTFTFIAENAGSLQTLRLQRCNMTDSIVEHLTKKLSTLSFLDVSYCNKIGASTLETIGKNCTMLEVFYRNMHPIDNSDNPFDDDEAISISTPMPNLKHLGIAYQLVKTEGRLQILLNCPKLELLDLRGCWGVNIENISLEKDFPNVKVLGPHVVDYHENNGWDDFSEPSEYLGWDFFVDEYYDDDDEEESDSDDIWDDEEGLEEIQFTFYQGIENAGMFVPPSP >OIW22036 pep supercontig:LupAngTanjil_v1.0:Scaffold_808:1998:3101:-1 gene:TanjilG_31077 transcript:OIW22036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLLSSKHSFTLLLFLPLLFIHISTPSTVFAADRHVINFRSPNLYPEGIAWDPKAHHFLVGSLRHRTISSVSDSGVVKTLISDTSLLENVTVLGLAVDSLNNRVLAVLYAMKPLPPFNALAAYDLRSGHRLFLSLLPSDTAAIANDVTVDFKGNAYVTNAAGNFIWKVNDKGSASILSNSPRFTELPVDPTLPYSFCGLNGIAYVSDGYLLVVQSNTGKMYKVDAEDGTATRVVLNKDLMGADGVAVRQDGVVLVVSPELGKMWFLKSNDSWGEGAVFDEIDLDLEGFPTTIALRERDRAYVLYGRIREGVLGNSERESFGIEEVRSEKEGESVVWLFVMIGFGLAYFLYWRFQMGQLVKNMDKKIN >OIW21209 pep supercontig:LupAngTanjil_v1.0:Scaffold_80_19:4907:6251:1 gene:TanjilG_31043 transcript:OIW21209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISQYTVNGGWLTRSTFTSRVPFKSGVFHLAGNLPEFFSLIGPFNNMGLEMLSSMFGGLGTGSLSVPNRSNEPPEQLYATQLSQLQEMGFFDTQENIRALIATSGNVHAAVERLLGNSGGQ >OIW21210 pep supercontig:LupAngTanjil_v1.0:Scaffold_80_24:2444:6323:1 gene:TanjilG_31044 transcript:OIW21210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNVIRKKGESSKKRELKQNGRIVRLVDLKDQNLEVIGRNQVIDIYSRRPMIALGGGELYVDLTISSEDFNANDCDMGIRDSGKDIQSQSGSTVGQGDPNLRPPSRVPSDMCDKKKGKPKNKRQGSRLRRNSNVEKNVGKHTVLCWMIDMGTIQPNDRVYYMEESKSVLLDGIITRGGICCKCCHAIVTISEFEAHSGSKHSDPLRNICLEGGASLLHCMLEAWNKKEGSKLQVSNLISVSDEDLNDNTCIVCGDYGNLLCCDSCPSTFHQSCLEMDISEKLERLIGVKHDIKEGFSWTLIRRTNVDFEDMDMKSHMVEWNSKLSLALSLMNDCFQPCIDGRTNINVLNSILYNCWSNFNRLNFEKFVTAILEKDDKIICTASIR >OIW21211 pep supercontig:LupAngTanjil_v1.0:Scaffold_80_54:63:4317:-1 gene:TanjilG_31051 transcript:OIW21211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSILGLLLFILFVYSSATPFSSIGSRRSILREVSDNNKSDHPDYAVELNSTNFDDVLKDTPDTYAVVEFFAHCWEPKQEKSDLHVIDDARTADLLLNWINLKLGSSFGLDDSKFETEYLSSNISDPGQIVKAIYDVEEATSTAFDIILEHKMIKPETHASLIKFLQLLVAHHPSRRCRKGSAELLVSFDDLYPTDFWSNNEQEADKGSVSNLKICGKDVPRGYWMFCRGSKNDTRGFSCGLWVLLHSLSVRIEDGESQFAFNATCDFVRNFFICEECRQHFYKMCSSVSSTFNKAHDYALWLWSTHNKVNERLSKEESSLGTGDPNFPKTIWPPKQLCPSCYLGHDHRNNKIEWNQDEVYKFLVTYYGKTITSLYNKDKIVGNNGTDRAIEDLVVEASNAIVVPLGAALAIAVASCAFGALACYWRSHQKSRKYFHHLHSLNNI >OIW21208 pep supercontig:LupAngTanjil_v1.0:Scaffold_80_6:3269:7515:-1 gene:TanjilG_31042 transcript:OIW21208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVEEKINKTGGVVGVQTLAAEMKLLKEMQEHCGARKALNSELWHACAGPLVSLPQTGTLVYYFPQGHSEQVAVSTRRTATSHIPNYPNLPSQLLCQVQHVTLHADKETDEIYAQMSLQPLNSEKDVFPVSDFGIKHSKHPSEFFCKTLTASDTSTHGGFSVPRRSAEKLFPPLDYTMQPPSQELVVRDLHDHTWTFRHIYRGQPKRHLLTTGWSLFVGSKRLRAGDSVLFIRDEKSQLRVGVRRVNRQQSTLPSSVLSADSMHIGVLAAAAHAAANGSPFTIFYNPRACPSEFVIPLAKYRKSVFGTQLSVGMRFGMMFETEESGKRRYMGIVVGVSDLDPLRWPGSKWRNVQVEWDEPGCGDKQNRVSVWEIETPESLFIFPSLASGLKRPLQSGFLENEWGNLIRRPFIRVPENGTLELPNSISNLYSEYMMKMPYKPELINNNVAFLSAMQQESAATRDPLEMKTTLAQESHKHLAGAESMQPNNMNSQSVPGQSNVLNMHSMSKSDEPAKLHPLAKNDNNLSSGTVIDKPKLESEVLTDNMFDLPSINGSSNIEKMAANPAISQNLASPMTFLNQNQNTLLCQSNPWSMQPQIESSISHHQLVDVSQSDPTFVNGMFPQLDIDELMMYSSCQPLSDLQEHTALQAQAVNAPLPPMSQEIWDHYVKNFKFSSQADQLASMYQPGMYGLNGISSSNNMKDLSAESNNQSEICVNVDVSNSVSTTTMVDPSTSSAILDEFYNLKDKEFQNQPDCMVGNLSSSQDVQSQITTASLAESHAFNSGGTSSSHVDFDESSFLQKNSWQQVAPPPMRTYTKVFPHLLSYYLISYFG >OIW22037 pep supercontig:LupAngTanjil_v1.0:Scaffold_819:146:1184:-1 gene:TanjilG_31160 transcript:OIW22037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRRLFSSNLKTLNTASHLRSAPSSAATFRFSPTTTTAPSSLNRFLTTQSDGSIKKSVEDVLPIATGHEREEIQAQLESVD >OIW21212 pep supercontig:LupAngTanjil_v1.0:Scaffold_81_13:5989:8880:1 gene:TanjilG_31080 transcript:OIW21212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQENTSNSVTFLLLVFFFFTVVPSCCGWVGVNWGTMATHQLPPEKVVKMLKENGFRKLKLFDADEWIMEALIGTDIEVMLAIPNIMLDKMSNSPKAAESWVYENVTSYSYTGGVKIKYVAVGNEPFLKAYNGTFLNKTLPALKNIQTSLNNAGLGLKIKATVPFNADIYYSPDSNPVPSAGDFRPEIKDLTTEIIEFLYSNNAPFAVNIYPFLSLYGNDHFPFDYAFFDGGNKPLRDGKSLYTNVFDANLDTLLWALDKAGYPDMQVIVGEVGWPTDGDKNANVKNANRFYLGLLKHALSDKGTPKRKGTIDMYLFSLIDENAKSVAPGNFERHWGIFELDGKPKYELDLTGQHKKKGLVPVEGIKYLEKRWCVLDPDVTDFDDLPNSIDYACSQSDCTSLGYGSTCNNLTLQGNASYAFNMYYQVNDQKDWDCDFSGLAIITHKDPSENGCEFPVMIARGSSLLLHGGILDILNKAVHEFVVSM >OIW21214 pep supercontig:LupAngTanjil_v1.0:Scaffold_81_14:38150:44962:-1 gene:TanjilG_31082 transcript:OIW21214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDCGYKSKYVGGQREKFVRLDDLDSRLSSPSNAGTRTHGFSIDKLSHGGHGNSATTSRSFKRGMKKGSEGLKSIGRTLGFGVPSAVFPEDLKVSEKKIFDPQDKFLLLWNKLFVISCILSVSVDPLFFYLPVINDSLKCLGIDQKLAIIVTTLRTVIDAFYLIHMALQFRTAYIAPSSRVFGRGELVIDPAQIAKRYLRRYFIIDFLSVLPLPQIVVWRFLQRSKGSDVLATKQALLFIILLQYIPRFFRMIPLTSELKRTAGVFAETAWAGAAYYLLLFMLASHIVGSFWYLLAVERNDSCWQKACSESIDNVCDKNFLYCGNQYMNGYSAWQDKSEGILNSECSTGDNAPFDYGIFSQALSSGIVSSKKFFSKYCYCLWWGLQNLSTLGQGLQTSTYPGEVIFSIALAISGLILFALLIGNMQTYLQSLTIRLEEMRVKRRDSEQWMHHRLLPQELRERVRRYDQYKWLATRGVDEESLVQSLPKDLRRDIKRHLCLALVRRVPLFESMDERLLDAICERLKPCLFTEKTYIVREGDPVDEMLFIIRGRLESVTTDGGRSGFFNRSFLKEADFCGEELLTWALDPKSGSNLPSSTRTVEALTEVEAFALIAEELKFVASQFRRLHSRQVQHTFRFYSQQWRTWAACFIQAAWRRYSKRKTMELQRKEESEESKGTRNNGSGGSYSIGATFLASRFAANALRGVHRNRNAKTARELVKLQKPPEPDFTADEAD >OIW21213 pep supercontig:LupAngTanjil_v1.0:Scaffold_81_14:28050:34779:1 gene:TanjilG_31081 transcript:OIW21213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHSHIIARATLGLTHPSNVEPPKISFAAKEADVAEWKGDILAIGVTEKDLVKNDKLNFENPILSKLDSKLGGLLAEASVEEDFNGKVGQSTVLRVATGVGLGSKRVGLFGLGHSAPFKGFGEAVAAAAKSAQASNVAVVVASADGLSAESKLNIASEIVSGTTLGTFEDNRYKSESKKSALKSVEIIGLGAGPELEKKLKYAGDVSSGVILGRELVNSPPNVLTPGVLAEEASKIASTYSDVFTAKILDAEQCKELKMGSYLAVAAASENPPQFIHLVYKPPSGPVNAKLALVGKGLTFDSGGYNIKTGPASSIELMKFDMGGSAAVFGAAKALGQIKPLGVEVHFIVAACENMISGTGMRPGDIVTASNGKTIEVHFIVAACENMISGTGMRPGDIVTASNGKTIEVNNTDAEGRLTLADALVYACNQGVEKIVDLATLTGACVVALGPSVAGVFTPNDDLAKEIFDAAEASGEKLWRLPIEDSYWESLKSGIADMVNTGGRQGGAITAALFLKQFVDEKVQWVHIDLAGPVWNYKKNCATGYGVATLVEWVLRNSS >OIW21238 pep supercontig:LupAngTanjil_v1.0:Scaffold_81_158:15773:16435:1 gene:TanjilG_31106 transcript:OIW21238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNLNGAYYGPAVPPPKSYHRPSRGSGCGCCCGCLFSLIFKLILTIIVILGIAVFLFWLIVRPNKVKVHVTEATLTQFNYTNNNTLYYNLNLNVTIRNPNKRLGIYYDYIEAQPFYHDARFGSVMLQPFYQGHKTTNELNAAFNGQHVVVLGANQISELDKEKVSGVYDIEVKLYLKIRFKLGVLKTGKMKPNIDCDLKVPLTSSNNGGVFQTTKCDWGF >OIW21237 pep supercontig:LupAngTanjil_v1.0:Scaffold_81_158:9101:9784:1 gene:TanjilG_31105 transcript:OIW21237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADKQPELNGAFYGPAIPPRQQPRSHHHHGGRSCCCCLFSFVWKLLIALIILVGLAILIFYLIVQPRPFKFYVTGAELTQFDYTNNTLHYNMALNFTARNPNKKLSIYYDKVEALAYYEDSRFDTTNVITHLNSFRQYKKSTDLMSGVFSGQHVLLLDNDQVAKLNEDKIDGVYDIYVKLHFRIRFRLGDAITSTYKPTVKCDIEVPLSSNKTMTFFKSTKCDVDFF >OIW21215 pep supercontig:LupAngTanjil_v1.0:Scaffold_81_19:2678:6554:1 gene:TanjilG_31083 transcript:OIW21215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVATNVKAETISLMEKRSFIESEMNAIISRLSQPGAPGISGNLLDFEGFPRTDIDIPAIRAERRRLTELRNDHKEITEKIDQNIQILHSARLGNKSSPFKNSGNDDGSDTQTSSTVDAVASTLSQNVLLRQSPNSMDVDVLVSRPFAMVDEIADASPAAADGLQLGDQILKFGNVEAGDNLLQRLSSEAQSNQDHTVPVVIMRQGTVINLTVTPRTWQGRGLLGLKATWLRSDYGIKELPNVPVM >OIW21216 pep supercontig:LupAngTanjil_v1.0:Scaffold_81_19:12122:19365:-1 gene:TanjilG_31084 transcript:OIW21216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQQHCLKENEKGKQEGEIKKRVSDSPRTTESDHAELNSSDEAGPNLQTLDVPSSLLLDHTAESKPVGPSAKQIIVKEVEVPQLSKENQLQLVVCSSPLSELSPTSVAQSLTSAPSRTLPEQRLLPPKVNSPHMPEVERKTHKGGKTSLSVLAARTSAPDGYNWRKYGQKRVKSPTGSRSYYRCTHSDCSAKKIDICDHSGQVIEIVYRSQHSHDPSQKTNSSRESKFVPSNKPTVENSVPEQPIKVVNDSGPSSSSREPKQEAPCSAGADTKQQNSSNGGNGKVILKEEDANEPDLKRSKLVMKTGNLTSLESPVKPGKKPKLVVHAAGDVGISGDGYRWRKYGQKMVKGNQHPRNYYRCTSAGCPVRKHIETAKDNTNAAIITYNGVHDHDMPVAKKRHGPPTAPLVAATTPSSSNNLNSTRTDSLQNQKTSTRWSVDTEGELKGEALDLGGEKAIESAQTLLSIGFEIKPC >OIW21239 pep supercontig:LupAngTanjil_v1.0:Scaffold_81_202:1907:4642:-1 gene:TanjilG_31111 transcript:OIW21239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGNFITRCLILILGYAYPGFECYKTVERNKVDFGELRFWCQYWIIVALFTVLEKFTDVFIGWLPLYGEMKLILFIYLWYPKTKGTGYVYDNVLRPYASKHENDIDMKFQEWRTMAWDLAIFYWQNCTELGQSATFQKKEKEGPNTLYLPSAPPLPEIRASIFENNQDKFKGKNRKWI >OIW21240 pep supercontig:LupAngTanjil_v1.0:Scaffold_81_204:6602:7156:1 gene:TanjilG_31112 transcript:OIW21240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSRKKLLLNKVSVKLDCGSCRRLKLSHIFNPKPKPKNPTYSKNKLYNHSSSSDSTIYTTPTNTNTTFSPCYIDSSNFSESETYMMAPRTVGGFGRSGREGVAVEKDSDDPYLDFRHSMLQMISENEIYSKNDLRELLNCFLQLNSPYHHGAIVRAFTEIWNDFFFVRSKSPRFHFNRKAREF >OIW21241 pep supercontig:LupAngTanjil_v1.0:Scaffold_81_206:20277:21017:-1 gene:TanjilG_31113 transcript:OIW21241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKKMKPPSLLNNNTEVKPSSSSWPWLSCHQPRTLSFRANNNALFKTINSTYLDATMDVLESSESFFTVSTDSTSFSTNSEDSRLGQDSTETVIRESRSDRLFFEPDETSSILEAKSGVRVPFKDSVVLSMDSQDPYVDFRKSMEEIVEAHGVKDWEDLEELFCWYLRVNEKSCHGYIVNAFVDLLFGLEFASVSSSSSCSCSTTPCVSCLEAEEKVDNITSSSLLLEQVKVIKLEDEASTSSSNV >OIW21242 pep supercontig:LupAngTanjil_v1.0:Scaffold_81_207:2947:3303:-1 gene:TanjilG_31114 transcript:OIW21242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRNGSGPKLDLKLNLSPPRVDHHRGQESSPTRSATVSPTSPPSSCVSSDDNNNNNNNNRYSNSPEATSMVLVGCPRCLMYVMLSEEDPKCPKCKSTVLLDFLHDHDNNNENRNKRI >OIW21217 pep supercontig:LupAngTanjil_v1.0:Scaffold_81_24:11399:12664:1 gene:TanjilG_31085 transcript:OIW21217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKDFQSTLLHGKYELGRLLGHGTFAKVYHAKNLQTGKFVAMKIVGKEKLIKVGMMEQIKREISAMKMVNHPNIVQLHEVMATKTKIYIAIELVRGGELFDKVAKGRLKEDEARLYFQQLISAVDFCHSRGVYHRDLKPENLLLDEEGNLKVTDFGLSTFSEHMRQDGLLHTTCGTPAYVAPEVIRKNGYDGAKADIWSCGVILYVLLSGFLPFQDENLMAMYKKIYRGDFKCPPWFSSEARRLVTKLLDPNPNTRITISKIMDSTWFKKSTPKNRAGESDIGLDGMIKQMQPETMNAFHIISLSEGFNLSPLFEEKKSDVKEIRFATTSSASSVVSRLENVAKEMKFDVKKSETQVRLQGKEQGRKGKLGIVANIYAVTASFLVVEVKKNGGDTLEYNQFCSKELRPGLKDILWTSSSV >OIW21218 pep supercontig:LupAngTanjil_v1.0:Scaffold_81_27:29230:29967:-1 gene:TanjilG_31086 transcript:OIW21218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSHGPPCAACKHMKRKCIPECLFAPYFPPENLQRFEQVHKVFGSNNVMKILRELNPSQREDAVKSLVYQAEARLRDPVNGCMGFISELQDRLNQIKTNINSTMEEISTYLGPQAMKTLEAMVENPSLVPPIGMVIPNQHQVGNFSSSKANGNINMEASPSVFMGKSHDKLVNQDDKQQPLLEAQQLDTVGKLASGGGGGGGSDVQDVNLGTINDVSTHQIQHQKGETHTLDSHSPHHPKDAQA >OIW21219 pep supercontig:LupAngTanjil_v1.0:Scaffold_81_29:15211:18411:-1 gene:TanjilG_31087 transcript:OIW21219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRTKISISPWKLFFKSFDYSSSLVQGLIQFSELARHCRKLSTLNLVHAPPTTQFPVFNCENHFKTCIKGKESFSFGIHLGSLVDHPNTQVSSFHQKGFSEVTEEIVGKALHALCVKGVVHPGTFSTNTLINMYSKLGNIKYAQSVFDRMLDRNEASWNNMMSGFVRVGLYHDAMRFFHHMCEYGIRPSSYVVASLVTACDRSGCMVEGALQMHGYVAKCGLLSDVFVGTCLLHFYCTHGHLSEAKKLFDEIDEPNVVSWTSLMVGYSDNGYIEEVINIYQHLRCNGLFFNQNTMATVIRSCGVLGDKTLGYQILGNVIKSGLDTSISVANSLISMFGNCDNIEEASCVFDNMKDRDTISWNSIIAACVHNGQCEESLGHFFRMRHTHTETNYITISTLLPVCGSSQNLRWGKGLHGLVVKSGLESNVCLCNSLLSMYSQAGKYEDSEFIFHTMPERDLISWNSMMASYVEEGKHPRAIQLFVEMLQTRKTMTFVTFTTALSACYNLEKTKIVHALAILFGFHHNSIIGNALVTMYGKFGFMAEAQKVCKVMPKRDEVTWNALIGGHADNKEPNAAIKAFNLLREEGLPVNYITIVNLLSACLSPDDLLGHGMPIHAHIVVAGFELDTYVQSSLITMYAQCGDLNASNYIFDVLANKNSSTWNAILSANAHHGPGEEALKLAVKMRHSGVDLDQFSFSVALSIIGNLTVLDEGQQLHSLIIKRGLESNEYILNAIMDMYGKCGEIDDVFKNLPQPRSRSLRSWNILISALARHGCFQQGRDAFHEMLDLGLRPDHVTFVSLLSACSHGGLVDEGLGYFSSMTTEYGVPIGIEHCVCIIDLLGRSGKLAEAEVFINKMPIPPTDLVWRSLLAACKTHGNLELGRKAAECLFEMDSSDDSAYVLYSNVCASTRRWEDVENVRKQMESHNIKKKPACSWIKLKNKVATFGMGEKFHPQGTQIYAKLEELRKMIKETGYVPDTSYSLQDTDEEQKEHNLWNHSERIALAFGLINSAESSPLRIFKNLRVCGDCHSVFKLVSEIVGRKIILRDAYRFHHFTGGKCSCSDYW >OIW21220 pep supercontig:LupAngTanjil_v1.0:Scaffold_81_30:2898:7623:-1 gene:TanjilG_31088 transcript:OIW21220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKKNKKVHVVDQEEVSDSFPVGMRVLAVDDDPICLRVLKTLLRECNYQVTTTNKAIEALRMLRENRNNFDLVISYVNMPDIDGFKLLQLVGLEMGLPVLMLLALSDKELVIKGVAHGACGYLLKPVRIEELKNIWQHVVRKKNFDGRVKPSKEEKAPNIAGKGSQGIISESSSDLNKNVGMRRSEDEDEDLERGGEEMEDPSAQKRPHLVWTIELHKKFVDAVNQLGHERAVPKKIVELMNVVGITTGNVASHLQKYRQHLRMTTQQASTLAVIGGSDSHMRTGSIDGHGDFCGSLGSRRISTTTLPSYASSGIFGRLNSPAGLNMRGISPSSLISPVQPQNTNSSLNTIGNIQRFVFPANQRSSLLYGIPTSSELAPFKQSNRATGITQLSKVDPRVFAIASGFPDGRVTVNSANNSLPCVPSNHSMLYGNSQQTHSGAFRNQSYVRADPSSTESFDVGMYGSSDMLNYNQCNENWQTAQLSKFPANSLQLCETFNNYQLPPTGINVSNSKTLIGNSPVNFSSRFALSVPLEDSRSELQRQERLGGNILRPPSYTPRQRQEKHRLAYNQNMSRPFDAVNSQAFSSGVMSSLGHGLNRSNTIRSNRIDASLVGQLNEASLSMSRCNEDEKLSSGIRLQSNEAYNLEQMKSQDGFIQNDYGTLDEIKDAMFKREQHDLTLMDGELGFDAYPAGSCNLIYSASA >OIW21221 pep supercontig:LupAngTanjil_v1.0:Scaffold_81_31:7563:8430:1 gene:TanjilG_31089 transcript:OIW21221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSHKTFRIKKKLAKKIRQNRPIPYWIRMRTDNTIRYNAKRRHWRRTKLGF >OIW21222 pep supercontig:LupAngTanjil_v1.0:Scaffold_81_47:2803:3036:1 gene:TanjilG_31090 transcript:OIW21222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDPDLEEEFKEAFHVFDKDHNGYVSAIELHHDMTTLGEKLTHEEPYLDLFMEVEGVYAFGFFIRKETMKKKKILVM >OIW21225 pep supercontig:LupAngTanjil_v1.0:Scaffold_81_51:27437:28163:1 gene:TanjilG_31093 transcript:OIW21225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTKSLILYSSSSPLHSSSGNHGKIGGEKCNGGSRWGRLLLFLPFATAGACDSSCHGSSRGYSWLGHLFLSSPFSFDEGESRENEGDMVRWFAVFPDLGSYSHGGHRSNRGRVSLDMIENLKGEGSQCSK >OIW21224 pep supercontig:LupAngTanjil_v1.0:Scaffold_81_51:3508:4350:-1 gene:TanjilG_31092 transcript:OIW21224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLLMNPPRQGSVVIRRVWRSNVEAEFHLIRNIITSYPIIAMDTEFPGLVIRSESNFRHRKPSENYALLKANVDRLHLIQVGLTLSDKHGNLPNLGTPYSFIWEFNFCDFDVSRDLHAPESIALLRRQGIDFEMNRKFGIHLMHFRQLLLRSRILGRRNQVSWVTFHSAYDFGFLVKILTRRPLPEELAGFLHTVRIFFGEMVFDVKHVMKFCSNLYGGLDRICQTLRVDRVAGNSHQAGSDSLLTLHAFHKIKQVYFGTKNSDELLKFAGVLYDLEENY >OIW21223 pep supercontig:LupAngTanjil_v1.0:Scaffold_81_51:1992:3176:1 gene:TanjilG_31091 transcript:OIW21223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITSICVGSSFYGSWGTSMVGEECTMLPAKSQVHIGRGKPVRLQPMMKNINEGKGIFAPLVVVTRNIVGKKRFNQIRGKAIALHSQVITEFCKSIGADAKQRQGLIRLAKKNGEWLGFLA >OIW21226 pep supercontig:LupAngTanjil_v1.0:Scaffold_81_65:10345:11104:-1 gene:TanjilG_31094 transcript:OIW21226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKPLNAFVDNAFFRANSSLHNIVGGASAAKVSGIRESSDFADGVKFASNPRFHPHSLPEYHEASDGRHIQGMGSTRNITEFNAGGNGIHAHNRLYHMWNNSSLHQQPSSDIVLWQKTPSLVNGACAPGLPQMPSFSRTQPQMLRKPHMDHCWINNHK >OIW21227 pep supercontig:LupAngTanjil_v1.0:Scaffold_81_72:41:883:1 gene:TanjilG_31095 transcript:OIW21227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLLMKPPRQGSVVIRRIWRSNVEAEFHLIRNIITSYPVIAMDTEFPGLVIRSESNFRHRKPSENYALLKANVDRLHLIQVGLTLSDKHGNLPNLGTPYSFIWEFNFCDFDVSRDLHAPESIALLRRQGIDFEMNRKFGIHLMHFRQLLLRSRILGRRNQVSWVTFHSAYDFGFLVKILTRRPLPEELAGFLHTVRIFFGEMVFDVKHVMKFCSNLYGGLDRICQTLRVDRVAGNSHQAGSDSLLTLHAFHKIKQVYFGTKNSDELLKFAGVLYDLEENY >OIW21228 pep supercontig:LupAngTanjil_v1.0:Scaffold_81_74:1689:12151:1 gene:TanjilG_31096 transcript:OIW21228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASMMKYFEIEGVLETRVEEVSVKVCQDFAAKLKHLQNTHTVELEKVERYVMAIGEHVSAIINQYEARRREAPDVAAEFIQSQQEVAKVIVNTVEEISGEVPEEPVVSKKSGLLFEKRLIERHISDYAKCPITGEPLTLDDIVPIKTGKIVKPRPLQAASIPGMLGMFQNEWDGLMLSNFALEQQLHTARQELSHALYQHDAACRVIARLKKERDEARSLLAQAERQFPISSSDATTANVSVLSNGKRAAEDEMAPGAKKIHPGITTSIISELTDCNAALSQQRKKRQIPATLAPVDDIERYTQTSSHPIHKTNKPGIVSIDILYSKDIIATGGIDTNAVVFDRPSGQILSTLSGHSKKVTTVKFVGQGDLILTGSADKTVRLWQGSDDGNYNCKHILRDHTAEVQAVTVHATNNYFVTASLDGTWCFYDMSSGTCLTQVSESSGYSEGYTSAVFHPDGLILGTGTTEGLVKIWDVKSQANVARFDGHVGPVTAISFSENGYFLATAAHDGVRLWDLRKLKNFRNFAPYDSETPTNSVEFDHSGSYLAVAGSDTRIYQVASVKHEWNCVKTFPDLSGTGKATSVKFGPDSKYIAVGSMDRNLRIFGLPIEDGSTDS >OIW21229 pep supercontig:LupAngTanjil_v1.0:Scaffold_81_77:11283:13679:1 gene:TanjilG_31097 transcript:OIW21229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRGPRSEKVRRIFNQFDANRDGGLNREEMACLVVAVNPRVKFSDEQINAILDEVFRTYSDFIDGDEKGLTYEGLLRTYDDGAGDVDRDFDALGLELNFDDKAPPMVAEDSSSIVDERMVVETQKKQRTAAWAVSPNHGVVFDDTWKIVDDLEILVKRLKTKQAKDGKFKCENFDAYSDAGWSRELGPSAEISEKRVIWDESGHDFAVFLKELGGLRARADVARSREEAFDGHMAIGRVLYEHQLFKEALVSFKRACELQPVDVRPHFRAGNCLYVLGRHKDAKEEFFLALESAEAGGHQWAYLLPQIYVNLGIALEGEGMVLSACEYYREAAILCPTHFRALKLLGSALFGVGEYRAAVKALEEAIFMKPDYADGHCDLASALHAMGEDERAIEVFQKAIDLKPGHVDALYNLGGLYMDLGRFQRASEMYARVLAVWPNHWRAQLNKAVSLLGTGETEEAKRALKEALKMTNRVELHDAISHLKQLQKKKTKPNVGVPGESAYVIVEPSKFKTVGEKTTVRQELSNALQIRALQRVTRLSRCNVELLKKEMTEHDVPMSYSGRGVPEKSIRKPNLEEVLRRLLSFLKPEAFQGAVKAINERILSVLDESGSGRVDLGMLYAILAPICGGPPERRKRVAFDALLWRPMNEDSADVKKADATLYIKLLRAVYVPSQRVNELLEVHGDSDTSMVSFSEFLVMFDDPDWGFGIMPTLVKLETGDRNRHGNTVCSVCRYPIIGSRFKEVKSHFSLCNQCYSEGKVPSTFKQGDFKFKEYGRETEAIKDKCMFFSLHSRNEK >OIW21230 pep supercontig:LupAngTanjil_v1.0:Scaffold_81_80:21977:22267:-1 gene:TanjilG_31098 transcript:OIW21230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKQGKKRFSLANDAGIISKVSNSAVVRHTKQATTDAAFVTKKLLRSTGKAAWIAGTTFLILVVPLIVAMDREQQIIELESQQASILGTPPLPTPK >OIW21232 pep supercontig:LupAngTanjil_v1.0:Scaffold_81_84:9652:11037:1 gene:TanjilG_31100 transcript:OIW21232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNILACYQLLELNVISAQDLATVGRSMRTYAVAWIDPDRKLSTRVDSDGHNNPTWNDKFVFRVKEDFFYEDTSLITIDIYALHWFKDIHVGTAEVLACDLFPPPSKPSHNTYKPPTMQFMGLEVHRASGHPKGIVNIGVVVLDSSMRSMPLYTNNTPGPDYHHVPTDKVSHQAQAYPKLRRTKSDTSSMIDSEAMAHEKRAKAKSDKTNSVISHSEVSTKSKKKTSSILSGSSVKATPKKGKFGKRKTKVAPTSPEAIIDDFNAMQWLVAPRKKVGYEAKPSPKPQFGNTPAMAYNATPIGAVRATPLHPFAKVNALEYGTPRRSNMRNMVPIMTDSELGPSASEVAAAVARHPVVEEGDNSTVGGWSLDESVEELQPKVERWRTDLAPVYDVGEMSSKPTSSSKKGRRSRRHTDGGGGNGLFSCFSVICGVECSIVCGGDGKKNRRRRAKSVDNNTSLL >OIW21233 pep supercontig:LupAngTanjil_v1.0:Scaffold_81_84:24504:26003:1 gene:TanjilG_31101 transcript:OIW21233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLPVCLSEQLAQLLGPNATNAAEAANFICNQFTAVNNKFSDTAFAIDNTYLLFSAYLVFSMQLGFAMLCAGSVRAKNTMNIMLTNVLDAAAGGLFYYLFGFAFAFGAPSNGFIGRHFFGLKDVPDAALDYSNFLYQWAFAIAAAGITSGSIAERTQFVAYLIYSSFLTGFVYPVVSHWFWSSDGWACANNTDNLLFGTGVIDFAGSGVVHMVGGIAGLWGALIEGPRIGRFDHTGRAISLRGHSATLVVLGTFMLWFGWYGFNPGSFAKILSAYDSGKYYGQWSAIGRTAVTTTLAGCTAALTTLFGKRALSGHWNVTDVCNGLLGGFAAITAGCSVVEPWAAIVCGFVASLVLIGFNKLAEKAMYDDPLEAAQLHGGCGAWGVLFTALFAKEEYVNQVYPGKPGRPFGLFMGGGGKLLGAHAIQILVIIGWVSATMGPLFFVLNKMKLLRISAEDELAGMDLTRHGGFAYAYEDESHRNEFQLEKIEPKSSSTPTTY >OIW21231 pep supercontig:LupAngTanjil_v1.0:Scaffold_81_84:3270:8360:1 gene:TanjilG_31099 transcript:OIW21231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPFPIPVTAAQIGTYFVGQYYQLFQNQPEFVHQFYSDASTMIRIDGHARETATAMLQIHALVMSLSYTGIEIKTAQSLESWSGGVLVMVSGSVQIKEYNRRRKFMQTFFLAPQEKGFFVLNDIFHFVEEDPVNHHQAVLLAQSNVDPKMNAPSTINKPVSNYLLGEDIQAREFVATNEVKENGVVDNYGFSEHQVPDHVPVREDNAVEESNGSLQSTVDPVQDHVPASAEEPSGEPQKHTYASILRVAKGQSTPSTASQPFQKNASSSEWDSAQQTGSLQTTVSANAFERSETDAVEEIPAKENEGEVKSVYVKNLSPTVSAFEIEKEFQKFGRIKPDGVVIRSRKDVGVCYAFVEFEDMTGVHNAVKAGSVQIAGSQAYIEERRPNSNIPSRGGRRGRGRGGYQSEAPRGRFGSRSFGRRSDQDAREYNKPRGNGFYRPSSRQERGYSGHQVAGKGQKQTESYE >OIW21234 pep supercontig:LupAngTanjil_v1.0:Scaffold_81_92:10783:11582:-1 gene:TanjilG_31102 transcript:OIW21234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQQNFNAGQAQARAEDSMQCAKETATAAADRAHAAANTTGDTAQQNKDEAAGFLQQTGEQVKTMAQGAVDTVKHTLGMDKK >OIW21235 pep supercontig:LupAngTanjil_v1.0:Scaffold_81_99:32522:32767:-1 gene:TanjilG_31103 transcript:OIW21235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSIQCVAVSSGSESGDSTTIESRIRKEIAVEESEASGGLKGGSEKIARHEKEGVTREGGSIFEEAQSGDGRRFSDRKVRC >OIW21236 pep supercontig:LupAngTanjil_v1.0:Scaffold_81_99:43810:44931:-1 gene:TanjilG_31104 transcript:OIW21236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGTISKKAENFSEKYVIYLKENVKALQEEIREIMNEREKESRNYERDIMVFSFKEEDWKQEWKRMREEVKQLRKLVEEKEEKIREMGEGGMVQKNSEKEWEMMGTKLLVEQMKEERARRDEAVEKWKQLYLAIKTELDELIQRTIDGDGLYLKAEENDILMENLKWEIQDKEETIKSLKSQLASVEQEKNKKEREFDLLRQSLRIMNGKKNSIQTKDKLLKIKLGR >OIW22038 pep supercontig:LupAngTanjil_v1.0:Scaffold_823:1467:1802:-1 gene:TanjilG_31201 transcript:OIW22038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGGLGAWQEHESGGGDGDGDVEDELENGDKGSGDGDVEDESENGDERTGDGDVEDELENGEERTGDGDVEDELENRDEGSGDCDARSGDGDMENELENGDEGTGDDDVED >OIW21245 pep supercontig:LupAngTanjil_v1.0:Scaffold_82_19:54649:63244:1 gene:TanjilG_31174 transcript:OIW21245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVNSMDFDNPIGCYDAAVQELIVIDDILSAMVGVEGRHVLIKIVHGKHDDVTFQVDPSMDLALQELAKRIFPLCRSFLLINQFVESRSQFKSGLVNHAFSAALRALLIDYQAMVAQLEHQFRLGRLSLQGLWFYCQPMMGSMLALSTVIQKASENNFSGSAVLNLLQNQAKAMAGDNAVRLLLEKMTQCASNAYLSILERWVYEGVIDDPYGEFFITENKSLQKETLTQDYDAKYWRQRYSLKDGIPSFLANIAGTILTTGKYLNVMRECGHNVQVPPSENSKLMSYGSNHHYLECIKAAYKFASGELLNLIKEKYDLLGKLQSIKHYLLLDQGDFLVHFMDIARDELARKPDEVSVEKLQSLLDLALRTTAASADPFFEGVTCVVERSSLLKTLGTIKDLEVSQSSSSSSDPEEPVSITALETFSLSYKVLWPLSIVLSRKALTKYQLIFRFLFHCKHVDRQLCGAWQMHQGVRALNTRGTALSRSSLLCRSMLKFINSLLHYLTFEASLSFVIEPNWHVMYNRLQSANSIDEVIQYHDLFLDKCLRECLLLLPELLKKVERLKSMCLQYAATTQWLISSSIEIHSPEEPTDDSIRSNKAKQRKSGNVRQVLKSTTGSVAVMDSVLKFEKEFNAELQSLGSILSSSSQAEPYLAHLAQSILGVKNEKNGL >OIW21244 pep supercontig:LupAngTanjil_v1.0:Scaffold_82_19:20392:22701:1 gene:TanjilG_31173 transcript:OIW21244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIRQSNNPNQNEVNFDPSIGSSHRDNPPSPTIGNDESFLDEQSELNNNLSNQDNSNIVNHPNVGEAIFPSPMQISQEMDYSIMVDSTSLPTSGIHAEVNNSQPQFLASSSLIPDGRGEVEFRHALLEHLRAGGSLQVEGVEEEEEQNEEEINVEMSEEAEEDDAGMWLTREEIRTSIRHETIEFAAEDNQEKEVCDICQDGYVNGDKVGRLDCSHKFHIGCISDWLVRKNICPKCRRMALLPIYVDYTT >OIW21246 pep supercontig:LupAngTanjil_v1.0:Scaffold_82_19:66343:73597:1 gene:TanjilG_31175 transcript:OIW21246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGHWGILVSDPCLQNQFTQVELHSLKTHFMSIRRESGRLTIGDLASKMSRLKVVGDNLSEEDRASYIQDLYQTTDEEVDFELFLKVYLKLQTFASSRTGNTAKNSSAFLKAATTTLLHTISESEKSSYVAHINNYLGGDEFLNKYLPIDPLTNDLFEIAKDGVLLCKLINVAVPGTIDERAINTKRLLNPWERNENHTLCLNSAKAIGCTVVNIGTQDFIEGRRHLVLGLISQIIKIQLLADLNLRKTPQLVELVGDSKDMEELMTLSPEKILLRWMNFHLKKTGYKKIVTNFSSDVKDAEAYARLLNVLAPEFTNPSTLAVKNPFERAKLVLEHADKMGCKRYLTARDIVEGSPNLNLAFVAHIFQHRNGLPTQTKQSSLLETLPGDNQDSREETAFRLWINSFGNSTYINNVFEDVRNGWILLETLDKVSPGIVNWKIANKPPIMMPFKKVENCNQVVKIGKQLKFSLVNVAGNDIVQGYKKLILAYLWQLMRYNILQLLKNLRFHSHGKEITDVDILQWANSKVSNSGSQSCMNSFKDKSLSDGIFFLELLSSVQPRAVNWSLVTKGITDQEKKMNATYIISIARKLGCSIFLLPEDITEVNQKMILTLTASVMYWFLKHPDEERTVGTSDSESGSQMETVSNSTLDDSASDSSAEDNGNM >OIW21248 pep supercontig:LupAngTanjil_v1.0:Scaffold_82_24:13036:13242:-1 gene:TanjilG_31177 transcript:OIW21248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKFMIVQMLDQGARIVARFHSNCPQTGRKFYHPPPVSNNDDDQTHGGATVNESAASCSSIDIVIYSV >OIW21247 pep supercontig:LupAngTanjil_v1.0:Scaffold_82_24:7735:7968:-1 gene:TanjilG_31176 transcript:OIW21247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIKIDFEKTYDRLSWSFANDTLLDVGLPEHMVNLIMACISSKPLQTRERLKVRGSHLPLHFRSVYGKAFSTYLGCS >OIW21249 pep supercontig:LupAngTanjil_v1.0:Scaffold_82_24:21103:21309:-1 gene:TanjilG_31178 transcript:OIW21249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKFMIVEMLDQGARIVARFHSNCPQTGRKFYHPPPVSNNNDHRSHGGATVNESAASGASNDVVLYSL >OIW21250 pep supercontig:LupAngTanjil_v1.0:Scaffold_82_45:3276:5210:-1 gene:TanjilG_31180 transcript:OIW21250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSSGVRKGAWSKEEDNLLRACVQQYGEEKWHLVPKRAGRLPGRTANDVKNYWNAHIHKKISSQNEDISAIPMKIVMEPHLVIKPQPRKFSTKMPLLRHSENQSGFKQCITNQACLATTSECNNENDMCWLGEQDWMLLKDLNWDEDLNSLTTKFDDIVEIDQCWSDVHFDINLWES >OIW21251 pep supercontig:LupAngTanjil_v1.0:Scaffold_82_50:25527:49619:-1 gene:TanjilG_31181 transcript:OIW21251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRLKVGEGGKDPYLFSTNNFAGRQTWEFDPEAGTPEERAQVEAARQDFYNNRFKVKPCRDLLWRFQNEDGGWGLHIEGHSIMFCTALNYICMRILGEGPNGGQGNASGRARKWIHDHGGVTYIPSWGKTWLSILGLFEWHGANPMPPEFWILPSFLPMHPAKMWCYCRLVYMPMSYLYGKRFVGPITPLILQLREELYTQPYEKINWKKARHQCAKLVRERALQVTMNHIHYEDENSRYITNGIVEKILCMLACWVEDPNGVAFKKHLARIPDYLWVSEDGMSIQGLSSQGWDASFVVQALLASNLVDEIGPTLAKGHDFIKKSQVRDNPQGDFKNMHRHISKGSWTLSDQDNGWQVSDCTAEGLKSKNGGLAAWEPVKAQEWLEILNPSEFFEGLVVEREYVECTASTIQALVLFKKLYPEHRTIEIENCIADAVRFLEETQTSDGSWYGNWGICFIYGTMFGLGGLAAARKTFTNSAAIRKGVKFLLSIQREDGGWGESYLSCPKKIYIPLEGSRSNLVNTAWALMGLIYAGQAQRDPTPLHRAAKLIINSQLEEGDWPQQEITGVYLKNSMLHYSMYRDTFPMWALAEYRRHVPLP >OIW21252 pep supercontig:LupAngTanjil_v1.0:Scaffold_82_53:8404:15063:1 gene:TanjilG_31182 transcript:OIW21252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNHHHLHAPLRSNPSSASSSSHSFASKILFLLTLLPLSLAAIAFLLQWRGGITDPATLLSPPGSLQFPGMESSSLSPLSHSSLSSSSSDCVHLGRTNSPSFPYYHNWKLDFGASLRPKICVTTSTSAGLEQILPWMFYHKVIGVTNFFLFVEGKAASPEVSKVLESIPGVKVIYRTRKLEEQQAKSRIWNETWLSSFFYKPCNYELFVKQSLNMEMAIVMARDAGMDWILHLDTDELIHPAGAREYSLRQLLLDIPGNVDMVIFPNYESSVERDDIKEPFSEVSMFKKNYDHLPKDTYFGTYKDSVRGNPNYFLTYGNGKAAARIQDHLRPNGAHRWHNYMKTPNEIKLEEAAVLHYTYAKFSDLTSRRDRCGCKPTKEDVKRCFMLDFDRSAFIIASTATEEEMKKWYHEHVVWDDKDLRIKLLRKGILTRIYSPMVIIQSLRESGVFSSIIASAPKLSKENFLLSIDSSNSTRAVASVSLPSRKIGRTKDSKATKRKVLDIESAVFHEVAVPPLSPPGVHDSDLILLS >OIW21253 pep supercontig:LupAngTanjil_v1.0:Scaffold_82_60:4643:6315:1 gene:TanjilG_31183 transcript:OIW21253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATFSLISNSLSNSLTFLSPPPSSRAPPFPISPELHFSAADDSHRRKPRGAMVATRAGPSTSSLVFAFTLPLSLVAVTVFASIRIADKLDQKFLEEMAMNEAIMEVDEFDKDNDDDEDDDVETYLQEEPVFPHALNRPDVDTSLQEEPAVPRGRNRPKREA >OIW21254 pep supercontig:LupAngTanjil_v1.0:Scaffold_82_60:34086:36263:-1 gene:TanjilG_31184 transcript:OIW21254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPQAPTPTKANKYYFFYGHRNPSQNRPTVRGGLFSNRQTLNPSKPNKNTESLPFDIHKWDPHFLRNNNNSPHSSSGPSPEASFSVSRRLSPIARLILDAFRKNQNRWGPPVIAELNKLRRVPQNLVAEVLKVQIDPTLASKFFHWAGKQKGYNHNFASYNAFAYCLNRSNRFRAADQLPELMDSQGKVPSEKQFEILIRMHSDANRGLRVYHVYEKMKKFGVKPRVFLYNRVMDALVKTGHLNLALSVYDDFSEDGLVEETVTFMILIKGLCKAGRIDEMLETLGRMRTKLCKPDVFAYTALVKMLVPEGNLDGCLRVWEEMKRDRVEPDVMAYATIIIGLSKVGRVEEGYELFKEMKKKGHLIDRAIYGSLIDSFVTVKKLGSAFDLLKDLVNSGYRADVEIYNSLIEGLCNANKVEKAYKLFQVTIQEGLEPDFLSVKPLLVSYAEAKEMEEFCKLLKQMQKLGFPVIDDLSQFFSHLVEKKGAILALEVFTHLKEKGYVSVKIYNILMDSLHKIGEMKKALLLLDEMNDSNLKPDSFTYSTAILCHVDLGEIQEACVCHNKIIEMSCVPSVAAYSCLAKGLCKIGEIDPAMMLVRDCLGNVTSGPMEFKHSLTIIHACKSNDAAKVIDVLNEMIQQGCPPGNVAYSAVISGMSKYGTIEEARKVFSNLRERKLLTEAETIVYDEFLIDHMKKKTADLVLAGLKFFDLESKLKSKGCMLPS >OIW21255 pep supercontig:LupAngTanjil_v1.0:Scaffold_82_73:42761:47854:1 gene:TanjilG_31185 transcript:OIW21255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RRKWMTLPSSKEPPPSKETRICEAEDEHSKQALTVAIASAAEAAITAAHVAVEVVRLNYAHQCREKQEEFQDVKTKHGAAAQPTYQWETEECSAIKIQTAFRGYLARKALRALKGIVKLQAIIRGRSVRRQAMSTLKCLQSIVSIQSQVCARRLQMVEGKCECDENEGMEGSKDKIIRMDSNKEKRWDDSILLKEELDASCIIKKGAVLKRERIKEYSFNHRRSAESERKKVNGRWRYWLEQWVDTQLSKSKELEDLDTIFSSHSRSGEEYRTRQKLRDNIQRHNQVEALDSPIVAPKKSFPYTKLSSEGEDHSFPNSPKIPTYMASTKSAQAKVRSTSSPRVRTGMHLDMNSDSNSPCKKKLSIVTSISTEVLSNNGKIVKLSSTQQRSPSLKGISRPIKSSQTMKDLSINSECSMLNWDQ >OIW21256 pep supercontig:LupAngTanjil_v1.0:Scaffold_82_75:31657:34027:1 gene:TanjilG_31186 transcript:OIW21256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIKLLQPVTASLSSKRKTSYPSFSLVREHNNLFLRGFMILLLSCITIQLNFCISSIPSSLKALPLEGHLNFDEVGLSQASRDFGNRYHTQPMALLHPKSVSDIAVTIKHIWNLGPSSQLTVAARGHGHSLQGQSQAHGGVVINMKSLIAPEMKVYAGELPYVDVSGGELWINILHETLRYGLAPRSWTDYLHLTVGGTLSNAGVSGQAFLHGPQISNVKQLEIVTGTGEVVNCSEEQNRELFHSVLGGLGQFGIITRARILLEPAPTMVKWIRVLYADFTAFTRDQEKLIFMENAFDYIEGFVIINRTGLLNNWRTSFNPQDPVQAHKFKSDGRTLFCLELAKYISMEETHAVNQEKAIMACANIALHATHVAQGSRPGTLAKN >OIW21243 pep supercontig:LupAngTanjil_v1.0:Scaffold_82_9:5217:9953:-1 gene:TanjilG_31168 transcript:OIW21243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSHPNIMLVEKKFGRNLYYYFVASEGNPSKDPVVLWLNGGPGCSSFDGFVYEHGIDNGVKPKLNFKGYMIGNAVTDYKFDSNALVPFAHGMALISDKLFEAVVRLNIYDILEPCYHRSETLVNKTDLPLSFRKLGETKKPLPVRKRMFGRAWPLGAAVKDGIVPNWPKLSQGRSVLCTDDEVATEWLNNDAVRKAIHTVEKSIVGEWDLCSDTLAYNHDAGSMIEYHRNLTSKGYRALIFSGDHDLCVPYTGSQAWTRAMGYNIVDEWRPWFVEDQVAGYFYYSFILIAYC >OIW21259 pep supercontig:LupAngTanjil_v1.0:Scaffold_83_16:11250:15089:1 gene:TanjilG_31230 transcript:OIW21259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNVGSSSGVNNRRRSSGSSSGRRIHPPPPPQVTSQPETTANRYMYPASATMPYHNYPGYYPPPPPTMPMPLPAPYDHHHRPVMDPMWGRYPQPHVQVPPQPPMMPYVEHQKAVTIRNAVNIKKESLRIEPDEENPGRFLVSFMFDATIDGSITIIFFAKEGEGCILTPMKEDTLPPVTVNFQQGLGQKFKQPAGTGINFSIFEESELLKVIDMDVYPLAVKADASSHDGDGSNETPTSGSTNSQITQAVFEKEKGEFLVKVVKQILWVNGMRYELQEIYGIGSSVESDLDGNDPGKECVICLSEPRDTTVLPCRHMCMCSGCAKVLRFQTNRCPICRQPVERLLEIKVGPEPEE >OIW21258 pep supercontig:LupAngTanjil_v1.0:Scaffold_83_16:3537:3956:-1 gene:TanjilG_31229 transcript:OIW21258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHCRVDMDRRNQNAGGVQGFAGGEGEGAMQPGEGDSGEGVRRSTMKFPYEIFLSLRNHTAMATSSATVSIKKPVTQVIFYMDGLLLDTENFYTQVREIIFARYNKTFDWNLRAKMMGMKAIEVARVFVEDTGISDSLSV >OIW21260 pep supercontig:LupAngTanjil_v1.0:Scaffold_83_16:16283:17755:-1 gene:TanjilG_31231 transcript:OIW21260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTTKFDKNNNKNKKNSMDSKVFKFNILNGSIAKQAFFRALFLASAISLVSLYLFLSTMDFVSLTPKTYIDCLSESSQSQSKEATVTPGSYLFQSRVLNTFWGSFESLSCSKHLNLTLSVVKKLIGKRLLTREAESLCLGQDSSIVVSAMQQLGFSSIKGVYNHSVFSIKQKKNIVHELQYLDCSFDFVFSKDLDNNSVPASLVFEVERILKPGGIGALLVGPAYGSVSSLLRSSNVVHVDHVNELSVVVFKKRSENRSSLFCKYNFPSECASVTLTKPFIELMEPLVDEITKQTPPFEYEKRISYLPKFVDLFTRKKLVYIDIEVEGLLNANVTDWFLPFYPIDQKAFNAYLVHHNTSIMLSHVKRPGVTFVYHPRLAGNIQDPNVEEELVFLKWFQETVRQSDFVVLKMNAGKAEMKLLTDLVKSGAICSIDEIFLSCSGSGDGNGKTLSRKKSCMDIYKGLRSCGVYVHQWWETKLHQGLSQVVNVQ >OIW21257 pep supercontig:LupAngTanjil_v1.0:Scaffold_83_7:22018:24691:1 gene:TanjilG_31207 transcript:OIW21257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNVGSSGINNRRRSSGSDSGQRIHPPPPQPEITANRFIYQEAATTPYQTYPGYYPPPSPTMPLPTAYNHHHHRPMLDPMWGLYPQPQLQPPPPPMPYVEHQKAVTIKNAVNIKKETLRIEPDEDNPGNFLLSFTFDATIDGSITILFFAKEGESCILTSMKENTLPPVTVNFQQGLGQKFRQPNGTGIDFSIFEESELLKVDDMDVYPLAVKASASSGDHDGSNETPLPSNTNSQITQAVFEKKTSEFWVKVVKQILWVNGMRYELQEIYGIGNSMENDLDGNDPGKECVICLSEPCDTTVLPCRHMCMCSGCAKVLRLQTNRCPICRQPVERLLEIKVGPSLRSEDKC >OIW22039 pep supercontig:LupAngTanjil_v1.0:Scaffold_843:2301:3707:-1 gene:TanjilG_31345 transcript:OIW22039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHHSCCNKQKVKRGLWSPEEDEKLINYITTYGHGCWSTVPKLAGLQRCGKSCRLRWINYLRPDLKRGSFSAQEATLIIELHSILGNRWAQIAKHLPGRTDNEVKNFWNSSIKKKLLSHDVVPSLSTFSGNGSVESFYPLSTENPILNSHHHYHHLDQLYLPIPSPILQGNCDHNDIKLDINNYNTNFLHIQNPMPQTVSSNNPSFFEDSWSLGSVPVHLNPNQELQITKSDVEKLISPSTLQHYDLVEPNLTVPKVCDSIEDYVCSIPYNSSASLEQHEALARIQCYTPSICPQDDQTLVETNHVEYIDAFIMSSLASSTTSSSIVAKTIIPSSFEYS >OIW22040 pep supercontig:LupAngTanjil_v1.0:Scaffold_845:1326:1841:-1 gene:TanjilG_31346 transcript:OIW22040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFKLNKRVTRVTRWIFTRFFSTRPGYRRMGSSSSSSSSRKPMTKKLHSLGRKLTIRARSLCSSAKFGSRYEPIGSDPVQDTVPKGHLAVYVGQKDGDGDFCRVLVPVIYFNHPLFGELLKKAEKVYGFEHQGGITIPCRVAEFERVKTRIESGFGVNSGCRRLALPWFGK >OIW22041 pep supercontig:LupAngTanjil_v1.0:Scaffold_850:300:1151:1 gene:TanjilG_31409 transcript:OIW22041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGRSYGLQNMDSVEDWGDGSWTVDCICGVTFDDGEEMVKCDECGVWVHTRCSRYVKGDDTFTCDKCKPNIDVVIAATMHNTEETEVTHLSDDHPTKTTSLKNKNKHNQIAVSEVDSSNSWTPFKLWTKKPIEERVHVQGIPGGDPSIFATLGKNSIFGPQLWKSTGYIPKKFNFQYEEFPCWDNDNHNGTGVLFSFSKDTSPVLASSLVASIDLRSDEKQTMAAKSLKEVNKVGNEDGGNVHNDVRDEDQSGKKKQKKMKISDKMVDTKKRSSHSSRTGDFI >OIW22042 pep supercontig:LupAngTanjil_v1.0:Scaffold_850:3870:4721:-1 gene:TanjilG_31410 transcript:OIW22042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGRSYGLQNMDSVEDWGDGSWTVDCICGVTFDDGEEMVKCDECGVWVHTRCSRYVKGDDTFTCDKCKPNIDVVIAATMHNTEETEVTHLSDDHPTKTTSLKNKNKHNQIAVSEVDSSNSWTPFKLWTKKPIEERVHVQGIPGGDPSIFATLGKNSIFGPQLWKSTGYIPKKFNFQYEEFPCWDNDNHNGTGVLFSFSKDTSPVLASSLVASIDLRSDEKQTMAAKSLKEVNKVGNEDGGNVHNDVRDEDQSGKKKQKKMKISDKMVDTKKRSSHSSRTGDFI >OIW22043 pep supercontig:LupAngTanjil_v1.0:Scaffold_856:555:2406:-1 gene:TanjilG_31412 transcript:OIW22043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHVTNSIIGRYVLAIVIAMVVFLPNFTYAKKSKIIGLKMNVIDKCWRSDPEWRKHRPQLATCSIGYVGKMTNNIGEGLIHYKVTDPSDDPINPKYGTLRYGASVIQGKVWITFQRDMVIRLVRPLLISSFTTIDGRGVDIHIAHNACLMIFKATNIIIHGLRIHHCKPQAPGMVMGSNGKVMPLGQVDGDAIRLVTASKIWIDHNTLYNCQDGLLDVTRGSTDVTVSNNWFRFQDKVMLLGHDDGYIRDQNMKVTVVYNHFGPNCNQRMPRIRHGYAHVVNNMYLGWLQYAIGGSMEPSLKSEANLFIAPPSGSKEVTWRKGSRANGDKWEFHSVKDIFENGASFKTTKGGYVRKPNYTKDQAFNVADAKSVRSLISSSGALRCSKTSIC >OIW22044 pep supercontig:LupAngTanjil_v1.0:Scaffold_859:1161:3495:1 gene:TanjilG_31413 transcript:OIW22044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSLERVDLDGNQIKPITICMIGAGGFIGSHLCEKIMNETPHNVLALDVYNDKIKHLLEPDNLPWHGRIHFHRLNIKNDSRLEGLIKMSDLTINLAAICTPADYNTRPLDTIFSNFIDALPVVKYCSENNKRLIHFSTCEVYGKTIGSFLPKDSPLREDPAYYLLKEEDSPCIFGSIEKQRWSYACAKQLIERLIYAEGAENGMDFTIVRPFNWIGPRMDFIPGVDGPSEGVPRVLACFSNNLLRGEPLKLVDGGESQRTFVYIKDAIEAVLLMIENPARANGHIFNVGNPNNEVTVRQLAEMMIQVYSKVSGEQTPETPTIDVSSKEFYGEGYDDSDKRIPDMTIINKQLGWNPKTSLWDLLESTLTYQHRTYAEAIKKVIAKPIAT >OIW21281 pep supercontig:LupAngTanjil_v1.0:Scaffold_85_100:15757:18169:1 gene:TanjilG_31396 transcript:OIW21281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGSMCFMSGSIEMEKAYLPENEGGLWQWLFGKTIASIVLSNSGPSDGFVGISAPSFARILPIDLAMFNGEILCQPDAFLCSVNDVKVINTVDQRGRYVIGGAEGFLRQKLVGQGLAFILASGSVVQKNLEIGEVLAVDVSCIVAVTSAVDIQIKYNGPARRAVFGGDNAVTALLTGPGIVFIQSLPFNRLSQRIARAVTSPNMRENPKFFIQIAIFFFLAYVVIVSSLILTDI >OIW21282 pep supercontig:LupAngTanjil_v1.0:Scaffold_85_101:3700:11028:1 gene:TanjilG_31397 transcript:OIW21282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRIQRSRRSQISTEASRFQGSAFAISRLCVRDFKILSLLIRDFRLQSESDSQFRVLKESEFSDALGFCADEVEDLKNSGSVLIVVAIKEKRKYTTMAVYYKFKSARDYDSIPMDGPFISVGTLKEKIFETKHLGRGTDFDLVVTNAQTNEEYLDEAMLIPKNTSVLVGRVPGRPRLPIVTKIEQKLEIKTAETEPDNSSLPVANTSAMGYNEDIYEDEFGNDLYPNPDAQPVQSSNFGLEAPPTNNADEDLDSKIKAVVDTPALDWQRQGSDFGGGRGFGRGMGGRMGGGRVFGLQQKTPPQGYVCHRCKVSGHFIQHCPTNGDPNFDVKRVKQPTGIPRSMLMVNPQGSYALPNGSVAVLKPNEAAFEKEIEGLPTSTRSVGDLPPELHCPLCSDVMKDAVLTSKCCFKSFCDKCIRDYIMSRSMCICGATNILADDLLPNKTLRDTINRILESGNSSAENVGSAFQVQDMESARCPQPKIPSPTSSAASKGELKVLPVHEGTTSIQETADDTKAVSPPHQTSEQVRITRAADVSEATHESMSVKEQASQGSARPVEEEVQQNLVPTEAGKKKKKKKICLPTNDMQWKNLHDFPTENYMMPMGPPAGYNSYWNGMQPCMDGFMAPYAGPMQMMGYGLGPLDMAFANGFPPDPFGMQGYMMPPVPPHRDLAEFSMGMNAQPPAMSREQFEARKADLRRRRENERQDGRDFSKDREFVAREVSSVGNGSSMKLKTKSSIPAPSSGSDYHSQRHRSERLSPDRSHSPIKVEPPRPPKRKSDQDGDRDRDYHDHERHRHHHRRHHSESSSRKSTETSLTKTTTSTAAEEAAADRKHKASVFSRISFPKKRKISTAKTESPVVTTTATASVSAASLKAPVSNGHYGVSRKSNNMDYESSDDERHFKRRPSRYEPSPPPPPSDSRGTRERKHR >OIW21283 pep supercontig:LupAngTanjil_v1.0:Scaffold_85_102:7409:8094:-1 gene:TanjilG_31398 transcript:OIW21283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIEVEPPSPMRYLMGAAVMMIGVVLPVGYMMFRNKRVPSKQTDVLVLLCQEQGFDIERHVDDDDGDGDDMRRF >OIW21263 pep supercontig:LupAngTanjil_v1.0:Scaffold_85_11:7730:12702:1 gene:TanjilG_31376 transcript:OIW21263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAIGISRKRKSSSSSRPHPLSTPLTRSKSQIFLHRNRSGHLHYDSHLRPQPYAGSRRSRSSLTGFGSDDRKRLSEDGGGSGNVDAVAVIEDLRKKEELKLEPFGEGSSGEDLCCLMIKYLRLRRVYSPQSSTHACSEKISPVENSLMDSDVGDQKIGELGFGESIVEVENRGDEKEGFKVKGNCDMNADDEISMRIVDTESPNLGLLREDLNFNKGNSETSNESRKLHDEQCDGKNGGSCSEKVEDLDEELVVTTPPDAKIYHNSEVNGDEGKHIEEVRVEDTFFVGNAEKHVGKDFCPKDDDSQRNVSVLKGKYALRPCSQEKLFKIPGAVSYKRMFPFLREIMGDDFGTSKMGYCQENEKGINGGQEFQLTLSSQSKKEGSKCELKTDSCTLLDTSQPEASEGDAVIMHIDELSHGNTSKLKTKEVTTECFSVPSLNNICLSESKAGPNADFHSVSDGGHALLNDDFKHDSNHKCNLGHALPTNHGGHNSEQFAVLNEECILRTPPDVVIRDNPKVNLCQLVSMPQDVHHVNRMGLTSIPENAEDFFVTYDERKDSAPKSKSVPGPNLNRKLFKAPGSISFRRMLPFLKDLTKDDSGREASIDEHKTYSGPTHGTIKCNALVNNVLVDPANEISHGNQPQLTPSPSLPESSVQLDAKVVHELSASSLSEHIKNVETGSKDICLSDSKFDLRSVMAESQCVKKVANDVQNDGFEPVQNKIYRQNTSESKPEAQNLLYIHGDICSLASENCSSKEIGLTMNCHKRKQFEGVEKHESFIRNPTEGHAIIRRDNAVVSNHVSVLNEESSDKNIYEKSNMPKHGNVKAENDLNAIVYGPRVLLKGSNKSASRVREIRNGSKSKTTMALNRCSQVKLLKHDGSFGYKRMLPFILNTMKDTPCVSAIDHYPKLQKCLDQTSLLPISASDLQVTPISGSNGCIPRDDRRRNSGTQHETGSHTHDSNNKNSSPISQIPESQSSHYSCEVIQLQDEQVVLNRCCNLESSPDPIVSVHKINSPVTPVKRSNFSLISSNGKKTPETQGYCQILSQQKVLEQLRVKKGILKRNPRGCRGLCTCLNCVSFRLHAERAFEFSRNQFLEAEEVTVDLINELSHLRNMLERSADRVNGNPVFDGSQVEKACEKAFATEQLAKDRLSQMNDDLNIHCRIKVMFLVTQ >OIW21264 pep supercontig:LupAngTanjil_v1.0:Scaffold_85_31:2899:19531:-1 gene:TanjilG_31379 transcript:OIW21264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESFKSPFLFSTPLLPPPLKSTTTTTTKKLIIPKIYSSLHRDPWSPIDGDIAKPKPRTKDPSNPLSDDNARRIINGKARYLSVLRRNQGPQAQTPRWIKRSPEQMIQYLEDDRNGQLYGKHVVAAIKKVRALSQRVDGEYEMRMVMGTFVGKLSFREMCVVLKEQKGWRQVRDFFAWMKLQLSYRPTVIVYTIVLRLYGQVGKLKLAEETFLEMLDAGCEPDEVACGTMLCSYARWGRHKAMFSFYSAVKERGIILPVAVFNFMLSSLQKKSLHRQVALLWMDMIGKGTVPNDFTYTVVISSLIKEGLHKEALRTFDEMKNNGFVPEEVTYSQLITLSAKNGNRDEVLRLYDDMMLRRIVPSNYTCASLLALYYKYEDFPRALSLFSEMVRNKIPADEVIYGLLIRIYGKLGLYEDAHMTFEEIKRKGLLTDEKTYLAMAQVHLSSGNADKALAIIELMKFNNVWFSRFAYIVLLQCYVMKEDVESAEGSFLALCKTGPPDAGSCNDMLSLYIRLNLMNKAKEFIVRIRESNILFDEVLYRMVMKVYCKEGMLLEAEQLTNRMVKNESLRKYKFVQTFNRILCQCKEDVQSDDELLAIEPIDKLDTTALGLMLSLYLTNGNFSKTEMLLKLLLGYTGGSKIVSQLITSLTKEGEISKAESLNNQLIRFGYGMDEATAASLISRYSKQHMLKQAEDIFEAYVKSPISSKLLYNTMIDAYAKCGEQRKAYLLYKQATEEGHELGAVGISIVINALTNEGKHQEAENIIRKNLEGNSELDTVAYNTFIKAMLEAGKFQYASSIYEHMCSISVAPSIQTLNTMISVYGKDQKLDKAVDMFNKGGSLGLPLDEKAYMNLIGYYGKAGMMREASQLFIKMQEEDIKPGMVSYNIMINVYANAGVHHEAEKLFVAMQRQGCSPDSFTYLSLVQAYTVSQNYPKAEETIHAMRSKGIPPSCAHFNILLSALAKTGLISEARRIYEQLSTFGLVPDLVCYRTMVKGYLEHGYVEAGIDFFESISKSIKGDRFILSAAVLFYRSAGNEGKAKEIMISMNHMKVPFLKKLVVGSRMKVKTP >OIW21265 pep supercontig:LupAngTanjil_v1.0:Scaffold_85_36:4215:4409:1 gene:TanjilG_31380 transcript:OIW21265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTSTSDLVKVKVNGYDNNREENNLELEDEHVEKLLKPSTKEQLHTHIKKDIEKKISIWLHQFS >OIW21266 pep supercontig:LupAngTanjil_v1.0:Scaffold_85_42:3465:4154:1 gene:TanjilG_31381 transcript:OIW21266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNPATELVPQPSSPTNSSISSSDLDTESTGSFFHDRSTTLGTLMGVNFPAITFRAQSQQQESHSAAVDAASVEGSRRTAKSKKVNSAAIVVAERRRRWWSLCRDGNTRPSSLGEFLEVERRFGDGAFYGTAAELEGMVMDPQRNHGQALFADGRILPPTVVENGASTAGSLCSRFPVSLTGICSSGA >OIW21268 pep supercontig:LupAngTanjil_v1.0:Scaffold_85_45:68934:71395:-1 gene:TanjilG_31383 transcript:OIW21268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNPFTLFLFLFLLLLPLAKPDLASQRAALLSLRSSVAGRTLFWNATNQTPCNWAGVKCDQDRVVELHLPGVALSGQIPTGIFSNLTHLRTLSLRFNALTGNIPSDLASCVNLRNLYLQRNLFSGPIPQFLFDLPDLVRVNFGFNNFSGQFSTGFNNLTRLRTLFLENNQLQGSIPDLNKVVNLEQFNVSNNLLNGSVPLKLQTFTKDSFLGNSLCGKPLSLCPGDGGDNVPSGEIGNNEGKKKKLSGGAIAGIVVGSVVGLLLVVFVLILLCGKKRSSKKSSSVAVATVKDHKLEVNGGENKVNDVENSGHANGNGYSVAAAAAAALRGNGNKGSEVVSGGGAKKLVFFGNSARGFGLEDLLRASAEVLGKGTFGTAYKAVLDVGPVVAVKRLKDVTISEMEFKEKIELVGAMDNENLVPLRAYYFSRDEKLLVYDYMSMGSLSALLHGNKGAGRTPLSWELRSAIALRAARGIEYLHSQGPNVSHGNIKSSNILLTQSYEARVSDFGLAQLVGPSSTPNRVAGYRAPEVTDPRKVSQKADVYSFGVLLLELLTGKAPTHSLLNEEGVDLPRWVQSVVREEWTSEVFDLELLRYQNVEEEMVQLLQLAVDCAEPYPDKRPSMSTVAQSIQELRRSSLKEDQNQIQHHDLINDI >OIW21267 pep supercontig:LupAngTanjil_v1.0:Scaffold_85_45:38239:38730:-1 gene:TanjilG_31382 transcript:OIW21267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNAALCTPSLISNGVYKVLYLDGRLEFYTKPMRASELMLEYPGQFVCDSSYLEVGHRIQGLLADEELERRKFYFLLPMDLLYSVLTHDEMSSFNYKASKALKHGSFNNLGKIFPVCMFLPSEPKKIEAYSEVIIEPEPVERYLKQRSWRPALETIDETQSRT >OIW21261 pep supercontig:LupAngTanjil_v1.0:Scaffold_85_6:8215:19217:-1 gene:TanjilG_31374 transcript:OIW21261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSIPITFNHVCTFSHYSSDHALPMFLTRIKVSSTKWGCGRVSSSKLSHFRVSCHSKTETVQRRRCSPFLESSLPFGNGSVASDEWKAVPDIWRSSAEKYGDKIALVDPYHDPPTTMTYKELEQMILDFAEGLRVIGVRPDENLALFADNSCRWLVADQGMMASGVVNVVRGSRSSAEELLQIYNHSESVALAVDNPDMFNQIAKAFYSKTCMRFIILLWGEKSDLVGEQNMEVPVFSFTEVIDLGRESRMALSDAHKQHYVYEAIKSDDVATLVYTSGTTGNPKGVMLTHGNLLHQIKNLWDIVPAEVGDRFLSMLPPWHAYERACEYFIFSRGTEQVYTTVRNLKDDLGRYQPHYLISVPLVFETLYSGIQKQISTSSFVRKLVAQTFITVSLAYMEYKRIYEGKCLTKNKQQPSYVNSMLEWLWARAIAAILLPVHLLAKKLVYSKIHSAIGISKAGISGGGSLPWQVDKFFEAIGVNVQNGYGLTETSPVTAARSLRCNVIGSIGHPVDHTEFKVVDSETDEVLPPGSKGILKVRGPQVMKGYYKNPSATNLAIDGDGWLNTGDIGWIVPHHSTGRSRKSGGVIVVDGRAKDTIVLSTGENVEPAELEEAAMRSSLIQQIVVVGQDKRRLGAVIVPNKEEVLKVARELSIIDSNSSEVSEEKVTSLIYKELNTWMSESSFQIGPILLVKDPFTIDNGLMTPTMKIRRDKVVTQYRDQIDNLFK >OIW21262 pep supercontig:LupAngTanjil_v1.0:Scaffold_85_6:25927:38683:1 gene:TanjilG_31375 transcript:OIW21262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTASFSSAISPSSSSLISPPESFLQHRNKIFHSPFSLSPLNHSHSLRKSLILPRGRESPSIRIRASLTDVSPKVSVEEKQLPKGESWSIHKFGGTCVGTSQRIKNVADIVINDDSDRKLVVVSAMSKVTDMMYDLINKAQSRDEAYISALDAVLEKHSLTAHDLLDGDSLATFLSLLHQDISNLKAMLRAIYIAGHATESFTDFVVGHGELWSAQMLSLVIKKNGTDSKWMDTREVLIVNPSGSNQVDPDYLESEKRLEKWYSLNPSKVIVATGFIASTPQNIPTTLKRDGSDFSAAIMGALFRARQVTIWTDVDGVYSADPRKVSEAVILKTLSYQEAWEMSYFGANVLHPRTIIPVMRYGIPIVIRNIFNLSAPGTKICDSRINDYEDKENPTTHVKGFATIDNLALINVEGTGMAGVPGTASTIFSVVKDVGANVVMISQASSEHSVCFAVPEKEVKAVAEALQSRFRHALDAGRLSQVAVIPNCSILAAVGQKMASTPGVSATLFNALAKANINIRAIAQGCSEYNITVVVKREDCIKALRAVHSRFYLSRTTLAMGIIGPGLIGSTLLDQLRDQASVLKEEFNIDLRVMGILSSKSMLLSDVPDDRFYLSRTTLAMGIIGPGLIGSTLLDQLRDQASVLKEEFNIDLRVMGILSSKSMLLSDVGIDLARWRELRKENSEVTNLGKFAQHLHGNHFIPNTVIVDCTADSVIAGYYYDWLRKGIHVVTPNKKANSGPLDQYLRLRALQRQSYTHYFYEATVGAGLPIVSTLRGLLETGDKILQIEGIFSGTLSYIFNNFKDGQSFSGVVSEAKEAGYTEPDPRDDLSGTDVARKVIILARESGLKLELSDIPVESLVPEPLRVCASAQEFMQQLPKFDEEFGKKQHDAENAGEVLRYVGVVDVTNKKGFVELRRYKKDHPFAQLSGSDNIIAFTTRRYNQQPLIVRGPGAGAQVTAGGIFSDILRLASYLGAPS >OIW21269 pep supercontig:LupAngTanjil_v1.0:Scaffold_85_60:11437:12655:-1 gene:TanjilG_31384 transcript:OIW21269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMCLVARTGRHLQRYDGGCRQVVGCIPYRYRRKGNQNMELEVLAISAQKGNGMQFPKGGWEIDESMEQAALRETIEEAGVVGSIESKLGKWLYKSKRQDSVHEGYMFSLLVKKQLENWPEKNIRNRRWMSVAEAKEVCPYTWMKEALDVLVSRQNLNPRQKGEERH >OIW21271 pep supercontig:LupAngTanjil_v1.0:Scaffold_85_62:30139:31002:-1 gene:TanjilG_31386 transcript:OIW21271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGDNGLNMRNWGYYEATTPFKNHLGLQLMSSMPEKQPLLGARNAVAPFHHRDVGMSQPAYPMEYMRNAWIGHSHRDRFMNMNINMIPTNHNYSLAPETSSAHQIQMVEEQQQPTELSEEETPTEEETPVEKVNGTGKKRGKGSKVPKALKAKKTKRGPREPKDENAPSVQRARTVRKCAEIVINGIDMDLSSIPIPVCSCTGALQQCYRWGSGGWQSACCTTGISIYPLPMSTKRRGARIAGRKMSIGAFKKVLEKLAAEGYNFSNPIDLKTYWAKHGTNKFVTIR >OIW21270 pep supercontig:LupAngTanjil_v1.0:Scaffold_85_62:10912:11172:1 gene:TanjilG_31385 transcript:OIW21270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMECGKNGECVKDEKFEQKGVSIHSQVRKIKQESSSEKIVDWSPGQPEIRPIFRELNRQVSRSRLGTSGRPIPVGDVVSVLNYGQ >OIW21272 pep supercontig:LupAngTanjil_v1.0:Scaffold_85_76:18848:21358:-1 gene:TanjilG_31387 transcript:OIW21272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLANNSLSPPSSDPFSCGENGISASNTRKRRPAGTPDPDAEVVSLSPKTLLESDRYVCEICNQGFQRDQNLQMHRRRHKVPWKLLKRETPVVRKRVFVCPEPSCLHHDPCHALGDLVGIKKHFRRKHSTHKQWVCERCSKGYAVQSDFKAHVKTCGTRGHSCDCGRVFSRVESFIEHQDACTLGKIRQEPQTLQAPCLSRTASSPSPSSETNFSTAPWPPSLVIPKNHLVVKATQEKHTFLNPTTTTTTIVATNAETSSKNNNSNNNKLIHPNLDLKLSTIDHHVVAVPISLSSPKNICGNGLQLSIGSSDISDKSTQSNNSIEGEGHLREQAREELKIAMAEKAYAEEARKQAKTQIELAELEFENAKRIRQQAQGELNKAYGLKQHAIKQINSTMLQITCYSCKQHFQASSEEGGNIEKNGNEKQHAKTTNS >OIW21273 pep supercontig:LupAngTanjil_v1.0:Scaffold_85_82:28465:33412:-1 gene:TanjilG_31388 transcript:OIW21273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSATSIATARLSCCNNTHSPLLLLTELVWPTHTNSIRIRRIRNVGTFTSKLFHKKRSRFCVEAAANVNAISSSRGDREEGGFDRELRSVLELATDSELYEIEQILFGRSYFSPLLKSVTSTTKVDFDRSMIGVDLQERKQFIAALESRFFFLAADARSTLRGWRPSYRNVLLQVIKKMNIACSTKLSTQDLEVEIFLYLLHQNSSVESENYPGLLDVGTTADGQNTLELGLPQSLAALKLGVDDLRSIILKGGSVFTLAKIYQLLARKLSGKVLVEAANYQIKKELMKKGGQLAIINLESRAALLAAKQGFLGAASKYLGFRSMLSFLGPVTETTFSGT >OIW21274 pep supercontig:LupAngTanjil_v1.0:Scaffold_85_88:4815:14679:1 gene:TanjilG_31389 transcript:OIW21274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETNSVDVILDFLRKNRFTKAEAALRSEINNRPDLNSFLQKLTLEEKASRDMPQNDKGKLAIEIQGVDSRESVDVSKELIVKEIECGTGRNATETKWKTSAPSTSERNKSNELVGTSDKHLTFTKSLEDSTLDLYSLKSYPSNGPVEPYQNDAHSRANNIFKASISQQSKYQTKEDVASVATNSNTKPGEESTVFAANKSLWLGSSSKASVQPKYDLVVQDKELREHDLQLKFNSSSLKVNFSDNPWSRTDENMNSSSDPWDCSVKTFFPFPKGDMSTSFDGAAYSDKNEEKRQVEISDIRASIKEQVDEVGRAIFLGKSQGSSELKNIGSLSFPLVSENQREEFPRLPPVKLKSEDKPLAVNWEEKFERDGPTSKFSGADSTLYIGSYLDVPIGQEINPSGMKRAAGGSWLSVSQGIAEDTSDIVSGFATIGDGLCESVDYPNEYWDSDEYDDDDDVGYMRQPIEDETWFLAHEIDYPSDNEKGTVHGSVPDPHESGPAKDEDDDQSFAEEDSYFSGEQYLQVSNVEPVTASDDPIGLTVTEMYGRTNDNDLMAHYDGQLIDEEELNLMRAEPVWQGFINQTNELIMVGDGKVLNDGGRSQLEDVCMDDDQYGSVRSIGVGINSDAADIGSEVHESLVGGSSEGDLEYFCDRYVGAGGSRHSHHDFDKNSVSKSIKDKKKNDKSESNKHVTGGGKDAQLQMKTHGDVNFSFPLSSKDDQMVQAASKQSPWPSNCNVDETDDRLNAFVGSDGMLNSWKRKSSDSSPIKSSRDENNANQVRSRNSSPATVSNYGYSEREHIKPEEDEKVGIAREEDLGASLEDEEAAAVQEQVRQIKAQEEEFETFNLKIVHRKNRTGFEEDKNFHVVLNSVIAGRYHVTEYLGSAAFSKAIQAHDLHTGMDVCVKIIKNNKDFFDQSLDEIKLLKYVNKHDPGDKYHILRLYDYFYYREHLLIVCELLKANLYEFHKFNRESGGEVYFTMPRLQSITIQCLEALQFLHSLGLIHCDLKPENILVKSYSRCEVKVIDLGSSCFETDHLCSYVQSRSYRAPEVILGLPYDKKIDIWSLGCILAELCTGNVLFQNDSPATLLARVIGIIGPIDQSMLAKGRDTYKYFTKNHMLYERNQETNRLEYLISKKTSLRHRLPMGDQGFIDFVAHLLEINPKKRPSASDALKHPWLSYPYEPISS >OIW21275 pep supercontig:LupAngTanjil_v1.0:Scaffold_85_93:2233:2838:1 gene:TanjilG_31390 transcript:OIW21275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEIFSTELSQFLIAVIFFHSSEYVLALVFHGRSNVSLSSLLISKHYILAMIFSLLEYLSESVLFPELKKQRIISHLGLAMVVIGEIIRKMAVTTAGRAFTHLIRTRHDDRHRLVTHGIYGFIRHPGYSGFLLWAVGTQIMLCNPISTVVFAVVVWHFFAKRIVYEEYFLVQFFGKQYEEYAQRVVSGVPFVNWKRGNSVS >OIW21276 pep supercontig:LupAngTanjil_v1.0:Scaffold_85_95:8434:10368:-1 gene:TanjilG_31391 transcript:OIW21276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSQRISTTTHLLEDSSEPDQTPVSSPLAPVSLLGASKSDQDQKQKPIAKKDKVQAILKGIKQSPKKVNLVATLVRGMLVKDALMQLQLTVKRAAKTVFQVINSAKANASHNHGLDSERLIVDEAFVGKGYFKKRVNIHGKGKCGMKVRPECRLTVVLREITPEEEAKIARLRVHNYKKLTKREIKLVPHQLIESNPVWGRKNRSSGQNASAAAS >OIW21278 pep supercontig:LupAngTanjil_v1.0:Scaffold_85_95:51681:55445:1 gene:TanjilG_31393 transcript:OIW21278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYASSVGGRSGSHSLRDPDNRNHNHNTSNSQRRDRRYLRHTSEQIHKLESLFNECPHPDEKQRAQLSRELGLAPRRIKFWFQNRRTQMKVQHERMDNSTLRAENDKIRYENIAIKEALKNCICPNCGPPLNEQNYFDDQKMRLENLHLKEELDRVSSIASKYIGRPISQLPSISPIHISPLDLSMGTNFGTQGLLGIGARVGAGVGSSLNLDLLQGTNNNSMPMLPYQPDSISEMDKSLMSTISTNAFEEFLRLFHTNEPLWVKSTTNSKEFLNLETYERMFPKENTRLKNPNVYVESSRDSAVVMMNSSRLAEMFMDANKWKEFFATIVSTARTIEVISSGIMGSKNGSLQLMYEELQVLSPYVSTREFYFLRYSRQIDQGTWAIVDVSYDFPQNNQFSMQLFSHRFPSGCLIQDLPNGQSKVTWIEHVEVEDKTPPHRFFKNIMYSGLAFGAERWLATLQRVCERTACLMVTANPTHDVGGVITSSEGKSSMMKLSQKMVTNFCANVGTSNEQRWTTVSGLDEVVFRVTAHKSTNPGQSNGAVLSSATTIRLPIPPNIVFSFLKDGRNRPKWDVLANGIPMQEVAHIPCGSHPSNCISVLRPFNSTMNNDMLILQESFIDSSGAIVVYCPVDLPSINIAMNGEDPSSIPLLPSGFSITPDGQDEQGGDGASTSSNTGRSIGRCSGGSLITVALQIMMNNLPSARVNVESVTNVTNLLSNLIQNIKASFNFPS >OIW21277 pep supercontig:LupAngTanjil_v1.0:Scaffold_85_95:13651:13866:-1 gene:TanjilG_31392 transcript:OIW21277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEETPSSMTMTEETPSSMILDSDRVLTGSPRPMTKPNTSHLTGTLKQLIKPMAKTESGHKPTYDQDRSMP >OIW21280 pep supercontig:LupAngTanjil_v1.0:Scaffold_85_97:27195:29697:-1 gene:TanjilG_31395 transcript:OIW21280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSSSQIIPVQYHLETRDDMFRLWSLGVVQMQKAFQHTASILLRELLRRCFGYKVDWRRLFLLMTVLAVSGIISQILVLSYLSHNWFLSRETDSSYRSLSSIIQSNKFIKEARRQQIQLILPNPFLLPKSSNKLVQSVSVEPDKVEAEQINYSINGSVKDKKHADTTKITTSSPSDGLVPSHNRRQIRLLPPNEVLVYAKKEVDHAPSVSEVPDLYAPLFRNISVFKRSYELMEAILKVYIYPDGTRPIFHKSSLKGIYASEGWFMKLMEENKQFLTKDPEKAHLFYLPYSARQMALDLYVPGSHDLKPLSIFLRDYVNKIAAKYPFWNRTHGSDHFLVACHDWVWDTGLFSVELV >OIW21279 pep supercontig:LupAngTanjil_v1.0:Scaffold_85_97:20761:21243:-1 gene:TanjilG_31394 transcript:OIW21279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGRVRPTLLKYWGADVDAEMKIYKRLPLRVARKMNYIQHMKSSKYCICPMGFEVNSPRIVEAIYYECVPVIIADNFVLPFSEVLDWSVFSVVVAEKDIPNLKDILLSIPMSKYLTMQNNVKMVQKHFLWNPRPIRYDIFHMILHSIWFNKLNQIQTSEI >OIW22045 pep supercontig:LupAngTanjil_v1.0:Scaffold_865:2389:3534:1 gene:TanjilG_31551 transcript:OIW22045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRVLHRNLSTLAQSTTTTTTVTNIKSISQDLFKEQNFKRLVDKFKKASDIDRFRTKHGIYNDTVRRLAAAKYFNWVEDILEHQKRYSDISKEGFNTRLITLYGKSGMHVNARKVFDEMPERNCTRTVLSFNALLAAYLHSNEFELVQRVFNELPKDLSIEPDLVSYNTVLKALCELGSFDSALSLIGEIEKKELKPDLITFNTILDKLYSKGRFEDGEKIWSQMGVKNVEPNIRSYNARLLGLTLEKKTNEAIEFFEEIKKRGVKPDIFSINALIKGFVSEGNLDEAKKWYSEIANSSYDPDKTTFATLVPFLCEKGELKTAIEVCKEIFNIRCFVDASLLQLVVDKLVSEAMISEAKEIVELGKTNRYCRYKLTLPADE >OIW22046 pep supercontig:LupAngTanjil_v1.0:Scaffold_867:341:3271:1 gene:TanjilG_31552 transcript:OIW22046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAMDLRRGITLAVDAVVTNLKSRARMISTSEEIAQVGTISANGDREIGELIAKAMEKVGKEGVITIADGKTLDNELEVVEGMKLDRGYISPYFITNQKNQKCELEDPLILIHEKKISSIQSIVKVLELALKRQRPLLIVAEDVESDALATLILNKLRAGIKVCAIKAPGFGENRKSGLQDLAVLTGGVLITEELGLNLEKVDLDTLGTCKKVTVSKDDTVILDGAADKKALEERCEQIRSAIENSTSDYDKEKLQERLAKLSGGVAVLKIGGASEAEVGEKKDRVTDALNATKAAVEEGIVPGGGVALLYASKELDKLQTANFDQKIGVQIIQNALKTPVHIIASNAGVEGAVVVGKLWEQDNHDLGYDAAKGEYVDMVKAGIIDPLKVIRTALVDAASVSSLMTTTEAVIAELPKDEKSAPAIPQGMGGMGGMDF >OIW22047 pep supercontig:LupAngTanjil_v1.0:Scaffold_871:769:2952:-1 gene:TanjilG_31615 transcript:OIW22047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDKITPENLFNNIVETLADKHKSVSFFEEEKSSSVATQFNRLFGRQKPVHHILGGGKSADCLLWRNKKISASVLTAATIVWVLFEWLNYNFLSLLCFALVLGMFVQFLWTNASGLLNRNPSKAPRFVIPEDFFVNIATMVGTEVNRGLRFLQDIACGGNLKQFLIAVVSLLAGAVIGSWCNFLTVMYIGFVGAHTLPVLYEKHEDEVDNFVYKVLDQVQHNYRKVDAGFLSKIPKGKKHQ >OIW22048 pep supercontig:LupAngTanjil_v1.0:Scaffold_877:533:3037:1 gene:TanjilG_31616 transcript:OIW22048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TYQLLNSDEHANFLRKNNKNPGDYRPDITHQVLLAILDSPLNKAGRLQAVYIKTEKGVLIEVKPYVRIPRTFKRFCGVMLELLQKLSISAVGKREKLLRTIKNPVTQYLPINSRKIGFSHSSEKVVDMNDYVKTVPTDMDFVFVLGAMAHGKIETDYTDDYISISEYPLSAAYCIGRICGALEGKWKIL >OIW21288 pep supercontig:LupAngTanjil_v1.0:Scaffold_87_10:3125:3451:1 gene:TanjilG_31584 transcript:OIW21288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNGDSFITLQEFIELNNKGVDSGVILDYWKDAFVAFNIDSISSITVEELDIVMRSLGEECSITECRRMITDVDCNGDGMLDFEEYRVMMMNGSRHDIVDRVKPQYEL >OIW21290 pep supercontig:LupAngTanjil_v1.0:Scaffold_87_27:14252:16463:1 gene:TanjilG_31586 transcript:OIW21290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGIFSRFSVGRNIHRRTQSALDEREVIPQNPEAVVAATGVTATSHGIEVAIEFKPVEHPIEPLDNDRPIQCPLPEPSILNDGRIWKERVSATVRRRGDLPVVKEEGSFESEGAVTRPRTSQPNRMILPSVSAPEHNILKLLEECNASGF >OIW21289 pep supercontig:LupAngTanjil_v1.0:Scaffold_87_27:9835:10071:-1 gene:TanjilG_31585 transcript:OIW21289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHNTKVSNNNMKKHSAERNMGHAVHSQVLKIKQEIAKFKEPSLQLQMRRALIRDVSRNGLRSPLGLGGERTILVGKS >OIW21291 pep supercontig:LupAngTanjil_v1.0:Scaffold_87_45:7003:7861:1 gene:TanjilG_31587 transcript:OIW21291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKKHSNLVGKTVVEEQDASDVEMDMRFLRDVFALYFIRGKESCGQQDDNLEHNHRCFWPFG >OIW21284 pep supercontig:LupAngTanjil_v1.0:Scaffold_87_8:6044:15966:1 gene:TanjilG_31580 transcript:OIW21284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWEEEIVMRDVTNAGLVVTDRIARELSSHIDLEESLEASRYTSHPYSTSPKEWPPSIEVANTWELPPVLIERYNAAGGEGTAFCGIFPEIRRAWASVDNSLFLWRFDKWDGQCPEYSGEEQAICAVGLAKSKPGVFVEAIQYLLVLATPVELTLVGVCCSGGADGSDPFAEVSLQPLPEYTVQSDGVTMTCVSSTDKGRIFLAGRDSHIYEINYSSGSGWQKRCKKTCITAGLGSVISRWVIPNVFNFSTVDPIVEMVFDNERQILYARTEEMKLQAYVLGPNGDGPLKKVAEERNLVNQKDAHYGGRQSAGTRGSGRSPKPSIVCISPVSSVESKWLHLVVVLSDGRRMYLSTSPSSGGLTGFNSKHHKPSCLKVVTTRPAPPWGVSGGLTFGAMALAGRPQNEDLSLKVEAAHYSAGTVILSDASPPNIPSLLVLNRDSSTQSTPSGNLGTNTRSSRALRESVSSLPVEGRMLSVADVLPFPDAAATVQSLYSEIEFTGYESSMESCERAPGKLWARGDLSTQHILPRRRIVIFSTMGMMEIVFNRPLDILRRLLESNSPRSVLEDFFNRFGTGEAAAMCLMLAARIVHSENLISNVISEKAAEVFEDPRVVGMPQLEGSSALSNTRTAAGGFSMGQVVQEAEPVFSGAHEGLCLCSSRLLFPLWELPVMVVKGSLGPSGTLSENGVVLCRLSVGAMQLLELKLRSLEKFLRSRRNQRRGLYGCVAGLGDVSGSILYGSGSALGAGDRNMVINLFGAYNRNTESNGNGTTNKRQRLPYSPAELAAMEVRAMECIRQLLLRSSEALFLLQLLSQHHVTRLIQGFDANLQQALVQLSFRQLVCSEDGDSLATRLISVLMEYYTGPDGRGTVEDISRRLREGCPSYYKESDYKFFLAVEALERAAVTIDAEEKENLSREAFNSLSKVPESTDLRTVCKRFEDLRFYEAVVRLPLQKAQALDPAGDAYNDEIDATVRQQALSQREQCYEIIIRALRSLKGDTSQREFGSPIRSAASESFLDPASRKKYICQIVQLGVQSPDRIFHEYLYQAMIDLGLENELLEYGGPDLLPFLQSAGRRPIHEVRAVTATTSPVGQSGAPMTSNEVKYYELLAQYYVLKRQHMLAAHALLRLAERRSIGGVPNLEQRCQYLSNAVIQAKNANDSDGLVGSSRSSIDSGFLDLLEGKLAVLRFQIKIKEELEATASRSEPSMSDSMEIGLFPEDSSTTNIDFANATREKAKELSSDVKSITQLYNEYAVPFELWEICLEMLYFANYSGGADSNVVRETWARLIDQAISRGGIAEACSVVKRVGPHIYPGDGGILPLDAICLHLEKAGLERLSSGVETVGDEDVARSLLGACKGAAEPVLNTYDQLFSNGVILSSPNLRLRMLRSVLVILREWAMSVYSHRVVTGATGSPLILGGGFSLERTVASQGVRDKITSSANRYMTEVRRLALPQNQTDVVYRGFRELEESLISPHSFDRF >OIW21286 pep supercontig:LupAngTanjil_v1.0:Scaffold_87_9:14058:19100:-1 gene:TanjilG_31582 transcript:OIW21286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMESKAMNMRFITHQSIFSMVQSGDLDGLRKLVEQLSKESSISVSNVMSLQNDSGETPLYIAAEHNMVEMLRFLLNLCDFEVVKIRSKSDMNPFHVAAKLGHLDIVREISSTWPEVCQLCDSKNTSPLYSAAEQGHLDVVNAILDTNVSSMMIVRKNGKTALHNAARYGIIRIVKELIARDPGIVCIKDKKGQTALHMAVKGQSSSPVEEVLLADPTILNELDKKGNTALHMATRKCRSQIVNFLLSYTAMDINAINRHQETALDLAYKLPYGDSSFEIKEALAEYGAKYARHVGKLDEAMELKRTVSDIKHEVQSQLVQNEKTHRRVSGIAKELKKLHREAVQNTINSVTLVAVLFASIAFIAIFNLPGQYIKTGSETGESNIANHIGFKVFVLLNSTSLFISLAVVVVQITLVAWDTRAQKQIVSVINKLMWAACACTCAAYLGIAFEVVGKQTWMAVTITLLGVPILVGTLACLCYFVFKQHFGSFRNNRIKRASGSKSFSWSYSAHISELDEYDSDIDRIYAL >OIW21287 pep supercontig:LupAngTanjil_v1.0:Scaffold_87_9:24467:27948:-1 gene:TanjilG_31583 transcript:OIW21287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFLARKSLLALRTRHLAVSGQGLHNSQNYALRLNAHSFSTKLEDEEREQLAKEISKDWSTVFERSINTLFLTEMVRGLMLTLKYFFETKVTINYPFEKGPLSPRFRGEHALRRYPTGEERCIACKLCEAICPAQAITIEAEEREDGSRRTTRYDIDMTKCIYCGFCQEACPVDAIVEGPNFEFATETHEELLYDKEKLLENGDRWETEIAENLRSESLYR >OIW21285 pep supercontig:LupAngTanjil_v1.0:Scaffold_87_9:3691:11218:1 gene:TanjilG_31581 transcript:OIW21285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADALTVIPAAVLRNLADKLYEKRKNAALDVEGIVKQLATNGEHHKITAVINLLTNEFTYSSQANHRKGGLIGLAAATVGLTSEAAQHLEQIVPPVLSSFSDQDSRVRYYACEALYNIAKVVRGDFIIFFNQIFDALCKLSADSDVNVQSAAHLLDRLVKDIVTESDQFSIEEFIPLLRERMNVLNPFVRQFLVGWITVLDSVPDIDMLGFLPDFLDGLFNMLSDSSHEIRQQADSALSEFLQEIKNSPSVDYGRMAEILVQRAGAPDEFTRLTAITWINEFVKLGGDQLVPYYAVILAAILPCISDKEEKIRVVARDTNEELRAIKADLAEAFDVEAVLSIARRQISSEWEATRIEALHWISTLLDRYRTEVLQFLDDIFDTLVKALSDPSDAVVLLVLDVHACIAKDPQHFRQLVVFLVHNFRVDNSLLEKRGALIIRRLCVLLNAERVYRELSTILEGESDLDFASLMVQALNLILLTSWELSEIRDLLKQSLVNPAGKDLYVSLYASWCHSPMAILSLCLLAQTYQHASVVIHSLGEEDINTKFLVQLDKLIRLLETPTFTCLRLQLLEPGRYVWLFKTLYGLLMLLPQQSPAFKILKTRLKAVPSYPFNGEQSKRISSGNPYQFLHHTEDGNMTVDGGNSHNGINFAARLQQFKQMQHQHHVHWKTQALSSKNSASLPKVADFFIPQVDFYFLLSRFQERLDNGECLF >OIW22049 pep supercontig:LupAngTanjil_v1.0:Scaffold_881:1396:2565:1 gene:TanjilG_31655 transcript:OIW22049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQASHESLVSDAGWDCKSPISASSTASVWPSFNTKSSKTYSLSEEERATIAVLDMQLKALKACKEFLVGDVDSDCDEDEIDDEDEEETDDEDEDELVDSYGSEESKEYKFFERLFLEDGDLRRYYENNHKEGAFYCLVCGPVWKKVWKRFKDCVSLVHHSTTVLRTKRMRAHRAYARIICKIVGWDFDQLPTIVLKDLDNSVAGAKKLLDEPKKPAVNHIDESNVEPDKPVDVHNDDSDVQANVNSS >OIW21293 pep supercontig:LupAngTanjil_v1.0:Scaffold_88_3:8092:11141:-1 gene:TanjilG_31622 transcript:OIW21293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLMGPLNHRKKDGSNSNSSRTSPSRIEDAESVNSLLSTENDNLHDEVTSPSLEVLSLKPSSDSVLDRRKSDQTSYEPKETMPRENGDNRHVKMQHFQKADSGSTIHPVRSIEDENLDYDSNASSSSFEFDKGERHMSNPATRSLFRPIPSKWNDAEKWIMSRQNIQAYSKKNAVHSQTNRLPTSMSRITPESGNSDHKLVNGSKMTETKQVDVCQQTSHTGIEKFSFVPSESHSVSGQAHGRNPAMESFPRSKDLKDASELDLSCSRSTETDNQTVMPGIRSVAMRDMGTEMTPVPSQEPSRTATPGGSATPLRSPTSSLPSTPRRGAPAPTPSDNTTDEDSQFGNNKKQLSEEEMLQKTRREIAALGVQLGKMNIAAWASKDEQEKRKSSPRDANTKEQERIEFEKRAALWEEAEKSKHTARFKREEIKIQAWESQQKAKLEAEMRRIEAKVEQMKAQTHAKMVKKIALARQRSEEKRAAAEVRRNREAERTAAQAEHIRQTGRMPYTNYICCGWL >OIW21292 pep supercontig:LupAngTanjil_v1.0:Scaffold_88_3:4305:5530:1 gene:TanjilG_31621 transcript:OIW21292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKQIHEIKDFLLTARRKDARSVKIKRSKDLVKFKVRCSKYLYTLSVFDTEKADKLKQSLPPGLSVQDL >OIW21296 pep supercontig:LupAngTanjil_v1.0:Scaffold_88_37:13193:14323:-1 gene:TanjilG_31625 transcript:OIW21296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVYQNVSVSNEFKQEQVLPPSCSNSLDDLFSSPNMEVDVGLEWLSVFVEDCFSSPPSCFLGPTSVQSTGTSSKPSTLKRPQQQNEPSLQNFTVPGKARSKRKRLSAPRTIDPLKSSSMWSHKTSTQNEAFCSDPPLLKQAYWLADSELIVPKEQKDEEEAVMEKGIVVSKESGNSEHDGCNNGQQHPMPRRCTHCLSQRTPQWRAGPLGPKTLCNACGVRYKSGRLLPEYRPAKSPTFVSYLHSNSHKKVMEMRMAVLPSNIPSELQ >OIW21297 pep supercontig:LupAngTanjil_v1.0:Scaffold_88_41:33248:33933:1 gene:TanjilG_31626 transcript:OIW21297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSMEKNATVVLIGVVSSSFSPLQQRWLVIRVGMVLRGVIRGWVTSSSLLPLVLMKVNSSPSTLFVSSSTGFGDVGLLFGFSGNRGRVSLDMIENLKGEGSRCSK >OIW21300 pep supercontig:LupAngTanjil_v1.0:Scaffold_88_45:32442:37538:1 gene:TanjilG_31629 transcript:OIW21300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIARTGVYVDDYLEYASTLPAELQRLLNTVRELDERSQSMINQTRQQTKYCMGFASHGSKKGNYVSGHNYNNNNYVNEEDDAAIEKMRKEIEANQDSALSLCTEKVLLARQAYDLIDSHIKRLDEDLNNFAEDLKQEGKIPPEEPAILPPLPIVPKPEKRRPSYGTPQSKRLDYRDRDWDRERDRDFELMPPPGSHKKEYATPMDVDQPIDPNEPRYCVCHQVSFGDMIACDNETCQGGEWFHYVCVGLTQETRFKGKWYCPTCRLLPQCHE >OIW21299 pep supercontig:LupAngTanjil_v1.0:Scaffold_88_45:19285:20454:1 gene:TanjilG_31628 transcript:OIW21299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIGGCVIGVKKNMIIRCDSYNNVPLLGTNGCYFYTPELVLRQLERMQIRPSDEPRGKVRLYEHDDELIKKAKDAWDNLVVRDDQQRGTARVHSTPEYDSSRATRVGEVTISPPLPREENLSSKEKELQDMIETLREEMNIVDGKRQDAQIEIIRRNHETEKLKKELKIEKEAFVPSTLKKGKTKASVEICKLKEQLEAHRRIMEMDSQKRIELKEELKSFKRAIHLRDVRIAELERDLRSSQQEVVMGQDQVVHLTERVSHLQEVQQNMDKYQEVVAKAKCKTKWYKRRAYELQSECNNMGLELKWEEEDKQKELEAAKRITEEVEGCKEMWKHRSISMLGHWETLSYGWLEDFESVYQGSIIVGVNLPTKVRAFFNGYHNTTQGVGN >OIW21298 pep supercontig:LupAngTanjil_v1.0:Scaffold_88_45:9763:10575:-1 gene:TanjilG_31627 transcript:OIW21298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSQQALSSRPIHSRPLQDRVAIVTGSSRGIGREIALHLSSLGARIVVNYSSNSDRADSVVTQINTADNSATAPQAIAVKADVSDPVQVNSLFDSAESAFNSPVHILVNSAGLLDPKYPTIANTTVESFDSIFTVNARGAFLCAREAANRLKRGGGGRIILLTTSLVAALRPGYGAYVASKAAVEAMTKILAKELKGTGITANCVAPGPIATEMFFDGKTEEIVNRIIQESPLNRLGETKDVAPLIGFLASDAGEWVNGQVVRVNGGYV >OIW21302 pep supercontig:LupAngTanjil_v1.0:Scaffold_88_45:44459:48260:-1 gene:TanjilG_31631 transcript:OIW21302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVTPSSDKAADLLHNLSLDSESKTIGVPDPAKKNGHAFSNGAAKGVSKPFNPNPSYMPNGYSSAAYYYGGYDGHGDWNAYNQYMSLEGGMAPGVYGDNSPYMYHEGYGFTPYGAYPPPSSSSPPIQHDGQLYGLQQYQYPSSYYQSPAPDVSFAPNKVSIPQGEIPAAVNANHVPSSNVMNKGNNVSIANGDSTNKNGLKPFLTNSQHSSLNSNDSYPGASLPAYVPSLEYQGSKMNSYGIQSAVPSDVSLISDRPSKHGPNVGLSSPAVPVKDFTSQRNQRLPQPSPQFTNLHGSGHPYGLDLVSGFINRMYPSNYGQYGNSLRSTSRFGSAAYGSGTRFSDNKFKATNYGYGIDRFKRNIDGFSELNKGPRAAKSSDNGTAKNIGPVTLFLKGHDLPPKSDNKEVPVVANKEQYNGESFSENFSDAKFFVIKSYSEDDIHKSIKYSVWASTPNGNKKLDAAYQEAKEKPGGCPIFLLFSVNTSGQFVGLAEMVGPVDFDKTVEYWQQDRWTGCFSVIWHVIKDVPNSALRHITLENNENKPVTNSRDTQEVKFENGVQIVKIFKEHSSKTCILDDFEFYEGREKVTQERKSKEQQLPKPANKPSELTFGTVILPKSLDRTLKNESVNTDATVLEGNGSTTALEDSSKSC >OIW21301 pep supercontig:LupAngTanjil_v1.0:Scaffold_88_45:39115:41134:-1 gene:TanjilG_31630 transcript:OIW21301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFLSLSLPKLNLIKASSAASTTTTTILPTPEALNEKFGRKGIKFLESNIVELTVRNGSSLRLSIPDAHVTSYKPKVYWKDEGFEEVLYTIPPIDGTPGPYKTKGGIGLVLNEVVQPGAKALLPSTLQWTVTDVDSDSIDALQVELNCTSRFIDITYVVTLYPVRMATAVIAKNKSPQPITLTNAILSHFRFKKRGGTAIQGLRSCSFISHPPLSSPFEILTPPEAVKSEPQRLFSFGAEPEPKPGSWTKQDLSLTLLENKMSRVYAAPPKERSKAFYHTPPSKFETIDQGRELFFRVIRMGFEDIYVSSPGSLAEKYGKDYFICTGPASILVPVTVNPDEKWRGAQVIEHDNLT >OIW21304 pep supercontig:LupAngTanjil_v1.0:Scaffold_88_51:31524:34742:-1 gene:TanjilG_31633 transcript:OIW21304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREHASENQLWSHVLLGVGSNDELHNSQEIGENFLDALSSKSMTNTMFEPACDYLKKLDTNWDHYSASTSFNTLEKHLNGYSDAMIENNERLTKLSNLVSTWSIAPPDPEVSSHFVPQTTNMSLNSSMDYYTQSDPNCHFKQPSGVFPCFDQDMKAKEEYHGSEVDGAVFGKSYNPNGYQNGYDGLHSLGETRKLYHGLPNLSSCPRNFSDLISFNNRVGRPDIGIHAQKPSMKYSNLSESKKQGLQTSSQIRINNGKGEGTAHEVKKKRSEESSEAILKKPKQDTSTASSTKVQVPKVKLADKITALQQIVSPFGKLLSNPYLKSNSHKDPWETLDRKDKEDRKQDLRSKGLCLVPTSCTPLVYRENSGPDYWTPAYRGYR >OIW21303 pep supercontig:LupAngTanjil_v1.0:Scaffold_88_51:3430:8470:-1 gene:TanjilG_31632 transcript:OIW21303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAESSSPFLGIREDNLSQITQHQSSTAASSAAAPNIVPQKRRRNQPGTPCKSLIYPDAEVIALSPKTLMATNRFICEVCNKGFQREQNLQLHRRGHNLPWKLKQKSTKDPKRKVYLCPEPTCVHHDPSRALGDLTGIKKHFSRKHGEKKWKCDKCSKKYAVQSDWKAHSKTCGTREYKCDCGTVFSRRDSFITHRAFCDALSQECARHPNGSNPLGTNLYGINNHMSLGLSQVDTQISQLQNQHQTSTNSILHLGNSGTSKLEHLIHHPLNQSSYGHTPQSIYSPALFMNAPNQAFEEHQSQQGQFSNKQLHGLMQLPDLQGNNTNNTNSPSGAAPNSNNNLFNLSFFPNSTSSSTIMSDQFININGGCGNQGTTLYINNNPITVTDQVCSGMSSIFGNSVQQESVPSPHMSATALLHKAAQMGSTTATNGSSMLRGMCSSSINVTKTERDLVSDNVANFGSDHRREGLRSSMENDQHHLHGLMNSIANGNTSMFGNMQGNENNLGQFANVDESNNKLNQNLGVSFEGSDKLTLDFLGVGGMVRNRNGGFLQREQQQHAMSTISSLDPKIKLAQSSQHFGSSTLQ >OIW21305 pep supercontig:LupAngTanjil_v1.0:Scaffold_88_56:7703:11193:-1 gene:TanjilG_31634 transcript:OIW21305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARIKPQALLQQSKRKKGPSRISATTIIFYSLILVLFGFFLFASYRHWSNRSRFRSENQLSVSEGENTFVDPRKSDLPGYAVLNTSKGSIIIELHKESAPEVVDEFIDLCQKGHFKGMLFHRVIKHFVIQAGDNEGAGATEDWNLRGKHHTSMKHEAFMLGTSKGKHLSKGFDLFITTAPIPELNEKLIVFGQVIKGEDIVQEIEEVDTDEHYKPKLSIGILDVSLTQKI >OIW21306 pep supercontig:LupAngTanjil_v1.0:Scaffold_88_56:17463:19687:-1 gene:TanjilG_31635 transcript:OIW21306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDPSTTPLPPPPTLPAQPHHHQPPLIQGVATAPTSSSTPLIIREYRKGNWTIQETLILITAKKLDDERRLKVTATSNSSTPSSSSSISACGSRNTGELRWKWVENYCWSHGCLRSQNQCNDKWDNLLRDYKKVRDYESKILLEYSSSNSSYWTLNKQQRKEQNLPSNMIFEVYQAISEVLQRKQTQRIITTTTITTPLSQPQPIVTIATPPPPPLQAMQLSQPPPPPPPPPPPLPLPQQQPPPPPQVPAGSTTPAVSEKSQSSKSTEQSDGDGSESKRRKIRNLGSSIMKSATVLARALRTCEEEKEKRHREMMELEKRRIQMEEARNEVHRQGIATLVAAVTDLSGAIQSLINSDQPHGQR >OIW21307 pep supercontig:LupAngTanjil_v1.0:Scaffold_88_56:26402:27879:-1 gene:TanjilG_31636 transcript:OIW21307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVGRNVAAPLMFLNLIMYFLVLGFASWCLNRFINGTTHHPSFGGNGATMFFLTFSILAAVLGIVSKFIGGNHIRMWRSDSLASAGATALIAWAVTALAFGLACKQINIGGHRGWRLKIVEAFIIILTFTQLLYLLLIHAGLYNSRYGPGYRDTDYGVGGGATGHGDPMHKGSAIPATGTHV >OIW21308 pep supercontig:LupAngTanjil_v1.0:Scaffold_88_58:7891:13043:-1 gene:TanjilG_31637 transcript:OIW21308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAHEHETNIAPNNQAIMNRTDSVHGEPHGSSTNKVKPSLDNNVIHVRQNQPQPTTTPARLSVLHQSLRPVTLKFEDVSYSITLQSQKNKGCISGQEPNPKKKVLTGVTGIALPGELTAMLGPSGSGKTTLLTALAGRLAGKITGTITYNGNPNSNSVKRKIGFVSQDDILYPHLTVLETLTYAALLRLPKTLTREEKMQHVDMIITELGLARCRSSPVGGGMATFRGISGGERKRVSIGQEMLVNPSLLFLDEPTSGLDSTTAQRIVSLLRGLARGGRTVVTTIHQPSSRLYRMFDKVVVLSDGYPIYIGSSGRVMDYLETIGYVPAFNFMNPADFLLDLANGIVADVKHYDQIDNHEDQASIKQSLISSYKKNLYPVLKEEIQRNNNDSVSLTSGTPRSSDNEWTTSWWEQFKVLLKRGLKERRHESFSGLKIFQVLSVSILSGLLWWHSDPSHVQDQIGLLFFFSIFWGFFPLFNAIFVFPLERPMLKKEKSSGMYHLSSYYVARTIGDLPMELVLPTIFVTITYWMGGLKPSLVTFVLTLLIMLFNVLVSQGIGLAIGAILMDVKQATTLASVTMLVFLLAGGYYNHHMPSFIAWLKYISFSHYCYKLMVGVQYSVNEVYECAQGLHCRIRDFPSIKCLDIDNMWGDVAALTAMLVGYRVVAYLALKMGKLH >OIW21309 pep supercontig:LupAngTanjil_v1.0:Scaffold_88_73:33714:35507:1 gene:TanjilG_31644 transcript:OIW21309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSKAKIHRISAIHFRPVNNRVDVFSYNRDFEILVALHGDGPNPLVVSQTASATSRVSPRLVVPNDSPRVVPVSDNGMFVHLVSRRTGPCRIFTRVVKNGIRRGSDPIVVEAEHLIIEFWARREARSQRSGMTINDFSDSEDEDEDEEEMAIPNALWYSADVVVLVPEHVVTPLVEEHHAGEELISYPLVIIINSDTEMEEDPKEDPDEPESSDS >OIW21294 pep supercontig:LupAngTanjil_v1.0:Scaffold_88_8:33330:35601:-1 gene:TanjilG_31623 transcript:OIW21294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQCSVSFNNKDLDISCFVFKPAIIIIDNIVQGLKQFSIDTENLGCVQSSIFRSIHGNMIIWFGAWQKQNSDEKEKLITNLKSMLTNVKKMAVLVEHNFLDAYAGESRDGSSNVKFCTGDIISITSATTPTTKLDDLCYAVLAIFKSRFAKTEGISAGICFKGQSIPSVLCIQVWKSLQFCYSWVLNSDHRKWMIPYLESFSINMKYDIYRIVYVSGEHVVNLPYISLHQMLENEENRKEQVMQH >OIW21295 pep supercontig:LupAngTanjil_v1.0:Scaffold_88_8:44672:54322:-1 gene:TanjilG_31624 transcript:OIW21295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREEVISSGGTVDPTPTATPAASRTQKGSIKHFLDGKASSDPIGWEPRALSRPNGYYAVPRNFGSIDGSSHGQGSKAASISCVGSQPPRNSLSTSAGGSAFGSSRSSCRPWERGDLLKRLAAFIPLNWLRKPQVIGSLACAQKGWINIGVDKIACESCGACLSFTSSSSWTSAEAQNASESFARQLDSGHKDNCPWRGSSCPESLVQFPPTSQSALIGAYKDRYDGLIQFHYLPVVASSAIDLMSVSRGPQIERFLSQFQNLMSGEIDLIGSSQDEAYFLFSRAQKLISLCGWEPRWLLNVQDFEEHSAQSERNGYSFGPSKTQLQLTLDPGPKAVSASTRIDVRKGKAPLKDTRLDCRSPLLDCSLCGATVRVSDFLTVPRPARFEPNNIIIPDTSKRIGLTRGVSAASGISGWVAADDTEKDQTGDRDEVATTNEGKFLGNTDLDLNLTMSGGFPFTPLGRTATSGNTHDEDMGRDLMIGQPSGSEIGDRTASFESRGPSSRKRNLEKGGSSDDRPVLRLQQQADSVEGTVVDRDGDEVTDGGQYSAGPSKRARDSDIFDTYCSPHQRDSSGAGPSHSMGFDAYVAGNRVPSFHQGSQRPVGIQSARDSTRASSVIAMDTCHSANDDSMESVENYPGDLDDVHFPSSCTYGNVDMNETSEMNNSNQVQQSTCFRSANEVICGEVGVSSTNYGGELFNAETVTAQARDGISFGISGGSVGMCASHEDEIHEADISVHRANSVVGEMEHRAEDAENQGQTGESVPDPGLMDEIIPDDMNREDPIGDSQEMMFHSAGRADSGSKIGCSTKAESVESGEKISQNCNILPANNIRPSRSCNVNIYSACEMTKEEMKKDGKSSFTNNCVILESDFANANGIGPPKGESNYEEAVEFDPIAYHNQYCPWVNGNVAAAGCASSVPGSSSDAIALCGWQLTLDALDALRSLGQNALPTVQSESAASMYKNDQHASGQKLLRNHSVNRSHGQL >OIW21310 pep supercontig:LupAngTanjil_v1.0:Scaffold_88_84:6570:6830:1 gene:TanjilG_31645 transcript:OIW21310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLDRAKVQIDRVKLKTHRATPPHDRRKINFDREILVRDRRNEKSMQDNASPSAHQSEALHNTLTVAHRPWRIDSDRGAKGLTHQV >OIW21311 pep supercontig:LupAngTanjil_v1.0:Scaffold_88_84:14312:16961:1 gene:TanjilG_31646 transcript:OIW21311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVDTCCGSFYQKCKPYIAMISLQFGYAGMNIITKVSLNRGMSHYVLVVYRHAFATAAIAPFALVLERKVRPRITFLMFMQMFVLGLLGPVIDQNLYYIGLKFTSPTYSCALSNMLPAMTFVMAVIFRMEKLDMRKLRCQAKVIGTIVTVAGAMLMTLYKGKVINFFWSQYMHHPRNYVPENTTSSGEKEWVKGSVLLIIATLAWASFFILQAVTLRRYSAQLSLTAIVCFLGTLQSTAVTFVMEQKPSAWSIGWDMNLFAAAYAGIISSGIAYYVQGIVMQERGPVFVTAFSPLMMIIVAIMGSFILAEKISLGGVIGAIVIVMGLYSVLWGKYKEYKEKDAEIVTMAIKCCTEENVRMETVMEDDEANDIEMQKGEASKESKLNSIIEAEK >OIW21312 pep supercontig:LupAngTanjil_v1.0:Scaffold_88_85:19981:21375:1 gene:TanjilG_31647 transcript:OIW21312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVQLALKQVVIMQRDAQEFEHYMMTKKHKVVHNDCLKLYENTIFHLNRTLEGLNVKRNCSPVDVQTWLSTALTNIQTCGTGVVELSVEDFMVSKLSGNITEMIRNSLAINMDFLKQHAENHKAETKEAFPSWFSRHDRKLLQANSKTKAHLVVARDGSGHFKNVQDALNAAAKRKIKTRFIIHVTKGVYVENIEVEKNNDNIMLIGDGMANTIITSSRSVQDGFTTYSSATAGIDGLHFIARDITFQNSAGPLKGQAVALRSASDLSVFYRCAIAGYQDTLMVHAQRQFYRQCYIYGTVDFIFGNAAVVFQNCNIFARKPLDGQANMITAQGRGDPFQNSGISIHNCQIRAAPDLKPVVEKYNTFLGRPWQQYSRVVVMKTFMDTLVNPLGWSPWGDSDFAQDTLYYGEYQNYGPGSSTSNRVKWPGFHVIKSPADASKFSVTGLLAGNTWLPTTTVPFSSGI >OIW21313 pep supercontig:LupAngTanjil_v1.0:Scaffold_88_98:5332:5547:-1 gene:TanjilG_31648 transcript:OIW21313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNTNGEDISIELASLSLQPFTNCSDVNSRVDGYISSLRELQHGGVFMDGIGLESHFTVPNHSLVRTIPFG >OIW22050 pep supercontig:LupAngTanjil_v1.0:Scaffold_892:559:2918:-1 gene:TanjilG_31818 transcript:OIW22050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGEDIQKSNSMVRKKKKNGSIRSIFTHADSQDWFLMALGFIGTIGDGFSIPLVLLITSKMMNDSGGFSINIGSDFINKMNQNAVDLLYLALGSFIACFLEGYCWTRTGERQAARMRVRYLKAVLRQEVAYFDLHVTSTSEVITSVSNDTLVIQDCLAEKAPNFLTNIAMFGGSYIIAFALLWRLAIVGFPFIILLIIPGLIYGRTLMGLTRKIREEYNKAGTIAEQAISSIRTVYSFVGENKSIVAFSDSLKGSVKLGLKQGLAKGLAIGSNGVVFAIWSFMSWYGSRMVMYHGSKGGTVFAVGSSIAVGGL >OIW22051 pep supercontig:LupAngTanjil_v1.0:Scaffold_896:1891:2373:-1 gene:TanjilG_31819 transcript:OIW22051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGALGIVFLELLAQNGVKFGEAVWFKADSQIFSKGGLDYLGSSSLIHAQSILAIWATQVILMGAVEGYHVTSGPLDEVKEIKNGRLAMLSVFGFFVQAIVIEKEPLENLDYHLSDPVNNNAWAFATNFAPGN >OIW19934 pep supercontig:LupAngTanjil_v1.0:Scaffold_8_100:6164:10276:-1 gene:TanjilG_30848 transcript:OIW19934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASALETLCGQAFGAGQVEMLGVYMQRSWIILFGACVCLLPLYLYAEPILLLLGQDHEIAELAGTFTIQSIPQMFSLAINFPTQKFLQSQSKVGILAWLGFISLIVHIGILVLFIKVFGWGTTGAAAAYDISAWGVALSQMVYVVGWCQEGWRGFSWLAFKDLWAFVKLSFASAIMLCLEVWYFMTLIVLTGHLDNPVIAVGSLSICMNVNGWEGMLFIGINAAISVRVSNELGSGHPKAAKYSVIVTVVESLIIGILSAIIILVTKDHFAIIFTDSVEMQKAVSKLASLLGITMILNSVQPVISGVAVGGGWQALVAYINLFCYYVIGLPLGFLLGYKLGYRVEGIWIGMICGTMLQTMILVYIIYKTNWNKEVEQASERMKKWTGQELVINAT >OIW19935 pep supercontig:LupAngTanjil_v1.0:Scaffold_8_100:28505:37441:-1 gene:TanjilG_30849 transcript:OIW19935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSMESGECILVLVLVLVGCFVSSESKYIRYNTTSTIVPGKLNVHLVPHTHDDVGWLKTIDQYYVGSNNSIQGACVQNVLDSLVPALLADKNRKFIYVEQAFFQRWWREQSEVVQNTVKQLVNSGQLEFINGGMCMHDEATTHYIDMIDQTTLGHQFLKEEFGVTPRIGWQIDPFGHSSVQAYLLGAEVGFDSLFFARIDYQDRAKRKDEKTLEVVWQGSKSLGYTAQIFSGAFPNNYEPPTSNFYFEVNDDSPVVQDDVNLFDYNVPDRVNEFVSAAISQANITRTNHIMWTMGTDFKYQYAHTWFRQMDKFIHYVNQDARVHALYSTPSIYTDAKHAANEVWPLKTDDFFPYADRINAYWTGYFTSRPALKGYVRLMSGYYLAARQLEYFKGKSVSGPKTDSLAEALAIAQHHDAVSGTSKQHVANDYAKRLSIGYTEAEKVVAESLACLTDAATKTDCRSSQTKFQQASSDVPLFNNCYCFSMRKFHCPLLNITHCPASEVNLSYGKDLVVVIYNPLGWKREDVIRIPVANEKVVVRDSSGKEIQSQLLPIPDKFLGLRNYHTKAYLGVSPTVNPKYWLAFSATVPALGFSTYYVSKAKQPATISDTHTTYKSGNQSDTIKVGIGNLKLIYSKKEGKLTQYINSRSKVKESTEQAYKFYAGYGDDGTLNAQASGAYIFRPNGLSSPIKSHGVSTLTVFRGPIMHEVHQKINSWIYQITRLYKGKEHAEVEFIVGPIPINDGVGKEIATEIKTNLASKKKFYTDSNGRDFIERIRGYRKDWDLQVNQPVAGNYYPINLGVYLKDESKEFSVLVDRSVGGSSVVDGQLELMVHRRLLVDDGRGVAEALNETVCVHNKCTGLTVLGKYYFRIDPVGEGARWRRSFGQEIYSPLLLAFTENEGSWGGSHVTTFSGLDSSYNLPDNVAIITLQDQGDGKVLLRLAHLYEILEDAQLSAVATVELKKVFPKKQINKVSEVSLSANQERAEMERKRLVWQVEGLPQNRKLVRGRPVDPEKLLVELHPAEIRTFIISFRQ >OIW19936 pep supercontig:LupAngTanjil_v1.0:Scaffold_8_101:2552:3115:-1 gene:TanjilG_30850 transcript:OIW19936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANGWTDRSKQTLINFLVYCLKGTIFIKSASHDSKTVDLLFKLFKEVVMYVGIENIIHIVTENATNYVVAGRLFKKEFPHLFWSPCAAHCVNLMFQDIRKLPEVTDTVSHAGNITRYLYNHCHLLYLMRQFTHGKEILHPTPTRFATNFIAFQSILAQKDALRALVTSREWTSLTYSKYFKAKKCVE >OIW19937 pep supercontig:LupAngTanjil_v1.0:Scaffold_8_101:17004:18140:-1 gene:TanjilG_30851 transcript:OIW19937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTHTDSSPIPSITTLPLNLHFNLHHVLPLLLLLFILIQFPPPATAQLPNTLTPPPPDNTISNVQFNKSMVMALVILVVVFVLLGFVSVYTRQCTERRMRGRHDLSIPIIGSNHRPRGLDREIIETFPTFIYSTVKSLKIGMATLECAVCLNEFQDDEKLRLIPVCNHVFHAECIDAWLVNHSTCPVCRANLVSTPSEVVPFMTIQLPDQTDPEPDPVHVDEFSGRQGTVMKESPKLSNNNSVNQNRPRRSRSTGFRFTNLLPRSHSLVQRGENLERFTLRLPEEVRNQLVTSTLSRTKSLGVAFTPESSERRGYRTRSVGSGCGRNNLERLDQSDRRMFRWMSRAGSNISKKVTEFNKDDVGERSSDRLFSGKENDM >OIW19938 pep supercontig:LupAngTanjil_v1.0:Scaffold_8_112:26503:30959:1 gene:TanjilG_30872 transcript:OIW19938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLNVHKFLLPLCKVFQVSAKSSYTFLQKHPLISGVLFFFFILYLFLSYIFSFLAYLSPFLVCGSIFVRIFWSSEKTELKYAKKKDDDKGEKKRDEPKYQNTSNNTTRRDLLRKYPSQNATTRGESFRDKKWDEYGGLEENAKDLSEVFQNEFTKSNKEDKDAKYFEKGENSCGLSSEKSKAHRRKTLRSEPSMIDLVEMDNEKIEDENDDDDDDDDDEDVRSEEDRNKAIEWNEDDQKNLMVLGFSEMEKNKRLESLIARRREGKLMKMQLENGLIDTKSIAPLFIAKSNVLDSPNNFEGLEIPGSAPSYIPRSPYDIRDDNYEDRPCITRENFDQEFIFNQRDIAFCRHESFSLGLNIPSESKANHGARDYNSFLHNQRKYSDRLAYPRSRWLSDKGNHDWLIDQLLYTEGSGFQADNAPREGAETTHEENEQCKMNMDDMKNDKVENSRETKSMSETSRISEKLGLRFRVPHQRFLKFPISTSSTLNEEQIPSPFDKKHDLFSNHRRICHTPTYSIASDLQVEVSEVGSSASTIEEIAETNSTTDRDSIIYDGDIDRNVSSGSEELWEASFHGRGEAQGVTAEGNNNSSMDITSPICERQIDEENVAGVSSFSSKYEMLDNTPTYAMNSDHIFDYMQHSVGEIEAPQSSNSPHVSSPQKGFIDSSVDHLHNETNSEKIEEWNMLSQNFINEAEVNNEMNNSTAIDQHNTQNSLLVLQESIDETSTSSVASSPRSVLPDPMSPVNNQHMHIGAQQYNIEDVSQETLNNEPPLDTMPQNIQTLMDDAIDESLNVDFNHSQEHTNPSENSIEETNIFGNMNDSEASNKEEHDNLKGEENSEDNSTHHIRQETHVESTRLVEETTAEDMFEKSRELVNDKVPLNSMTTEPPSEFHRENKPLISIRQETDAEPSTNAEADIENYSQSESVEETFEKSDIVNSNEANEQQMNKNETLVASKSVEESDNVSNITHMKEPEVLLENVDEPKPEKPKDSLENGYITELVEND >OIW19939 pep supercontig:LupAngTanjil_v1.0:Scaffold_8_113:4701:10029:1 gene:TanjilG_30873 transcript:OIW19939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFVYKNPNEPIEARVRDLLSRMTLKEKIGQMTQIERTVATPSSLIHLSIGSILSCGGSAPFENAMSSDWADMVDGFQKSALESRLGIPLIYGIDAVHGNNNVYGATIFPHNIGLGATRDADLARRIGAATALEVKASGVHYTFAPCVAVCKDPRWGRCFESYSEDTEIVRKMTSFVSGLQGQPPEGHKHGYPFVAGRENVIACAKHFVGDGGTYKGMNEGNTALSYEELEKIHMAPYLDCISQGVSTIMASYSSWNGHKLHANNFLLTEILKEKLGFKGFVISDYEGIDRLCDPRGSDYRYCISSAINAGIDMVMVGVRFEQFIEDLTFLVESGEVPISRIDDAVERILRVKFVAGLFEIPSSDRSLLDIVGCKLHRDLAREAVQKSLVLLKNGKDCSKPFLPLDRNAKRILVTGTHVDNLGYQCGGWTKTGRGDSGRITIGTTILDAIKAAVGVETEVVYEQYPSKDTIENNGIVFAIVAVGEVPYAETSGDNSKLRIPVDGAEIISLVADKIPTLVILISGRPLVLEPWLLEKTEAVVAAWLPGTEGDGITDVIFGSHDFKGQLPMTWFRRVEQLDHQPSDGVNSSEALFPLGFGLSYN >OIW19940 pep supercontig:LupAngTanjil_v1.0:Scaffold_8_113:11871:15657:-1 gene:TanjilG_30874 transcript:OIW19940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMMVIRVMLVLAACLLPLSVEGIVRHYKFNVVLKNATKLCSTKPIVTVNGKFPGPTIYAREDDTVLVKVVNHVKYNVSIHWHGVRQLRTGWADGPAYITQCPIQPGQVYQYNFTLTGQRGTLWWHAHILWLRATVHGALVILPKLGVPYPFPKPQNEQVILLSEWWKSDTEAIINEALKSGLAPNVSDAHTINGFSGPSQGCALQEGFTLKVQPGNTYLLRIINAALNDELFFKIAGHKLTVVEVDATYTKPFKTDTIVIAPGQTTNVLIIANHAAGKYLVAASPFVDAPIAVDNRTAIATLHYLGTLDSTITTLTSLPPKNATELATSFTDNLRSLNSEKYPARVPLKIDHNLLFTVSLGINPCPTCVNGSRVVAGINNVTFVMPKISLLQAHFFNVKGVFTEDFPGNPPVVYNFTGTQPTNFKTTKGTRVYRLAYNSTVQLVLQDTGMIAPENHPIHLHGFNFFVVGSGQGNFNSKRDTKRFNLVDPVERNTVGVPAGGWTAIRFRADNPGVWFMHCHLEIHTTWGLKMAFVVDNGKTQNESLLPPPSDIPKC >OIW19941 pep supercontig:LupAngTanjil_v1.0:Scaffold_8_121:15030:15464:1 gene:TanjilG_30875 transcript:OIW19941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHIKKYLNKIGFEKEDYLFWKKAGKALLCSYAIIGAVWVYNESSPLDWWPLKLRPMETQEFAHLHERQEFPYPRDKGATKEFTAKERVMRTTSGANGMVGNDKSAPIYQKEIEGEKFDQEAQKVWLGMRDEVIAELKEKGFDVK >OIW19942 pep supercontig:LupAngTanjil_v1.0:Scaffold_8_124:2500:3360:-1 gene:TanjilG_30876 transcript:OIW19942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEFIDLEFQNRKNSGRDFREIVGDFCNRLRKWRQKQKLKKNSGGEGVNCNIDEGFGARRLKETQSEVGEFSIDAARMSMNGYGYDLRFSFDAARASWDGYMLTKACPRFSPMVHVNSAVDDNKVLVEEEEEEEGVNLGNIGEHCPGGSLQTKDYYLYLHARKSFDRSSSHRKTSMTDVDYLKVVSNSKVSLATTELFYGAKVLITEKDLGHGNVNNVQSDCVMASTSKAASDVVTQVDRKGLKKLHKWGRLWNKLGLVQRRKEDKLGEEYG >OIW19944 pep supercontig:LupAngTanjil_v1.0:Scaffold_8_128:43084:43844:1 gene:TanjilG_30878 transcript:OIW19944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDMVTSSTLVFEDDLVTEKKDETADPCHENKTAEIMNDIDDDTTSHTVAEGKEGDSNEISNSLETHGEWLTVTRPQLLILFIKERISQLMRIE >OIW19943 pep supercontig:LupAngTanjil_v1.0:Scaffold_8_128:679:4693:-1 gene:TanjilG_30877 transcript:OIW19943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSATMSFDSDNLRSPLMPAEKEEEQSKKMCIDEMLQKHCGEFGRWQLKHFVLTNLAWSLEAFHSMVMIFADREPKWKCLPGAAGTGCDAAASSVCSLPSGTWEWVGGPAASTVSEWGLICGDRFKVGLVQALFFAGSMIGAGIFGHLSDSSVGRKGSLTIVCILNAIFGCLTAFSPNYWTYVLLRILTGFSSGGVGLCAFVLASEPVGPTKRGIAGMSTFYFFSSGIALLSSIAYIFQTWRELYIASSIPSIFFVVLVLPFLSESPRWYLVRGRVRDATKIMSTIASTNGNHLPSDIILALDDEDSSTPNDGLGYEQTCNNEHLENKEALVGSIVDVLRSPITRIRLFIALAINFCTSVVYYGLSLNVVNLETNLYMNVALNAVAEMPAFGLTAFLLDRLGRKPLTIGTMWFSGFFCLIASFISNVGMWKVVRMVCGILGIFGMAGTYNLLYIYTAELFPTVVRNAALGCGSQAAQIGAVLAPIVVVLGNWLPFALFAACGIGGGIFAFYLPETLNQPLYDTFKGLEAGIA >OIW19945 pep supercontig:LupAngTanjil_v1.0:Scaffold_8_133:10538:10732:-1 gene:TanjilG_30879 transcript:OIW19945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSATTSFDSDNLRSPLMPAEKEEEQSKKLCIDEMLQLKHFVLTNLAWSLEACHFRLRRRRIQRL >OIW19946 pep supercontig:LupAngTanjil_v1.0:Scaffold_8_136:18462:20138:-1 gene:TanjilG_30880 transcript:OIW19946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSQKIFSYNLTSAGLPNMSSFPTIPSVPNRLFESLKFDVENSHNSPFSTYLDIDTLSALSGSPEQHSPGDSIKDSLQLYSSRGPLLQNANCNQKIRHTLLELETALMAPDDDEITRSDTSVADSRRTTTSAQRLYRSWSHEHQRSQYIRSRPSYVTSCRQSSEVEHAAKRQKSMEEVQLQGFPSSNLKQLLIDCAKALSENNTRDFDQLIVKARSSVSISGDPIQRLGAYMVEGLVARKEASGSGIYRAPRCKEPESKELLSYMQTLFEICPYLKFGYMAANGAIAEACRNEDHIHIIDFQISQGTQWMTLLQALAATPSGAPHVRITGIDDPVSKYARGDGLEIVGKRLAWMSEKFGIPVEFHGVPVFAPGVTRDILDIRPGEALVVNFPLQLHHIADESVDLSNPRDGLLRLVKSLSPKVTTLVEQESNTNTTPFFNRFIETLDYYLAIFESIDVTLPRSSNERINVEQHCLARDIVNIIACEGKERIERPELFGKWKSRLTMAGFCQSPLSSYVNSVIRSLLGCYSEHYTLVEKDRALLLGWKNRNLISASAWY >OIW19947 pep supercontig:LupAngTanjil_v1.0:Scaffold_8_136:50943:51173:1 gene:TanjilG_30881 transcript:OIW19947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTKDIFAVNLSIQLLLLIKTSKPFVTVRNIQTPTQNSLEGTKNRISKEYALNNCWHLWLKVLIAYYIHFGTEKTY >OIW19948 pep supercontig:LupAngTanjil_v1.0:Scaffold_8_143:16702:18532:-1 gene:TanjilG_30882 transcript:OIW19948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTTSKGEIIKPIILKAGIPLAVSIAGFAYAWIMAKKSISKASSSSLNDMNSSTESEESSHSVASMDDVYADVVTESSEIHDTPCLEQEIACVRSRFERMEIRELALRFRFDEYCILKEHEFMLVDIKNMLLLESANVGFLDKEISSMERENKRLENFVLEYLKLIEQLEYWKTENKILQRKFNKLLKKSKAQSHLAKEHDLMIKADEAEIMRNLDALKNKNDVIDKLEDEIRELQRVLDLMQDEKNELLKKLDIAEKSYASKIEATDLSREDYKQVIDELEQIKKERADEVKELIFLRWTNACLKHKLTRHHEQHHNQDKEHKEHEFVGSDGVIHYDLEHELHDHDDGSLLEHDNVVHSFDDAHHHSKSDCSKRSKLLRRLKRWVEGSEKVRVRNSVS >OIW19949 pep supercontig:LupAngTanjil_v1.0:Scaffold_8_146:8219:10819:1 gene:TanjilG_30883 transcript:OIW19949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPLLLPIHPLSFTSFPHNLKPPLPFPSKFHTHSLHISHTSTSTHFSHSNELPLFDSLFSLLRFSTHHSDANLTRTVHAAVIKHDQDTHLSTALITAYLNHKLFSEAYSVFLSLTYPNVVTYSALISAFSKSNLQYKALELFFQMRSSGVHPNRYTFVAVLTACIRLLDFHVGLQMHAMVVKTGDLECPYVTNALMSLYGKCGFHDVVLQLFDEMPHRDIVSWNTVINSAVSEFMYGNAFELFREMQATDAFRVDDFTLSTLLTACSGCGAVMEGQQVYAHAIKAGLDTDLSVGNALIGFYTKCGIIDDVVWLFERMKVRDVITWTEMVGAYMEFGLVDLAFKIFNDMPEKNAVSYNALLSGFCQNGEGLKTLDLFINMVEEGLELTDFSLTSVVNACSLVADYNVSKQVHGFVLKFGCGSNACIEAALLDMYTRCEKMADAKNMFVRWELEELRDVAWTSMICGYARNGELDEAISLVHRSQSEGKMIMDEVASVSMLSLCGTIGCHDIGKQIHCNALKFGFESNMGVGNAVVSMYFKCGNVDDAIKMFEDMPLNDVVSWNTLISGHLLHRQGDRALDIWSLMQKKGIKPDQVTFIFIISAYRLTNLNLVDDCRNLFNSMRTLYQIEPTSEHYSSFVSVLGYWGLLEEAEETIKKMPFEPSTPVWRALLDSCRLHKNTTIEKRVARNILALEPKDPYTYILVSNLYSAYGRWHCSEVVRENMKEKGFRKHPAQSWIICEKKIHSFYLRDKSHPQEKDIYSGLDILIMECLKAGYEPDTSFVLLEVEEFQKKHFLFYHSAKLAAAYGILMTKPGKPIRIVKNILLCGDCHTFFKYLSVVAKRDIFLRDSSGFHCFSNGQCSCNDYR >OIW19951 pep supercontig:LupAngTanjil_v1.0:Scaffold_8_148:21859:23568:-1 gene:TanjilG_30885 transcript:OIW19951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGETVNGSWLSSLWPVSRKSASDEKAVIGILALEVMGLMLKVVSLWHSLSDEEVMNLREGIVNSAGVKMLVSDDDDLLMELALNEIVDNFESLARSVARLGKRCTDPVYHRYEHFVSNPAQNYIQWSGWEYKSKKMERKVKKMDKFVAAMTQFCQELEVLPELEQTLRRMQANPECHRVKLLEYQKKVIRHRQEVRNLRDMSPWNRSFDYVVRLLARSLFTILERIIIVFGNIHLPTVPLQNDSQHMNSNNHLRSHSFSALMHSSVHPSEDHLYGLNSGPNGRRPALNSVFVTDKSKRKKQQHTLNPPAFRGKHLYSESKQLGHIGAFKDCMSAANTSPVIQSCMQTNGGSMRLADCHMKYLDKVKTVDALSLTKRSRIYSKLSSRNRLKPASFTLGDLALALHYANVIVLIERMVSSPHMIDLETRDDLYNMLPTTVRTALRSKLKWYMKSKASSAYDANLAAEWSLVCAQILEWLAPLAHNMIRWHSERNFEKECSTFSANILLVHTLYFANQVKTEAVIVDLLVGLNYICRIDRKVCARDTLEFAESRSCNGFRSRKNGRCNEFL >OIW19950 pep supercontig:LupAngTanjil_v1.0:Scaffold_8_148:13511:14965:1 gene:TanjilG_30884 transcript:OIW19950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLKTELCRFSGAKIYPGRGIRFIRGDSQVFLFVNSKAKRYFHNRLKPSKLTWTAMYRKQHKKDAAQEAVKKKRRATKKPYSRSIVGATLEVIQKRRTEKPEVRDAAREAALREIKERIKKTKDEKKAKKAEVTAKSQKSGKGNVSKGAAAKGPKLGGGGGKR >OIW19954 pep supercontig:LupAngTanjil_v1.0:Scaffold_8_149:13742:14151:1 gene:TanjilG_30888 transcript:OIW19954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLKEFHSQLQLGMLVVVMPVTDRSNRAIGLSSSFYGGHPEVAVGAGAPSSGCKKVTRSWTRFGDSGGAIRGSGGPKWRSRDDQKMPEQVDAFFSFGSQFTEMEHHGQ >OIW19953 pep supercontig:LupAngTanjil_v1.0:Scaffold_8_149:11022:12390:-1 gene:TanjilG_30887 transcript:OIW19953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGKNNSSRYYRNSEDDYVDAKDKASLGLPLEKLNLGPRKKLLVLNLNGLLVNRIHRSSVNKIPKSSSPDAIYRNFKVFRRPFVEQFMKFCLDRFEVGIWSSAIEHNIEDALSCSIGSSAKKLLFVWDQNQCLNSGFTSLEKVTKPLFFKELKKVWGKIKKGGPYLASNTLLIDDKPYKAFLNPPNTGIFPDSYKVENEDDKALDPKGKLCLYLEGLANANDFPSYVKANPFGQSAITSSHSDWVYYCDVQGCIKRRIYQK >OIW19952 pep supercontig:LupAngTanjil_v1.0:Scaffold_8_149:4399:7773:1 gene:TanjilG_30886 transcript:OIW19952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMKDITVSSSPLSTSLLPQMMNLNMNNTIATTTVVTVPQPEAATTITTTTTTTKVPEAEATTAITTTATPVQGSVVDSVGKKKRGRPRKYDSEGNLRVSAKQQQGFSMSPTSPPSSSKRGRGKLFGFNNFHLLASSTGMFGCTAGGDFTPHVVTIHPGEDVAAKIFSFAQRGPVGVCILSANGAISKVTLRQPGSSGAILTYEGRFEILSLSGSFTVSDNGSISPTNVLSVSLAGPDGRVIGGGVAGLLTAACPIQVVIGSFMPNGYKTQKRKYNREHSSGTKIAPDIVAAPSPRPISQQNSDATLIAADWNGSGEFSDHGPSPDINISLNDD >OIW19955 pep supercontig:LupAngTanjil_v1.0:Scaffold_8_149:26333:26776:1 gene:TanjilG_30889 transcript:OIW19955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPIDESKETVTIRAVSHDEEGKKRVEKRELNTHNIDTIKYVEKKLMDKGVHRMDRHPVDGIGIGRPPPKSGHGGKFTWEGPDDVVDNELMAAPAAIDEKDPNYVDEEDEEEEDVKGFVVGEVQVVKVAQDEGVTRVDVDPRLLQVN >OIW19957 pep supercontig:LupAngTanjil_v1.0:Scaffold_8_157:27726:33818:-1 gene:TanjilG_30905 transcript:OIW19957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGELPVAKTYAVEDHHHNMLATAIGDKRMARESKIHSYRKSFNGFVARLLPHEAEKLQEEDNVVSVFPNTYRKLHTTRSWDYLGMPLKVERRSNIESHIIVGVLDTGIWIDCPSFNDEGYGPPPRRWKGKCMTGANFTHCNNKVIGAKYFNLDKEGEAVENPSPTDDQGHGTHTASTAAGVAVKDASLYGIGKGTARGGVPSARVAMYKVCWSIGCSDMDMLAGFDEAIADGVNFISVSIGGPSRDFFSDPIAIGAFHAMRRGVLTSCSAGNDGPQPMTVENVAPWILTVAASSIDRQFTTTVNFGDGKNAIGLSINTFAPEKKMYPLINGALAANITGDNDFFGSASSCDYGTLSKDKVVGRIVYCTGGMGSQDQTIHEYGGAGVIIGLEEEIDASYTTIIPGTFVDANKVGKNIDLYINTTKNAQAIIQKTETRRVPAPFVASFSSRGPQVITPNILKPDLTAPGLDILAAYSKMVSLTGYTEDTRFDVFNILSGTSMACPHATAAAAYVKSFHPDWSPAAIKSALMTTATPIRDNLAELATGSGQIDPVKAVHPGLVYDISVNSYIAFLCKQGYNSTNIGILIGTKGFNCATIKPPPGSDGINYPSMHIQLENTDSSISAEFYRTVTNVGYGSSTYKAKVIAPKGLSVEVIPDTLQFNGLHQDHTFKVVLKGPPMSEETMLLSALLEWSDSRHSVRSPILVFKFPSY >OIW19956 pep supercontig:LupAngTanjil_v1.0:Scaffold_8_157:20167:24009:1 gene:TanjilG_30904 transcript:OIW19956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKREIEAAETLAHLAHLAMREFAASGSAQKWNKGTRSKRRFTRHSPTPAPAAEADAGKQLDEKISTGITKPVETERILQDDCLNHMKVEQDLPKITTYSSVHCSKSRRNLTEEEKEVRRMRRVLANRESARQTIRRRQALCEELTRKAANLAVENQNLNREKEMALKEYQSLENTNKHLKAQIAKSIKTEVEKTPVEPDTSMAGVTTLSGNGNCPWFLHNRFPVTQLFWPSVIQSSNPVQLQHTPFNSIAIPSNVSVPCSSQSDSCHKQNNLISGSKIQNPLYMFPCPWLFPLQEFGSAQPQPSIGLKDRQDELPLGKQCSSSSSLSTVANVDHQAAPPIKHKTETSGWTEDMPINDPGHATSRFLSDGASHTATSSMDKKQVLFTCPDTNIVDAVAAAEARKRRKELTKLKTVHIRHTRMQC >OIW19958 pep supercontig:LupAngTanjil_v1.0:Scaffold_8_159:5161:23376:-1 gene:TanjilG_30906 transcript:OIW19958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPALLSPGKILRLEMENFKSYKGFQVIGPFHDFTAVIGPNGSGKSNLMDAISFVLGVRTGQLRGAQLKDLIYAFDDREKEQKGRRAFVRLVYQLANSTEIQFTRAITSAGASEYRIDGNVVTWDVYNAKLKSLGILVKARNFLVFQGDVESIASKNPKELTGLIEQISGSDELKRDYEQFEEEKASAEEKSALVYQKKKTVVLERKQKKEQKEEAEKHLRLQDQLKSRKKEHFFWQLFNIENDIVKTTEELEDEKRSREGVIEELENFENEAGKKKKEQAKYLKEIALREKRITEKNNKLEKTQPALLKLKEEMTRINSKIKKGNKELDKKRDERRKHATDIKELQRGIRDLTAKMADLQEKGRDVGDELNLDGSDLEEYFRIKEDAGMKTAKLIEEKEVLDTKQHADSEAKKNLEENLQQLINRESELKSQEEQMLTRLKRLLDSSSKNKDDLANLDKERLLMKNKHQDSMNKYENLKLKIGEIENQLRELKADRYENERDARLSQAVETLKRLFQGVQGRMTDLCRPTQKKYNLAVTVAMGKFMDAVVVEDEKTGKECIKYLKDQRLPPQTFIPLQSIRVKPIMERLRTLGGCLPCDLEVMSSNPGNSLFACKRKFDPSLEKAILFAVGNTLVCDDLEEAKVLSWSGERFKVVTVDGILLTKSGTMTGGTSGGMEARSKQWDDKKIEGLNKKKEQYESEMEELGSIRDMRLKESEVSGKISGLEKKIQYADIEKAHIKHSCPVWTSLSKQIWKHQSIEDKLKHLSQEKEAIKKEIERNSPELQKLSNAVDRRNAEIHKLEKRINEITDRIYKEFSKSVGVANIREYEENRLKSAQNVAEERLNLSSQLSKLKYQLEYEQNRDTSSRIQELESSISDLEKDLKQVQNKEAEAKLAAEKATEEINQFKEEAKEWKSKSEDCEKEIQEWKKKASSATTSLSKLNRLINSKEKQIEDLIVQKQEILEKCELEQISLPIVSDPMDTGTLTPGPVLDFDQLSRQLKDRRNSDRDKIEAEFKQKMDALISEIERTAPNLKALDQYEALLEKEKAVTEEFEAVRKEEKEKADRFNAVKQRRYELFMDAFNYISGNIDKIYKQLTKSNTHPLGGTAYLNLENEDDPFLHGIKYTAMPPTKRFRDMEQLSGGEKTVAALALLFSIHSYKPSPFFILDEVDAALDNLNVAKVAGFIRTKSCEGARTSQDAEGGNGFQSIVISLKDSFYDKAEALVGVYRDSERGCSRTLTFDLTNYRES >OIW19959 pep supercontig:LupAngTanjil_v1.0:Scaffold_8_167:639:4427:1 gene:TanjilG_30907 transcript:OIW19959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTIAEKEVTLVRKIPIADPKSVFVGDVIVLKDPEKPNNFLVRRLTAVEGYEMASTDEKEEPFVLEKDQCWVEADNEKLKAKEANDSRKFGPVQMTDIVGRVIYSLRNAVDHGRVENSDFSMQKDSPVLEVELDVEEMAKNQKD >OIW19960 pep supercontig:LupAngTanjil_v1.0:Scaffold_8_168:4019:5377:1 gene:TanjilG_30908 transcript:OIW19960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEGRCLPCSGVSGRTAAVYTCSSLSHCRIHSHVKIRGLKCVSVGASFVCKARRNPDFSRQHKHGSSRNTNRHNEGRDSFENFEDDMLSLKNGPLVSLSASGKSQATAVPGPREKEIVELFRKVQAKLRERAATKEQKKVEASPGKGKESGTVDSLLKLLKKHSNEQQKKSSGGGRGKDSSFDGSQESIQYNGRRSTKFSDLDNAPKESREKDSSLDGSQESSQYNGRRITQFSDLDNAPKESSGGGRGKDSSLDGSQESSQYNGRRSTKFSDLDNAPKGESQESNISSVTRPRSSFQRRSPVPRVKLQPVSYDDENRNVVPPSSEVRGNDHDQRDLKLNHKEGPGSEPDIDHDLEPDSLPDLDQESDHDSEPVLLPKDDLFFPDREIDDLSDDDSEHIDNEEHAVEEELVVQHEDLSALKLPELRSLAKSRGLKGFSKMKKDNLVELLTRS >OIW19961 pep supercontig:LupAngTanjil_v1.0:Scaffold_8_171:6519:9521:1 gene:TanjilG_30909 transcript:OIW19961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGVLKHLKPVLDDLMDYKIHLSENMSKECQELDMRVNEARGFIEKWDPKMSKIHSVLQSGTLLIKLQCTSLDICHMIVKSLQSPPSASVLANLQNYIQEIQCLKKETALIYIEDALRNQRDNVEPCNEHLKKITELLRLASNQELLKENIALEKEKLNAEVKKINANLEEINEIMNLVRNLRDYVMKTECPEVKSGVSIPPYFRCPLSLELMLDPVIVASGQTYERQSIQKWLDHGLTVCPNTRQRLTHTNLIPNYTVKAMIANWCGENDVKFSSNSDHNQPSRITSRSDHSLPQELAHACNLRSLPSSNPVSRSSIQAGNGLEKRKDDNSFRLTEGQNGCQSGAIEKFEQQSPYIRSRSESFSSSISSTDCLLPVPKEVSQISNKQQNAKAFSGEIKNACPTSPGNKQSGISPWLSGNQFERSGLKTGGMDDNSCHTNNSHSRVDSRPVSNRGLDELTTASHVNELIEGLHSQSNEVQTIAAEELRLLTKHNMENRVIVGHCGAVVPLLSMLYSDVKITQEHAVTALLNLSINEDNKALIMEAGAIEPLIYVLKTGNNGAKENSAAALFSLSVIENNKEKIGRSGAVKTLVDLLASGTLRGKKDAATALYNLSIFHENKARIVQAGAVKFLVQIIDHADGMVDKAVALLSNLSTISEGRLEIAREDGIPLLVEILESGSHRGKENAASILLQLCLHSSKFCTLVLQEGAVPPLVALSQSGTPRAKEKVRNSSSSSFVSLLGV >OIW19962 pep supercontig:LupAngTanjil_v1.0:Scaffold_8_179:10858:11869:1 gene:TanjilG_30910 transcript:OIW19962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSIQEFMDSCNNNNNNNACNLTNSTNNNNNNNISLVGNGSSSNSPSGSSTNTSSRYENQKRRDWNTFGQYLKNHRPPLTLSRCSGAHVLEFLRYLDQFGKTKVHTPICPFYGHPNPPAPCPCPLRQAWGSLDALIGRLRAAFEENGGKPDTNPFGARAVRLYLREVRDLQSKARGISYEKKKRKRPLPQQQQQQQ >OIW19920 pep supercontig:LupAngTanjil_v1.0:Scaffold_8_23:1923:4079:-1 gene:TanjilG_30834 transcript:OIW19920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQSHIVFIFGILGNIASFVCFLAPLPTFYRVCKKKSTEGFQAIPYVAALFSAMLWIFYAYVKTGAILLITINAFGCMIETIYLAIFITYCPKKLRMSTLRMIFLVNFGGCCAIVLLIHLLAKGDGRIKILGWICVVFSTSVFAAPLSIIKVVIRTKSVEFLPFPLSLLLTISAVMWLLYGVTLKDIYISLPNIVGLTFGTIQMILYALYRKNKPVKDQKLPEHEGDINNEEKQEEMNPQNRDIEIGVKKEEKQEEKPNIEQDETELNNNKNNDTGERVNCEV >OIW19963 pep supercontig:LupAngTanjil_v1.0:Scaffold_8_248:226:776:1 gene:TanjilG_30911 transcript:OIW19963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLDNFLNNNQEYEDEHEGEDEHKGEDEQEEGVQEGEDREENDEIYEVGEDINHQNEQVDESNNSTEQDRMEIIFNEYGVPIGPDQRIVIQFNNFLGTVARSSDLCPLVYTNWKDVPDKDLIWTYVKVLT >OIW19964 pep supercontig:LupAngTanjil_v1.0:Scaffold_8_260:23546:24589:1 gene:TanjilG_30940 transcript:OIW19964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWQSVTHESRPITANPTFKFSSHLAAAAQRRWASKATTTEEDNKISIGPRNGGQSEEDDKESGIAYHGPISSTIKKVKLLSLSTCCLSVSLGPVITFMTSPDMNVILKGAVASSVIFFSASTTFILHWFVSPYIHKLRWQPGSDTFEVEMLSWLATSLPRTLKFADIRPPVTNRPFVTFKANGTFYFVDAEHCHNKALLARLTPQKGSHESAFKNL >OIW19965 pep supercontig:LupAngTanjil_v1.0:Scaffold_8_270:3344:4784:-1 gene:TanjilG_30941 transcript:OIW19965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKEKVHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIVKEVSSYLKKVGYNPDKIPFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGVLKPAMVVTFAPSGLTTEVKSVEMHHEALQEALPGDNVGFNVKNVAVKDLKRGYVASNSKDDPAKEAASFISQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAELVTKIDRRSGKELEKEPKFLKNGDAGFVKMIPTKPMVVETFSGYPPLGRFAVRDMRQTVAVGVIKSVEKKDPSGGGKVTKAAQKKVGK >OIW19966 pep supercontig:LupAngTanjil_v1.0:Scaffold_8_274:11814:13472:1 gene:TanjilG_30942 transcript:OIW19966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKSLTLYFPHHPPTTRPSHSLPHLPLSPKFNKSFNSFPKYVPIKCSNSSSIPTASSNMEFEADPTLTNEDLKPTTPSQRTFSGLEMASIWVGLVVGVPTYYLAGSLVDLGMAWWQGIATVVLANMILLVPLVLTGHPGTHYGISFPVLARSSFGIHGAHIPTLLRALVGCGWYGIESWIGGEAIFLLLPKALQESSLSISLPWLGTSPLEFACFIAFWVAQLAIVWRGIDGIRQLEKYSAPILIALTSCLLIWSYVKAGGFGHMLSLSSSLSSSEFLSIFFPALTANISFWVTVAINIPDFTRYAKSQNDQIMGQVGLPIFMGAFTFVGLAVTSSTKVIFGEVISNPIQLLGQIGGLPTTILAILGISLATITTNIAANVVAPANALVNLSPKWFTFKRGAILTALLGIMFQPWRLLKSSESFVYTWLVGYSALMGPIGGIVLADYYLLKKTKLSISDLYTRSPNGAYHYSGGYNVVAIAALVIGILPVVPGFFQKVGIVTSVPNIFVVIYNNAWFISFFSAGVVYWVLSGFRRKPDKSDSGEHLLPATM >OIW19967 pep supercontig:LupAngTanjil_v1.0:Scaffold_8_287:9042:12709:1 gene:TanjilG_30943 transcript:OIW19967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHANAITQQWGEDDTPKSQYEKGVKQLIDNGLKKVPKKYIFPESDRSAARNTEDPNVAKQNLQLPIIDFADLLGPCRTQVLQSMANACEEYGFFQLVNHGISNDVIKNMLDVSGRFFNLQFEERAKYITSDVKAVVRYGTSFNQTKDTVYCWRDFLKLLCNPLPDYLSHWPSSPVDFRQAAGTYAEETKYLFLTLMQAIIESLGIIEANKEKETEENGYNILKDLKDGSQMMISNFYPQCPEPNLTLGMPPHSDYGFLTLLLQDEVEGLQIQFQEKWVTVQPIPNAFVINVGDHLEIYSNGKYKSVLHRVLVNSVKSRRSVASLHGLPFNHTVRPSPKLIDEVNPKRYADTDFNSFLSYISTTELKRKDFLDSRRLTST >OIW19968 pep supercontig:LupAngTanjil_v1.0:Scaffold_8_291:21530:22744:1 gene:TanjilG_30944 transcript:OIW19968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVKHQSLAETIPEAMGKINSENQLMEKKKRLTNDQLDSLESSFQKEIKLDPEKKMKLSKELGLQPRQIAVWFQNRRARWKTKQLENLYDSLKQEFDVISKEKQKLQEEVVKLKAMLRDQASRTNISAGYTEISGEETVESTSEALRCCNKPAITIHQQQNIADGNCSFTVQDYNTVPVPPYWAGVPYYP >OIW19970 pep supercontig:LupAngTanjil_v1.0:Scaffold_8_292:40404:43497:-1 gene:TanjilG_30946 transcript:OIW19970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMSTTPFIFYLISCFSLAIISALFFTNHGHEYYNHKPSLSFSSANYYGSVTHKVWPELELSWRLVLATVIGFLGSAFGTVGGVGGGGIFVPMLTLLIGFDSKSAAALSKCMIMGASASSVWYNLRVPHPTKEVPILDYDLALLFQPMLMLGITIGVALSVVFPYWLITILIIILFIGTSSRSFFKGIQMWRVETYIKKEIANKQAALVDSCDQAIIDTPFEPLIPREEKSTMETLCLNIRWKRILVLISVWLCFLLVQIIKNDVEVCSAWYWVLFSLQFPIAFAVFGYEAVKLYKEHKKRMSTGNLENICEASIEWTALNLAFCALCGILGGTVGGLLGSGGGFILGPLLLEIGVIPQVASATATFVMMFSSSLSVVEFYLLKRFPIPYALWLIGVSIMAGFWGQLFVRKLIKFLGRASIIVFILSGVICASALTMGVVGTEKSIQMINNHEFMGFLGFCISQ >OIW19969 pep supercontig:LupAngTanjil_v1.0:Scaffold_8_292:17426:23130:1 gene:TanjilG_30945 transcript:OIW19969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDNQEVESSDFTSEDEGTKDYRRGGYHAVRIGDSFKSGRYVIQSKLGWGHFSTVWLAWDTQYSKYVALKVQKSAQHYTEAALDEITILQQIAEGDQDDKKCVVKLLDHFKHCGPNGQHVCMVFECLGENLLTLIKYSDYRGIPINMVKEICFHILVGLDYLHKQLSIIHTDLKPENILLLSTMDPSKDPRKSGAPRILNNTKDKTVMESGAAKDTKTSNGDLITNHKKKIKRKAKQAALGCVEKDASEGVNGNPETSDAVESSPNVSSPGTSGLLDTDGTNSKERGNKKESRTMRQKLLASSDLKCKLVDFGNACWTYKQFTNDIQTRQYRCPEVVLGSKYSTSADLWSFACICFELATGDVLFDPHTGDNFDRDEDHLALMMELLGMMPRKIALGGRYSRDFFNRYGDLRHIRRLRFWPLNKVLMEKYDFSEQDANDLTDFLVPILEFVPEKRPTAGQCLLHPWMNAGPRLLEPSLSSSNHNGAADATISDQKRKDKDEREAMEAGMGNIAINSEAKPLLPSPSKKAFQGSHK >OIW19971 pep supercontig:LupAngTanjil_v1.0:Scaffold_8_301:11273:11902:-1 gene:TanjilG_30947 transcript:OIW19971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLIDEDLNLNGSSNSDSCSHGDSRWFRIDISEGFRMGDLPDYALFPYPSAC >OIW19972 pep supercontig:LupAngTanjil_v1.0:Scaffold_8_302:6905:8491:1 gene:TanjilG_30948 transcript:OIW19972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGDGKKHKWKIFFHRSSTESKLEQKTPPKEFICPISKLLMSDPVVVSSGQTFERVAVQVCRKLNFTPILEDRSRTDFSTVIPNLAIKTTILNWCDKSHIEYPPVPDYASVESLVHELMVPQVEEKIRVSEEELLKAVEDNLPVIFSHAATDFGPRVNHFNSGSSEESVIIGGSPSTPLPFTIRPTCFSSYSSSSIEIREIKIQSPGGSISEEEELLAKLKSNEVFEQEEGVTALRSITRSREEARVSLCTPRLLSALFSLFPSRYAVVQVNAVASLVNLSLEKGNKVKIVRSGFVPLIIDALKGGSSETQEHAAGALFSLALDDDNKMAIGVLGALQPLMHALRSDSERTRHDSALALYHLTLVQSNRAKLVKLGVVPTLLSMVKWKTGDIASRVLLILCNLAVSVEGRTAMLDADAVGCLVEMLRRNELESESTRENCVAALHALSHRSLRFKWLAKEARAEEVLKDTAESGTERAREKARRVLLMMRAAGEEEEGLGGDSVLESGGLTRTQYRVGGWTNVNPTTF >OIW19921 pep supercontig:LupAngTanjil_v1.0:Scaffold_8_45:3874:5458:1 gene:TanjilG_30835 transcript:OIW19921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLYFIHGGSRIWLSSFLQTIGFPILLLPLFIFYIHNRNTNTENKKPKIVSIEPRLFVAAAIIGVLTGVGCFLYSYASARLPVSTSSLIASTQLAFCAVFAFFLVKQKFTAYSVNSVVLLIVAAGILALRGSGDRPAGESTKQYAMGFLMMSISSALNGSILPLTELVYKKTKHAITYSLILEIQLVSCLFATLFSMTGMIVNHDFKEISREAQQFGLGETNYYVVLVASAIISQIFYLGAVGVIFSASSLLSGIMISMLLPVTEVLAVIFYKENFQAEKGVSLFLSLWGFVSYFYGEFKQAKEMKKVTITETELPQYHCDNTNP >OIW19922 pep supercontig:LupAngTanjil_v1.0:Scaffold_8_48:17581:19119:-1 gene:TanjilG_30836 transcript:OIW19922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSDINSPDMQKFYSEEQQRAMVNEMVAKLTSECWDKCITGTPGNKFSSSESSCLSNCGQRYVEMTMLIMKRFQSME >OIW19923 pep supercontig:LupAngTanjil_v1.0:Scaffold_8_52:2131:4524:1 gene:TanjilG_30837 transcript:OIW19923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCNNSRLNASGEEVPPKIRPLLLRRIEELRKHNNGGGGEEEGAISKMHLLNDDTIIEAQSFSEDENNNMVLPKGIQLTREQNMVHVDKLSKVVPLPVSEYGSEDQHNKRKDPRHEKVKHVENDVEVDFHDGDNDDSDEDDEEEEVENNKGRLLGRGSPSFKIYIEPKKMIKEKERLANAGENWITSIENKKNAFENQAIVMHQKSPSSDSYQSAASQNSGSSNEAIEIESTTKRRRHKMKLGAVKKNLLNVKQVKHRMNPMFSCGGNDRKNLLSHK >OIW19924 pep supercontig:LupAngTanjil_v1.0:Scaffold_8_53:12910:15732:1 gene:TanjilG_30838 transcript:OIW19924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDFSRVQKELVECSKDTEGSGIKVTPKSDNLVRLNGTIPGPVGTPYEGGIFQIDITIPDGYPFEPPKMHFTTKVWHPNISSQSGAICLDILKDQWSPALTLKTALLSVQALLSAPQPDDPQDAVVAQQYLKEYQTFVSTARYWTENFAKTSSRGVEDKVQKLVEMGFPEAQVRSVLDAVGGDENLALERLL >OIW19925 pep supercontig:LupAngTanjil_v1.0:Scaffold_8_53:20067:25113:1 gene:TanjilG_30839 transcript:OIW19925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFPQVTSGCISEEVTASLGTFVQNAPSIVSISNYELNLLAGEEFGNCAQVDTPNYERKNVVELPKESCISSMSEDGKSVIHNLKNNPMAQIGRLSFNAGKTKQKPTSRTVGFQIRALDSHVNGFGGNGCSSALFNVTNDATEASESQVRKQLFSPLNEILFADNFEGDCLKIGGDIDQSCSKGSNGSYDALILHDYKKVHMGKIDNIESKIRSSSCLQEFMNSSCNDRNVKQSVSSHGHSQCEHEEPWSCKNFSSSPQLNNSEETTKTRPQVSALSIPQKKVSSPPFPLSPLGKKSSENENFDGCKDIHITLYDDNVNFKGMEQGNLSAQEMHSKSQINSESMKRKSDPFTLHNIIHMIEHWTHPVSYPPRHAALNGTLGRQPIRRSLVGSFEESVLSGRLSGKVSQKLDGFLAVLNVSGDSFSPQSQRIPFSVTSVDGDKYLLYYSSINLSGKLLSSKSRVTKFQRTLSMDESRSEKSRIRVPIKGRIQLVLSNPEKTPIHTFFCNYDLTDMPPGTKTFLRQKITLSSSRSMSTTMKESHTDYDIRSDAKSSTISNTSHRGKDLLSSKFGEVNNITSTKANDNAINNGILLYALHLRLICPSPKKRSRSVHKSRTDPLYTQVRNIMDTERERRFYLYDDMRIVFPQRHSDSDEGKLHVEYHFPSNPKYFDISS >OIW19926 pep supercontig:LupAngTanjil_v1.0:Scaffold_8_53:32643:34325:-1 gene:TanjilG_30840 transcript:OIW19926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDSSSPDADPITVSLNSLSSLLDHEIPTVHHFKGKWSLARVKLTLLQTHLTDFSTDFPNSSHPLSLDLFLSISHTLHDAVSLVHHSQSLNLQNGKLKTQNDLDSLLSKLHCHVTDCDILFRSGVLNENQNAAVSTSRNETVRSESRNLITRLQIGSPESRTSAMDSLLTLLNEDDKNVTIAVAQGIVPVLVRLLDYSPSSSEMKEKTVAAVSRVSSVDSSKNVLIAEGLLLLNHLLRVLDSGTGFGIEKACIALQALSFSKENARAIGSRGGISSLLEICQAGTPGSQASAAGVLRNLAAFEEILENLVEENAVVVLLGLASSGTSLAQENAIGCLSSLISETESLRVLVVEEGGIEILKNFWDSAGLTNKGLEVAVEILRVLALSAPIAEVLVSEGFVARLVGVLNCGVLAVRIVAGRAVYALGLSGGTKARKEMGECGCIVPLIKMLDGKAVEEKEVAVMALSVLLSHTANRRVFRKDEKGVVSVVQLLNPSLQGLDKKYPVSLLALLVHSKSCRKQMVAAGACVHTQKLVEMDIEGSKKLLESLGRGKIWGVFARP >OIW19927 pep supercontig:LupAngTanjil_v1.0:Scaffold_8_72:9010:10002:-1 gene:TanjilG_30841 transcript:OIW19927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTPAAVAAAEEGNMNVGGTLPLNPSEFIGSKRQRRPSVRLGDIGGDNPYDSHPRRSTKPWKVGFDNHRRDSNNVSGKPSKTRPLTNLSSAEFGGENLDGQDDRDATANADSVVIGNWKVKDSKKRGSSGAPTKRARTNWVSRINEGGGGGGDGDGEDNYFSGSGGGGEEKFFSSGDYGDGDGYRDFDVENSERLKEQRPINSLENLGVDGNDRELNYQGNRSSIRVRVSDDRENHDGIELSGPSDNDVRDRNGNSGGGRRGRYREDGVRIWLNGLGLGRYAPVFEIHEVDDEVLPLLTIEDLKDMGINAVGSRRKMYCAIQKLGTGFS >OIW19928 pep supercontig:LupAngTanjil_v1.0:Scaffold_8_73:75270:77473:-1 gene:TanjilG_30842 transcript:OIW19928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFSYQYVPFLVDSSSFFPNINTGLPNSQFHLHQKTSFDVTNHEISSLDQSSKITITDNEPSVTKNISPQSSMVVDKLETGEQVTQKMTPMVKKRRIRSVSSLSNPQSKDTTEGKNKRQRKSNNGGVKGEVKPKAVKKDQKKSSEEPPKGYIHVRARRGQATDSHSLAERVRREKISERMKMLQRLVPGCDKLTGKALMLDEIINYVQSLQNQVEFLSMKLASANPMFYDMATDLDTLLVRPEKLNNIASQSPLQSVQQCNSTNQVTSFSNTTNTMIHTTISPTPNTEYLLDNSTSVFLQGQKPNVLFEDIGSHFWEAEDQRQKFLHPYGFSNNFCSFH >OIW19930 pep supercontig:LupAngTanjil_v1.0:Scaffold_8_85:23765:27189:1 gene:TanjilG_30844 transcript:OIW19930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFPTWNSNFNTIATTAVMFLHLVVFSLSSSSYSHHADSIASLPGQPPNIHFQHFSGYVTLDHNKQKALFYYFVESQTDPASKPLVLWLNGGPGCSSLGVGAFSENGPFRPNGEFLIQNEYSWNREGNMLYLETPVGVGFSYAKGSSSYKTMNDEETARDNVVFLQGWFNKFPQYRNRDLFLAGESYAGHYVPQLAELMIEMNRKNKIFNLKGIALGNPVLEYVADFNSRAEFFWSHGLISDSTYKMFTKVCNYSRYVSEYYRDSVSPLCSKVMGQVTKETSKFVDKYDVTLDVCISSVLSQSKVICPQNQIQQASERIDVCVDDKVTNYLNRRDVQDALHAKLVGVRKWDVCSNILDYDMLNLEVPTLPVVGSLVKAGVRVLIYSGDQDSVIPLTGSRTLVKKLATQLGLNTTIPYRVWFGGQQVGGWTQVYGNILSFATVRGAAHEVPFSQPERSLVLFKSFLEGRPLPEIF >OIW19929 pep supercontig:LupAngTanjil_v1.0:Scaffold_8_85:1508:12258:1 gene:TanjilG_30843 transcript:OIW19929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRRRPESPVYTRQWSGDSSSNRSLSPALSPSHPQSRIGLNSTGFSTVKRNQNVAAKAAAQRLARVMASHDSAADDGEDDDDDLEFRFIAPHPPAVSSLSNNGNSHRSSRASSTVRPISLVGANRSPSPALGRTSLEHAPTVRSTSAGRPAVSVRTAQMVPPSKSTTRTSISLPPIDPPTNRGREKRFSSDISIRHLNSKDVGDQHEASALRDELDMLQEENEDILEKLRIAEEKRQEVEARARELEKQVASLGEGVSLEAKLLSRKEAALRQREAALKAAQQTQDGRNEEVVALRVEIQNLKDDAAVAVEQQQEAEAEAKALRTMTQRMILTQEEMEEVVLKRCWLARYWGFALKHGICADIAQSKHEHWSSLAPLPFEIVISAGQKAREESWNKKHGPDADDPDRSKLVRDLNDLTGEGNIESMLSVEMGLRELASLKVEDAVVLALAEHRRPNMVRQSTLDSKSPGDAKYSEAFELSEKETEDVLFKEAWLIYFWRRALFHGVEEDIAEERLQFWIARNGQRPTSHDAVDGELFLF >OIW19931 pep supercontig:LupAngTanjil_v1.0:Scaffold_8_86:1900:9089:-1 gene:TanjilG_30845 transcript:OIW19931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARVLAQSVNLPGLVAGKKHGQHKGQGKLKRSVKMVCALRMNGLRMNGFSGLRTFNPLDTMMRPGLDFHSKVSIATSSRRARATRSIPKAMFERFTEKAIKVIMLAQEEARRLGHNFVGTEQILLGLIGEGTGIAAKVLKSMGVNLKDARVEVEKIIGRGSGFVAVEIPFTPRAKRVLELSLEEARQLGHNYIGSEHLLLGLLREGEGVAARVLENLGADPTNIRTQARSSGNKTPTLEEYGTNLTKLAEEGKLDPVVGRQPQIERVTQILGRRTKNNPCLIGEPGVGKTAIAEGLAQLIANGDVPETIEGKKVITLDMGLLVAGTKYRGEFEERLKKLMEEIKQSDEIILFIDEVHTLIGAGAAEGAIDAANILKPALARGELQCIGATTLDEYRKHIEKDPALERRFQPVKVPEPTVDETIQILKGLRERYEIHHKLRYTDEALVAAAQLSYQYISDRFLPDKAIDLVDEAGSRVRLQHAQLPEEARELDKEVRQIIKEKEEASRNQDFEKAGELRDREMDLKAQISTLVEKGKEMSKAETEAGDAGPVVTEVDIQHIVSSWTGIPVEKVSTDESDRLLKMEETLHKRVVGQDEAVKAISRAIRRARVGLKNPNRPIASFIFSGPTGVGKSELAKALAAYYFGSEEAMIRLDMSEFMERHTVSKLIGSPPGYVGYTEGGQLTEAVRRRPYTVVLFDEIEKAHPDVFNMMLQILEDGRLTDSKGRTVDFKNTLLIMTSNVGSSVIEKGGRRIGFDLDYDEKDSSYNKIKSLVTEELKQYFRPEFLNRLDEMIVFRQLTKLEVKEIADIMLNEVFERLKTKDIELQVTERTAWPRRCFCIGATTLDEYRKHIEKDPALERRFQPVKVPEPTVDETIQILKGLRERYEIHHKLRYTDEALVAAAQLSYQYISDRFLPDKAIDLVDEAGSRVRLQHAQLPEEARELDKEVRQIIKEKEEASRNQDFEKAGELRDREMDLKAQISTLVEKGKEMSKAETEAGDTGPIVTEVDIQHIVSSWTGIPVDKVSTDESDRLLKMEDTLHKRIVGQDEAVKAISRAIRRARVGLKNPNRPIASFIFSGPTGVGKSELAKALAAYYFGSEEAMIRLDMSEFMERHTVSKLIGSPPGYVGYTEGGQLTEAVRRRPYTVVLFDEIEKAHPDVFNMMLQILEDGRLTDSKGRTVDFKNTLLIMTSNVGSSVIEKGGRRIGFDLDYDEKDSSYNKIKSLVTEELKQYFRPEFLNRLDEMIVFRQLTKLEVKEIADIMLNEVFERLKTKDIELQVTERFRDRVVEEGYNPSYGARPLRRAIMRLLEDSMAEKMLSREIKEGDSVIVDVDSDGNVIVLNGSSGAPESLPEALPI >OIW19932 pep supercontig:LupAngTanjil_v1.0:Scaffold_8_95:10712:14248:1 gene:TanjilG_30846 transcript:OIW19932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSRTSSVSWMDHDNNNNNRENQHQDCASPSWLRNTKVQNTNLNTCGFVETRDELGSICGFKQPMMEVVDEEWYIANNSIQNHPTDMIRDMTFSPNFADPTDNLLLHHHVPIDSSSSCSPSSSMFNNLDPSQVHQYFLPPKPNTFSSLLNNNNNNPFEMGSEVGFLEPQASTTSPSVLNRTSSTLLGAFNDLNSKNQLSTLPNLASSSIIQLQQDTTNFAGFQNNFEEGSGGNNKAMFLNRSKILRPLESLPPSGAQPTLFQKRAALRKNMGSESGIGVGIGGSVFGEGSDRKMMKMSNSVELDVEDGSFDGSGLNYDSDDLTESNNKVEENNGRNGGNSSNGNSTVTGGVVDQKGKKKGMPAKNLMAERRRRKKLNDRLYMLRSVVPKISKMDRASILGDAIEYLKELLQRINDLHNELESTPSSSSLTPVSSTFHPLTPTLPSRIKEELCPSSLPSPNGQPARVEVRLREGRAVNIHMFCSRKPGILLSTMRALDNMGLDIQQAVISCFNGFAMDIFRAEQCIEGQDVHPEQIKAVLLDSVGFPGMI >OIW19933 pep supercontig:LupAngTanjil_v1.0:Scaffold_8_95:16786:21444:-1 gene:TanjilG_30847 transcript:OIW19933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSEIVMKGNCLSHNNDGCGGGSEGQNGNLTSSKASDAEAALYRELWHACAGPLVTVPREGELVFYFPQGHIEQVEASTNQVADQHMPVYDLPSKILCRVINVQLKAEPDTDEVFAQLTLLPESNQDENAVEKEPPLPPLARFHVHSFCKTLTASDTSTHGGFSVLRRHADECLPPLDLSKQPPTQELVAKDLHGNEWRFRHIFRGQPRRHLLQSGWSVFVSSKRLVAGDAFIFLRGENEELRVGVRRAMKHQGNVPSSVISSHSMLLGVLATAWHAILTGTIFTVYYKPRTSPAEFIVPYDQYMASLKNNYTIGMRFKMRFEGEEAPEQRFTGTVVGIEDSHSKRWPDSKWRSLKVRWDETSNIPRPDRVSPWKVEPALAPPALNPLPMPRPKKPRSNAIPSSPDSSVLTRQASSKASEDPLPINGFPRVLQGQEFSTLRGNFAESNKSDTAVRSVAWPPVEDEKIDVSTSRKYGSENWMSMGRHEPTYSDLLSGFGAGGDPSHPSLVYQTGHVAIPERMHSLNHEAKLHVHHPWPVMPGSLSLKLMDSNLKESANVDTTYQVRGNLSYSAYGEYPMFCHGHKVEHLHGNLMLPPPSTQYESPRSRELMSTPMSVKTSEAMTLKDGDCKLFGISLRSSPVAQEPSALQRIGTSEPNGQMYLTSHQQQNSENDQKSENLKSSRPSDGLVAADDHEKSKDVQAKLLSGSARSCTKVHKMGIALGRSVDLTKYSDYYGLIAELDRLFEFGGELMSANKDWLIVYTDNEGDMMLVGDDPWQEFCAIVRKIYIYPKEEIQKMSPGTLSSKYEDIQSASEGADANEVEGADANEVKGQMNQKLNSENGFDT >OIW22053 pep supercontig:LupAngTanjil_v1.0:Scaffold_905:3463:3693:1 gene:TanjilG_32210 transcript:OIW22053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKELRRRGMSPTLLEDYKQDNLGLDEEVYVNEENRSFPDRKSVATDVKRSLYNQREQSIALNSEGLEVGPLLTFLE >OIW22052 pep supercontig:LupAngTanjil_v1.0:Scaffold_905:316:546:-1 gene:TanjilG_32209 transcript:OIW22052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKELRRRGMSPTLLEDYKQDNLGLDEEVYVNEENRSFPDRKSVATDVKRSLYNQREQSIALNSEGLEVGPLLTFLE >OIW21329 pep supercontig:LupAngTanjil_v1.0:Scaffold_90_108:13163:13789:-1 gene:TanjilG_32145 transcript:OIW21329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPLQEFDHSSNINNNNTSNFTSPPSSLPLSPSSSSPPSSSATLSRYENQKRRDWNTFGQYLRNHRPPLTLSRCSGAHVLEFLRYLDQFGKTKVHTNMCPFFGYPNPPAPCPCPLRQAWGSLDALIGRLRAAFEENGGKPEANPFGARAVRLYLREVRDSQAKARGISYEKKKRKRPLPPPQQQQQQVLPPLPPPSSSNSSHVSKVTC >OIW21330 pep supercontig:LupAngTanjil_v1.0:Scaffold_90_112:6969:17795:-1 gene:TanjilG_32146 transcript:OIW21330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQSQSKGELLYQQVSYGNVEGIKSLHREGAGLEWMDREGKTPLIVACMNPELYNVAKTLIELGANINAYRPGRNAGTPLHHAAKRGLESIVKLLLSHGANALVLNDDCQTALEVARAKGHTNVVRAFESHLCLFSGWLREFHGPGFLEVVAPQLVSRKVWVVVLPAGFRNLTRPYKLELVIYSTMQEIVPSFLIGFYSMLNFVCLFPETRIRLGPVSENDRQQLTWFSNACKGIPQVNPEFLCNDLATAPPTAPPAAEDPELAMAIHASLQHAINDRPPFPDAHQNFEASSSSGVNNASKHGFVGTESPNTSESVNLHEAEQGGNNQHVQILENDNVSAGHTTSGLDFNPSAPPVTDAVPDDVPIQYPSIDSSPIDMSSPVVEKLPKEGKNADGNSSICVICLDAPAEGACIPCGHVAGCMSCLNEVKTKKPPFPDAHQNFEASSSSGVNNASKHGFVGTESPNTSESVNLHEAEQGGNNQHVQILENDNVSAGHTTSGLDFNPSAPPVTDAVPDDVPIQYPSIDSSPIDMSSPVVEKLPKEGKNADGNSSICVICLDAPAEGACIPCGHVAGCMSCLNEVKTKKWGCPVCRAKIDQVIKLYHV >OIW21325 pep supercontig:LupAngTanjil_v1.0:Scaffold_90_15:23542:32127:-1 gene:TanjilG_32138 transcript:OIW21325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKFSKELQAQLIPEWKEAFVNYWQLKKQIKTIKLSKVPKQSHTVEGDFGRSILDSIHLLVNKFTNNFSGSHDDNFNIIKVRKKTRMGSEEEIYETELAQLFTEEDAVRVFFVKLDEELNKVNQFYKRQEDEFVERGESLNKQLQLLHDLKQIISDRRLKSDPPSKPSNTEVFSRSSTMNSIYYSAEIFGDLDETNSEVSQADEEIMTMLEKNGINFVNSATRTMKKKGKPKMAKRIDVAKTTSTREITAITSMSWEELVNNPTGDFIRKRKIQCAEKMIRSAFVELYRGLGLLKTYSTLNMVAFAKILKKFDKVSCQKVSASYLKEVKKSHFLSSDKVVRQIDEVESIFTKHFANNERKKAMKFLRPQQHKDSHMTTFLVVLAHLCGVYSPTKEPASMKIVYPVFSVFALLSLHLFMYGCNLFMWKSARINYNFIFEFSPSTALKHRDAFLICTTLMTTVVGAMVIHLLLTAAGFSPNQIDAIPGVLLLCFIVLLICPFDIFYRPTRYCFIRVIRNIVCSPFYKIPLLRYLETTSCHILAGIIKTYNPETCYSGRLYMEITYIISFLPYYWRALQCLRRWYDDSDANHLANMGKYVSAMVAAGARVTYSRIDNPLWLSIVIITSLGATTYQLYWDFIKDWGLLNPNSQNPWLRDDLILKNKGIYFASMALNVVLRVTWVLTVMRFKVGHVQSRLLEFLFASLEVIRRGHWNFYRLENEHLNNVGRFRAVKTVPLPFRDDSD >OIW21326 pep supercontig:LupAngTanjil_v1.0:Scaffold_90_15:78069:78452:-1 gene:TanjilG_32139 transcript:OIW21326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKNHYPRKMLPPVTSRKRKHKDSDEPAKPKASEPIQSNQLLAGYLAHEFLTNGTLFGERFNPYSTRYNTKPSSNKTEPSKSQMDSKAVGPAVNYESYGDVAYIMKTDGTHIKGIVNPTQLSQWIHM >OIW21322 pep supercontig:LupAngTanjil_v1.0:Scaffold_90_15:8366:9036:-1 gene:TanjilG_32135 transcript:OIW21322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFKRFIEIGRVALINYGKEYGRLVVIVDVIDQNRALVDAPDIVRSQINFKRLSLTDLKIDIKRVPKKKELVKALEAADVKNKWEKSSWGRKLIVKKTRAALNDFDRFKIMLAKIKRAAVVRQELAKLKKTAA >OIW21321 pep supercontig:LupAngTanjil_v1.0:Scaffold_90_15:1189:4510:1 gene:TanjilG_32134 transcript:OIW21321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRSLWSSSSARLSTLLTTRVFSSTTTTAATASITKPPSLTSLILPRRRPLLPLSNATIFPQTTRFGGIRCRVNRAGDSAYSPINSGSSFSDRPPTEMAPLFPGCDYQHWLIVMDKPGGEGANKQQMIDCYVQTLAKVLGSEEEATKKIYNVSCERYFGFGCEIDEETSNKLEGLPGVLFVLPDSYVDPEYKDYGAELFVNGEIVQRPPERQRRVEPQPQRHQDRPRYNDRTRYVRRRENMR >OIW21323 pep supercontig:LupAngTanjil_v1.0:Scaffold_90_15:10935:12786:1 gene:TanjilG_32136 transcript:OIW21323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGTTVFAPAIEGIKTVKSDQGEIMSQPFLEVCKHILPVIDKFGAAMALVKSDIGGNISRLESKYASNPSRFNYLYSLVQVEVETKTAKSSSSCTNGLLWLTR >OIW21324 pep supercontig:LupAngTanjil_v1.0:Scaffold_90_15:17950:19891:-1 gene:TanjilG_32137 transcript:OIW21324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMASLNLLPHPSPFSSCCCSFPKGFVPCCNIHVLNPKSTLQRRGSFKPTLVVKSAAGVADNISSFTRGVTSDSISTLKFNLLSIVSGLNRGLAANEDDLRKADAVAKELEDAAGLVDLSVDIDKLQGRWKLIYSSAFSSRSLGGSRPGPPTGRLLPITLGQVFQRIDIFNKDFDNIVELQLGTPWPLPPLEATATLAHKFELIGSSKIKIVFDKTTVKTTGSLSQLPPLEVPRLPDGLRPPSNTTGSGEFEVTYVDSDTRITRGDRGELRIFVIA >OIW21327 pep supercontig:LupAngTanjil_v1.0:Scaffold_90_15:82325:89697:-1 gene:TanjilG_32140 transcript:OIW21327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSSTMLDSFVFQLTPTRTRFDLVITAKGKKEKIASSLLDPFLSHLNVAKDQMAKGGYSIILDVDGRGDATWFTKGTVESFVRFVNTPEILERVYTIESEILQAIVIQGNNSLGVSSLEENEVKQAENDEGTSERTSRKSLNDTNEEKAIALYKPNAEPLEANGSITSEGNSKVHLLKVLEARKSVLQKEQGMAFACSVVAGFDIDHIAALMSFSECFGASQLWDACKKFRDLWKRKHETGQWLEIEAADVISNNASGFILPDMTSTSHTEFNLENNGKSSSDVPPMDRQPPIGQQDNIQGQVPHHMCPPWPVHSPPGAIPVYQPYPVQGIPYYQSYPGNGMFMQPHYPPMEDPRLSSGQYVGPRRHSMDSKQGNYTESETWDVEASKSRSPQDGANIEREGSQTGSRRKKASQSGRQKSGMVVIRNINYITKIEKSSGSGSYSDSASETDDDAQESVRTSKNSEPKKESLNDKEEIIHGKDAEGGHWQAFQNCLLRDVDEDRHAIDQYHFDVGKVDHMKRKQHVAGNDPLLFIERNMHDVQGSSTMDMPIISKGLARVPMASSDDLSLSVREGQSGDVRSVGYVQSLEANSGGGYREAANDDFIIPNQESQFGKSYPSLDIETVSGLGYSNNKLQSKLFHDMNDDSYIVDYRSSEVSDARSVVRMAIDMDSEFQMGHQKEEKSSNQRNHSNFQPDELSMMPQRGSERDSMSYDPALDYETQALAGALEDRNKEALADTKPGSKKKKKRKKRIEALKMERQKRIATRSSSITKQLPVPSQLTKKQLPTKLSTSSYKGSKFSYSELGPSSSLQRFSIKTASVGSNDYSKMSRSSRLNTGSHSTKNKLSQSVSSFPESKPEKGGGSTDTKASMARIRRLSKPKMNNASMAPIRRLSEPKINNASMAQIRRLSEPKMNTILQDPSVKPRSTGAISKTKSADGPDIKKGSAILNHDKSKTAALPELKIRTSKESDSVQTQSSTKEKTWKLNGNKSDPNSEDTMPKKNEMRSSSNDDGDDNPAKQYDNDKVVEKTETASNYIPICAPVSPQSMDIIDKETTENQSHLQPVSTVVKNDNTEKETSKPSSISIAEETYRASRAQVSWADLSTRNTEYGNAVPTRLENAAIRTETVKAHVYIRNSTLENIPEEIEEVPEAIEKSRIKESSTGFKQLLKFGRKSHSSATAGHNTKSDNMSIDGSEADEIGPNSSSSEGNLRLKGISIIN >OIW21314 pep supercontig:LupAngTanjil_v1.0:Scaffold_90_3:642:1304:1 gene:TanjilG_32127 transcript:OIW21314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSSIFYSSNSNSSSQSSYFSSTESFSFNCQNDCLPFNENDSEEMLLYGMIAKANIPQQPFHTTNVVKEEEVNFGEYDVSTRKEKSYRGVRRRPWGKFAAEIRDSTRHGIRVWLGTFDSAEAAALAYDQAAFSMRGSAAILNFPIETVKDSLREMNYGSEDGCSPVVALKKKNSLRRKMGVKKNIDCDIRIKNAVVFEDLGADYLEQLLLLSDEIPNPC >OIW21316 pep supercontig:LupAngTanjil_v1.0:Scaffold_90_4:7778:12778:-1 gene:TanjilG_32129 transcript:OIW21316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLQDSFTGKAIGLGHVLAASFAGIIAAAAASRYRWRNSVAAGDQKIIPHIYRKESGRVGKIEKFSHYVVRQMGIEDASEVPELCRLAQEYLRKNKGCEESIYEYFANENDPDSLYKKLIEEFDRCILSYFAFHWNQASAIISQVLSTESAEQKIKLKNIVLAATRKQRFEIVTKNLKVARVFSTLVEEMKAIKYDSQCTDVMVPIAHSERSPVLLLMGGGMGAGKSTVLKDILKESFWSGAAANAVVVEADAFKETDVIYRALKSRGHHDDMLQTAELVHQSSTDAASSLLVTALNAGRDVIVDGTLSWEPFLQQTIALARNVHKFKYKMGVGYKVAEDGTITENYWEQVNEAEEEPQPEKNGRKPYRIELVGVVCDGYLAVVRGIRRSIMTGRAVRVNSQLTSHKRFANAFPRYCKLVDNARLYCTNVAGGSPKLIGWKDGDHNLLVDPENIKCLEMISSLNPKADSIYDLYKDPNSAMGPGSLWNDIVLSPLRPSYQKELMESIQKIEKSIKKL >OIW21318 pep supercontig:LupAngTanjil_v1.0:Scaffold_90_4:37806:38259:-1 gene:TanjilG_32131 transcript:OIW21318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQVIGMVVSNKMQKSVVVAVDRLFHHKLYNRYVKRTSKFMAHDENNLCNIGDRVRLDPSRPLSKHKNWVVAEILKKARIYVPLSAPVSENVSSTSDAPAS >OIW21320 pep supercontig:LupAngTanjil_v1.0:Scaffold_90_4:58449:60722:-1 gene:TanjilG_32133 transcript:OIW21320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTLSVSLPSLKPPQCFETDVTKCKKASPLHLAVFYVALYTLAVGTGGTKPNISTIGADQFDDFHPKEKSYKLSFFNWWMFSIFLGTLFANSILVYIQDNVGWTLGYALPTLGLTVSIMIFLAGTPFYRHKLPSGSTFTRMAMVIVAALRKWEVPVPLDPKELYELDMEEYAKKGSYRMDSTPGLRFLDKACVKKGSSTSPWMLCPVTQVEETKQMLKMIPILVATFVPSAMIAQINTLFVKQGTTLDRHIGSFKVPPASLAAFVTLSLLICVVIYDRFFVKIMQKFTKNPRGITLLQRMGIGIVIHIIIMIIASFTERYRLKVARENGLVESGGQVPLSIFILLPQFILMGVADAFLEVAKIEFFYDQAPESMKSIGTSYSTTTLGVGNFLSSFLLSTVSRITKEHGHKGWILNNLNESHLDYYYAFFAALNFLNLIFFYIVTRFYVYRAEVSDSIELLAKELKEKTVHVSDNVIS >OIW21315 pep supercontig:LupAngTanjil_v1.0:Scaffold_90_4:5315:5857:-1 gene:TanjilG_32128 transcript:OIW21315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLKVQLPWNVIISAENLQPESLMLQRAIVIRLLGDFAVKKATKDLGYYLAVMTLEKVGEGKVRLHTGDVLFPVVFNAVTFKIFKGEILEGVVHKVLKHGVFMRCGPIENVYLSNMKMPDYRYVHGENPCFMNEKMSKIGKDVVVRFMVIGTKWMEAEREFQALVSLEGDYLGPIVSPNI >OIW21319 pep supercontig:LupAngTanjil_v1.0:Scaffold_90_4:48559:54508:-1 gene:TanjilG_32132 transcript:OIW21319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQFSAYSIHEPPHSSQSISLSLDAHALHNQFDELSAFSDSPHPSVTRVLYTDKDVLARRYVKNLMEIAGLSVREDAIGNIFGRWEGLEPEFAAVATGSHIDAIPFSGKYDGVVGVLGAIEAINVLKRSGFKPRRSLEVILFTSEEPTRFGIGCLGSRLLSGSENLANALKTATDSQNTSFLDAARSAGYAKDGDDLSSVFLKKGAYSAFVELHIEQGPILENEGISIGIVTAIAAPASLKVEFEGNGGHVGAVLMPNRNDAGLAAAELALAVEKHVLDSGSIDTVGTVGILELHPGAINSIPSKSHIEIDTRDIDEERRNHVIEKIHQSAIKITKTRGVKLSEFSIISQDPPALSSDAVIRAVETATRELNLTSKLMISRAYHDSLFMARLSPTGMIFIPCYKGYSHKPEEFASIQDVANGVKVLASTLAKLSLQ >OIW21317 pep supercontig:LupAngTanjil_v1.0:Scaffold_90_4:30275:32797:-1 gene:TanjilG_32130 transcript:OIW21317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTSSDSKIIKSKTDVQLVLNYSNQYVKKNKSGSGANAASRVDMTFSSTTEPLSEIVWSQSKGFSLNCVDSSFTEEKTSLYRDVAPSSMVLSLLQSVTGDMSTTDQPINDVSIICAKSDISRSDSPTRHLTSDPLAVIRDCKAHEVHNTGSGDNMEKINTVREEPNLPNDQAKVETAITFEIKGNKSSTISGHVHRPVDNSSHQEDEPQSNMVITKDGLYTEVGHINEHEEGFDALKSSSKSSLEKLESKADNNLQTFNYEATCAAKSGVIISKSNKNENKSHGNVVESMKRNIVKDKSLSDKVADVSLSKEENDSRLSVESSCSAAMFSIGMERCNFQHQLIVGSKRVRNQFQETPHAKSYVHQDSSFINLISNMRKGFSQSTQDEGKSLAHIIANPDHNLLWPDPKLITRNKNEDPAPQNTVSKPNLQSTYCPCLKNVRKRNSHQVGEASQDFEPSNKVHVIDVTPISSCAENNSLCKQFFRSNKFEESTQRYGSGQSLRSKVRPINFLKSHENKKNYSVETKSCYHMEYGSSSSRKNKNKNKNDNVESYGLTEKKETTIIHKSDNLEGLWISRFFPKSTTPLMTCDHLNEIGGSQLQSTDFSTLPRSDKRFTYLNNCKIEETGEQPGNNLLLIEAIKLQKCCVNKKTSTGLKGSNDHISRHNFSSITPFPGFSDSEEMTTMFARRLGAIKHMPTNRTESIPHR >OIW21328 pep supercontig:LupAngTanjil_v1.0:Scaffold_90_97:27477:30598:1 gene:TanjilG_32141 transcript:OIW21328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDLSSTKDPSYPTFNIEGQVPQPASLIKMVAVASIAAGIQFGWALQLSLLTPYVQLLGVPHAWSSVIWLCGPISGLLVQPTVGYYSDRCKSRFGRRRPFILAGAIGVAIAIIFIGFAADFGYGLGDDLTKKTRPRAVGFFVVGFWILDMANNMLQGPCRAFLGDLSAGDQSKIRTANSIFSFFMAIGSILGYAAGSYSHLYKIFPFTETKACDVYCANLKSCFFIAITLLLVLVIITCVYVKEIPLKSSTSVDDDNDESNTSCLVLMFGAFKEMKRPMWMLLIVTFMNWIAWFPWLLYDTDWMGREVYGGDVGDKLFDMGVHEGSLGLMLNSIVLGVMSLAIEPLSRVLGGVKRLWGLVNIILAICLAMTVLISKEAKAHRIFAMATGGKKDAPPPASIRGGALALFSILGIPLAITFSVPFALASIFSSTSGAGQGLSLGTLNLAIVIPQMIVSTISGPLDGAFSKGGKGNLPAFVMGAIAAAVSGVMAIVLLPTPPPQGFAKATIGGGLH >OIW22054 pep supercontig:LupAngTanjil_v1.0:Scaffold_911:614:3871:-1 gene:TanjilG_32269 transcript:OIW22054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LDKVMKPSGVGSEAQFEGLEAVGSEKDRSDNAGVELDGVSLERAESGQAVVSGFEFDVASMNTEPLLDDRARREGNTTSGEIEVPTAEDDSVEHVNALDAEVLDCNIANTECDTVEFERVNALDAGILDHKVADIRRDNALESSVTVSSVGRENVQSRLTVNDNQEDMNLADYGGAAEDGNNVSLETLDEQKSIATLNSDKTSKSEECICDKVEFEEKLNSIGEQPMEIDKVVDNSNNMLEEVVGGAEVAVDKALLNSEEKQCFRLEKCIEKEHMTNASQVSSDIGQERVIEKDGGGVSALNESCGTEELEVETDNNVSDAEQRSLHEGMEMEADDQPAAERSKIMNHTSEVKVYDACNSVDASTLDSKIQVGISKQDGKVRTRSSTKSVSSETVHQASYLLPTEKEGEFSVSDMVWGKVRSHPWWPGQIFDPSDSSEKAMKHCKKDCYLVAYFGDRTFAWNEASQLKPFRKHFSAIEKHSTSESFQNAVECALDEVTKQVEFGLACPCIPKGTYDTIKYQIIENTGIRQEIRSRHWVDESLNASTFSPGKLIQYLKTLSVLPTGGFDRLEHVVAKAQLLAFYRFKGYSTLPELQYGEGLDNDMDNIIHDGENSLSEVVEHLTPLSNNGDLAGPGNLKSQSSSHHKRKRNLKDSLPLAKKERSLSKLMGVTPDSPDGDYWSDEKVTDALVLPARSKKKRTIDHFADDIGMEEGRKTISLAKVSNTTKPSFKIGECIRRVASQLTGSPSMLKSSGDRSQKTDGSTDGVSGYGSDDPFQNFEEAQKSSLTVPTEYSSLDDLLSSLQRVAEDPLGDYVHPNSMVSFFSDFRNSILVADISGKEIFSTVKVGNKRKKPTIAGTPEAFEFEDMNDTYWTDRVVDNVAEEQPPVEKPRRKYKKKDNQLVPAESGKPVQVTRRPYSRKRYSDSNHAEVSEKPSGYIDENAPAELVMNFAELDSVPSETNLNKMFKRFGPLKESETEVDRVSSRARVVFKKCADAEVACGSAKKFNIFGPILVSYELNYTPSALFKASSVAPTQEQEMHLDLSYFEVNMV >OIW22055 pep supercontig:LupAngTanjil_v1.0:Scaffold_927:842:1219:1 gene:TanjilG_32376 transcript:OIW22055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RHVVASPKTTGEVLVPPVRTHPTRSSGGQKKKTELAKRGSPPSPVKAPQRRLTTIGSKEKEKMAVSRRHLQPISDYTEQIALAVSLHYQHEVWSSSLSSRWGCRKERRSSTFSFFFLFQPNPIAV >OIW22056 pep supercontig:LupAngTanjil_v1.0:Scaffold_928:341:3485:1 gene:TanjilG_32377 transcript:OIW22056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLFSNPKQSPRSPSYLFPSVIALCLLSLTVLLLYKVDDVVSRTGTVVGHNLEPTPWHVFPQKTFDDEPPQRRAVKIIQCSYLTCRYAAEDITISDSTFEKQPSSDCPDFFRAIRRDLEPWVSTRISKKHIEEAQNYAAFRVVIVGGKMYVDYYYACVQSRAMFTIWSFLQLLRKFPGLVPDVDLMFDCMDKPTINRTEHQSMPLPLFRYCTTKEHFDIPFPDWSFWGWPEINIRTWQEEFLDIKKGSRAVSWKDKVPLAYWKGNPDVASPVRLELLNCNDSGQWGAQIMRQDWGEAARSGFKLSKLSDQCNHRYKIYAEGYAWSVSLKYILACGSVTLIISPQYEDFFSRGLITQQNYWLVDPLELCPSIKSAVDWGNQHPIEVISYAFS >OIW22058 pep supercontig:LupAngTanjil_v1.0:Scaffold_930:2520:3549:-1 gene:TanjilG_32475 transcript:OIW22058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TPTETSPDKLPPAPTNFIEPNKRPLSAMTPIIITKDDWLVGVIGGSGGVNIIPAVIQVFLNHFIKGMNPLDAVLSPRIYHKLIPNAVTYEKLNTYDGHHIELSEESRLFLEERGHQMDRANALGVTQFVVQTIKTPTNINGKIGEDTKSHTKHGILIAISDPRKGGCPAAA >OIW22057 pep supercontig:LupAngTanjil_v1.0:Scaffold_930:800:1631:1 gene:TanjilG_32474 transcript:OIW22057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein CSFVEHRNYKIVYRRYASLFFLVGVDDDENELAILEFIHLLVETMDRHFGNVCELDIMFHLEKAHFMLEEMVMNGCIVETSKSNILAPIQLMDKTS >OIW21337 pep supercontig:LupAngTanjil_v1.0:Scaffold_93_11:635:1483:-1 gene:TanjilG_32428 transcript:OIW21337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPIVKKKVVFIMGSTGTGKTKLSINLGSQFPSEIINSDKIQVYKGLDILVNKIPESERCGIPHHLLDIIDNHYYTFTSDDFCKHALAAIDLIHQNGHLPIIVGGSNNYLEALVEDPNNAFLSKYDSCFIWLHVSLPVLFQYLDKRVDEMVDAGILDEIRQVYVPGASYSHGLRRAIGVEEFDHYILIEEESYDEAYKEKVLQDAIRRTKENTFKLAEDQLQKIHRLNYELGWGMHMIDSTLVFETVLRGEKYMDLYQEIILKPSMNIVQKFLEEATQETP >OIW21331 pep supercontig:LupAngTanjil_v1.0:Scaffold_93_5:14134:18177:1 gene:TanjilG_32422 transcript:OIW21331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVVHISSDEEQGSEEGTTESVFDWIKEFLEMSEDESSDDSDDVVILGEKKPELKLKSLTTSARKVLDDDDDDDDGDDDDCVVLDCDPEKGVTSVNEDSTGSDELLVVGEKGQIACRDYPHARHLCVKFPFSSTPHERTCDQCHCYVCDSLAPCPKWGTGLLSTDHCHANEKTESWKVQRRNLKLGIPPPLPASVDHNTPVHAVHSKSNEIRHRNIIRLYPNSIIRNQASRSTATLTSPSPNSIPQNQAPRPTTMRAFSPLPNSSLQKQLSRLNNTPLYSTVTNLTIPVDANHARRQDSGSTLVRNRYQSYSTPWQMLGVRDHAIQKERGSGASRSSVRPQFLRPPMMSKVVNSAMVTPTVNHGAHGSYGFSNHVNPAQQCSSYHTATGFSVDKNHNGLNNGVWLPQNSPPYPLPNVEPANMSSVGQYTVAFDSQTCSEALPQSYDGHDFFQSCIEGNNAPSSYNVTCLNSNQHGNEHQIRRQNENGSGNSSQCGIVSQDTFQPKPQEESTSETATRDDFSAFDTSWTKNDSQSIDPVQLQTSASTNHCGSIEPLIEHSHLLPNALNDIENWLFDQDNVPMVTDGLLPELNMLSPELSPIFDSGNSITNGDERVQGVHDWLNFE >OIW21335 pep supercontig:LupAngTanjil_v1.0:Scaffold_93_5:88110:91592:1 gene:TanjilG_32426 transcript:OIW21335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVPLALSERAQMWLGQPSSMSSVAPGPPPSYRGHSVTTTPKLHRHHPNMQPYPVAPSLSKGQDPLTATPFGSPCGCVFPMKVRLVLDIAPLPIFPVINELEIEVASGTYLKQSQVRIMGVSADSENQGRTIVDINLVPLGEKFDDITFSVICERFWHKKVPLNKSLFGDFEVLSITYPDMPASAPHGPFVSDGNHPDPSSASVSHWVAPPLFLNMTFGLLTVVSIAKILLMEYRVKWLVTNLFILSFCRLSSPNSFLQALNLLFNDNAPPFWCLP >OIW21333 pep supercontig:LupAngTanjil_v1.0:Scaffold_93_5:71199:74705:1 gene:TanjilG_32424 transcript:OIW21333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVHQNFLMENSSEVLGSKGLIKKNDFLRIIVQCLYSLGYNKTASCLESESGVSYKSPEFQFLESHLLNGNWDNCITFLNSIRDTFGETMDSALFLVLKQYLFECLSRGEDALALDILKKRISALKVDRSKVHSLAINVVLLNDVESGVRDGNVVHDLRRKCLTDLEKLLPPPISVPERRLEHLVETTVTAWVDSCLYHGSSNPISLYEDHKCGRDQIPTVTTQILAGHRNEVWFVQFSNNGEYLASSSNDCTAIIWKVLEDGEFTMKHILCGHMCAISLVAWSPDDTKLLTIGNTEVLKLWDVETGTCKHTFGNPDFVVSSCAWFPNSNHFVCGYSDPEKGICMWDCDGNEIKAWRGMGMPKVVDIAVTPDGEYLISVFMDKEIRILHMETNAERVISEEHSITSLSVSGDSKFFIVNLNSQEIHMWDVAGKWDKPLSFMGHKQCKYVIRSCFGGFNSTFIASGSENAQVYIWNSRNSRPIEVLSGHSLTVNCVSWNPERPHMLASASDDFSIRIWGPSS >OIW21334 pep supercontig:LupAngTanjil_v1.0:Scaffold_93_5:81000:82773:1 gene:TanjilG_32425 transcript:OIW21334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVPLALSERAQMWLGQPSSMSSVAPGPPPSYRGHSVTTTPKLHRHHPNMQPYPVAPSLSKGQDPLTATPFGSPCGCVFPMKVRLVLDIAPLPIFPVINELEIEVASGTYLKQSQVRIMGVSADSENQGRTIVDINLVPLGEKFDDITFSVICERFWHKKVPLNKSLFGDFEVLSITYPDMPASAPHGPFVSDGNHPDPRYGAAGLIPFTATVISSKNQKMCPRTIIIIALSSFVLLLVLVGALSIMYKWSRRPSSAIGSAFTSSLNTTPGISSNLFCMYLCALAK >OIW21332 pep supercontig:LupAngTanjil_v1.0:Scaffold_93_5:45359:45839:1 gene:TanjilG_32423 transcript:OIW21332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATDSNSKLRITIETNPPNSRLEELNVKYWPKWAGPPGKYHVKYEAEQICYLLKGKVKVYPRPTESSSEFVEFGAGDLVIFPEGLCCTWEVFIAVDKYYKFEPPCSSSSSP >OIW21336 pep supercontig:LupAngTanjil_v1.0:Scaffold_93_5:92439:94329:1 gene:TanjilG_32427 transcript:OIW21336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESMSSSRITRSRSMSLVSTMATSIPPVKRFSLSEIQKATDKFSSKRVLGEGGFGRVYCGTLGDGTEVAVKLLTMDNQNREREFITEIELLSRLHHRNLVKLIGICMEGRRRCLVYELVRNGSVESHLHGVDKKNSPLGWGARTKIALGAARGLAYLHEDSNPRVIHRDFKASNVLLEDDFTPKVSDFGLAREATEGSNHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDMSQPPGQENLVTYARPLLTTREGLEQLVDPSLAGTYEFDEMAKMASIASMCVHQEVTQRPFMGEVVQALKLIYNDTDESLGSKDSPELSDFLNDISPSENSWWNTEGEIPRSAYGQASPFMTMEYSSGPLEEIGNKLFSASSLISDDISLPIKYANRSGPLKTAGSKVSMYKLTGSWSVHGVLPSKHVWNDG >OIW22059 pep supercontig:LupAngTanjil_v1.0:Scaffold_942:477:2614:1 gene:TanjilG_32651 transcript:OIW22059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFQNFDEFNLNSDENLEEKEKLIFISSCAIECVKGGGSVLIPVNRLGTILQLLEEIATSLDASALKIPVYIFSSVAEELLAFLNIIPEWLCKQRQERLFAGELLFAYVKLLKEKRIHVVPAIHAHKLLNHALYFVVTGVCVLVLLFICFDVGVGIQNLYLSLRMC >OIW21342 pep supercontig:LupAngTanjil_v1.0:Scaffold_94_13:21711:23381:1 gene:TanjilG_32482 transcript:OIW21342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATALSPREEFVYLAKLAEQAERYEEMVEFMEKVSAAAGDNEELTVEERNFLSVAYKNVIGARRASWRIISSIEQKEESRGNEDHVATIRDYRSKIEDELSNICDGILKLLDSRLIPSAVSGDSKVFYLKMKGDYHRYLAEFKTGTERKDAAENTLNAYKAAQDIANGDLPPTHPIRLGLALNFSVFYYEILNSPDRACNLAKQAFDEAIAELDTLGEESYKDSTLIMQLLRDNLTLWTSDMQDDSAEEIKEAAAKHDDGQQ >OIW21345 pep supercontig:LupAngTanjil_v1.0:Scaffold_94_13:43788:53988:-1 gene:TanjilG_32485 transcript:OIW21345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRRRWIPLLLLRKLLSAAICLIAFMALFSVHVHLFPSSKPNNHKFNDKIHTSHDLQSWTQELAPPHLSIFSKIPLHISKIRKLNGSRGNSDYKKLWKPPSNRGFLPCTRPTPNYTTPTESRGYLLVHTNGGLNQMRAGICDMVAVARIINATLVIPELDKRSFWHDTSNFSDVFDEEHFINSLANDVKIIKKLPTELVNETRMIKQFISWSGMDYYENEIARLWEDFQVIRASKSDSRLANNNLPPNIQKLRCRACYEALRFSPHIEQMGKLLVERMRSFGPYIALHLRYEKDMLAFSGCTHDLSPDEADELRIIRENTSYWKIKDIDPIEQRSKGYCPLTPKEVGIFLTALGYPSNTLIYIAAGEIYGSESHMTELRSRYPSIMRKEKLASVEELEPFANHASQMAALDYIVSIESDVFIPTYSGNMARAVEGHRRFLGRGRTILPDRKALVRLFDKLNQGTMSEGENLSNRIILLHKRRLGSPRKRKGPISGTKGMDRFRSEEAFYANPLPDCLCRTEPPHVPICCNTVKIDVSGESQVHLRRRDILKCSGVAIGLELIGNSGSLVGNANAADLIQRRQRSEFQSKIKGTLYRAIKGNPDIIPSLLTLAINDAFTYDKATKSGGPNGSIRFSSEISRTENQGLSAALNLVEEAKKEIDSYSKAGPISYADLIHYAAQSAVKATFLASAIRKCGGNEEKGNQLYNAYGSSGQWGLFERQFGRADAEGPDPEGRVPVWEKASVQEKKDKFSAVGFGPRQLAVLSAFLGPDQIATETLLAADPDVAPWVQNYQRSRETVSQTNYEANSFQDIVLLEIHYYYMDSLLFQVDLINTFTKLSTLGQQINYEAYTYAPPKIDITKLKL >OIW21344 pep supercontig:LupAngTanjil_v1.0:Scaffold_94_13:37958:41619:1 gene:TanjilG_32484 transcript:OIW21344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPAVNNAQTLAEQYYVKDGQVKTDEVPKPVEVKDVEKPKEAPSVEAVVEKTVEETTPVAPAVAEESSEVAPPAERSTEEQSSGSVEEENSGDQDAAEETPEIKLETAPADFRFPTTNQTRHCFTRYVEYHRCIAAKGEDAPECDRFAKYYRSLCPGEWIDRWNEQRENGTFPGPL >OIW21340 pep supercontig:LupAngTanjil_v1.0:Scaffold_94_13:5425:6693:1 gene:TanjilG_32480 transcript:OIW21340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVASDFRSWDELIPDALGVIFTHLSVQERLTIVPSVCKSWADLVAGPYCWQEIDIDEWSSCCEPDKLDPLLEMLITRSSGSLRKLCVSCIQTERMFIFIAENAPSLETLRLSRCNINDSIVEQFTRRLSMLSFLDVSYCTNIGARALETIGNNCKLLEGLCRNMHPLDTAGKNYEDDEANAIASTMTNLKHLEMTYHLIKTTGVLKILSNCHKLEFLDLRGCWGVQLENISVEQNFPRLKVYEPFVTDFYEKDEWEDFSESSEYLTWDFVAGDMNGYYDDESDIDDEMWDDEGRLEELEFRFYQGIEDAEMFWPPSP >OIW21341 pep supercontig:LupAngTanjil_v1.0:Scaffold_94_13:15420:18796:1 gene:TanjilG_32481 transcript:OIW21341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISTANSLIPTKSLLSSTKLNQSPSSSYSILTNPTRPGSKTKPYILAVHASNNPVVSENSLKPSSSSVATTNVGQRKWTVDSWKSKKALQLPEYPSQEDLEAVLLTLDTFPPIVFAGEARTLEERLGEAAMGNAFLLQGGDCAESFKEFNANNIRDTFRIILQMGVVMMFGGQMPVIKVGRMAGQFAKPRSDGFEEKNGVKLPSYRGDNINGDAFDEKSRIPDPQRMIRAYCQAAATLNLLRSFATGGYAAMQRVTQWNLDFTDHNEQGDRYRELAHRVDEALGFMGAAGLTVEHPIMKTTEFWTSHECLLLPYEQSLTRLDSTSGLYYDCSAHMLWVGERTRQLDGAHVEFLRGVANPLGIKVSDKMDPNELVKLIEILNPQNKAGRITIITRMGAENMRVKLPHLIRAVRGAGQIVTWVSDPMHGNTIKAPCGLKTRPFDAIRAEVRAFFDVHEQEGSHPGGVHLEMTGQNVTECIGGSRTVTFDDLSSRYHTHCDPRLNASQALELAFIIAERLRKTRIRSQQSLGSIGL >OIW21343 pep supercontig:LupAngTanjil_v1.0:Scaffold_94_13:30336:30914:-1 gene:TanjilG_32483 transcript:OIW21343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKHSNNISKPITKNVSNNNNPTKPITKNHSFDYKHMLTQVETPLSPSVYRVTKSEFKDFVQKVTSCTPNITAPPPPINKPKPTSTRLQSIRPPPLMQINNRQSLPLDTAFAGPINLVNVMNPINPIMDPINNVNDAINVAPQPLSPLPPLPIVDVGVESPITSYLRFINESMMSSSMPILPPGILFAPHAD >OIW21339 pep supercontig:LupAngTanjil_v1.0:Scaffold_94_6:30779:35584:-1 gene:TanjilG_32479 transcript:OIW21339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESYLNENFGGVKCKNTSEESLDKWRKLCGVVKNPTRRFRFTANLSKRGEAAAMRRTNQVYFMFFICISGPMLHYCGCGVQPSDYTVPEEVKAAGFQICADELGSIVEGHDVKKLKFHGGVSGIAEKLSTSTTTGLSNDMDLRYRRQELYGINKFTESEVRSFWIFVYEALQDMTLMILAVCALVSLLVGIATEGWPKGAHDGLGIVASILLVVFVTATSDYRQSLQFKDLDKEKKKISIQVTRNGYRQKMSIYNLLPGDLVHLAIGDQVPADGLFVSGFSVLIDESSLTGESEPIMVTSQNPYLLSGTKVQDGSCTMLVTTVGMRTQWGKLMATLSEGGDDETPLQVKLNGVATIIGKIGLFFAVVTFVVLVKGLIGRKIREGRFWWWSADDAIEMLEFFAIAVTIVVVAVPEGLPLAVTLSLAFAMKKMMNDKALVRHLAACETMGSATTICSDKTGTLTTNRMTVVKTCICMHIKDVNDKDSSLSVDLPDSALKLLLQSIFNNTGGEVVVNKKGRREILGTPTESAILQFGLSLGGDFKAEREACKLVKVEPFNSEKKRMGVVLELPEGGGLRAHCKGASEIILAACDKVVNSNGEVVPLDEESNNHLKSIINEFACEALRTLCLAYIELENGFSSEDPIPSSGYTCIGVVGIKDPVRPGVKESVAVCRSAGIMVRMVTGDNINTAKAIARECGILTDDGIAIEGPDFREKTQEEMFELIPKIQVMARSSPLDKHTLVKQLRTTFGEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKESADVIILDDNFSTIVTVCKWGRSVYINIQKFVQFQLTVNVVALLVNFSSACMTGSAPLTAVQLLWVNMIMDTLGALALATEPPNDDLMKRTPVGRKGNFISNVMWRNILGQSLYQFIVIWFLQSVGRWVFFLRGEKADITLNTLIFNSFVLCQVFNEINSREMEEVDVFKGIMDNHVFVAVIGCTVVFQIIIVEYLGTFANTTPLSLVQWLFCLLVGFMGMPIAARLKQIPV >OIW21338 pep supercontig:LupAngTanjil_v1.0:Scaffold_94_6:15944:20292:1 gene:TanjilG_32478 transcript:OIW21338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKPHHFIFFLIFSFSLLTPLNTATLITIQINESHTPRRFLHQPLFPVASTQPPPPPPPEDTPSSPDIPFFNEYPTQPPPPSSDQVLPSTPAAAIATNPTATQTTKPTKKIAICISVGIVTLGMLSALAFFLFKHRTKHSVETTTIQKQKELVSANSHFVPETSNNSTRPQPSPQSSFLYIGTVEPNRVSLSEPDQTGNGGKRSPYGKLNNSLKLSDRYRPSPELQPLPSLSKHSLENHPPPPASSSEESDEESRETRFHSPSLSHEEDSYYYTPVSRHSYVDNGSPATAVAGVPVVPYSKRTSPKSRLSASSPDIRHIMIPSIKYAPQPPPSLFPAPPQPRRPKFASPPPAPDLRHLHSDDSMTSFNPPPPPPPPPPPRAIPQRKAWSPARSTSSSIGALRKKQQCWSPSQEGAASTSCTKSVTKPASVEVDETDEGKPKLKALHWDKVPATSDRATVWDQLKSSSFQLNEDMMESLFGCHSTNSAPKETVTRKQVIPPVEQENRVLDPKKSQNIAILLRALNVTRDEVSEALLDGNPEGLGSELLETLVKMAPTKEEEIKLKNYDGELSRLGSAERFLKTVLDIPLAFKRVEAMLYRANFEAEVNYLWKSFQTLEAASEELKNSRLFFKLLEAVLRTGNRMNVGTNRGDAKSFKLETLLKLVDIKGTDGKTTLLHFVVQEIIRSEGSSGEESANDNAQNRITSEFNEDEFRKKGLQVVGGLSRDLGNVKKAAGMDSDVLSSYLSKLEMGLDKVRLVLQYQKSDMQGNFFNSTKLFLKDAEEKIIRIKADEKKALFLVKEVTVYFHGDTAKEEAHPLRVFMIVRDFLNILDHVCKEVGKMQDRTVIGSTRSFRIAASASLPVLSRYHTRQDRSSGEESSPP >OIW22060 pep supercontig:LupAngTanjil_v1.0:Scaffold_951:1336:3138:1 gene:TanjilG_32705 transcript:OIW22060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHQRQKAPQQQQQQNDAEEIQHGPFPVEQLQASGIAAVDVKKLKDAGICTVESVAYTPRKDLLQIKGISEAKVDKIIEAASKLVPMGFTSASELHAQRAEIIQITTGSIELDKVLEGGIETGSITELYGEFRSGKTQLCHTLCVTCQLPLDQGGGEGKAMYIDAEGTFRPQRLLQIADRFGLNGADVLENVAYARAYNTDHQSRLLLEAASMMVETRFALMIVDSATALYRTDFSGRGELSARQMHLAKFLRSLQKLADEVKMHVRMAMHLTF >OIW21346 pep supercontig:LupAngTanjil_v1.0:Scaffold_95_50:8510:13296:-1 gene:TanjilG_32660 transcript:OIW21346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAISEKLFFSNACFVFFFLLLIGELRNVEVEAHRLQSLNNSSMAAKAKEADQLNQRAAVADPEEVASMVSMSIKNSTERRKLGFFSCGTGNPIDDCWRCDRNWLNNRKRLADCGIGFGRNAIGGRDGRLYTVTDPRDDNPVNPRPGTLRYAVIQDEPLWIVFQRDMVIQLKQELIMNSFKTIDGRGANVHIANGACITIQYVTNIIIHGLHIHDCKPTGNAMVRSSPSHFGWRTMADGDAISIFGSSHIWVDHNSLSNCADGLVDAIMGSTAITISNNHLTHHNEVILLGHSDSYTRDKQMQVTIAYNHFGEGLIQRMPRCRHGYFHVVNNDYTHWEMYAIGGSANPTINSQGNRYNAPVNPFAKEVTKRVETAASQWKGWNWRSEGDLLLNGAYFTPSGAGASASYARASSLGAKSSSMVGSMTSNAGALGCRKGQQC >OIW21347 pep supercontig:LupAngTanjil_v1.0:Scaffold_95_57:3637:7055:1 gene:TanjilG_32661 transcript:OIW21347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNTTTTNTMQYKNLGRSGLRVSQLSYGAWVSFGNQLDVKEAKSLMQCCRDHGVNFFDNAEVYANGRAEEIMGQAIRELGWKRSDLVVSTKIFWGGQGPNDKGLSRKHIIEGTKASLKRLEMEYVDVIYCHRPDTTTPIEETVRAMNYVIDNGWAFYWGTSEWTAQQITEAWSVAQRLDLVGPIVEQPEYNLLSRHKVESEFLPLYDSYGIGLTTWSPLASGVLTGKYKKGAIPPDSRFALENYKNLATRSLVDEVLKKVDCLKPIADELGVPLSQLAIAWCANNPKVSSVICGATKESQIQENMKAIDVIPLLTPEVMDKIEGVIQSKPKRADSYK >OIW21348 pep supercontig:LupAngTanjil_v1.0:Scaffold_95_60:3958:7640:1 gene:TanjilG_32662 transcript:OIW21348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKAINRQKVLLQHLNPPSSIYANESSTLSASACLAGDSAAYHRTSAFGDDVVIVAAYRTALCKAKRGGFKDTHADDLLAPVLKAVVEKTNLNPNEVGDIIVGTVLAPGSQRATECRMAAFYAGFPDTVPIRTVNRQCSSGLQAVADVAAAIKAGFYDIGIGAGLEAMTANPMGWEGSVNPKAKLFEQAQNCLLPMGITSENVAHRFGVSRKEQDQAAVESHRRAAAATAAGRFKDEIVPVSTKIVDPKTGEEKSVTISVDDGIRPNASVADLGKLKPVFKRDGTTTAGNSSQVTDGAGAVLLMKRSVAIQKGLPILGVFRTFAAVGVDPAIMGVGPAAAIPAAVKAAGLELDDIDLFEINEAFASQFVYCRNKLGLDPEKINVNGGAMAIGHPLGATGARCVATLLHEMKRRGKDCRFGVISMCIGTGMGAAAVFERGDSIDELRNARKTEGNLFLSKDAK >OIW21349 pep supercontig:LupAngTanjil_v1.0:Scaffold_95_60:12071:17914:-1 gene:TanjilG_32663 transcript:OIW21349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMDQVVEIPDTPDRLTTRHGDRKYVGNPDVDIMCMNKSDRGFPDADEISNGSNYITVSSEKSRPSQNAPMFRRAQTQKIFRPGTTRSNVPEKMDKGKTVSSNSPKSSHHGSVSVLHLSEENGRSQELKSTISNRGSRNSATEDKKELKANFGSSSLPFTSDSSNASRNAFRGTCNKTFSGLNTSVDRGKSIALSSDSQCPHKNEKQVSLHPLLSSSPRVRGQKRLVRNGCISPHNIATRAKQSTEQNNHQSIDIEQSHAGHSVSINTMSPISVDCIVAEERGNGRVKGKGVLIHPSSHGLNAGTIHTATRPVINCEEVGGTSDATRNSIKYLEGQSGWRTTHNDVGRSIGGQNRNIMDRSDTGGGQSSNGVTGSLLDHAAQATSLTIPEANQLTGIHPTTDALTKRQRKRGSTSASRNGSSYNSEIMFLDSSGESSSSPRSPVLSSEVLELLSEPRYTNRISIDLDDNDSNSSDARAQQVEADEILARELQEQLYHDDSFEGQGRGIDEHLAWEMQNFEDLLHTSIDSHDIPHPMRMPREPSNRQPRPSTRQNPSNRRAMPQVPFSNRTSQLRNRTIRRTLTPRISTRGRRPQFPLDMDLDMRLDILEALEDAVGGLSDMGMADDIFHAGRDFNEDDYEMLLALDEGNHRHTGASANQINGLPQSTIQTDNCTEVCAVCLETPVKGETIRHLPCLHKFHKDCIDPWLRRKPSCPVCKSSIT >OIW21350 pep supercontig:LupAngTanjil_v1.0:Scaffold_95_64:1888:6412:1 gene:TanjilG_32664 transcript:OIW21350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLPISNDAVLASDKKQKKEKKTKKNDADTENQSLNDTVSVKKSSSKESKKKRKKVSSSDEGDDDDDEKKSENGDEGLKSKEKKKSKKAKLDMEEEEEVEVEPENPNAVSNFNISEPLKAKLKENGIEALFPIQATTFKIILDGSDLVGRARTGQGKTLAFVLPILESLTNGLAKASRKVGYGRTPSVIVLLPTRELAKQVNADFEVYGGAMGLSSCCLYGGAPYQAQEIKLRRGVDIVIGTPGRIKDHIERGNIDLSLLRFRVLDEADEMLRMGFVEDVELILGKVEDVNKVQTLLFSATLPVWVKQISTRFLKHDKKTIDLVGNEKMKASNNVRHIVLPCNSSARSQLIPDIIRCYSSGGRTIIFTEKKESASELAGVLPGARPLHGDIQQSQREITLSGFRSGKFLTLVATNVAARGLDINDVQLIIQCEPPRDVEAYIHRSGRTGRAGNTGVAVMLYDPRKSSVSRIERESGVKFEHISAPQPDDIAKAVGGEAAEKILQVSDSVVPAFKSAAEGLLNNSGLSAVELLAKALAKSVGFTEIKKRSLLTSMENYVTLQLEIGKPMFTPSFAFGVLRRFVPEEKVNEVQGLTITADGNGAVFDVPAKHLDAFLTGQQNAGNVSLEVVKALPRLQEREESKMGRFGGGGRGFGGSRFGGRGGRGGSRFGGGGGRGGNRGGRRW >OIW21352 pep supercontig:LupAngTanjil_v1.0:Scaffold_95_66:43180:46924:1 gene:TanjilG_32666 transcript:OIW21352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDKGDTTKKQQQKLQHQQTPQISSSPKAPHEEPIPDARPIHHHQSSPVVVVSGATPFISDIYASSGGASSLPFEQKFEALNPKRPRYSSSTGQWKILPSPTQQKQTEMAMLPTEQSPSPSSNPQQQPLQSYTTAAATASSSDTTASSPSHSPMPLVSGGSGQEGKNKQEGSENIHHHLRKGKYVSPVWKANEMLWLARAWKAQYQGGSEGSSSSERRDQQQKQTELGMSKGKTRADKDKEVAEFLQMHGVNRDAKTAGTKWDNMLGEFRKVYEWERGGERDQIGKSYFRLSPHERKLHRLPASFDEEVFEELSQFMGSRIRSSSHGTRAGSSFITGDDGRTRALPLPPPSRPYKEDDLPLAARTKHLAITSGGEALFHGCRGSLLGLESTLDIHAPSSLTCSYKELRRIGKIRMTWEESVCLWAEEGEIQRGRVRLQGSSFLNADELTFFDDAMVPCPMESFEEGPLKGFSVDRFVSGQQVKVFGRRKSSNSASTSGFTERVQLPSKAPSIRSISTPDFRDPTEYYVDCLMRVSSQTLPSIFELKQHLQDPPPEDLRFPLRKDVYNDLPQGKEVFFTTSIQEPLDCRAIMYDIVGPIIRTNPSTLTCASRDSFIALWDDCINRLVSRFCPEEIVMIRKPTLGSADDNNNMLQDQWPNVTGFVNNYCLWRGEEIDQLREGQYGPSSTIVGKLLWTYLDLPYILGYYAIGNVVTFCALSRSTQDHGAITRTDLLEINLSSSSDRLKALVPCYRISVLLSLLSKRCTSLSNCKAFVYSDFERVDYGNGIITEMTPNTCIRVFSDKRRWAQAKEVYEILDHRIPHAEFLFGASENDMSLVFKPRGCRMKPSNCEQLVEALKYVTKALVALHDLSFMHRDMGWDKVMRRSDRDNEWFVCGFDKAAGAPELNKYVTAARGGHAPEMERGLHGVKVDVWGVGYLIKTCGVAGVPKMLRELQNRCMEQNPEQRPTAADCYHHLLQLQSSLQAAAGGGVLM >OIW21351 pep supercontig:LupAngTanjil_v1.0:Scaffold_95_66:8988:9356:1 gene:TanjilG_32665 transcript:OIW21351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGNIELGEKVAHHLIDLEPHNHAFYMNLCDIYAKAGMFEDAQRTRSLMKERGIKKEFPGCSMIEINGVVNEFSAGGSSEFPMEELVLVLNKLSNEMKMSIVHISRSIPSNNVSEICTSLYY >OIW21354 pep supercontig:LupAngTanjil_v1.0:Scaffold_95_69:19738:20817:1 gene:TanjilG_32668 transcript:OIW21354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLLSLTNYKCFWGTLSAFTLCFIFIANSTTLQTTTTPKPCNDPYKELNLILNPNGTVTRLNKPPQSPPSPDPTLPNLVLSKDLTINQSKGTWARIYLPRKALDQKSKLPLIVFYHGGGFIFLSAASTMFHEFCFNMAKDVVAVVASIEYRLAPEHRLPAAYDDAVEALHWIRTNHGDSWLSKYVDYSNVFLMGSSAGGNIAYNAGLRIAARDDQDYKIIKGLILVQPFFGGTHRTSSELKLANSPYLSLCTNDMLWKLSLPVGVNRDHEYCNPMVRDGFEGLEKIERLKWWVLVTGCSGDPLVDRQMELVKLMKNKRVRVVGHFTPGEYHGVQDKEPLKAKQLYGVMKSFISELPSQD >OIW21353 pep supercontig:LupAngTanjil_v1.0:Scaffold_95_69:12542:13453:1 gene:TanjilG_32667 transcript:OIW21353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQPLFPTTPATPDPDTHSSVLTKDVPFNSINNTSLRIFLPKKALQSSTNSQKLPIIVYYHGGGFIYLSASSTINHDFCFRLAEMLSVVVISVDYRLAPVARLPAAYDDGVEALQWLKTTNDKWVREFGDITKCFLMGTSAGGNMVYHVGLRVLHRTIDHFHIDPLKIRGLILHHPFFGGTQRTESELRLINDQVLSLKSCDMMWELALPEGEDRDHKYCNPMVDEDVDKCFDQIKRLRWKVLVTGCDGDPLFDRQVGFVEMLRRKGVEVEEHFGDGYHGMELLDLSKADPLFVRVKDLIYLC >OIW21355 pep supercontig:LupAngTanjil_v1.0:Scaffold_95_70:13313:15002:1 gene:TanjilG_32669 transcript:OIW21355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNKASSQSQPFDPYEYLQIVQSPDGTLTCSIEYPKAPPTSDPNLLIPVLTKDVTINESTKTWVRLFLPRRTLLSSHGSNSNHKLPIIVFFHSGGFICASAATIVVHDFCVDMADNVEAIVVSVDYRLAPKHRLPAQYDDAMDALYWIRSSQDEWLTKYADISNCYLMGNSAGANISYHTGLRVAEDVDHFKPLKIQGFIFRQPFFGGIKRTDSELRLENDPVIPVSTTDLMWELALPIGANREHEYCNLRVGNGPKKLDEFRKLGWRALVSWTGGDQLGDRGKELVQLLDEKGVQVVSDFHEEGCHEVEYNEPLKAKQLLGLVKGFISS >OIW21356 pep supercontig:LupAngTanjil_v1.0:Scaffold_95_83:15747:18909:1 gene:TanjilG_32672 transcript:OIW21356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENIIECELSEEASPEVPSLIRYISSNEVAGFDTVHSQCPSPSPMESEATSPTGHSNEPLVINHERKKTVSMPLSSEVVNPQNTKRVFYSGETIIRNDIPLHQSAKATTKPPHPSKCYSQSMAKDSMFPNVRNGASYSNHPGIKAFRDKRFDSFKTWAGGLERQLSILRGKSPGHPAHDQGSNFRNTEKNVSVDRYFAALEGPELETLRASEKAVLPQDRKWPFLLRFPVSSFGICLGVSSQAILWKTLATSPSTQFLHIGLKVNLILWFISVALIVTVFTIYILKFILYFEAVRREYYHPIRVNFFFAPWISLLFLAIGVPPSVAKNLHQSLWYCLMIPILCLELKIYGQWMSGGQRRLSKVANPSNHLSVVGNFVGALLGASMGLKEGPIFFFAIGLAHYTVLFVTLYQRLPTNETLPKELHPVFFLFVAAPSVASVAWARIQESFDYGSRIAYFIALFLYFSLAVRINFFRGFKFSLAWWAYTFPMTGAAIATIRYSNQVPTIMTKALCAILSLISTLTVTALFVSTILHAFVFRDLFPNDLAIAISDIKNKPHKKWFRHRSHDSKEIENYLKFENSDQVDVEACTTVQPSKFSDNPLPI >OIW21357 pep supercontig:LupAngTanjil_v1.0:Scaffold_95_84:12400:13203:-1 gene:TanjilG_32673 transcript:OIW21357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METSQTLSIESFSCSWLVNLKPSLEILDSSHRTSLDAADEASFIEMDPRMPPSKRFFKNYSHDFKFDFPISQSPLVHVDADKLFSNGHLMPLFVESLKMESYEALNVNPSIASSSHAPKNVAPTRCTSLKRCRTLSRRIFLKYLNFLRPLCRKLRGHNKSSSNHEAVVKRTQSVKNRGYYSESTPRISVPYSEDAWRNSCDSESSIYEAVLHCKRSTERMS >OIW22061 pep supercontig:LupAngTanjil_v1.0:Scaffold_964:1210:1455:1 gene:TanjilG_32822 transcript:OIW22061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKEVNQNVVVKKQVKNNLCNYKMNATVIPAPRKSVKRMMFEEIVQFFTRLFSTSEGNYKLENKTRCHRKIKSIYDSTELN >OIW19998 pep supercontig:LupAngTanjil_v1.0:Scaffold_9_102:9139:9543:-1 gene:TanjilG_31912 transcript:OIW19998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKEGTLLLLLTFIACFIVSGIATRDLVMKPKQDISARLEGNNGDLVNCWSSLLELKSCSNEIVLFFLNGVADIGPGCCTAITVITHNCWPAMLTSLGFTAEEGNILRGYCDAAAASASTPTPAEAPYSIFIN >OIW19997 pep supercontig:LupAngTanjil_v1.0:Scaffold_9_102:5966:6367:-1 gene:TanjilG_31911 transcript:OIW19997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFSTKLFIVIICTMTTIVMARPLTPTTSNSTHATRLGSDENNKCWETLFELQSCSGEVIMFFLNGETYLGSGCCNALLTIVQQCSTLITTLGLTQQECDIMRGYCEEIAHNNNKSNATVNSSNPISSNNNLV >OIW20000 pep supercontig:LupAngTanjil_v1.0:Scaffold_9_107:23757:29398:-1 gene:TanjilG_31914 transcript:OIW20000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFDEYDYLEKTVEEQDDNNNNTIKKDTTERSYRKRDEFDDDHDADEKRSKRSRRDDENGSSKKDRDHKRSSGRDKERDQEHSSGRGKDRERDRERSSGRDKVRERDRERSSGRDKERRREKEREREKDREGEKESERSSREKEREREKDREKEKERDRREKDKEKEREKSRERREREKSRERREREKSREERERSRRSRSRSEIKDRELEPDFESRDGRRYRDKKEAVEPEADPERDQRTVFAYQMPLKATERDVYEFFSKAGKVRDVRLIMDRNSRRSRGVGYIEFYDAMSVPMAIALCGQLLLGQPVMVKPSEAEKNLVQSNATSGAAGVVGPYGAVDRKLYVGNLHFSMTESQLREIFESFGPVELVQLPLDMETGHCKGFGFIQFSHLEHAKAAQTINGKLEIAGRTIKVSSVTDHVGSQDATAKSADFDDDEGGLALNAQSRAMLMQKLDRSGLASSIGLPIVNGSAPAQQAISLPIGNPGIIPATVLPSQFMPTPVAEPVGNPTECLLLKNMFDPTTETEPDFDLDIKEDVEEECSKHGRVKHIYVDKRSAGFVYLRFETVEAASAAQREMHNRWFARRLISAVYMVSI >OIW20001 pep supercontig:LupAngTanjil_v1.0:Scaffold_9_107:32212:32454:-1 gene:TanjilG_31915 transcript:OIW20001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQMCTRCLGASVRNHAPDALEPRSGIMHQMPWSLGQECLRASVRNVHMMLWNLGQNCAPDFGSIDHVHQNRLASCLSNE >OIW19999 pep supercontig:LupAngTanjil_v1.0:Scaffold_9_107:6595:13237:1 gene:TanjilG_31913 transcript:OIW19999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTGGRLVTGSHNRNEFILINADENARIKCVRELSDQICQICGDEIEIAEDGDPFVACNECAFPVCRTCYEYERREGNQVCPQCKTRYKRIKGSPRVEGDEEEDDIDDLDNEFDYGYRDAFGLQTTSDSVLFGSRHARRDSSYSMSGISPSLELDSSLLNSEIPLLTYGEEDLDISSDQHALIVPPYMSKGYNVHPMPYTDLSIPLQPRPMVPEKDIAVYGYGSVAWKDRMEEWRKRQNDKLQLMKHAGNDNGGNFGDDLEDPDMPMMDEGRQPLSRKLPISSSLINPYRIVIILRLVILGFFFHYRILNPVKDAYGLWLTSVICEIWFAVSWIMDQFPKWYPITRETYLDRLSLRYEKEGKPSELSSVDVFVSTVDPMKEPPLITANTVLSILSVDYPVDKVACYVSDDGAAMLTFEALSETSEFARRWVPFCKKYNIEPRAPEWYFNRKMDYLKTKVHPAFVRERRAMKRDYEEFKVRINRLAATAQKVPDDGWTMQDGTPWPGNNVRDHPGMIQVFLGQDGVRDIEGNELPRLVYVSREKRPGFDHHKKAGAMNSLVRVSAILSNAPYMLNVDCDHYINNSKAIREAMCFMMDTQVGKKVCYVQFPQRFNGIDRHDRYSNRNVVFFDINMKGLDGLQGPIYVGTGCVFRRQALYGYDAPTKKKPPSKTCNCFPKWCCLCCGSRKKKNATNKKKKAKSREASKQIHAVENIEEGIEGSNTEKSNSTQLKLEKRFGQSPVFVASTLSENGGIPLDISPASLVKEAIQVISCGYEDKSEWGKEVGWIYGSVTEDILTGFKMHCHGWRSVYCIPKRPAFKGSAPINLTDRLHQVLRWALGSVEILLSKHCPIWYGYGGGLKFLERFSYINSVVYPLTSIPLLVYCTLPAICLLTGKFIVPEISNYASLVFMALFISISTTGILEMLWGGVGIDDWWRNEQFWVIGGVSSHLFALFQGLLKVLGGVNTNFTVTSKAADDGEFSDLYLFKWTSLLIPPVSLLVINVVGVVIGISEAINNGYDSWGPLVGRLFFAFWVIVHLYPFLKGLLGKQDKVPTILVVWSILLASILTLLWVRVNPFVSRDGPVLEVCGLNCDDS >OIW20002 pep supercontig:LupAngTanjil_v1.0:Scaffold_9_108:2260:6844:-1 gene:TanjilG_31916 transcript:OIW20002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEKESTSIPLSQAENASLGDITDPEDPAKSPPNSPNYSTRRACCFVLQSWVSKKFMTGCVVLFPVAVTFFITWWFIEFVDGFFSPMYSRLGVDIFGLGFITSLVFVFLIGVFVSSWMGATVFWIGEWVIKKMPLVSHIYSASKQISAAISPDQNTTAFKEVAIIRHPRVGEYAFGFITSTVTLQKENEDEELCSVFVPTNHLYLGDIFLVNSKDIIRPNLSIREGIEIIVSGGMTMPQLLSAVDKVPPPNERIPLNRIG >OIW20003 pep supercontig:LupAngTanjil_v1.0:Scaffold_9_109:3224:7845:1 gene:TanjilG_31917 transcript:OIW20003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVIKRDGRQEPVHFDKITARLKKLSYGLSTDHCDAVLVSQKVCAGVYKGVTTSQLDELAAETAAGMTANHPDYASLAARIAVSNLHKNTKKSFSETVKIMYNHFNERSGLNAPLIADDVYEIIMKNAARLDSEIIYDRDFDYDYFGFKTLERSYLLKVQGKVVERPQHMLMRVAVGIHKDDIDSALRTYHMMSQRWFTHASPTLFNAGTPRPQMSSCFLVCMKDDSIEGIYETLKECAVISKSAGGIGVSVHNIRATGSYIRGTNGTSNGIIPMLRVFNDTARYVDQGGGKRKGAFAVYLEPWHADIFEFLDLRKNHGKEENRARDLFYALWVPDLFMERVQSNGQWSLFCPNEAPGLADCWGEEFEKLYLQYEREGKGKKVVKAQNLWFEILTSQIETGTPYMLFKDACNRKSNQQNLGTIKSSNLCTEIIEYSSPTETAVCNLASIALPRYVREKGVPMDSHPSKIVGSIDSGNRYFDFDKLAEVTSIITTNLNKVIDVNYYPVESAKLSNLRHRPIGIGVQGLADTFILLGMAFDSPEAQQLNKDIFETIYYHALKASSDLAEQEGPYETYSGSPVSKGILQPDMWGVTPSNRWDWNALRERILKNGVRNSLLVAPMPTASTSQILGNNECFEPYTSNIYSRRVLSGEFVVVNKHLLNDLTEMGLWSPAIKNKIVYGNGSVQKIPEIPDELKTIYKTVWEIKQKTLVDMSVDRGCYIDQSQSLNIHMEQPNFGKLTSLHFYAWSKGLKTGMYYLRSQAAANAIQFTVDASAIEEKLNTEDDDTKMAQMVCSLTNPEDCLACGS >OIW20004 pep supercontig:LupAngTanjil_v1.0:Scaffold_9_109:9939:10211:1 gene:TanjilG_31918 transcript:OIW20004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKNKSLSTYHYVSYSDIKAGLKDQSTSYNFNGPSMNGDDFVGTNDNPEVKRRKRVASYNKYTMEAKLKSSFRNSFKWIKNKLVDVYKDE >OIW20006 pep supercontig:LupAngTanjil_v1.0:Scaffold_9_110:30031:33917:-1 gene:TanjilG_31920 transcript:OIW20006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQDAPHQAQCASVRIGAPGTTPRSGLVHQAQCASPILDAPDTTPRFFEEQDQIPTQMGFFPFPPNLTLPPLRAFSAIASSENFAGNSLGKPRPDLISSLGGEGQLLSLNRSSHVNSWAWGEVADCMMGKSSGGDEHHHHQHLGVSAIKMKKMKNRRKVREPRFCFKTLSEVDVLDDGYKWRKYGQKVVKNTQHPRSYYRCTQDNCRVKKRVERLAEDPRMVITTYEGRHAHSPSNELEDSQSPSQLTNFFW >OIW20005 pep supercontig:LupAngTanjil_v1.0:Scaffold_9_110:21414:24768:1 gene:TanjilG_31919 transcript:OIW20005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSETKGDRKATLDAASWFFNVVTSVGIILVNKALMATYGFSFATTLTGMHFATTTLLTTILKSLGYIQSSHIPLADIIKFVIFANFSIVGMNVSLMWNSVGFYQIAKLSMIPVSCFLEVVLDKVRYSRDTKLSITLVLLGVAVCTVTDVSVNTQGFIAAAIAVWSTALQQYYVHFLQRKYSVGSFNLLGQTAPAQAASLLLVGPFMDYWLTNKRVDAYSYGLTSILFIIMSCTIAVGTNLSQFICIGRFTAVTFQVLGHMKTILVLILGFIFFGKEGLNLQVVLGMFIAIVGMVWYGNASSKPGGKEHRNFSIPATTKTPDYSAVPVSTEPDEKV >OIW20008 pep supercontig:LupAngTanjil_v1.0:Scaffold_9_114:14523:15218:-1 gene:TanjilG_31922 transcript:OIW20008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASISTTAFSIYTQSHSQFPNSKSKRFSLLKHHPLVHHPTNKHFPISFHPPKVGTFLHPFTCFDTPSSNFSNPTCENSPRRSLTKFVSEKIAFLLIGTFIFIGSFNKRAAIAITQPSSSVEEKVELVEGKDEEERWEKVLEKDPRNVEALKVIMYGKIKRGKSKEAVKFVESLIDVEPNEVEWRLLLALCYETMGELSKAKRLFREILKQKPLLVRALHVMFLSPSFSFGN >OIW20007 pep supercontig:LupAngTanjil_v1.0:Scaffold_9_114:8522:12478:-1 gene:TanjilG_31921 transcript:OIW20007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGTSNLALKVGLAMVMHKNHEGPAMFEMLTKAQELASHENRVTEDRNIRILIAQMLVVQGALEEGLKKFQELVDENPRDFRPYLCQGIIYSLLDKNEEAAKQFETYQALVPKEFPQRGFLDDVALTARGTSRDQLQKKFGHQLSSQK >OIW20009 pep supercontig:LupAngTanjil_v1.0:Scaffold_9_115:4201:4542:-1 gene:TanjilG_31923 transcript:OIW20009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISNSLPKEWKGKTKLLPLKWEEVQSKQSKIELEKIDYKESLFMFEDGIVPLPSKFVENASNDSVLTSSYDDAIFEWQKKELMQRVHVYGDCVMNAPKKSKRRLQVFEDIAPN >OIW20010 pep supercontig:LupAngTanjil_v1.0:Scaffold_9_123:3156:14788:-1 gene:TanjilG_31924 transcript:OIW20010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMVLDGFPNALTMSEMGFFSVIEFLVEFGILKDELLETLKCSEVIKERIIRDGMLRACFEVKLRVDSLSGHGLIRRDALQMYLLINNIVSKQRSTRTRSFNNKNVKKQITTWKGKAKLRVKWEEVQSMQSKIELEKIDYKESLFMFEDRIVPLPSKFVENTFSDSILTSSYDNAILEGQQKELMQQVHVYGDFVMNASRKSKCRLQVFGDIAPN >OIW20011 pep supercontig:LupAngTanjil_v1.0:Scaffold_9_125:17918:19282:1 gene:TanjilG_31929 transcript:OIW20011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVISKSSSMNLVILLVFVSGLVQAKAYYYRQCSTKGTRCNGQYIQCPSECPSSESNDPKAKVCLIDCDKPTCSAVCRHRKPNCNAPGSGCFDPRFIGGDGRVFYFHGKSNEHFSLVSDSNLQINAHFIGHRPDGRTRDYTWIQALGVLFNSQTFSIEATKTSQWNDQIDHLKFTYNGNDLVLDESSLPTWYSPKRDVKVERVASKNSVIVTIEDVAEILVNVVPVTKEDDTIHNYQVPSDDCFAHLEVQFRFFSLSPKVDGVLGRTYRLDFENSAKPGVAMPVVGGDDKYKTTSLLSSNCASCVFSQQSSSQKEITKVAEFVTLDCTKFSNGMGIVCKK >OIW20015 pep supercontig:LupAngTanjil_v1.0:Scaffold_9_126:17645:25772:-1 gene:TanjilG_31933 transcript:OIW20015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSLTISVPFPQLQQRFPTLFKPKPTLFFIPSSYSLADFKVGQDLPPNYADWLPKPDPQHRRRAGILLHPTSFRGPYGIGDFGDEAFRFIDWLHYSGCSLWQVLPLVPPDGAGSPYSSQDANCGNTLLISLEHLVEDGLLEKHELPEPIDAEQVNFSVVADLKDPLITKAAKRLISSEGELKKQLETFRRDPTISSWLEDAAYFAAIDDSLNANIWYNWPEPLRNRHLVALEDICQQKRDFIDVFIAQQFLFQRQWQKVRSYAQSRGVSIMGDMPIYVGYHSADVWANKNQFLLNRKGFPLLVSGVPPDAFSDTGQLWGSPLYDWNAMEKEGYSWWIRRLRRAQDLYDEFRIDHFRGFAGFWAVPSEAKVAMVGKWKVGPGLSFFDAIFRAIGRINIIAEDLGVITKDVVQLRKSIGAPGMAVLQFGFGGDADNPHLPHNQECNQVVYTGTHDNDTIKGWWEALKPEEKSNVLSYLSLTEEDDISWALIKTALASVAQTAIIPMQDVLGLGSSARMNTPATQFGNWGWRIANSASFDSLEKEADRLKEMLSMYGRL >OIW20017 pep supercontig:LupAngTanjil_v1.0:Scaffold_9_126:31185:32788:-1 gene:TanjilG_31935 transcript:OIW20017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLSFLRLQPSKPGVVLYSNPNNFTVQRNLLSTKRRTDKPFCSLTLQSPSDDASGQQSRYTSTVGSSSLHISHWNLTQRHVTLLNLLACVTAISATWLFCSAIPTLLAFKRAAESLEKLMDTTREELPDTMAAIRLSGMEISDLTTELSDIGQEITQGVKSSTRAVRLAEERLRHLTTMPPSSPASLQQMTSHRADEADRGGGPVVATSARGIREGIVKGRSMLQMFFTLTRFSKFALNYITGRR >OIW20012 pep supercontig:LupAngTanjil_v1.0:Scaffold_9_126:2619:3972:1 gene:TanjilG_31930 transcript:OIW20012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVISKSSSMNLVILLVFVSGLVQAKAYYYRQCSTKGTRCNGQYIQCPSECPSSESNDPKAKVCLIDCDKPTCSAVCRHRKPNCNAPGSGCFDPRFIGGDGRVFYFHGKSNEHFSLVSDSDLQINARFIGHRPAGRTRDYTWIQALGFLFKSQTFSVEATKTAQWNDQVDHLKFTYNGNELVLHESSPSTWYSPKRDVKVERVASKNSVMVTIEDVAEILVNVVPVTKEDDTIHNYQVPSDDCFAHLEVQFRFFALSPKVDGVLGRTYRLDFENPAKPGVAMPVVGGEDKYKTTSLLSSNCGSCVFSQESSSEKKNIKVAEFVTLDCTKFSNGMGIVCKK >OIW20013 pep supercontig:LupAngTanjil_v1.0:Scaffold_9_126:5564:7296:-1 gene:TanjilG_31931 transcript:OIW20013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTKQKTARVSRNPELIRGIGKYSRSQVYHKRGLWAIKAKNGGVLPRHEPKAKPAVPAEKAPKFYPADDVKKPRLNKHKPKPTKLRASITPGTVLILLAGHFKGKRVVFLKQLPSGLLLVTGPFKINGVPLRRVNQAYVIGTSTKVDVSAVNVDKFDDKYFTKDSKKRNKKGEGEFFEAEKEEKNVLPQEKKDAQKAVDSALLQAIQSVPDLKTYLGARFSLKSGQKPHELLF >OIW20014 pep supercontig:LupAngTanjil_v1.0:Scaffold_9_126:14481:15590:-1 gene:TanjilG_31932 transcript:OIW20014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMFSTPSSSSSSSSCSSSSETPHNLIPSLPDDVAINCLSRVPRSHHPTLSLLSKPIHSLLSSPLFFSSRSSPEHLLYLTLRPRASPLKHFTLHLNPLTNRRYLVPIPPIPSPSVGSAYAVLGPTIYVIGGSHNDIPSNHVWLLDCRFHRWIPAPSMRVAREFPAAAVVDGKIYVIGGCVADTWSRSAAWAEVFDPVVNRWERVASPVEFREKWMHASAVIGGKFYAMADRGGMVFDPRSGSWESVGRELDNGWRGRACVVDEVLYCYDYLGKIKGFDVGSGVWREVKGLGKGLLPRFLCGATMGNVGGKLVVVWECDGNGKEIEVWCAEIEVKMDGTDGELMGEVCWIEKVLCVPKGSSIVQCCSVTL >OIW20016 pep supercontig:LupAngTanjil_v1.0:Scaffold_9_126:28103:29546:1 gene:TanjilG_31934 transcript:OIW20016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGESRSSSTFEDSSIITTPPPPPPPPSSNKSTLLQPQPYKKKQKTKVVRVFRSVFRSLPIMKPCKFHAMPMGGLVSHHQHFKNITENKICGTLFGYRNGRVSFSLQEKPKCLPSLVLELSIQTNMLQKEMAEGMVRMALECEKRQEKDKTRLMDEPLWTMYCNGKKSGYGMRREATEEDLNVMEMLKAVSMGAGVLPGKSENVEGELAYMRAHFDHVVGSKDSETLYMLSPEGNCGPELTMFFVRI >OIW20018 pep supercontig:LupAngTanjil_v1.0:Scaffold_9_133:6494:12090:1 gene:TanjilG_31936 transcript:OIW20018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLPLLFILLFLYFFSVSNAISNFELQSLLQFKKGITSDPQGKVLETWKPPKQPPPSSCLTNWVGILCDDLTGSVTAIVLDNLNLGGELNFETLLGLQLRTLSLSGNRFTGRLPPSLGTLTTLQRLDLSNNLFYGPIPGTINDLWGLHYLNLSLNDFKGGFPGLTNLQQLTVLDLHSNSFWCNISDVVSSLHNVERADLSDNMFYGGLQEAQDIRALAHTVRFLNLSKNRLDGPFFGVDSMKLFVNLEILDLSDNLIRGELPSFGSLSNKFRVLRLRRNLLFGSVPEELLQSSLLLEELDLSGNGFSGSISVINSTTLNILNLSSNRLSGSLPRSLSRCTVVDFSRNMFSGDISVLLSWEDKLEAIDLSSNRLSGSLPPVLGTHSKLSRVDLSLNELTGSIPGGLVTSSSLTSLNLSGNKFTGPLPLQSSGASELLLMPPNHPLEYLDVSSNFLEGGLPSDISKMSGLKLLNLARNGFSGKLPNELSKLIYLGHLDLSNNQFTGEIPDKLSSNLIVFDVSRNDLSGCVPENLQWFPPSSFHPGNEKLILKDKFPVTSVPVNDQGKHHSSKGITRIAIIVASLGAAVMIVLVLLAYHRVRVKEFHGRSEFNGQNAGRGVNLGRLTRPSPFKYNKNALPPTTSLSLSDDHLLTSNSRSLSGQIEFISDISDIGLLQGTVATTSESAIPNLMDNPPTSSERNSFHSSPLSSLPHFIAAGEKPVMLDVYSPDRLAGELFFLDSSLALTALELSRAPAEVIGRSNHGTLYKATLDSGHLLTVKWLRVGLVKHRKEFAREVKRIGAMRHPNIVPLRAYYWGPREQERLLLADYVHGDSLALHLYETTPRRYSPLSFSQRLRVAVDVARCVLYLHDRGLPHGNLKPTNILLPGPDYSACLTDYGLHRLMTPAGNAEQIRNLGALGYCAPELAMASKPVPSCKADVYAFGVILMEILTSKSAGDIISGQLGAVDLTDWVRLCEQEGRVMNCVDRDIAGGEESSKGMYELFAISLRCVLPLSERPNIRQVFDDLCSILV >OIW20020 pep supercontig:LupAngTanjil_v1.0:Scaffold_9_133:52016:54497:-1 gene:TanjilG_31938 transcript:OIW20020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDTGYTLDGTVDFGGRPVLASRTGKWKACYFILVYQTLERFAYFGVAANLVNYMTTQLNKDLISSISNVNNWSGSAWITPILGAYIADSFLGRFWTITLSLIIYAIGFVLLAVTTSLKSLRPTCTNGICSNASNLQLTLFYLSIYTIAIASGAVKPNMSTFGADQFDDFNPQEISPKISFFNWWMFTTAFGILVATLLVVYIQERIGWGLGHKARKATSITRDFIRVMVVAFRNRKLHLPSSPSELHEIELPYYINIGKRQIHHTSHFKFLDKAAINESEIDVLNPPCTVTQVEGIKLLLRMFQIWLLMLIPSNILALEVTIFIKQGTTMERNLGSIFHIPAASLWSFLVFTILISLPIYDHYFIPFMRQKTGNRRGITLLQRVGIGIAIEVIAMAITYAVEIKRMHVIQKQQIVGPEEIVPMSIFWLLPQNVVLGISDTFLLTGLHEFFYDQSPEEMKCLGTTFYTSTIASGSFFSTFLVYMIDKVTRNIAGKSWIGNNLNDCHLDYYYGFLFVISTLNFGVFLWVSSGYIYKEENSKEVNDMGNEGIV >OIW20021 pep supercontig:LupAngTanjil_v1.0:Scaffold_9_133:57156:60896:-1 gene:TanjilG_31939 transcript:OIW20021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDTCYTLDGTMDLGGRPVLASTTGKWKACYFILVYRGLERFAYYGVAANLVNYMTTQLNKDLTSSISNINNWSGSAWISSFLGAYMADSFMGSFWTITLSLIIYAIGFVLLALTTSLKSLRPTCKNGICNKASNLQLKLFYLSLYTIMIGSGAVKPNMSAFGADQFDDFNPKDKKLKVSFFNWWSFNNSFGTLVATLLVVYIQERFGWGLGNRKLQLPNSPSELHEFELHHYINSGSGQIYHTPHFRFLDKAAIKESKVDVSNPICTVTQVEGTKLVVRMSQIWLLMLIPSNFWAVEVTIFIKQGTTMERSLGPNYHIPAASLWSIVVITMLISLPIYDHYFIPFMRQRTRNIRGITLLQRVGVGIAIQIIAIAITCAVEMHRMHVIRMQHVVGPEEIVPMSIFWLLPQHVLLGISDTFLVTGLHEFFYDQSPKEMKCLGTTFYTSIVAAGNFFNSFLVTMIDSLTRKMGSESWIGNNLNDCHLEYYYGFLSVISIINFGVFLWVSSGYIYKRENTIEVSDMEIEKPRRKTSKSELLVVKT >OIW20019 pep supercontig:LupAngTanjil_v1.0:Scaffold_9_133:28728:29896:1 gene:TanjilG_31937 transcript:OIW20019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRERERFDEVGNKIKREGDVSSQMGRRHMLGPPGTLNTITPCAACKLLRRRCAQECPFSPYFSPHEPQKFASVHKVFGASNVSKMLMEVPECQRADAANSLVYEANVRLRDPVYGCMGAISALQQQVQSLQAELNAVRSEILKYKLREANMIPSSHVTMLPSSVDVTIIAPSPPLPPPLPPTSSSSSSMYIQQRAPTDYSRISSDNISYFG >OIW20022 pep supercontig:LupAngTanjil_v1.0:Scaffold_9_134:11424:16012:1 gene:TanjilG_31940 transcript:OIW20022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFASKNADTKATRTARWRSTGIVALRDSKLKTFPAEILDLDRSVRTLDLTHNRIVEIPMEISKLVNVQRLVFADNLIERLPTNLGKLQSLKLMNLDGNKISYLPDELGQLVRLERLSISGNLLTSLPATIGSLRNLLLLNVSNNKLKSLPESVGSCFSLEELQANDNLIEDLPSSVCNLSNLKSLCFDNNNVKQIPSTLLKDCKVLQNLSLHGNPISMDQFQQMDGFEEFEVRRKKKFDKQIDSNVLISSKGLDEGVDI >OIW20023 pep supercontig:LupAngTanjil_v1.0:Scaffold_9_136:2659:4401:1 gene:TanjilG_31941 transcript:OIW20023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESPRPTLPQHKSHTKPLSRLNSYVSKTKLGKFFKLNQRNSTFTTELRAGTTTFLTMAYILAVNASILTDSGGTCSVSDCIPLCSNASIPISKCTGSSLHVIQPDPSCKFDPVNPGYAACLEKTRKDLIVATVASALIGCVIMGAFANLPLGLAPGMGTNAYFAYTVVGFHGSGNVSYQSALAAIFIEGLIFLIISAVGLRAKLAKLIPKPVRISSSAGIGLFLAFIGLQNNQGIGLIGYNSATLVTLAGCPSSSQASLAPVMTLANGTVALLPGGAVSGDIFCVSGRMQSPTLWLGLVGFIIIAYCLVKNVKGAMIYGIVFVTAVSWFRNTKVTAFPNTDAGNSAHEYFKKVVDVHVIKSTAGALSFKKIGTGNFWEALFTFLYVDLLDTTGTLYSMARFAGFSDEKGDFEGQYFAFMSDATSIIVGSLLGTSPVTVFIESSTGIREGGRTGITALTVAMYFFLAFFFTPLLASIPAWAVGPPLILVGVLMMKSVVDIDWDDMRQAIPAFVTLLLTPLTYSIAYGLIGGIGTYIVLNIWDWGWELLGHYRSVEITRVPNGSHDVGANGEVPVKALKVET >OIW20024 pep supercontig:LupAngTanjil_v1.0:Scaffold_9_137:2673:4469:1 gene:TanjilG_31942 transcript:OIW20024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIVSYIGFTSLTKVPLYTEVLSKHSYHKSPCSVTVHKPNNSSVRVSQTQICCKLSERGIEENPTSKSSSKSKDRMEDYNIAMKRMMRNPYEYHHDLGMNYTLITDNLIVGSQPQKPEDVDHLKKEEGVAYILNLQQDKDVEYWGIDLQSIIRECRELEIRHMRNPAKDFDPNSLRSALPKAVSSLDWAISEGKGKVYVHCTAGLGRAPAVAIAYLFWFCGMNLSTAYDTLTSKRPCGPNKSAIRGATYDLAKNDPWKEPFESLPEYAFEDIADWERNLIQDRLRSLRGA >OIW20025 pep supercontig:LupAngTanjil_v1.0:Scaffold_9_137:8248:9468:1 gene:TanjilG_31943 transcript:OIW20025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATNNASTLSNGNNGAVRFPLSPSSTLVIQKGDITKWFIDGSSDAIVNPANERMLGGGGADGAIHRAAGPELLQACYSVPEVRRGIRCPTGEARITPGFRLPASHVVHTVGPIYYSNSNPAASLASAYRNSLRVAKEKNIQYIAFPAISCGVYG >OIW20026 pep supercontig:LupAngTanjil_v1.0:Scaffold_9_137:13274:17206:1 gene:TanjilG_31944 transcript:OIW20026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEGEHFQLGTVGALSLSVVSSVSIVICNKALMSSLHFIFATTLTSWHLLVTFCSLHAALKMRIFEHKPFEQKAVMGFGILNGISIGLLNLSLAFNSVGFYQMTKLAIIPCTILLEILFLGKKFSKRIQFALGILLVGVGIATVTDLQLNALGSFLSLLAVITTCVSQIMTNTIQKKYKVSSTQLLYQSCPYQAATLLISGPYLDKVLTNLNVFAFEYTTQVMVVIVLSCLISISVNFSTFLVIGKTSPVTYQVLGHLKTCLVLAFGYIIVRDPFSWRNILGILVAMVGMLLYSYYCTLETQQKTTESEAREGESDPLINVESGGTLLNKRPPVWNKEKD >OIW20027 pep supercontig:LupAngTanjil_v1.0:Scaffold_9_143:3809:7746:1 gene:TanjilG_31945 transcript:OIW20027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEGERFQLGTIGALTLSVVSSVSIVICNKALMSSLRFIFATTLTSWHLLVTFCSLHVALKMRFFEHKPFEQKAVMGFGILNGISIGLLNLSLGFNSVGFYQMTKLAIIPCTVMLETLFLAKRFSKSIQFALAVLLLGVGIATITDLQLNALGSCLSLLAVITTCVAQIMTNTIQKKFKVSSTQLLYQSCPYQAGILFISGPFLDKSLTNLNVFTFKYTTQVTFFIVLSCLISISVNFSTFLVIGKTSPVTYQVLGHLKTCLVLTFGYVLLRDPFSWRNILGILIAMIGVILYSYYCTLENQQKATEAAAQASQAKEVESDPLLNVENGSTVATDTVGQKPQAWIEDKD >OIW20028 pep supercontig:LupAngTanjil_v1.0:Scaffold_9_143:9412:13076:1 gene:TanjilG_31946 transcript:OIW20028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARVLFSRKTLASSSIIASHSRGLQTFTLPDLSYDYGALEPAISGEIMQLHHQKHHQAYVTNYNKAIEQLDVALSKGDASTAVKLQSAIKFNGGGHINHSIFWKNLTPVREGGGEPPHGSLGWAIDTHFGSLEALIQKVNVEGAALQGSGWVWLGLDKELKRLVVETTGNQDPLVTKGANLVPLLGIDVWEHAYYLQYKNVRPDYLKNIWKVINWKYASEVYEAESSQS >OIW20029 pep supercontig:LupAngTanjil_v1.0:Scaffold_9_143:23466:25152:1 gene:TanjilG_31947 transcript:OIW20029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFKRRNGGRNKHGRGHVKFIRCSNCGKCCPKDKSIKRFVVRNIVEQAAVRDVQEACVYEQYALPKLYVKLHYCVSCAIHSHVVRVRSRTDRRKRDPPQRFIRRRDDAPRPGQPGQPGQAPRPAGVGAPPRA >OIW20030 pep supercontig:LupAngTanjil_v1.0:Scaffold_9_143:27850:28194:1 gene:TanjilG_31948 transcript:OIW20030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMSRPLSNPSTLSLVARLKLEDGTSSSNCWDSLFQIQACSGEIIMFFLNGETYLGNGCCHAIRVIQHDCWPNIVHSLGFTGEETDVLEGYCDEAVHSTTPPSSTFVEPKDIVP >OIW20031 pep supercontig:LupAngTanjil_v1.0:Scaffold_9_143:29756:35070:-1 gene:TanjilG_31949 transcript:OIW20031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPSSLFNQKPLSLDEELWLFAEERAQEILWVVQPNVLSEAIRKEVINYVKRLIMSYYGAEVAPFGSVPLKTYLPDGDIDFTVLTHDNADGDLAQTVCNILECEKNSGQDVKDIQHIRAQVQVVKCTVKGLAVDISFNQMAGFYALRFLEEIDQLLGRNHLFKHSIILIKSWLYYESRILGAHHGLLSTYAVEILVLYIVNRFHSSVGGPLEVLYRFLDYYGKFDWETNYISVDGPKALSTLPEIVETPECDQVGFLLSKEFLRSYRDMSFVQATVSGTKTNQFTTKFMNILDPLKSTNNLGRSVSIGNLHRIKMALSYGARKLKDILMLPGAGLGAGIERFFKMTLDRNGKGVRPDVDVPVATFGTGRSEESDLRGDCDSYYVCLQHVQSYRDYTVPLTAHASFLPSPSLADMHAPPTYYHPMGNNIYVPGQTHYHPNAPHATYYLEENLMGSTLYHLNAPQETYSPVENVPAQTLYHPNAPQETYSHVENVPAQTLYHPNAPQETYSPVENVPAQTFYQPTASQATYSLEKNVKSRGTGPYIPDMTRNLYRDLQARMTKPRRFNPGNRIVLPKSPLSKEPVEDEVHSETDTNDKSRSFELANEDFPVLPAIRKTIPAEGVDGNSSSTEEFSPLPSIRQTSEALEAVKLTGQARNSSSSQLNIELSNKKFPVPLNIRKTSPSEPQGSVKLAEQTGHSSLPKLKIELTDEEFPLLSSMCKTSQSKSQGSVMLTGKASNSSPSQLKNVLMNEEFPPLPIIRKTKPEGQASVQLHEEAMSSSSPLLKIEFGTYNKSKSLTKPTWPAKAEKDRSGVLSSGGTMLVVPKVAKEGTESNEDRSWIDSI >OIW20033 pep supercontig:LupAngTanjil_v1.0:Scaffold_9_145:12714:17196:1 gene:TanjilG_31951 transcript:OIW20033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLESMKCWDISKKDSWKTIMLLAYQSLGVVYGDLSISPLYVYTSTFADDIEHSETNEEIFGALSFVFWTLTLVPLFKYVFVVLRADDNGEGGTFALYSLICRHARVSLLPNRQHADEALSTYKMDESPEENTSRLKILLEKYKCLHTALLIVVLLGTCMVIGDGLLTPAISVFSAVSGLEVNIGQHHQYAVIPITCFILVCLFALQHYGTHRVGFLFAPIVLIWLLCISGLGLYNIFKWNRHVYKALSPYYMFKFLKKTKLSGWMSLGGILLCITGSEAMFADLGHFSYMAIQIAFTFLVYPALILAYMGQAAYLSQHHNSNLQISFYVSVPESVKWPVLIIAILASVVGSQAIISGTFSIINQSQSLGCFPRVKVVHTSDKIHGQVYIPEINWILMILCIAVTIGFRDTKHMGNASGLAVMTVMLVTTCLTSLVIILCWHKPPFIALCFLMFFGSIELLYFSASLTKFREGAWFPILLALILMITMFIWHYATIKKYEYDLHNKVSLEWLLALGPSLGIARVPGIGLVFTDLTTGIPANFSRFVTNLPAFHRILVFVCVKSVPVPHVTFAERYLVGRVGPAAHRSYRCIVRYGYRDVHQDVDSFESELVEKLADFIRYDWYRTRESNMSIDDDASNSNESSGYRLTVIGTAGFSNHPGYEIEESLQPASVSIEFPTVQSITDVIEMEPMGAAERRVRFAIDDDPDSDKRSEIGTQMQEEIEDLNAAQEAGIAFILGHSHVKAKQGSSMMKKLALNYGYNFLRRNCRGPDVALKVPPVSLLEVGMVYIL >OIW20034 pep supercontig:LupAngTanjil_v1.0:Scaffold_9_145:35263:40036:1 gene:TanjilG_31952 transcript:OIW20034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGPQYDFLMNPLGAVRSTFDHAMACGSDPSSFNGRDWGAIDLFRNFLSAHLSQVPVLTTATIRLVKPNTLVRFRGMIQDMLGDEIYVGAFKDGSVWRTNKFMDVNKLMDASHFPMASSADRTIIWERRLLYCVPVPGLNSWAEISRGAVINQCMDLPAEQREKRRRADDESSDMLVSGDDEVQGSPNTKKIRGEQPSIASQSLGAAPEIASSSLNLVPGLDDGKSPPCIVKIYDSPESELKLNDIFEFVGILTSDPELDEDNEDGDLSNGFCEDPLHNFPPSKVPHLHCFIHRKLAVQDLLRNNPIIEPKPDLIKGIREALIRHLTAVLGNDGVAAHFMLLHLLSKVHGRVDAFAVGKLSLNLTSFSKETVSIFGDQLSFVVKNLLPFTHCIPLTVEYLNNASLAPKKNYDTNRLETGVLQLPDCSHLILDETKLEAGTLNSVGVENARLLKYLMECQKMEYDYKYYKMEMPIDVQLLILSEGKSNILPADVILPFHPSAVSCSEVVAAETWEAWRWYLASVKQLPHSIDSEMQKVVENDLVAARQADRSLNPQDLSRWLTMGRLMSLSFGETNLSLEHWQMVKELERLRRERL >OIW20035 pep supercontig:LupAngTanjil_v1.0:Scaffold_9_145:42427:43690:-1 gene:TanjilG_31953 transcript:OIW20035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIATTSTMSLLFFVLNLCLQGTFGDYGGGWQGGHATFYGGGDASGTMGGACGYGNLYSQGYGTNTAALSTALFNNGLSCGSCYEMKCNNDARWCLPGSIIVTATNFCPPNFALSNDNGGWCNPPLQHFDLAEPAFLQIAQYKAGIVPVSFRRISCVKKGGIRFTVNGHSYFNLVLITNVGGAGDIHSVSIKGSKTGWQAMSRNWGQNWQSNSYLNGQSLSFQVTTSDGRTITSNNIAPANWQFGQTFQGGQF >OIW20032 pep supercontig:LupAngTanjil_v1.0:Scaffold_9_145:1585:1860:1 gene:TanjilG_31950 transcript:OIW20032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRGFEPRSVFIILALFLTLVCIEIGATSRLRSEEDCTSAGTACHVKGIGLHEALESKDYGEGDESPPHSDYDYDFYRKHGDIPSPGAGH >OIW20036 pep supercontig:LupAngTanjil_v1.0:Scaffold_9_145:55012:55419:-1 gene:TanjilG_31954 transcript:OIW20036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGAGNRIGMMTGEGDGEGRFSGPSSPPLSIETAEPWSPTTTRREEPPPSLHDLPGPRHLHPNCVQARTHSSLSDETMNSVTVVAKRCGGGQVVPNPLTLQIATIVSGSNPSAMTFAWVALPPCWCRTFYPVYGG >OIW20037 pep supercontig:LupAngTanjil_v1.0:Scaffold_9_146:16446:20531:-1 gene:TanjilG_31955 transcript:OIW20037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLDPKMMSHNNVITYFAVLTIHVLFFITPISCAHSFSVDGKVLELQESNFDSAISSFDHILVDFYAPWCGHCKRLSPELDAAAPILATLKDPIMIAKVNADKFNRLAKKYDVDGYPTIMLFNHGIPMEYRGPRKSDLLVRYLRKYAASDVTLLDSDSAVSNFVEEVGTFFPIYIGFGLNNSTIEKIAVKYKKNAWFSVAKDFSDDAMVSYGFDKVPALVSLNPSYNERSAFYGPFEEKFLEDFVKQNLLPLAVPVSHDTLKLMKADGRKMVLTIVEDENEERSKELIKLLKAAAFENRDLIFGYVSIKHMEEFAEKFDIETKLPKMIIWDKNDEYLSVVGSESIDGEDQATLITKFIEGYRDGRTIKKRISGPSLMRAMNKTFNLRMVLIFVFVMAVMILVQLFFSKGSGEYQRVQTQNHATHASSSVKEVESKEYKSAEKED >OIW20038 pep supercontig:LupAngTanjil_v1.0:Scaffold_9_146:24048:24659:1 gene:TanjilG_31956 transcript:OIW20038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISVGGIKNKLKKKAEGEKNMVPMQWQEKKQLAEKEEEGLRKEIEEFKTWVNMIETMNDQQLQGYLKNHHGDSKMANNQSIKKKVRLITSIFY >OIW20039 pep supercontig:LupAngTanjil_v1.0:Scaffold_9_147:30835:33328:1 gene:TanjilG_31957 transcript:OIW20039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKQMQHVFHYLVSNRMNILFISFLVVAAACVYIPDFNPHPSLIIASCFVASLINHLIMKTPNKVYLVDFACYKPNISCLCTKEMLIDRANRVGFLSEENMNLVTKILDRSGLGPLTYVPEALLEIPPKLNLDEARKETDTVLFGAVDELFEKTGVQAKDIGILVVNCCLFNPTPSLSDSIVNHYKLRGNILIYNLSGMGCSAGVLAVDTAKHLLQAHPNSYALVLSTENEISSMYWGNNPSMLLVNCLFRMGGSAALLSSHASDRYRSKYQLIHTLRTHVGADDNSYKCVFQAEDDENKVGVSLSKDLMNVAKDALKVHITSLGPLVLPVSEKLKFLVNLVQRKLFKMKIEAYMPNFKLAFKHFCIHTGGRAVLDRMQKSLQLEDWHMEPSRMTLYRFGNTSSSSIWYELAYCEAKGRIKKGERIWQMAFGSGFKCNTAVWHALKTIEPASNKSPWRDEIHNFPVKPAPLVQTTK >OIW20040 pep supercontig:LupAngTanjil_v1.0:Scaffold_9_148:5086:6000:1 gene:TanjilG_31958 transcript:OIW20040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISMVELYSLRSYARGGRKDYDLFGSGKPGDKNFRKSWAKEMGEDNSLWTASEDESDEENGSKSHLDKEIRKIRQQAKKHADLIDADDSDELRSVWSDSDEEKTLWTGDEMDSDDDIPTEAYPNERSDKHIDKLFEFDETPKYRTISEMLKAEEEPEELSPGKQARKIAVENALKKLKKGPDGRYINVWEIMTDIDILIGAFENVVSGPEYAELRQGGPKQLNMQFFKDIQARMRDPNYKFSPELKLKPKSKLVSRKKWQKAQSRRRKAQRR >OIW20041 pep supercontig:LupAngTanjil_v1.0:Scaffold_9_166:9214:10654:1 gene:TanjilG_31970 transcript:OIW20041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSNSPPSSSSSPPFPSPSQSPPSPFPPPPSPPPSVVLSPCAACKILRRRCVEKCVLAPYFPPNDPIKFTIAHRVFGASNIIKFLQELPESQRADAVSSMVYEANARIRDPVYGCAGAICQLQKQVSELQVQLAKAQAELVNMHCQQTNLVALICMEMTQSQDQQLLQPQQHIDMNCFIDDNSLGCSWEPLWT >OIW20042 pep supercontig:LupAngTanjil_v1.0:Scaffold_9_171:12474:15707:-1 gene:TanjilG_31971 transcript:OIW20042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKGSWFSAVKKVFSSDSRKDKKNEKQKNHKSKKKSSGRDKDSEHAFEDAPVAVVPSLPPTQEVKLAEAENEQNKHAYSLAFATAVAAEAAVAAAQAAAEVVRLTSMPRYHGKTKEEIAAIMIQTAFRGHLARRALRALKGLVRMKTIIQGQSVKRQAASTLRCMQTLARLQSQIRERRIKMSEENRALLRQLQQKHEKELEKLHTALVGEEWDDSLQTKEQIEARLLHKQEAALRRERALAYAFSHQQIGNNSSKSLNPTFMDPNNPHWGWSWLERWMAARPWEDRSTMDSNDRASIKSSASHACKASPAGRKASQQGSHNSPSTPASKAPSLSSVTGKARPSSSKGSGWGDEDSRSLFSVQSQRYRRHSIGASSVRDDDSLTSSPAFPSYMRPTSSAKARSRTQRPSLLSMEKNGTPDKGAAISAKKRLSFLASPASSRRHSGPPMMEMVQ >OIW20045 pep supercontig:LupAngTanjil_v1.0:Scaffold_9_171:63731:74833:-1 gene:TanjilG_31974 transcript:OIW20045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPEPIPWDRKEFFKERKQERSESLGSSARWRDSSYHHGSHDFNRWGSADFRRPAGHGKQSGWHLLPEESGRGYSYSRSCDKMLDDDNCRPVVSRDGKYNRSSKENRGSFGQRDWRERSWETSNGSQNLSTRQLDANNVQRSAVDMLTYSSRPHADFVNTWEQHHLEDQHDKMGGLNGLSTGRRCDKDSSLGSIDWKPLKWTRSGSLSSRGSDFSHSSSTRSFRAADSYEGKAELPHKNAAAIESHSGEGAACVTSSVPSEDTDSRKKPRLKWGEGLAKFEKKKVEGPDEISSKNDPIVSITNLEPCNALCPSVVDTSPKVIGFSGCASPTTPSSVACSSSPGVDDKLFVKAANVDISNLEKLDTDSLTSLGSSLNELLCFDDLSSMDSSLGRSTSTAMNKLLIWKADVSKVLEVTETEIDSLENELKSLKSESEGRFPCPAAAGSLLVCYNAKPCEGHVGGTDKVARPEPVQIVSSVDPNMEKMPFSTSLHTIHDNGKEEDIDSPGTATSKFIEPPSLINAVSLCDVGRNDICAGDLDGVQSTDRQCLIPCSRKQVASVSARGDDSAMGGGMDANTGASLSSITEDILYNTILSSNKECANRAYEVFAKLLPKQCGKIGNIEASSGSHNSSFMERFAAKKQFARFKERVITLKFKALHHLWKEDMCILSIRKYRPKSHKKVELGVRTVSSGQKNRSSIRSRFPLPGNHLSFVPKSEIINFASKLLSESKVKVQRNSLKMPALILDQKEKMVSKFISRNGLVEDPLAIEKERAMINPWTSEETYIFQEKFANFGKDFRKIATFLDHKTTADCVEFYYKNHKSDCFEKIKKQYGGKLGNSFSVKTNLMTSDKRWNCEVNAASLDILSAASEMADSIPVNQKIRSGSLLLRGLGRVKSSRGKDSITERSSGFDILHDERVTVAADVLAGICGSLSSEAISSCTSLVDPVEGNRNMKCLRLSPLCQQPEIPDVTQDVDDGIFSDEGSEEMDPTDWTDEEKALFLQAVSSFGKDYAMIAQYVGTRSQSQCKVFFSKARKCLGLDLMHRRPENSGSQLNDHANGGGSDTDDACVVETGSANGSDKSGTKTDEELHSSVMNTYCDVSCHVEASNMSTDLNESKKVIGAEVHHEHVNMVSDAFIIKGKTKLTNDGNAVILYSSDASGSVMGQKAIIMSDSKEVGKDKKEVDGAASELASATEIIEPYHSNSAAGVSSMGNENELEGRRVSSPQCLVERDDKHEADTGALACSRLSFDVESRPELSMEKPHISGLSVESPHAATNSLLQNATTPSRCDKIASQDQPSSACDFQGSGDKYCHNSISNVDHQLHNPGGLLDHVEAASTHQCYPLQVPVNKEVNVDTSCCGSSKKLPLLTQKIEQADDHCKTKLQCLSDSEKTSRNGDVKLFGKILTIPSSTQKPNLTTKGSEENGTHYPILSSNSSNLKFTGHHTADGNSADLKFDHNDHTGGLENVPVMSYGYWDGNRIQTGFSSLPDSAILLAKYPAAFSNYPEASAKSEQQSLKALAKINEQHLNNSAFTTRKVNGNNGVIDYEMCRNSDGPTVKPFVVDVKLHQDVFSEMQKRNGFEAISSLQQHGRVMVGINGVGEPGTLVDGGVLDPVAAIKMHYSSSSGQSGSITREDECWGGKEESGSSRCSV >OIW20044 pep supercontig:LupAngTanjil_v1.0:Scaffold_9_171:50196:56462:1 gene:TanjilG_31973 transcript:OIW20044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAFDASISEAINVFMGNPDGDLIQMEGFKHRVDNIISKVDKLEQKVHDIEIFYSSVNEKQTYVPKGSSATKDKDKEKHVSSIKKQQQDTSHREAAASKRMQDLMRHFGTILRQITQHKWAWPFMQPVDVEGLGLNDYYEVIDKPMDFSTIKNQMEAKDAAGYKNVREICADVRLVFKNAMKYNDEKSDVHVMAKTLLAKFEEKWLQLLPKVTEEEERREEEEAEAQLAMQLAQEAAHAKMARDLSNELYEVDMHLEELREMVVRRCRKLSTEEKRKLGVALTRLSPEDLSKALAIVAENNPSFQSNVEEVDLDMDAQSESTLWRLKFFVKEALEVQGKNSGTMDGNERHNNNKRKREICDAIAKTSKKTKKPT >OIW20043 pep supercontig:LupAngTanjil_v1.0:Scaffold_9_171:44763:45443:1 gene:TanjilG_31972 transcript:OIW20043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKEILLDQEAKNYEESTLLQDPYEEDQEETLSLCDLPNYSSDSAQWDDYFSKQGQSSSHSNDDDEDELFEFFSEEFTTPTPVTTAKNIIFCGKLIPFKEPRQQQHVTNTCDKPKPLSRTSGAKGSKNNMCDYASIKKVSLMRSTTKSRWHLFMFGSRVSTEMELSDIRSRQNRKVPATMIPASEQGKEMMKNKGKMKNTKGLWRILKSLEFGCLSSTIVKASFVE >OIW20046 pep supercontig:LupAngTanjil_v1.0:Scaffold_9_172:4865:11415:1 gene:TanjilG_31975 transcript:OIW20046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETRIYERTLEETPTWAVAVVCFVLLFISIIIEYSIHAVGKWFKKKHKKALYEALEKVKGDPERFRFARDTTFGRRHLKKWSESSISLWMVSFFRQFIGSINKADYFALRHGFIMTHLAPGSDAKFDFQKYINRSLEEDFNVVVGITPLIWFCAVLFLLTNTHGWYSHFWLPFIPFIIVLLVGTKLQMIITKMGLRIQDTGEVVRGAPLVQPGDELFWFNRPRFLLFLIHLALFQNAFQLAFFTWSTYEFGLHSCFHDTTADIVIRVTMGVLVQFLCSYVTLPLYALVTQMGSTMKHTIFNDRVATALKNWHHTAKKHVKDSKHASANVTPFSSRPTTPTHGLSPVHLLQQHHGRSDSTQTSPRTSNYENEEWDIEGSASPTKHIIGEGDETQILEQDSTTQLPISSQHEISISVSEFSFEKRLHRDYE >OIW20047 pep supercontig:LupAngTanjil_v1.0:Scaffold_9_179:5887:8565:-1 gene:TanjilG_31976 transcript:OIW20047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLWPTKNIRDSFKLSYLKNLEWNYRRMEKDQQSSMEQKLLDGDENQQDQRKKTQVLKYEPGVVASIFQELLLVFSCCYCCFCCGACVEEK >OIW20048 pep supercontig:LupAngTanjil_v1.0:Scaffold_9_179:17511:24403:-1 gene:TanjilG_31977 transcript:OIW20048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKDIEVAEHGSFSSKDYHDPPPAPLFDAKELTQWSFYRALIAEFIATLLFLYITVLTVIGYKSQSDVKGGGDVCGGVGILGVAWSFGGMIFVLVYCTAGISGGHINPAVTFGLLLARKVSLIRAIFYIVAQCLGAISGVGLVKAFQKAHFNRYGGGANGLNDGYSTGVGLGAEIVGTFVLVYTVFSATDPKRNARDSHVPVLAPLPIGFAVFMVHLATIPVTGTGINPARSFGAAVIYNNDKAWHDHWIFWVGPFIGAAIAAFYHQFILRAGAAKAFGSFRSNPNNV >OIW20049 pep supercontig:LupAngTanjil_v1.0:Scaffold_9_180:26147:26398:1 gene:TanjilG_31978 transcript:OIW20049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRNKGKTKLEDVNEGSENKAFETASMVGLGVAAVAVAVVGAFFLFGSDSKRKSMKAPGRNYRIFRDDFERDPGSYFRDLRK >OIW20050 pep supercontig:LupAngTanjil_v1.0:Scaffold_9_181:6416:12069:-1 gene:TanjilG_31979 transcript:OIW20050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEANMVETLSSTMEMDESHNYRVSSKVGFENTDNFDYLTKINDSKFVKYNLPVLSSLRLPSPSVPIIKSDDVAKMGVCDPISAREMSDWGSNFTSEGSSLGHARSWYMDSNLILNADNQKKNSGKRLEESDIDFHRRKSNYVDLGHSSFVVARTMPPEKLAELATTNPKKANRILANRNSAAKSKERRKIYENALENKAKNLQKQVDSVSEQLLLIKRDIAARSAWNNTLRMQIETKRQELQQRRGRRSDFFNINCNDEGAIYMEASVNFTIEEFINPTKLELLNKLLPCEPNKIQPFEQVLPQLLIQVNIFKCGGIAICLCNLHTMLDASSCCAFLKTWSSICKGLPKDEISQPDFSSASSFFPPRNIFGVRDGVLHPKPDLNKEKNCTTTRFLFNTNAINELRAKSENDGTSSKTTCYQALSTFICKHMAMACMEEPSCDCPRPVVAVHIVDIRRRVGEPFSQDSIGNLVWPILLNCDKINMNTDVRDLVRIMREGIGKFTKEMFLKMHSDRGFLWSDECGELMHERIVEKNPLVLVFTSWVNMGFNELDFGWGKPLWLALRGGHQETMPNATVFMETNERIEAWVTMEEKNMAILENDVEFRKFALLNPSPINI >OIW20055 pep supercontig:LupAngTanjil_v1.0:Scaffold_9_197:19282:19566:-1 gene:TanjilG_32011 transcript:OIW20055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKSKRLFLKSKRSFRRRLPPIGSGDRIDYKNMSLISRFISEQGKILSRRVNRLTLKQQRLITIVIKQARILSSLPFLNNEKQFEMKNNLKKPS >OIW20059 pep supercontig:LupAngTanjil_v1.0:Scaffold_9_197:33712:33963:-1 gene:TanjilG_32015 transcript:OIW20059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSTGERSFADIITSIRYWIIHSITIPSLFIAGWLFVSTGLAYDVFGSPRPNEYFTESRQGIPLITGRFDPLEQLDEFSRSF >OIW20058 pep supercontig:LupAngTanjil_v1.0:Scaffold_9_197:30654:30905:1 gene:TanjilG_32014 transcript:OIW20058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSTGERSFADIITSIRYWIIHSITIPSLFIAGWLFVSTGLAYDVFGSPRPNEYFTESRQGIPLITGRFDPLEQLDEFSRSF >OIW20057 pep supercontig:LupAngTanjil_v1.0:Scaffold_9_197:26276:26527:-1 gene:TanjilG_32013 transcript:OIW20057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSTGERSFADIITSIRYWIIHSITIPSLFIAGWLFVSTGLAYDVFGSPRPNEYFTESRQGIPLITGRFDPLEQLDEFSRSF >OIW20052 pep supercontig:LupAngTanjil_v1.0:Scaffold_9_197:8015:9483:1 gene:TanjilG_32008 transcript:OIW20052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRKNGRIGSRKHARKIPKGVIHVQASFNNTIVTVTDVRGRVISWSSAGTCGFKGTRRGTPFAAQTAAANAILTVADQGMQRAEVMIKGPGLGRDAALRAIRRSGQADTIGIAMRRVLLGEIEGTCITRAKSEKIPHEYSTLVEPINLCIGLEIARNRGYRIKTLTKFQDRSYPIDAVFMSVRNANHSIHSYVNGNEKQEILFLEIWTNGSLTPKEALFEASRNLIDLFIPFLHADEENLNFENNQHKVNLPPFTFDDLFDKLRKNKKEIALKSIFIDQLELSPRIYNCLKRSNIHTLFDLLNNSQEDLIKIEHFRVEDVKDILDILEIEKHFT >OIW20054 pep supercontig:LupAngTanjil_v1.0:Scaffold_9_197:17338:17559:1 gene:TanjilG_32010 transcript:OIW20054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHQPASSFYEAQTGEFILEAQELLKLRETITRVYVQRTGRPLWLVSEDMERDVFMSAAEAQAHGIVDLVAVE >OIW20056 pep supercontig:LupAngTanjil_v1.0:Scaffold_9_197:23218:23469:1 gene:TanjilG_32012 transcript:OIW20056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSTGERSFADIITSIRYWIIHSITIPSLFIAGWLFVSTGLAYDVFGSPRPNEYFTESRQGIPLITGRFDPLEQLDEFSRSF >OIW20051 pep supercontig:LupAngTanjil_v1.0:Scaffold_9_197:5585:6441:1 gene:TanjilG_32007 transcript:OIW20051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGISYRGNHICFGRYALQALEPAWITSRQIEAGRRAMSRNVRRGGQIWVRIFPDKPITVRPTETRMGSGKGSPEYWVAVVKPADNSGARELMCIRIIGASNRRYAYIGDIVVAVIKEAVPNTPLERSEVIRAVIVRTCKELKRSNGMIIYYNDNAAVVIDQEGNPKGTRIFGAIARELRQLNFTKIVSLAPEVL >OIW20053 pep supercontig:LupAngTanjil_v1.0:Scaffold_9_197:13567:15096:-1 gene:TanjilG_32009 transcript:OIW20053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLPWYRVHTVVLNDPGRLLSVHIMHTALVAGWAGSMALYELAVFDPSDPVLDPMWRQGMFVIPFMTRLGITNSWGGWSITGGTITNPGIWSYEGVAGAHIVFSGLCFLAAIWHWVYWDLEIFSDERTGKPSLDLPKIFGIHLFLAGVACFGFGAFHVTGLYGPGIWVSDPYGLTGRVQPVNPAWGVEGFDPFVPGGIASHHIAAGTLGILAGLFHLSVRPPQRLYKGLRMGNIETVLSSSIAAVFFAAFVVAGTMWYGSATTPIELFGPTRYQWDQGYFQQEIYRRVGAGLAENKSLSEAWSKIPEKLAFYDYIGNNPAKGGLFRAGSMDSGDGIAVGWLGHPIFKDKEGRELFVRRMPTFFETFPVVLVDGDGIVRADVPFRRAESKYSVEQVGVTVEFYGGELNGVSYNDPATVKKYARRAQLGEIFELDRATLKSDGVFRSSPRGWFTFGHASFALLFFFGHIWHGARTLFRDVFAGIDPDLDAQVEFGAFQKLGDPTTRRQVVG >OIW19973 pep supercontig:LupAngTanjil_v1.0:Scaffold_9_2:9011:9559:1 gene:TanjilG_31847 transcript:OIW19973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSSSGSGKGLASEGSNLPSVCHHQQLQNPEGTLSRYESQKRRDWNTFGQYLKNQRPSVPLSQCNCNHVLDFLRYLDQFGKTKVHLLGCIFYGQPEPPAPCTCPLRQAWGSLDALIGRLRAAYEENGGSLETNPFASGSIRMYLREVKECQAKARGIPYKKKKKNTNPSKGNNEPNSTMHFS >OIW19974 pep supercontig:LupAngTanjil_v1.0:Scaffold_9_2:24586:47364:-1 gene:TanjilG_31848 transcript:OIW19974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDTREDVGPAKQGSSSVSWWPSDFVEKFGSVSLGSQDENLNNEGSPRHSDKNVLSPQKASQILWRTGMLLEPIPNGFYSVVPKWLPNFHSLHCVFIGSNPILRKEGEWAASGSTCRNHPPPNPRQIISSNAGKSLFACNNKILNIYPPNPTRIFARETRLKELFDSIPTLEELFALGGEGCRADIILVDSEKDKKLTMLKQLILALVKGLNSNLPAIIKKIAGVVCDFYKRPSVESPAKAALDEAYHMFENQGVQMLGQMKHGSCRPRAILFKVLADTVGLECKLMVGFPNDGTVECVDSYKHMSVIVVLNSVEMLVDLMRFPGQLLPRSTKAVFMTHISPAGESDSAENDSCDSPLEPNSPLFGVSESAEKEENHQFHRKSEASSNLSGLSLRNMMLRSNSCLDSKLSEPNIATAFGRRSRRKVIAEQRTASSSPEHPSFRARARSMLGGDRTAFRDFADDQAASSSRSDGAPSLEARRIRRRSISITPEIGDDISTFNLDGHGESSALYSFQRDQVVSQKAISLPSSPHNYGGQASGRSGPSRHGVNDEMESTWNRVLESPMFNNKPLLPYEGWNIGFSELTVGTRVGIGFFGEVFRGIWNGTDVAIKVFLEQDLTSENMEDFCNEISILSRLRHPNVILFLGACTKPPCLSMVTEYMEMGSLYYLMHLGGQKKRLSWRRRLKMLRDICKGLMCIHRMKIVHRDLKSANCLVNKHWAVKICDFGLSRIMADSPMTDSSSAGTPEWMAPELIRNEPFTEKCDIFSLGVIMWEFCTLSRPWEGVPPERVVYSVANEGSRLEIPEGPLGRLISECWAEPHERPSCEEILSRLVDIEYSLC >OIW20061 pep supercontig:LupAngTanjil_v1.0:Scaffold_9_209:9918:13797:1 gene:TanjilG_32017 transcript:OIW20061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKHSTAVYPLFDLEQVLHSKKEVLKLTPEEANIWLSWKSEARRNFTVGAIGGGSAVWAATRKLNGPFRMFLSSGVAVSFGTWILVRSMYSFAEKILEMDGSILQKELANIMMTKYQNEPTLRPLMSKHFYSERIFDDSTSKNPNFRWRFRNFFSDNAIPDHRTHDNDYYDHTQVDSHSDLGKNSQGESENVNDSRKTNLEIKHNFTKAGPNTIAELDPLDFLFGYGSPVEEIHYPNTPDKPSGPHNRRQRRSHRRHRIRNPDDLSNSKHAASL >OIW20060 pep supercontig:LupAngTanjil_v1.0:Scaffold_9_209:2811:5166:1 gene:TanjilG_32016 transcript:OIW20060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIKPVQRNEQHFGRKPCSYWLAGRCNRNPCRFLHSVTPSSSSYYTPQYNKPKNAYRYTRNPHSHYSDEKTTTNPKYNSKAVTVRETNKTGDEKSVTKKPSQTLCRYWVNDNCVKGDNCQNLHSWFTGDGFSTLAKLKEHKKVVTGITIPVGSDKLYSGSTDGIVRTWDCHTGQCTNVRNCGSEVNSLISEGPWIFVGLNNVVKAWNIQTSMEFTLDGPRGKVLAMVVGNDILFAAAQDGIISAWRGSSDANSPFELAASLSGHTKAIVCLTVGGKMLFSGSMDHSIKVWDLDTLQCKMTLNGHTDMVTSLICWDSFLLSSSSDCTIKIWVATEEGTIKVAYTHTEENGILALNGMSDAEGKPILFSSSADNSVRLYELPSFLERGRLFAKQVVRSIEIGPEGLFFTGDGTGLLMVWRWLEVPKVASS >OIW20062 pep supercontig:LupAngTanjil_v1.0:Scaffold_9_209:21597:22124:1 gene:TanjilG_32018 transcript:OIW20062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTETTSSHKTLSQKQKKPKTPRENIKHPLYHGVRKRNWGKWVSEIRERKQKSRIWLGTFATPEMAARAHDVAALSIKGHSAILNFPELVHMFPKPVTCNPRDIQVAATEAASMVKFDLGVVQCLEELSEIVQLPNVEEKWFDWVDFGSEFILIDMEDSWMYPPMGFEEIEFLTTF >OIW20063 pep supercontig:LupAngTanjil_v1.0:Scaffold_9_209:35123:36901:-1 gene:TanjilG_32019 transcript:OIW20063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYFVGGYFGAGANQFSPENRHGEPKPGEPFAVDDLLDFSNADAIMSDGFIDNAAGNSNDSSTIAVPVDSGNSTVSGNIVPCAFAGGDYQFSGGELCVPYDDIAELEWLSNFVEDSFSAEEEIKTLKLLSGGAAISSTITAIATKSHTAESSSSSENDTTRDTSFHHTETSLPGKVRSKRSRITPCDWSTRFVHRVTPPKTKKEELLNMNSNNSNSNSNNVECCGRKCLHCGAEKTPQWRSGPMGPKTLCNACGVRFKSGRLVPEYRPATSPTFVSTKHSNSHRKVMELRRQKEVQLHHHQQLISQTSIFEVSNGGDDYLIHHRHHHHY >OIW20064 pep supercontig:LupAngTanjil_v1.0:Scaffold_9_213:716:11997:-1 gene:TanjilG_32024 transcript:OIW20064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTEGLGSSTTQFGVTKPISMAGPMSVDLQKTKELEKFLANSGIYETKDQAAKREEVLHRLGQVVKGWVKQITRLRRYTDQMVEDANAVIMTFGSYRLGVHGPGADLDTLCIGPSYVNREEDFFFTLHDILANMEEVTELQPVPDAHVPVMKFKFDGISVDLLYASISCLVVPEDMDISNISVLHNVDEPTVRSLNGCRVADQILKLVPNVEYFRTTLRCLKFWAKRRGIYSNVTGFLGGVNLALLVARVCQLYPNANPSMLVSRFFRVYTQWRWPNPVMLCPIEENELGFSVWDPRRNPRDRTHHMPIITPAYPCMNSSYSVSTSTLRVMMEQFQYGNKICGEVELNKVHWSALFEPYIFFESYKNYLQVDIVAADVDDLRAWKGWVESRLRQLTLMIERDTIGKLQCHPYPHEYMDTSRQCAHSAFFMGLQRKQGEIVQEGHQFDIRGTVEEFKHSVNMYMFWRPGMDIYVSHVRRRQIPSYVFPDGYKRSRPSRPMAQMETPPKSFHGDEVSGAENRERNHKRKHDCSAGVQPNVILKKQAMSPPDSLARSNTCLGSGDMAVNTVSGSSDRHELTNSESVNLSNNKQEELSPEPECASNSSVITSVTSDGGSPEDAGSASGAGFVEGNSSGVEGMINVRFEDTTCGTDSVMLVENMVAPGNKILQDPLQEQLERNALLAMVPDSKGRIHSETLEKPVREMFYHAFNGYMDNAFPLDELRPLSCAGEDTLGGYALTLIDSLDTLALLGDRQNFAASVEWIGKNLRFDIVSS >OIW19980 pep supercontig:LupAngTanjil_v1.0:Scaffold_9_22:7935:8858:-1 gene:TanjilG_31857 transcript:OIW19980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVLGCNTSSGSESKKSCSRGHWKPEEDEKLKQLVMQYGPQNWNFISENIEGRSGKSCRLRWYNQLSPNMIKKPFSDEEEEMLLTLHKLKGNKWATIAKFFPGRTDNAVKNHFHVLLARRKRARLALFGNTLSHNSRTENFNIGMFDMPLISASSTITSSFDSSGVSSTAGEKYPFGSSSILSSYQSFTAPGIPSVGKVVPLQIKFSNSSYNHRIENLIKSSNTSKQARDNSMAMCKLSMNIHEQEQHEGINIKQKSVAFIDFLGVGSSSGHDESIIRP >OIW19983 pep supercontig:LupAngTanjil_v1.0:Scaffold_9_22:29907:35053:-1 gene:TanjilG_31860 transcript:OIW19983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTWWSKKPSNSTKTQQQTQPKSVLNFNFINSNKSPIKKVTKNGEKPKSFDEVTGAAFISRNSPRASKDFTSYGGAGGETDGGDKIGLPLPRPSVSSSFSNEQGLVFGSGSFSGGSSVSSNGSIDDHSISPINATRGKVEMKFNVRSKSPGPGSRGPTSPTSPLHPKLNALNLDSSTGKQEDVRNQSHPLPLPPSSPTSPSSLSNTRANGQLENNISNRGKWKKGKLLGRGTFGHVYQGFHSESGQMCAIKEVRAVCDDQSSKECLKQLNQEIHLLSQLSHPNIVQYYGSDLGEESLSVYLEFVSGGSIHKLLNEYGPFGEPVIQNYTRQIVSGLSYLHGRNTVHRDIKGANILVDPNGEIKLADFGMAKHINSSTSVLSFKGSPYWMAPEVVMNTHGYRLPVDIWSLGCTILEMATSNPPWSQYEGVAAIFKIGNSKDMPEIPEHLSNDAKNFIKLCLLRDPSARPTAQMLLDHPFIRDQSATKSVNVSLTRDAFPYMFDGSQTPPVLEHQSNSNRTNSNLINGDYATKQVVGSSRAVRSPRDNTRMITSLPVSPCSSPLLQYGSSNTSCFLSPHPSYTMMGQNNLNSYPLRSNATFTLDSWQEKSLYGAHTPPGGSPRTRLI >OIW19981 pep supercontig:LupAngTanjil_v1.0:Scaffold_9_22:16139:19574:-1 gene:TanjilG_31858 transcript:OIW19981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHNNNNPNPNRNPNPIRNPLSSSVPVWPTIDGALGLTEEDSVSYARNFYNFGFALLPLLWAVNCFYFWPVLRHSRSFPRIRPYVVGSAIGLTVFTALLCSWALTFSLGGERLFGPVWDQLVMYNLADKLGLTTWS >OIW19982 pep supercontig:LupAngTanjil_v1.0:Scaffold_9_22:20989:25128:-1 gene:TanjilG_31859 transcript:OIW19982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPSSINDMENGKLDKNREKIQKSNSNRVVKIHNQALLSGLAYCISSCSMILVNKFVLSSYDFNAGISLMLYQNLISVCIVSILSLSGLISTEPLTWRLIKVWLPVNVIFVGMLITSMFSLKYINVAMVTVLKNVTNVITALGEMYLFQKHHDSKVWTALFLMIISAITGGITDLAFNATGYAWQTLNCFLTASYSLTLRRVMDTAKQVTKSGNLNEFSMVLLNNALSLPLGIFLVVIFNEVDYLLRTPLLRLPSFWMVMTFSGFLGLAISFTSMWFLHQTGATTYSLVGSLNKIPLSVAGIFLFKVPTSLQNSASIFFGLLAGVFFARAKIREKSQS >OIW19984 pep supercontig:LupAngTanjil_v1.0:Scaffold_9_22:57369:60575:-1 gene:TanjilG_31861 transcript:OIW19984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMIPGYRFHPTDVELVKYFLKRKLLGKKFPFDVIAEVEIYKYAPWDLPDISLLKTGDLEWYFFCPRGKKYASGARMNRATEVGYWKTTGKDRLIEHRNEVVGMIKTLIFHTGRAPKGDRTDWVMHEFRLEDKEVADKGIPQDSYVICKVFQKEGLGPKNGAQYGRPFNEEDWDEEAEIGCVVSLPVAALSTPAPLQLNTGHSSAANDMHPSTSEYIGLTSVSCLSELMPSCLTLPSAPPSSNSQAVDDILLMLDSVKEDNTLALNENNKIEEVDNTCEANIADGTPYFDPNEIFAGLGDLDNLDGFGFSCGNKDEYNTNQMLSTDDIFGFGESPGFLELIDLEVPLFSQTKT >OIW19986 pep supercontig:LupAngTanjil_v1.0:Scaffold_9_22:83895:87699:1 gene:TanjilG_31863 transcript:OIW19986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGVTNIKESQSKARLVIFEIAHMISVPMSLTTVIKLKVPDAIWQNGLNIPLSASQILTRLRPHGGGDAENLQRILRLLVCYDIFTEHLSSCGERKYSLAYVGKTLVADEEGLSYAAYILHHHQDALMRAWPLVGKTVEDPTIEPFKKANGEGAISYYTKRPETLDLTHKALAGISVPLMRDILDSYNGFHGIETLVDVGGSSGVTLQLIMQKYPNVRKGINFDLPDMVACAPNIPGVIHVGGDALESVPCGDAIFMKWVLLAWTDDECLKAMQNCYKALPVGGKLIACDPVLPELTNESQRTKALLGGDIFIMTMYRTKGKHRTEQQFKELGISAGFPHFRAVYVDPYMPILEFQK >OIW19985 pep supercontig:LupAngTanjil_v1.0:Scaffold_9_22:63433:73963:-1 gene:TanjilG_31862 transcript:OIW19985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHKPSPSLDKFVIDVCCKSLKIALKVHWFVLAELEDSDDNDGINRIQEKCQIAATLMGEWPPLIRPQSAASSPGGKNQVLNKILSSKQRLLSLTSSPPPQKSLSFSPSSGNNLQEDCSSQSPDENKLFKKFMPGAKVRDALLFRKSVEKDDDDSEKDGFFKKLLRDSKGEDELGQKIRDAFLFRKPSEKYDEDTEKDNFFKRLLRESRGDDEDSEKDGFFKRLLRDNKGDDEDLASSSEGFFKRLFRDNKNDSEDKTQTKTTDDEEKEGFFRKFFREKLDDKKDGNDEGNVARSEEKCAKIAEEDVKDGFFRKLFKDKSEDKKDANDKIEESTANVEEEEPSDFSLFKRLFRVHPEEGKSSPANENNNAGLFESSPGTENFFRKLFRDRDRSIEDSELLGSKKQKEKHPGSPKQQNEKSGPKPPLPFNPSQFRKGAYHESLDFVLSLCDTSFGLVDVFPTEDRKHALNESLAEINLHLIEAQNTGGVCFPLGKGVYRVLHIPEDEAVLLNSREKAPYLICVEVLKCEMPSNFKETSSSQKLSKGGIPLANGDAFLQKPPPWAYPLWTAQEAYRNSNDRMSQSTAQAIDQAMTHVSEAKVKFVSLNLSVEAQLHRHLDKSEVDLHVGSRHSDSIYREGVREMASPRHENDLEWVRVVLTADPGVRMEDIENSAPRRRKEHRRVPSTVAIEEVKAAAAKGEAPLGLPLKGAGQDSSDAQPRADGITPKASDALSGELWEAKKERIRNASVHGNLPGWDLRSVIVKSGDDCRQEHLAIIMPDIFQEAGLPLWLRPYEVLCTSSYTALIETIPDTASLHSIKSRYSNISSLRDFFIAKYQKDSPSFKLAQRNFVESMAGYSLVCYLLQVKDRHNGNLLMDEEGHIIHIDFGFMLSNSPGGVNFESAPFKLTRELLEVMDSDAEGIPSEFFDYFKVLCIQGFLTCRKHAERIILLVEMLQDSGFPCFKGGPRTIQNLRKRFHLGLTEEQCVSLVLSLISSSLDAWRTRQYDYYQKVLNGIL >OIW20066 pep supercontig:LupAngTanjil_v1.0:Scaffold_9_220:36048:40696:-1 gene:TanjilG_32026 transcript:OIW20066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRSTSSSRRILNHLKGHGGNLGATRFATSAAVASKASSGGLFSWLTGERSSSLPPLDTPLAGVSLPDPLPDHVEPSKTKITTLSNGLKIASETSPNPAASIGLYIDSGSIYETPLSSGASHLLERLAFKSTTNRSHFRIVREVEAIGGNIGASASREQMGYTFDALKTYVPQMVELLVDSVRNPAFLDWEVNEELRKVKAELGELSNNPQGLLLEAIHSAAYSGALAYPLLASEAALNRLDGLSLEEFVAEHYTAPRIVLAASGVDHEELLSVAEPLLSDLPNVPRPEEPKSNYVGGDFRRHGESGDAHVAIAFEVPGGWQKEKDAIVLTVLQMLMGGGGSFSAGGPGKGMHSRLYLRVLNEYQQIQSFSAFNSIFNNTGLFGIYASTSSDFVSKAVDIAAKELIAIASPGQVSQVQLDRAKKSTKSAVLMNLESRMIAAEDIGRQILTYGERKPVELFLKAVDEITLNDITKISQKIISSPLTMASYGDVLNVPSYESVNSKFHAK >OIW20067 pep supercontig:LupAngTanjil_v1.0:Scaffold_9_220:47402:49991:-1 gene:TanjilG_32027 transcript:OIW20067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKINSKESLVIVLIYVFVFMRSNYAQDTLVPAIITFGDSVVDVGNNDYLTTIFKANYPPIGRDFANHQPTGRFCNGKLATDITAETLGFKSFAPAYLSPQASGKNLLIGANFASAASGYDEKTAILNDLYGLGGRKIGVASLPPLGCLPATRTLFGFHDNGCVSRIKNDAQRFNKKLNSAATNLQKQLPGLKIVVFDIFKPLYDLVHPPSKYGTQYFH >OIW20065 pep supercontig:LupAngTanjil_v1.0:Scaffold_9_220:28857:31005:-1 gene:TanjilG_32025 transcript:OIW20065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKATKWLKGLLWMKKEKDQNDPNLGSLGPNKKEKKWWSFAKQGKDMAAASSNGDVPVSPCGDSDWLRSYIAESENEQNKHAIAVAAATAAAADAAVAAANAAVAVVRLTSHGRGTLFSGSREKWAAEKIQTFFRGYLARKALRALKGLVKIQALVKGYLVRKRAAATLHSMEALIRAQSTVRSQRARRSMSKENTLLPEVLARKSVERFDETRNEFHSKRLPMSHETSLNGFDESPKIVEIDTYKTRSRSRHFTTTMSEYGEDMPCHAVPSSLPSPLPGRISVPESRHVQDFEWYLNIDECRFATAHNTPRFASSMRPSSPATPAKSVYGDTYFQTYCNSPGYMANTQSFNAKLRSRSAPRQRPESKKRLSLNEMMSARNSISSVKMQKPYDFQAQES >OIW20068 pep supercontig:LupAngTanjil_v1.0:Scaffold_9_220:54274:58246:-1 gene:TanjilG_32028 transcript:OIW20068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPPPMGPPGNPMFEGGGPPPPPQPPGTDMTGICFRDQLWLNTYPLDRNLVFDYFAMSPFYDWTCNNEQLRVRSVHPLDISNLTKMTGIEYILSEVLEPHLFVIRKQKRDSPEKVTPMLAYYILDGSIYQAPQLCNVFAARIGRTLHHIQKAFTIAASKLEKIGYVSDRKSGVLLTFVNVNLIVIPLAGSVDAENESEVLESKVSKETIDLKEVKRIDMILASLQRKLPPAPPPPPFPEGYVPPPTSETEEGTDTKEGTGTQAPTSDPIIDQGPSKRMKF >OIW19987 pep supercontig:LupAngTanjil_v1.0:Scaffold_9_35:10209:10945:-1 gene:TanjilG_31884 transcript:OIW19987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLLLASPSSLSLHSHTSSYYLSFSHSQSLSSQFPSSISLSSSSSILLPTTPSVYCGRGDRKTAKGKRFNHSFGNARPRDKNKGRGPPRIAAPPSPLKKDKYEDNEVVKIQIDESLFG >OIW19988 pep supercontig:LupAngTanjil_v1.0:Scaffold_9_35:44646:51067:-1 gene:TanjilG_31885 transcript:OIW19988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSQNQGEEAIVPTLSGTENEGVSTREEGKEQDHSISSLKSFFWHGGSVWDAWFSCASNQVAQVLLTLPYSFSQLGMLSGILLQVFYGIVGSWTAYLISVLYVEYRTRKEKENVSFKNHVIQASWFEVLDGLLGPYWKALGLAFNCTFLLFGSVIQLIACASNIYYINDKLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLGMTTYTAWYLAIAAIIHGQAENVTHSAPSKVVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKYIYLLATLYVFTLTIPSAVAVYWAFGDALLNHSNAFSLLPKNGFRDAAVILMLIHQFITFGFASTPLYFVWEKVIGMHDTKSICLRALARLPVVIPIWFLAIIFPFFGPINSAVGALLVSFTVYIIPSLAHMLTYRKASARQNAAEKPPFFIPSWTAMYVFNAFIVVWVFVVGFGFGGWASMTNFVRQIDSFGLFAKCYQCKPPTPPHVAGAPPPHVHH >OIW19978 pep supercontig:LupAngTanjil_v1.0:Scaffold_9_4:58747:60236:1 gene:TanjilG_31852 transcript:OIW19978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMSQIQYLLALFLIIASWSSQVLSRMLQDTFLSERHELWMAKHGKVYKDAAEKEKRFKVFKENVKYIESFNAASNKPYKININQFADQTNDEFKAYRNGLKSLHGLRTIIGTSFKYENVTDIPVAIDWRKRGAVTPIKDQGQCGSCWAFSTVAATEGIHQLINGKLVSLAEQELVDCDRKGEDHGCKGGYMEDGFEFIRKNGGITTEANYPYTTSNGTCNTNKEKSHIVTIKGYEKVPPNSEDALLKSVANQPVSVSVDAGGNFQFYSSGIFEGECGTELDHGVTAIGYGIGDDGTKYWLVKNSWGTSWGEQGYIRMKRDINAKEGMCGIAMDASYPTA >OIW19976 pep supercontig:LupAngTanjil_v1.0:Scaffold_9_4:14468:17452:-1 gene:TanjilG_31850 transcript:OIW19976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEMICISRSDEDKSEGSDIRARPSSSLLSPRKRSFLDLNEEAVDDDSISDNDGSSQEGGNVSSNNNSSEDGKERGNNKVRQYVRSKMPRLRWNPDLHLAFVHAVQRLGGQERATPKLVLQLMNVKGLSIAHVKSHLQMYRSKKLDESGQVLTTISAMQGSRQILEMYHRLNAQGHFGVNNRNYPPSSRIIKQPYDIKVHESSRFHPIGLLNNHILTRSSSVWDKNSGLCEASSHLFDVRGAIITRNEPLRSNQVFEEKKWHSHGGVGSRESEKHESISISRDNNKYSSAQISQWSTNSSSIHNQYQRSCCSPMMLGQTKFDAEVDDLKGGMLEFTKEVQVSQQSNIKAQLERLNEKKGLQNLLELKLNQDSGIVRDHMKGELQGEQEINTILSLSLFSSSSSSSRQQHLS >OIW19975 pep supercontig:LupAngTanjil_v1.0:Scaffold_9_4:8674:10906:-1 gene:TanjilG_31849 transcript:OIW19975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILKDIMEEKQLNFNQPILSVRRFSSKVASETDCKRKSDNSKARSQHLPAYNSELKSGPVRNPGTVPFEWEKVPGRRKDESKSQTQAFEQHLIAPNLPPGRVSKLKQQGTAIKVTSVTEMRTGSSISNSQSVVFSKEKIHGKSSSDSSDGSEVYVDAFDTLSRSESFFMSCSSMSGLDDQEVIQPSKTFSNDRQTRDFMIDRFLPAAKAMTSDILQYASRRKPVVGQDQHKQVKKVSIAEKSDPLNQQKPKTLPHYTEVIGKEGSKGESGDCNVSENYTTTASGLFPRFCNLNPIPGLKIEDKVQSSALHGMQTRPVASHCETKIEHARGLYDWKKPVDSHSGSRLKGKNEVLGISEKSRHGIDSHGRGCNKLLASESTQFEPSCEGPAVEKTLYVDSVHKVKSHSSSFSKEMKGLTDRGGNDFDTLRRDTDLIKNPSIDSSLGNSKHLDAVDVKAMIQPKSSESFDSTFLVCSEDFNNGMQIEMTNPSKKLDSEKQGLTKPGIQGSDFDKHFISISRPKVFESKNTESESLVSKTRKKSDSLIQNSEVDLKRERSVERVDQECPQGSTHNPSTLPRTKNLDDGKIDLESQCLMKLGHQERPEARYLQLPSLKAPSESWLSRTLPTISSRNISSRSINIVANICARNEIPKATSLCPNKWENIVKSSNVHHGQLKFTEVLTSIPEG >OIW19977 pep supercontig:LupAngTanjil_v1.0:Scaffold_9_4:50373:56051:1 gene:TanjilG_31851 transcript:OIW19977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMPLEPHHHQPPQAPPQEPPPPPAPPQPLSLPLPQQQQPPQAENDALNPAVRSGSEMESNKVSDALIVFDPPNELRFPAIYQISNGFLFDRGEMSSNVVDGNDAVTGHIISTTIGGKNGEPKQTISYMAERVVGTGSFGIVFQAKCLETGEAVAIKKVLQDRRSKNRELQLMRLMDHQNVVSLRHCFFSTTSRDELFLNLVMEYVPETVYRVLKHYSSMNQRMPLVYVKLYTYQIFRGLAYIHTVPGVCHRDVKPQNLLVDPLTHQVKLCDFGSAKVLVKGETNISYICSRYYRAPELIFGATEYTTSIDIWSAGCVLAELLLGQPLFPGENQVDQLVEIIKVLGTPTREEIRCMNPNYTDFRFPQIKAHPWHKIFHKRMPPEAIDLASRLLQYLPSLRCSALEACTHPFFDELRDPNARLPNGRPFPPLFNFKQELAGAAPELINRLIPEHLRRQTGLGFSHPAGI >OIW19989 pep supercontig:LupAngTanjil_v1.0:Scaffold_9_44:28184:35517:-1 gene:TanjilG_31886 transcript:OIW19989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNGTQYSGESSSSTSRNSQQDIEDDGMIALVLSEEYAKSDGAVGRRLTNLAPVPHVPRINSFIPNMSDASMDHQRLLQRLNIYGLCEVKVSGDGNCQFRALSDQLFRSSEHHKYVRKEIGKQIKDHRSLYECYVPMKYKRYYKKMAKCGEWGDHVTLQAAADKFAAKICLLTSFRDTCFIEIMPLYQAPKRELWLSFWSEVHYNSLYEIRDAPIQHKPRRKHWLF >OIW19990 pep supercontig:LupAngTanjil_v1.0:Scaffold_9_49:8867:13349:1 gene:TanjilG_31887 transcript:OIW19990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHASYSESSNDDDKIGRLSQQPQELKGQIQVGKYKKKVLDKALRKYKFIKGKLRIDNLSFEELVHVVKKSIHCRTIEEFSDSDDEDEEEMVIPNVVWYSADVVILVSGHVVSPLVEEHRAREESMSYPLVIIMDSDTEMEEDPEEDPNELESSNS >OIW19979 pep supercontig:LupAngTanjil_v1.0:Scaffold_9_5:12471:13844:1 gene:TanjilG_31853 transcript:OIW19979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLIQFIAFATLTFFHCLTCAQLIPPPSTGISLSLNLISALSPQSPIYPGNLSPSERIQKMLQISEARIMYLTSTMFHNDEMFSTNTLQHRRFYAYFAKISLGSPKQSQFLAVDTGSGLIWTQCQPCVNCYNQSIPIFDPQSSTSYKKLLAGDPHCKENQTKQIVKPDCSYELVNNGESLTIGFASTETFTFLNNKGQEFTLPNIVFGCSNNNTRMPFVGTKVSGVLGLNTAPFSLGIQLKEKISNTSRFSYCLHSSSQPEKDSTSLLKFGKGAVFPNGKKYQTTSILKNNFNDYQLSLESISIGEQPVTFPPKTPAVGRNGTFLDFGSIVTFMETDPYNVVMEKFDEYYRSIGHRRVSPPPETEGKFDHCYNYGGNMENFVSMTYHFNGNASLKVDPLQLYLVIGDAFCVTIISSKSFTGTDFTVIGALHQQNTRFVFDLDKNVVQFAPEDCSKDK >OIW19991 pep supercontig:LupAngTanjil_v1.0:Scaffold_9_54:19421:20071:1 gene:TanjilG_31888 transcript:OIW19991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSSIFYSSNSDFSPESSCFSSPELFSLNFQNDYLPFNENDSEEMLLYGMIANIPQEPFDTTKVVKEEEVSSRGSGDHTRKEKSYRGVRRRPWGKFAAEIRDSTRHGIRVWLGTFDSAEAAALAYDQAAFTMRGSAAILNFPIETVKESLREMNYGSEDGCSPVIALKRKHSLRRKMGVKKNIDSRINNAVVFEDLGADYLEQLLMSSDEIPNPC >OIW19992 pep supercontig:LupAngTanjil_v1.0:Scaffold_9_56:13656:15797:-1 gene:TanjilG_31889 transcript:OIW19992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLHTLIFLLLLGVVITPPVLANYKQPTYEPLAPIFEPPPFETLPPVYEPPPVYHPPHEKPSLEYPPPSYEKPPHEKSPPEYSPPNEEPAPEYPPSHEKSPPLYPPPIKYPPPHEKLPPVYEPPYEKPPPLHPPPHEKSPIDNPPPHEKPSPVYEPPYEKPPTLHPPPHEKPPPLFEPPSEKPPHVHPPPQEKPPIESPPSHEKPPPVYEPPYEKLPPAHPPPHDKPPVVHPPPHEKSPVHEPPYEKPPIEYSPPHEKPPIEYPPPHEKSPVHEPPYEKTPPVYQPPHEKPPIEYPPPHEKPPIEYPPPHEKPPIVHPPPHEKPPVHEPPYEKPPPVHPPPHEKPPIEYPPPHEKPPIEYPPPHENPPIVHPPPHEKSPVHEPPYEKPPPVHPPPHEKPPIEYPPPHEKPPIEYPPPHEQPPIESPPPHEKPPIENHPPHEKPPIEYPPPHENPPIEYPPPHEKPPIEYPPPHEKPPIEYPPPHENPPIEYPPPPHEKPPVYEPPYEKPPHVHPPPHEKPPIEYPPPHEKPPIEYPPPHVKPPIEYPPPHEKPPIEYPPPHEKPPVYVPPYEKPPIVYPPPHEKPPIVYPPPHEKSPVHEPPYEKSPPVHPPPHEKPPIEYPPPHEKPPIEYSPPHETPPTEYPPPLEKPPHHEKRSALYPPRHPKPPHHHKPLPYKKPPFYKSPPVEKPPSDESQPYGRFRRPSRKIMNRQ >OIW19994 pep supercontig:LupAngTanjil_v1.0:Scaffold_9_65:9917:11427:1 gene:TanjilG_31891 transcript:OIW19994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERGVVDGIINKLLEVRDKPGKQVQLSEAEIMKLCVVSKDIFLKQPNLLELEAPIKICGDIHGQYSDLLRLFEYGGFPPRSNYLFLGDYVDRGKQSLETICLLLAYKIRYPKNFFLLRGNHECASINRIYGFYDECKRRFNVKLWKLFTDCFNCLPVVAIIDEKIICMHGGLSPQLYNLNQIRSLPRPIEVPETGLLCDLLWSDPSTDIKGWGGNERGVSYTFGADRVTEFLQKHDLDLICRAHQVVEDGYEFFANRQLVTIFSAPNYCGEFDNAGAMMTVDETLVCSFQILKPQEKRSKFGFGRTTLKSSAATNFKV >OIW19993 pep supercontig:LupAngTanjil_v1.0:Scaffold_9_65:5376:5759:1 gene:TanjilG_31890 transcript:OIW19993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKKRQFSCKFCDEKFLSFQALGGHQKVHGRERILSNLDKEFCMSSFILDAHPCLSPSMPNYRNFSDAPLHGAHMHPMSQMSPVYCHGFGIGYDNQNLYTTSYSGHKHGTTSNSWGTIVETTQRPEC >OIW19996 pep supercontig:LupAngTanjil_v1.0:Scaffold_9_88:16903:17211:1 gene:TanjilG_31893 transcript:OIW19996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRGCNSRKPVKIVIINTQYVETDATSFKSVVQKLTGKDSDYLESKAEKAEREERLNNQVGVEVHAPEGGLGKSFLMRDVSFKEFDRFFSEISSLNDIWAD >OIW19995 pep supercontig:LupAngTanjil_v1.0:Scaffold_9_88:1330:5906:1 gene:TanjilG_31892 transcript:OIW19995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVAEISADTTNTMDSKPKPESEFSVQNLVDMFTKLNPLAKEFFPSSYPHNHDQFHQGFNQFSPTNFLINAKPLATDNYPNRRRRNSFNQGKRLNGRSFKAQREDSVRRTVYVSDIDQQVTEEQLAALFSNCGQVIDCRICGDPHSVLRFAFVEFSDEYGARAALNIGGTVLGFYPVKVLPSKTAILPVNPTFLPRSDDEREMCARTVYCTNIDKKVSQAEVKNFFETACGKVTRLRILGDQVHSTRIAFVEFAIAESAIAALNCSGMLLGTHPIRISPSKTPVRPRVIRPTPH >OIW18255 pep chromosome:LupAngTanjil_v1.0:LG01:25147193:25149611:-1 gene:TanjilG_20310 transcript:OIW18255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFSYQYHPFLVDPAFIPNTPIIMSSLTHQKHAHINTTLPSYQSHLLQANQETSCVDHSSKVSISDTEPSFVIKDNCSPQCSMVVDKVENGEQVNQKVAPMEKKRRIRNGSPLSVSQSKDSREGRNKKQKKNDDEVKEEDKPKKEKKVEKEGPEEPPKGYIHVRARRGQATDSHSLAERVRREKISERMNMLQKLVPGCDKVTGKALMLDEIINYVQSLQNQVEFLSMKLASVNPMFFNLAIDLDLDTPMVLPEKLNSIVSPTPPLSSIPQCSLNQSSHFADTTTIATNNNTGFLAANEYLLDYAFLQGQRSTFFSEYSGGQFWDVEDQRQKFLNPYGFGNDSCSLN >OIW19584 pep chromosome:LupAngTanjil_v1.0:LG01:250359:253629:-1 gene:TanjilG_18394 transcript:OIW19584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERYGRSSDEGYQESSWQGSGSYPQRPGEADCIYFLKNGFCGYASRCRFNHPRDRARVVTGAARSGSGEHPERVGQHVCQYYMRTGSCKFGSSCKYHHTRQEAGIAAPVSLNYYGYPLRTGEKECSYYVKTGQCKFGTTCKFHHPQPVGVQITAPPPVPPLSPMPVPSPLYPNVQPPSGSSSQQYGVVVARPPLLSGSLVQGPYGPIVVSPTMVPFSGWGPYQDPATSPVFPSTTLSNVGSTQFYGIMQLPSPQTAYAGPYQPSGSLIGPSGSSQKENSLPERPDQPECQYYLKTGDCKFGPSCRYHHPADMSASKANVILSPMGLPLRPGASVCTHYTQHGVCKFGPACKFDHPMGSLSYSPSASSLADMPVAPYPVGSSFGALAPSSSSSELRPELVSGSSNEPASSRMSSSMSTSTGSVGLTSSTGGPISHSGTQPSVQNSSSLATIDATNSTTVSYTSS >OIW18503 pep chromosome:LupAngTanjil_v1.0:LG01:20691516:20697355:1 gene:TanjilG_13255 transcript:OIW18503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHFALTSFCYLLFCEFRRKISTTVKASSRVDKFSKSDIIVSPSILSANFSKLGEQVKAVELAGCDWIHVDVMDGRFVPNITIGPLVVDALRPVTDLPLDVHLMIIEPERWVPDFIEAGADIVSVHAEQSSTIHLHRAVNQVKSLGAKAGVVLNPGTPLSAIEYVLDMVDLVLIMSVNPGFGGQSFIESQVKKISDLKRLCMEKGVNPWIEVDGGVGPENAYKVIEAGANALVAGSAVFGAKDYAEVVDLVLIMSVNPGFGGQSFIESQVKKISDLKRLCMEKGVNPWIEVDGGVGPENAYKVIEAGANALVAGSAVFGAKDYAEDNIHEQAGL >OIW18031 pep chromosome:LupAngTanjil_v1.0:LG01:31005735:31010477:-1 gene:TanjilG_07615 transcript:OIW18031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVEDALECVGKGFDLASDFRLKFAKGIGENKKKRLVVIDEHSRRDILIPGAAGGGASISIKGVSESIKCDKGDRIRFKSDVLEFNQMSELLNQKSAVQGKIPSGYFNALFDLSGDWFRDAADTKYLAFDGYFISLFYLHLTASPLILQEEVKKSVPAQWDPAALSRFIQTYGTHIIVGMAVGGQDVICVKQKHSSKIPTGDLRRHLEDLGDFLFSDARSPSLLQRQAADAKQKVPEVFNRVMQSNTMQFTSISETSSKDGLTILCSKRGGDMFKHNHSNWLQTVPFNPEAILFKFVPISSLLTGIPGSGYLTHAINLYLRYKPPPEDLRCFLEFQIPKQWAPMFCELPLRHQRRKSSSPIVQFSFMGPRLHVSTTEVVSEQKPVVGLRLYLEGRKCDRLALHVQHLSSLPNTMTLSSGGTPPMWRGSDDNESSYQFLEPVRWKRFSNVCTSVVKHDPNWLNNSSGVYIVIGAQLLSKGSWPRNVLHLRLLYAHIPNCSIRKSEWAAAPEASRKSSFLTNLSTTFTFTQQSVTGPRKQAPTALNSGVYPDGPPVPVRAGKLLKFVETAEVVRGPHDAPGHWLVTAAKLVIEGGKIALQVKFAVLDYW >OIW18577 pep chromosome:LupAngTanjil_v1.0:LG01:21251623:21252651:-1 gene:TanjilG_13329 transcript:OIW18577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSDSVVKKIILSYTYVAIWIFLSFTVIVYNKYILDRNMYNWPYPISLTMIHMAFCSSLAYLLVRVFKLVEPVSMSRDLYLRSVVPIGLLYSLSLWFSNSAYIYLSVSFIQMLKALMPVAVYSIGVVFKKEAFKNETMANMVSISLGVAVAAYGEAKFDSWGVTLQLMAVAFEATRLVLIQILLNSKGISLNPITSLYYIAPCCLVFLSIPWVLMEYPSLRDNSSYHFDFVIFGTNSTCAFALNLAVFLLVGKTSALTMNVAGVVKDWLLIAFSWSVIKDTVTPLNLIGYGLAFLGVAYYNHSKLQALKASEAQKKARQADEEAGRLLEEREGERKSENRN >OIW17811 pep chromosome:LupAngTanjil_v1.0:LG01:34078759:34082824:-1 gene:TanjilG_02439 transcript:OIW17811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQNPVTTNLQVFDIEDVPSQSQITSSKCFDDDGRLKRTGSVWTASSHIITAVIGSGVLSLAWAIAQLGWIAGPAVMLIFSLVTFYTSSILAECYRAGDPNTGKRNYTYMDAVRSILGGVSVTLCGTFQYLNLLGVVIGYTIAASISMLAVKRSNCFHHSGHKDPCHMSSNNYMIIFGVSQMFLSQIPDFDQIWWLSTVAAVMSFTYSIIGLALGIAKVAANRTFKGSLTGISIGQNVSETQKIWRTSQALGDIAFAYSYAVVLIEIQDTIKSPPSEAKTMKKATMISIAVTTTFYMLCGCMGYAAFGDEAPGNLLTGFGFYDPYWLVDIANVAIVVHLVGAYQVFAQPIFAFVEKGATQRWPNIINKELNIPIPYLQSYKLNLFRLVWRTVFVIITTVISMLLPFFNGIVGVIGALGFWPLTVYFPVEMYISQKKIPKWSNRWISLQIFSVGCFTISVVAAVGSVAGVLDDLKKYKPFQSNY >OIW19355 pep chromosome:LupAngTanjil_v1.0:LG01:3473268:3474278:-1 gene:TanjilG_03489 transcript:OIW19355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSALPSLPSLFSHEESCMFHTMDRLLYSILVINQYRDPIESMQVLAMLLWLERVGYRHLIKKITTLPHMLINEIADETISCLKYINTANFSCFSYSSYPPSQGCDISLLQSIAEKEISALLLYENRDSALRGVAKILRDVCGKAFGDIMQQAIVRNTIEKMEEAHRQRQISEKNPQLQQQLWSCSIQHSHIQSTCNVVKGGDDHNNILVQNLITQENNEEQVPADERTLFLTFSKGYPVTEREVKEFFTMRYGDYVEALYMQEVQQGEQALFARIVFRSATIIDMITSGLRKAKFSINGKHVWARKFVPKHSKTGSPNQTHSNYSGESSGTTRIL >OIW17787 pep chromosome:LupAngTanjil_v1.0:LG01:34328066:34329066:1 gene:TanjilG_06472 transcript:OIW17787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGCRRHQDCRHKMEYYGGGVHSPWNMDAQHQREVKEPNALVMNKKIRSIMAERQAAILELELEAAISEKNEALAARDLAFRQRDEALAQRDNAEMERDIALAALQNRNNAVNFPSGGVQCGSKRKHQSAYSTKDMPVRDATPATVITAEAVKSRQAKRLKENKVINSKASKSPTKLGKDLNRNASSQGTKIKSEWDKLDVGLNLVAFDETVMPAPVCTCTGVPRQCYKWGSGGWQSSCCTTALSMYPLPQLPDKRHARIGGRKMSGSVFTRLLSKLASEGHDLSISLDLKSYWARHGTNRYITIK >OIW18743 pep chromosome:LupAngTanjil_v1.0:LG01:22650879:22651172:-1 gene:TanjilG_13495 transcript:OIW18743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHLFVSDCPTPLILTVNILTHSSSLSHHRQHFTAHSETTTITLLHFPDLTNSPRVQILKQYPRQWDPHCILMCTRPNLKQIGPTPPYFAADFDSYE >OIW18836 pep chromosome:LupAngTanjil_v1.0:LG01:19960401:19961589:-1 gene:TanjilG_25279 transcript:OIW18836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKRTKKAGIVGKYGTRYGASLRKQIKKMEVSQHSKFFCEFCGKYAVKRKAVGIWGCKDCGKVKAGGAYTLNTASAVTVRSTIRRLREQTEG >OIW18844 pep chromosome:LupAngTanjil_v1.0:LG01:19892798:19900875:-1 gene:TanjilG_25287 transcript:OIW18844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQRRFSLFGIGDSSSSSSSSNLVCNNNKNNSLSSKGVAEKVVPTLKLETDREVYRPCDPLVVTIQISIPSNGYSFLVERLSFKIKGIEKLDTHWFATQKTVPGSKQKRGEHVFLEFSTPILIANQIVNAGTSKSYFEACLLNILQEVRIPLQIWINQKNTGILMDEDIVPPATVQLDIFWKEMDGDADWVRADDMYDGVDEGYDSSRDDISSVSSYNHLKGNVLRGFGSSPSLRSSSTRSLNRDTSALEGRRTSVSSNMALPRLSAAEVLSDSGPDVLSTRKSFGIVSPSEQQKLRDPFSPDGAGGSSSPEAGAIESLASEGFIRGRSYNIRLDDQVLLKYSPRNSDSTYYFSDMIGGTLTFFHEEVARRCLEISITLETSETINRRFVHPSRRNSPTITKVLSDHHEVVADLVQTSFLFSVPMDGPMSFSTAHVSVQWVLRFEFFTTPKHVDWKKYEHPLLIEGRDKTEWVLPITVHALPPRTPASGTRNEKLFSLDPMWVHN >OIW19580 pep chromosome:LupAngTanjil_v1.0:LG01:227965:229098:1 gene:TanjilG_18390 transcript:OIW19580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKSCHKFLLFHFNGLPRVLSYSPIGTLNYTTTTKDESFTVNYLVNNCGFPLDSALTASKQILIRSSENPDSVLALFRSLGFSDSDLQIIIRKIPRLLLSNPTKTLLPKFQFFQSKDASASHFVRIFTANPYLLLRSLENHLIPTYNLIYRYLQSDQRTLVAVKRCVRLFDFADLQNNIKLLLDAGIREESLAMLFRQWPWLLVYKFDYLRNKVDEIRNMGLDPSKSRFIAAMYAKALPKSMWESKAELYKMWGWTDEAIHEAFVRHPHCMLISERKIEEGMQFFVNQMGWDSQLLVCYPVLLMLSLNKRIIPRASVLEFLLSKDLIERAFIPQAYYISEEKFLQKYVSSFKDQAPQLLKLYEEKMSLSGTDDQCLS >OIW18304 pep chromosome:LupAngTanjil_v1.0:LG01:24580861:24584875:1 gene:TanjilG_31444 transcript:OIW18304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSEGFLTEGQREMLKIASQNADILSSSPKSPSALLSEHHIKAPTGGKAQTAGIAVRHVRRSHSGKFGRAKKDGAGGKGTWGKLLDTDVASHIDRNDPNYDSGEEPYQLVGSTVTDPLDEFKKAVVSIIEEYFSTGSVELAASDLRELGSSEYYPYFIKRLVSMAMDRHDKEKEMASVLLSALYADVISPAQIRDGFFMLIESADDLAVDILDAVDIIALFLARAVVDEILPPAFLARARKALPESSKGVQVIQTAEKSYLSAPHHAELVERRWGGSTQITVEEVKNKIADLLKEYVDSGDTLEACRCIRELGVSFFHHEVVKRALVLAMENRSAEPLMLKLLKEAAEEGLISSSQMVKGFSRLADGLDDLALDIPSAKALFQSLVPKAISEGWLDASFTKPAGEDGEIQFKDEKLRKYKWEAVTIIHEYFLSDDIPDLIQSLEDLGAPEYNPIFLKRLITLAMDRKNREKEMASVLLSALHIEIFSTEDIVNGFLMLLESAEDTALDILDASNELALFLARAVIDDVLAPLNLEEIGSRLPPKCSGSETVLMARSLIAARHAGERLLRCWGGGTGWAVEDAKDKIMKLLEEYESSGVLGEACQCIRDLGMPFFNHEVVKKALVMAMEKKNDRMLYLLQECFNEGLITINQMTKGFTRIKDSLDDLALDIPNAKDKFSFYVEHAQTKGWLASSII >OIW18628 pep chromosome:LupAngTanjil_v1.0:LG01:21756199:21759051:1 gene:TanjilG_13380 transcript:OIW18628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGPPKLRAMNVSSDSEPRTVLVNKTGPFNSKKQASKPLRKLEKSRDDVASANHKKPYELSSPVTSSSQSPQPQSVSVHSVLRRHEQLLHSNLSMNASCSSDASTDSFRSRASTGRLASSGSLGLTRKRSVSKPRSVASDGVLESPPDGSQSVKRCAWITPNTEPCYSTFHDEEWGVPVHDDKKLFEHLVFSSALSELTWPAILSRRNIFREVFADFDPVAVSKFNERRIIASGTPASSLLSDVKLRATIENARQISKVIDEFGSFDKYIWSFMNHKPMVSRFRYPRQVPVKTSKADVISKDLVRRGFRGVGPTVIYSFMQAAGLTNDHLISCFRFQACIDAAGGKEETVIKDDPQQKERDTVMKSDLSIAIDNLSFTSE >OIW17833 pep chromosome:LupAngTanjil_v1.0:LG01:33836786:33837989:-1 gene:TanjilG_02461 transcript:OIW17833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMNSSVLACTYTISGSGCSELNAKLTSMPSVASPPVSGQKLHVIKAQQARIPQAKESQASEGRRGALVFLAATLFSTAAIASNSAANAGVIEDYLEKSKANKELNDKKRLATSGANFARAYTVQFGTCKFPENFTGCQDLAKQKKVPFISDDLELECEGRDKYKCGSNVFWKW >OIW19311 pep chromosome:LupAngTanjil_v1.0:LG01:4531322:4533601:-1 gene:TanjilG_09095 transcript:OIW19311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYKKTVEEKFPTLKKYSDKAPPNDKSATHEVKVDYEYKSSEDNDKVVPPDQRIKGYRYGPHIVPISAAEWDAVKFKPEKGVKLLGFTDSSNVLRQQYMKDVNIFIAEPGNTKAILAISALARAMKEMSKVAILRCVWRQGQGNVVIGALTPNVSDRENIPDSFYFNVLPFAEDVREFQFPSFTNFPPSWQPNEQQLEAAANFIKMQDLAPQGSEEVLLPDFTPNPVLERFYHYLELKSKHLDAAVPPLDDTLKKITEPNTDLLLQNKSVIDTFRRSFELRENPRHKKSRRLLREKWSVSNEEEGKGGITAQPANLIEYTSTVKVENIWDLTPVHDFEAMISRRDSPDWVIKAIKDMKNKIFDLVEDSHEGDNYPKALECLVALRKGCILEQEPKQFNNFLRHLCNFCQEKNLRSFCEYLDSKGLALISNTEAADSEVSDVEAKNFLVKHEPEVE >OIW17970 pep chromosome:LupAngTanjil_v1.0:LG01:31724545:31727719:1 gene:TanjilG_17806 transcript:OIW17970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLVENPNRIKAKGKHYYTIWQTLFEIDTKYVPIKPIGRGSYGVVCSSINRETNEKVAIKKIGNIFENCIDSLRTLRELKLLKHIQHENVIALKDVMMPIQRTSFKDVYLVYELMDTDLHQIIKSSQPLSGDHCKYFLFQLLRGLKYLHSANILHRDLKPGNLLVNANCDLKICDFGLARTNGVDGQFMTEYVVTRWYRAPELLLCCDNYGTSIDVWSVGCIFAEILGRKPIFPGTECLNQLKLIISILGSQSESDLSFIDNPKAWRFINSLPYTRGRHFSQLYPQADPLAIDLLQKMLVFDPTKRITVLEALQHPYMAGLYDPRNNPPAQVPININIDESWGEPVIREMMWTEMLHYHPEAASAIA >OIW19066 pep chromosome:LupAngTanjil_v1.0:LG01:15137026:15142459:-1 gene:TanjilG_10627 transcript:OIW19066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTAARGHSLMGRVQQLEAEVPSLEKAFYSQTHHSSFFTNGGIGWHPNHPSEQNLVTRGDLPRFVMDSYEECRGPPRLFLLDKFDVAGAGACLKRYTDPSFFKRESASFGISTVEVHREKRIRKVKQKKGAWLRDGEAPIVPPHSKLHQLLLEDRIENGYSNPARLVKLKKRQLNGFSAETTAGKSYMEKFLQTPSPDLKMICETSIIPQPVKLTLNDTSEAGIRILEISSINPVEKSFGNHISPNEVELQLKPFSEVNGETYGDPSEARKQTSACGTVEMSSCYPKFPREIELAVHEQKKTHGSLDGYHSDDVAREVDNYMDVLTTMESELETDNEWKPKKGFLNIQKVTDTDGKEEHQVQARLSDSQSFGDSSTSDDISSFKEDRNGVKVQARLSDSHSTGTSSTSDSISSFRRDGNEHIELQAHFSDSRSVDNSSTSDENNSFKNDKSCFTHSDSLSTVVENIPSEPIVFRYAKYHEPEVGDTSSNQMPQIVELQNTDCGKVVLHDDANVEEISDFEQASSDLVTRGLDFSSASPAELNLRLKDYENRTGLVESIAVKPVSLFLVEDDACPVDSFDKKCLNILEDDDPCVHSDDLLQVSNDLDSTCEDDYIGHSGIKMLHAESSNENSSEILVSRDAVQGVDHIFPSMEKLDLNSGTMSALDSRDSKDKDCIVGTQLNLEDLSPVHLVSCFTGEVSSDLIHDSPRGEPSSAEIEVLHSDLQSSYSSGQVINPTKHVMDPLKSPLPDLFPEANENNLDEMPPLPPLPPMQWRMGKVQHASLISQREHVEVNRVSAQPMQPIKPDQKSQSDFPTSERDTLLHQNPFMPVMAVESDKFQHSSGFPAGVSGNPVAIPFQFPIMVNDANGLYNYVVLDRNQIQNPFLALPVVSSDTPPHGCIVASEGEMLQNSNLCSSILPDESAVSGHDSISPPGNLSQSPSQITTETSSEDKTLKLSISNLESMGSPPDGDDGTLEGEMLRISNQYLTIPPAECAVFGHDSIAPQKKQTQSPSQLMMETSSAVKTLEHSVRSVVSMGMAPHGYAVASEGEILQNSNPLPPVSPAECSVSGHDSISLQENPGQLMTETSSEVKIPNQSMSDVEGEKGHPFISFMLPPNMESMEPNQNFLSSVGEMPSSFDTNAQTSDFESERINEKPKTKLPRPRNPLIDAVVAHDKSKLRRVTERVMPVIAPNVVERDSLLEQIRTKSFNLKPAAATRPSIQGPKTNLKLAAILEKANAIRHAFAGSDEDDDADSWSDS >OIW18711 pep chromosome:LupAngTanjil_v1.0:LG01:22436901:22437360:-1 gene:TanjilG_13463 transcript:OIW18711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSIFLLYCLFLLTFTSYFLTQNTNYSLLVIPARKLSISAPAHDHKYHKTQVAYEEMESIPEKEDSMTVEYNKGNSYSPNDIVYHTDYHGVTTHPTPKHPKP >OIW17855 pep chromosome:LupAngTanjil_v1.0:LG01:33604504:33606515:1 gene:TanjilG_14101 transcript:OIW17855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQQKLSFQAGERHALEKMYQWILRQQQEGSRVNTIDILTHLQNELEYGAEESPMSPRQAFQQQNSQTAVHTNTGASIPSNAFSTTSVPGIRTGQPDQQAKNSVFSNALSSPIRRNLQLYHLAQGSCPSSNLVLPGNGNRNSEMNNTSGQNKDTNSSNSSDCMDMHADSPVHDFSY >OIW19115 pep chromosome:LupAngTanjil_v1.0:LG01:12965643:12967205:-1 gene:TanjilG_08915 transcript:OIW19115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMSQNMANLTHPFIRLGMCLPMAPQLFQLEEGASLSMGLQSENFYPLISSVDVQLCKVGSIDPKKAKGKILFCHLRELDELVYAGQAALSTGAVGLILANNKQRRHDIVPFAHLLPTSHINYTDGEYVYSYIKGSKTPKVYMTRAKTLLGVKPAPIVSLLSSRRPNPIQPAILKPDIIAPCVDILYAFTEAISPTGFASDNRWIPYNIGSGTSVSCPHVSGIIGLLKTLFQIGVLQL >OIW17974 pep chromosome:LupAngTanjil_v1.0:LG01:31649457:31652112:-1 gene:TanjilG_31347 transcript:OIW17974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISFSCPFAKYNDVEEDINSIVVKSINFGNGEIKTPVRSVSFKSQDLEPTILKSLGSGKMTIEKSVSFKRSKSLSFDKEKNSPISRICKKSKEVDDQSFRPECQVESNQSALLNPSSPKQMAALKLQKVYKSFRTRRKLADCAILVEQSWWKLLDFAELKRSSISFFDIEKHETAISRWSRARTRAAKVGKGLSKDDKAQKLALQHWLEAIDPRHRYGHNLHFYYDKWLQCQCREPFFYWLDIGEGREVNLERCPRTKLQQQCIKYLGPMERLPYEVVVEDGKFCSKQSGELLHTGEDEHAKWIFVLSTSKTLFVGKKKKGSFQHSSFLAGGATSSAGRLVVEHGVLKAVWPHSGHYRPTAENFKEFISFLQENNVNLSEVKMAPVNEVDELNSVRSSGHLRCHSSEEDFTENVTCSETEETILEKANLMETESEVVLMAPRKLTNLEIPKRGQLFEGKSSEIFQIESPTSGQETAQAFESEVGHTTPEQNFSDDKDNNNNVETISEESILQRINSHKEMKSYQLGKQLSCKWTTGAGPRIGCVRDYPCELQFRALEQVNLSPRSDSHSKLSFASRTTTALSPGVSTLATSVTNVASLRVDITK >OIW17692 pep chromosome:LupAngTanjil_v1.0:LG01:35175126:35175968:1 gene:TanjilG_29042 transcript:OIW17692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGGAIISDFIDVKLKRGRKLTTNDLWSELDVFSNSSSSSSSSSSISKPLPPNLLQFPNNQEKERYKSESKKSKSESVRKNIYRGIRQRPWGKWAAEIRDPYEGVRVWLGTFNTAEEAARAYDAAAKRIRGDKAKLNFPDDPPNPKKPRLISTTPALPAEVPQPQAQSGSCLEVHDPLSSLKLFLGLDHEELQTQTKTQTLDFEWDTLSSLEPWMLDDIVLSHRF >OIW18596 pep chromosome:LupAngTanjil_v1.0:LG01:21485158:21490750:-1 gene:TanjilG_13348 transcript:OIW18596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNDWLNSYLDAILDVGPGLEGAKSSLLLRERGRFSPTRYFVEEVIGFDETDLYRSWVQASSTRSPQERNTRLENMCWRIWNLARQKKQVENETAYRINKRRIERERGRREATADMSEDLSEGEKEVSVHGEINRARMPRISSADAMETWANTQKGKKLYIVLISVHGLIRGENMELGRDSDTGGQVKYVVELARALGSMPGVYRVDLLTRQVSAPDVDWSYRDPIEMLAPRGTDEFGDDMGESSGSYIIRIPFGPRDKYIEKEHLWPYIPEFVDGALNHIIQMSKALGDQIGGGHAVWPVAIHGHYADAGDSAALLSGALNVPMILTGHSLGRDKLEQLLKQGRLSKDEINTTYKIMRRIEAEELALDSTEIVITSTRQEIEEQWRLYDGFDPVLERKLRARIRRNVSCYGRFMPRMAVIPPGMEFHHIVPLEGDIDGEPEGNLEHPAPQDPAIWSEVMRFFSNPRKPMILALARPDPKKNITTLVKAFGECRPLQELANLILIMGNRDGIDEMSSTSASVLLSVLKLIDKYDLYGQVAYPKHHKQSDVPDIYRLAAKTKGVFINPAFIEPFGLTLIEAAAYGLPLVATKNGGPVDILRVLDNGLLIDPHDQHSIADALLKLVSNKQLWAKCRQNGLRNIHLFSWPEHCKTYLSKIATCKPRHPQWLRNEDGDESSESESPGDSLRDIQDLSLNLKFPLDGEKSAGSGNDNSLDPDENAADRRVKLENAFQSWSRGISKDTGKIGADQNTNASKFPPLRRRNHLFVIAVDCDTTSGLLEITKAIFESAGKGRTEGSVGFILSTSLTLSEIESFLVSGGLSPSDFDAYICNNGSDLYYPSLNPEDHPFSVDLYYHSHIEYRWGGEGLRKTLVRWADSITDKKGENVEQIVTPAEQLSTDYCYAFKVQKPGKAPAIKELRKLLRIQALRCHPIYCQNGTRLNVIPVLASRSQALRYLYVRWGFELSKMVVFVGECGDTDYEGLVSGIHKSVILKGLGSSAISQLHNNRSYPLSDVIPLDSPNTVEATEGSSSANIQALIEKVGYLTG >OIW17977 pep chromosome:LupAngTanjil_v1.0:LG01:31631397:31633275:1 gene:TanjilG_31350 transcript:OIW17977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPLLSKKIIKKRVKRFKRPQSDRKISVKPSWRRPKGIDSRVRRKFKGCVLMPNIGYGSDKKTRHYLPNGFKKFVVHNVGDLELLLMHNRTYAAEIAHNISTRKRKEIVERAAQLDVVVTNKTARLRSQEDE >OIW19191 pep chromosome:LupAngTanjil_v1.0:LG01:8577840:8588792:1 gene:TanjilG_04934 transcript:OIW19191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPKKNSKAKDDILETLGDFTSKENWDKFFSIRGKDDSFEWYAEWSQLKNPLISLLQSQSLQLLVPGCGNSRLSEYLYDAGFKLITNIDFSKVVISDMLRRNVRDRPGMRWRVMDMTKMQFEDETFDAVIDKGGLDALMEPELGPKLGNQYLSEVKRVLKPGGKFVCLTLGESHVLGLLFSKFRLGWKMSISAIPLKSSSKSSLQTFMVVVEKELSTLVHQITSLLQNSSLHSNSKQASGLHEALQTENQIRESYSSSSDILYSLEDLQGELTKLSQGRRLQLTLGGQGCSVFSYGAVVLDAEEQSDPFTYHCGVFIVPKTRAREWLFFSEEGQWMVVRSSNAARLIMVFLDNSHTNASMDEIQKDLSPLVKELAPAENENGAQIPFMMASEGIKERNNVHQVTSSLTGSIVVEDVVYENVDSEVSSIFPSSVLVFRRLVFERAANLVQSEALLRDDQLRTKLVGETGMKKTNSSKSRKSGSQRGNDEASKQLTVYHGYLASSYHTGIISGFMLISSYMESVASSGKMVKAVIIGLGAGLLPMFLHGCMPFLEIEAVELDPTIVDIARDHFSFVEDKRLKVHIADGIQFVTEAASSEAAQILEKSNGSSHTESPSNGNSATSRAEDVGAATVDIIIVDVDSSDPSSGMTCPAPDFIDESFLETVKDKLSEHGLFVVNLVSRSQAIKDTVLLRMKKVFSHLFSLQLDEDVNEVHFALKSASCIDDSLFSEASLKLEKLLKFKHPEIGQNIINSTKKIKRLK >OIW18565 pep chromosome:LupAngTanjil_v1.0:LG01:21173783:21175132:1 gene:TanjilG_13317 transcript:OIW18565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDRKVITIAAVSVIILFIVIIVARVSLKLSKAFFLILGADVAVILAVFSCVLIRQRYKTRRNLMESRLRSEGRELRIEYSFLRKIAGVPTKFRYKELEEATNGFQALIGKGSSASVFKGILKDGTSVAVKRIDGEERGEREFRSEVAAIAGVQHVNLVRLYGYCNAPSAPRYLVYEYVPNGSLDCWIFPSNDLTNRRVGGCLPWNLRYRVAIDVAKGLSYLQHDCRSRILHLDVKPENILLDESYRALVSDFGLSKLVGKDVSRVMTNIRGTRGYLAPEWLLEMGVSEKTDIYSYGMVLLEIIGGRRNVSRVEDPKDKSKKKWQFFPKIVNEKVREGKFMEIVDHRLIEIGDVDESEVKKLVYVALWCIQERPRLRPSMAQVVDMLEGRARLDLPPDTRMILVDLLSVDEDPATDNNNLARLLTSLSSHGDNNISAYSMGGTTVLSGR >OIW17893 pep chromosome:LupAngTanjil_v1.0:LG01:32994624:32996549:-1 gene:TanjilG_19862 transcript:OIW17893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLKCSELAEYGNEIESDSVVGKSQILARFLRNEFILDSKSTIGVEFQTRTLIIDHKSVKAQIWDTAGQERYRAITSAYYRGAVGAMLVYDITKRQTFDHIPRWLEELRINADKNIVIILIGNKCDLESQHDVPAEDAKEFAEKEGLFFLETSALVATNVETAFTTVLTEIYNIVNKKNLAADESQGNGNPASMSGKNIVIPGPAQEISTKKSMCCQAS >OIW18710 pep chromosome:LupAngTanjil_v1.0:LG01:22435964:22436176:-1 gene:TanjilG_13462 transcript:OIW18710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWCKETATLKHSFGKQRSNFGKIPVAAWNIFTDTKIKFLRQSSSHHNIVFSRFHPKCKMCYYFPLNDMQI >OIW17979 pep chromosome:LupAngTanjil_v1.0:LG01:31571676:31586682:-1 gene:TanjilG_31352 transcript:OIW17979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTKSIRELGAENFVNAGLTVEEANEFHGVLNNILSISSSPTDTWHHLVTNKLLKPSYPHSFHQLVYYSILQSSQSNGAAAADFADPPLYWFPSLLEDNAMEGIEWNGSKLPIVWMFPFYWLHLSLVWSGMVYLSLVLKELSVSFVEPPKCFLDTSDPSKHGGTWLPGSVLNIADCCLQPSEYPNKGDDSIAIIWRDEGFDDSDVNRITLKQLRQQVMLVANAIDATFSKGDAIAIDMQMTANAVIIYLAIILAGCVVVSIPDSVAPKEIATRLRISKAKGIFTQDFISRGGRKFPLYSRVIQAAADKVIVLPVIGDNVGVQLREQDLSWKSFLSSGRQSHHFSPMYQPIDSVTNILFSSGTTGDPKAIPWTHLSPIRGSADSWALIDLQPGDVYCWPTNLGWVMGPTIIYSSFLLGATLALYHGSPLGHGFGKFVQDAGVTILGTVPSMVKAWRSTQCMEGLDWTKIRTFCSTGETSNVDDDLWLSSKSYYKPIIECCGGTELAACYIMGSHLQPQAFGAFSTASMTTGFVILDESGVPYPEDAACVGEMALFPLSMGATDRLLNADHEEIYYKGMPIYKGKVLRRHGDIIKRTNGGYFIVQGRADDTMNLGGIKTSSLEIERVCDRSDECILEIAAISVALGNGGPEQLVILAVLKNGYNSNADTLKKKFSKAIQSNLNPLFKVGFVKIVPEFPRTASNKLLRRVLRDQMKHELSVQSRL >OIW19430 pep chromosome:LupAngTanjil_v1.0:LG01:2797419:2799446:1 gene:TanjilG_09450 transcript:OIW19430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGEVSTKVTVNDIFHSTKAALSFSVPDHKSGKLDVQYIHPHAAIDSSIGLNPSPQLELSAAIGSKDLCLGAEVGFNTTSASFTKYNAGIAFNKPDFSAALLLVDRGQALKASYIHYVDRPDGFTIATEITHRFSSFENRFTIGSSKLIDPHTVLKTRFSNDGKAAFQVQRAWRPNSLITLSGEYDPTKIFSSSTKLGLSLALKP >OIW18957 pep chromosome:LupAngTanjil_v1.0:LG01:18527395:18534648:1 gene:TanjilG_09151 transcript:OIW18957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFKLNMNPKKKPLKPHLQRLNALKNFDYQPPTTPTTSSLTTSSSLENENDFRVTGNDGEFERIFQSLGLSGPEDFAIPTADWKARKARFSASSNHGKNKLNEEGEVRVHVLDELGNGVVKNQNFFIPFEGEDVSYDSKVLGCENEDGVLGRGVNGVRPSILVGSSWGLNLVRNFDDSGGGGGVGVVDLLCSSSEHDVVGRVRNGERSMIFSDSGSFTTSHDDDSDVGGERGCSGSGVVHELVHNVVSENGWLRRSFSSWQKGDVLGKGSFGTVYEGFTDDGFFFAVKEVSLLDEGNHGKQSIFQLQQDKSTLYIFLEYVSKGSLASLYRKYRLNDSQVSAYTRQIISGLKYLHDRNVVHRDIKCANILVDVSGSVKLADFGLAKATKLNDVKSSKGSPYWMAPEVVNLKNQGYGLAADIWSLGCTVLEMLTRQPPYSDLEGMQALFRIGRGELPPIPESLSKDARDFILKCLQVNPNKRPTAAQLMYHPFIRRPFLSPASPASPHRNIFRY >OIW17992 pep chromosome:LupAngTanjil_v1.0:LG01:31267748:31278395:-1 gene:TanjilG_31365 transcript:OIW17992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKNVPDWLNSSLWSSPPPSAAVSSSTPPPHPPIIEEEDPPVTQHSPITDPTETPNDDHHTDGYDAVPSLPSADEISRQAQIMAELSKRVIDMRELQRLACQGIPDSPGIRSIVWKLLLRYLPPDRGLWSSELANKRSQYKQFKDDILMNPSEITRKMYNSTSCDTHDAEWKSRTILSRSEIPHGEHPLSLGKTSVWNQFFQDTEIIDQIDRDVKRTHPDMHFFCDDSQFAKSNQDALKNILIIFAKLNPGVRYVQGMNEILAPLFYVLKNDPDEENAAFAEADTFFCFVEIMSGFRDNFVQQLDNSVVGIRSTITRLSQLLREHDEELWRHLEITSKINPQFYAFRWITLLLTQEFNFADSLHIWDTLLSDPDGPQDTEIIDQIDRDVKRTHPDMHFFCDDSQFAKSNQDALKNILIIFAKLNPGVRYVQGMNEILAPLFYVLKNDPDEENAAFAEADTFFCFVEIMSGFRDNFVQQLDNSVVGIRSTITRLSQLLREHDEELWRHLEITSKGGEMCAAFPVSGDAVSKI >OIW19348 pep chromosome:LupAngTanjil_v1.0:LG01:3550886:3554549:-1 gene:TanjilG_03482 transcript:OIW19348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRTAANRLFSGARCYCNNYRLRLRPLQISIASSRFSTTETQPFTNPHTTNNPIPNTSTIENPNSSDSTSSSSSEADDTPRYENPRARAEYQDEQSRVLQASLPYVIKLGWTEAALIAGARDVGLSPSIIGSLSRKEAALVEFFMDDCLRRLIDRIDSDESLKNLTPSDCISKLIRIRLEMQSPYISKWPQALSIQAQPINVPTSFKQRAMLVDEIWHAADDNTSNIDWYAKRTVLGGIYSTTEIFMLTDSSPDFRDTWAFLDARVKDAFDLKKTIQEAQYLAEAVSAGLGNSFQGFVGKVFGR >OIW18970 pep chromosome:LupAngTanjil_v1.0:LG01:18704943:18708704:1 gene:TanjilG_09164 transcript:OIW18970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPELEAIRQRRMQELMGRQGTGNQQNSEQQTAQEDAKREAEERRQMLLSQILSAEARERLARIALVKPEKARGVEDVILRAAQMGQIAEKVSEERLISLLEQINNQTSRQTKVTIQRRRNVLEDDD >OIW17720 pep chromosome:LupAngTanjil_v1.0:LG01:34934225:34935232:-1 gene:TanjilG_29070 transcript:OIW17720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSILLLEASQKDDIDNLKYSSPQPLFSYEYYDGNVSDEKESTTPSSLSISKISPLILLVIIVLALVFFIYGLIHLVLWFLMKTSPSSNLYNSNRVQESTHSRSLQRQLQQLFRLHDSGLDQALIDSLPIFYYHDLLGLKEPFDCAVCLCEFSNQDKLRLVPTCSHAFHMNCLDTWLLSNSTCPLCRATLSTSNGPFENQILNMLRITNEEENEYSHNQREVNEEEQVSRKRVLSVRLGSFRNIDIGKVESSSHSSYDVRRCYSMGSYQYVVDDSNLQVVLSQSHYHRGSEVNENVDGRKIENRTKGDSFSVSKIWLWSKKSKYHSSSSYVAFP >OIW19027 pep chromosome:LupAngTanjil_v1.0:LG01:17031259:17032293:1 gene:TanjilG_10588 transcript:OIW19027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSGMASLTQTHCKIDSFAFRRARPRSPGLLKKSGKLFQHQRLTFPCIHIKQSCICCTKLTPWEPSPVTYAPTDNQSDNFLQNSTNIFETLDSSKNAKSSVENAEGPVKTEYQPGLQLQLLKWPLWLLGPSILLATGMVPTLWLPISSVFQGPNIASLLSLIGLDCIFNLGATLFLLIADSCSRPKYPTQDCKSKAPFSYQFWNTVATLSGFIVPLLMMFGSKKGFLQPQLPLISFAVLFGPYLLLLSVQFLTEILAWYWQSPVWLVTPVIYEAYRVLQLMRGLKLGVELSAPAWMMHTIRGLVCWWVLILGLQLMRVAWFAGLAAQARRQQLSSDTPALNTDY >OIW17991 pep chromosome:LupAngTanjil_v1.0:LG01:31279862:31285600:1 gene:TanjilG_31364 transcript:OIW17991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTSKYIIFGGGVFVGYATREFAKQGLKPGELALITSCSKQGIHFPRVDDADKLYEAIKGKKNGKVVVVGGGYIGLEISVVLKLNNFDVTTVYPEPWCMPRLFTSDIVAFYKGYYANMGVNIIKGNVVVGFNVNSDGEVKEVKLKDGWVVEVEIEPFKYVILGGGFSASYIGREFSKHGLKPGELALISKEAVAQYERPALSKVYLFLDSLSRLLGFHVCVESGGERLLPEWYAEKGIELILNTEIVKVDLTAKSLTSAGRETFNYQNLVDDVDKLYEEIKGKKNEKVVVVGGGYIGLEISVVLKLNNFDVTTVYQEPWCMPRLFTSHIATFYKGCYVNNGVNIIKGTLVVGFNANSDEKPFKYVILGGGFSASYIGREFSKHGLKPGELALISKEAVAQYERPALSKVYLFLDSLSRLLGFHVCVESGGERLLPEWYAEKGIELILNTEIVKVDLTAKSLTSAGRETFNYQNLVIVTGSTVIRLTNFGVQGADAKNIFYVRG >OIW19363 pep chromosome:LupAngTanjil_v1.0:LG01:3411209:3411880:1 gene:TanjilG_03497 transcript:OIW19363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSQTIEHIVLFKVKEDTDPSKITAMVNGLNSLTSLDQVLHLSVGPILRNRSSSFTFTHLLHSRYNSKQDLESYSAHPNHLSVVKGSVLPIVDDIMAVDWIAHDLVGDLVPPQGSAIRVSFLKLKENVGDQVKDEVIGVIKGIPDNFKGISQLSVGENFSPARAKGFSIASLAVFPGTRELEAVDSNPELVNYQKDKVRDHLENVLVLDYVVPAVPAYSASL >OIW18657 pep chromosome:LupAngTanjil_v1.0:LG01:21979801:21982097:1 gene:TanjilG_13409 transcript:OIW18657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTKVQRVMTQPINLIFRFLQSKARIQIWLFEQKDLRIEGRIIGFDEYMNLVLDDAEEVNVKKKSRKTLGRILLKGDNITLMMNTGK >OIW18296 pep chromosome:LupAngTanjil_v1.0:LG01:24641917:24645078:-1 gene:TanjilG_31436 transcript:OIW18296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATSPNLEDVTSLDLLSELLRRFKCSSKPDKRLILIGPPGSGKGTQSPLIKDEYCLCHLATGDMLRAAVAAKTPLGIKAKEAMENGELVSDDLVVGIIDEAVKKPSCQKGFILDGFPRTVVQAQKLDEMLQKQGVKIDKVLNFAVDDAILEERITGRWMHPSSGRSYHTKFAPPKVPGTDDVTGEPLIQRKDDTAAVLKSRLEAFHKQTEPVINYYAKKNIVANLHAEKPPKEVSAEVEKVLSS >OIW19198 pep chromosome:LupAngTanjil_v1.0:LG01:8344088:8347245:1 gene:TanjilG_00557 transcript:OIW19198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQLVESSHRVSRVQAPLVDSVSCYCKVDSGLKTVAGARKFVPGSKLCIQPDINPNAHKSKNSRRERTRVQSPLLPGLPDDLAIACLIRVPRVEHRKLRLVCKRWYHLLSGNFFYSLRKSLGMAEEWVYVIKRDRDGKISLHAFDPIYQLWQSLPPVPGEYSEALGFGCAVLSGCHLYLFGGKDPMKGSMRRVIFYSARTNKWHRAPDMLRKRHLFGSCVINNCLYVAGGECEGIQRTLRSAEVYDPNRNRWNFVSEMTMAMVPFIGVVHNGKWFLKGLGSNRNVACDAYSPETDTWTTVSSGMVNGWRNPSISLNGQLYALECQDGCKLKVYDADTDSWKKFIDSKLHLGSSSALDAAALVPLNGKLCIIRNNMSISLVDVSSPNKCVESNPLLWENIAGKGHASSFVRNLWSTIAGRGGLKSHIVHCQVLQV >OIW19360 pep chromosome:LupAngTanjil_v1.0:LG01:3424703:3426651:-1 gene:TanjilG_03494 transcript:OIW19360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFRKDVRDNSCQCSSLFAEAVAASNREWQELEHQALIYKHMASGVSIPPDLIFTIKRSYLDSPLSSRLLPHQPQHFGWNYLQMGLGRKIDPEPGRCRRTDGKKWRCSKEAYPDSKYCERHMHRGKNRSRKPVEVLKTTNDTNASSTSTTTTPSSILSITKNNTALTPTTTHDSYHHHPHQHSSSSYAASHPQQHSFLYHHPPPSRPSSNGLSFQDNNSASMFLDNASCSQNNESRNRYIYGLKEEVDEHAFFTEPSGNMRSFSASSMDDSWNLTPLTISSSSSSKQRNFSGLTNDNEYSYLQLQSLSDNAKQQSEQDQGCYNDMKCENIMKLGDREEQQPQQKTVHRFFDEWPPKRRGLWLDLDDKSSTTQLSISIPTSNDFANFSSRNQRDD >OIW17824 pep chromosome:LupAngTanjil_v1.0:LG01:33958693:33958926:-1 gene:TanjilG_02452 transcript:OIW17824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMCRRPLIIILWLLFFLLFIFMSHCHCSRTIDVYKLKSKSQHQGHFFGFLPKGMPIPYSTPSRKHNGIGLISVRSP >OIW19125 pep chromosome:LupAngTanjil_v1.0:LG01:11837931:11841361:1 gene:TanjilG_03615 transcript:OIW19125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKYSREPDNPTKSCKARGADLRVHFKNTRETAFSIRKLPLVKAKRYLEDVLAHKQAIPFRRFCRGVGRTAQAKNRHSNGQGRWPVKSAKFILDLLKNAESNAEVKGLDVDALYISHIQVNQAQRQRRRTYRAHGRINPYMSSPCHIELVLSEKEEPVKKEPESQLATSKKKSQALRSGASS >OIW18979 pep chromosome:LupAngTanjil_v1.0:LG01:18263836:18264894:-1 gene:TanjilG_23756 transcript:OIW18979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDEEEYRCFIGGLAWATSDRKLKDAFDKFGKLIEAKVVVDKLSGRSRGFGFVTFGEKKAMEDAIDAMNGMDLDGRTITVDKAQPQQGSTRDDGKVNMVEAVLAVAMVLIEMEIVRLVGATGMLVVVESLEMIAIIVIVLVHMNDADREAFFDTILSSRF >OIW18262 pep chromosome:LupAngTanjil_v1.0:LG01:25271951:25275865:-1 gene:TanjilG_20317 transcript:OIW18262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSDVSMNGRGEINNNNNGGVGGGGGEGRNEAEQALFRELWHACAGPLVTVPREGERVFYFPQGHIEQVEASTNQVADEHMPVYDLHSKILCRVMNVVLKAEPDTDEVFAQVTLVPEPNQDESKVVNEASPSLPPRFHVHSFCKTLTASDTSTHGGFSVLRRHADECLPPLDMTKHPPTQELVTKDLHGNEWRFKHIFRGQPRRHLLQSGWSVFVSSKRLVAGDAFIFIRGENGELRVGVRRAMRQHSNVPSSVISSHSMHLGVLATAWHAVSTGTMFTVYYKPRTSPAEFIVPYDQYMESLQINYTIGMRFKMRFEGEEAPEQRFTGTIVGIEDADPKKWPDSKWRSLKVRWDETSNIPRPDRVSPWKIEPALAPPTLNPLSMPKPKRPRPIVVSSSSDSSVLTREGASSKVSVDPLPASAYPRVLQCQEFSTLRGNLVEGNKSDNGEKPVVWPPAVDDEKIDAVYTSRKYGSEGWMSMGRLETYSDLLSGYGTNIDLSSHPSLLNQVGHVANPVKKHLVDREGKLNVMGSPWSVMPSSLSLNLVNSNAKGVQGGDTTYQVRRNLRHSAFGEYPVLHHGHKYEHPHGNLMMLPPPTTQYESPCSRELLLKSISPNTSEVVKPKDGNCKLFGFSLLSSPTASGTSMSHRNVVNEPLGALHLPSHLHRTFENDQKSEHSRVSKPADVVVVADDQEKLLQTSQSHLKNVQPKPHSTSARSCTKVHKKGIALGRSVDLTKFSGYDELIAELDQLFEFGGELTSPKKDWLIVYTDNEGDMMLVGDDPWPEFCAMVCKMYIYPKEEIQKMNTGTLSSKNEENQSASEGADIQEIKCQPEHPASDT >OIW17911 pep chromosome:LupAngTanjil_v1.0:LG01:32760927:32761801:-1 gene:TanjilG_19880 transcript:OIW17911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLGRGSAMVLLLCLFVIQSEMVNAAIYRVGGAGGWTFNTDGWPKGKRFRAGDRLVFNYGPGAHNVAVVNKAGYAGCNAPRGSRVYRSGRDQITLSRGMNYFICSYVGHCQFGMRIAIYAP >OIW18377 pep chromosome:LupAngTanjil_v1.0:LG01:23514593:23515734:-1 gene:TanjilG_31517 transcript:OIW18377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGGAIISDFIDVNLKRARKLTTNDLWSHLHALSNYSSSSSSSSSSISKPLPPILPQFPNNQVVACEKKGVVAENGRVKSDGKKVKNERVRKNIYRGIRQRPWGKWAAEIRDPHKGVRVWLGTFNTAEEAALAYDAAAQRIRGDKAKLNFPDQPPIPKKPRLTQPTAPPLPPPPEIPKAQNYGLELQDSLSSLELFLGLEHEEPQAKSQLLGFEWNSSSMEPWMLNDVVSCKRF >OIW18924 pep chromosome:LupAngTanjil_v1.0:LG01:19045381:19046662:1 gene:TanjilG_25367 transcript:OIW18924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDLHSLAYTDTYHNSSLGWDYHHNNGVLNIDRISLMPTAMNESAISLFSSPQEYCDDDFSTGYLEDALIEFTSKRRRLLQPCSDEQRNNSNDDFGKSFWNFNFNPIWNHQPVENFYCMNHIERIFGLSETRTPEETKISESESPNSSSSSYKEALSSKTIQVNLLTRDSKLTSPGTSGYYEKRRRRRRIVYPFAMVKPGGIEGDVTLKDINERMLMAPTRPVKHPVGDFACQPCVSSLQGSGLSGKAVVTLTRIHTLGRRGTITIIRTKG >OIW18871 pep chromosome:LupAngTanjil_v1.0:LG01:19607505:19608016:1 gene:TanjilG_25314 transcript:OIW18871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGPDEIESEESLVELALRVLNTADPFEKSRLGDSVATRWLNGVITHPYHPDHHLVIPDRPARLSNVKLVAPGLMPKLGKAGSLQSRIAIVHSLAHTESWAIDLSWVFIHPSFNEKAITPISMKKLLHLFQ >OIW18290 pep chromosome:LupAngTanjil_v1.0:LG01:24698583:24703224:-1 gene:TanjilG_31430 transcript:OIW18290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIVGSIIRYILCNIIVIVIACFINSNVVFGHGEQPLSKIAIHKAVFSLQSNASITASPSVLGAMGEDTEWVTVDLDYPSPSGGDFVGVFSPAKFDSSTCWPGSDRKEQGPFICSAPIKYKFVNSTTGKTSLSFQLINQRADFSFALFSGGIYNPKLVAISNSISFANPNAPLYPRLALGKSWDEMTITWTSGYNINESTPFVEWSLTGKSPALSPAGTLTISRNSLCGSPASTVGWRDPGFIHTSFLKNLWPNMEYTYRLGHLLLDDTYIWSKQYSFKSSPYPGQDSLQRVIVFGDMGKGERDGSNEYSNYQPGSLNTTDQLIKDIENIDIVYHIGDTTYANGYISQWDQFTAQVEPIASRVPYMTASGNHERDWPNTGSFYDTMDSGGECGVLAQTMFYVPAENRANFWYTSDYGMFRFCIADSEHDLREGSEQYKFIEHCLATVDRQKQPWLIFAAHRVLGYSSDYFYGMEGSFEEPMGRESLQKLWQKYKVDLAFYGHVHNYERSCPIYQNQCVNSEKSHYSGTVEGTIHVVVGGGGSHLSKFSDITPFWSIYRDYDWGFVKLTAFDHSSLLFEYKKSRDGNVYDSFTISRDYKDVLACVHDGCEATTLAH >OIW17933 pep chromosome:LupAngTanjil_v1.0:LG01:32485072:32490060:1 gene:TanjilG_17769 transcript:OIW17933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSQSSPSLSQPSSTDNNLVNGSQNVNDDFDTQPSNPVNVPPLSNSPKIEDFSPNSGDFFTPKSQIPVPKVTLDDGVGEKEKFGEDDDEVFVEASSDDKGFQTSGFDGVADVENGVELDGGLKNKDEVVAKEEKEVERNELLDDRQKDGFDNNSGSGETGNSDSLPVELAHDDDDNDKSKHVVDKNDGDVVNKNDGDVVVDKIDDVEFSSGGDIDKNDDVVVENNDGDVVVENNDVVVDKNDGVEFTSGGDVDKNDVVVEKNDGVEFNSSGDIDKNDGDVDDKNDGLVKFNSGGDTIVESVRVNVESGGGVVVVGDKVEQVGEVSEIDGVEAPAHGGSLDNGFNPIEQEGAKDVFDDKVADGDAESGKIADAGVEDGKKSDIVPLEKDESVKAVQDDINTNVHADKGEIRTHIEEPEIDGVETPARGISLDNVVVPTKQQGAEGVSDSKFADGDTEPAQHVSAGVDDGDSTGHDGQKSDIATLEKDESVKDVQDDISTNVHADKGETGTHIEEPEIEGVEALAHGISLDNVVVPTEQQGAEGVSDSKFADGDTEPAQHVSAGVDDGDSTGHGGQKSDIATLEKDESVKDVQDDISTIVHADKGETGTHIEEPEIERVEAPDRGISLDDVIVPTEQQVAEDVLDRTVADGDAESAQNVIAGVGDDDSTGHDGQKNDIAPLEKDESVKSVQDDINTDVHADKEETRTHIEEPEIEGVEAPARGISLDNVIVPTEQQGAEDVFDSKVADGDAESAQNVSAGVDDDGTGHDGQKSDSAPLKGHVVQDGIDIEAHADEGETENFVDASSEVDEEEHGGDDSSGAPKNYLEDLEEEEQQIVGGSSRDQRIDGQIVTDSDEDVETDDDGDEKELFDSATLAALLKAASGAGQDGGNITITSQDGSRLFSVERPAGLGPSLQPGKPAMRSNRANLFTPSINRAGTDSDINLSKEEKDKLEKLQQIRIKFLRLVQRLGFTTEESIAAQVLYRLTLVAGRQTGQVFSLDAAKESASQLEAEGRDLDYSITILVLGKTGVGKSATINSIFGETKTSFSAYGPATTKVTEIVGMVDGVKLRVFDTPGLKSSALEQSDNRKVLSMIKKVTKKSPPDIVLYVDRLDLQTRDLNDLPLLKSITSALGPSIWRNVVVTLTHGASAPPDGPSGAPLTYDVFVAQRSHIVQQTIGQGVGDLRLMNPNLMNPVSLVENHPSCRKNRDGQKVLPNGQTWRPLLLLLCYSMKILSEASNLSKAQESFDHRRLFGFRSRSPPLPYLLSWLLQSRAHPKLASDQGGVDNGDSDVEADLSDSDLDEEEDEYDQLPPFKPLRKAQIAKLSREQQKAYVEEYDYRVKLLQKKQWKDELRRMRAMKKKGTANANDSGYPEDDDQENEAPAAVPVPLPDMALPPSFDSDNPAYRYRFLEPTSQLLTRPVLDTHSWDHDCGYDGVNLEQTLAILSQFPAAVTVQMTKDKKDFSLHLDSSVASKHGENGSTMAGFDIQNIGKQLAYIVKGETKFKNFKRNKTAAGLSVTFLGENVSTGLKVEDQIALGKRLVLVGSTGTVRCQGDSVYGANVEVRLREADFPIGQDQSSLSLSLVKWRGDLALGANFQSQFSLGRNYKMGVRAGLNNKLSGQISVRTSSSEQLQIALIAILPIARAIYKNLWPGASENYSIY >OIW17788 pep chromosome:LupAngTanjil_v1.0:LG01:34318545:34319300:1 gene:TanjilG_06473 transcript:OIW17788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEIQPLPEAQVNGGTGGFGSKRQRKPSVRLGDIGGGPPYADSHYHPHRNNNTHKRYKPHPFFNDPNSSIKPSKIRPLTNLTRFQTLDRDGERGHVDSLAIGTWRMKESCKKKGLIKRARLNWVSTDEDMGFDVQNSESAFSEEHSPVENFGVDELQLQHHRRSSSFMGSGGTSDTDDTRDWNKNNGEDGVRVWLSGLGLSRYFSVFRVHEVDYEILPMLTLEDLKDMGISAVGTRRKMYSAILKLGEGFS >OIW18152 pep chromosome:LupAngTanjil_v1.0:LG01:26004713:26009551:-1 gene:TanjilG_31272 transcript:OIW18152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVDEVMNEVTSVAPPRRVLLVSAGASHSVALLSGNVVCSWGRGEDGQLGHGDSGDRLLPTRLSALDGKDIVSLKCGADHTIACSDSGREVYSWGWGDFGRLGHGDYSDLLIPHPIRSFQSLRIKQIACGDSHCLAVTMENEVLSWGRNQNGQLGLGTTEDAPLPQKIQTFQGVPIKMVAAGAEHSAAITEDGDSYGWGWGRYGNLGLGDRNDRLIPVKVTVDGEKMAMVACGWRHTISVSSSGRIYTHGWGKYGQLGHGDFEDYLVPHKIQALSAHFISQVAGGWRHSMALTSSGLLFGWGWNKCGQIGVGDNCDRSSPMQVNFPHEEKIVQISCGWRHNIAVTECENVYSWGRGANGQLGHGETVDRNVPVIIEALSVNGSCGQHIESSKAHPVSGKSSVSLSEIFAVVPDEAAKGQASNPDRGDKLDASVPESDVN >OIW18788 pep chromosome:LupAngTanjil_v1.0:LG01:23072718:23073117:-1 gene:TanjilG_13540 transcript:OIW18788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASWKKTITTPFKKACTLFNQQPPRDNSKKTQIEQEKRVMDLQGEVMACGYEDIQVMWSMLDKSKSTDCNISSS >OIW18858 pep chromosome:LupAngTanjil_v1.0:LG01:19745415:19757093:-1 gene:TanjilG_25301 transcript:OIW18858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEFLDLEIQDGVRMPWNVIPGTKSEAANAVVPVAAIYTPIKHFPSMPVLPYSPLRCRTCRSVLNPFSIVDFAAKIWICPFCFQRNHFPPHYASISDDNLPAELFPQYTTIEYETPGDTTPIVPPVFMFVVDTCVIEEEMSYLKSSLSQAIELLPENSLVGLITFGTFVHVHELGFGQIPKTYVFKGSKDLSKDQLLEQMSFFAKKPKPAVGVIAGARDGLSSESISRFLLPASECEFTLNSVLEELQKDPWQVPADQRATRCTSTALSIAASLLGACVPGSAARIMAFIGGPATEGSAPIVSKQLSEPIRSHKDLDKDSVPHFHKCVKFYDGLSKQLVHQGHVLDLFACALDQVGIAELKSAVESTGGLVVLAESFGHQVFKDSLKRVFQSADFDLGLSSNGIFEINCSKDMKVQGIIGPCASLEKKGPLVSDTVIGQGGTSAWKMCGLDKSTSLCLFFDIVRKETPDATAQATSNQFYFQFLTYYQNNSGQMRFRVTTLSRRWVAGPGSIQASFHLLPSFDQLYMILELIAGFDQEAAAIVMARQVSFKMETEAEFDPIRWLDKALINLCSRFGEFQKETPSSFSLSPRLSIFPQFMFHLRRSQFVQVFNNSPDETAYFRMILNRENVSNSVVMVQPSLISYSFHSGPEPALLDVAAIAPDRILLLDSFFTVVIFHGSTIAQWRKAGYHNEPEHQAFAQLLRAPHDDADLIVKERFPVPRLVICDQHGSQARFLLAKLNPSATYNTEAALPGGDIIFTDDVSFEVFLDHLQRLVVQ >OIW18658 pep chromosome:LupAngTanjil_v1.0:LG01:21995959:21996462:1 gene:TanjilG_13410 transcript:OIW18658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAYFRKPMHEAERAPVSSHQPQSPWHSPVPYLFGGLAAMMGLIAFALLILACSYWKLSTYLEDSGHGERDLEAGDPKNDDNNNKPQKPYEEKILVIMAGQHKPTFLATHVSSSTSRFSSLGDNTTTTCTCDQNEEKSEAVVKRSSGGDNPVLQSENRETSLDQNC >OIW19345 pep chromosome:LupAngTanjil_v1.0:LG01:3576930:3580897:1 gene:TanjilG_03479 transcript:OIW19345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQQRLRNHAMMQHSMFNHPSLLTPPQIEPILSGNLPPGFDSSTCRSVYVGNIHPQVTETLLQELFSSAGALEGCKLIRKEKSSYGFVDYFDRRSAAFAIVTLNGRQIFGLPIKVNWAYASSQREDTSGHFNIFVGDLSPEVTDATLFAFFSVYPSCSDARVMWDQKTSRSRGFGFVSFRNQQEAQSAINNLTGKWLGSRQIRCNWATKGANVNDEKHILDSKTVVELTNATSEGKELTRDDAPEKNLQYTTVYVGNLAPEARIMKLQVTSVDLHHHFHALGAGTIADVRVQRGKGFGFVRYSTHAEAALAIQIGNARILFGKPVKCSWGSKPTPPGIASAPLPLPTAAANVPGFSLAGLAAYERQMALSKMGGVHALLHPQGQLALKQAAMGMGAPGVGYDAGFQGVATSQHPMYYQ >OIW18564 pep chromosome:LupAngTanjil_v1.0:LG01:21167422:21168998:-1 gene:TanjilG_13316 transcript:OIW18564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFKKGAKVEVLSKAEVPSGSWLCAEVICGNAQCYTIKYDGYQGVDGEAIVERVSGKAIRPCPPAIELIENWCPGDVVEVFQNLSWKMATVLKVFGDKYIFVRLIGSSLEFKVSKFDIRVRQSWQDGKWIVVGEGSDSCENGKRDRALNPRQNLISSAQIQKTVTMTKLPVFNVYNSQKREQTMLDTHLVSFKALKRGSHSQVEAYAEPPPKFRAIENEGRFHRARVRNPPTLLKQVHGVSFPRDVLPEERLPAPVNKRKTGISDMDIERKKQSGAVGCPFGENIELDDVDSVTCSVGSCSINSRNSYNLQFPISAGPSEDVYSSFSDAESFCPRGYEEGCCYPPTQEELAAKIHRLELDAYRCTIEALHASGPLSWEQEELMTNLRLSLHISNDEHLMELRNLISSEHNIPFR >OIW18437 pep chromosome:LupAngTanjil_v1.0:LG01:20287035:20294307:-1 gene:TanjilG_13189 transcript:OIW18437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSYNWRVYLLAGGYSRKRNKKVFTRPKAPAKGFIPKPLTNKTSTTTFKGDSSTPTLIDETIRDTNIDNDDEITGETNTYIDVRISDQLYRLSKLELEANLRKREIEMIAEANISNGNKLFIYPPIVKPNKYIKVFLNRSLSTLSHEPHVLIKGAFNDWRWKSFTLTLNKTHLYGDWWSCQLYIPREAYKVDFVFFNGHNVYDNNDNKDFSILVHGGMDVFRFENLLIEEKQKELEKVSQEQAERERHEEEQRQTEEDKSLARVETERRRQVFQQMIKKAVKSIDNVWYIKANEFKGKKLVKLYYNKSSGPLKHAKEVWFHGGHNSWKYGLSIVQRLVKYGSRDGDWWHVDVVVPGQAFVLDWVFADGPPHNAIVYDNNHRYDFHAILIKNIPDEEYWVWEEHVIYTKFREERRLREETICAKAEKTARMKAETKEKSLRRFLLSQKHTVFTEPLNVQAGSTVTVFYNPSNTNLNGKPEVWFRCSFNRWTHHNGPLQPQRMLPANNSTHVKASVRIPLDAYTMDFVFSEREDGGVFDNKYGMDYHIPVFGGIIKEPPMHIVHIAVELAPIAKVGGLGDVVTSLSRAVQDLNHNVDIVLPKYDCLNLSNVKDFHYKKNYFWGGTEIKVWHGTVEGLSVYFLEPQNGLFHTGCVYGCGNDEERFGFFCHAALEFLLQNGFHPDILHCHDWSSAPVAWLFKEQYINYGLSKARVVFTIHNLEFGAHFIGKAMAHTDKATTVSPTYSMEVAGNSAVAPHIYNFHGIINGIDPDIWDPYNDTFIPVSYTSENVVEGKRAAKEALQQRLGLKRSDLPLLGVISRLTHQKGIHLIKHAIWRTLERGGQVVLLGSAPDPSIQNDFENLANELHSIHNDRARLCLSYDEPLSHLIYAGADFIIVPSIFEPCGLTQLIAMRYGSIPVVRKTGGLYDTVFDIDHDKGRAQAQGLQPNGFNFEGADAAGVDYALNRAISAWYDRRDWLNSLCETVMDQDWSWNRPALDYLELYHSAHKSG >OIW19686 pep chromosome:LupAngTanjil_v1.0:LG01:1138127:1142560:-1 gene:TanjilG_18496 transcript:OIW19686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVEEGVPAALGFHMPAEWEPHSHCWIGWPERCDNWRDNAIHAQTVFTTVASAISAFEPVTICATSSQWENARNQLPLHIRVVEISMNDSWFRDTGPTFVVRRNPPESSDEHQQIAGIDWTFNSWGGVEDGCYSDWSQDTLVARKILEIEKIPRFPHSMVLEGGSIHVDGEGTCLTTEECLLNKNRNPHLSKSQIEDELKAYLGIGKVIWLPRGLYGDDDTNGHIDNMCCFVRPGVVLLSWTDDETDPQYERSAEAYSLLSSVTDANNRKLEIIKLHVPDPLYMTKEEAAGVFQDDEAKPRLPGTRLAASYVNFYIANGAIIAPQFGDKKWDDEAVRVLSKAFPDHKVVGIEGSREIVLAGGNIHCITQQQPHI >OIW18727 pep chromosome:LupAngTanjil_v1.0:LG01:22536092:22536448:-1 gene:TanjilG_13479 transcript:OIW18727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDFRSKSYGDGRNMQIETYSGGTTSSGIHGMQDLRCYSASYASSVHPTQTQNDVKFKKGKSTNGSISKSWSFGDPELQRKKRVASYKVYAVEGKLKGSLRKSFKWIKDRCNRVVYGW >OIW18915 pep chromosome:LupAngTanjil_v1.0:LG01:19129645:19131800:-1 gene:TanjilG_25358 transcript:OIW18915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSVITLSRNLLPTIPFDEGYTPLFGDNNLIIHKDGKMVHLSLDERTGSGFVSHDLYLHGYFSASIKLPADYTAGVVVAFYMSNGDMYQKNHDEIDFEFLGNIRGKDWRIQTNVYGNGSTNIGREERFGLWFDPAEDIHQYSILWTDSQIIFYIDNVPIREVKRTESMGGDFPSKPMTLYATIWDASDWATNGGKYKVNYKYAPYIAEFYDLVLHGCAVDPIEHVAKCDNAPSSKVIPSGVTPVQRIKMDNFRKKHMTYSYCYDKIRYKAPPSECVINPKEAERLRKYDPVTFGNGRRHHGKHHHHGSGSQEEAASF >OIW19259 pep chromosome:LupAngTanjil_v1.0:LG01:6206134:6209750:1 gene:TanjilG_20384 transcript:OIW19259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFETNLWLVVLFSIVTLKQQCVVGEPQVPCLFIFGDSLSDSGNNNNLFTNAKVNHLPYGIDFPTGPTGRFTNGRTAADFITQYLGFEHLIPPFANTSGSDIVQGVNYASGSNDYLNNYFMKTRYPTHRMYTPEQYAGSLAHEYSIQLKELHDLGARKFALFGVGLIGCVPMEIATHGGNGTICVEEENEAANIFNERVKVLVDQFNKDLSDAKFILINSDSIDSSNPQLSGGSGSNDFIKCCKVGITGLCEPNSEPCRDRNIIPFFDAYHPTERFQQITAMSAYRAPVPSMAYPMDISHLVTS >OIW17701 pep chromosome:LupAngTanjil_v1.0:LG01:35085425:35088816:-1 gene:TanjilG_29051 transcript:OIW17701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTRDSWITVLCRKLRAWWHWVADGDLPIVVSQGAEIEAYKSLDPGVRVVILKALCDIRVEQEDIKNYIDNSLKHGVHLSTFRKERVGGDSQGISYWYEDDPIIGHRLYREIKKTEIVQVKKGKARGSQVLSNTSYQWEAVATNFDEFQDVSEKLFSSKNRTEASVGKKLKINMLPEIEKDHKRKEKMLKKQHRQALLLDNYMIVDGLAPGRALRDRKPVTYTFDDYDQSINEAIKVTKQKQSSPEPRPRRESVAKREALTNGKLKGPSHAPQHQNFGTSSPKLTDSDYDEEVEEHQTDTLDRSNRRRKRPTRYSEKEFVEAVLDEADFDSDDDIVGEAVYDEEYLEKRKQRMKHSSGSEGDEEYQWDEDNIEEEEEDYDDEDEDSSSISEDSDEPRKSKQLRGRARRETRRRSADEMQSGLRRSNRSTRNRINYQQYEASESETEFIKPDKSNASADQSDASENGECMMESEDSDDNDGEDQEMKVEDEPATTYPAVEDIEQDQNLEKSSSPGQEEVEGVGKRHFLDLNELAPTSGFDDGPNTIMKDEDADY >OIW19728 pep chromosome:LupAngTanjil_v1.0:LG01:1437012:1438223:-1 gene:TanjilG_18538 transcript:OIW19728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSIRLEAKQQYTTPVLVLGYALCSSLLAIINKYAITQFNYPGLLTALQYLTSVLGVYLFGKLGFLQHDPFTIATAKKFFPAALVFYLAIFTNTNLLRHANVDTFIVFRSLTPLLVAIADTVFRNQPVPSKFTFLSLVVILSGAVGYVATDSAFTLTAYSWAFAYLVTITTEMVYIKHMVMNLGLNTWGFVFYNNLLSLMMAPFFWFLTGENVEVVDALRSSSGNLFDLSAFAAVSLSCVFGLLISFFGFAARKAVSATAFTVTGVVNKFLTVAINVSIWDKHASPVGLVCLLFTIVGGVLYQQSVTGPVNAPPPQQREAIPLVSKQGDIENDIDNDVLTGEKSRKGYNCGHRVAVELPNHNFAYCNLEP >OIW17867 pep chromosome:LupAngTanjil_v1.0:LG01:33411232:33412908:1 gene:TanjilG_14113 transcript:OIW17867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLRLGVVPTIVVSSPQAAEQFLKTHDLVFANRPPLEAAKYISWDQKNLSFADYGPYWRNMRKMCTLELLSHSKINSYRSMRQEELDLLIKLLKDAANDGAAVDISAKISTLAADISCRMVLGRKYMDKDLDDKGFKAVMKETMHLAGTPNIGDYIPYVGALDLHGLKRRMKAVGKIFDDFFEKVIDEHMQLENREDKVEDFVDVMLGFVGREESEYRIERPNIKAVLLDMLAASMDTSATAIEWTISELMKHPMVMKKLQIELETVVGMKRKVDESDLDKLEYLNMVIKESLRLHPVAPLLVPHKSMEDCIVGDFFIPKKSRVIVNAWAIMRDPSAWADPEKFWPERFEGSNIDVRGRDFQLIPFGTGRRGCPGLQLGLTVVNLVVAQLVHCFDWKLPNDMLPSDLDMTEHFGLTLPRAKHLVAIPAYRLHDERD >OIW17676 pep chromosome:LupAngTanjil_v1.0:LG01:35293943:35294200:-1 gene:TanjilG_29026 transcript:OIW17676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLHQKLDALMGLVDVLNNIEDDQTEHAPPALPPKSFPTPTSRRNRVSGKYNNTGSQRIKGLSNQTGYTDGNANGAINFGNLNV >OIW19547 pep chromosome:LupAngTanjil_v1.0:LG01:1749063:1757634:-1 gene:TanjilG_07002 transcript:OIW19547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLSRELVFLILQFLDEEKFKETVHKLEQESGFLFNMRYFEDMVTNGEWDEMEKYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDKQDRAKAVDILVKDLKVFATFNEELFKEITQLLTLDNFRHNEQLSKYGDTKSARGIMLAELKKLIEANPLFRDKLQFPTLKNSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFVDHSCGQSNGAPAPSPVTNHLMGAMPKPGGFPPLGAHGSFQPTPAALPTSLAGWIANPSPVPHPSASAGAIGFAAANNAAILKRPRTPTNNPAMDYQTADSDHVLKRTRPFGISDEVNNLPINLLPGAYSNQSHGQSSYSSDDLPKTVVMNLSQGSTVKSMDFHPLQQILLLVGTNMGEVMVWDIGSRDRIAHKKFKVWELGACSMALQGSFSNDYSASVTRVVWSPDGTLCSVAYSKHIVHIYSYHGGDDLRNHLEIEAHTGSVNDLAFSYPNKQLCVVTCGEDRAIKVWDAVTGAKQYTFEGHEAPVYSVCPHHKESIQFIFSTATDGKIKAWLYDNMGSRVDYDAPGHSSTTMAYSADGTRLFSCGTNKEGESFLVEWNESEGAVKRTYHGLGKRSASIVQFDTTKNRFLAAGDEFMIKFWDMDNTNLLTSVDGDGGLPASPCIRFNKEGILLAVSTNDNGVKILANAEGIRLLRTVESRTFDASRAASAAVVKAPTIGTFPSTSVTVGTSLADRAPPVPVIAGINNDARSSTDVKPRIVDEAVEKSNIWKLTEINEPLQCRSLKLPDSLSSMRVSRLIYTNQGVSILALAANAVHKLWKWQRNDRNPSGKANASTQPQLWQPSSGVLMTNDIGDTNPEEAVSCFALSKNDSYVMSASGGKISLFNMMTFKTMTTFMPPPPAATFLAFHPQDNNIIAIGMEDSSIQIYNVRVDEVKTKLKGHQKRITGLAFSHVLNVLVSSGADSQLCVWSTDVWEKQASKFLQMPTGRAPAPLADTRVQFHLDQTHLLAVHETQIALYEAPKLECLKQWVPREASGPITHATYSCDSQLIYVSFEDGSVGVLTASNLRLRCRINQTAYLHPNPSLRVHPLVIAAHPSQPNQFALGLTSGAVHVLEPLESEGRWGSPPPSENSAAGPSTTSGATVSEQTQR >OIW18774 pep chromosome:LupAngTanjil_v1.0:LG01:22958054:22959494:1 gene:TanjilG_13526 transcript:OIW18774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSNGSVTYSWLSKVEKENNTHFSYDFMNQCDESNWSEPEVLASKSSNQGIMYQPPSEAHDFFDDGYESNDDDDDNNNHSIVPSNMPPEVARSNLKKSTSFALLRSAKGLAILTVAKAGALLSYKLGTGLGWGA >OIW19000 pep chromosome:LupAngTanjil_v1.0:LG01:17704597:17707269:-1 gene:TanjilG_20273 transcript:OIW19000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKQHANWSPYDNNGGSCVAIAGADYCVIAADTRLSTGYNILTRDYSKISQLADKAVMASSGFQADVKALQKQLSARHLIYQHQHNKQMSCPAMAQLLSNTLYYKRFFPYYAFNVLGGLDSEGKGCVFTYDAVGSYERVGYSSQGSGSTLIMPFLDNQLKSPSPLLLPAQDAVTPLSEAEAIDLVKTVFASATERDIYTGDKLEVVTLNASGIHREYMDLRRD >OIW19402 pep chromosome:LupAngTanjil_v1.0:LG01:3077674:3079966:-1 gene:TanjilG_09422 transcript:OIW19402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPETIKFMESAIKQANLALDALEVPVGCVIVEDGKVIGSGRNRTTETRNATRHAEMEAIDVLLEQWQKNGLSMSEIAEKFSNCSLYVTCEPCIMCASALSILGILRSYFLLCIKEVFYGCPNDKFGGCGSILSLHLSNTMSLNNGVSPGKGFKCTGGIMAPEAILLLRTFYEQGNPNVVDIFFCCDMHIASNAWTIDWNSELP >OIW18678 pep chromosome:LupAngTanjil_v1.0:LG01:22110578:22111354:-1 gene:TanjilG_13430 transcript:OIW18678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDEMKDSKLHVEEEEEDDDREEALSLCDLPLNQNSTSKRNSLDDKSFKKTIPSPLNDATELFEFFNGFTITSDMCPADDIISCGKLVPLKDKEKDQFILTEATKLPETLRIRSESLPSVNRSNSVSTCNSSRRSMMRNSRSLDYQRLDDVVTPAPEYDRSFSTRNFVASEKKAMKPRWFSFMFGTMKVPPEMELNDIKNRQVRRNSSTTMFPAADHGGNVAVNRCSGKVSWRILKALSCKDPSSVAVTTSFPMPQTS >OIW18599 pep chromosome:LupAngTanjil_v1.0:LG01:21510310:21512640:-1 gene:TanjilG_13351 transcript:OIW18599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNNGNEVQSNSCNEEINGGMEIMENRNERTKNVLIVMSDTGGGHRASAEAIRDAFQIEFGDKYKVFVKDVWKEYTGWPLNDMEGQYKFMVNHVQLWNVAFHSTSPRWIYSVYLAAIAAFYAREVEAGLMEYKPDIIISVHPLMQHIPLWVLKWQGLQNKVLFVTVITDLSTCHPTWFHPWVNRLYCPSEVVAKKASQEGGLEESQIRVYGLPIRPSFARAVLVKDQLRQELEMDPNLPAVLLMGGGEGMGPVQKTAKALGEALFDKETEKPIGQIVIICGRNKSLVSTLESLEWKVPVKVRGFETIMAKWMGACDCIITKAGPGTIAEALIRGLPIILNDYIPGQEKGNVPYVVDNGAGVFTRSSKETARIVTQWFSTKSDDMKRMSENALKLAQPEAVFGIVRDIDELALQREPSNFPYTLTSSFTSLI >OIW19129 pep chromosome:LupAngTanjil_v1.0:LG01:11686019:11689270:-1 gene:TanjilG_03619 transcript:OIW19129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVPVDEAQFISILLKIMNAKKTLEIGVFTGYSLLATALALPPEGKVIGIDMDREAYETGLPFIQKAGVEHKVNFMQGDALLVLNDLIDEKHEESFDYVFVDADKESYIKYHEMVLKLVKKGGTIAYDNTLWFGSVAMAEDDEMEDSVRHSRKYVIEFNTYIANDTRIESTIVSIGDGVTLCRRI >OIW19456 pep chromosome:LupAngTanjil_v1.0:LG01:2522996:2527776:1 gene:TanjilG_09476 transcript:OIW19456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSDLKYEVAQNAYIKLVLHSLKHPTSAVNAILIGRVSASNDAVEVTDAVPLFHSQIGLLPQLEISLILIEEYFSAKGLNIVGYFHANERSDEYELGGVAKNIGDHICRYFPQAPILLLDNKKLEALKTSKDSGAIVQLYVRDAYKNWKLVQSDGSSRFSLKEPSANLVLLDYISSEKWNDIVDFDDHLDDISNLVVSLKLSCMGSEEPRDPFKGVDWKAVGSEMQQNPSVQRTFKKKLPKKIREIPECYFLPRWPLRSAIAFCSVCIAGGVGAGMLVEAWINKKVKGISGLFISFDGIISFT >OIW17942 pep chromosome:LupAngTanjil_v1.0:LG01:32356821:32358201:1 gene:TanjilG_17778 transcript:OIW17942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSGPKKRKAAKKKKQNENNINNLQGNDELKSQDEIGSDSGEVSSPRHHDHGSHHQNPFNEGSEEDEKRDPPAAQPIASDAKSMEEIPGDTQIDKMLGHKEDNVVLIAGDMKSEESSESKDVSFEHIETAKESCYGNENGSGTSNDESVTKNNPKDEDYNSIEEAIVCHELVKSIDSPPSDVTSISENAPVEETGNSAAESSVNSVKAVASLSVTENDDNGSVLVEKTVVPSLGVTDLAMKINEDRVYALIDEGARTSNLEEPKPTECDNEVLASLSANPFTKSFNGAEHIKESESPESSENQPLVASAPHIVQKTSWLSCCGLYEVVSGSDR >OIW17834 pep chromosome:LupAngTanjil_v1.0:LG01:33817352:33818731:-1 gene:TanjilG_02462 transcript:OIW17834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDSLMKILTERGYMFTTSAEREIVRDMKEKLAYVALDYEQELEAAKSSSSVEKSYELPDGQVITIGAERFRCPEVLFQPSIIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKGEYDESGPSIVHRKCF >OIW19337 pep chromosome:LupAngTanjil_v1.0:LG01:3694229:3696396:-1 gene:TanjilG_03471 transcript:OIW19337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKKHIFFSMMTTNNTSEEGSDKEETISVTFVGKDDEETHIEVPVGMSMLEAAQENNIELEGRACEASLACSTCHVIVMDVEYYNKLEDPTDEENDMLDLAFGLSETSRLGCQVIAKPELDGIRLALPAATRNFAVDGYVPKPH >OIW18856 pep chromosome:LupAngTanjil_v1.0:LG01:19764898:19768496:-1 gene:TanjilG_25299 transcript:OIW18856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTSTIPSYPIKTIVVLVQENRSFDHMLGWMKSINPDIEGVTGSESNPISTSDPNLGRVQFGDRSVYVDPDPGHSIQDIYEQIFGEPWSEASAAKKLPPKMDGFVQNAAKQEKAGAKPSTPTMTETVMNGFKPELVPIYRELVKEFAVCDQWFASIPSSTQPNRLYVHSATSHGLTSNDTNQLIGGLPQKTIFDSLDENGFNFGIYYQYPPATLFYRSLRKLKYVDNFHPFDITFKKHCEEGKLPNYVVVEQRFWDIPGVPGNDDHPSHDVGEGQKFVKEVYEALRSSPQWNEILFIIIYDEHGGFYDHVPTPFEGVPSPDDIVGPEPFKFKFDRLGVRVPAIVISPWIHQGTVLHKPTEPFPTSQYEHSSIPATVKKIFNLPQFLTKRDEWAGTLVPLLTRTTPRTDCPVKLPDPIKLREIGAQEEAKLTEFQEELVQMAATLNGDHRKSIYPNKLVENMTVPEAVKYVENAFKTFLDECDKAKQSGVDESEIVDCANASVPPGSKNLFDKVLSCIICDRN >OIW19736 pep chromosome:LupAngTanjil_v1.0:LG01:1488982:1491280:-1 gene:TanjilG_18546 transcript:OIW19736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPSDPSNQEPVVRRRRNNGAPIKFLLPLIYAPVLPLIRLTLRHKPVLRDRLFTAVLAGAFIHGGYLVTDLYDIESK >OIW18818 pep chromosome:LupAngTanjil_v1.0:LG01:20103433:20103969:1 gene:TanjilG_25261 transcript:OIW18818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTISCDFSSFESVQHYLLENDSVDNILMSTNTYNSFQSPSGSNDLHKSPTCEEEVVRVVNAPPTWKHYRGVRRRPWGRFAAEIRDPKKNGARVWLGTYDTEEEAGLAYDRAAFQMRGHKAKLNFPHLIGSDTKSEPMRMVVASKWEPCSDECHVSNKRKNVMNLLNRLARNRSQVL >OIW18378 pep chromosome:LupAngTanjil_v1.0:LG01:23511347:23511553:1 gene:TanjilG_31518 transcript:OIW18378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNSVIMQIKKVTCTILFAAASVNAAVAHEGNHHESPIPTPGPNNGASALGSFIGAFLLSFIAYYVQS >OIW18215 pep chromosome:LupAngTanjil_v1.0:LG01:27488282:27491839:1 gene:TanjilG_31335 transcript:OIW18215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGATNSKDEKNEALCLCRERKRFIKVTIDSRYALAASHVSYIHSLRNVGIALRRYSEGEILMESSLSISDKTPSQTSYPSPSSPPSHVAEAEVEVSESPLNHEVHLSPSVSTISYMKSGGNTSVTVTINACGNNNYLDDESTVFSMPPPPPESGASWDYFEPGDDSESFRFAAPISDFRECKDQWLEVDSDGNCMMKPNLDEKFSRNFCGSAIGNECSSPHVHCSAHSITSRGVGEGCKQMVVGEVRELELPSNGGVIKETVAEKGAVGTSGSKGEKNIAGKDVCTEREDPSEFITHRAKDFISSIKDIEHRFIRASESGREVSRLLEANKIKVGYSEAKGKSSSMAVFTAVQPVCCGRKATPVSQEPVQKVISWRRTASSRSSSSRNALPTKSKEDVDDSGSDFVEEFCMIAGSHSSTLDRLYAWERKLYDEIKASESIRKDYDRKCVQLRQQFAKDQGTQIIDKTRSLVKDLHSRIKVAIYSVDSISKRIERMRDEELYPQLSELTEGLIRMWKAMLECHHAQYITISLAYHSRNSRGTLQGEARREIMTQLQEEIEFFGLSFANWINSLTSYVEALNGWLQNCILQPRERSRSRRPFSPRRALAPPIFVICRDWSAGIKALPSEELSQAIGNFLFDLRHLMEKQNDQLLKQQNSANSAPAETEGNTYDDNEDESANLCCIHASLTKVLDRLTKFSEASLKMYEDIRQKSEAAQIAYHNCRIIRAEKI >OIW18098 pep chromosome:LupAngTanjil_v1.0:LG01:28830352:28836944:1 gene:TanjilG_01187 transcript:OIW18098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSIEDDASLVEKALLQDEEKKQYTEDDTVDFKGRSILKHKTGNWRACTFILGTECCERLAYYGIAANLVTYLTHRLHEGNAYAARNVTTWQGTCYLTPLIGAVLADAYWGRYWTIAGFSIIYLIGMCILTLSASLPILKPIECLGSICPPATPPQYVVFFIGLYLIALGTGGIKSCVSSFGADQFDDTDSQERIKKGSFFNWFYFSVSIGGVISSTFLVWIQENVGWGLGFGIPTLFMGLAIVSFFLGTPLYRFKKPGGSPITRICQVVVASVRKRNLVVPEDSSLLYETLDKNSVIEGSRKLKHSDELRCLDRAAVVSDVERKQDDYSNRWRLCSVTQVEELKILIRMFPVWATGIIFSAVYAQTTSLFVVQGRMMDTSVGSFHMPPASLASFNVIGILFWVPVYDRIIVPITRKITGKERGFSELQRMGIGLFISVLSISAAAILEITRLKLAKKLDLVDKLVPIPLNIFWQVPQNFLMGAAEVFTLVGQLEFFYDQSPDAMRSLCSALLYLSTSLGSYLSSFIVIIVTYFTTQGGKPGWVPNNLNEGRLDQFFWLMTGLSILNMLVYIVVAKRYKQKRSS >OIW19485 pep chromosome:LupAngTanjil_v1.0:LG01:2257152:2260692:-1 gene:TanjilG_09505 transcript:OIW19485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTLSITAHLSDIHGPTERKNLPSFSNPLCKLNSSANIVDMKIFSRRGCQKLRLHHRYFRVHALFGGKKENKDKGDDASSKVRILGNMQNLFETVKKAQMVVQVEAVRVQKELAVAEFDGYCEGELIKVTLSGNQQPIRTEITEAAMELGPEKLSLLITEAYKDAHQKSVQAMKERMNDLAQSLGMPPGLSEGLK >OIW19320 pep chromosome:LupAngTanjil_v1.0:LG01:4106700:4108541:-1 gene:TanjilG_07288 transcript:OIW19320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSSKREEDDLFLQNQILHSSSTPTDPSYFSLPEIDIFPSSPSDSPPSHSSSNSPLKTTPFPQPQPHISPDPHISSQFYTFNSDSHSLMIHCLLQARLATPDEIRTVTPRPVLDSWRTVWKDRNEETAYLTAWKRIQDKLSTHVDPTGNPFLCFKNNSQQFVPHINQWEEIVMSFHADTDMKHLGVKETIDRIKQSWTVGAKFYGIPESYIRVCVAACVVCCEAASGSAGARNKRRRFEYTESFDVPAKEVPSRLQELAAKHKVVLCIRQKYIRYKPFMAEVKDYACHRAGEPAAKKSKVLKREPYASKRCGCGFRIRAIVPITNYNEKDKSFVYQEEGVAVFKLYAVHSGHEPGPLDGNARIMHRVVGHKGGYMMDQETVYGVSEEMDNEGFGLMGKEDGDMQFSVLQQVQELKAEVGMLEARVSKIPGELLGSVSRELFDIVNKIRNVGELSLKPIGLLPDKSHADDVLVGDNDLANWSNHHHDRIYGDGKGTELIEDDEDSFGRTLGEVVSWDHMRTECTSQKDLISETCKPEKWLKCGDFVDEKSILDCEDTKLTKPIQHDEAIVSDVGLGCIQVDSFYQDNPKWFDSPCGLDTGADCGDSEFRHGEIL >OIW17981 pep chromosome:LupAngTanjil_v1.0:LG01:31526470:31527207:-1 gene:TanjilG_31354 transcript:OIW17981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLANNTLLINLRSGQWRIGNVSLMVINCEGQSPENGEQWYTGYQYEFLVDNVLLGEGSKLSMLELRHSHMSELQRIDPINFVNKGLDDSTLFSSPKISNEESMREYADLKFSLLFYDVMLIFFGTSVASFSAGENVGFALLVGGIQGFLYLLLLQRSVDALSASELITGSKGEALFGRLKGPIASVALVVGFAVFTAMYSSVDLQVTPRDLIVGMMGFLACKVYVVLATFKPIKLGLKLPSDM >OIW18129 pep chromosome:LupAngTanjil_v1.0:LG01:27701313:27705029:1 gene:TanjilG_22327 transcript:OIW18129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVSPLAKYKLVFLGDQSVGKTSIITRFMYDKFDTTYQATIGIDFLSKTMYLEDRTVRLQLWDTAGQERFRSLIPSYIRDSSVAVIVYDVANRQSFLNTSKWVEEVRQERGSDVIIVLVGNKTDLVDKRQVSIEEGDAKSRDFGIMFIETSAKAGFNIKPLFRKIAAALPGMETLSSTKQEDMVDVNLKPTVNSSNSEQQGGGCAC >OIW18495 pep chromosome:LupAngTanjil_v1.0:LG01:20650434:20651661:1 gene:TanjilG_13247 transcript:OIW18495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCSKSCRLRWTNYLRPGIKRGNFTDHEDKMIIHLQALLGNRWAAIASYLPQRTDNDIKNYWNTHLKKKHNKVQSEGDDGQEGHFSSSSQSQTKGQWERRLQTDIKMAKQALSEALSLQKPTTSSTSFTFPETKPSTSHIHTTTSSTYPLKQSNINASSYASSYENISKLLEKWMMKSPKTPNLFSNNMVTTGSSCSEGANSTTDQCTQDHAFDSLLSFNSSKSVEELNNNNNNNLRTNEADFFQDQKKTNMETNHHQVPLTLLDNWLFDDEECHEDLMNMSLEESTSGLF >OIW19246 pep chromosome:LupAngTanjil_v1.0:LG01:6668724:6669197:1 gene:TanjilG_20371 transcript:OIW19246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDSLVKQDLDSLRFYTNMLTTTTHDNVVPSLAPPSINPNESQKKTEEDRMEVEQSEKVKCTKCDKKGERKGRKKNRSKVTKKEEVVGEVELEFDNVKVDDNVGGFLRYDKDSSSYDRYDYEIIANHYQNNLPPLDDVVAMKQHLKLWAHAVANSFK >OIW18297 pep chromosome:LupAngTanjil_v1.0:LG01:24631535:24634235:-1 gene:TanjilG_31437 transcript:OIW18297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGVAVLGGNVSSSLFLRSPPSKTTTTIIRATRHHQQPLTAFPSKSHLFYSSTSRPFPKTRCTNQSTNGTFLPHVIASLEQVDQTYIMVKPDGVQRGLVGEIITRFEKKGFKLTGLKLFQCSKDLAEEHYKDLKGKSFFPKLIDYVTSGPVVCMAWEGVGVVASARKLIGSTDPLKAEPGTIRGDLAVQTGRNVVHGSDSPENGKREIGLWFNEAEIVQWTPAQAAWLRE >OIW18154 pep chromosome:LupAngTanjil_v1.0:LG01:26026361:26033267:-1 gene:TanjilG_31274 transcript:OIW18154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKSGNKALSLNDSHYRYLQRLPTNPSPPNPNPNSHSSASAMKNDETIPHFSAITDFDSPSPIAKNLSEKHEPVKLRFEKEEDDPLNIENVAPIVSDSSPKEENKVKTKVKMEGRRRLCKVAYSDKVGDGAGKKVAVDESNADHVISLDTPVKVKESKDGSEIRDILNDLSSRFELLSVERTRVKQKEPCVDEVVEYRSAGSSFSPEQDLHKGTTNNAKGSNNPAAAIEYVEDDDSDDCVQVLDHFEPQNDDGSIKLADPKYTFNLPSKIGKMLYPHQREGLKWLWSLHCLGKGGILGDDMGLGKTMQICGFLAGLFHSRLIRRAMVVAPKTLLPHWIKELSVVGLSDRIREYYGTSTKAREYELKSILQDKGVLLTTYDIVRNNTKSLRGNNYFEEDDSEDDPTWDYMILDEGHLIKNPSTQRAKSLLEIPSAHRIIISGTPLQNNLKELWALFNFCCPELLGDNKWFKAQFEMPILRGNDKNATDREQRIGSAVAKELRDRIQPYFLRRLKSEVFNQDDEKSTAKLSQKQEIIVWLRLTNVQRHLYEAFLRSEIVLSAFDGSPLAALTILKKICDHPLLLTKRAAEDVLEGLDSMLKPEEVNIAENLAMHIADVADTDKFKDENDVSCKILFIMALLDNLIPEGHNVLIFSQTRKMLNLIQECLISKGYDFLRIDGTTKPSDRLRVVNDFQEGHGAPIFLLTSQVGGLGLTLTRADRVIVVDPAWNPSTDNQSVDRAYRIGQTKDVLVYRLMTCGTVEEKIYRKQVYKGGLFKSATEHKEQIRYFSQQDLRELFRIPKEGFDVSVTQQQLDEEHDRQHTVDDSLKAHIQFLKSLGIAGVSHHSLLFSKTAPVQAALEDDEVARIRGAKYVGTSVSSSSSREHISDGAEFAFNPKDVKLSKKGSSPSNAAELTKSDIKDKINRLYQTLSNTAMISKLPDKGEKLRRRIGELNIELANLKKEESSVIDLDDFSSEFERVLNV >OIW19096 pep chromosome:LupAngTanjil_v1.0:LG01:14746037:14746396:1 gene:TanjilG_06405 transcript:OIW19096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMRTRMGKWMRIRKREEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEDRMRTRIRMRIG >OIW19356 pep chromosome:LupAngTanjil_v1.0:LG01:3466497:3471062:-1 gene:TanjilG_03490 transcript:OIW19356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSEMGHNHTTSDGVSHRVNSPRFSGPMTRRAHSFKRNTNSHNEIELQINSPRSEVATNSLPFSEGLLDSVPERKQHVIHNRAHVRSFLKKPIQAIVVDFGLKEKKKLGHFMFLLFCGTCLFLGVLKICATGWLGSAIDTVQSQSNQELSTSHASLKLVDQSSLHYSYREEGAGDVERTLKMVIASGVVSSQTAAVEESSIWSKPNSDNFTQCIDLPRNHKKLDANTNGYILVNANGGLNQMRFGICDMVAVARIMKATLVLPSLDHTSYWADESGFKELFDWKHFIDTLKDDIDIVETLPPAYTGIEPFSKTPISWSKVSYYKTEVLPLLKYHKVIYFTHTDSRLANNGIPPSIQRLRCRVNYRALKYSAPIEEFGNTLVSRMRVNGNPYLALHLRYEKDMLAFTGCSHNLTAEEDEELRQMRYEVGHWKEKEINGTERRLLGGCPLTPRETSLLLRALGFPSHTRIYLVAGEAYGIGSMKYLEDDFPNIFSHSSLSSEEELNTFKNHQNMLAGIDYVVALQSDVFLYTYDGNMAKAVQGHRRFENFKKTITPDKMNFVKLVDQLDEGKLSWKKFSSKVKKLHADRSGAPYSREPGEFPKLEESFYANPLPGCICDTKS >OIW17766 pep chromosome:LupAngTanjil_v1.0:LG01:34566864:34578027:1 gene:TanjilG_06451 transcript:OIW17766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSTRRSGSLSGNSSKRSSSPSEDKSPSPKRQKVDNGGSLEKPVAVAVAGNSKELRTPETVPDPGECDSGVVKVAGAGGTDAVSSGKADATAAEAVAAPITDGSSLIDKAKCGFSSWMTCQKPSPLFESPAPWGRLLSQSAQNPNVAICTQNFTIGSSRSCNFPLKDQTISGNLCKIKHTQGKGSDVASLESTGSKGSVLVNGMLVKKNTSCVLNSGDEVVFGLLGKHSYQLNTEVAVKGAEVQSGVGQFQQIERRAGDASTVAGASILASLSSPRQDLTRLKSPTESSSKPHLDASIHSVLDGGIKIEINAVESTPNVWSAKAADVGASEKDSPMDCNPDASTEADNVKLSGVLEEINGMQDRQTASTSSKSVRCAVFREDVRAAILDGREIEVSFDNFPYYLSENTKNVLVAACFIHLKHKVKEHEKYTANLTTINPRILLSGPAGSEIYQEILAKALAKYFGTKLLIFDSHSLLGGLSSKEAELLKDGFNAGKSCSSTKQNPTATDLARSMNPLGSETDMPSSSNVPTSSGLEFQLKLDTDNIPATSGTAKNCLFKLGDRVKFSSPSSSGLYQISSRWTMGLQLSWNIVVMDGSSFAKLRGPPNGSRGKVVLLFDDNPLSKIGVRFDKSIPDGVDLGDACEGGQGFFCNDFEGDLFLFSVNDLQSENSGVEELDKLLINSLFEVVYSESRSAPFILFMKDTEKSVVGNGDTFSFKSRLENLPDNVVVIGSHTHTDSRKVKSHPGGLVFTKFGSNHTALLDMSFPDGLGRLHDKGKEVPKPNKTLTKLFPNKITIHMPQDEALLASWKQQLDQDVETLKVKANLHHLRTVLVRCGMECEGLETLCIKDQTLTNEDAEKIVGWALSHHLMQNSTDDPDSKLVFSCDSIQYGIGILQSIQNEAKSLKKSLKDIVTENEFEKKLLADVVPPSDIGVTFDDIGALENVKDTLKELVMLPLQRPQLFCKGQLTKPCKGILLFGPPGTGKTMLAKAVATEAGANFINISMSSITSKWFGEGEKYVKAVFSLASKIAPSVIFVDEVDSMLGQRENSGEHEAMRKMKNEFMVNWDGLRTKDKERVLVLAATNRPFDLDEAVIRRLPRRLMVKLPDSPNRAKFLKVILAKEELSPDIDLDAVANMTDGYSGSDLKNLCVAAAHRPIKEILEKEKKERVAALAEGQPVPSLCSSVDIRSLNNDDFKYAHQHVCASVSSESVNMTELSQWNELYGEGGSRVKKSLSYFM >OIW18352 pep chromosome:LupAngTanjil_v1.0:LG01:23798861:23799894:1 gene:TanjilG_31492 transcript:OIW18352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRFYFVEISHVALLLVDLGNGSYNLGALVTAGVLTAGLISFRRGNSHLGQQLMRARVVVQGATVALMVGTAYYYGDNPWGSSSTP >OIW18592 pep chromosome:LupAngTanjil_v1.0:LG01:21447871:21448551:-1 gene:TanjilG_13344 transcript:OIW18592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYSSKIHAFLFICMLFISSATPILGCGYCGNPSNKHKPSKKPKSPITVPPIVKPPHIVPPISIPPIVKPPHIVPPIIVPPIVKPPHILPPIIVKPPVTLPVPIPPVTVPPVTVPPVLNPPTTPGKGGNKPCPPPKSPAQATCSIDTLKLGACVDLLGGLVHIGVGDPAVNECCPVLQGLVEVEAAACLCTTLKLKLLNLNIYVPIALQLLVACGKSPPPGYTCSL >OIW18848 pep chromosome:LupAngTanjil_v1.0:LG01:19862638:19865137:-1 gene:TanjilG_25291 transcript:OIW18848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVRNKQVILRDYVTGFPKESDMNIVESTITLNLPEGSNDVLLKNLYLSCDPYMRILMNKDLPPELSNRSYSPGSPITGYGVSKVLESGHPDYKTGDLVWGTTKWEEYSLVPATQILFKIEHTDVPLSYYTGILGMPGMTAYAGFFELGSPKKGENVFVSAASGAVGQLVGQFAKLHGCYVVGSAGSKEKVDLLKNKLGFDEAFNYKEEPDLNAALKRYFPEGIDINFENVGGKTLDAVLLNLRLHARIPVCGMISQYNLTQLEGVTNLPSLLFKRVHMQGFNVSDYYHLYPKFLEYLLPHIREGKVVYVEDIAEGLENGPAALVGLYSGRNFGKQVLVVAHE >OIW18826 pep chromosome:LupAngTanjil_v1.0:LG01:20043409:20045069:-1 gene:TanjilG_25269 transcript:OIW18826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQTFIMIKPDGVQRGLVGEIISRFEKKGFYLKGLKLVTVDRAFAEKHYADLSSKPFFNGLVDYIISGPVVATIWEGKNVVLTGRKIIGATNPAQSEPGTIRGDFAVEIGRNVIHGSDSVESAAKEIALWFPDGPAEWKSALHSWIYE >OIW19585 pep chromosome:LupAngTanjil_v1.0:LG01:259432:267185:-1 gene:TanjilG_18395 transcript:OIW19585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSERKTIDLEQGWDFMHRGITKLKNILEGLPEQQFCSEDYMMLYTTIYNMCTQKPPHDYSQQLYDKYRESFEEYILSLVLPSLREKHDEFMLRELVKRWANHKLMVRWLSRFFHYLDRYFIARRSLPSLNEVGLTCFRDLVYKELNGKARDAVISLIDQEREGEQIDRALLKNILDIFVEIGMGQMDHYENDFEAVMLKDTSAYYSRKASNWILEDSCPDYMLKAEECLKREKDRVAHYLHSSSEPKLLEKVQHELLFVYENQLLEKDHSGCHALLRDDKVDDLSRMFRLFSKISRGLDPVSSIFKQHVTTEGMALVKLAEDAASNKKPDKKDIVGLQEQVFVRMVIELHDKYLGYVNDCFQNHTLFHKALKEAFEIFCNKGVAGSSNAELLATFCDNILKKGGSEKLSDEAIEETLEKVVKLLAYISDKDLFAEFYRKKLARRLLFDKSANDDHERSILTKLKQQCGGQFTSKMEGMVTDLTLAKENQTSFVEYLSNNANADPGIDLTVTVLTTGFWPSYKSFDLNLPAEMVRCVEVFKEFYQTKTKHRKLSWIYSLGTCNIIGKFEHKTVELIVTTYQASALLLFNSSSRLSYSEIMTQLNLTADDVIRLLHSLSCAKYKILNKEPNTKTISSTDYFEFNSKFTDKMRRIKIPLPPVDEKKKVIEDVDKDRRYAIDASIVRIMKSRKVLGYQQLVVECVEQLGRMFKPDVKAIKKRIEDLISRDYLERDKDNANTFRYLA >OIW18538 pep chromosome:LupAngTanjil_v1.0:LG01:20915651:20924894:-1 gene:TanjilG_13290 transcript:OIW18538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELPHARVFETQGRKPTHDFLSLYSNSTTQQDSRPPSQVCTGSRLKTQDFLQPLERADTKASAKEEATDEISSVAPKPPPPTPTSVEHLLPGGIGTYSISHISNFNNNQRVPKPEASIFTVHQANSTDRNDENSNCSSYTSSGFTLWEESAVKKGKTGKENNVDVKPILVESAAKPGQWTSSERTSQSFSNNRHNSFNSCSTSQTTGQKNQSFIEMMKSAEAGAQDEELENEETFFLKKEFQRELKVKVDGKSTEQKPNTPRSKHSATEQRRRSKINDRFQMLRELIPHSDQKRDKASFLLEVIEYIHFLQDKVHKHEGSFQEWNHESEKLVPWRNNARQAESFETRAKNSGLGPSPTSLFASKTDLKNITISQTIPGSTQHVESGLNISTNFKRMDHHPGITNNAFPIPISPQPNFFIPAQSGGRGGVVSQLAHGLTSDAENGIYQHSEEYQTMATTSEKLKEKEPTIEGSAISKSHAYSKGLLDTLTHALHSSGVDLSQTSISVQIELGKQTNIRPIVPMSMCGAKGEEVPSNSERMMRSRVASSEKSDQPVKKLKTCRT >OIW18334 pep chromosome:LupAngTanjil_v1.0:LG01:24022784:24026890:-1 gene:TanjilG_31474 transcript:OIW18334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKATLRYLAGITGPSGFGSNSTAEHVTQHCFSLLPSNLTALITGASSGIGAETARVLAKRGVRVVIGGRDLKKANEVRENILKENPEAEILLLEIDLSSFASIQIFCSEFLALELPLNILINNAGIYSPNLEFSEEKIEMTFATNYLGHFLLTNMLLEKMIDTAKDTGIQGRIINVSSEVHSWVRGADSFHFNDMVTGKNYNGTHAYAQSKLANILHVKEMARQLKARNARVTINAVHPGIVKTEIIRARKGLITESVFFIASKFLKSTSQGASTTCYVALSPQTKGVSGKYFTDCNESNCSGLANDELEAQKLWNNTHALLHKQLSQA >OIW17731 pep chromosome:LupAngTanjil_v1.0:LG01:34861868:34863588:1 gene:TanjilG_29081 transcript:OIW17731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRLAAKRFLVTATPSSAVRVPPRFYHERVVDHYNNPRNVGSFDKTDPTVGTGLVGAPACGDVMKLQIKVDENTGKIVDARFKTFGCGSAIASSSVATEWVKGKQMEEVLSIKNTEIAKHLSLPPVKLHCSMLAEDAIKAAVKDYEAKRAKASPSVEATTAEKAATA >OIW19040 pep chromosome:LupAngTanjil_v1.0:LG01:16458863:16460166:1 gene:TanjilG_10601 transcript:OIW19040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLETMFLLQIADEMLAWTVDGKVIECYVFVQGDQAFEEWVGSGVEANKRARHKSLSLFEGQSREVATPQREGGNFVDSGEISLSRLESIIPFLLGQPKVNRLT >OIW18941 pep chromosome:LupAngTanjil_v1.0:LG01:18835446:18849106:1 gene:TanjilG_25384 transcript:OIW18941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISRDWVIKRKRRKLTLGLDQSGGKDQSNGKEDNSGTSESSRSASAKRTVDTEVATDRFSSKKKGNDGHYYECVVCDRGGNLLCCDSCPCTYHLQCLDPPLKRIPTGKWQCPSCSRGNDQLKPISHLDSITKRARTTIATAKSKDGGNPLNLDKISRIFGDKLISKKRSASKGKSKSTMGIKFFENKPPDTPEDETCSNKRSDPNLGSTIEGTSSCVDADEKKSNMSPPPSLMDIESTSPAKEVSSPSIMINLVENDDNQLEEKPDFSCNKIPLRKPLVLGLAAVKDEVIQVRKRKHTKYVSNNSSRKKCRTEKGKLFINTPLKLKSGDNKGHKKRKSATLNISEYVPKEDVGNKSSDVRQKDEKFPKLMKDKSIGPDKAGSLVHKTSVHEDSAILESLQVDQVLGCRVQGEKTNSLRHLSLTIVDDPPPGDLEISKSQNRSQEDNSACDNDLDVETAENLDDPQKVVKSSDQDEILNNTNRVDGIHVYRRSTTKESKKGNPTDSLSKATDDLGSCAIDGKNRHDSSAVSAECLEEANDKVEAEENINVASRNEDNSELPEICEQLSLETKAKEVDVEKGTNSSVDNKVPDANVAESSCLNGENVSYEFLVKWVGKSHIHNSWICESELKVLAKRKLENYKAKHGMATINICQECWKQPQRLLALRTSKTGTSEAFVKWTGLPYDECTWESLDEPVLQNSSHLITLFKKFETLTLERDASKENSTRKGNDPQNDICNLTEQPKELKGGSLFPHQLEALNWLRKCWYKSKNVILADEMGLGKTISACAFISALYSEFKVVLPCLVLVPLSTMRNWLSEFATWAPDVNVVEYHGRAKGRAVIRQYEWHASDPSGSNKKTEAYKLNVLLTTYEMILADSSLLRGVPWEVLVVDEAHRLKNAQSKLFSLLNTFSFQHRVLLTGTPLQNNLGEMYNLLNFLQPASFPSLASFEEKFNALGTTEKVNELKKLVAPLMLRRLKKDAMQNIPPKTERMVPVELSSIQAEYYRAMLTKNYQLLRNIGKGVAHKSMMNIVMQLRKVCNHPYLIPGTEPESGSVEFLHEMRIKASAKLTLLHSMLKILYREGHRVLIFSQMTKLLDILEDYLNVEFGPKTYERVDGSVSVTDRQAAITRFNQDKSRFVFLLSTRSCGLGINLASADTVIIYDSDFNPHADIQAMNRAHRIGQSKRLLVYRLVVRASVEERILQLAKKKLMLDQLFVNKSENQKEIEGILKWGTEELFNDSPGLNGKGTDENENSSKVETVAEVEHKHRKRTGGLGDVYQDKCTESSSKILWDENAILKLLDRSNIQDGSADIAEVDSENDMLGSVKAVEWNDESTEEHGMGESPPDGTDDTCTQISENKEDIIVVVNEENEWDRLLRLRWEKYQSEEEAALGRGKRQRKAISYREVCAAHPSETISESGREEEKAPEPEPEREYTPAGRALKAKYAKLRARQKERLACRNAVGGSQPADGFPVTESLPHSEANVKGGDLGAGPMHPVQEGPSINLVGSKHAQLSDARNSDADLFSRTDRLSKQKTSSHIDVSVNNPGRSLPDIFLPNHLYKGGLNSMNSMPTNNLSPVLGLCAPNAKQTEPSELSATKLNWRQNRHGARQEFPFSLAPCPGTSMDAEVRSQKAAANTKPSEASTSKIELSSRNSMHDNSFPFAPFPPALQGKEPNAFENSGARFATFHEKMPLPNLPFDEMLLLARFPLTSKSMANSNLDLLPHLSLGGRFEALNGSMQDFPMPTLPNFKVPPEDLFRHNQQERDVPPTLGLGQRPTTFSSFPENHRKVLENIMMRTGSGSGSSSLPKKSKSDGWSEDELDSLWIGVRRHGRGNWDVMLRDPKLKFSRNKTPESLSMRWEEEQIKVFQGPAFPVPRSSKMANEKSTKSAHLPISDGMMERALQGSRFVMPPKFQNHLTDMKLGIGDPATSMPPFLPLPSWAYEKNRTQFHENAAAETSDRPGTSSSVPTERPFLLDSFGISRFGSLGVNYPGNLDIQKKEDEQANTRRGKMPLVLDESPNDMRDNHMNVGNGESTSSGLLSNLIRSDLLHCKGEEVAGSSSSKGKLPHWLREAVSVPSILPDPELPPTVSAIAQSVRMLYGDDKPTIPPFVIPGPPPSLPKDPRSSLKKIKRRSHKFNQVQPNFAGTSRDIRSSCHADNGASSSNPLALLSQIQSDLNLPPLSLMKNSGSGLSPSPEVLQLVASCVASDPPHLPSTSGPSSILETKLPSPVGRAKIKDSEGAFIKKQPRQMSPETWCPPQEHEVVDLDSGDSSKTQSDPSRAERPDEEAEVSSEGTVSDHAVGDQET >OIW17801 pep chromosome:LupAngTanjil_v1.0:LG01:34198832:34201766:-1 gene:TanjilG_02429 transcript:OIW17801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGERKRIPMRILAVFLLICISSFQLLHASDDDAIFYESFDETFEDRWIVSQKEEYNGVWKHSKSEGHDDYGLLVSEKARKYAIVKELDEPVILKDKSVVLQFETRLQDGLECGGAYLKYLRPQEAGWKSKEFDNESPYSIMFGPDKCGATNKVHFIFKHKNPKSGEYVEHHLKFPPSVPSDKLSHVYTAILKPNNDLQILIDGEEKKKANFLSSEDFEPALIPTKTIPDPEDKKPEDWDERAKIPDPDATKPEDWDEDAPLEIVDEEAEKPKGWLDDEPEEIDDPDATKPEDWDDEEDGEWEAPKIDNPKCEAAPGCGEWKRPLKKNPAYKGKWHAPLIDNPDYKGIWKPQEIPNPAYFELEKPNFEPIAAIGIEIWTMQDGILFDNILIAKDDKIASSYRETTWKPKFTIEKEKQKEELETDSAGLAGFQKKVFDILYQIADIPFLSAYKLKIHDVIEKGEKQPNLTIGILVAIVVVFLSIFFRIIFGGKKPARVEKTKTEPAKTSTSQEGEKNEENKEKEETSGAPRRRTRRET >OIW19633 pep chromosome:LupAngTanjil_v1.0:LG01:735488:737674:-1 gene:TanjilG_18443 transcript:OIW19633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSETETKVDEEMSSSSFASIKDERRRRRSRTPPPPPPPPPPSSPLRDRSKTTSSKLSRSSSATSHSASTTLIDTTSSQFEDLTLNPNYNPRSFPYTVKQRCWDKADKVKGRDPERWRRDAIGNIVFRKLVGCPGCLCHDYDHILPYSKGGESTLENCQVLQATVNRSKGNRTDMSKAELIQRSRYCKVSDRDMDLLEISAYGTVQRGPDSGGCRIQ >OIW19588 pep chromosome:LupAngTanjil_v1.0:LG01:285020:287256:1 gene:TanjilG_18398 transcript:OIW19588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTTEASETFKPYTLSQTLTAHKKAVSAVKFSSNGRLLASSSADKTLRTYAFTNSDSDSDSLTLSPMQEYSGHEQGVSDLAFSSDSRYIVSASDDKTLRLWDVPTGSLIKTLTGHTNYVFCVNFNPQSNVVVSGSFDETVRLWDVKSGKCLKVLPAHSDPVTAVDFNRDGSLIVSSSYDGLCRIWDASTGHCIKTLIDDENPPVSFLKFSPNAKFILVGTLDNNLRLWNYSTGKILKTYTGHVNSKYCISSTFSITNGKYVVGGSEDHCIYLWDLQTRKIVQKLEGHSDPVISVSCHPTENMIASGALGNDRTVKIWTQQKD >OIW19251 pep chromosome:LupAngTanjil_v1.0:LG01:6377073:6383458:1 gene:TanjilG_20376 transcript:OIW19251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVVFIVSSLAIVWCMRFHTVVKRSYDKELDTFRHYFLVLATFVIALVLNEKFTLQEILWAFSIYLEAVAILPQLVMLQRSGNVDNLTGQYVFFLGAYRAFYILNWIYRYLTEPIFTRWIACVSGIVQTCVYADFFYYYFISWKNNSKLKLPA >OIW18408 pep chromosome:LupAngTanjil_v1.0:LG01:23222603:23222884:1 gene:TanjilG_31548 transcript:OIW18408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGIVSGISYLAVGTCDARRRQTQPQSNPEHTNTPAPCTPPMPPEENQLNNYCNSGRQTIKGLTNQTGFVKGNANGTINFGNLTASAGVRRQT >OIW18761 pep chromosome:LupAngTanjil_v1.0:LG01:22795080:22798479:-1 gene:TanjilG_13513 transcript:OIW18761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQKLPPGPWKLPLIGNLHQLACSGSLPHHTLRDLANKYGPLMHLQLGEVSTVVVSSPEMAKEILKTHDLTFAQRPKLLASEILFYGSTDIAYSPCNDYWRQMRKICILELLTAKRVQSFSFIREDEVDKLIKSIHLSQGSPFNLSKRLFSLVSTIVSRAVFGKKSEHEDELISLLQKGVELMGGLDVADFFPSLKPIHFITGMKGKLEGVHKKLDMMLENIIMEHHENMTSSKHGKGEAENIVDVLLRVKENGSLEVPITNDNIKAVIWDIFGAGTDTSSTSIEWAMSELMKNPRVKEKLQVEIREAFKGKETINEKDLSKLSYFKSVIKETMRLHIVVPLLVPRESKESCKIGEYQIPTKTRVIVNAWALARDPNYWYDADSFVPERFDNTNFDFNGNNFEFIPFGAGRRICPGILLGLANIELPLAALLYHFDWELPNGMNPEDLDMSETFGSAVVKKNNLYLIPTSSNYSPP >OIW18335 pep chromosome:LupAngTanjil_v1.0:LG01:23968105:23973874:-1 gene:TanjilG_31475 transcript:OIW18335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTAARDNRILEGPLSPAELVEILQRTLEEQGLAFGSAKAKHEEKIGADHRIREEQDAAYLASLQIDKEKERLSNLPSKERVQKPVEAHNTREHGKQGNNYVNVSKQNNKVSVPTSEKQDKANARRRSESQATQILIRFPNGERREHSFLCNDKIQSIFSYIDSLGLPGYWNYRLISNFPRKAYGVDQMRMTLKDLVVAVKEKLEKEHHSLPVGKNGRDDEDMILWFLKDRKFSVEDAVFKLTKAIKWRQDFGVSELTEDAVKDIAQTGKAFVHDFLDINERPVLVVVASKHFPKDPTADERLCTFLVEKALSKLPPGKEQILGIVDLRGFGTQNADIRYLTFLVMFFDCLPIF >OIW18884 pep chromosome:LupAngTanjil_v1.0:LG01:19496651:19496932:-1 gene:TanjilG_25327 transcript:OIW18884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSESTQRTLKDVEERLEHRIVEKIEKHMMELAGEIQKTLAESLRNTMEELMKRNKQDSERSSKNRSFNSNKQECRQGIQCFVSGDKKLDSFAS >OIW18213 pep chromosome:LupAngTanjil_v1.0:LG01:27457236:27458606:1 gene:TanjilG_31333 transcript:OIW18213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQDHYSCNEYTNPPEIVFFDLETTVPKKAGQRFHVLEFGAIVVSPQKLSEIESYTTLIRPKDLSVVSARSSRSDGITREAVKNAPCFEDVAERIFSILNGRVWAGHNIQRFDCVRIKDAFGEINRPAPEPVGMVDSLSVLTKKFGRRAGNMKMATLASYFGLGQQKHRSLDDVRMNLEVLKHCATVLFLESSLPNTMHSKWYESSKIMTRSRTNAKPPCREESSRKSPPTSLSLGYQRTAPYAARGSLGKMTERVKGLLFKAHVQQPLNQLLKHSHSLLR >OIW18318 pep chromosome:LupAngTanjil_v1.0:LG01:24292469:24294398:1 gene:TanjilG_31458 transcript:OIW18318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMKLGQIPTLVISSADVAREIFKNHDAVFSNRPLVTASRIFCYGFKDVAFAPYDDEWRQKRKICVLELLSMKRVQSFQPIRESLVAEMLDTIRDVCMGSKSSTVNLSEMLIAASNNLNSTCIFGQKFDTEDGSGGFGDLGRKMMSQFAAFCVGDYWPSLSWIDTVSGQTPDFKATLRAFDVFFDKIIAEHKAKMKKNDEQSDKKDFMDILLELQEDDRLDFELTQDTLKALMVDMIIGGSDTSSTTMEWVFTELMRNPSIMKKVQEELRRVVRYKTVVDENDMKQMNYLKCVLKETLRLHPPVPLLVPRETTSSVKLRGYDIPSKTRVIMNAFAIQRDPEVWDKADEFYPDRFENSEVEFKTQDVEFIAFGNGRRGCPGISFGVNFSMYVIANLLYWFDWKLPESVGHVKDIDMSERYGLTVNKKVPLHLEPVPYSF >OIW18344 pep chromosome:LupAngTanjil_v1.0:LG01:23880364:23887790:1 gene:TanjilG_31484 transcript:OIW18344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPLRLKANYRSVPSLQQFYTGGPYAVSSDGSFIASACADSIKIVDSANSSIKFTLDGDSESVTALVLSPDDKILFSSSHSRQIRVWDLSTLKCVRSWKGHDGPIMSMACHPSGGLLATGGADRKVLVWDVDGGFCTHFFKGHGGVVSCVMFHPDPEKRLLFSGSDDGGDNATVRVWDLSKTKKKNCIATLDNHRSAVTSIAVVTLWDLHDYSNKKTVITNEAVEAVCVIGAGSPFASSLDSYRQNAKKLVGSRPFYFLTVGERGIVRIWSSEGSVCIFEQKASDVTANIDEDGSRRGFTSAVMRVSEHGLICVTADQQFLFYSLDFTEELKLNLTKRLVGYNEEVVDMKFVGDDEKFLALATNLEQIRVYDLASMSCSYVLAGHTEIVLCLDTCVSSSGKSLIVTGSKDNSVRLWESESRSCIGVGIGHMGAVGAVAFSKRKQDFFVSGSSDHTLKVWSMDGLSDNMTVPINLKAKAVVAAHDKDINSVAVAPNDSLVCSGSQDRTACVWRLPDLVSVVVFKGHKRGIWSVEFSPVDQCVITASGDKTIRIWAISDGSCLKTFEGHTSSVLRALFVTRGTQIVSSGADGLVKLWTVKTNECVATYDNHEDKVWALAVSRKTEMLATGGSDALVNLWFDSTATDKEEAFQKEEIGVLKGQELENAVSDADYSKAIQIAFELRKPHRLYALLSELCRKHGAEGHIDKALKALGNEELRTLFGYVREWNTKPKFCYVSQLLLFRVFNIFPPTEIVQMKGIGELLEGLIPYSQRHFGRIDRLVRSTFLLDYILSGMSVIDPESQQPEPKAEFLLQSEIENSDKEKGAEEKDHTQENAPSKKRKSNKSRHGSHKKVKDVAYNKVESIPLQV >OIW19482 pep chromosome:LupAngTanjil_v1.0:LG01:2282036:2282632:1 gene:TanjilG_09502 transcript:OIW19482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNKCELCCEILIAVFLPPLGVCLRHGCCTVEFIICLLLTILGYIPGIIYALYSIVFVDRDQYFDEARRPLYAPAPQY >OIW18354 pep chromosome:LupAngTanjil_v1.0:LG01:23790294:23794525:1 gene:TanjilG_31494 transcript:OIW18354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDKKRKRGRKPKIPAPETLDTSLTATATATVDQSFNVADVDLVEYPSSPRLPRRRGRPKKLPNHSDNPISPVTGRRFTRAATDNGTDFAVPSDVVGSPAADPVWESVSSRVLPSMDSVVKVFCVHTEPNFSLPWQRKRQYSSSSSGFVIGGRRVLTNAHSVEHYTQVKLKKRGSDTKYLATVLAIGTECDIAMLTVDDDEFWQGMLPVEFGDLPTLQDAVTVVGYPIGGDTISVTSGVVSRIEILSYVHGSTELLGLQIDAAINSGNSGGPAFNDKGNCVGIAFQSLKHEDAENIGYVIPTPVIMHFIQDYEKNGRYTGFPILGVEWQKMENPDLRTAMGMRPYEKGVRIKRIDPTSPESKVLKPSDVILSFDGVDIANDGTVPFRHGERIGFSYLISQKYSGDNAAIKVLRDSDVFKFDIKLDSHKRLIPAHSKGKPPSYYIIAGFVFTVVSVPYLRSEYGKDYEFEAPVKLLDKLVHSLPQSPDEQIVVVSQVLVADINIGYEDIVNTQVLAFNGKPVKNLKSLATMVQSCDDEYLKFELEYDQIVVLRTKTAKAATLDILATHCIPSAMSDDLKS >OIW18907 pep chromosome:LupAngTanjil_v1.0:LG01:19220296:19225016:-1 gene:TanjilG_25350 transcript:OIW18907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYATLKEDNEKMKWVHDSSVDHKGTIPLRASTGSWKAAFYIIAIEFSERLSYFGIATSLIIYLSKVIHQDLKTAARNVNYWNGVTAMMPLLGGFLADGYLSRYITVIVSCIIYVMGLSLLSLSWFMPGLKPCEHGNVCSESRKIHEVIFFIAIYLISIGTGGHKPSLESFGADQFDDAHDVERKQKMSFFNWWNCGLCSGLVLGVTLIVYVQDHVNWGVADIILTIVMAFSLLIFIIGRPFYRYRAPIGNPLTPMLQVLVAAISKRKLPYPSSPNLLYEVSKSESNNGRVLCHTKKLKFFDKAAIIENEENIVEKHNPWKLASVTQVEELKLIMNMIPIWLLSLPFGVCAAQTTTFFIKQGVIMDRKIGNDFEIPPASVFSICAIGMIFSVAIYDKIIVPMLRKITGNERGIDLLPRICFGMFFSVITMVVAALVEKKRLDIVEKNPLKGSLSMSVFWLAPQYLIIGFGDGFALVGLQEYFYDQVPDSMKGIGIALYLSVMGAANFVSSFLITIVDRITSRSGNSWFGKDLNTSRLDKFYYLLATITAIDVVIFAIFARRYTYKTVKHIEMVTDDHYNEGKGNHVGAQSRV >OIW17771 pep chromosome:LupAngTanjil_v1.0:LG01:34528481:34532945:-1 gene:TanjilG_06456 transcript:OIW17771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSIYHVLLSLLLCFMLHQPSLAIKKSYIVYLGSHSFGSNPSLEDVESVTNNHYDLLALHVGSVQKAKDSIFYSYNKYINGFAAILDEDEAAMIAKHSNVVSVFESKFRKLHTTHSWDFLGLEKNGAIPHDSIWNKTKGEDIIIANLDTGVWPESKSFSDEGFGPIPKKWRGICQTDNQNPDKFQCNRKLIGTRYFSKGFEAGSQRKGDNITFNTPRDYHGHGSHTLSTAAGNFVAQASVFGNGNGTASGGAPKARVVAYKVCWGPRGSCNDADVLAAFEAAISDGVDVISMSLGGDTSEFFNNAISLGSFHAIVNGIIVVSSAGNSGPSPQTVLNVEPWMITVAASSIDREFANYIKLGDNKVLKGSSLSEFGSPSDKLYPLINAVDAKADNVSVRYALQCNDESLDPNKAKGKILVCNTNLTDAIDQGVEAARVGAFGMILTDDKSALNIIRPQPHVLPASRVNFTEGSYILNYINHTKSPVAQISRVKTELGIKPAPTVAIFSSRGPNVIEPAILKPDIIAPGVNIIAAYSEGVPPQKGTSDKRRTPFMTVSGTSMACPHVSGLVGLLKAFHPDWSAAAIKSAIMTTATINDNRGKPILDHSLDRATPFDYGAGLIQPNHAVDPGLVYDLDVTDYLDFLCGRGYNGSIIKLFYGKPYTCPESFNIADFNYPSISIPNLEHGHSQSVTRTLTNVGSPSKYRVHVNAPPEVIVSVKPKFLRFKEKGEKKEFRATFTLRTLNESKTDYFFGSINWVSHKHHVRSPIVVKHRHA >OIW17662 pep chromosome:LupAngTanjil_v1.0:LG01:35418851:35422235:1 gene:TanjilG_29012 transcript:OIW17662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDKTKQRRLTFSLPVTVVVLAISYIYLSTIFVFIDQWFGLFSSPGIINAVVFTSVAAMCSVTYRVAISADPGRVPSTYMPDVEDSQSPIHEIKRKGGDLRYCQKCSHYKPPRAHHCRVCKRCVLRMDHHCIWINNCVGHANYKVFIIFVMYAVIACVYSLVLLMGSVAYDDGMQDEEKNGGSFRTVYVISGLLLVPLSIALCVLLGWHIYLILHNKTTIEYHEGVRALSLAEKGGTIYKHPYDLGPYENLTSVLGPNILSWLWPTTDHIGSGLRFRTVYDITQGASTSK >OIW19579 pep chromosome:LupAngTanjil_v1.0:LG01:225683:227158:-1 gene:TanjilG_18389 transcript:OIW19579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALSLYVQMHRMGLSPTSHAISSALKSCARIQDKFVGVSIHGQVYVFGFNSCVYVQTGLLDLYSKMGDVRTAGKVFDEMAHKNVVSWNSMLYGYLKAGNLDEAREFFDEIPRKDVISWNSMVSGYAKAGNMDRACSLFQQMPERNLASWNTMITGYIDCGSIVSAREIFDAMPTRNSISWMTMIAGYSKIGDIESAHNLFDQIEVKDLLSYNAMIACYAQNSKPKDALQLFNNMLKPDINGQPDKMTLASVISACSQLGDLEYWCRIESHMNDFGIVLDDHLATALVDLYAKCGSIDKANELFHGLRKKDLVAYSAMIYGCGINGRSSDAIKLFEQMLGECIGPNLITYTGLLTAYNHAGLVEEGYQCFNSMKDNGVVPLLDHYGIMIDLLGRAGWLDEAYKLIINMPMQPNAEVWGALLLACRLHNNVVLGEIAVQHCIKLESNIAGYCSLLSSIYAALGKWDDAKKLRHCVEGNKIIKIPGCSWTQLI >OIW19163 pep chromosome:LupAngTanjil_v1.0:LG01:9588591:9589727:1 gene:TanjilG_13945 transcript:OIW19163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTHTDSSPIPSITTLPLNLHFNLHHVLPLLLLLFILIQFPPPATAQLPNTLTPPPPDNTISNVQFNKSMVMALVILVVVFVLLGFVSVYTRQCTERRMRGRHDLSIPIIGSNHRPRGLDREIIETFPTFIYSTVKSLKIGMATLECAVCLNEFQDDEKLRLIPVCNHVFHAECIDAWLVNHSTCPVCRANLVSTPSEVVPFMTIQLPDQTDPEPDPVHVDEFSGRQGTVMKESPKLSNNNSVNQNRPRRSRSTGFRFTNLLPRSHSLVQRGENLERFTLRLPEEVRNQLVTSTLSRTKSLGVAFTPESSERRGYRTRSVGSGCGRNNLERLDQSDRRMFRWMSRAGSNISKKVTEFNKDDVGERSSDRLFSGKENDM >OIW18825 pep chromosome:LupAngTanjil_v1.0:LG01:20047047:20052152:1 gene:TanjilG_25268 transcript:OIW18825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSPFTPHHHLGFHHSLSSISLSFSSLPFSSKPCSTSLITAQAHKPHQNKNGPKQPLRVKGNKENIWSVDNKDAAEKDKGRGMKKRGRRRMVKRKRQKGGRVIISEAMLVEVETVLQTQEPVIKPVWNTFSSSLSGIWKGVGAVFSPITAEMEPIEIEAVPSPSGEPKIQRKVNWVTLNPYGEIPQHIEGNNIAKEGSNSGNAPMLRKHNTSQNGTNHVLPTFESFDFERSDVMEEDALGSEPGLIYFEDGSYSRGPIDIPVGEDDDTDYYITPTFKFEQCLVKGCHKRIRIVHTIEFNNGGSDIQIMRVAVYEEEWVSPAFVDLDDQSDPEFDLKPFSQRKRTQPIELTGSWKVFEVSATPVYDEEDTIKNGSSALYVYLCTETLKKRSLPGSSNLFGEEEIVDMQDVSMLWLPGGVTCYVDITKDGILCIGVGWYSDEGINLVMERDYGMDGKLKEVRWKSEVKRRWSNTPLDQ >OIW18149 pep chromosome:LupAngTanjil_v1.0:LG01:25974357:25975824:-1 gene:TanjilG_31269 transcript:OIW18149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGELVLPPGFRFHPTDDELVNHYLCRKCAAQSIAAPIIKEIDLYKFDPWQLPEMALYGEKEWYFFTPRDRKYPNGSRPNRAAGSGYWKATGADKPIGKPKTLGIKKALVFYAGKAPGGVKTNWIMHEYRLANVDRSAGKKNNLRLDDWVLCRIYNKKGKIEKFNSVDQKALVSKVSEEVVQYEYEHDENEIKPEIENTYCQIDFKNDQLHMDTSDSMPRLHTDSSCSEHVVSPNVTCDKEVQSKPKWNELEQGPAFDFQFNFMDSEINMPPDDPFAPQYQINQPSPFQDILMFFQP >OIW19534 pep chromosome:LupAngTanjil_v1.0:LG01:1866128:1870603:-1 gene:TanjilG_06989 transcript:OIW19534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMMKRFKVWVYKEGEQPLVHDGPVNNKYAIEGQFIDEIDISNKSPFKASHPEKAHVFLVPFSVAKVIHYVYKPRRSRYDYDPERLQHLVQDYITVVANKYPYWNRSRGADHFLLSCHDWGPRISYANPELFKYFIRVLCNANTSEGFQPNRDVSIPEIYLPVGKLGPPNMAQHPNDRTILAFFAGGAHGNIRKKLLKHWKDKDKEVQVHEYLPKGQDYTKLMSLSKFCLCPSGHEVASPRVVEAIYAGCVPVIISDNYSLPFSDGPTVSHGNPEIFKNFIRALSNANTSEGFQPNRDVSIPEVNLPKGKLGLPNLGLHPNHHTMLAFFVGGVHGDIRQILLQHWKDKDNEVQVHEYLPKDQDYTKLMGQSMFCLCPSGHEVASPRVVEAIHAGCVPVIICDNYSLPFSDVLNWSQFSMNIPVEKIPYIKRILKRVSRNKYLRLHMNVLRVRRHFVINRPMKPFDMMHMILHSLWLRRLNFKLMAENS >OIW19343 pep chromosome:LupAngTanjil_v1.0:LG01:3588540:3595180:-1 gene:TanjilG_03477 transcript:OIW19343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLSNVSLPNSAEGERKTINSELWHACAGPLVSLPPVGSLVVYFPQGHSEQVAASMQKQTDFIPSYPNLPSKLICMLHNVTLHADPETDEVYAQMTLQPVNKYEKEAILASDIGLKQNRQPTEFFCKTLTASDTSTHGGFSVPRRAAEKIFPALDYSMQPPAQELVAKDLHDTTWAFRHIYRGQPKRHLLTTGWSVFVSTKRVFAGDSVLFIRDEKQNLLLGLRRANRQQTALSSSVISSDSMHIGILAAAAHAASNNSPFTIFYNPRASPSEFVIPLAKYNKAMFTQVSLGMRFRMMFETEDSGVRRYMGTITGISDLDPVRWKSSQWRNLQIGWDESTAGERPSRVSLWEIEPVVSPFYICPPQFLRSKFPRQPGMPDDDSDIENAFKRAMPWLGEDFGMKDSSNSVFPGLSLMQWMSMQQNNQFSASQPAFFPSMLSPNTLHSNLGTADPSKLLTFQDPSLSATSLQFNKPNLPNQINQLQQFPPAWPQLQQQQLLQQQQQQQQLQQQQQQQQLQQQQQQQQQLHQQQQQQQLHQQQQQQQQQLHQQQQQQQQMLQNPQQQHHQLQQTQQQHQLQSLLPTQVNQLQQQRQHQLPEPQNMPLLQQQQPQMPQQLEKKPQLYQLAIVNNGTVSSNQNANQFVQQPMAYSQFQQQQLLSGGITPQQNIKSTSKNTFPLTSLPQDSKSQQQIDQQASLLQRQQQNLQQSPLQLLQQNLTQRAMQQSPMTQMSLQNPSEQQLQLQFLQKLQQQQQHLLSTSNPLLQSQFLQQQSNHQQNQQLPQLPLSQHEPQQLGIGNNALPTEKLLNSNNFTSSSALVQSQQLPVNQSLNTQKSVTITRAPSTLTDGDAPSCSTSPSTNNCQISPPNLLKRNQQDPTTLGGSLVVDSRSNLIQELQSKPEIQIKHEFHSIKGPDHKKYRGTIPDQLEASFSGTSYCLDPGNVQQNLPLPNFFMDGDIQSHSRNNLPFDSNLDGLTSDTMLLRGSDSQKDLQNLLANYGGAPRDIETELSTADISSQSFGVPNVSFNPACSSDVGMNDTGVLNNSVRANQTQRVRTYTKVQKRGSVGRCIDVTRYKGYDELRYDLARMFGIEGQLEDPQRTEWKLVYVDHESDILLVGDDPWEEFVSCVQSIKILSSAEVQQMSLDGDLGNVPIPNQASSGADSGNAWRGQYDDNSAASFNR >OIW18339 pep chromosome:LupAngTanjil_v1.0:LG01:23919718:23931129:1 gene:TanjilG_31479 transcript:OIW18339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEIEFVDLCSDDEGGQVGANAVNAGSDFVSCVKQQNVTNKGQQCHSTSQDYNKNISSNGPSTCHSYSGLMEPGLLPDDDTGLSYSSPICETPLCRMFWKAGTYKNGLGSKVRIQNADNYLHVHPLFLHSNATSHKWAFGAIAELLDNAVDEIQNGATFVFIDKISNPKDGSPALLIQDDGGGMDPEAMRRCMSFGFSEKMSKLAVGRYGNGFKTGTMRLGADAIVFSHHLNNGILTQSIGLLSYTFLMRTQLHRIVVPMVSYEFNTSSGSFKILNGEEHFMSNLSILLHWSPYSSEKELLDQFLDIGSHGTKIILYNLWCNGDGNLELDFDTDQEDIRIARDIKKVGKVSTWKAVNEQHFANRFHYSLRATVVTTIGFIKEAPEVNIQGFNVYHKNRLILPFWQVANNKVRGVVGILQADFVEPTHNKQDFERTSLFQKLEVRLRQMTMEYWRFHCHLIGYREMTPRAQSSPLEASLQKSLGIEKPVAFNESFSPVPLPNTQSRSEQESLTKRNAHELTDLQKLKRQTTEENVTGVGCNQNSQTMAYPADQVVDQQAIKLMQVNKKLHADCLKYENAEEELNHKVMHLRIKIEEYKHECNRLLAEIQSLDIM >OIW18616 pep chromosome:LupAngTanjil_v1.0:LG01:21631037:21631483:-1 gene:TanjilG_13368 transcript:OIW18616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTVILRPHDCLQGRLRNDAFTLATSHVRSRTTSTNHNHNFNSHASGNRRSRRNPVPYNHLHRDRTRSGDQPAKLVIGQVKILKRGEKLSLENNRTVMVKKQRDSKEMMYAGSAFVTSPPPCFVPFPGFLGRNDAATNDLRRLLRLDE >OIW19657 pep chromosome:LupAngTanjil_v1.0:LG01:907110:911027:1 gene:TanjilG_18467 transcript:OIW19657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTWVIALVTIVAATIVYKLLKLISGPSLPLPPGPRPWPIIGNLPHMGPMPHHGLATMALKYGPLMHLRLGFVDVIVAASASVAEQFLKVHDANFSSRPPNAGAKYMAYSYQDLVFAPYGPQWRLRRKLSSVHMFSGKALEDFTHLRQEEVGRLTSNLASSRSKAVSLGQVVNVCTTNALTRVMIGRRVFNDGNDGFDPKADEFKAMVVELMVLAGALNIGDFIPALEWLDIQGVQGKMKTLHKKFDAFLTNIIEEHKNSKIEKHQDMLSTMLSLKDGPEDGGRLTDIEIKALLLNMFAAGTDTSSSTTEWAISELIKNPNILTKLQEELDSVVGRDRLVTEADLAKLPYLDAVVKETFRLHPSTPLSLPRIASESCEVFGYHIPKGSTLLVNVWAIARDPKEWNNPLEFKPERFLPGGEKANVDIKGNDFEVIPFGAGRRICAGLTLGLRIVQLLTATLAHAFDWELENGLNPKKLNMDEAYGLTLQRAVPLSVHPRPRLSPKAYSS >OIW18214 pep chromosome:LupAngTanjil_v1.0:LG01:27461704:27465499:1 gene:TanjilG_31334 transcript:OIW18214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKVKSNACNPETTYAKTNVSSEEINANNTNLCIINANNTNPMHDSGGKNEKKKKSIFPKIFGSKRSGRGSDEDALKSQTQEGDGVTLDLERKIETRRKAFMDASPIMRKSFSERETSPGIEGLNLSNFERGMAPETEIQSFRIFVATWNVGGKSPNYDLNLQDFLLVEGSADIYVLGFQEIVPLSAGNVLVVEDNEPAAKWLALISEALNRPKNEHSDSSDSGTNSKNLNLRDSKSSASVNFFQKPSLKVMSRNYRAEGSSLLKACNCPINMPSRDRRRVRKFSDPMNKLDSNICGESSMEELFAIAEIPSSPTQVKYSLISSKQMVGIFLTIWTKKELVPHIGHLRVDSMGRGIMGRLGNKGCISISMSLHQTTFCFVCSHLASGEKEGDELKRNADVSEILKGIQFSRIGKNHCQQAPEKIVDHDGIIWLGDLNYRVSLSYDETRVLLEDNEWDALLEKDQLNIERDAGRVFNGFKEGRILFAPTYKYSHNSDSYAGETTKSKRKRRTPAWKGYSYTSPRVEYEDIPQRHSFYD >OIW18065 pep chromosome:LupAngTanjil_v1.0:LG01:29561727:29564073:-1 gene:TanjilG_19297 transcript:OIW18065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGNKKRKRKEEVKVKCKYSENSPDFTHLASLYPSFQPFLHYSSRHNYHTIDWTDFNATRELTRVLLLHHHSLNWWIPDGQLCPTVPNRSNYIYWVADLLSSDIIPNTISAGGKVRGFDIGTGANCIYPLLGAALMGWSFVGSDVTDVAIEWAEKNVNNNPHFSEMIEIRKVESNGSTSCVQGLHYEEPVHSGTEINLCESINTEVAPLPSLPLDSHIQENKNYRGPPILLGVVRDDEKFDFCMCNPPFFESLEEAGLNPKTSCGGTSKEMVCPGGEKAFITRIIEDSTELKHQFRWFTSMIGRKTNLKYLTSKLWEVGVTIVKTTEFVQGRTSRWGLAWSFWPPIQKSSIFLPEKKSNISFLLEV >OIW17938 pep chromosome:LupAngTanjil_v1.0:LG01:32400272:32405789:-1 gene:TanjilG_17774 transcript:OIW17938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVPLFNLAPNLSVSRLCLGTMTFGEQNTLPQSFRLLDEAFDAGINFFDSAEMYPVPQRAETQGRSEEYLGRWIRERKIPRDRVVVATKVAGPSGQMTWIRGGPICLDASNIIEAIDKSLLRMQTDYIDLYQIHWPDRYVPMFGETEYDPVRQYPSVSIDEQVEALSKAIKAGKIRYVGLSNETPYGVMKFIQVAEKFACSPKIVSLQNSYNLLCRTFDSALAECCHQESIRLLAYSPLAMGILSGKYFPSGGGSPDARLNLFKGRYSEGESRYNLSNKVINAAAEEYVNIAKIYGLHPVSLAIAFVLQHPLVASAVFGATKSWQLQEVVNACKIELTTEVIEEINNIHSKFPNPCP >OIW18857 pep chromosome:LupAngTanjil_v1.0:LG01:19759666:19763205:1 gene:TanjilG_25300 transcript:OIW18857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSYSSTTISSTLPFSFTSNKPTKIISFLSSSSSYSSSALRWKKKSFNFSKLVYAKTAITINQLIQTQFSEFKENDQITPPSSKLVLLLGASGGVGQLVAAALLQRNVKSRLILRDPEKATTLFGKQDVEKLQVYKADTRNQDDLDPSIFEGVTHVICCTGTTAFPSKRWDDNNTPERVDWVGVKNVVSALPSTVKRVVLVSSIGVTKSNELPWSIMNLFGVLKYKKMGEDFLRSSGFPFTIIRPGRLTDGPYTSYDLNTLLRATAGQRRAVLIGQGDKLVGEASRIVVAEACIQALDLEITENQVYEISSVEVNFHW >OIW18150 pep chromosome:LupAngTanjil_v1.0:LG01:25987895:25990872:1 gene:TanjilG_31270 transcript:OIW18150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTENTATNNLSHLPVFSIDVVPSQSQVISSHSKCFDDDGRPKRTGSVLTAASHIITAVIGSGVLSLAWAIGQLGWVAGPITMIIFSLVTLYTSSILAECYRAGDPNTGKRNYTYMDAVRSILGGVSVTLCGIFQYLNLLGIVIGYTIAASISMVAINRSNCFHHSGHKNPCHMSSNQYMIIFGAIQIFLSQIPDFDQIWWLSTVAAIMSFTYSIIGLALGIAKVAANRTFKGSLTGISIGPNVSETQKIWRTFQALGNIAFAYSYAAVLIEIQDTVKSPPSEAKTMKKATRISIAVTTIFYMLCGCMGYAAFGDEAPGNLFTGFGFYEPYWLVDIANLAVVVHLVGAYQVFAQPLFAFVEKSAAQRWPNIVNKELNIPIPSLTLYKLNLFRLVWRTVFVILTTIVSMLLPFFNDVVGLIGALGFWPLTVYFPVEMYISQKKIPKWSRRWIILQIFSGACLIVSVVAALGSVAGVLVDLKKYKPFQSNY >OIW19279 pep chromosome:LupAngTanjil_v1.0:LG01:5729876:5731177:-1 gene:TanjilG_20404 transcript:OIW19279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANNNNRNGTQARKVMVVADPTRESAGALQYALSHAVLEQDELILLHVENPNSWRNTISTFLKMPSLGSSTTASLDLGGGGAATATAATTTGGEGEVDFIEEMKNACRVSQPKMRVRVLRVDMDGKDRASTILLHSNNEGVDVIVIGQKRSLSSALLGYKRSGGGSVKGAKMLDTAEYLIQNSQCICVGVQRKGQSGGYVLNTKTHRNFWLLA >OIW19618 pep chromosome:LupAngTanjil_v1.0:LG01:639409:641411:-1 gene:TanjilG_18428 transcript:OIW19618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQLSAPASSASGNSSKPKFQKYALRSSTKSKDLNPDAPISSHSNRGRSTPCSVSKSVSVLDLSGKDKSGSVKPPRRYSVQAKTTATPSPKMIGNKTPISETRLKRGANSQGPQSRNQTPISEISRTSSRVRFNLLSSASYWLNQIKLSESATKHSISLGFFKLALEAGCEKMQDELKSYVHRNRLVAELGEQVKVLFERYNIAESLEQSQVSEAISQVPEDGTRSSDDEIHCSSPPTKGTGNLKPKSLNTDCTQLTLVTTESTKKDTCQKNKQSQSGLREKLRMKSANSRPTFESGNLRSVKKPGKPHDSNKKSAVKKHGNKSIENEGKCGAALSILFPVSPSPAEDNAQGNKENMDVLATDVTTEVVV >OIW18734 pep chromosome:LupAngTanjil_v1.0:LG01:22592599:22596476:-1 gene:TanjilG_13486 transcript:OIW18734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKEGLGLGLKLSVPVSDQASFAKFLTESGTFKDGDLLVNRDGLRIVSQSEIEAPPPIKPTDEQLSLADIDIVKVVGKGSGGVVQLVQHKWTSQFFALKIIQMNIEESMRKQIVKELKINQAAQCPYVVVCYQSFYDNGVISIILEYMDGGSLADLLKKVKTIPEPYLAAIFKQVLKGLVYLHHERHIIHRDLKPSNLLINHRGEVKITDFGVSTIMESTSGQANSFIGTRNYMSPERIDGSQQQGYNYKSDIWSFGLILLECALGKFPYTPPDQTERWESIFELIEAIVDQPPPSAPSEQFSKEFCSFISACLQKDPRDRLSAQQLMNYPFMSMYEDLDVDLSAYFSNAGSPLATL >OIW18146 pep chromosome:LupAngTanjil_v1.0:LG01:25913036:25913908:1 gene:TanjilG_31266 transcript:OIW18146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMLPITGMCLSQTNQNDNFDGETFNKFFECWLAEQNQLLRELLDAEEPKTHLTDEQLQALISKVVEHYESYYKAKSKWTKQDVLSMLSPTWRSSLEEAFLWIGGWRPSMAFHLLYSKCGLQFEAKMNELIQGLRTCDLGDISATQLGQVDEMQRRTIREEREITDVMARHQETVADASMVELSHVVTEMNMAKETKGESEKKELENKVESTLAPKEEGLEEILLKADDLRMRTLKGIVSILTPKQAIHFLTSAAELPLMVHEWGKKKDARKGNQISPVTQFCDLYASED >OIW19779 pep chromosome:LupAngTanjil_v1.0:LG01:13997:16887:1 gene:TanjilG_27318 transcript:OIW19779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGVKMVEKDRGAKMMTTYTPELLSLRKGIWAQEGGEINAGEGVVIGFVDSGINPLHPSFAYDPMHTFTSNLSHFVPTCETGPHFPLSSCNGKIVSARYFSAGAEATATLNASLDFLSPFDADGHGSHVASIAAGNAGVPVVVNDYYYGRASGMAPRARIAVYKAIYPSVGTLADVIAAIDHAILDGVDILTLSIGPDDPPEGTLTFLSIFDICLLYAQKAGVLVVQAAGNKGPASSTVVSYSPWTIGVAACTTGRRYPASLLLGNGTIVDGVGLSGPSFGNGTILQRLVLAKDALKINGTFPRTPEYIEECQHPEAFDPNIVFASVIICTFSEGFYDGTSTLGAIVHTSKALGFAAYILVPNPSYGDYIAEPIPFDFPGIMIPRVADAKVILQHYEDETKRDEKGTATEFCARAAVGEGRVASFTGRSSVVSRFSSRGPDIIDMKGNLADILKPDIIAPGHQIWGAWTPISALQPMLTGHNFALLSGTSMAAPHVAGIAALIKQYNPLWTPSMIASAISTTGTKHDNLGEVLMAEGFQPNSLYPSTPLEHGAGMVNPNDANDPGLVLSSGYTDYISFLCSLPNINPNKVTAATGEPCNTHLFADPHNLNLPSVTISALKGSVSVRRTVMNIGNNTETYVGAVIPPNGTRVNLYPTLFTVSPQETQDLEIQISATQSMEKFSFGEIVLTGSLNHIVRITLSVIPVSI >OIW19628 pep chromosome:LupAngTanjil_v1.0:LG01:713915:715897:1 gene:TanjilG_18438 transcript:OIW19628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVISALKSISHKTQLLQIHAQILRTNLIGHPYVSLQFLSRVALSGPLQDPTYSQRFFEQINHPSVSHYNTMIRAFSMSHKPQKGLFLYRDMRIRGISPDPLSSTSAIKCCIKFLYLLGGVQVHCNVFKDGHQLDNLLLTAIMDLYSQCRKWDDACKVFDEMPHRDTVAWNVMISCCIRNNRTRDALSLFDVMQSESNRCEPDDVTCLLLLQACAHLNALEFGERIHGYIVDSGYGGALNLSNSLISMYSRCGSLDKAYEVFRGMRNVPSQALRVGEITVKGYCNWKLAMPCRWLVHCLEQNFILHEHGLIVKLQWVPCNLHQLVCNQGSYLTLSEGAGICHI >OIW18942 pep chromosome:LupAngTanjil_v1.0:LG01:18819040:18822137:1 gene:TanjilG_25385 transcript:OIW18942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSSLFSSSMHMKVFASKVSTFSEDFSGCHRKISCSEPRYSTSKCCPNSRFLSLKMSSKGEQMKKTCQRNGIPCRALKIEQKTSFSPGLKFHLDDVIEAQQFDRDTLNAIFEVARDMENIEKNSPGSQLLKGYLMATLFYEPSTRTRLSFESAMKRLGGEVLTTENAREFSSAAKGETLEDTIRTVEGYSDIIVMRHFESGAARRAATTAGIPVINAGDGPGQHPTQALLDVYTIEREIGKLDGIKIGLVGDLANGRTVRSLAYLLAKYKNVKIYFVAPEVVKMKDDIKDYLTSKEVKWEESADLMEVASECDVVYQTRIQKERFGERFNLYEEAKGKYIVNQDVLKVMQSHAVVMHPLPRLDEITVDVDADPRAAYFRQAKNGLYIRMALLKLLLVGW >OIW18169 pep chromosome:LupAngTanjil_v1.0:LG01:26339923:26344643:1 gene:TanjilG_31289 transcript:OIW18169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGGTVQISWHEGKPILTLDFHPPSSTLATAGADFDIKFWSIKSAAGTQRKLPQVSYVNSLSYHSSAINVVRFSSSGELLASGADGGELIIWKLHSTDTGETWKVLKMLRSHHKDILDLQWSTDSTHIISGSVDNSCIIWDVNKGINLQTLDTHAHYVQGVAWDPLGKYAASLSSDRTCRIYINKPLKSKGIEKINYVCRHVISKAEQPLLKNSTMVCHTHEPQAYFYISIMQSTKYHLFHDETLPSFFRSLWATLPISGSYKIGTASESVNAAYIFSRKDLSRPAIQLPCASKAVVAVRFCPIFFNLRGTNPAGLFKLPYRIIFAVATLNSLYIYDTESTPPIAILAGLHYAPITDIAWSSDARYLALSSQDGFSSLVEFDNDELGSPFPISGGKVLEECNSPLQTSNDTVIVPTGYVGAIVAQSRKTKAGEKSDDMVIDSTGNAGAAITENRKNETEEKGDCMIIEGTGSVGAAVLDSRKTEAQEKVEKQPLSSDSVKSGPEKKAGKQTPSTKKPTRKRITPIPIDP >OIW18172 pep chromosome:LupAngTanjil_v1.0:LG01:26415976:26416475:-1 gene:TanjilG_31292 transcript:OIW18172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLEEDELVKMVQDFIESESTSPTNSTSSNCHALNHRTQYFILQDILRSECDKEEEAKVVTYVLKYMRDSEGIEKPTSLSRRIVMKMKMDGLDASLCQTSWVTSSGCPAGGSFSIFEYFQSLYFLSP >OIW18383 pep chromosome:LupAngTanjil_v1.0:LG01:23458562:23465647:-1 gene:TanjilG_31523 transcript:OIW18383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSLSRELVFLILQFLEEEKFKETVHKLEQESGYFFNIGYLEEKTLAGEWDEVEKYLSGFIKVDDNRYSMKIFFEIRKQKYLEALDRNDKAKALDILVKDLKVFSTFNEDLFKEITHLLTLDNFRDNEQLAKYSDTQSARNIMLSEVKKLIEANPLFRDKLIFPSLKSSRLRTLINQSLNWQHQLCKNPRSNPDIKTLFTDHTCSPTTNGARASTPVTPPITAVAKPSSYAPLGAHGGPFPAAPTAANGNTLAGWMANPNPSSSLQSPLLGASLFTGHPNQVPVLKHSRTPSNALGMMDYHNADHEQLMKRLRVSQSVDEVTYPAPSQQASYSLDDIPRTVFCTLHQGTTVSSMDFHPSMHSLLAVGCINGELSLWGVGLKEKLITKPFKIKDIAACSVFFQAAIVKESSISISRISWSPDGHFIGIDAHVGGVNDLAFSYPNKQLCIVTCGDDMLIKVWDLTGRKLFNFEGHNAPVHSICPHQKESIQFIFSTAADGKIKAWLYDSAGSRVDYDAPGHSCTTMLYSSDGSRLFSCGTSRDGDSFLVEWNESEGALKRTYSGFRKKSAGTVQFDTAKNRFLAAGEDNQIKFWDMDNINVLTITDAEGGLPSLPRLRFNKEGNLLAVTTVDGGVKILADANGMKYLRAIEARSYEASKVPVGPSMAANINPIINIVERVDRSAPAVPAPVLNGVDSMARSIEKQRISDKAVDKPKAGELTEIVDPMHFKTITMPDSTDPANKVVCLLYTNSGTGLLALGTNGIQKLWKWNRNELNPSGKASANVMPRHWQPSSGLLMNNDVPDNSEEALPCVALSKNDSYVMSACGGKVSLFNMMTFKVMATFMSPPPASTFLAFHPRDNNIIAVGMEDGTIQFYNVRVDEVKNKLEGHKKCITGLAFSTNLNILVSSCGDAQLCFWSIDSWDKKKSLPVQLRDGKALVGVTRVQFHSDQVHLLVWHESHLAIYDASKMELIRQWVPQDELSGSISSATYSCNNQLVYAAFTNGNVTVFDADSLRPKYRIASSAYLQQTSPNSQNVYPHVIVAHPQESNQFAIGLSDGSVKVTELPEYNAGWGVAASIDNGIPNGTTASPSITKN >OIW17630 pep chromosome:LupAngTanjil_v1.0:LG01:35780201:35780836:-1 gene:TanjilG_28980 transcript:OIW17630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNLNSEITWFCSLYYAGNPANEIVDIINKNLTDQKFSNLNDNPGLGCMALQYVELCKGNCTNNNVVNCKTPDDDFTEVFGPNCGVELPTFGTITGHIVGCQRNYLEPSLAFSHVLVTDKKSLSVLRNKSHAEVGVGLVGNHKGPFFWCVLFSNGKTNSTFVLENRGAGIEQKKGCYSGSSAPCSGGQKNSVVFLNIFFMCYVFILLLTLF >OIW17742 pep chromosome:LupAngTanjil_v1.0:LG01:34774411:34774857:1 gene:TanjilG_29092 transcript:OIW17742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTRFKKNRKKRGHVSAGHGRIGKHRKHPSGRGNAGGMHHHRILFDKYHPGYFGKVGMRYFHKLRNKFYSPIVNIDKLWSLVPQEVKDKAAKEPGKAPLIDVTQFGYFKVLGKGVLPENQPIVLKAKLVSKIAEKKIKEAGGAVVLTA >OIW17645 pep chromosome:LupAngTanjil_v1.0:LG01:35555597:35557528:1 gene:TanjilG_28995 transcript:OIW17645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHGQYEQDLELIMEQALRYMNGIVLDGDGMDAWVIDVDDTCISNVFYYKSKRYGCDPYDPPAFRAWALKGWCTAIPSVLDLFNKLIDQGFKIILLTGRDEEIFHQVTIENLHNQGFIGYERLIMRNASYKGQSAVKYKSSIRKQLEDEGYRIWGNLGDQWSDLQGSSSGNRTFKLPNPMYFVP >OIW18181 pep chromosome:LupAngTanjil_v1.0:LG01:26628218:26630050:1 gene:TanjilG_31301 transcript:OIW18181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNLIQPPLKDSCVSPLIHRTMNSMKLQRLVPTPSRINTSKLGERPVWFRPITFIKVAEHSSSSGLVEDETLAQKKRELYQALEGINRGIFGIPSAKKIEIENLVKLLESQNPTPNPTLELEKVAGCWRLVYSTISILGSKRTKLGLRDFISLDEFFQNIDIAKSKAVNVIKFSAMGLNLLSGKLSIEASFKIASTTRVDINSENSTITPDQLMNVFRKNYDLLLGIFNPEGWLEITYVDESMRIGRDDKGNIFVLERFEDRNS >OIW19351 pep chromosome:LupAngTanjil_v1.0:LG01:3505707:3507918:-1 gene:TanjilG_03485 transcript:OIW19351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPIVRSSIPSLPPPSPKSPPEYPDLYGKRRESARVQMLEREISFLEEELKSVEGLQPASRCCKEMADFVIANSDPLLPSRIAGHVAFGSGYGTISLSDHFMGSIPTDYSVLIQLEIHVLVCLVLTSLGSVAAAAAAKGISNSASDNERLK >OIW19447 pep chromosome:LupAngTanjil_v1.0:LG01:2620561:2622470:1 gene:TanjilG_09467 transcript:OIW19447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTKVQRVMTQPINLIFRFLQSKARIQIWLFEQKDLRIEGRIIGFDEYMNLVLDDAEEVNVKKKSRKTLGRILLKGDNITLMMNTGK >OIW17891 pep chromosome:LupAngTanjil_v1.0:LG01:33029228:33033565:-1 gene:TanjilG_19860 transcript:OIW17891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAITPFGSTSAAQWGIHPQLSLRSSMTGKIASSSHNVISRVSFMGAPSSSFLSRISVHHTLSSVGSSQTLHSRRGSRLTVRANADYYSVLGVSKNANKSEIKSAYRKLARNYHPDVNKEPGAEDKFKEISNAYEVLSDDEKRSIYDRFGEAGLKGSGMNPGDFSNPFDLFEQLFDNMNRGSGRGPYNGPVDGEDEYYSLLLNFKEAVFGVEKEIEISRLESCGTCNGSGAKPGTTPSRCNTCGGQGRVVSSTRTPLGVFQQQMTCSTCNGTGEISTPCNTCSGDGRVRKPKRISLKVPAGVDSGSRLRVRNEGNAGRRGGSPGDLFAVVEVIPDPVLKRDDTNILYTCKISYIDAILGTTIKVPTVDGMVDLKVPTGTQPNTTLVLAKKGVPLLNKKNMRGDQLVRVQVEIPKRLSSDERKVIEELADLSQGKTATSKR >OIW18609 pep chromosome:LupAngTanjil_v1.0:LG01:21591905:21592123:-1 gene:TanjilG_13361 transcript:OIW18609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKKPPRGAAPPLPRGPSMSSSMHVKAYSLKAILLQIIKPSSSHGHHRRKTFHQKPTRFSLMNGNRDAVEVS >OIW18794 pep chromosome:LupAngTanjil_v1.0:LG01:23135751:23140730:1 gene:TanjilG_13546 transcript:OIW18794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIMNLGKEGGQKMGIGKYELGRTLGEGNFGKVKFARNTDSGQPFAVKILDKNKIINLNTTDQIKREIATLKLLRHPNVVRLHEEDGLLHTTCGSPNYVAPEILANKGYDGATSDAWACGVMLYVILTGHLPFDDRNLAVLYQKIFKGDVQIPKWLSCGAQNMINRILDPNPKTRITMAEIKEDTWFKEDYTPTNPYEDEDEENIDIDNEALSIHEVPHEGEERSPRSSTLINAFQLIGMSSSLDLSNFFEKEDVSERKIRFTSNLSAKDLIERIEDIVTEMGFSVQNKNGKVFEISPSLYVVELRKSYGDASVYRQLCKRLSNDLGVPSSQTLISSGSEVN >OIW18210 pep chromosome:LupAngTanjil_v1.0:LG01:27421864:27422796:1 gene:TanjilG_31330 transcript:OIW18210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVGGTFEYLSDLVGSNHHHKKRKQLNTVELKVRMDCDGCEMKVKKTLSSLSGVKSVEINRKQQKVTVTGYVEPNKVLKKAKSTGKKAEIWPYVPYNLVAQPYAATSYDKKAPPGYVRKVENTVATGTVTKSYEDPYINIFSDDNPNACFIM >OIW19174 pep chromosome:LupAngTanjil_v1.0:LG01:9209364:9213461:-1 gene:TanjilG_22670 transcript:OIW19174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVNLSRSPIFAGEENLSSGGDGFRWHDVENCFYYGCDRGAATHGFVSNDILDLLPSDPFGMDMSTSFTAAFTEWLEYDYGGYWRGGVLASEDNYQVFAMNFIWSNFNNAMMFDRFPTWGNMRGEEKNEENKLQEKSIGECSSRESCDSVFQSVCDVDDILGFGCDFEDDIDHGDNCPGGDEVAPHPALSFALSYMGLADLLAVERVCKSLHYTVRSDPLLWRSIHIDQPLNERITDDVLFQLTNRSQGKLQCLSLVECTRITDDGIKRVLEANPALIKLSVPGCKRLSIEGILGILKAFRSMGIPGVKHLHIGGLYGVTQQHYEELMLLSGTDNQMLQHSHKPHFYRRGNLYLSCDDDRAIDIEVCPRCQNLRLVYDCPAEGCQWVGNVIHVCRACTLCIPRCSQCGCCINGSEYEETFCLELLCSLCSEQLVKCSGRIEKGSTDQVRCSP >OIW18303 pep chromosome:LupAngTanjil_v1.0:LG01:24587022:24587504:-1 gene:TanjilG_31443 transcript:OIW18303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSMDDALLFINKKFQEFYSEEDARDESLPCYTLFVHLILPTSHLRPQGLAHSVPMHSYPHSQGDVALSNSVQHSQSQNATRRPLIPNHSGESRTLEPVGRQGALTEQKTDSAFRKANDSNSEAAAVQNGQSACQCELEQKIRFIEFGYKLLMLWTWID >OIW18265 pep chromosome:LupAngTanjil_v1.0:LG01:25384606:25386306:-1 gene:TanjilG_20320 transcript:OIW18265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLNSEKIDEVVPLVSTDSPIATPVEKQPTTEVVDPKSERVKATRHPRWTRQETIVLIEAKKMVENGEQLCRFKSSSGLFNHTDLKWDMVSSCCQQQGVDRGPVQCRKRWGNLLTDFRKIKKWESSIKDEGESFWLMRNDKRKENKLPGFFDEVVYRVLDGGLLMNASALPLNLIKVAPKPENGVDHVEGVGLLEEYKDDEDEEDEDIVDNSEKMSWSTENIFETNIARNILSSPMKTPASKGTFMRGSLKITPTLTLPNPGCQREPMSQEGYKRRRLSPENSEDTTDFSNNIIKVLRRNNNIMKAYLGAQNMNHQLAIEQQKEQSKTLVIALGKLTDALTKIADKL >OIW19677 pep chromosome:LupAngTanjil_v1.0:LG01:1070025:1071380:1 gene:TanjilG_18487 transcript:OIW19677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLAKAYVVIAKEHNNLHLAWQLSKKIRGCQLLLSKAAMTGEPVAQEEAEPIIKSLSSLIFKAQDIHYDIATTIVTMKSHVQALEERANAATIQSTVFGQVAAEALPKSLHYLNIKLMTDWLKKPSLQELSDETKNSPRLMDNNLYHFCIFSDNVLATSVVVNSTVSSADHPKQLVFHIVTNGVNYGAMQAWFLSNDFKGATIEVQNIDEFHWLNASYSPLVKQLLNSDSRSFYFGVYQDVNVEPKMRNPKHLSLLNHLRFYIPEIYPQLEKVIFLDDDLVVQKDLTPLFSLDLHGNVNGAVETCLEAFHRYYKYLNFSNSIISSKFDPQACAWAFGMNIFDLVAWRKANVTTKYHYWQEQNADGTLWKLGTLPPALLSFYGLTEPLDRRWHVLGLGYDLNIDNRLIESAAVVHFNGNMKPWLKLAIGRYKPLWDRYINRSHPYLQDCVTS >OIW18865 pep chromosome:LupAngTanjil_v1.0:LG01:19660411:19683418:-1 gene:TanjilG_25308 transcript:OIW18865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERLVSYRLLMCVHFPGSRSCQKISLFIFVVFLLLRYKILRELGDGSCGHVYKARDMRTYEIVAVKRLKRKFFFWEEYTNLREVKILRKMNHPNIIKLKEVVKENNEIFFIFEYMDCNLYQLIKERQKPFSEEEIRCLMKQILQGLSHMHKKRFFHRDLKPENLLVTNDVLKIADFGLAREVSSMPPYTQYVSTRCEIDQLYKIYHILGTPDLTPFTIGANNFRLLDLVGHEVVQPMKLSDIIPNASLEAIDLITVSTCVSRPLRDPLELKLSRKMFWRLLSPDQNGIHNSAETSLSLSFGFCIKRKDYENGIERRVYCSIQPAWAGTAIPDPNLKIWDGPKPITILGSTGSIGTQTLNIVAEFPHRFKVVGLAAGSNVTLLADQVKTFKPQVVALRNESLIDELKEALADSEHQPEIITGEQGVIEVARHPDAATVVTGIVGCAGLKPTVAAIEAGKDIALANKETMIAGAPFILPLAHKHNIKILPADSEHSAIFQCIQGLPVGALRKILLTASGGAFREWPVEKMKDIKVSDALKHPIWTLGRKITVDSATLFNKGLEVIEAHYLFGAEYDDIEIVIHPQSIIHSMIETQDSSVIAQLGLPDMRLPLLYTLSWPERIYCSEITWPRLDLSKYGSLTFQAPDNVKFPSVNLCYAAGRAGGTMTGVLSAANEKAVELFVEEKISYLDIFKVVELTCEEHQKELVASPSLEEIIHYDQWARQHAANLQKTLSY >OIW18700 pep chromosome:LupAngTanjil_v1.0:LG01:22352219:22353004:1 gene:TanjilG_13452 transcript:OIW18700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVMLISNTLLLRNASRSLSNINNPFSTILTSSHHRHQSSQASKSTPQLPVIENEENDLYLKLLEDNIQRFIVNKATPDWLPFIPGSSFWVPPTPSPSTFFHNITAQRRRHHNPLSLSLRASPQSLNLFIPENESGVGGDSAVEVNDTPEGTEVVTVKVKVQSIPENISVTEDEEG >OIW18076 pep chromosome:LupAngTanjil_v1.0:LG01:28952836:28957730:1 gene:TanjilG_08546 transcript:OIW18076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANINTYWPHLVFVFCFVMLLLLTPSLCQDDSDDDDSGDDDAAVYIVTLRQPHASHFQDELTRVRKGLRHHASVSGRTTLHKPRLGNVTHTVKRHGSYIVHFHDLLLKKVFKGEKYLKLYSYHYLINGFAVLVTQQQADKLSRRREVSNVVLDYSVRTATTHTPQFLDLPKGAWFQAGGFENAGEGITIGFVDTGIDPTHPSFSDYESQPPAQFSGICEVTKDFPSGSCNRKLVGARHFAASAITRGVFNSTQDYASPFDGDGHGTHTASIAAGNHGIPVVVAGHNFGNASGMAPRSHIAVYKALYERFGGFAADVIAAIDQAAQDTVDIICLSITPNRRPPGIATFFNPIDMALLSAVKAGIFVVQAAGNTGPSPMSMSSFSPWIFTVGAASHDRIYSNSIFLGNNVTIPGVGLAPGTDENTMYKLIHAQHALNDNITLDDDMYVGECQDSSKFNPDLVQGSILICSYTVRFVIGSSSIEQALEAAKNLTAVGVVFSTDPFMTGFQLNPIPMKIPSIIIPSANDSKILLQYYKYSLERDAASNKIVKFGAVASICGGLEANYSNAAPKVMFYSARGPDPEDSLPHEADILKPNLIAPGNSIWAAWSSLGTDSDEFLGENFAMMSGTSMAAPHVAGLAALIKQKFPNFSPAAIGSALSTTASLNDNKGKPIMALRSHISPELNQSPATPFDMGSGFVNATAALNPGLLFDCSYDDYVSFLCGINGSAPSVLNDTGQNCWVYNSTLYGLDLNLPSITVAQLNQSRVVQRTIQNIAGTETYSVGWSSPYGVSLKVSPTHFSLGNGEKQVLFVTFNATSNSSVASFGRIGLFGNQGHVVNIPVSVITKISYNFTTS >OIW19249 pep chromosome:LupAngTanjil_v1.0:LG01:6456118:6457879:-1 gene:TanjilG_20374 transcript:OIW19249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSKLRNTSSYMNEQEDSDVGGQENFVESSPYNMDAMVTSPSTSTSSLKRRRGTQKKVVQIPIKEIEGSISNTPPSDSWAWRKYGQKPIKGSPYPRGYYRCSSNKGCPARKQVERSRIDPTILVVTYSSEHNHASPVFKNHHHHNHSRNNNNNRSSSTAAKPIIKPELEQVLAEPVEPELIAEPVEPELIAEPVEPEDKFSDEFGWFGEMEAIATTSSTVLESPIFGGYDDDVASVFVPMREEDELLFADLGELPEFSPVFKRGSLETADEWRGWCGTTS >OIW18485 pep chromosome:LupAngTanjil_v1.0:LG01:20597563:20600227:1 gene:TanjilG_13237 transcript:OIW18485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTPARKRLMRDFKRLQQDPPAGISGAPQDNNIMLWNAVIFGPDDTPWDGGTFKLTLQFSEDYPNKPPTVRFVSRMFHPNIYADGSICLDILQNQWSPIYDVAAILTSIQSLLCDPNPNSPANSEAARMFSENKRDYNRRVREIVEQSWTAD >OIW19396 pep chromosome:LupAngTanjil_v1.0:LG01:3135657:3140889:1 gene:TanjilG_09416 transcript:OIW19396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKLYSSSSIWRYIQPRYYIKRPKRLALLFLFCISLTWLFYDRQSLNRDHQEDILRLKEEVTRLQNTWHYWLSLSFLELQLQEIKTNMKGSAETKSVQNDEKFSTFTESISIEDDPISIQRREKVKDAMLHAWTSYEKYAWGMDELKPETKIGVDSFGGLGATLVDSLDTLHIMGLDAQFKRAREWIAKSLDFNKNIEVSVFETTIRVLGGLLSAYDLSDDELFLEKARDLADKLLPAWNTPSGIPFNRINLAYGNANNPSWQAGNSILADSGSEQLEFIALSQRTKDLKYQQKVEKVIKEFQRNFPEDGLIPIYINPLTGTLPSGTITFGAMGDSFYEYLLKAWIQGNKTEAVKFYREMWETSMKGLESLIKKSTPSSFTYIAEKLGNELYAKMDELACFVPGMVALGSSGYGPGEAGKFMSLAEELAWTCYNFYQSTPTKLAGENYFFRDEEDMTVGTSWNIQRPETIESLFYLWRLTGNRTYQEWGWDIFQAFENNSRIEAGYVGLRDVTTGEKDNMMQSFFLAETLKYLYLMFSPPSVISLDEWVFNTEAHPLKILSRNDHHEELRVDQEEKFPRHLHGRKEDPGLQLGAA >OIW17832 pep chromosome:LupAngTanjil_v1.0:LG01:33846504:33847169:-1 gene:TanjilG_02460 transcript:OIW17832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHASTTFTSHYDHELLGVSHYRRLLLQNLNLSANSASQPNTINNHNSTNLYLGSHNFDSNVVMVLSVLLCALICSLGLNSIIKCALRCSNLAIHIDSSSTSNPPSGLANTGIKKKALKTFPIVTYSAGLNLPNLDTECVICLSDFTNNDKVRLLPKCNHGFHVPCIDEWLSSHASCPKCRQCLIETCHKIVTSQATVVPETIIRIEPLQLEDFVRNYRETN >OIW18956 pep chromosome:LupAngTanjil_v1.0:LG01:18505200:18508138:-1 gene:TanjilG_09150 transcript:OIW18956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKEEEEEFTKMEIDLSLKIDPDEHNEEEEEEAKVDERVEENDEVQEIARKENNEYVPEAIGGEIEDDASVLSILQMEMENMKEENKVLRKVVEQTMKDYYDLQMKFSIIQENNKRKDHQISLSLEDIITTSNEGSSRIFEIFNNTKIHRALPSPPNTNNESLSESELGLSLRLHTSTSQKEKEEEEYDKEQLASSSSVQNKFQQTHNLSSVSTHVAASPPNRKARVSVRARCETATMNDGCQWRKYGQKIAKGNPCPRAYYRCTVVPGCPVRKQVQRCIDDMSILITTYEGTHNHPLPVGATAMASTASTSSFVLLDSSNPISDIGTSSFTQPSSFPYNNSFHSLNPPSNFRTINHNNDPSKGFVLDLTNNLNDPLHFPIGIRSSNATNEPCFSWMENKYQSDGSSAIMDNFHNPSTSYRPVVDQDHEHNVSAIASDPKFRNAVAAAITSLMNKESHGGTSFGTRSGQNGISSSNNNWVLDSLSKNGKST >OIW18962 pep chromosome:LupAngTanjil_v1.0:LG01:18597656:18602699:1 gene:TanjilG_09156 transcript:OIW18962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMIMEMGICMWVFVLMTISVVLMVLRYVLKNANWWLYESHLGMKQYSLPPGDLGWPFIGNMWSFLKAFKTNDPESFISSYVSRYGKTGIYKAYMFGSPSVIVTTPEGSRKVLTDDDNFALGWPRSTIELIGKKSFIGISTEEHKRLRRLTSASINGLEPLSLYLTFIESIVKSSLEKWATMGKIEFLTQVRKLTFKIIVHIFLGAESEGVMESLEREYTALNLGVRAMRINVPGFAFHKAFKARKNLVAIFQSVVTERRKQRKEKLSIKAKDMLDALVDVEDENGRKLTDEEIIDVMIMYLNAGHESSGHLMMWTTLILQKHPEYFQKAKAEQEEILKRRPPTQNGLTLQEIRQMQFLSKVIDETMRFVTFSPMTFREAKSDVNINGYIIPKGWKVLVWYRAVHQDPEVYPNPKIFDPSRFDIARKAGEFLPFGAGTRLCPGNDLAKLEITVFTHHFLLNYKLEQLNPKCPMMYLPHPRPTDKCLARVHKCSNAST >OIW19551 pep chromosome:LupAngTanjil_v1.0:LG01:1718791:1720436:-1 gene:TanjilG_07006 transcript:OIW19551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRPGPRPYECVRRAWHSERHQPLRGSIIQQIFRVVNDAHSPATKKNKEWQEKLPVVVLKAEEIMYSKANSEGEYLNVDTLWERLNDAINTIIRRDETTETGDLLPPCVEAALNLGCKPVRTSRSDRHNNPRTYLAPRSQQPPPPPPSGPPKPVGGNPINYTTKVTTSAVSGIPVSDSDQHVHQNSRMVGSCNYPFSDSFSSGPHRQPSRIETKSSINMSSVYPLYYGNEAKESQLRTTDIDTTCSDTIFVGRPVMTPVPGASGIGRIDNFPYGRFQHVPNRIAKEAAFGTHQEPPDKECDLSLRLGQSLHPDMSRKGSPAYEMEDVGLGTSWGARKFSHLSMQKNKEFCFNPRETGYGAVDSTNYTKYNAEDEDQNLEATLRKRKAPVGNSEEDGQFCRYLGVPSIPFTDRTQRPGS >OIW18300 pep chromosome:LupAngTanjil_v1.0:LG01:24609199:24613176:1 gene:TanjilG_31440 transcript:OIW18300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHSESDSALVSNGSSVTDPQFDSQLAPSSLHSHHDRDPEPSDLSHVTQVEEDALGAELETKLDLKGEGEVGAETVDKVSNFGDGEHVCDEGEGGRGDDDGVVEVEESVKVNVNDGFGGNIRGWDCNSWDEDVNEGVNVNEGFNEYEVGGDDYVVNDGDWAQVEEKIRGRTQHYPLRPDAEDCAYYMKTGSCKFGFNCKFNHPIRTRNQSFKDKAAEREDSTERSGQTECKYYLRSGGCKFGKACKYNHTRGKYSAAPVSELNFLGLPIRLGERECPYYMRTGSCKYGATCKFNHPDPTTIGGPDSPSGYGNEGSISLQGVSKPSVSSWSPPRTLNETPFVPMLSPSQGVSPRSSDWNGYQAPMYLSDWSMHPPSAYVMSNPAIETNVYMQHQKQMPVDDFPERPGEPECSYFLKTGDCKFKSNCKFHHPKNRTARLPPCILSDKGLPLRPDQNICSHYSRYGICKFGPACRFDHPIDLQSTVIPAFDEQSYTNSPNVEVAGMGGSADASDVTIQQYV >OIW17828 pep chromosome:LupAngTanjil_v1.0:LG01:33914495:33915430:-1 gene:TanjilG_02456 transcript:OIW17828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYASTSFTSHFVHELLGHSHSRKLLLQNPLNQTNAPEASINSYNSTNLYLEGHNFDANVVMILSILLCAVICSLGLNSIIRCALRCSNLVINIDSSSSITSNPSPKLANIGIKKKTLKTFPIVTYSAEMNLPSLDTECVICLSEFDNDDKVRLLPKCNHAFHVTCIDKWLSSHSSCPKCRQCLIETSHKIVGSQATVVPLPVPETVIRIEPLEPEVGAELYESWLELDLLAIMRTPTPTGQPKKVECQL >OIW17747 pep chromosome:LupAngTanjil_v1.0:LG01:34699039:34701673:1 gene:TanjilG_00341 transcript:OIW17747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMFLQLGQMQTPTLVVSSGDVAMEIMKNHDLNFSNRPQFTAPKILLYGCNDVAFGLCGENWRNKRKILVLQLLSSKRVQSFSHIREEEVEEWIKKLREVSLSDACSVNISDMFMSIANNIVCKCALGRKFSASDDDGNNKVKELARKVTIQLAAFTVRDYFSWLGWVDVLTGKIEEYKDTFRQMDTLLDEVIEEHKKVEKEGNNYSRMKDFVDILLQLQANGKLDFELTNNDLKPLLMDMFVGGTDTTATVLEWTFVELMKNPIIMKKSQEEVRRVIGKKSKVEENDIDQMPYLKCVIKESLRLHPSAPLILPRETISSLKLNGYDIPAKTMVYINAWAIHNDPKLWKSPKEFIPERFENNDVDFKGQHSQFIPFGFGRRGCPGMIFGNTSVEYVLASLLYWFDWKLPETYKSIDDIDMGEIFGLITSKKEPLHLKPIAFSL >OIW18336 pep chromosome:LupAngTanjil_v1.0:LG01:23962357:23964738:1 gene:TanjilG_31476 transcript:OIW18336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKSEGADSKTNQDEYKLKDTKPELGERWPHGGQRGGSGWISSERATSTYDLVEQMFYLYVRVVKAKDLPPNPVTANVDPYVEVKVGNYKGKTRHFEKKINPEWKQVFAFSKEKIQSSVVEVHVKDKEMVARDDYIGKVTFDMHEVPTRVPPDSPLAPQWYRLEDRKGNTKVRGEIMLAVWMGTQADEAFPEAWHSDSASVHGEGVYTIRSKVYVNPKLWYLRVNVIEAQDVESQDKSQPPQVFVKARVGQQVLKTKLCPTKTTNPLWNEDLVFVVAEPFEEQLMLTVENKSTPSKDEVVGRSSLSLHQFEKRLDHRPVHSRWFNLERFGFGVLEGDKRNELKFSSRIHLRVCLEGAYHVLDESTMYISDTRPTARQLWKQPIGILEVGILSAQGLQPMKTNEGKGSTDAYCVAKYGQKWVRTRTITESLNPKWNEQYTWEVYDPCTVISLGVFDNCHLGSGSGAKVDSRIGKVRIRLSTLEMDRIYTNSYPLLVLRPAGLKKTGELQLAIRFTCLSLAHIIYLYGHPLLPKMHYLHPFTVNQLDSLRFQAMNIVAVRLGRAEPPLRKEVVEYMLDVDSHIWSMRRSKANFFRIVSLFSGVISMSKWLGEVQQWKNPVTTILVHVLFFILICYPELILPTIFLYMFLIGIWNFRSRPRHPPHMDTKLSWAEAAHPDELDEEFDTFPTSKSQDVIRMRYDRLRSVAGRIQTVVGDIATQCERFHALLSWRDPRATSLFVIFCLIAAVALYITPFKMVASVAGIFWLRHPKFRSKLPTVPSNFFRRLPSRADSML >OIW18965 pep chromosome:LupAngTanjil_v1.0:LG01:18655685:18660283:1 gene:TanjilG_09159 transcript:OIW18965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSEGDEALSPAAKLFHAPSFNCYVMAIMGCSTSINPQVIKDGLCQTLLKHPRFTSKLVKKGRKSRWITTTVNIENHVIVTEIDSKIDLPDRFVEDYISNITKTPLDLSKPLWELHLLNIKTSDAEAVAVFRIHHSMGDGASLMSLLLASTRKISDPHALPTVPSKKRVKLDNHSLIPDHAIISRFWSLLLAIWWGLVLIWHTLVDLVLFVLSIFFIKDTWTPLKGAPGVELNTKRFVHRIVSMEDIKLVKDEMKMTVNDVLLGVTQAGLSRYLNRERSVDANAGAEKQRFSVPKNIRIRASVLVNIRPVAGIQDLADMMAEKSKTKWGNGIGYIVLPLSIALQEDPLQYVHQAKATVDRKKHSLEAICTHVCAKLILNLFGVKLAAAITRRVLYNITVAFSNMLGPVEEIGFYGHPVAYIAPSVYGHPQALTFHYQSYANKMTISISVDPSVIADPYILCDDLEESLKLIVDAVQKKLIMNAVV >OIW18728 pep chromosome:LupAngTanjil_v1.0:LG01:22542034:22543999:-1 gene:TanjilG_13480 transcript:OIW18728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRSSSSSRYYFGLTNMFLLVLVVRSQLTSDFYKSTCPNLTQIVRKEVKKALINEMRMGASLLRLHFHDCFVNGCDGSILLDGGDDGEKLALPNVNSVRGFDVVDTIKSSVENSCSGVVSCADILAIAARDSVSLSGGPSWTVLLGRRDGSISNGTLANIVLPSPFDPLDTIISKFTNAGLNLTDVVSLSGAHTIGRSRCALFSNRLFNFSGTGSPDITIETSMLSDLQNLCPQNGDGNVTAVLDRNSSDLFDNHYFKNLLIGKGLLSSDQILFSSDLANSTSKPLVQSYSNDSGLFLLDFANSMIKMGNINPKTGSNGEIRSNCRVINS >OIW18301 pep chromosome:LupAngTanjil_v1.0:LG01:24593562:24605565:1 gene:TanjilG_31441 transcript:OIW18301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPEILPWLKSMPVAPEYRPTLAEFQDPIAYIFKIEKEASRYGICKIIPPVPPCSKKTAISNLNRSQPSFTTRQQQIGFCPRRAQPVRRPVWKSGTYYTFKEFEAKAKAFEKTYLKKHCSKKSNNSAAVAPSALEVETLYWKATLDKPFSVEYANDMPGSAFGPVSNGGESVGDSAWNMRVVSRANGSLLRFMKEEIPGVTSPMVYVAMLFSWFAWHVEDHDLHSLNYLHFGAPKTWYGVPRDAAFAFEEVVRVHGYGGEINPLVTFAILGEKTTVMPPEVFIGAGVPCCRLVQNAGEFVVTFPGAYHTGFSHGFNCGEASNIATPEWLRVAKDAAIRRASINYPPMVSHFQLLYDLGLALCSRIPEGTNTKPRSSRLKDKRKGEGETVIKELFVKDVLQNNDLLHVLSKGSAIVLLPHRSYDFSVCSTLRIGSQQLKVNPESMNVSSKGVNSSKGLISDDLAFVTNDGIKQVKGFYSVKEKFATQCERNRTSSLSKLGNTCTSRSKTVQKDTEQETSEGDGLSDQRLFSCVTCGILSFACVAIVQPREPAARYLMSADYGFFTDSVAGSGGTSHKFTIAHEEWTEQNARNDLYDAPVESVKQQTQIADQNYESLNTEQTKGSTALSLLASAYGDSSDSEEDQGNSDIAVEGDELNMINPPSASRSQEISCLPSHSQDGHASPGVRLDKEDYIPSKSSDSHEDYMRTRVEHVMSPSNWSVKSEDHDITSGVVFKNMMAVPHSMLSCPQDVETSLLGKAVIPIDKHSSLVPLSDEDSSRMHVFCLEHAVEAEQQLRPIGGAHILLLCHPDYTKIEAEAKLVAEELSIDYTWKNNAYRHANKDDEERIQSALDSEEATSGNGDWAVKLGINLFYSANLSRSPLYSKQMPYNSVIYFAFGCSSPESSPAEPKVYRRRGNRQKKVVAGKWCGKVWMSNQVHPLLVKGEAEDIEDEKSLHGWPLHDVKIERSEGIHKSNTTIRNSSRKRKMAVESGGSRKGSFGVRDCLSDDSIEDKSNQHQRRILRSKRTRHIEKDDAASEGDYSPLKHHRKPISKHTKCVESDTISDDSLDDNSHIQHRKNANANEAEFIDNDVVSDDAVSEDSDCSPRGELSSEQDAISDDSLAVGSLKLHRKTPKSKYGKYIAEEDEISDDDQVEVCFQKEQRRIPKSKQHKCLSEKDTMISDDQLAHRVRKQQQKNPKSKIDKYHAGEDIISDDQLEVPSRKYQRRIPKNKQAKCIAGKIVMSGGQLENHFQKHQRSVPRSWQIKCCDREIMDDKIENNSRRLCKTPKRRQPECMDEENINSDDQKDDSLQRRRTARGRQSKPQTLQQMKQVKCKDEDSSNSDDQMEDDSQQQRRTVRSKNSKSQTLQQMKQAKWKGEDNISSGDQMEGDSQKHRRTVRGKKSKSQTLQQMKQAKWKDEENTNSDDEMEDDSHQHRKTVQSKQSKSQTLQQMKQANSAHGRRQTSRPVKRGAQMLMKSKPPRQMKQQPCVQNNQSEEEEEEDVEGEEEQVGGPSTRLRKRVPKLEESEGKSKEKETKRKRVKIATTAKVSARQAKMKDEEAEYQCDIEGCTMGFGSKQELMHHKRNICPVKGCGKKFFSHKYLVQHRRVHEDDRPLKCPWKGCKMTFKWAWARTEHIRVHTGARPYVCAEPGCGQTFRFVSDFSRHKRKTGHSTRKSC >OIW18673 pep chromosome:LupAngTanjil_v1.0:LG01:22080859:22083836:1 gene:TanjilG_13425 transcript:OIW18673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVGTTEVKSMEVSLCEEVDSALAPVACARKGLVATGLKKDQIFVGDINTLYKSGNTTVDVKVDTYSNVSTKVTVNDIFHSTKAALSFNIPDHKSGKLDVQYVHPHAAIDSSIGLNPSPQLQLSAAIGSKDVSLGAEVGFNTASASFTKYNAGIAFNKPDFSAALLLADRGEALKVSYIHYVDRPDGFTVAAEITHRFSSFDNRFTIGSSNSIDPHTVLKTRFSDDGKAAFQVQRVWRPNSLITLSGEYDSTKIFTSSTKLGLSLALKP >OIW18338 pep chromosome:LupAngTanjil_v1.0:LG01:23932742:23935663:-1 gene:TanjilG_31478 transcript:OIW18338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSIWLCSFFLLLAILNTSSIATLSNNASDSYWLLRIKSELVDSLGALSNWSPTIHICNWNGLTCSVDQKHIIGLNLSGSGISGHISVEFSHLIYLQTLDLSSNSLTGSIPSELCHLQNLRILQLHSNYLSGIIPAEIGNLMNLQILRIGDNMLKGEIPPSVANLSQLIVLGLGYCHLNGSIPIDIGKIKNLISLDLQVNSLSGPIPEDIQGCKELQNFAASNNMLEGDMPSTIGSLKSLKILNLANNSLSGSIPIALSRLSNLTYLNLLGNKLNGEIPFELNSLARLQKLDLSKNNLSGSVPLLNVELQSLETLVLSDNSLTGSISSNFCLRGSKLQQLFLARNKLSGNFPSELLSCSSIQQLDLSDNSFEGELPTGIDQLQHLTDLVLNNNSFIGTLPPQIGNISSLESLFLFGNFFTGKIPVEFGKLHRLNTIYLYDNQMSGLIPIELTNCTSLREIDFFGNQLTGPIPETIGKLKNLVVLHLRQNDLHGTIPPSMGYCKSLQILALADNRLSGSIPHTFSYLSQLTKITLYNNSFEGPLPHSLTALKNLKIINFSHNKFSGSFFPLTGSNSLTLLDLTNNSFSGPIPSSLANSVNLSRLRLAYNYLTGSIPYEFGNLVELNFLDLSFNNLTGNVPTLLSNSPKIEHMLLSNNRMNGQIPSWLGSLQELGELDLSYNNFHGRVPAELGNCSKLLKLSLHHNNLSGEIPQEIGNLTSLNVFNLQKNRISGLIPSTIQHCTKLYELRLSENFLAGIIPAELGVLAELQVILDLSKNLLSGEIPSSLGNLMKLERLNLSFNKLEGKLPPSLAKLTSMHMLNLSNNHLEGQIPSTFSGFPRSSFMNNNNLCGPPLLVPCLGSTTQRKMQLSNKQVAAIIVAIVFTSTVICLVMLYIMLRIWCKWRKVSISSADGSANSHKIEEGKWVCSDHKTRNGEYWNMNSLRMIPSPDKQNSEPTTCFFNLKMEGMENTNI >OIW19552 pep chromosome:LupAngTanjil_v1.0:LG01:1712022:1715740:1 gene:TanjilG_07007 transcript:OIW19552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLLHVWFSVILKVQAFTGTYGVNYGRLANNIPSPDEVVTLLKAAKVRNVRIYDADHSVLKAFRGTGLEIVVGLTNGQLQDMSANADHALNWVKDNVQSFLPATRIVGIAVGNEVLGVEELSLWEALLGAVKNIYNATKKLHLDEMIEISTANSFAVFANSYPPSSCKFKDDVSQYMKPLLEFFSQIGSPFCLNAYPFLAYISDPENIELNYALFKPTKGIYDPKFRLRYDNMFDAQVDAAYAALENAGFDKMEVIVTETGWASNGDQNQVGANVSNARTYNYNLRKRLAKKKGTPHRPKNVVKAYIFAIFNENTKPGATSERNFGLFKADGSISYDIGFNGLNAGDSSHLSLKNIKNRGLSRSYAMSTKAEEYIVGGDIGWTSFPPGGASFYSKWASNFTFKLNDILVFNFESGKHSVAITTKSKYKKCDMSKTTPFLATGPARVTLDHKGKFYFACTFTSHCRSGQKLKVKVVTHSSPISSPSSPKAPPEEVYCPPLPSGLVPIPPSNEGTPSSSSSSSTVPGVIAPPPPPQTGSAMTLPVTFSLLLINIAFHVLLQF >OIW19022 pep chromosome:LupAngTanjil_v1.0:LG01:17259151:17269667:1 gene:TanjilG_10583 transcript:OIW19022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSNSAGSSLDSLISSFNSRITHLQELVIARNMYPASSMADLSAVDAAVSAMELQVQAIKERLREETEAIPKTKKLINASLRQQKRLQNMSLHVPSQMVDRMTISTSETSRRLFPESSGQDLGLESLKLGEEPAALPKEKKGRGSPPMWYVTGSELDSLSSYMRGRLTLEKVNAAINDMASYAEANAQLVAAPKKKLAENLWEKALEIRDIATMEGIKGKFFFLETDIKGPALKLDNTGKGILTVLRHLGRITETRIGHHRVIILQKPH >OIW18050 pep chromosome:LupAngTanjil_v1.0:LG01:30291423:30291572:1 gene:TanjilG_07541 transcript:OIW18050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMMEITNVNEYEAIAKEKMPKMVYDYYASGAEDQWSLKENRNAFSRIL >OIW19770 pep chromosome:LupAngTanjil_v1.0:LG01:55959:59345:-1 gene:TanjilG_27309 transcript:OIW19770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLSSSLKITLFILLLLALLLVCFTLPIQQILHDFLTWVEQDLGPWGPLALAVAYIPLTILAVPASVLTIGGGYLFGLPMGIIADSIGSTAGAGAAFLLGRTIGKSFVVSRLKDYPKFRLVEIAIQRSGFKIVFLIRLAPLIPYNILNYLLSVTPVSLGDYMLASWLGMMPLTVALVYVGTTLKDLSDVTHGLEQFSTSRWVFIILGIVISVILMICVTKVAKSSLDEALAECDEDIDDTTSLTDLSIEHSIEDPNHSLLYSDR >OIW19408 pep chromosome:LupAngTanjil_v1.0:LG01:3020727:3025173:-1 gene:TanjilG_09428 transcript:OIW19408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGELKIMYGSRHRPHMKRPMWIIVLVLFVCVFLICAYIYPPQSSSACYIFSSRGCKGFTDWLPPITVREYTDDEIVARVVIRDILKSTPIVSKNPKVAFMFLSPGSLPFERLWDKFFQGHEGKFSVYVHASKTKPVHLSRYFLNRDIRSNEVVWGKTSMVDAERRLLTNALQDLDNQQFVLLSESCVPLYNFDYIYNYLINTNISFVDCFKDPGPHGNGRYSERMLPEIEVKDFRKGAQWFSMKRQHAVIVMADHLYYSKFQAYCQPGVDGKNCIADEHYLPTFFHMVDPGGIANWSVTHVDWSERKWHPKSYGSQDVTYELIKNITSIDTSVHATSDDKREVQRWPCLWNGFQKPCYLFARKFTPETVDQLLHLFSNYSTP >OIW18107 pep chromosome:LupAngTanjil_v1.0:LG01:28008588:28011314:-1 gene:TanjilG_19373 transcript:OIW18107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTTTSGTPTIQYHNIPDQPITAIVVAAPLPTFQRQQRHCFGNSIPGEFPLSASPSIVLHVLTACNLDPQDLAKLEASTILATCSFFRQPANFAPDFDLSLSELAALDMCQKRAIFKPISTEQRQHLKQRCGGSWKLVLRFLLAGEACCRREKTQAIAGPGHSIAVTSKGVVYSFGSNSSGQLGNGTTEEYWQPRPIRALQGIRIIQATAGAGRTMLISDSGQVYAFGKDSFGETDFGIQGSKMATTPKLVESLKNIFVVQATIGNFFTAVLSREGRVYTFSWGSDSKLCHQTDTDDVEPHPLMGALEHIPVVQIAAGYCYLLCLACQPSGMSVYSVGCGLGGKLGHGSRTDEKYPRLIEQFQVLNLQPRVVAAGAWHAAVVGQDGRVCTWGWGRYGCLGHGNEECESVPKVVEALSDVKAVHVATGDYTTFVVSDNGDVYSFGCGESASLGHNPGDDEEDENMHANVLIPELVTLLKQVNERIVQISLTNSIYWNAHTFALTESGKLYAFGAGDKGQLGVELVGNQTERGKPERVNIDLG >OIW17814 pep chromosome:LupAngTanjil_v1.0:LG01:34055913:34059886:1 gene:TanjilG_02442 transcript:OIW17814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNQESTYESHDDFFQQPYSYSGSSGNSVYDEQPSYAESSVNTTGYQQKQLPTYIADNFSSLDQVVSSLREAGLESSNLILGIDFTKSNEWTGKHSFNHKSLHYIGSTPNPYEQAISIIGRTLSSFDEDNLIPCFGFGDASTHDKNVFSFYPDDRYCHGFEEALARYRDIVPHLKLSGPTSFAPVIDAAIDIVERSNGQYHVLVIVADGQVTRNSDTPHGKLSRQEQATVNSIVAASHFPLSIILVGVGDGPWDEMQHFDDSITQRLFDNFQFVNFTKIMSENKEASKKETAFALAALMEIPIQYRAAQNLPIANEESSRYQRKRPLPPPKEVTDHDNAVLQVPSMTKFQSFEPTAPPETESVCPVCLTNPKDMAFGCGHTILN >OIW18333 pep chromosome:LupAngTanjil_v1.0:LG01:24068603:24075146:1 gene:TanjilG_31473 transcript:OIW18333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPAPFDDEFDFGGGFGGAHSGHKRSSPDFEDEDYDNDPFGHKKAKSKAEEAASGVTTGMILSLRESLQNCKDSVATCQNELVAAKSEIQIWRSAFQNEPYIPDGATPAPKLVNSYLQSLKSCEESLREQLEKAKKKEAAFIVTFAKREQEIAELKSAVRDLKAQLKPASMQARRLLLDPAVHEEFTRLKNLVEEKDKKVKELQDNIAAVNFTPQSKMGKMLMAKCKILQEENEEIGNQASEGKMQELASKLSLQKHQNAELKSQFEGLQKHMDGLTNDVERSNETVLMLQGKMEEKDQEIQKLKDALRQKRCMKEGKTDVASHKKDSDEMVPREEAE >OIW17866 pep chromosome:LupAngTanjil_v1.0:LG01:33418300:33432052:-1 gene:TanjilG_14112 transcript:OIW17866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPSLSLPDLTFLSPLTHRVSLSKPPSRNFISRNTTRFCSVTLRTRTRAVKEEGAVVEERVNDVKWSGNGFAGGGGNGSVKKSKWVVKESELVKYVNGNGVAAAKGVVVEDNAEDGRKKRVEEIGKEDAWFKKSGESQVQVAAAPGGRWSRFKTYSTIQRTLEIWGFVVTFIFKAWLNNQKFSYRGGITEEKKTLRRKILAKWLKESILRLGPTFIKIGQQFSTRVDILPQEYVDQLSELQDQVPPFPSETAVAIIEEELGSPIDGIFDQFDYEPIAAASLGQVHRAKLKGQDVVIKVQRPGLKGLFDIDLKNLRVIAEYLQKVDPKSDGAKRDWVAIYDECASVLYQEIDYTKEATNAELFASNFKNMSYVKVPAIVWDYTTPQILTMEYVPGIKINKIQALDQLGVDRTRLGRYAVESYLEQILSHGFFHADPHPGNIAVDDVNGGRLIFYDFGMMGSISQNVREGLLETFYGVYEKDADKVLQAMIQMGVLVPTGDMTAVRRTAQFFLNSFEERLVAQRKERELAKAELGFKKPLSKEEKIMKKKQRLAAIGEDLLSIAADQPFRFPATFTFVVRSFSVLDGIGKGLDPRFDITEIAKPYALELLKFREAGVEDVRKRWDRQSQAFYNLFRQADRIEKLAEVIQRLEQGDLKLRVRALESERAFQRVATVQKTIMNAVAAGSLINLATILYLNSIRVPATIAYFFCAISGLQVLIGIVKTKKLDERERLITGTA >OIW18732 pep chromosome:LupAngTanjil_v1.0:LG01:22574838:22585476:1 gene:TanjilG_13484 transcript:OIW18732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSSKFGPSSNSPDRPLYTGQRGSHIAASLDRSGSFRESMEYPILSSLPNTSRSCYSATHGDVSSFFKYVHFDQKLAVPEHHKSNRQMDYKQHVCAALGISPDESPTSSSKGKLLPSLVPEDIKRLKDSLHASQVKARERVKMFNETLSVFHKVFPSITSKKRSRGEGFSNDRSSVMLSDRPVLGPSIGKAGVQGHLVTGISSAVDFCLSHFFCKVVCLVTWRSWVKILETNSSLEKMDVRTDSLARPSGTADRDKEIIRIVNSGTVQGEDRTLPIGGDGWEKSKMKKKRSCIKLDGSSSTTLTKPVNTFQDIKQGTQQRLVTDARSKLNNDSHSLRVGVSNGTVGAGKSDGITQQTGLGIRASTPRNDQDSNSLGNDRRGRPASIEKERVNFRAVNKATIRDEFSSASPTSVTKAYASIRAPRSGSGVAPKLSPVIHRAAVPNDWELSNCTTKLPAGVGNNKRKRVSSARSSSPPVVHWQRPQKSSRTARRTNKIPIDSNNVEAPFLDADTDVAGNDIGLGFPKRLAGSYHQIKLKGDFSSSTALSESEESGSAEAKPREKGRKSEEIDQKAGKNVQKVSNLVLPTRKNKLVSGEEHGDGVRRQGRTGRGFSAARSLMPMTSEKLRNIGTAKQLRSARLGFENNESKAGRPPTRKLSERKAYARPKPAAINTATDFLVGSEDGHEELLAAVKGVINSACAFSSPFWKQMEPFFSLISEDDIAYWMQKVNLELSTLTPTPVPSYIASCETMVNAFGLIGCKRYADPDAKESAGTVPEKLPLSKGNHNVIPLCQRLIAALISEEDCSNGTEDFKFDAYNAEFEPEGELELSGFDHHSQANFHLACDSADSGYRVTGRPERDTVGLPSTGLNLSFGSSVNGFLPDKVLMSSLTCSELQFASLDINDKLFLELQSIGIAPEPLPEMLQVDDEGILEDIARLEEQYQGQISKKKGLLDGLLKSASVAKELREKDFEQHALEKLVVMAYEKYMACWGPSPSGLKNSSNKIAKQAALGFVKWTLDRCHQFEGTGKSCFKECLFKDMFLAASSQFSIVQQLDGMEAESSKPCATPLCLEARTASMGSQQSPSQFSQNMDNHDLNSSYMLPATNHSSEQTSGKDDLWSNRVKKRELSLDDVGGTLGTSSAPGNGSSLANSAKGKRSERDRDVISRNGTAKTGRTASSSAKGERKSKAKPKQKATQHSVSVNGLVGKLSEQPKPVLPSVSKSTNSNANEFGLGGLDEHEPIDLSNLQLPGMDVLGVPDDDQGQDLGSWLNIDDDGLQDNDFMGLEIPMDDLADLKMMV >OIW19481 pep chromosome:LupAngTanjil_v1.0:LG01:2283406:2284500:-1 gene:TanjilG_09501 transcript:OIW19481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACLSVPYAILPSLQQKDDDDLDDDNISTINRSIFSLLDNKRYEQKNMFKNLGGAWCVGSSYGWIVLLDKYAKPIILNPFSGMQIQFPSFPSVFIHPVSETYFIDILRKSFVVKAVLNHSPSLDDKNYILAIMYGCHCKIAFCCNSFKWVELSDAKYSYCDIVFNNNYLYALAQDGSIEVWDVCEQNPRKILHVKPTMEIDEQEEKEFPRDLFSNQLYMVLSGREILLVKRYIGNFVNGDGEIVCEGDLLSDEDTQPLICPYRTKHFSVYVLVNRTKWEKVTSLQDRVLFVGANESTSMWVQALPGREGNTIYFSDDRWEEMNMDYSYGGQDWGIFSLQDGSIKLHLPYTNVIKPPPIWVVPTP >OIW18286 pep chromosome:LupAngTanjil_v1.0:LG01:24747408:24751134:1 gene:TanjilG_31426 transcript:OIW18286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLSRFSRRALTTARAISKHHLQPQIACAERAFATEAAKSITPSPDRVKWDYRGQRKIIPLGQWLPKVAVDAYVAPNVVLAGQVTVWDGASVWPGAVLRGDLNKISVGFCSNVQERSVLHAAWSSPTGLPAETLVERYVTIGAYSLLRSCTIEPECIIGQHSILMEGSLVETQSILEAGSVVPPGRRIPTGELWAGNPAKFVRTLTHEEIVEIPQLAVAINHLSREYQSEFLPYSTVYLEVEKFKKSFGISI >OIW18820 pep chromosome:LupAngTanjil_v1.0:LG01:20075532:20084008:-1 gene:TanjilG_25263 transcript:OIW18820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YLVIHFDVHFSLPHVNANDFMFQRIIPLPEVTGVRRAKTAGLFPNAIEIIAGSRKHFFASFLSRDDAFKIINDGWLRRCNGSTIITEQQESISELSSQENGFIAIENVKSAEILDKESLFSELSKDTSICKDVGLPSHVGDDPELMTAPEKQSSMIQVAKPVLNNDAPSVSWNWKEDDIDAPTNFVCSSWHPQEKFGHLVIETVQDVTDVLYSDYFRVEGLWDIERDKDESKECCILRVYVNVAFLKKTIWKESSAIAVVTQNDNINFHREGKTEESSEASIEQINPTTIQTTSNVSDATHNVNSSLQGNFIDTTSIPSLFKEFMTKFRLSLKNQSNLSMLLVTIFTLIFFMQQFSILMLLARPQQIHVSTGADYMNKMGSGMTRNPSDIAWMEKRIHHLKDEMYMVETRLERMRYEHALLKNQLKDLDLK >OIW19047 pep chromosome:LupAngTanjil_v1.0:LG01:16220488:16227078:1 gene:TanjilG_10608 transcript:OIW19047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEHVAALHTATNALQALGRGFDVNYDTRLLYCKGISGSRVVEIDLEHPRDLFLYDDVVIPNVPRDIRNFQEPEGRHSSGVCTFQEMVEYFNHKANISGSFALGRFNSAFSFTGSKHVDAAATKTLSSDGFYIPLAKVELMKSQLILEENVKRAVPIYWDPPSLASFIEKFGTHVITSITIGGKDVIYVKQHHTSPLSKLEIKNYIEDIGNQRFADIDSHTSSGQTKSKDKGVEPFSFNNQGIHPQPTTATYPTGKEDVTVIFRRRGGNDLEQNHSKWVRTVKSSPDIIKMSFCPITDLLDERPGKEHLARAVGLYLEYKPPIEELRYFLEFQIPRVWAPIQDKIPGHQRKEPVCPSLQFSIMGHKLYISQEQITVGRRPVTGLRLCLEGSKQNRLSVHLQHLVSLPKILQPYWDSHVAIGAPKWQGPEEQDSRWFEPVKWKKFSHVSTAPIENPETFISDFSGVCIVTGAQLGVWDFGSRNILYMKLLYSRLPGCTVRRSLWDHTPNKAPKIITASNTSNPDESSTGSRENVGGNKFVKFVDLSEMSQGPQDPPGHWLVTGGKLGVEKGKIVLRVKYSLLNY >OIW18822 pep chromosome:LupAngTanjil_v1.0:LG01:20066509:20067697:-1 gene:TanjilG_25265 transcript:OIW18822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLFTPKPTTLSFFSSSLFLSHSSSSSSSTKFSSFHFSPKPLSISCKVATLPVLSFTGDKIGESFLDLKCAPPDTARAVVHRAVVTDLQNKRRGTASTLTRAEVRGGGKKPYSQKKTGRARRGSNRTPLRPGGGVIFGPKPRDWSIKINRKEKRLAISTAMASAAVNTIVVEDFVEKFEKPKTKEFIAALNRWGLDPKEKNMFLLTEVSDNVILSSRNIGTLKILTPRTLNLYDILNADKLILTQGAVDYLNDRYGISYADEDDNDDEEEEEEEDEGEIEVEGEEGPDTQDNADAVN >OIW18566 pep chromosome:LupAngTanjil_v1.0:LG01:21175952:21178220:-1 gene:TanjilG_13318 transcript:OIW18566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIEDASNNNNSTLVVLNVYDLNPINNYMYCFGVGIYHSGIQVHGREYGFGAHEFPASGVFEVEPRTCPGFIYRSSFTLGQTNMSPSEFRTFIEKMACEYHGDTYHLISKNCNHFADDLSLRLTAKQIPGWVNRLAKLGALCSCLLPESLQVTRVKRLPEYHEYSEDEFAESHSTATAEDSTENGELEKLILPLSGDVIFVKDARVK >OIW19596 pep chromosome:LupAngTanjil_v1.0:LG01:322159:322557:1 gene:TanjilG_18406 transcript:OIW19596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSTSTHFYAFFLVSAILSVHVALSSSSTVDFTGNLDHQLTAFFLPVANSGCRGSIAECSVLTGDDDDTEFLMESEGNRRILAGNRYISYGALRRNTVPCSRRGASYYNCRTGAQANPYRRGCSAITRCRS >OIW18469 pep chromosome:LupAngTanjil_v1.0:LG01:20489641:20492899:-1 gene:TanjilG_13221 transcript:OIW18469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERYGHQNEGSQSDPSPEWGYEESSLQGVESYPQRPDEADCIYFLKNGFCGYGSRCRFNHPLHRAGVVTGAARIGVGEHPERVGQPLCQYYMRMGSCKFGPSCKYHHPRQGAGIAAPVSLNYYGYPLRTGEKECSYYLKTGQCKFGTTCKFHHPQPAGIQIPAPSSVPPVSPLPMPIPSPLYPTVQPPSGPSSQQYGVLVARPPFLPGSLVQGPYGPMMLSPTMVPFSGWGPYQGPATSPLLPSSTPSNVGSTQFYGIAQLPSSATAYAGPYQASGSLIGPSASDQKEHSLPERPDQPECQYYMKTGECKFGPSCRYHHPADMSAPKANVILSPVGLPLRPGASVCTHYTQRGVCKFGPACKFDHPMGSLSYSPSASSLSDMPVAPYPVGSSRGTLASSSSSSELRPDLASGSSKEPGSYRMSSSMSTSTGSVGLTLSTGGPISQSGTQSSVQSSSPVSTTNASTSSTVTYTSS >OIW18666 pep chromosome:LupAngTanjil_v1.0:LG01:22046581:22047770:1 gene:TanjilG_13418 transcript:OIW18666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVFGGTNLYQDVDITWGDGRGKIVNNGQLLTLSLDRESGSGFQSKNQFLYAKIDTQIKLVRGNSAGTVTAYYLRSEGLSWDELDFEFLGNLSGDPYIVHTNVYTQGKGEREQQFYLWFDPTEDFHTYSIHWSPVHAVFYVDGKPIREFKNLEGVGVPYPKNQPMRLYSSLWNADDWATRGGLVKTDWRQAPFEASFMNFRANGCVWSNGVSSCNTNTSENAWLSQQLDSRSQKRLKWVQKKYMIYNYCTDTKRFPQGLPIECTVRTKS >OIW19557 pep chromosome:LupAngTanjil_v1.0:LG01:1672463:1678929:-1 gene:TanjilG_07012 transcript:OIW19557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKIKREISIMKIVRHPNVVRLHEVLASQSKIYIILEFVMGGELYDKIVQLGKVSENESRRYFQQLIDAVAHCHRKGVYHRDLKPENLLLDDYGNLKISDFGLSALTKKGVDLLHTTCGTPNYVAPEVLSNLGYDGAAADVWSCGVILYVLMAGYLPFQEADLPMLYKRISAAEFVCPFWFSTGAKTLIHKILDPNPKTRIKIEEIRKDPWFQRSYVPVNPREDEEVNLDDVQAVFNDIEDHFVVERSESTEGGPLIMNAFEMITLSQGLNLSPLFDRHQDFVKRQTRFVSRKPARVIISSIEVAAESMGLRIRSRNYKMRLEGVSASNVGQLAVVLEVYEVAPSLFMVDVRKAAGDTLDYHMFYKNLTAKVENIIWRPSETIPNSSLLRNLTL >OIW18224 pep chromosome:LupAngTanjil_v1.0:LG01:27651723:27651971:-1 gene:TanjilG_31344 transcript:OIW18224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGEDEEEAIGSVVHPTHHHPSEPKNHEAEPWPYDGVPHYSLMEPLEALLLPVQPHLKEHKLLRPSSESRVLSPLHPSSIWT >OIW19475 pep chromosome:LupAngTanjil_v1.0:LG01:2326543:2329699:-1 gene:TanjilG_09495 transcript:OIW19475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLFFFLDIVHSRVYGENNASLVNDKNALISFMSCIVSDPKNALESWKSHSVHVCDWSGVTCNNASDMIIELDLSGRLLRGIISPALANISSLQILDLSENHFEGHIPKELGYLVQLEQLSLSKNFLEGHIPSEFGSLQNLYYLDMGNNHLEGEIPPSLFCNVTSLSYIDLSNNSLEGQIPLNNECILKKLRYLLLWSNHLVGHIPLALSNSTELKWLDLESNMLNGELPSEIVHNWPRLQFLYLSYNDFVSHDNNTNLEPFFASLMNLSDFEELELAGNNIGGKLPHIIGDLPTSLLQLHLQENLIYGSIPPQIANLVNLTLLNLSSNLINGSIPPSLCKMGRLERIYLSNNSLCGEIPSALGGIKHLGLLDLSINKLSGTIPDSFANLPQLRKLLLYDNQLSGPIPPSLGKCVNLEILDLSHNKISGLIPAEVAALSSLKLYLNLSSNNLHGPLPLELSKMNMVLAIDLSTNNLSGTIPPQLESCVALEYLNLSDNSFEGPLPDSLGQLPYVRALDVSSNQLTGVLPESLQLSSTLIKLNFSFNKFSGKVSNKGTFSSLTIESFLGNNDLCGLVKGMQSCHRKHSYHLVLVFSVIPVLLFGTPLLCMFKHFIVVKSRARKPFEVVGIGDVDDEEETKDLKFPRISYRQLIEATGGFTSSSLIGSGRFGRVYKGVLVDNTRVAVKVLDTTTAGEISRSFRRECQILRRIRHRNLIRIIAICSKPKFKAIVLPLMPNGSLEKHLYPSLDHGLSQRLDVVQLVRICSDVAEGMSYLHHYSPVRVVHCDLKPSNILLDEDLTALVTDFGISRLVKGDETTFTSESASFSSTHGLLYGSVGYIAPEYGMGKHASTQGDVYSFGVLLLEIVSGKHPTDVLIHEGSSLHEWIKRQYLNQHKLENIVEQALQRLSPSGVSTHHNKIWHNVVLELIELGLLCTQHNASIRPTMLDVAQEMGRLKDYLTNPISQFIEVNPKINSLCSEDILVEK >OIW18287 pep chromosome:LupAngTanjil_v1.0:LG01:24724813:24729645:-1 gene:TanjilG_31427 transcript:OIW18287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSLGGSSPNSALPNSPKGKKKRSEYTARRPFPRTTGDRTVKSLRLSRALTIPESTTVHEACRRMAARRVDALLLTDSSALLCGILTDKDITRVIASEVDIEETPVSKVMTRNPVFVLSDTLAVEALQKMVEGRFRHLPVVENGEVVAILDIAKCLYDAIARMERAAEKGKAIAAAVEGIEKQWTTSASPSGSNSSFIEILREQIFKPSLSTIIPDNSKVVIVSPTDSVLTAAKKMVELPASSAIVTVDDNPCGILTSKDILMRVIAQNLPPSSTLVEKAMTPNPECAKIDTPIVDALHKMHDGKFLHLPVVDRDGVVVATLDVIHITHAAVATASQAGNTTSLNNEAANSMIQKFWDSAMSLTPNEDEDDGQSDTSTKMASEGGETGRCTSCISSGISSAFSFKIEDKKGRLHRFTCETQSLTEVITSIIQRVGDDINPKNLPQIMYEDEEHDKVVLASDSDLAAAVDHAKKANLKGLRLHLDYSGTRDYARDCSSGSLEHFDSEIWAAAYSAVAAGAAVVAGLGLLAFIKRRK >OIW19643 pep chromosome:LupAngTanjil_v1.0:LG01:813500:815771:-1 gene:TanjilG_18453 transcript:OIW19643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPSPPRSHGGGGGGDGSGEKRPRFFDTNAKALCWAKAETVPGRHPERWRKDSAGNVVCGESTADNCQILQSRVNRFKSDKVEVDSDKLKEFSCDVNFTDKELDIIEMAVYGDVIRPGNQCRCKTIAEKLGKYKPKDKADACKLP >OIW19041 pep chromosome:LupAngTanjil_v1.0:LG01:16427781:16431299:-1 gene:TanjilG_10602 transcript:OIW19041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKSARFSSFGLTTAIEFQEPTWSYEHFDIARPQLQGDDLTFPRVCQWDNIKCNQKQQVTSRFNFKELHGDQVIWTLEPTSAELQMEIVKEALKLQGDSTELQLAETCSTNPSTNVCGVDSESQFCISSNDELQRENNANFENQVVEDTPTSLSTYNEENRELEIDLKNLIVEDTPPNLSSYDEVQSEQELNLENLIVEDTPTNSSTADEVGRIVDHTLPKLSFCDDDLRKKNVMLEEEIVELKMKVGHVMEENRHLRRQIQINTELEDQNAELKKEVDLLREENRNLLQSIGSFGDRLERHILDFETNATEETCSPGMSPLNLPS >OIW18520 pep chromosome:LupAngTanjil_v1.0:LG01:20799164:20801684:1 gene:TanjilG_13272 transcript:OIW18520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHSRPLHITASVRDSTQRFHNTAPSKESIELWRNVDAVCFDVDSTVCIDEGIDELAHFCGAGNAVAQLTASAMGGSVPFEEALAARLSLFNPSLSQLQNFLHQHPPRLTPGIEGLVQKLKAKNINVYLISGGFRQMINVWTLTVFYGVSIGFILNIEPVASILGIPKENIFANHLLFGSSGEFVGFDKNEPTSRSGGKATAVQQIRKAHGYKSLAMIGDGATDLEARSPGGADLFICYGGVQLREAVAAKADWLIFSFEDLINSLG >OIW18624 pep chromosome:LupAngTanjil_v1.0:LG01:21708708:21709838:-1 gene:TanjilG_13376 transcript:OIW18624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSKSHQILFQSHELRIPLQSVTLSTVSDRTLSHLKHSLFNESPQFVSSFYFTLNGKPLPDETPLLTTQFAPLSTLILRSRLFGGGGDGGATGAESRDCYLKMYAEKKPDKVDPNEQRLSKWLNCALSNEPLREPCVIDKLGNVFNKEALVEALIGKKLPKEFGHIKGLKDMINVKLDLIPGVDDGSSGAKFQCPIAGLEFNGKYRFFALRNCGHVLSGKALKEVKSSCCLVCHKEFEELDKIVINGNDEEVVVLRERMSKTTKAKKKLKKMKNGNVDYDDDDNDNDNGVSLEGKRLSGTKHEVDDKVVAKVEGNGKIGLKGVSDVAVAVGAKRFKATDIAPANATKDVYASIFTSSRKSDFKETYSCRSLPLGRN >OIW17703 pep chromosome:LupAngTanjil_v1.0:LG01:35080530:35083439:-1 gene:TanjilG_29053 transcript:OIW17703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSTELVIILFSNVQASSNLTNILQQEDIKNYIDNSLKHGVHLSTFRKERVGGDSQGISYWYEDDPIIGHRLYREIKKTEIVQVKKGKARGSQVLSNTSYQWEAVATNFDEFQDVSEKLFSSKNRTEASVGKKLKINMLPEIEKDHKRKEKMLKKQHRQALLLDNYMIVDGLAPGRALRDRKPVTYTFDDYDQSINEAIKVTKQKQSSPEPRPRRESVAKREALTNGKLKGPSHAPQHQNFGTSSPKLTDSDYDEEVEEHQTDTLDRSNRRRKRPTRYSEKEFVEAVLDEADFDSDDDIVGEAVYDEEYLEKRKQRMKHSSGSEGDEEYQWDEDNIEEEEEDYDDEDEDSSSISEDSDEPRKSKQLRGRARRETRRRSADEMQSGLRRSNRSTRNRINYQQYEASESETEFIKPDKSNASADQSDASENGECMMESEDSDDNDGEDQEMKVEDEPATTYPAVEDIEQDQNLEKSSSPGQEEVEGVGKRHFLDLNELAPTSGFDDGPNTIMKDEDADY >OIW19533 pep chromosome:LupAngTanjil_v1.0:LG01:1873640:1889813:-1 gene:TanjilG_06988 transcript:OIW19533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTNHFTNEDSNRQPLLYSQRSTVNSSSQVAIVGANVSPIESLDYEFLLAFLVFFASNLSLTLFSSIITALIAPTATGSGIPEVKAYLNGVDAPGIFTLRTLFVKIIGSITAVSSSLLIGKAGPMVHTGACVASLLGQGGSKRYGLTWKWLRFFKNDRDRRDLIICGSAAGIAAAFRSPVGGVLFALEEMASWWRSALLWRAFFTAATVAIMLRAMIDVCLSGKCGLFGKGGLIMFDAYSASISYHIGDVPPVFVLAVIGGILGSLYNFLLSKVLRIYNFINEKGTIYKIVLACLISIITSFLLFGLPWFTSCRPCPADATEPCPSIGRSGNYKKFQCPPDHYNDLASLIFNTNDDAIRNLLSKNTNDEFEYSSMLIFFITCFFLSILSYGIVVPAGLFVPIIVTGASYGRFVGMLVGERSNLNHGLYAVLGAASFLGGSMRSTVSLCVIILELTNNLLLLPLIMMVLLVSKTVADAFNANIYDLIMKAKGFPYLETHAEPYMRQLTVVDVVTGPLRIFNGFEKVRNIVFVLKTTGHNGFPVTDEPPVSEAPVLFGIILRDHLLTLLKKKAFQCTPTEISNDALKKFSAEDFAKKGSREGEKIEDIQLTDEEMDMFIDLHPFTNASPYTVVETMSLGKALTLFREVGLRHLLVVPKISGRSPVVGILTRHDFMSEHILVLLSKATKLELQHYLDAILDVGPGLEGAKSSLLLRERGRFSPTRYFVEEVIGFDETDLYRSWVRASSTRSPQERNTRLENMCWRIWNLARQKKQLENETTQRINKRRLERERGRREATADMSEDLSEGEKEVSTHGAESNRGSRLQRISSVDAMETWANTQKGKKLYIVLISIHGLIRGENMELGRDSDTGGQVKYVVELARALGSMPGVYRVDLLTRQVLAPDVDSSYGEPSEMLAPSGTDDYGDDMGESSGSYIIRIPFGPRHKYIEKELLWPYIPEFVDGALSHVIQMSKVLGEQIGGGHAVWPVAIHGHYADAGDSAALLSGALNVPMLFTGHSLGRDKLEQLLKQGRLSKDEINKTYKIMRRIEAEELALDSSEIVITSTRQEIEEQWRLYDGFDPVLERKIRARIRRNVSCYGRFMPRMAVIPPGMEFHHIVPLDGDIDGEPEGNLDHPASHDPAIWPEIMRFFTNPRKPMILALARPDPKKNITTLLKAFGECRPLQELANLTLIMGNRDGIDDMSSTSASVLLSVLKLIDKYDLYGQVAYPKHHKQSDVPDIYRLAAKTKGVFINPAFIEPFGLTLIEAAAYGLPLVATKNGGPVDILRVLDNGLLIDPHDQHSIADALLKLVSNKQLWAKCRQNGLKNIHLFSWPEHCKTYLSKIATCKPRHPQWLRGEDGDESSESESPGDSLRDIHDLSLNLKFSLDGEKSGGSVNDTSLDPDENAADRKVKLENAVLSWSKGISKDTRKVGADQNTNAGKFPPLRRRKHLFVIAVDCDTTSGLLETTKAIFESAGKERAEGSVGFILSTSLTISEIQSFIVSGGLSPSDFDAYICNSGSDLYYPSLNSEGRPFVVDLYYHSHIENRWGGEGLRKTLVRWADSITDKKGENGEQIVTPAEQLSTDYCYAFKVQKLGKVPPIKELRKLLRIQALRCHPIYCQNGTRLNVIPVLASRSQALRYLYVRWGFELSKMVVFVGECGDTDYEGLVSGIHKSVILKGLGSSAIGQLHNNRSYPLSDVMPLDSPNTVEATEGSSSADIQALIEKVGYLKG >OIW18475 pep chromosome:LupAngTanjil_v1.0:LG01:20527978:20528391:1 gene:TanjilG_13227 transcript:OIW18475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSSSTTISNTFYAFSLFCAILALHVSLSSSSSVDFTANLNHHLSAFFLPDVNSGCRGTIAECSLLTGEDDDDTEFLMDSENNRRVLAGTRYISYGALRRNTVPCPRRGASYYNCRPGAQANPYRRGCSAITRCRG >OIW19644 pep chromosome:LupAngTanjil_v1.0:LG01:818141:819186:-1 gene:TanjilG_18454 transcript:OIW19644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDEEHHFESKGDAGASKTFPQQAGTIRKNGYIVIKGRPCKVVEVSTSKTGKHGHAKCHFVGIDIFTAKKLEDIVPSSHNCDVPHVNRTDYQLIDIAEDGFLSLLTENGNTKDDLKLPTDETLLAQIKDGFAEGKDLVVSVTSAMGEEQISALKDVGPK >OIW18193 pep chromosome:LupAngTanjil_v1.0:LG01:26944168:26958600:1 gene:TanjilG_31313 transcript:OIW18193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKKVDERIRTLIENGVKQRHRSMFVIVGDKSRDQIVNLHYMLSKAQIKSRPTVLWCYKDKLELSSHKKKRAKQVKKMMQRGLLDPEKVDPFSLFVESGGLTYCLYKDSERILGNTFGMCILQDFEALTPNLLARTIETVEGGGLVILLLRSLSSLTSLYTMVMVVIIMLHCFGMLWLRLEKFAKRPDYLNGGYFSIKFLRTTQECLGVIGFQCGTMAAARLMVLWLVAAPQDVHDRFRTESHTEATGRFNERFLLSLASCKACVVMDDELNVLPISSHIRSITPVPVKEDSEGLSEAEQDLKNLKEQLNEDFPVGPLIKKCCTLDQGKAVITFLDAILDKTLRSTAALLAARGRGKSAALGLSIAGAIAVGYSNIFVTAPSPENLKTLFEFICKGFDALEYKEHHDFDVVKSVNPEFKKATVRINIYKHHRQTIQYILPHEHEKLSQVELLVIDEAAAIPLPVVKSLLGPYLVFLSSTVNGYEGTGRSLSLKLLQQLEQQSAVSAKSTEGAGSGRLFKKLELNESIRYASGDPIESWLNTLLCLDVSNAIPHISRLPPPSECDLYYVNRDTLFSYHRDSELFLQRMMALYVASHYKNSPNDLQLMADAPAHHLFVLLGPVDESKNKLPDILCVIQVSLEGQISRQSAMNSLSEGHQPSGDQIPWKLCEQFRDTVIPSLSGARIVRIATHPSAMRLGYGSQAVELLIRYYEGQLTPISEMDIEDKVQPPRVRVTEAAEKVSLLEENIKPRTDLPHLLLHLRERRPEKLHYLGVSFGLTLDLFRFWRKHKFAPFYIGQIPNTVTGEHTCMVLKPLLNDEIEVDGSNEWGFFGPFYQDFRQRFTRLLASTFRGMEYKLALREHLSPHDMKRLDAYVNNLADFHLILDLVPILTHLYFQEKLPVTLSYVQASVLLCIGLQNQNISYIEGQIKLGETKLERHQILSLFIKVMKKFYKYLNSIASKEIESTLPRLKEIVMEPHAVSVDEDLNNAAKQVEDDMKSKAEALLDPELFQRYAFADGESGFENALQNNGGKIPTGGLVSVKSSRDVSEKGKGSHKSDKKRGRDGHSYKSSKKRS >OIW19709 pep chromosome:LupAngTanjil_v1.0:LG01:1255396:1255881:1 gene:TanjilG_18519 transcript:OIW19709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERNLISAQTQRAPPRSITTTFLRKLHDHAPNSTHLAGLLTLMVTGAIFLLLTGLTVAGTVLALIFFSPLIIVSSPIWVPLGTIFFLVVATFLSMVGFGVVAVALLSWIYRYFRGLHPPGSDRVDYARNRIYDTASHVKDYAREYGGYLQSKVKDAAPGA >OIW19021 pep chromosome:LupAngTanjil_v1.0:LG01:17280306:17282646:-1 gene:TanjilG_10582 transcript:OIW19021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSTSNVQSKKQHQRMNPFQKRQFTTIIGNQAKGAMSEQICKKKKIIKKCPSMSLGNFLNNNQEYEDEDECGDEHEGEDEQEEGVQEGEDRKENDEIYEVGEDINHQNEQVDELNNSTEQDVGKKVVFAILNDVWRRHKCAIKEEHFSKYKTTYECLKNCPKDIPESHFKELISYWSLGNIQEMSEQNSKNKAQAEMETSNGTCELRCHKGKIGMRATKENKVMPNQAEMFCETRQSKKGEPLDQETTNAMAQLKDLIEKSSQQPDEAFQSVFGKEKPGRVRCHGRVTTPTLLKRTEEIVKIEKKHADELKLLNDKVEEMEAKHKQEMSSMEQKIQILLRNVINQNNSGVDVEALATMLSTPGTSTSTHVPNNDKQNHLEDNLPHTV >OIW18542 pep chromosome:LupAngTanjil_v1.0:LG01:20958495:20959824:-1 gene:TanjilG_13294 transcript:OIW18542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSDSEVEKTAQKEREKKKVLALAPIAKPLAGKKLSKRTLKLVRKAAEHKCLKRGVKEVVKSIRRGHKGLCVIAGNISPIDVITHVPILCEESEIPYVYVHSKEELATAGATKRPTCCVLVTTKPAKGELDQGEQEKLKSDYDQVAAEISEITASLF >OIW19460 pep chromosome:LupAngTanjil_v1.0:LG01:2478006:2479724:1 gene:TanjilG_09480 transcript:OIW19460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYAPLLSPLPNNFHFPSKVVELNKPLEEKRLFMEQVVEYLISDDYMYAPLLHLSHFPQEPLQHGGPIEIDDSPRRLTKKVNQQTNHLGNVNQRSENHLPQKDLSDQHTRDPKETVKHTVYHICHSTSASRNAPPRKVTLYSQLRAHG >OIW18812 pep chromosome:LupAngTanjil_v1.0:LG01:20131057:20138567:1 gene:TanjilG_25255 transcript:OIW18812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKKVCIIGAGISGLLACKYVKEKGLNPIVFEAKHTIGGLWTKTIHTTTLQTPKPLFQFSDFPWPSSVTTPFPTHQQVLDYITAYAQHFDLLNYIIFNSKVLGIQYEGTSESDQESWHLWGGTGHPFNSHAKWNVSVKNTNNGSTEVYTVDFVILCIGRFSDVPNIPEFPINKGPEVFEGKVIHSMDYASMDSESATELVKGKQVTIVGLHKSALDIAMLCSTINGVKYPCRVLYKTKRWNFPDFYPWGIPLPYLYLNRFSELMLHKPNQPFFLYLIAILLTPLRWVFSKIVESHIKRKLRLEKFGMVPDHRFHEDISSCTLALVPEKFYDNVEEGSIILKKGGRFWFNKEGILVDGEEDSPLKTDIVIFATGFRGDKKLRDIFVSSKFQNLIAGSSNQTVPLYRECIHPEIPQLAVIGFSESLSNLYTSEVRCRWVAELLDGTFQLPNIEEMKNDMMKGFHSNNTIGSSSSSSSSSSSSLFLIIIIISLLQQVNGSIHEYTNEGFIHRSNAFFFHGGTEALKPNSSFIRFESVTFIRPKVAAAKHGAMQQNTGLVEAIILEVKDRDRIGGSYFNSDLICCSPQLAHDHNCNVGEVIIHNNPDNPLFPSRIKSFFQGTNEHLQMETQTVHINTTGMYYLYFMFCDPELEGTIIKGRTVWRNPDGYLPGKMAPLMTFYGFMSLAYLLLGLLWFLRFVLFWKDIIHLHFHITAVIALGMCEMAVWYFEYSNFNSTGTRPMGITLWAVTFTSVKKTLSRLLLLVVSMGFGVVRPTLGGITSRVLLLGLAYFVASEALQLVEHLGNINDFSGKTKLVLVLPVVCLDSCFILWIFSSLSKTLEKLQTRRNLAKLELYRKFTNMLAVSVLLSIAWIGFELYFNATDPLSELWQIAWIIPSFWSLLAYALLLVICVLWAPSRNPTRYAYLEESDEFDEEGISLTSSVAKMSVDVAAKLERKGGSAIDIALEEDIEEDKRE >OIW19184 pep chromosome:LupAngTanjil_v1.0:LG01:8876347:8878683:1 gene:TanjilG_01217 transcript:OIW19184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHCYGLTIPQTHTLFYNHIPISPTTISLQPIITYPTTISFSSLRTNATLNGGHVVSTEVSHRSFYELLGIPLSGSLMEIKQAYKQLARKYHPDVSPPDRVEEYTKRFILVQEAYETLSDPRLRDMYDRDMAKGIHLAFNSRTRYHNDDHEIEQKANWKSRWQSQLSELKRRNESKNARENTSWAARMRQQRDQSSTKL >OIW17840 pep chromosome:LupAngTanjil_v1.0:LG01:33747822:33748228:-1 gene:TanjilG_02468 transcript:OIW17840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLKIEKGENMDWIIQNTKTFIEIIYDRVKKKLLQGSTFKTPAWEDINNELVKVTGENYGVDRLKGKFNCLRQQHREFSTLLARTRVTWDCEANKMNAPEEVWEEMYKY >OIW18240 pep chromosome:LupAngTanjil_v1.0:LG01:25672267:25673367:-1 gene:TanjilG_06324 transcript:OIW18240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRSPCCEKEHTRKGPWTREEDDSLTRHIMFHGIGRSWRALPREAGLNRCGKSCRLRWINYLQPGVKRGNFTLLENRMIVNLHAQLGNKWSSIAGRLPGRTDNEIKNNWNTNLLANGIDPRTHKPLSTAIFTTPAPPNNKINSSSDTTRTPTTDSATSMSVVTTNSNSTTTTMMKIGIQTSSGSEVEVESCPELNLELSLALPSH >OIW17772 pep chromosome:LupAngTanjil_v1.0:LG01:34524541:34525605:1 gene:TanjilG_06457 transcript:OIW17772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSREASVAATAAFIGALASAIAFRFFYRSHSSKSIPSQNHILSNNRSSIDPFDPSKRKGYLSWDDYFMAIAFLSAERSKDPNRQVGACLVSQDGIILGIGYNGFPRGCADDKLPWAKKSRTGDPLETKYP >OIW18690 pep chromosome:LupAngTanjil_v1.0:LG01:22249083:22250393:1 gene:TanjilG_13442 transcript:OIW18690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTKIDFSINLIATSVDHSNNLNVGGVNVWEHYQNRELKDKCHKRMIDRNNIESIKKTMQMHDEIFRHQVRELHRVYSVQRMLMDEHKKENKQQKYQTLINGIDISYPHFTEQQHQTTQISYEPNFHVQSLNMERGFDLERPAEEGIFTGTRRFDAGPSSYSTSFQSCKISTSGYDEEMEVDLTLSIGTSKVKKSHVACLDSPNGKTREGECSDPTTPMSSSSVTFTQERKGPCWLSQGLKLK >OIW17698 pep chromosome:LupAngTanjil_v1.0:LG01:35109795:35120032:1 gene:TanjilG_29048 transcript:OIW17698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGDFSFSDKEEIVEDSRDILSRVRFLDAPILLFLCFHKAVRSELRLLRCLAETASFEDDTQRSRKSIIKLRSRFRFLQLGYKYHCAAEDEVVFLALDIHVKNVACTYSLEHSSIDELFESIFHFLDELMGPSQNFSKLFQELLYNIGILQTSINQNMLKEEEQVFPLLMQKLSTKEQASLVWQFICSVPIMLLEEVLPWMVSFLSADKQTEVTQFLNEIAPMEKALQEVLVSWVGSKNQTFDEAYFQIEEVQGADGSISKERPLRLSSCNKNSNEISSWMKMNGLEIEDDVNWIDVLHLWHEAIKKDLKEILKELHLIRNSSCFQNLDAIVIQIKFFADVLIFYSIAQKTWFHPVLNKPDYDWLPKSSERFLGESHIEDLQQLLCNSETGMPLSSFVEELCRKLESFVSGVKKQCAFQETEVFPAIKKNCRNGIQERLLSSSLKMMPLGLLRCVINWFSVHLSEKESRFILYCIKKGNLFSCEAFSSLLHEWFRIGYSGKTSIEKFRQDLQHMFKSRWSFFPEKIKQVPGFSVLISDQHPNKVSGKNCLSYSSSCGSNNSYKYETPYSTGINLHIFFPATIRRLHQSSRFHASNSSSISFLGDPKPIDLIYFFHKAIRKDLDYLVFGSAQLEQNAKLLMDFRKKFHLICFLHQIHIDAEDELVFPALEARGKLKNISHAYTFDHKLDDEHFDKVSRILDKMSELHLSVSTIDSNVRDNRMLRHHHLCRKLQQMCKSMHKLLSDHLSREEIEIWPIIREFFSSLEQEKIIGCMLGRIKAEILQDMIPWLMASLTQEQQHVVMLLWSMATKNTSFDEWLGEWWDGYSIAKETEGSNDDPLPTIDPLEVISKYLSEEVLNKLQEESSPNKSINFLQKDHIGDNVDLSNYNPDGKVKVNSAEQNNNECSKCTNQFHDNKKHACNQVTDTTNLVNNGQSFQLFDKSGNDDRLLKLSQDDLEMAIRRVYRDSCLDPQKKSYIIQNLLMSRWIISRQISSTEVNMKSDGQEFPGKHPSYRDPLRLIYGCKHYKRNCKLLAPCCNQLHTCIHCHNEVSEPDHSLDRKSITKMMCMKCLVIQPISATCSTVSCGNLSMAKYYCGICKLFEDEREKHLDSNCPICHEYIFTSRSPVKALSCGHVMHSTCFQVYFNILDALLIEENVPEERSGQTQVILCNDCEKKGSAPFHWLYHKCPYCGSYNTRVL >OIW18622 pep chromosome:LupAngTanjil_v1.0:LG01:21699939:21701547:1 gene:TanjilG_13374 transcript:OIW18622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSDTKLTISSPTLPPPPRVSAEEPEAKKLKMSTTTSDDEGCTTVTGRKIRYKRRKIAIFFAYCGVGYQGMQKNPGAKTIEGDLEEALYASGAVPEHDRGIPNRYDWARSARTDKGVSAVGQVVSGRFYVDPPGFVDRLNSNLSPQIRIFGYKRVTGSYNAKKFCDRRRYVYLIPVFALDPCCQQDRESVMASSGSESELVKCLESSERGHKVIGLVGNVKSDLKVEAVDVEAAISSNRNDALNSEVTEDVEVLVDNVNSKTDIETVIPDQDEGTPLNGGSVNTSTILEEEKVNGEERATKESKFSYGEKERERFNRILKYYVGTHNFHNFTTRTKAEDPAAIRYIISFDASTTVLVDGMEFVKCEVVGQSFMLHQIRKMMGLAVAVMRNCAPESLITNALQKDVNIHVPTAPEVGLYLDECFFTSYNQKWKDSHEELSMKEYAKEAEEFKMKYIYSHIASTEHKDGTVALWLHSLNHRNYPDLHVVNEEAINDNKTGQVEVVTE >OIW18996 pep chromosome:LupAngTanjil_v1.0:LG01:17833917:17845872:1 gene:TanjilG_20269 transcript:OIW18996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSEVDSRLSQLVVPALEKIVKNASWRKHAKLAHECKSVIERLTNRQLPTPGSPSDEPENSPPGPLYDGGVIEYSLAESESILSPLINAAGSGVLKIAEPAADAIQKLIAHGYLRGEADPTGSAAEAKLLSNLIESVCKCHDFGDDAMELLLLKTLLSAVTSISLRIHGDCLLLIVRTCYDIYLLSKNVVNQTTAKASLIQMLVIVFRRMEADSSTVPIQPIVVAELMEPVEKSDVDNSMTQFVQGFITRIMQDIDVVLNPVTPGKISSLSGHDGAFETTTVETTNPTDLLDSTDKDMLDAKYWEISMYKTALEGRKGELVDGEIVERDDDLEVQIGNKLRRDAFLVFRALCKLSMKTPPKEASSDPQLMKGKIVALELLKILLENAGAVFRTSERRLFTSTETLPFPSFPPNTPLRSRQFVCTDIQQKSFSTRFLGAIKQYLCLSLLKNSASTLLIVFQLSCSIFISLVSRFRAGLKAEIGVFFPMIVLRVLENVSQPNYQQKMIVLRFLEKLCVDSQILVDIFINYDCDVNSPNIFESILHFISNCCAPPRMVNGLLKTAQGVPPGLTTTLLPPQETMLKLEAMKSLVAVLKSMGDWMNKQLRIPDPHSAKKVEPAENCAEAGSLPTISGNGEEQVEGSDSHPEIINDASDVSNIEQRRAYKLELQEGISLFNRKPKKGIEFLINANKVGDSPEDIAAFLKDASGLNKTLIGDYLGEREELSLKVMHAYVDSFNFQGMEFDEAIRAFLQGFRLPGEAQKIDRIMEKFAERYCKCNPKAFSSADTAYVLAYSVIMLNTDAHNPMVKNKMSADDFIRNNRGIDDGKDLPDEYLRSLFERISRNEIKMKENDMPPQQRQSVNPNRLLGLDNILNIVIRKRGEDMETSDDLIRHMQEQFKEKARKTESVYYAATDVVILRFMIEVCWAPMLAAFSVPLDQSDDEVVISLCLEGFRYAIHVTSVMSMKTHRDVYVTSLAKFTSLHSPADIKQKNIDAIKAIGTIADEDGNYLQEAWEHILTCVSRFEHLHLLGEGAPPDATFFAFPQNDLEKTKPAKSTILPVLKKKGPGRMQYAAATLMRGSYDSAGIGGNVSGAVTSEQVNNLVSNLNMLEQVGSSEMNRIFTRSQKLNSEAIIDFVKALCKVSMEELRSPSDPRVFSLTKMVEIAHYNMNRIRLVWSSIWHVLSDFFVNIGCSANLSIAIFAMDSLRQLSMKFLEREELANYNFQNEFMKPFVIVMRKSSTIEIRELIIRCVSQMVLSRVNNVKSGWKSMFMVFTTAAYDDHKNIVLLAFEIIEKIIRDYFPYITETETTTFTDCVNCLIAFTNSRFNKEISLNAIVFLQFCATKLAQGDLGSSSRNKDTEVSGKISSPSPRIGKEGKQDHGEVTDKDDHLYFWFPLLAGLSELSFDPRPEIRKNALEVLFKTLRNHGHLFTLPLWERVFESVLFPIFDYVRHGIDPSGSSSPVNEVVADGELDQDAWLYETCTLALQLVVDLFVNFYNTVNPLLRKVLMLLVSFIKRPHQNLAGIGIAAFVRLMNNAGELFSDEKWLEVVLSLKEAANATLPNFSFLESEGFMAINHEHASTDEDDRDVAESGSPDNLASPRARHLYAYLSDAKCRAAVQLLLIQAVMEIYNMYRSHISAKTMLVLFDALHDVALHAHKINSNTILRLNLQEFGSMTQMQDPPLLRLENETYQTCLTFLQNLVVDRPPSYEDAEVESHLIQLCQEILEFYIEVAGLGQISESSHDQQPHWLIPLGSGKRRELAARSALVVATLQAICTLGEISFEKNLSHFFPLLSSLVSCEHGSNEVQIALSDMLSLSVGPVLLQSC >OIW17603 pep chromosome:LupAngTanjil_v1.0:LG01:36101878:36104160:1 gene:TanjilG_28953 transcript:OIW17603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVNPMSDAKTPPLTQPPPPPQPQLKLQPQTNQPSLSDYGLSVGHGGSRFVDSGSNSATSFNSLSLGSMTAQTNYYPRFRKSKVSFLLPVEVNKGSNSNDNGGEGDKKEEVLGDEEEETNLMLKNLDNKKEEGEGKKKFNLRPRKEKKVVNSRTQINVAAKGSRNGATRVTRQTCKLPEIPTRLRTQTRSRTANNATGSASTVFSLTLTKKEIESDFLKMTGELPPKKPLRRPRNVQNQIDAIFPGMFLDTITAETYKIPDPPLKI >OIW18424 pep chromosome:LupAngTanjil_v1.0:LG01:20215593:20216483:-1 gene:TanjilG_13176 transcript:OIW18424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSIEEGEIPIPIPIPINTNINIYGDGGGHGNGNGNGHGHNTIPLPTSNGEEDHHHHNHHVHGSEGPYNKKQQKVVRYRECLKNHAAAMGGNATDGCGEFMPSGEDGTIEAFNCSACHCHRNFHRKEVEGDPSPPPPPPPHDLFNFSRRKFLIGPPHHHKNNNILPPEALGYPTPTRTVPPHHMIMPYNIGGPLLAASESDEQEEGGVARSAAAAQLLVKKRHRTKFSQEQKEKMLSFAEKVGWKIQKHEESVVQQFCQDIGVKRRVLKVWMHNNKHNLAKKMNHLLPPPPPPPP >OIW19681 pep chromosome:LupAngTanjil_v1.0:LG01:1102153:1104671:1 gene:TanjilG_18491 transcript:OIW19681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSSSSRSRMKLWMIRATTSILLWTCIVQLTALGDMWGPRVLKGWPSCFTHDSQFLTHPPPLLPPKRVYRNNGYLMVSCNGGLNQMRAAICDMVAIARYLNVTLIVPELDKASFWADPSEFQDIFDVDHFIASLRDEVRILKELPPRLKLRVENGPLYTMPPISWSDISYYQDQILPLIRKYKVVHLNRTDARLANNNQPLEIQKLRCRVNFSALRFTPQIEELGRKVVKLLRQNGPFLVLHLRYEMDMLAFSGCTQGCNSEEVEELTRMRYAYPWWKEKIINSDMKRKDGLCPLTPEETALTLKALDVDQNIQIYIAAGEIYGGHRRMASLAKDYPKLVKFKAQGVRKETLLEPSDLQFFQNHSSQMAALDYLVSLESDIFAPTYDGNMAKVVEGHRRYLGYKKTILLHRKLLVDLIDQYNNGILNWDEFSSAVKDAHADRMGNPTKRLVIPDRPKEEDYFYANPEECLETPPDLPLSST >OIW19025 pep chromosome:LupAngTanjil_v1.0:LG01:17140922:17143042:1 gene:TanjilG_10586 transcript:OIW19025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAMPLPFEEFQEKGVLDFCSSAVSDSFSLLWHQQPQKWSIDKEFYCYVGTEPNSVLDSRRSPNPSLSSSTMSSSLGSSNNTTVAATTLLEKPLHASLEASPEKCDVRMEDWEGQDQSIMRLIMGDVEDPSAGLSKLLQSSDCGSQIADFNEGFGVVDEGLNMVSNIDPFVQGNYPAFPFVENIDGHSAKTGSMSVSESIYVSANSSLMVSSSPGVLNSQQQQQIGVVDEKPQFINPQLLLNQNQAQFSDSPSFFVPLTYPQMQEQEVLSQHQAEHRLSDAVGHNYQVPRFPLLDCGQELISRRQQKQRPLFPHHHLQQLQPLVVPSAKQQKVNSTGDDAINQFQQNIFDQLYKIAELIEAGNPVLAQGILARLNQHLSPVGKSFQRATFYMKEALQLLLNTNIHSSIAFSPINFIFKIGAYKSFSEISPVLQFVNFTCNQALVEALERFDRIHIIDFDIGFGEQWSSFMQELALRNNGATSLKVTAIVSPSTCDDNELNFIRQNLNQYAKDINMSFELNVLSIESLNSPSCPLASQFFDNEAVAVNLPLSCFVNYPSLVPSVLHFVKQLRPKVVVTLDRNCDRIDVPFSTNIVHALQYYSALLESLDAVNVSSGVLQIIERHFIQPAIKKIVFSHQRSHEKLPPWRNLFLQTGFSPITFSNFAEAQAECLVQRAPVRGFEVERRHSSLVLCWLQKELISVSTWSC >OIW18747 pep chromosome:LupAngTanjil_v1.0:LG01:22666627:22666971:-1 gene:TanjilG_13499 transcript:OIW18747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGDTFSSHGNGTHIDSKVAQTFQKSFVQVQNILDQNRVLINEINQNHESKVPDNLSRNVGLIRELNNNIRRVVDLYVDLSTSFTKSMEVSSEGDSYGAVKSDGKVSHKRLRPV >OIW19220 pep chromosome:LupAngTanjil_v1.0:LG01:7686997:7699404:-1 gene:TanjilG_20345 transcript:OIW19220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRSGKGKSNKAKAEKKKKEEKAVAPSLVDITVVTPYDSHLVLKGISTDKILDVRRLLAEKVETCHFTNYSLSHEVKGQRLNERVEVVTLKPCVIRMVEEEYKEEAEAISHVRRVLDIVACTTRFGKPKRALQSPESKLKKNGKAQNEKKGNGSSEKAVSAISENVGMVAIHPTPKLSEFYEFFSFSHLSPPILYLKKCELKNEVDKSKGDYFLLQVKISNGKLIEVVASEKGFYCVGGKQSLQSYTLVDLLQQLSRGFANAYGSLMKAFLEHNKFGNLPYGFRANTWLVPPSVADSPLNFTALPAEDENWGGNGGGHGRNSEHDLRSWATDFAILASLPCKTEEERVVRDRKAFLLHNQFVDTSIFKAVAAIQYIMESKSNLKKELNSTPGSVLHEDHVGDLSIVVKRDIRDGTEKYDAISNEPSVHKEDAQKNLIKGLKAQENVIVHDTSSLAVVVVHHCGYTATVKVVGNLNKKKLNDQDIEIDDQPDGGANSLNINSLRRLLHKSGAVPSEGTLSSISNSDDFDASENVVRKVVQECLEKIKEEPDVSKRSIRWELVSCWMQHLQKQETSTDSSSTSKEDVNDVEQAVKGLGKQFKLLKRREKKPSSLDGTDSSSGNMNVCTDKVEPNNDDLSSSTELEKLLSEEAFLRLKESGTGLHLKATDFGSLELSPVDGRTLTDFMHLRGLQMRSLGEVVKLADSLPHIQSLGIHEMITRAFKHLLKAVIASVDNEAELSPVIASTLNFLLGGGSGQIPGDGHNLRIQWLHIFLAKRFGWTLNDEFQHLRKLSILRGLCHKVGLELFPRDYDMESPKPFGKYDIISLVPVCKALAKMMAVYGPYHRTTASAYSLLAVVLYHTGDFNQAAIYQQKALDINERELGLDHPDTMKSYGDLSVFYYRLQHIELALKYVNRALFLLHFTCGLSHPNTAATYINVAMMEEGMGNVQVALRYLHEALKCNKRLLGADHIQTAASYHAIAIALSLMEAYSLSVQHEQTTLKILQAKLGSEDLRTQDAAAWLEYFESKAIEQQEAAKNGTPKPDASIAIKGHLSVSDLLDFISPDQDSKGNDAQRKQRRAKIVSVSDNNHQEHDETKVVEDILFDDSKDATSVVENITEENNVTLDYEEPKENGDLTRYKHVISEAVEETSSDEGWQEAGSKGRSGNTANRKFGRRQRPHVSKLSISRSASYSFREGSYRNDITSPQKAAPKVLSAILSPSRQLKAQNLTSSEDSANHSIKASASKVSFPPTSLSSLASKSISYKEVALAPPGTVLKPVLEKSEMDQVKAENETCSSPLVMSINEGTCQSSIVDTVSPHDEIEGTNEIEPQQENSASENENVSLDSDQAKPAETNSSKLSATAKPFNPGMLPISPHLNSVSMTSIYDANVSQAMLVEPVLPPATARVPCGPRSPLYYRSNYTFRMKHGFTKYNTSIRERGGFGAARIMNPHAPEFVPRSASQIETGDASSNISSGHKRSEVGAGKNNKLDETFVQVKDSSSKHSISESEKSEIARQILLSFLVKSAKQNIDSVDESNGSEGKHENLENSSDAVAKDSAIIKIINGKEEKNKMVLHSSGNSKEEREDVDATRKKNGDGEGFIVVTKRRKNKQKISNGVTELYNQQSILASVR >OIW18277 pep chromosome:LupAngTanjil_v1.0:LG01:24924458:24927053:-1 gene:TanjilG_31417 transcript:OIW18277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEEELVDIKFRLYDGSDIGPFRYSAAATVDMLKQRIVSDWPKGKTVIPKAANEVKLINSGKILENNKTVGQCKVPFGEIGGGVIIMHVVVQPSLSKNKAAALVLGFVQLPFNGKCRGDLACTSFGD >OIW17874 pep chromosome:LupAngTanjil_v1.0:LG01:33300965:33311499:-1 gene:TanjilG_14120 transcript:OIW17874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTVSWRNTANNGSNISDMERNGDGKTQDSEPPTPHSVLKMGLRDRSSSMEDPDGTLASVAQCIEQLRQNSSSVQEKEYSLRQLLELIDLRENAFSAVGSHSQAVPVLVSLLRSGSLNVKIQATTVLGSLCKENELRVKVLLGGCIPPLLALLKSSSTEGKIASAKTIYAVSQGGVKDHVGSKIFSTEGVVPVLWDKLQKGLKAENVVESLLIRALKNISSNTEGFWKATIQAGGVDILVKLLATGQSSTLADVCFLLAAVMMEDASVCSKVLTADVTKQLLKLLGPGNDDPVRAEAAGALKSLSAQCKNARREIANFNGIPALINATIAPSKEYMQGECAQSLQENAMCALANISGGLSNVISSLGQSLESCTSPTQVADTLGALASALMIYDNKAESTRASDPLAVEQTLLKQFKPRLPFLVQERTIEALASLYGNPVLSIKLAHSDAKRLLVGLITMAVNEAQDELIKALSTLCNSKSSLWHALQDREGVQLLISLLGLSSEQQQECAVALLGLLSNENAESKWAITAAGGIPPLVQILETGSAKAREESATILKNLCNHSEDIRACVESADAVPALLWLLKNGSPNGKDIAAKTLHHLIHKSDTTTISQLTALLTSDLPESKVYVLDALSSVLSVAPLSDILREGSASSDAFDTMIALLSSNKEETQAKSASALAGIFEMRKDVREGSIAVKTLLPAIKMLNAKSESILIEFSHCLAAVFLSIKENKDVAGVGRDALCPLVALANSSVLEVAEMAMWAVANLILDSEIAEKAVTEEVILPATTVLREGTISGKAHAAAAIARLLHSCKVDDAVTNCVNQAGTVLALVSFLDSAISESVSTTEALEALAILSRSEKTSAHIKPACAVLAEVPESICPIILSIVDSTSVFQDRAIEILSQLCKDQPVVLGDTVASASGCMASIAERIVSSTNVKVKFGGAALLICASKANHQRLVKDLNSSILSANLIQSLVDMLISTQASLANEDDDNKELISICRHTKEEANGCESNTCTSIICGADLAIWLLSILACHDDKNKIAIMEAGAIDVLTERISNCFSHFSQIDNKEDRSMWICALLLAILFQDRDIIRAHATMKSVPALSNLLKSEQLADKYFAAQSIASLVCNGSRGTLLSVANSGAAGGLISLLGCADTDIQDLLELSAEFSLVRNPDQVALERLFRVDDIRVGATSRKAIPALVDLLKPIPDRPGAPFLALGLLTQLARDCPSNKIIMVECEGLEALTKYLSLSPQDATEEAATDLLGILFSSADIRKHESAFGAVTQLVAVLRLGGRAARYSAAKALESLFSSDHIKNAETARHAVQPLVEILNTGSEKEQHAAIAALVRLLSENPSRALSVADVEMNAVDVLCRILSSDCSMELKADAAELCCALFGNTRIRSTMAAAHCVEPLVSLLVTESSPAQHSVVRALDRLVDDEQLAELVAAHGAVVPLVGLLYGRNYVLHEAVSRALVKLGKDRPACKIEMVKAGVIENILHLLHEAPDFLCAAFAELLRILTNNASIAKGLSAAKVVEPLFLLLARQEVGLDGQHSALQVLVNILEHPKCRADHRLTAHQVIEPLIPLLDSPISAVQQLAAELLSHILLEEHLQKDPVTHHVIRPLIQCLGSGIHLLQQRAVKALVSIALTWPNEIAKEGGVIEISKVILQADPSLPHALWESAASVLSSILQFSSEFYLEVPVAVLVRLLRSGSDGTVLGALNALLVLESDDGTSAVAMAESGAVEALLELLRSHQFEETAARLLEVLLNNVKIRDTKATKSAILPLSQYLLDPHTQAQQARLLATLALGDLFQNEGLARIADAVSACRALVNVLEDQPTEEMKVVAICALQNLVMYSRSNKRAVAEAGGVQVILDMIGSSEPETAVQAAMFVKLLFSNNTIQEYASSETVRAITAAIEKYLWVSGTVNEEYLKALNSLFSNFPRLRATEPATLSIPHLVTSLKTGSEGCQEAALDALFLLRQAWSACPAEVSRAQSVAAADAIPFLQYLIQSGPPRFQEKAEFLLQCLPGTLVVIIKRGNNMKQSVGNPSVYCKLTLGNTPPRQTKVVSTGPNPEWDESFSWSFESPPKGQKLNISCKNKSKVGKSKFGKVTIQIDRVVMLGTVAGEYTLLPQSKSGPPRNLEIEFQWSNK >OIW19373 pep chromosome:LupAngTanjil_v1.0:LG01:3326862:3327242:-1 gene:TanjilG_03507 transcript:OIW19373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDFRSESYGDGRNTQIESYNGTGGGTTSNGVHGMQDLRCYSASYASSVHPTQTQMSSVENDVKFKKGKSTNGSTSKSWSLCDPELQRKKRVASYKVYTVEGKLKGSFRKSFKWIKDRCNRVVKGW >OIW17671 pep chromosome:LupAngTanjil_v1.0:LG01:35329737:35335444:1 gene:TanjilG_29021 transcript:OIW17671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALCRSSTGRLTMVRRVTLRDRDITQECFKSSWNSHKLVHLKAKLSSSLSAGAPGQQSSDKLGENWLYCLKRGQARTPKFPYFDWTGTLRPYPISIKRIVPAHIDKPDWADDGIPVVEPNSDLQHVVEIKSPDLIQRMRETCRIGREVLDAAARIIRPGVTTDEIDRVVHEATIAAGAYPSPLNYHFFPKSCCTSVNEVICHGIPDARKLEDGDIVNVDVTVYYKGVHGDLNETYFVGNVDEESCQLVKCTYECLEKAISIVKPGVRFREIGEVVNRHALMSGFSVVKSYCGHGIGELFHCAPNIPHYGRNKAVGVMKAGQTFTIEPMINAGVWRDRMWPDGWTAVTADGKRSAQFEHTLLVTETGVEVLSGRLPTSPNVFPWLNSS >OIW19091 pep chromosome:LupAngTanjil_v1.0:LG01:14249575:14250429:-1 gene:TanjilG_06400 transcript:OIW19091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTLVIESSYMEKGREGLLKTMDLKRPRKLNLNAPLLSTRRPAFSVVAATSCSSNSLSIVQNVDDRVPFSWEQAPGKPKDTIIDRIDSTKDGGTPRPKLPPCLCLPPKEAAYEAGVHNSDQAFHDPDDDYKDDIFSDAMDVFSLSEALDIVQKKSENVRSENNDGLRLKLAESNGYQSPTYMINRFLPDATALAASSVLHFPCKLDKEVCDTCSYHECYLSGSGRHSYTSASTKGCGLEVLFPWRMKHKLCATKCPVLPFSTNLPKHQRSAKQKKHHRSLAHMP >OIW18701 pep chromosome:LupAngTanjil_v1.0:LG01:22358062:22359880:-1 gene:TanjilG_13453 transcript:OIW18701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGGGGKTYGLDLEFLKPCSFSVCSSSPSSTISESSNSAITISTTKPRTRRKRPNQNYNEAAALLSTAYPNLFSSKTLKTQPSSIFFNEDLSSEVLLPFRVLDSSSSFLLDQCKASFSMKPKVVMLQEKLCQSPGEINSASAMNNSVELEDDYGEVYDAESILDEEIGEGIDSIMGSLTEKDTDGAVSCQMIPAIDSGGKLDCRLGLKRALRDVDDGGNWWNLPAVDIVKISPKIVYKSPPPAVAEKKKKRKKVEVKNPEPVAVKENPVVKSDTGLMLKLNYNEVKNAWSDKGSPFADDSPVSGASVNDVTARLSQIDLLWDNGSVREASVLRYKEKRHMRLLSNKIRCQVRKVNADQRPRLKVPPS >OIW17793 pep chromosome:LupAngTanjil_v1.0:LG01:34253537:34259205:1 gene:TanjilG_06478 transcript:OIW17793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRVLAQSINIPGLGSGKNTSQRNGSGKCKRPAKMMSTLRMPGIRLTTFSGLRASNPLDTMLRPGQDFHSRVLTATSSRRARASRCVPKAMFERFTEKAIKVIMLAQEEARRLGHNFVGTEQILLGLIGEGTGIAAKVLKSLGINLKDARVEVEKIIGRGSGFVAVEIPFTPRAKRVLELSLEEARQLGHNYIGSEHLLLGLLREGEGVIRMVGESADSVPASVGGAGGGSNKMPTLEEYGTNLTKLAEEGKLDPVVGRQPQIERVTQILGRRTKNNPCLIGEPGVGKTAIAEGLAQRIAKGDVPETIEGKKVITLDMGLLVAGTKYRGEFEERLKKLMEEIKQNDDIILFIDEVHTLIGAGAAEGAIDAANILKPALARGELQCIGATTLDEYRKHIEKDPALERRFQPVKVPEPTVDESIQILKGLRERYELHHKLRYTDDALVAAAQLSHQYISDRFLPDKAIDLIDEAGSRVRLQHAQLPEEARVLDKEVRQIVKEKDEAVRNQDFEKAGELRDREMDLKAQISALIEKGKEMSKAESEAGDEGPVVTEVDIQHIVASWTGIPVDKVSADESVRLLKMEETLHNRIIGQDEAVKAISRAIRRARVGLKNPNRPIASFIFSGPTGVGKSELAKALAAYYFGSEEAMIRLDMSEFMERHTVSKLIGSPPGYVGYTEGGQLTEAVRRRPYTVVLFDEIEKAHPDVFNMMLQILEDGRLTDSKGRTVDFKNTLLIMTSNIGSSVIEKGGRKIGFDLDYDEKDSSYNRIKSLVTEELKQYFRPEFLNRLDEMIVFRQLTKLEVKEIADIMLKEVFERLKTKEIELSVTERFRERVVDEGYNPSYGARPLRRAIMRLLEDSMAEKMLAREIKEGDSVIVDADSDGNVIVLNGSSGAPESLTEALPL >OIW18683 pep chromosome:LupAngTanjil_v1.0:LG01:22152503:22153081:-1 gene:TanjilG_13435 transcript:OIW18683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLDFENQRDKLLSKNLERESSMGCSSRISYYRSGEGVPFKWEMQPGIAKESAKEEFHPLTPPPGLLSLELPKPCINLHHPKSSAQSRLKFWNKKTKHGKGKKHQENSNEELVGFDMFSRLDCSSDSESIASPRGSSFSWSSSLSIMKGRSSLQSSTNSESPISEVHRRRSLSLGCISINIPRILVSNAKRY >OIW19500 pep chromosome:LupAngTanjil_v1.0:LG01:2175492:2181631:-1 gene:TanjilG_06955 transcript:OIW19500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMIQMSVVTDSPVHSSSSDDFVAYIDAALDASSPEASSDKEVENQDEFESVRIKRRKIESIEETQGSTLEGIVKENLAVAEISVEVDECTHPGSFGNMCIRCGQKLDGESGVTFGYIHKGLRLHDEEISRLRNTDIKNLLHHKKLYLMLDLDHTLLNSTHLGHLSSEELHLLNQTDSLEDVSKGSIFKLEFMHMMTKLRPFVRTFLKEASEMFEMYIYTMGDRPYALEMAKLLDPQGEYFNAKIISRDDGTQKHQKGLDVVLGQERAVLILDDTEHAWMKHKDNLIVMERYHFFASSCRQFGFNCKSLAELKNDENESEGALARILEVLKQVHSTFFDGLQEDLVGRDVRQVLKSVRKDVLSGCVIIFSRIVHSALPTLQKMAGQMGATCLAELDPSVTHVVGTDAGTEKSRWAVKENKFLVHPRWIEAANYFWQKQPEENFALKKKHWQPQSDFMRPDQENSVRLDASRPWRLGQNLCAKACVLRSECYAVPQADVSMAMHCFAWVPYSFGHES >OIW18435 pep chromosome:LupAngTanjil_v1.0:LG01:20280235:20281895:-1 gene:TanjilG_13187 transcript:OIW18435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKDHLTYHPNKLGGGGGGCSKPYTPNLIINMSANYVVPHIADEVSDTQQQQHQDENLEQLALDLHNASPLQIMDKALQTFGNDIAIAFSGAEDVALIEYAHLTGRPFRVFSLDTGRLNPETYRFFDAVEKHYGIRIEYMFPDAVEVQALVRSKGLFSFYEDGHQECCRVRKVRPLRRALKGLRTWITGQRKDQSPGTRSEVPIVEVDPAFEGVDGGIGSLVKWNPVANVKGHEIWNFLRTMNVPVNPLHSQGYISIGCEPCTRSVLPGQHEREGRWWWEDAKAKECGLHKGNIKQQDAAAELNGNGNGIAHGNDTATVADIYNSQNVVSFSRSGIENLAKLDSPKHPWLVVLYAPWCPFCQAMEESYVDLAEKLAGSGVKVGKFRADGEQKEFAKRELQLGSFPTILFFPKHSSHPIKYPSENRDVDSLMAFVNALR >OIW18379 pep chromosome:LupAngTanjil_v1.0:LG01:23504387:23504896:1 gene:TanjilG_31519 transcript:OIW18379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMMIETHSVAVTAAQGIGLSLLRSCNFSITDQISAMIQPYDAVAPPPVKPNSYRIRRRNLLRRKRRTKRKLSGDDYGNEDFFFGDGGAGDGGEGFGGSGGRSGGGGWNYDRFGDESSSSLPDPAFDFVYQVLSWIMLLNCMHFALKKIIRIVVDSDREKLPTKLAPIC >OIW18769 pep chromosome:LupAngTanjil_v1.0:LG01:22901568:22910986:-1 gene:TanjilG_13521 transcript:OIW18769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGIEIEEPLFSIPESNNDFCNSILSRFSNSTDEIHQHLCAIIGAMSQELKDLNLPSTPVAYFSATCSSLDRIASELNPSKNVIVSLLTILSLVIARVPLPVLKKQREFLSGLLVRLLGPELDSESGVISGLKCLSYLLINRDSVNWSDVSPLFNVLLVFLTDSRPKVRRQSHLCLRDVLLNFQNSSLLASASEGVTSQLERLLLFVGGANTSAGEGNKGAQQILFILDALKECIPLLSLKYKTNILKYFKTLLDLRQPLVTRRITDGLSFLCHYPAYEVSPEALLELLSSLALSISSNETTGDGMTVTARLLDSGMNKVYSLNRQICVIKLPVVFIALKDILASEHEEAIYAATDALKSMINSCIDESLIKQGVDQISLTDNKDERRSGPTIIEKICVTIESLLDYHYAAVWDRVFQVVSALFYKLGTYSPYFMRGILKNLEEVQKLPDEDFPFRKQLHECLGSALVAVGPETLLSFIPLNLEVEDLSDANIWLFPILKQYIVGARLIYFTKEILPMIERVRGKARKLENRGLMVSSRNADALAYSLWSLLPSFCNYPLDTAESFMVLKEHLCHKLKEDHDIRGIICSSLQLLIQQNKDIVDANGKDSTEKDVVKEQNLARYSQQVATNNLNVLKSSSKSLLDALSEVFLKSRKDDGGCLQRTIGDIASIADKAMVSSLFIYRMKELRKRTQAASNVDISTSFSSMQIDDPLSNMPLSVVRALYLDFAVSLLPGLNAKEINTLFLAIKPALQDVESVMQKKAYKGLSIIFRSSDSFVSSNIEELLGLMVEILPSCHFSAKHHRLDCLYFLIVHVSNSKDNMEDRWREIVGSFLTEIVLALKESNKKTRNRAYDTLVQIGHAFGDEERGGNKENLYHFFNMVAGGLAGDTPHMISSAAKGLARLAYEFSDLVLTALDWLPSTFLLLQRKNKEIIKANLALLKVLVAKSQAEGLQVHLRNMVEGLLNWQDNTKNHFKGKVKNILEMLVAKCGLEAVKAVMPEDHMKLLSNIRKIKERKERNRSAKSEEARSHFSKATTSRQSTWNHTKLFSDFDEDSDGSDSGYLNGKTVSRRGKSLHLKSAASSSGPKNTRLKKNLHEHLSDQSDDEPLDLLDKQKTRSALRSSDYLKRKSRPDDEFEIDSEGRLIIHEEGDRKIEKPSDPDLDIKSERDSHISAKSGTKTQKRRRTSESGWAYTGKEYSSKKAGGDVKRKDKLEPYAYWPLDRKMMSRRPQLRATARKGMASVVRMTKKLEGKSASAALSIKGLKPRTQKKGSNKK >OIW18626 pep chromosome:LupAngTanjil_v1.0:LG01:21739943:21744346:1 gene:TanjilG_13378 transcript:OIW18626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTLVDPLLLFKFSHQKQRFHKTNISAQYSPSLFNLKSDEFIQKGFRNQRHLIHRDRKKVTVVQAVAIPVEPSPLESAEYRKQLAQSYGFNQIGEQLPSNVTLKDVIDSLPKKVFEIDDAKAWKSVLVSATSYALGLFMISKAPWYLLPLAWAWTGTAVTGFFVIGHDCAHKSFSKNKLVEDIIGTLAFLPLIYPYEPWRFKHDRHHAKTNMLKGDTAWHPVWKKEFDSSPLLRKALIFAYGPFRPWMSIAHWLVLHFDLKKFRPNEVNRVKISLACVFAFLAIGWPLIIYKTGITGWIKFWLMPWLGYHFWMSTFTMVHHTAPHIPFKDSEEWNAAQAQLSGTVHCDYPKWIEVLCHDINVHIPHHISPRIPSYNLRAAHKSIQENWGKYLNEASWNWRLMKTIMTVCHVYDKERNYVGFDELAPEDSHPIEFLKELMPDYA >OIW18764 pep chromosome:LupAngTanjil_v1.0:LG01:22854560:22864459:1 gene:TanjilG_13516 transcript:OIW18764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVDTSTIGRFHHQKLDFKRWVPILLTSYKTLFTVLWIAAFASIFVWQRNIVGGLFVFGRATAARPVPKLRPVAFNLTDFGGVGDGVTLNTEAFERAISAISKLGKKGGGQLNVPPGRWLTAPFNLTSHMTLFLAEDAVILGLDDEKYWPLMPPLPSYGYGREHPGPRYGSLIHGQNLKDIVITGHNGTINGQGQAWWKKYRQKRLNHTRGPLVQIMWSSDIVITNITMRDSPFWTLHPYDCRNITIKHVTILAPVFEAPNTDGIDPGKYILLNSCEDMLIEDCYISTGDDAIAIKSGWDQYGIAYGRPSKNIMIRNLVVRSMVSSACQTKAVLDLATYLWQISETKTGKPALATLKCLWDEKYWPLMPPLPSYGYGREHPGPRYGSLIHGQNLKDIVITGHNGTINGQGQAWWKKYRQKRLNHTRGPLVQIMWSSDIVITNITMRDSPFWTLHPYDCRNITIKHVTILAPVFEAPNTDGIDPGKYILLNSCEDMLIEDCYISTGDDAIAIKSGWDQYGIAYGRPSKNIMIRNLVVRSMVSAGVSIGSEMSGGVFNVTVENLLVWDSRRAVRIKTAPGRGGYVRQITYRNLTFENVRVGIVMKTDYNEHPDNGYDPTALPVLKDISFTSVHGHGVRVPVRIHGSEEIPVRNVTFHDMSVGLTYKKKHIFQCAFVQGRVIGTIFPAPCVNLDRYNEQGQLVEHSASQNVTDIDYDF >OIW19309 pep chromosome:LupAngTanjil_v1.0:LG01:4450286:4450582:-1 gene:TanjilG_09093 transcript:OIW19309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPSPKSHANEEYSDAQPAPYGNRPIAPGAQYATSQALVPWSTGLFDCCSDRKNCVPFSTLISSYNLCFIYFLSSFLIDKVKEKTFTLSTQHHTMIKI >OIW18529 pep chromosome:LupAngTanjil_v1.0:LG01:20850768:20853776:1 gene:TanjilG_13281 transcript:OIW18529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMDSFKKDIDELIGEFAKNELTTLADMKRVWLDRKFSYIYEATPSTNQAFFMQSLFARSIDYMVGNDSLSHRLGGLYCLYCLYEVQPHNPPFRVYISLGQLKKLKVLVVDAKAKGINVVPALVKKMLNRNTFLFGAVDLSECSAVETVNQLQEVQNARIQIANEKLFNNTPIESHINMDLGMTIDLDSLKKMSSEYAAAKDLAIGEASKVIDVEDIEHISKGKEPIGDVVQKIADDWNAQKQAFYKQTGLDENEGYDRELEQLLLDHNEDDDDDDESDEE >OIW18569 pep chromosome:LupAngTanjil_v1.0:LG01:21197692:21199809:1 gene:TanjilG_13321 transcript:OIW18569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFFDDLPPYVPSPPPYAAAQATDAIVLSLEQQFPENNESFTPHSPFNDHDQPSSFKRPRTSSESSNHSNAVQFPPRMTTMHSQNNNNNASNKGGSGTGHIFFKTRMCAKFRLGSCRNGENCNFAHGVEDMRQPPPNWQELVGNAGSNEEQQQQRPSGNWDDDQKIIHKMKLCKKYYNGEECPFGERCNFLHEDPAKFRDDSGRIRESSAISIGTNGSPKSYRDGYYNNSETSKAVTANVGINAYRGNVRSTFWKTKLCIKWETTGHCPFGDDCHFAHGQSELHVLGGRTETEAAGAIPISTYATVPTLPKVTSVPSIDAAPAPSNIASPPLANEVEQGKKSLLKWKGPKKINRIYADWLDDFPLVQNVANGAET >OIW18840 pep chromosome:LupAngTanjil_v1.0:LG01:19928122:19929627:-1 gene:TanjilG_25283 transcript:OIW18840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSIYRAILWGSSCICCKALASRNFCSSLASCDLVGTRGSWHKEQCQDLKSSADILISKVCKGSSEEEILQSLFNDQTCDGIHLSQNLIDRLLDRFKDDWKSALGIFKWASSQSSFRPSPESYDTMIDILGRMKQMEKLKDLLEEMCQDSLITLNTIAKVMRRFVGAGQWEDAVRIFDDLQTLGLEKNTETMNLLLDTLCKEQYVEQARDIYLELKQHIAPNAHTFNIFIHGWCKIRRVDEAHWTIQEMKGYGCRPCVISYSTIIQCYCEEQNFDRVYELLDEMQAHDCSPNVITFTTIMHALAKAEKFEEALKIVERMRFAGCRADTLFYNSFIYTLGRAGRVDDATHVFKVAMPRAGVAPNTSSYNSMISMFCHHAQESRAFDILKEMEDSGLCKPDVQTYHPLIKSCFKTGKIDSLLNDILNDMVNKHHIGLDISTYTLLIHGLCRADRCQWAHRLFEEMIEQGIVPRYRTCRTLLDEVKQKNIYEAAEKIEVVMKKL >OIW17627 pep chromosome:LupAngTanjil_v1.0:LG01:35811430:35820095:1 gene:TanjilG_28977 transcript:OIW17627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFNQSKSDKNESLYRKSSRSTSFNQQRGSSASYVKAAAAGGAAAASLSSNRSFNKKPNNAQGGQSRVNSTPVNSTEANSAPTAATVQNGTHVQPQLHGGAPDAPLTTKPSESSAAQRSSRVVPKAPASQPPPPISSDSANPTTPSKGDASKAFPFQFGSISPGFMNGIAIPARTSSAPPNIDEQKRDQAHHDSFRSVPSGPTPPVPKQQQPPRKDAGVTHQSNAREIHTGSKGRKDPPGSASTPAGLIQKPSVVSVAGISTPMPYHQSQAPLQFGGPNPHIQSQGLSTAPLQMPLPIPIPIGNPAQVQQPVFVPGLQSHPMHHQGIMHPGQNLTFTPPMVHQLSHQLGGMGIGPQYPQQQGGKFATPRKTTPVKITHPDTHEELRLDKKTDVYSDGGASGIRLHPKTPSLSQPVQPSAASHPLKYYSNSFNTSSHYHPPPSSLSPTSSHITPTSQSPRFNYPVNHGPQNASFMSSASLGSPPVNKASTPIIGMFEAHNSESSHDGPNTPSSAPSGVTSVTIKPSGSSALVDSSLPNSSISGVQDRESSSTASSDTSSFVPQKESKTFTENSSHQSKLSSNSSVPGSLPKQSSASVAVSAEKPTVIPSALPSPTASGDSVSVVSNNERQLKESLSRSISLTNNHNKPLNKGQLQHQVSVKSPIEANVSSRAVGSGVSDTGISEPAGTKTKYSVAVNAEALPSSPDTISATSSSVPRADEVKTNSSADVSALSVEETGVQVADNLNNHKNDKLHDSAEVKHLKHDILEISNKTDKSSLEDYKQNIICDGTEFQQSEQDAAMVSTELITSKTVQHQQDESASSSAEFESTDLQSADLPETTSIRVKDSSENADSESLSLPMSGNKDRQTSDANKAKVTSKGKKKRKEILQKADAAGSISDLYNAYTGPEEKKEAVLSSESAENDSTSGNSKQLSAEPAQPGAVASKKSGETKAEPDDWEDVVDMSTPKLEVADKSKQVSDESGGTAKKYSRDFLLKFSEQCTDLPEGFEISADVAASLMSASSSSGHFIERDSHPSPGRNVARMDRRGSGMNEEDKWNKVSGAFHSGRGQGVNNFGVLRNPRSPAGGILSGPMQSMGNQGGTQRNSADGERWQRSASFQHRGLIPSPSTPQTPLQMMHKAEKKYEVGKTSDEEQAKQRQLKAILNKLTPQNFERLFEQVKAVNIDNAVTLTGVISQIFEKALMEPTFCEMYANFCSHLASALPDFSEDNEKITFKRLLLNKCQEEFERGEKEQEDANKADEGEVKQSDEEREERRVKARRRMLGNIRLIGELYKKRMLTERIMHECIKKLLGQYQDPDEEDIESLCKLMSTIGEMIDHPKAKVHMDAYFDRMKVFSNNMNLSSRVRFMLKDAIDLRKNKWQQRRKVEGPKKIEEVHRDAVQERQAQAQGGRMGRGPGNNPSARRSPMDFGPRGSSMLSSPTAQMGGLRGQPTQVRGYGSQDARFEERQSYEARTLSVPLPQRALADSSITLGPQGGLARGMSIRGSAVISSSSISDGFPVPGDSHRMIGGPNSSGPVAYDQSSAPERNINYGNRDLRNVDRNLDRPILTLPTAQLQRSTVSQNVPSEKIWPEERLQDMSMSAIREYYSARDDKEVALCIKELNSPSFHPSMVSLWVTDSFERKDTERDLLAKLLIHLVKSQDGTLSQANLIKGFESVLSTLEDAVNDAPRAAEFLGRIFAKAVTESVATLNEIGRLIHVGGEEPGSLLEAGLAGDVLGWTLESIQSEKGEAVLSEFRSSSNLVLETFRSPNKSITSRKLEKFI >OIW19287 pep chromosome:LupAngTanjil_v1.0:LG01:5654498:5657544:-1 gene:TanjilG_20412 transcript:OIW19287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSTTTSGHSSLYSALTLPRTTIIHNKLFSPTTKFCSSRNHTYSGVSSSFSNTLLPKKFPFVVRASSSSSSSSSEVGSEASKQENGEKSEGGEEPYEEYEVEIEQPYGLRFVKGRDGGTYIDAIAPGGSADKAGVFSVGDKVLATSAVFGTEIWPAAEYGRTMYTIRQRVGPLLMKMQKRYGKIDTSGALTEKEIIRAERNSGVVSNRVREIQMQNYLRKREQKESRERELREGLFLYKNAKYEEALEKFESVLGTKPEPEEAAVASYNVACCYSKLNQIQAALSSLEEALNAGFEDFKRIRTDPDLANARASEEFDPLVKRFDESFINENAINAIKSLFGFGKKK >OIW19186 pep chromosome:LupAngTanjil_v1.0:LG01:8860592:8862928:1 gene:TanjilG_04929 transcript:OIW19186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHCYGLTIPQTHTLFYNHIPISPTTISLQPIITYPTTISFSSLRTNATLNGGHVVSTEVSHRSFYELLGIPLSGSLMEIKQAYKQLARKYHPDVSPPDRVEEYTKRFILVQEAYETLSDPRLRDMYDRDMAKGIHLAFNSRTRYHNDDHEIEQKANWKSRWQSQLSELKRRNESKNARENTSWAARMRQQRDQSSTKL >OIW18595 pep chromosome:LupAngTanjil_v1.0:LG01:21479115:21481392:-1 gene:TanjilG_13347 transcript:OIW18595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCGETRSVVVKRKAKETDETLCFSRVHNKEKMLQQQDKNVGRRCKLLCYWELPEYMKDNEYILRYYRANWSLKDALFSVFRWHNETLNVWTHLIGFILFLGLTLANLMKPQVVDLLGQLTRSFVSSADKNISHNFKDLFLGTALLFDLRHEIPLKMEVESEEVVTARWPFFVFLGGSMFCLLSSSICHLFSCHSHAINLFLLRIDYVGITVMIITSFFPQIYYVFLCQPHWQLLYLVGITGMGLFTIITLLSPTLSTGKYRAYRAMLFCSMGLFGIVPAIHACFVNWGNPRRNVTLAYEVAMALSYLTGTLFYVTRIPERWKPGWFDIAGHSHQIFHVLVVVGALAHYAATLKMLDWRDSFGCGNMLQ >OIW17894 pep chromosome:LupAngTanjil_v1.0:LG01:32986610:32993737:1 gene:TanjilG_19863 transcript:OIW17894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGGKSRTQRKHFRQSRENVWKRSKIDPNSNPNDENRTQTNSNPNPWTPFATENPSFDHYYKEQHIVNEQEWEQFVTVLRTSLPASFRINSSTQFADDIRSQLENDFAHSLRDEVAEGGETEAIRPLPWYPGNFAWHSNFSRMQLRKNQTLARFHEFLKLENEIGNITRQEAVSMVPPLFLDVHSDHFVLDMCAAPGSKTFQLLETIHQSTKAGSLPDGMVIANDLDVQRCNLLIHQTKRMCTANLIVTNHEAQNFPGCRLNRNRERIEPDRHIDQLLFDRVLCDVPCSGDGTLRKAPDLWRKWNSGMGQGLHSLQVLIAMRGLSLLKIGGRMVYSTCSMNPIENEAVVAEVLRRCGGSVELVDVSSELPQLIRRPGLKSWKVYDKGTWLVSCKDVPKFRRSVILPGMFPSGGSYQDLVDSNGNADMGEDISVCPNGHSEDGLQAVENPANHQSAEEFTDFPIEHCMRIVPHDQNTGAFFIAVLQKVAPLPAIQEKSKMEIVEQYVEPVNQSPEDGQALQINSSESALEEISNAVSEENVNANEKNTEDLEVSPAEEQDSKETKEPPSIENVEKKVPAKRKLQIQGKWRGVDPVVFFKDEAIINSIRAFYGISEQFPLDGHLVTRNNDAGHVKRIYYVSKSVKDVVKLNFSVGQQLKITSVGLKMFERQSAREGSDAPCAFRISSEGLPLILPYMTKQILHASPEDFKRLLQDKDVKFADFADPELGEKAANLMPGCCVVILDRGNRSATESHKVDESTIAIGCWRGRARLTVMVTAMDCQELLQRLLIRLDPEKGSSVPVDCSS >OIW17763 pep chromosome:LupAngTanjil_v1.0:LG01:34618003:34620009:-1 gene:TanjilG_06448 transcript:OIW17763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGRKSVVRKSDSLVNGSFKEHRNDSYGMKVDESYNFHATRSAKQVFHVGKEEREEGELCFEHGEADMGEVLPPPEKKKKISPIVWDLAEEEVKVSSKIKVTQVMSPYMPPQKYHENGVEDVDEDEENKVKGWNITMSRWASDGLSPMSTDDKSKGLKVISSVETDEFQRELSESTITRSSGSCGRDNCLGRSTSDSVSDKEFCSDSIYGNGEQSDVSDSPDSDEDSGLVDVRTDRNMFQSCRSICEFEMIKKINEGTYGVVYKARDKKTGEIVAIKKVKMNISRDGFPVSALREMNILLSFNHPSIIDVKEVAVDDYDGTFMVMEYMEHDLKELMKEKKQPFSIGEIKSMMKQLLEGVKYIHDNWVIHRDLKTSNILLDNEGHLKICDFGLSRQYGSPLKPYTPVVVTLWYRAPELLLGAKEYSTAIDMWSVGCIMAELISKEALFAGKSEVEQLDKIVRTLGTPDEKIWPGLSKLPGSKGKFVKQPYSILRRKFRASSFIGLPVLSELGFDLLEKLLTYDPEKRITAEDALLHDWFHEAPLPKSDFNPILPSWRG >OIW19117 pep chromosome:LupAngTanjil_v1.0:LG01:13070811:13073391:-1 gene:TanjilG_08917 transcript:OIW19117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDSAYRVETTSRLAQWKIENLSSCTYRKSDPFKIGKWNWHLSVEKNRVLYVKLYPEISNLTRDNPPIASFIIRVVSSVGDRKAFAHPEIKEKLLKNNEDFVWAVEVPLTGKFIIEVEFLDLKTTSPNGEEPYSIFADGFTQKRLNAKALESLGRMLTEGIHTDITINASDGSIGAHRAVLAARSPVFRSMFSHNLQEKELSTINIPDMSIESCQAFLNYLYGIIKHEKFLMHRLALLHAADKYDISDLRDTCHESLLDDIDATNVLERLQNASLYRLMKLKMSCIHYLVKFGKIFDIRDDFNTFLQNADRDLIAEVFHEVLVAWKGF >OIW18161 pep chromosome:LupAngTanjil_v1.0:LG01:26109636:26114267:-1 gene:TanjilG_31281 transcript:OIW18161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPARYSRIDNNRRPPTSYFSTVTIVAFVALCLVGVWMMTSSSVVVPVNEDVAQETNKNEVKQSVTETMSNSNARQFEDNRGDLAGDNPVASDNQEGKSEEKNEVETSENTKAGDGDKKTDSDENGNKSDSDETETKSDDLSKTTEEKVEQSGDKESDETSKSNEKKTDDIASGAQSDIFNESTTQNGSFSTQATESEKEKESQGQGSSKQSSVHNWKLCNVTAGPDYIPCLDNLKAIKHLKTTKHYEHRERHCPEEPPTCLVALPEGYRQPIEWPKSREKIWYSNVPHTKLAEYKGHQNWVKVTGEYLTFPGGGTQFKHGALHYIDFIQQSVPEIAWGNRSRVILDVGCGVASFGGFLFDRDTLTMSLAPKDEHEAQVQFALERGIPALSAVMGTQRLPYPGGVFDVVHCARCRVPWHIEGGKLLLELNRLLRPGGFFVWSATPVYQKLPEDVEIWNEMKALTKAMCWEVVSITRDELNGVGVAVYKKPTSNECYENRSENEPPLCPGSDDPNAAWSIRLQACMHQVPERTIQRGSQWPEQWPARLTKTPYWLNSTEVGVYGKPTPEDFTADYEHWKHVVSKYQDGMGIQWSNVRNVMDMRSVYGGFAAALKDMKIWVMNVVPLDSPDTLPIIYERGLFGIYHNWCESFSTYPRSYDLLHADYLFSTLNNRCNFKALVAEVDRILRPRGMFIVRDTAETINKLESFVKSMQWEVRMSSSEDDEGILSVQKSMWRPKELETLEYSIGIPK >OIW18222 pep chromosome:LupAngTanjil_v1.0:LG01:27627681:27630674:1 gene:TanjilG_31342 transcript:OIW18222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKASSECPYPGCFFCVMKEGNPSKRRASVLKFFRELPSQDDDGQVLPISGLWNTAMAHPNDPEFIEVGVFECMAALIWKGLKNRRWISHDQNIYIPYYAAHIIGSYTMNMEEFAESAVHAGVIPPLVELLRGRLTWVEQRVAVRALGHLATYASTFPAVASQGEILELSIQLAMSSLEIVFSHFYQYVDRRLSYHCDLLTRGMGGVEMESRKAEEWASQLQCWSLQLINCFAFKPEFLPTICKPEFLVELPGMWGGLVNENSPAGIGLLRTICHQKLGRGPVAACPGVIEALCNIARSSDDWQYMAIDCLLWLLQDPNTCHKVVDKVVPALVDLAEITTLGDHKKLGDSILNVLQDCIQSQGSGKSSISNRTIEEIENLLNSKQRFKWEKNMPREDLHIKQAASLVVKLEGNSLFSSGNISGAASKYSEALALCPMRSKKERVVLYSNRAQCYLLLQQPLAAISDATRALCLHRPVNRHAKSLWRRAQAYDMIGLAKESLLDAILFINECSQSSDPDISLRQNKVPDYAERLVKKQMRAAWLFREAAIKHGGVHSQGEGGNIYGPETDDSEWETASESDIGNDGRDDMGDDDDWNNDDKRKDYDKPSLKGIFTI >OIW17882 pep chromosome:LupAngTanjil_v1.0:LG01:33187421:33188230:1 gene:TanjilG_19851 transcript:OIW17882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGQTPQNGTTIKEVRDDQAVSSPVRLLPPPGHLDNHEMYIVQFPKDQIYRIPPRENALIVERHRNLPKEKKARSCCCSTRLLLTLCLILITIIAIVGITLAVLYFIFNPMGPTFSINDVMVNTIGKSKTPQYEISLGVKNPNNRLGLDYENNDNVVTLMSEGIMVATGKFPALEQGHDASSKVMVELTGTNVPLPKVMDMSMNDVKSNKPISLSLNMKLGLRVVTAGLKAWVMKSDVVCEFKVNALRNDTKILSQICETNFKIMFKII >OIW19703 pep chromosome:LupAngTanjil_v1.0:LG01:1228331:1231507:-1 gene:TanjilG_18513 transcript:OIW19703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQIFIQTLVDGLPYSHDAKFPLQIFKLKHLKVLSLSSCFLYPNQNPVKLPISIWDTFSDSLESLTLRSNPGLVGSIPHQFGSLRSLQSLVLLENGLTGKLPPSIGNLVRLRQLVLAGNHLVGEVPVNYGRFSKLLIFDASRNNLSGALPSTFGFLDSLLKLDLSNNMLEKVLPKELGRLKNLTLLDVSHNKLRGGLVGTLMEFVSIKDLVLSNNPIGGDLLRVKWENFDNIETLDLSNTGLEGSVPESMAKMKRLRYLDLSNNNIIGSVPSSLENLPYLSALHINGNNLTGKLDFSEGFYMKMVNSTDFEVGGKDGWIVPNSKDYHQMYNQWASKNRFKIGDTIFFKYKKDSVMGVTEKEYEKCRSSHPHFFSNNGDTVFKFERPGLFYFISGVSGHCDRGQKMIIKVLDIVSAPPPESQSANDTATKPHHKGAAAEIAPMSITTLALSVFSFLVFLFA >OIW19087 pep chromosome:LupAngTanjil_v1.0:LG01:14064525:14064908:1 gene:TanjilG_06396 transcript:OIW19087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFTGKMQKALPELLKEYNLPIGIFPRDATNYEFNVETGKLVVYIPQICEVGYKDSSVLRFFTTVSGYLEKGKLSEIEGMKTKLLIWVKVTTLTSEGSKLYVTAGMKKTRSREAYEVTRDGVCVDKF >OIW18473 pep chromosome:LupAngTanjil_v1.0:LG01:20517094:20519784:-1 gene:TanjilG_13225 transcript:OIW18473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQESFIYSFVARGTMVLGEYTEFTGNFPAIATQCLQKLPSSNNKFTYNCDHHTFNFIVEDGYAYCVVAKESVSKQISIAFLERVKADFKKRYGGGKADTAAAKSLNKEFGPVMKEHMKYIIDHAEEIEKLIKVKAQVSEVKSLMLENIDKAIDRGENLTVLADKTETLHTEAQNFRKTGTQVRRKLWYQNMKIKLVVLGILLFLVLVIWLSICHGFNCSK >OIW19729 pep chromosome:LupAngTanjil_v1.0:LG01:1451225:1452757:1 gene:TanjilG_18539 transcript:OIW19729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDTRPDTPLMPQSSRNLPDFKKSVKLKYVKLGYHYLITHGMYLFLSPLVVLIFAQLSTFSLQDIYDLWEHLQYNLVSVILCSALLVFLSTLYFMTRPRPVYLVNFSCYKPEESHKCTKRIFMDHSRMAGSFSDENLEFQRKILERSGLGDSTYLPEAVLNIPPNPSMKEARKEAAAVMFGAIDELFAKTFVKPKDIGILIVNCSLFNPTPSLSAMIVNQYKLRGNIKSYNLGGMGCSAGLISIDLAKDLLQAHPNSYALIISMENITLNWYFGNDRSKLVSNCLFRMGGAAILLSNKSCDKRRSKYRLVTTVRTNKAADDKCFSCVTQEEDANGKIGVTLSKDLMAVAGDALKTNITTLGPLVLPTSEQLLFFTTLVGKKLFKMKIKPYIPDFKLAFEHFCIHAGGRAVLDELEKNLQLSSWHMEPSRMTLYRFGNTSSSSLWYELAYTEAKGRIKKGDRMWQIAFGSGFKCNSAVWKALKTINVAKEKSPWMDEIDQFPVDVPRVSAI >OIW18568 pep chromosome:LupAngTanjil_v1.0:LG01:21192800:21193276:1 gene:TanjilG_13320 transcript:OIW18568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGNDAANSPLERASSPSMDQKMALAKRSSHEGVVAGAKAAVVAAVATAIPTLASVRMWPWARANLNPTAQALIISTG >OIW17778 pep chromosome:LupAngTanjil_v1.0:LG01:34427929:34432734:1 gene:TanjilG_06463 transcript:OIW17778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIRHLRLEDYEDDEEGEEAIQILSSQSHTTPPHQLPIPNRTNLPSEPLQISSDDDFVDVPDDLSPPSPQPSSDCPIADSLRRLGLGLKREWLRDCLRELEFALRGFSGFDVTTKAKLCFEQFLFADMNFSGSGVLPPSVDSMHRAQLPGPYVLQVDEIVNITCPLRGRYNQAPPGAKRCLKLSMTDGIQRVFGMEYRPIQALEVCAPAGLKVVISNVHVRRGLLMLVPETIEILGGLVEQLDAARKRLVDELNKPPRGKRTKNGALPPLASRASLAAWPSSVVDDLRHNSSTLRTNDSVQVNQGMPLNLQIKYMYACVDGGAHVNTHGSGTRAAQSSSGVDLRHRSSTLQSNDNVHASNQGAGVRMNGTSNSLPRAAWASSEVDDLRHNSSTLRNNDSFQASNQGAGMGTNGTGHSLTTEDTLHTGLQNDFANSIPHTVSNAEPMNMDMQENTNHVSIANSMVNQFSSIVAKAEEMHIDTTNITRGNSVDNQTSHIRSNIAVAPEDTVHITRERRNFSTVSSSDDFHMFDASDDPLIFCGDEEVPFTYLASLSAKWAAMKEKAPSIQGKIKCFLTGVKGFQYKKRTTYELQAYVDDGSLISEILIDHDVVQQGIGYSPQEVTAALSSPDTKIVHNMKETMRRFQGFLANFEGVMLVELNRKSSLPLALEMSQGCPQSDAWLLLRRLKSPQPAQVQRHIPSDPIELSP >OIW19099 pep chromosome:LupAngTanjil_v1.0:LG01:13300969:13303409:-1 gene:TanjilG_21833 transcript:OIW19099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLSKQKKNQNANARRLLISINVLGSAGPIRFVVNEEELVATVIDTALKSYAREGRLPVLGNDIAGFALHCPHVGSDGNNKMLLSKQKKNQNANARRLLISINVLGSAGPIRFVVNEEELVATVIDTALKSYAREGRLPVLGNDIAGFALHCPHVGSDALSPWDAIGSHGARNFMLCRKPQPSTENVNAAADGNGTSLSRRGSGSWKAWLNKSLNLKISSH >OIW19089 pep chromosome:LupAngTanjil_v1.0:LG01:14140116:14144967:1 gene:TanjilG_06398 transcript:OIW19089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALASSKISAFSSLSPIVGDVPNKFISSMSFVLSNSPCSSSLTLSKAGGRDNLMRVSASNVSAPFTGVIFEPFEEVKKDVLAVPFTPNVSLARQNYTDESEALINEQINVEYNISYVYHSLFAYFDRDNIALKGHAKFFKESSEEEREHAEKFMKYQNTRGGRVILHPITSPASEFEHVEKGDALYAMELALSMEKLVNEKLLNLHSVAERNNDPQMADYIASEFLQDQVEAIKKIAEYVTQLRMVGKGHALSLPNPWRDILSSRCDEEVQAKSREADGQQLYFRDGGQLDGMDGEIGVGAKMEVCVDGADGVCYVDCTVPLITPRDTVCIKEGSEECIISNG >OIW17823 pep chromosome:LupAngTanjil_v1.0:LG01:33966542:33969096:1 gene:TanjilG_02451 transcript:OIW17823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFQLSMNESSKPASSRWRRVLLKVSGEALAGDQLQNIDPKITMAIAREVASVTRLGIEVALVVGGGNIFRGSSWAGCSGLDRSSADYIGMLATVMNAIFLQATMESIGIPTRVQTAFRMSEVAEPYIRRRAVRHLEKGRVVIFAAGIGNPFFTTDTAAALRCAEINAEVVLKATNVDGVFDDDPKLNPEARLLDTLTYQEVISKDLSVMDMTAITLCQENNIPVVVFNLNKPGNIEKAIKGEKVGTLIGATWNSTMSIT >OIW18145 pep chromosome:LupAngTanjil_v1.0:LG01:25890697:25896502:-1 gene:TanjilG_31265 transcript:OIW18145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPIAVTASATTSLLAFHRGAKQWNKIIPSYWRRSSGIGSSLFANSHRKPLQFRFSTSAANESSGGVAEEISGSEKLPINFVWSDNKKPRVCILGGGFGGLYTALRLESLEWPDDKKPQIVLVDQSERFVFKPMLYELLSGEVEEWEIAPRFSDLLANSSVQFFKDRVKLLQPSDHLGTNGSNTSSCGGTVHLDSGLLIEYDWYDLHYLTRLVLALGAEAKLDVVPGAAEFAFPFTTLEDARKVNDKLTTLERTTFGKDFQISVAIVGCGYSGVELAATVAERLQNKGIIRAINVDPIICPNAPPSNREAALKVLSSRKVELLLGYFVRGIRRVSESDSSDTSAGVDENHIEVAHNLEKYILELQPAERGIQNKIIEADLVLWTVGSKPPLPQLEPSDFPFVLPLNARGQAETDETLRVKGHPRIFALGDSSALRDSNGRILPATAQVAFQQADFTGWNLWAAINGRPLLPFRFQNLGEMMTLGRYDAAISPSFVEGLTLEGPIGHTARKLAYLIRLPTDEHKLKVGISWLTKSAIDSVSLLQSTLTKTNTRESVIIFFPSLSLSVFFPSLSVFFLISNPIRTSTLRHLLQFLRCPGDENHTRSLSPSVANLGSLLESDQMRGNNLSGLVPSNTNSTGARGSVAS >OIW19761 pep chromosome:LupAngTanjil_v1.0:LG01:109980:115641:1 gene:TanjilG_27300 transcript:OIW19761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKQRVFTFGKGRSDGNKTMKSLLGGKGANLAEMATIGLSVPPGLTISTEACQEYQENGKKIPPTLWEEILKGLDTIENEMRASLGNSSKPLLLSVRSGAAVSMPGMMDTVLNLGLNDEVVAGLASKSGERFAYDSYRRFLHMFGDVVLDIPHLLFEDKLQKLKNAKGVKLDTDLTASDLKDLVEQYKTVYLEAKGEEFPSDPKKQLELAVNAVFNSWDSPRAIKYRNINQISGLKGTAVNIQSMVFGNMGNTSGTGVLFTRNPSTGENKLYGEFLINAQGEDVVAGIRTPQDLEAMKTSMLEAYNELVENCEILEKHYKDMMDIEFTVQENRLWMLQCRTGKRTGKGAVKIAVDLVNEGLVDIRSAIKMVEPQHLDQLLHPQFEDPSAYKDQVIATGLPASPGAAVGQVVFNAEDAEAWHAQGKSAILVRTETSPEDVGGMHAAAGILTARGGMTSHAAVVARGWGKCCVSGCSDILVNENEKEVVVGDKVITEGDWLSLNGSTGEVILGKQPLSPPGLSDDLETFMSWTDGIRNLKVLANADTPEDALTARKNGAEGIGLCRTEHMFFASDERIKAVRMMIMAATLEQRKAALDLLLPYQRSDFEGIFRAMDGLPVTIRLLDPPLHEFLPEGDLEHIVSQLSSETGAKEEEIYSRIEKLSEVNPMLGFRGCRLGISYPELTEMQARAVFQAAVSVSNHGIKVFPEIMVPLIGTPEELKNQVSVIRNAAEKVFSEMGSSLSYKVGTMIEVPRAALVADEIAEEAEFFSFGTNDLTQMTFGYSRDDAGKFLPTYLASGILQNDPFVVIDQKGVGQLIKMCTEKGRAARPNLKIGICGEHGGEPSSVAFFANIGLDYVSCSPFRVPIARLAAAQVAV >OIW18443 pep chromosome:LupAngTanjil_v1.0:LG01:20322893:20324051:-1 gene:TanjilG_13195 transcript:OIW18443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKEAKFPPQSQETQPGKEHIMEPLPQAIHPNYKPANKLQGKVALVTGGDSGIGRAVCLSFAKEGATVAFTYVKGHEEKDKDDTLKMLLDAKTSGAQDPLAIAADIGYEENCKKVIEVVVKEYGRIDVLVNNAAEQHLKNSVEEITEQQLLRVFRTNIFSHFFLVRHAVKHMKEGSCIINSTSVNPYSGNPESVDYTATKGGIVAFTRGLSQQLVSKGIRVNAVAPGPVWTPIQPGSKPGEMIENLGCEVPMKRAGQPCEIAPCYLFLASLPESSYYTGQVLHPNGGMIVNT >OIW18313 pep chromosome:LupAngTanjil_v1.0:LG01:24443826:24446473:1 gene:TanjilG_31453 transcript:OIW18313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSITTMPMFNSLTIAEPSLFSLPSLTKSKYPILPNPHKHIKLQLSCSYSSLFSPSLSLCTRRTHNSPVLTFVAQTSDWAQQEEKEEGEEGEPTWENQDAVDEREEGESAQDGNFEEKGFDEPSDDAKIFVGNLPFDVDSEKLAMLFETAGTVEIAEVIYNRATDQSRGFGFVTMSTVEEAEKAVEKFSGYDFNGRLLTVNIAAPRGARQERPPLAFDNTLRLYVGNLPWSVDNARLEQIFSEHGKVENARVVYDKETGRSRGFGFVKFSSEAEINDAIAALDGQV >OIW18543 pep chromosome:LupAngTanjil_v1.0:LG01:20960102:20967096:1 gene:TanjilG_13295 transcript:OIW18543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTVSVGRDRLYAALGKSYSQEAFEDLCFSFGIELDDVTTEKAIVRKEKHLEEEVGDEDEEVIYKIEVPANRYDLLCLEGLAQSLRVFCGFQEIPTYTLADVTKDAILKMHVKKETSQIRPYVVCAVLRGITFDKARYNSFIDLQDKLHQNICRRRTLVAIGTHDLDKLEGPFTYEALPPSSISFVPLKQERCFRADELMEFYKSDLKLKKFLHIIEGSPVFPVLYDHKRTVLSLPPIINGAHSAITLETKNVFIECTATDLTKAKIVLNTMVTTFSEYCENKFVVEPVEVIYSDGESHIYPDLSVYNMEVSLSYLSGLIGVSLEAEEVTKLLNKMQLHAKQSASDSKQSSFVVSVPPSRSDILHPCDVMEDVAIAYGFNAIKDKAVIDNQGSKRLASSLTLLSLNEFSDLIRKEVALVGFTEVLTFILCSKKENFALLNRKDDKSKAVIIGNPRSSDFEAVRTSLMPGILKTVAHNKDHPKPIKIFEVGDIAILDDKKDVGAKNCRHLAALYCGANAGFEIIHGLVDRVMEKNGVPFVSPGDISGYYIEQSDEPEFLAGRQARIIFKGNQIGTFGIVHPEVLNNFDIPDPCSLVELNIESFL >OIW18846 pep chromosome:LupAngTanjil_v1.0:LG01:19872173:19872856:1 gene:TanjilG_25289 transcript:OIW18846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQYIFLCLTILTLASVYGSMAVEYVVSNTAESSIGGQKFDKSIGADYAKQTMNSATDFILKLFQENVDAADRKNVEEVKLVIENIEGVAFDSNNEIHVSASFIERFNGDIKSEITGMLYHEMTHVWQWNANGQAPSGLIEGIADFVRLKAGYVSNNWVPPGRGDDWFQGYDVTARFLDYCNTIENGFVAELNKKMKSSYSDTYFVELLGKPVSQLWSDYKAKYAIN >OIW18615 pep chromosome:LupAngTanjil_v1.0:LG01:21623706:21629203:1 gene:TanjilG_13367 transcript:OIW18615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGSRRGRSRSNNNRNRKNALFIEGGFLSDWHPSSSPNFNSSGGNSSSKKRSGSKSGRGRRAEASASRTVVPNSSSGATIGYSYPSYNNIQEVSCVENNSEGSNLNKLQQFVPVDSKQNQVIAHVDQTPPSKPSNVQYTYSYGSDFVLGDSSQKALDFSPEHDNTPSGIGTSSDQMPQSTPVFGSSSFVKDVGSDEGMNRELVNQMTEELPSKMSVERNSGFLSIGGLKLYTEDISDNGSDEDDNEMSSNEDIYGSSESEELVGSSDSDDSEDISDSDSDIDEEVAEDYLEGVGGSDNIIDAQYLLKSVSDESDDDGSSSSCYDEALEKLSGIALQEASREYGMKKSQPWKKRSGHSGPLDLDDLMLEKDPRTASRKKHVSQFPRSWPLQAQKSKASKRIHGEKKKIRKEKIAVKRRERMLHRGVDLEKINLKLQQLVLEQVDIFSFQPMDSRDCSQIQRVAAVYNFRSSFQSFGKKRFVTVMRTQSTSMPSSSGRQRLEKLLGVDDDDFAVTDYINKKHVAGDKRSAKRSAKRNDFRLQESQSSQKKASKNSGSRGSGKGKDKKGSGQKAPLANQPVSFVSSGLIHSETVQITAVDTEETDDGYKKGVTSSANIGSFELHTTGFGSKMLAKMGYMEGTGLGINGQGMAEPIEVIRRPKSLGLGVEFSTSPPEPASEPASRNSKPSSSRIGGFEKHTKGFGSKMMAKMGFIEGTGLGRDSQGITNPLSAVRLPKSRGLGAKR >OIW18834 pep chromosome:LupAngTanjil_v1.0:LG01:19978416:19979744:1 gene:TanjilG_25277 transcript:OIW18834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNKTVIITIINKAYVEEDDGGGRAMLDMFLSSFWLGEGTRSLIDNLVIVTVDKTAYDRCNFLRLNCFRLETNGVDFEGEKLYMTQDFINMMWARTLFLLDILKLGYNFVFTDTDVMWLRNPFTRLSKNETLDLQISADVYLGNPWSEKHKLNTGFYFVRSNNKTISLFETWYGNKDNATGLKEQDVLQDLIDDGIIGKLGMKVMFLDTLYFSGFCQDSEDFRVVTTVHANCCRSITAKVLDLKAVLHDWKKFNKLDKNSVGNLTWTKHVACVDSWTKNYNI >OIW19342 pep chromosome:LupAngTanjil_v1.0:LG01:3651317:3656408:1 gene:TanjilG_03476 transcript:OIW19342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPFCTWLMAACMSITCDSDHRYSSSKRRYRFTNFTSSATSKFNTRLISSLYGSSIHGLITSLEPCTDYNASNTLSQLFRSNTTNFNRKQRRLNSLSRSGKTVALQPDQEFTSTKKPLAKQRRVVVTGLGVVTPLGHDPDVFYNNLLNGASGISEIEGFDCADFPTRIAGEIKSFSTEGWIAPKLSKRMDKFMHYMLTAAKKALVDGGITKDVMDELNKEKCGVLIGSGMGGMKVFSDSIEALRVSYKKLNPFCVPFATTNMGSAMLAIDLGWMGPNYSISTACATSNFCILSAANHIIRGDVDVMLCGGSDAAILPIGLGGFVACRALSKRNTDPAKASRPWDIDRDGFVMGEGAGVLLLEELEHAKKRGATIYAEFLGGSFTSDAYHVTEPRPDGAGVILCIEKALAESGISKEDVNYINAHATSTPAGDLKEYQALIHCFGQNPELRVNSTKSMIGHLLGAAGAVEAVATIKAIKTGWIHPNINLENPDKGVDAKVLVGPTKERLDIKAALSNSFGFGGHNSSIIFAPYK >OIW19164 pep chromosome:LupAngTanjil_v1.0:LG01:9615751:9616420:-1 gene:TanjilG_13946 transcript:OIW19164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLDHNHHVICGQRLGFITISLLFLIISSWIQQGLVTEGRKTQKQSGFRQNVGEEKIILRAQIGSRPPRCERRCRTCRHCEAIKVPTNPQMQNGKISSSKLSTIAYARGNDDSNYKPMSWKCKCGDLIFNP >OIW17857 pep chromosome:LupAngTanjil_v1.0:LG01:33547704:33574796:-1 gene:TanjilG_14103 transcript:OIW17857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLPLGSEDPAILQLHKWDPAEALLEISEFREAYLSPTREILLLHSYQREALLVPLTKGESHSDGQESGYDNDNHNPGSSSLSSQAFTRPDRSGLVNGVTCTSGSEIDIDPDTDPAKIKCSRSNSYPFISDVNSLAWALCGDSYDKHGDSSFREFLFVSGRCGVTVHAFSRLNKARGVVQTALEGDFRQGRWVEWGPGATLTQNKEDGESSSISHELSGGQNANQSGGDGGFELLRGFAAKRYLESFFTNVETTVSDGSAQTKFPKNADLPCSAEVVSFNIFDVNPPLDHFSKEKPFHNKENLQEPADSVDDASDHFSLTLCGADAKLDYFFDVFGIEINGFYECPRVFSSASYCLIGFFFTLIDHMSVNTNDPNQRGRSRNLILVARLDSWGIQWVSVVKLDERINLGQAVEWMDFQFLDNFLVCLSSSGLVVLYAAMSGEYVTHLNVPKACGLNLNFDLQGLEKWPSIGDMDVKQEFDIRASLSDQHNDSIRRSFKRLVVASHASLLAVVDECGVIYVISLSEYVPSKNYSYEKLLPYCQNFGLGMLFGYSVGGSDIDRQMVFSNFSGCFHSNDLKMKNGTASVTDKALMGDVIQKVEGCMPAEKGGLCGSYSSGFSAASDVKSPVMRKIFLPSFRVCDADSICFSPLGITILSKNKYVNNQKGSQLVHFNLQVKLDVHDDYLSDSACDVYNFNGKEEAIIGEAIGCTFQGCFYIVREGGLSVYLPSISILPNFLPVEYIGYRQSSKDIETSVLLKDNVEIKDPIEKFSPWKAEILDRVLLNEGTKEADRLCLENGWDIKVSRIRQLQIALDYLKFDEIERSLEMLVDVNLEEEGILRLLFAAIYLILNKSGNDSETSAASRLLVLATRFTTKMLRKYGLLQHKRDTCIAEALNKTGLLSLPPIEPVKLQTEVDYARKLREMAHFLEIIRNLQSRLRSIFQRADSGKESSLRSMEMLQEESQLSALPSDLVSLDMLNQHDFPYPLPAPHSDNNENLTLVPVDSNSHLISEEFGEAIHFTPSGGILEKKVLPVENPKEMMARWKVDKLDLKTVVKDALLSGRLPLAVLQLHLHQLEDFVADKEPHDTFTAVRDIGRAVAYDLFLKGETDLAVATLQRLGENIESSLKQLLFGTVRRSLRALIANEMKRYEYLGPYEWKILEDMSMIESHYPSSSFWKTYHRRLKDTSVPSDPVSPLEIRLRLLHNHSFDSLVIEGGEIDGIVLDSWTNINESSCSTEVDEDDAHAGYWAAAAVWFDAWEQRTVDRMILDQCLPAGIALLWESQLEYHMGRNNWKEVSALLDVMPSYVLSTGSLQLNLDVLQPASSFGCNMKSSNFGNFFVSHEELDSVCMVIPDVQIYRFSPDVCSGWLRMILEEKLAKRFIFLKEYWEGTMEMIALLARSRFISGRDQILFEDDHVETSSNRDGAVQALHKMLVQHCAQYNLPNLLGLYLDHYNLVLDQNSLYALQETAVGCQWARWLLLSRVKGCEYKASIANARSIMSNNLVPGSDLSVLELDEIIRTVDDIAEGGGEMAALATLMHATVPIQSCLNSGGVNRHSNSSAQCTLENLRPSLQRFPTLWRTLVAACLGQDTLSLLVPKAKSVLSDYLSWRDDIFFSTGRDTSLLQMLPCWFPKPIRRLIQIYVQGPLGCQTLSGFPTGETLLHRDIDLFINADVHSEISAISWEATIQRHIQEELHGPLLEENGLGLDHHLHRGRALAAFNQILSHRIQSLKSEGGASTSAHGQTNTQSDVQRLLSPLGQSEETLLSSVFPIAIMHFEDSMLIASCAFLLELCGLSASMMHIDIAVLRRISSFYKSSENNENLRQLSPKGSVFHAMSHEGGVTESLARALADEYSHRDSPVIATETVALSKRPSRALMLVLHHLEKSSLPQLVDGNTYGSWLLSGNGDGTELKSQRRAASQHWTLVTNFCRMHQLPLSTKYLAVLARDNDWIEFLSEAQIGGYSFDTVVQVASKEFSDPRFRLHMLTVLRGMQSKKKASSVSPFDTQEKGDETNFPDENMCVPVEIFQILAECEKQKSPGEALLMKAKELSWSILAMVASCFSDVSSLSCLTVWLEITAARETSSIKVNDIASQIADNVGAAVNATNALPVSIRVLTFHYNRQSPKRRRLMTPISLDSSTYAISDIGSTSIGEKVFDSQDNTTDDKKRVENSGSIDVASDSGEGPASLSKMVAVLCEQQLFLPLLRAFEMFLPSCPLLPFIRALQAFSQMRLSEASAHLGSFSARIKDEPIYLQANIGREGQIGTSWVSSTASKAADAVLSTCPSPYEKRCLLQLLAATDFGDGGYAAAYYRRVYWKINLAEPLLRKDDELHLDNETLDDASLLSALEKNMHWEQARNWAKQLEASGAPWKSAIHHVTESQAESMVAEWKEFLWDVPEERVALWSHCHTLFIRYSFPSLQAGLFFLKHAEAVEKDLPARELHELLLLSLQWLSGMISLSNPVCPSHLLREIETKVWLLAVESETQVKNEGDFNFTFSNRENGIKNDSSIIDRAASIIAKMDNHINTMRNRSVEKYESRESNQIPHKNQVVDTGLSTTFAGGTKTKRRAKGYMASRRPPIESTDKNADTDDGSGTLGLKSELQIQEVNLKVEMSFSRWEERVGAAELERAVLSLLECGQIAAAKQLQHKFSPEKTPTEFRLVDAALKLAAISTPPGNVSTSMLDEEVHSVMQSYGVLNDKHHVDPLQVLESLVAIFTEGSGRGLCKRIIAVIKAANALGLLFFEAFNKQPIELLQLLSLKAQESFEEANLLVQTHPMSAASIAQILAESFLKGVLAAHRGGYMDSQKEEGPAPLLWRFSDFLKWAELCPSEPEIGHALMRLVITGQEIPHACEVELLILSHHFYKSSACLDGVDVLVALATTRVDAYVLEGDFPCLARLITGVGNFYALNFILGILIENGQLDLLLQKYSAAADTNTGTAEAVRGFRMAVLTSLKHFNPNDLDAFAMVYNHFDMKHETAALLESRALQSAEQWSRRYNKDHNEDLLDSMRFYIEAAEVHSSIDAGNKTRRDCAQASLLSLQIRMPDFQWLYRSETNARRALVEQSRFQEALIVAEAYNLNQPSEWALVLWNQMLKPEVMEEFVAEFVAVLPLQPSMLIDLARFYRAEVAARGDQSHFSVWLTGGGLPAEWAKYLGRSFRCLLKRTRDLRLRVQLATVATGFGDVTDACNEELDKVPDNAAPLVLRKGHGGAYLPLM >OIW18487 pep chromosome:LupAngTanjil_v1.0:LG01:20610368:20613588:1 gene:TanjilG_13239 transcript:OIW18487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSPGKWIKTLLFRKRSSKSNISQGREKLVSQKEGAVPLKLSETDLAADPTLNSNGRNEEDIYLEDKEAENVSPGDLEVNMVQSVQQDAPFDQENIILVEAATKTQAAFRGYLARRAFRALKGIIRLQALIRGHLVRRQTVVTLCSMYAIVKLQALVRGRRVRQCNLLKPLDGKQVKPNDVHTRIMKLSANAFIRKLLASSIVILPLRLQYVAGDPNSVLSWLERWSASYFWKPVTQPKKVLDIKSQRKQGNNSIGEAQMSKSKRTNRKLPTSNFDSVPVQANPELEKPKRNARRISSQPSDPVQESPQVELEKIKRNLRKVHNPVVENNAPSEVESETPKQHSERAATVKSGHAGVLEQGVNGSNEKIKKEGTLIISSVPHREIPPAPFVSKDVSDIPSINQEVVESNPLTDITSKDENISDNDVKNEPKDLLETVCKDENSHLTNGDLSHKEDPTGSENLKPTRKSPIVTKQDRAENGSLNSPTLPSYMAATESAKAKLRAQGSPRLAQEVSERNNPARRHSLPSSINSKISSHSPRTQRPAQAGSKGDHKSDKTVSSSREGNGKVVQAEWKR >OIW18550 pep chromosome:LupAngTanjil_v1.0:LG01:21041619:21043020:-1 gene:TanjilG_13302 transcript:OIW18550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSSNSFINSNDLISYPIQFYSSRPFSIESNPTQLETPNTSCALVSPPLSFFHFPSLFEEDQHDIFLEQYQHHLLNHQQSINEAEKVNVNMENISIIADHKAGQGTDPQIPIRRSSKGDRHSKIKTAKGLRDRRMRLSLLVAKRFFGLQDMLGFDKASKTVDWLLNQAKVEIKKVAREKNIHHHHHHHHVKSASSTSECTEAVSSLDEVAVSGNHQKHIKGVTKRRRVKVCRKIAFKHIGKESREKARERARERTKQKMRSQFLAEPDKSKQCEEATNDNLLSLGSCWNPFETVEGCAGTQSQSVDPSWDVINEVEERNSQGMEHLRTEEGIEQEDSLVIMSKWSPTTIFNYSLTNSGILQEHHFSEFQSMGKPWEPYNNHNI >OIW19377 pep chromosome:LupAngTanjil_v1.0:LG01:3294878:3297320:-1 gene:TanjilG_03511 transcript:OIW19377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNNTDSSNVSDLTSDINEENRAEPYNALISKLKSLAEQHPDVLESLSSHVRKRVEVLREIQGEHDELEAKFFEERTALEDKYQKLYQPLYTKRFEIVNGVTEVEGAADTEDDKVEKGVPGFWLNAMKNNAVLAEEISERDEYALKFLKDIKWNRIEIPKGFKLEFYFDSNPYFTNSVLTKTYHMIDEDESILEKAIGTEIQWHPGKSLTKKVLKKKPKKGSKNAKPIIKTEKCESFFNFFNPPEVPEDDEDMDEDTADELQNYMEQDYDIVSTIRDKIIPHAVSWFTGEAIEGDEFGDLEGDDVDDEDDDDEEEDDDEEEDEDDEDEEERKTNKKKGGRARRGDGQQGLQPPECKQQ >OIW18519 pep chromosome:LupAngTanjil_v1.0:LG01:20796123:20798186:-1 gene:TanjilG_13271 transcript:OIW18519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAKRCHYEVLGVSLDSTADEIRSAYRRLALQRHPDKLVQSGISQSEATAQFQELQHAYEVLSDPKERTWYDSHRSQILFSDPNSVGSSVVPDLFSFFSNTVFNGYSDSGKGFYKVYSDVFDKIHANEINFAKKMGIGVDSVRQAPVMGNLESPYAQVTAFYSYWLGFVTIMDFCWADEYDAMAGPNRKSRRLMEEENNKARRKARKEYNDTVRKLADFTKKRDKRVIDMKVKKNAELEKKKEDEMVMKRKLEKERKERAMKYEEPEWAKVEEDNEDDWVEDLEEETKAEKEFYCVLCGKKFKSEKQWKNHEQSKKHKEKVAEFRDSLDDDEEEQVEEDLEVEIEGEGLHSEGYGVEVNDDRLVEDDYGVDDLEGRVRDGLNVTEEESRNGVELDNDDGGEFFDAKEGHAAGVSVDFGEDDEIGVLEAMVAGHKSRKPNASTQKPKDWADTTHIENVNDGDGPMEYDNRKSARKKRRNKKEKGKENWEESHVAAANADLENLDSKGNNDSYTEESCSSQHFVGNDTNGKENEPIGRDKKASSQVADKKGTAKDTKTKAKTSSKERKGKATSKNVGNSCDACGEEFESRNKLHMHLGETGHGTIKRR >OIW19455 pep chromosome:LupAngTanjil_v1.0:LG01:2530639:2535346:1 gene:TanjilG_09475 transcript:OIW19455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEGEVEKAKMRARPIVKLGIFLISHSSFVSVICLIAAIVALLLLPVLAKNTYISENALMPGSANSMLSTQDVSEANKLVKDLAHLYLRSPIESQQIIGQYMSGLDAEVTYQKFYPQLNRFHPLHFFTNSDLGISSSNMNCSSLGINTVGIIRAPRGDGKEAIVLVTPYNPEKNGVGEALSLGIAYSVFSLLSRVTWLAKDIVWLVADSRYGEHSAVDAWLREYHDPVFHRNDLTDSETCNESNTTTELEQKLYVDKKFYSGFRRAGTMAAALVIKVAEDSNHFEDSLNIYAEASNGQMPNLDLINIVNYLAVHKEGLRIQVKKIWSLLGFRWLNTLGVVFESVGQIARSLNPQWKFGIPASEYVEGTATLASSLYCQAFGVPTGPHGAFRDYQIDAITLEISPKVSLSKMTMRNDFILRGGRLLEGVVRSINNLLEKFHQSFFLYLLTSPSKFVSVGVYMIPFVLLITPLPLVAASLHIDASKSTTPQTTEVDVSRKSWKWLNSARKVFVIHLWGVVVALFPYFLCRMPNSTPTTNFTLWGLLSAFSLIILYLILGSPVFEASSSQPEKREWASIKSVTTTAAFIGLSLMSVINFATAEIGALFIIPICLMVRPLKLDAQARSLRTLLRATLNLVLGFIAFPPVAYVLLKVVFDDVDGFSVGEYWNWVESLWAWNSATYLYVGIVHLPCWALCIHILFHPC >OIW18514 pep chromosome:LupAngTanjil_v1.0:LG01:20755875:20763127:-1 gene:TanjilG_13266 transcript:OIW18514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGNEVGDRVHNFFGQENLSQGQYHSQAVEGNWPGLNNNLWDGSQRPTGAPFIPNLKNFNLQQSDSEQGQTSSQNLRHGFNLAQSNRRLDSGRNQPPNQQTAANGYMQGPQIFQSRQNEANILGVGAEADLHGISSLPRGISVMESQQGAGLELYKKNLTRTDATESPVNYDFFGNQQQISGRHLGMLQQSLPRQSSGINDMHLLQQQAIFNQMQELQRQQQFHQLEARQHSSMTPASSTSRQTVTSHPASHINGIPINEASNLLWQPEVMATNANWLQRGASRVMQGSSNGPALSPEQVRLMGLVPNQGDQSLYGLPISGSRGVSNLYPHVEADKPAVSQVSMPHQYSHIQGDKSALPHIPASANSFPAHQYGAFSDQTNTNNGTSVSRQDIPGKSMFGSIAQDLNSGLNMDNLQQVNSEHRNAPIEDFHGRRELAGSSETSQDKMIMQFAPSQNEATLDPTEEKILFGSDDSLWDGFGRNAGFNILDSTDSFNGLPSVQSGSWSALMQSAVAETTSSETAIQEEWSGINFQSAERSSGIQQPSTIDSSKQQSLWSENNLQSASNINSRPFLRPNDVSRPNTTVNYSVAGFQQSAIDSAQEQHDRLQSDSSQRSVPQFLERGKWLDCNPHQKPNAEGSRIYGNVAYSSGLEMNEKVISDSWAHQQTLSSPNSSGEPFNRSNGWNAIKSAPPDNNSILKAQTEAMQEMGQVPAMWEHDSDTNSSLGLEHVNSGSNMQVCGDDSGINGIAAIPNSGSTWVSRQNNQQLPNLDAWRHADSVGNYRRNEAPGTHTHHMEKNPLVLESSKNEKIDGEAHDMQNSNKKDKSSDSIDPNPSHHIAGGKRETSSFDGCDSLSPKLSGPGNQRTPITRKFQYHPMGDSGVKMEPYGNKHVLNSQPMPHQSFGGFKGQDQSYPGQSKYGHYDGNYTESEKVDSKSSDDNASRGISPSHMPKTLNSFDRSIGNYALNKTTSPSQNILELLHKVDQSGEHGIATNTSTSNRHFSSRVPNTKSSDGSSVHPQQYQSSSTQGFGLQLAPPTQRLPIASSHATPHVASETMDMGHTWLAATQTFPSRESSHEHRNNISGSSGQTFDKASQYSVLGNIPQAITPGFPFPRIHTQNQNMANTHCADETFVDRTASMNKLDEQSERAQSSHFDLASAPGESAMQISSLEASTAPHPSVTFSTSLHDAPSKVLHNVWTSISSKQHPNTSMIPSRPLPINVCETTPGPQKSGIEDSEKDCNELSGKQIFPLSVDASEETTSASHMKSTRDVSQSSPAATPRDIEDFGRSLRPNHFLHKNFSSLNQAQSMQDVDIDPNNRDFKRFKVSDNVVDKQQVDINHGQKSDQYDNMVKDVVGNHASLPPSDPNVLSFSTKPDDGRDTNASSYEVVGCQKNALNLSNSSKATSVKSEHTQINPQMAPSWFEEYGTFKNGKMLPLYDVQTMTPPKIMDQPFILRSQSDNLHLGKSMEQINSLGDAGQLVNARQTLMPTSVASEHLPSQLLPPPPVEPDLIITRPKKRESSTSELMPWHKELSQGSERLHNIRVAEFEWSQVANRLVEKVEDDAELVEDLPTMKSKRRLVFTTQLMQQLLNPPAAAVLSADVKLDHESVVYSVARLVLGDACSSVSWSGSDTLVPPGSKKLLPIKLNSSQKIDQYILKAEDFVGRAKKLENDISRLDSKASVLDLRVECQDLERFSVINRFAKFHGRAQNDGAETSSSSDLTANVQISCPQKYVTAVPMPRNLPDRVQCLSL >OIW18310 pep chromosome:LupAngTanjil_v1.0:LG01:24476441:24479162:1 gene:TanjilG_31450 transcript:OIW18310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGVTSSIAAKIAFFPPNPPSYKLVKDEVTGLLKLDTFPHHENVDVLEFPTRRRTEIVAVYIRHPMAKSTMIYSHGNAADIGQMCELFVELSILLRINLLGPMLSGLRAMFHMKRTYWCDIYKNIDKIPLLKCQVLVIHHKHYFTKLAPSENCIQGTADEVVDFSHAKKLWELCQEKYKPLWLQGGKHSDLEHYPEYPRHLRKFISTIENPAFQRIKPARESINCSEPSRKSTDQRDIPKKRTIWKERPKFCQYKFNKSRFSIDHAERCPRIGHHHTRRFDTFIINI >OIW18687 pep chromosome:LupAngTanjil_v1.0:LG01:22199719:22206038:1 gene:TanjilG_13439 transcript:OIW18687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSVLLGSFPKPSSFTIGSYAPTVSWHKRKIQKEHNFLRFQQLSLNHPYKGISGGSTCQGCNRKYVVKAASEQSFESESRGLDPNNIRDSVKNSLDAFYRFSRPHTVIGTALSIISVSLLAVEKASDLSPLFFTGVMEAVVAALFMNIYIVGLNQLYDVEIDKINKPYLPLASGEYSFQTGVIIVASFSILSFSLGWIVGSWPLFWALFISFVLGTAYSINVPLLRWKRFAVLAAMCILVIRAVVVQLAFFLHMQTYVYKRPAVFSRPLIFATAFMSFFSVVIALFKDIPDTEGDKIFDIQSFSVRLGQKKVFWICVSLLEMAYGVALVMGAASPCLWSKIVTCLGHAVLASVLWYRAKSVDFSRKASITEFYMFIWKVPLLRWKRFAVLAAMCILVIRAVVVQLAFFLHMQTYVYKRPAVFSRPLIFATAFMSFFSVVIALFKDIPDTEGDKIFDIQSFSVRLGQKKVFWICVSLLEMAYGVALVMGAASPCLWSKIVTVSCLLSA >OIW19507 pep chromosome:LupAngTanjil_v1.0:LG01:2101755:2106059:-1 gene:TanjilG_06962 transcript:OIW19507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSDISFEELKNENVDLENIPIEEVFKQLKCSREGLTTAEGENRLQVFGPNKLEEKTESKFLKFLGFMWNPLSWVMEAAAIMAIALANGGGKPPDWQDFVGIVVLLIINSTISFIEENNAGNAAAALMAGLAPKTKVLRDGKWSEEEAALLVPGDLISIKLGDIVPADARLLEGDPLKIDQSALTGESLPVTRHPGDEVFSGSTCKQGEIEAIVIATGVHTFFGKAAHLVDSTNNVGHFQKVLTSIGNFCICSIAVGMLIEIVVMYPIQQRAYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSEQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKNMIEVFPTGMDKDTLVLHAARASRIENQDAIDASIVGMLGDPKEARAGITEVHFLPFNPVEKRTAITFIDGQGKWHRSSKGAPEQIIELCDLKGEVLKRAHGIIDQFAERGLRSLGVAHQTVSEKTKESAGDSWEFLGLLPLFDPPRHDSAETIRRALDLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSTLLGDNKDPSLATIPIDELIEKADGFAGVFPEHKYEIVKRLQDRKHIVGMTGDGVNDAPALKKADIGIAVDDATDAARSASDIVLTEPGLSVIVSAVLTSRAIFQRMKNYTIYAVSITIRIVFGFMLVALIWKFDFSPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLKEIFATGVVLGSYMAIITVVFFYLVHDTDFFTRVFGVKPIADSEEMLNSALYLQVSIISQALIFVTRSRSWSYVERPGFLLMAAFLAAQLVATVIAVYAHWDFARIHGFGWRWAGVIWIFSIVTYIPLDILKFLIRLGLSGKAWDNMLQNKTAFTTKKDYGKGQREAQWAVAQRTLHGLHVPESHKNINYEQSDIAEQAKRRAEAARLRELHTLKGHVESVVKLKGLDIDTIQQHYTL >OIW17634 pep chromosome:LupAngTanjil_v1.0:LG01:35734000:35744704:-1 gene:TanjilG_28984 transcript:OIW17634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQRRFSLFGGGDSSSSSSNLVGKNLSSKGIEEQVVPILKLETDREVYRPGDPVIVTIHISNPSNGYSFLVQRLSFEIKGIEKLDTQWFATQKPLPGSKQRRGCEDESRRCKVVCLVTWMSWVHIPETIRGEHLFLECSTPIMIANQIVNAGSSKSYVVRTLLPNIIPPSYKGSNIRYLYYVKSALTGGWLIMENGQSRLESIKDINDLEVRIPLQIWVNQKSTGILMDEDIVPPTTVQLDIFWKEMNGDADWVRANDMYDGVDEEYDSSRDDVSSVSSYNLKENLHIGFGSSLSLRSTARSLNRDSSAFEGQRTSLSSNMALPRLSVAEVLSDSGPDALSTQKSFGIVSPSEQQKLRKPYSPDDAGVSSSPEAGPIESLASEGFIRGRSYNIRLDDQVLLKFSPKNSDSTYYFSDMIGGTLTFFHEEGARRCLEISVTLETSETINRRFVHPSRRNSPTITKVQSDHHEVVADLVQTSFLFSVPMDGPMSFSTAHVSVQWVLRFEFFNTPKHVDWRKYEHPLLIEGRDKIEWVLPITVHAPPSRTPASGTRNEKLFSLDPMWVHN >OIW18460 pep chromosome:LupAngTanjil_v1.0:LG01:20446658:20448126:-1 gene:TanjilG_13212 transcript:OIW18460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFTATSISLSTSFNKNLVPAGTRFSNPNSVSLSIKGTTFPSITLQPRAPRFQVTCAAKPETVEKVCNIVKKQLALPEDSSVTGESKFAALGADSLDTVEIVMGLEEEFGISVEEESAQSITTVQEAADMIDKLLETTEKSA >OIW18872 pep chromosome:LupAngTanjil_v1.0:LG01:19604386:19605752:-1 gene:TanjilG_25315 transcript:OIW18872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWRGQISKNIKELRFLMCQSSPASSSARAFVEKNYKELKTLNPKLPILIRESKGVEPQLWARYDLGVEKGIKLEGLTEQQISKALEGLVK >OIW17904 pep chromosome:LupAngTanjil_v1.0:LG01:32825352:32829971:-1 gene:TanjilG_19873 transcript:OIW17904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPATSRPAIVIDNGSGYTKMGFAGNVEPCFIEPTVVAVNESFLNQSRTSSKANWVAQHNAGVMADLDFFIGDEALAKSRSSSTYNLSYPVHHGQVDNWDAMERFWQQCIFNYLRCDPEDHYFLLTESPLTAPESREYTGEIMFETFNVPGLYIAVNSVLALAAGYTTSKVGGVVVDIGDGATHVVPVADGYVIGGSIRSIPIAGKDVTLFVQQLMRERGENVPPEDSFEVARKVKEMYCYTCSDIVKEFNKHDKEPAKYIKQWRGIKPKTGAPYSCDIGYERFLGPEVFFNPEIYSNDFTTSLPVVIDKCIQSAPIDTRRALYKNIVLSGGSTMFKDFHRRLQRDIKKIVDARALASEARANGEIKSQPVEVNVLSHPIQRFAVWFGGSVLASTPEFFTV >OIW18122 pep chromosome:LupAngTanjil_v1.0:LG01:27784894:27787174:1 gene:TanjilG_22320 transcript:OIW18122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGEQKIDYVFKVVLIGDSAVGKSQILARFSRNEFSLDSKSTIGVEFQTRTLLIDHKSVKAQIWDTAGQERYRAVTSAYYRGAAGAMLVYDITKRPTFDHIPRWLEELRNHADKNIVIILIGNKSDLENQRDVPTEDAKEFAEKEGLFFLETSALQATNVESAFTTVLTEIYNTVNKKNLAADESEGNGNPASLSGKKIIIPGPAQEIPAKNSCC >OIW18816 pep chromosome:LupAngTanjil_v1.0:LG01:20110495:20113421:-1 gene:TanjilG_25259 transcript:OIW18816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGESRKWVILIASIWIQALTGTNFDFSSYSSDMKTVLDITQLQLNYLSVASDMGKAFGWCCGLSLLYFPFSFVIFISSFLGLLGYGLQWLLLIRLITLPYILVLFLSLIAGCSISWFNTICYVLCIKHFSANRSLALSLSISFNGVSAALYTLIANAINSSDHTHYLLLNALLPVIISILVLIPILQEPQPQPHSLEIIQRDSIVFLWLNILALVTGLYLLFLYPISSSSTAARIVLAGAIFLLVLLLFLPGIVYSREWSCFTVSTTSFSLYNSRFNSIGNDEGYELKEELISKKNDATKNGSSESERENERCFVNVLLERDKVTMLGEEHPTRLLISRWDFWLYYIAYFCGGTIGLVYSNNLGQISQSLGHISQITSLVTLYSTSSFFGRLLSAAPDFLSGKIQFARTGWFALALVPTPIAFILLANFGNKAALQICTSMIGLSSGFVFSAAVSITSELFGPNSVGVNHNILITNIPLGSCLYGLLAALVYDSNAGSLMHDPKWLHEMTTCIGRKCYFQTFIWWSFISMVGLLSSFLLFLRTKEAYDNFETDKNIT >OIW17889 pep chromosome:LupAngTanjil_v1.0:LG01:33070285:33073148:-1 gene:TanjilG_19858 transcript:OIW17889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVSPLAKYKLVFLGDQSVGKTSIITRFMYDKFDTTYQATIGIDFLSKTMYLEDRTVRLQLWDTAGQERFRSLIPSYIRDSSVAVIVYDVANRQSFLNTSKWVEEVRQERGSDVIIVLVGNKTDLVDKRQVSIEEGDAKSREFGIMFIETSAKAGFNIKPLFRKIAAALPGMETLSSTKQEDMVDVNLKPTVNSSNSEQQGGGCAC >OIW19699 pep chromosome:LupAngTanjil_v1.0:LG01:1207941:1210709:1 gene:TanjilG_18509 transcript:OIW19699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPMDIVGKSKEDASLPKATMTKIIKEMLPPDVRVARDAQDLLIECCVEFINLVSSESNEVCNREDKRTIAPEHILKALEVLGFSEYIQEVYSAYEQHKLETMQDTLKAGKWSNVAEMSEEEALAEQQRMFAEARARMNGGAVAPKQQPEGDQSLDS >OIW18123 pep chromosome:LupAngTanjil_v1.0:LG01:27754752:27755184:-1 gene:TanjilG_22321 transcript:OIW18123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPVSCFNQPHPGLSQRDLLFEEKNRLGSIPPRCHNKCNDCHPCMAVQVPSLPSHEQQLQPDLTKKDTMEEDMFDPSSQVNNNRYSNYKPLGWKCHCGNHFFDP >OIW17767 pep chromosome:LupAngTanjil_v1.0:LG01:34559684:34562173:-1 gene:TanjilG_06452 transcript:OIW17767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNNLAKSAAAAAPPPSFFGNSGATTTAVHTTSVINHPPTHLLSQSQSQTQGAPHFHGHFQLSQPQTHLLAQSSQFAPSHTQVQSQHPQSPTQSVNHGNANNTNVPAAAPVTASVKRSAQKPPSRLSGSSNANQALPFKTMELTPAPPRKKRSLPEKQIPEKVAAILPESALYSQLLELEAQVDATLARKKIDIQEAVRNPPCARKTLRIYVYNTFSNQTNLDSEKKVGMEPSWSLKITGRILEDGKDPVAVGGLQRSSPSHPRFSDFFKKIVIYLDQGFYPDNHAIVWDSARSPAEQDGFEVKRKGDKEFTAVIRMTMNHTPEKFMVSSQLSKVLGFDFDTRARIIAALWHYVKSRKLQSPNDPSFFMCDASLQRVFGEEKMKFSMAAQKISQHLSQPQPLHLEHKIKLSGHCPAGTSCYDVQVDVPLSVQKDMSAFLANIESHKEIDAFDELIRTSIKKIHEHRRRRAFFLGFSQSPAEFINALIASQSRDLKLVAGDVSHIAEKEQQSEFYNQPWVEDAVIRYLNRKTGRSDAPEAPNRKL >OIW18398 pep chromosome:LupAngTanjil_v1.0:LG01:23324806:23325535:-1 gene:TanjilG_31538 transcript:OIW18398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIFTFEDESTSTVAPARLYKALVTDADTIIPKAVEAIQSVETVEGNGGPGTIKKLTLIEGGETKYVLHKIEAIDEANLGYNYSIVGGVGLPDTIEKISFETKLVEGANGGSIGKVTIKIETKGDAQPNEEEGKAAKVRGDAFFKAIENYLSAHPEYN >OIW18954 pep chromosome:LupAngTanjil_v1.0:LG01:18464114:18465208:-1 gene:TanjilG_09148 transcript:OIW18954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATTCFLSPPSPFILKRTTFSQPRSNFTIIKCSNLSSDPQPIYTSVKSFAPATVANLGPGFDFLGCAVDGIGDTVSISVDPNVHPGQISISHISGDNSNKLSKNPIYNCAGIAAIEVMKMLSIRSVGLSLQLHKGLPLGSGLGSSAASAAAAAVAVNEIFGRKLGFEELVLASLKSEEKVSGYHADNVAPAIMGGFVLIQSYEPLNLINLKFPVDKDLYFVLVTPEFEAPTKKMRAALPAEIGMPHHVWNCSQAGALVASVLQGDVECLGRALSSDKIVEPRRAPLIPGMEAVKRAALQAGAFGCTISGAGPTAVAVIDNEAKGNIIGQHMVEAFLKDGNLKASAKVRQLDRLGARLISSSKD >OIW19613 pep chromosome:LupAngTanjil_v1.0:LG01:607552:609305:1 gene:TanjilG_18423 transcript:OIW19613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEMCCGIVCLSLSLPTSSSSSSVSFTKRNGLVLDYNYNCGHRRRIRDGIIVASSDVVSGSNWDDWKPLKPSSTPSLSDTLWPSAGAFVAMAMLGKMDQLLAPKGLSITIAPLGAVSALLFTTPSAPSARKYNMFMAQIGCAAIGVLAFTIFGPGWLARSVALSASIAYMTYTGTNHPPAASLPLLFIDGVKLHHLNFWYALFPGAAGCILLSLIQEVVIYLKHNFKF >OIW18671 pep chromosome:LupAngTanjil_v1.0:LG01:22069564:22069956:1 gene:TanjilG_13423 transcript:OIW18671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTHKQKHNKSLISRLKAAVNKVRFLLSSTVLSRTWHAASVVLGGSMSKRNLSFNDRPGLVLCSSEEETSTPVSSSRELHRTISFPSDDDDIDRRAEAFIANFRNQLRMERQISLQLRYCRQPSFEFNSP >OIW19767 pep chromosome:LupAngTanjil_v1.0:LG01:73271:74347:1 gene:TanjilG_27306 transcript:OIW19767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRVSVLNDALKSMYNAEKRGKRQVMIRPSSKVIIKFLIVMQKHGYIGEFEYVDDHRAGKIVVELNGRLNKCGVISPRFDVGVKEIEGWTARLLPSRQFGYIVLTTSAGIMDHEEARRKNVGGKVLGFFY >OIW18160 pep chromosome:LupAngTanjil_v1.0:LG01:26106857:26107333:1 gene:TanjilG_31280 transcript:OIW18160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKMIPANGKISKEAKETVQECVSEFISFVTVEASDKCQREKRKTINGDDIIWSITTLGFDDYVEPLKNYLLKYRDIEGEKLNVPKQQHSEQRVQQHQYHNQDENNQSLNSVYSSANLISQYPYVATDQSFSLSFSPNSIQKQLQPQEQIDSMGHWYE >OIW17688 pep chromosome:LupAngTanjil_v1.0:LG01:35206350:35207016:1 gene:TanjilG_29038 transcript:OIW17688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGILTIETESTSSVAPAKLYKAFITDFDNIIPKAIEAIQSVEIIEGNGGPGTIKKLTSVVGGETKYMLHKVEAIDEANWEYNHSIVEGIELPENVEKISVETKLFAGSDGGSIAKVTAKFQTKGDVHPNVEEHAKAECKTKHDAFFKAIDTYLAANNDYN >OIW18499 pep chromosome:LupAngTanjil_v1.0:LG01:20667364:20668375:-1 gene:TanjilG_13251 transcript:OIW18499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATFTTHASASILSPCVSKPMFLNASSTKLNRDVSVSFAQKKTTRSYTSSSKVQTREAEWLPGLDSPDYLTGSLPGDNGFDPLGLAEEPQRLNWFVQGELVNGRWAMLGVAGMLLPQILTKLGLFNIPEWFDAGKEQYFASSSTLLVIEFLLFNYVEIRRWQDIKNPGSVNQDPIFKQYSLAPNEVGYPGGVFNPLNLEPTYEIKEKEIANGRLAMLAFLGNVTQHNVTGKGPFENFSDFIADPLHNNIFQNLSGH >OIW18358 pep chromosome:LupAngTanjil_v1.0:LG01:23748419:23749278:1 gene:TanjilG_31498 transcript:OIW18358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGSLDKSFEGITRIAKVDRSVDQIQTNSKVSSLFVGTQEKCVGCKKTVYPIEKVAVDDKNYHKVCFRCTHGGCVISPSNYVAHEHRLYCRHHHTQLFRQKGNFSQFDKHENGEEKTENAKHE >OIW19055 pep chromosome:LupAngTanjil_v1.0:LG01:15807375:15807716:1 gene:TanjilG_10616 transcript:OIW19055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITIGQPSFRVIGYSDNSCDMLDITPQLVPSLESPEILSVGTNVRTLFTHSSATLLEKAFGVWEITLLNPIWVHSRSYGKAFYSILHDIDIGVGIDLEPPRIEDPTLSIVGVV >OIW18760 pep chromosome:LupAngTanjil_v1.0:LG01:22789954:22790755:-1 gene:TanjilG_13512 transcript:OIW18760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSASEIVEKLNLEAHHQGGFYTETFQDDSILLHKSQLPSEYKGDRHVSSSINFLIPSGSVSRAHRIPGAETWHYYLGESLTIVELNGKDGDVKLTRLGPNLSEGEIPQYTVPYGSDVWVGAFPTHDFSIFSEKEFIKAPERDGESHYSLVGLTFAPAFHLEDYELAKPSDLIPHFPHLEPLLDALIYIDSE >OIW18997 pep chromosome:LupAngTanjil_v1.0:LG01:17804706:17807276:1 gene:TanjilG_20270 transcript:OIW18997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMNSSTSPGGDRRNNAGGGSGVSSGPCGACKFLRRKCLSSCIFAPYFDPENGANHFASVHKIFGASNVSKLLVTIPIHMRPDAVLTIVYEAQARMRDPIYGCVSHIFTLQQQITTLQNELSYLQAQLAELPQPPPPLQQAAMAAAMPATYDLSTLFDPMAQQSPRNMMQQRAMDLRYQYVGGSTLAGGNGGGSGVVSSVGGGGGNGSGVSAAAGGGSGVFSGVCAGGSGTRVVSNVGVGGGGGSGNGVSSGSGTRVVSNVGVGAGGGGGGGDLHALAREFLRRIGGNASGVAAPSVAGTNASLSPSSAK >OIW19257 pep chromosome:LupAngTanjil_v1.0:LG01:6240411:6242963:1 gene:TanjilG_20382 transcript:OIW19257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSPSQNGDVFFDALDDFPFHHCSADGQNSSPESSPSSSTLTDHDQISASPTTTLRRRSIRHRSPIRGSTNHTNFDSDNNLINGARTSLRKETNPETVEENGTFSKKVRSFRSLSVITEEKNEDSMLTTAQNDEALGDSAESALELSNSSSNLIDYITGLVISAILFQFKMFVFFVKLPMLSIFHFCMFFVDPFGTIKKGKCYFMGILSRICGCVFGCIGPSLEGFFKEDKSFWNVAFRCGWGLLWSIYVCCILIALLISSLMVSGFMVKFFVVKPFQMRQVLNFDYTKQSPVAYVPIMSCASVGVGKDSENNVATVSGWVGKGVIPARQKVQVTVSLLVPESGYNRNLGVFQIRVDFLSSNGKTITSSSQPCMLKFRSEPIRLITTFLKIAPLVTGYISETQTLNVKIRGLVVGDVPTSCLKVILEQRAEYLPGAGIPQIYDSSILVESELPLLKRIIWYWKMSIFIWITIMAFMMQLLFVLVCFWPIIIPRTRQRSGSGPGTGTHNNLHITS >OIW18327 pep chromosome:LupAngTanjil_v1.0:LG01:24142058:24148179:-1 gene:TanjilG_31467 transcript:OIW18327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMGDESGIALPSPGEDAKKRRVTYFYEPTIGDYYYGQGHPMKPHRIRMAHNLIVHYSLHRHMEINRPFLAGPDDIRHFHSPDYVDFLASVSPETLSEAAFSRQLKRFNVGEDCPVFDGLFNFCQASSGGSIGAAVKLNRGDADIAINWAGGLHHAKKAEASGFCYVNDIVLGILELLKAHRRVLYVDIDVHHGDGVEEAFYTTDRVMTVSFHKFGDFFPGTGHIRDIGVGPGKNYAVNVPLNDGLDDDSFQGLFRTIIQKVMEVYQPDAVVLQCGADSLSGDRLGCFNLTVKGHADCLRFLRSFNVPLMVLGGGGYTIRNVARCWCYETAVAVGVEPDNKLPYNEYYEYFGPDYTLHVDPSKMDNLNTPKDMEKIRDMLLEQISRLPHAPSVSFSTTPSGIPVPEEVEENMDRRTKPRIWSGDDCDSDREDEKAESKCSNINTNMRVIKHCGIVQV >OIW18650 pep chromosome:LupAngTanjil_v1.0:LG01:21910760:21913560:1 gene:TanjilG_13402 transcript:OIW18650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDFNLTPSDAHPETMDFLSHTWCNFAVQALQPQHGSLVLFDNSMDQLVSASPINSHPTMEKSARMDDADFKSLPGWKSNDVKSWIWMQQAMHPELNYNSGFRKKLLSWKQMIPLKSVSIKKWFMEIKLKRKEEQRLQRAEVHAALSIAGVAAALAAIAAESSKKDSNEDRDAAIASAAALVAAQCAKVAEAMGIKKEQLGNVISSAMSSTTTSDILTLTAAAATSLKCEATLKARSGCNNRLNGGVPILTIEDNNDLDFDFEKGRSILSQGAELHVETPEGRYTPRSVRVILNSEAKVVLMMRKHNLLKNKKESIVLNIHAELYKDSEADTCYLIVLKTIRGNFKLDMATDYRRYKTWATTINHMLRISTSFAKYELQFY >OIW19352 pep chromosome:LupAngTanjil_v1.0:LG01:3488245:3490059:1 gene:TanjilG_03486 transcript:OIW19352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVMKITWKSMFPSCFKGDDDYHSPKPNNKVVASPPKTTKPEGSLNRISVTDLSFPSTTLSEDLSISLAGSNLHVFTLAELKIITQGFSSSNFLGEGGFGPVHKGFIDDKLRPGLKAQTVAVKLLDLDGSQGHREWLTEVVFLGQLRHPHLVKLIGYCCEEEHRLLVYEYLPRGSLENQLFRRYSASLPWSARMKIAVGAAKGLAFLHEAQKPVIYRDFKASNILLDSDYGAKLSDFGLAKDGPEGDDTHVSTRVMGTQGYAAPEYVMTGHLTAMSDVYSFGVVLMELLTGRRSVEKGRPQREQNLVEWSRPYLNDSRKLSRIMDPRLEGQYSEIGAKKAAALAYLCLSHRPRSRPTMTTVVKTLEPLQDFDDIPIGPFVYTVPSDNGEGNKDAKESDTPKEKKRENGGHNHHHHHRSHHRSNGHRHHPLKSPKTPNSMSQSDKNQNGRSVSTSPDTSIASESQGSKV >OIW17726 pep chromosome:LupAngTanjil_v1.0:LG01:34900978:34906521:-1 gene:TanjilG_29076 transcript:OIW17726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTVAQAISTPQIGAPSSSLDPYPFSNLPSFLSFGFVQTRRNHRNLRCRCSHSSPVGDWDWNRWTRHFSQVENTEALASLLQFQLEDAVEMEDFREAARLKTAITEATTIDTVAEIMSMLKSAVDEERYHDASRLCRETGSGLVGWWVGYSKSKDSDDPFGIIIRISPHMGRFVGRSYSPRQLITASPGTPVFEIYVVKNADGSYHMQVVYLRRTKKGKSMSNPPSIPVTSPSKPEVENASSAETQEHGEKVDKNDEKTNNIEGATEEGVKSVINFLKEKIPGLKVKVMNIDVEEEGAEDNDSIKQLMNEDSNKTSSSENLEEEVNNLVEPDEVTLEADSDVSEDEKDLDMKLFVGGVVHNNENTPLKDEFMRLPAEIKDMEKDSFDLYIPRSNLDHDSAEHKIPNIKVAAVAAQGVSELMPSDVAKTFWSSDEVSAKVSKSVREMVKHAISQAQKRSRLSECTSFSRITNSRGDLDPFDGLFVGAFGPYGAEVVQLKRKFGHWNAVDSENSPSDMEFFEYVEAVKLTGDFNVPAGQVTFRARIGRSNRNTSRGRYPSELGVDARYKGQGRIADFGFKNPKWVEGELLVLNGKGIGHHMKGADIGFLYIVPDQSFIVLFNRLKLPE >OIW18139 pep chromosome:LupAngTanjil_v1.0:LG01:25793307:25797308:1 gene:TanjilG_31259 transcript:OIW18139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHPNFSYDPLKILMMWLLFLSVFKLSHAIKDPDVEGEALLDLLSYLNDSNNQIDWDSHLVSPCFSWSHVTCRNGHVISLTLASHGFSGTLSPSIAKLNYLVSLELQNNNLSGSLPGYIANLTNLQYLNLADNNFKGSIPDTWGQLTSLKHLDLSSNDLTGSIPMQLFSAPMFNFSDTHLHCGEGFEQPCVPKSEHPASTHKSKHTKVVGFASCGAFAILCLGAIFSYRYHQMQRHKSDIFADVSGEDERKISFGQLRRFSLRELQVATKNFSESNVIGQGGFGKVYKGTLSDNTKVAVKRLSDYNNPGGEAAFEREVQLISVAVHRNLLRLIGFCTTTTERILVYPFMENLSVAYRLRDLKSDEKGLDWPTRKKVAFGTAHGLEYLHEQCNPKIIHRDLKAANILLDDEFEAVLGDFGLAKLVDTRMTHVTTQVRGTMGHIAPEYLSTGKSSEKTDVFGYGITLLELVTGERAIDLSRLEEEEDVLLIDHVKKLVRENRLGDIVDNNLESYDTKEVETILQVALLCTQGFPEDRPTMSEVVKMLQGIGLADKWANWQQLEETRNQEFSLMTHQFVWSDESTFDQEAMHLSKAR >OIW18781 pep chromosome:LupAngTanjil_v1.0:LG01:23022506:23025133:1 gene:TanjilG_13533 transcript:OIW18781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSGDSNVHGTSGNMGDDGLVMHSFANWSAPSSELNAVNPSTTQFTIFYNGNICVYNGIPAEKVREIMLIAGASAKSAEVKKENPFAPNIPTGPSSPEGTSSNLASQQSVCFPAEKNPICRLQGEFPLARRQSLQRFLEKRRDRLASKVPYASSTIKGADNNIENSFYADNNAPKEGFQPSIAAS >OIW19576 pep chromosome:LupAngTanjil_v1.0:LG01:203073:203999:1 gene:TanjilG_18386 transcript:OIW19576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCGKSKHDVASDNTLQRKKSPQAERENGTETKDKKVENVVSSEVEQKNNEIVKEFGVGGKVDESNDVKDKSFEVKEDKTKEDVVDAPGAVGEEKKAENKKEEVETTDTVQGKTSTKEEETKDTNKEETLAKEKEEIKDTNNKEETLAKEKEETKDTNKEETLANKEEETKDTNEKESLVKEEKTKETIVPTYEGDKKN >OIW18679 pep chromosome:LupAngTanjil_v1.0:LG01:22115593:22115904:-1 gene:TanjilG_13431 transcript:OIW18679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >OIW18220 pep chromosome:LupAngTanjil_v1.0:LG01:27585436:27586718:1 gene:TanjilG_31340 transcript:OIW18220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METRKGPCLEGPVCAINVTSDGFGSHHGTLLPKLTQTFTSNTFGGLTPVVSLGWFFWWLWLTTTFGESEGLELE >OIW19338 pep chromosome:LupAngTanjil_v1.0:LG01:3692090:3693348:-1 gene:TanjilG_03472 transcript:OIW19338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFTFSNHSLPTSRMLNTQTLNYPSSQILSTPSNSHFFGLKLSNSSTFSIPSTPVSFSQKPSIFAKVSKGSKPPPFTLKDQDGKTVSLTNFKGKPVVVYFYPADDSPSCTKQACAFRDSYEKFKKAGAEVIGVSGDDSSSHKAFAKKHRLPFTLLSDEGNKVRKEWGVPSDLFGALPGRETYVLDKNGVVQLVYNNQFQPEKHIDETLKLLQSL >OIW18466 pep chromosome:LupAngTanjil_v1.0:LG01:20472834:20473891:1 gene:TanjilG_13218 transcript:OIW18466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCGKSKHDVASDNTLQRKKSSNDGQKNGTETIHNNADNIVSSEIEQNNNENVKDVDVGGTVDESNDVKDKSLEVKEDKAIEERSKNDDEEKAPEKVVAKEPISEKPDGKKDDSLKENDAPPPAAKEEKKPENQQEEEKTKDIVQKETLTNKEETKDTNQKETLAKEVEAIEKIVPTSNGEEKDLNAEKGK >OIW19388 pep chromosome:LupAngTanjil_v1.0:LG01:3228212:3228544:1 gene:TanjilG_09408 transcript:OIW19388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHEFGPITPIRTVATARKTLSNRTELLDLDTTTKEKEQEECKTPTSPSQILKTPLVCPPPPKKARVARRSNVIAPSQGFFQVPRDLASVFVLHHKPKMRESSLLTTSYS >OIW17727 pep chromosome:LupAngTanjil_v1.0:LG01:34895720:34898465:-1 gene:TanjilG_29077 transcript:OIW17727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRIGVQDNGGVKERNVTGSPSLSKKISAPIKSPRSPLSPQRRHRSTGSDFSLESLDIQSCDQSPSRHSFGSSSKASSRMGGSPVDKKLDKVYKFQSASTSPVSSGKLDKPSHTQLGLETSSKSRLGVKSPHAKPPVDKKTDSPLKKQNTVVTRTNKAKSSSAGRSVSLNRIENSTVSALDKPELAPVLLKQARDLISLGDSPQKALELALQAMKLFDKGDNGKPSLELVMCLHVTAAIYCILGQYNEAISILEHSIKTPVVDDGQQCALAKFASHMQLGDAYAMLGQLEKSITCYTAGLELQKQVLGETDPRVGETCRYVAEANIQALQFDEAEMFCQMTLDIHRANGSPQSLEEAVDRRLMGLICENKGNHEAALEHLVLASMAMVANGQEVEVATVDCSIGDIFLSLARYDEASFAYQKALTVFKANKGDNHPAVGSVFVRLANLYNRMWKIKESKSYCESALRIYENPMPEVPCEEIASGFTNVSAIYESMNELEHALKLLHKALEIYNDAPGQQRKIAGIEAQIGVMYYTLEKYAESYNAFKNAISKLRAIGEKKSVFFSVVLNQTGLACVQCHALSEAAELFEEAGIIMEHEYGPYHPETLAVYSNLAGTYDALGRVDDAIENLEYIVVVREEKLGTANADVVDEKRRLNELLKENGRVRNRKLRSLEKLLDPNGDTINKLVRKG >OIW18209 pep chromosome:LupAngTanjil_v1.0:LG01:27391790:27401445:1 gene:TanjilG_31329 transcript:OIW18209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTAMSWRHVANNGNSLSDLDRNGDEKTQVSEPPTPHSVLKMGLRDRSSSMEDSDGTLASVAQCIEQLRQNSSSAQEKEYSLRQLLELIHMRENAFSAVGSHSQAVPVLVSLLRSGSLNVKIQAATVLGSLCKENELKVKVLLGGCIPPLLGLLKSSSTEGQLASAKTIYAVSQGGAKDHVGSKIFSTEGVVPVLWEKLQKGLKAGNVVESLLTGALKNLSSNTEGFWNATIQAGGVDILVKLLATGESSNLANVCFLLAAVMMEDASVCSKVLTADVTKQLLKLLGPGNDDPVRAEAAGALKSLSAQCKDARREIANFNGIPALINATIAPSKEYMQGECAQALQENAMCALANISGGLSNVISSLGQSLESCTSPTQVADTLGALASALMIYDNKAESTRASDPLAVEQTLLKQLKPRLPFLVHERTIEALASLYGNSVLSIKLENSDAKRLLVGLITMAVNETQDELVKAFLTLCNSESSLWHALQGREGVQLLISLLGLSSEQQQECAVALLGLLSNENDESKWAITAAGGIPPLVQILETGSAKAREESATILKNLCNHSEDIRACVESADAVPALLWLLKNGSTNGKDIAAKTLHHLIHKSDTTTISQLTALLTSDLPESKVYVLDALRSMLSVAPLSDILREGSASSDAFDTVIALLSSTKEEIQAKSASALAGVFKMRKDVRESSIAVKTLLSAIKLLNAESESILMESSHCLAAIFLSIKENRDVAGVARDALSPLVALANASVLEVAEMATWAVANLILDSGIAEKVVTEEVILPATRVLCEGTISGKTHAAAAIARLLHSRKVDDAVTDCVSRAGTVLALVSFLDSAINESVSTSEALEALAILSRSEETSAHIKPACAVLAEVPGSISPIVLSIVHSTPVFQDKAIEILSQLCKDQPVILGDTVATASGCISSIANRIVSSTNVNVKIGGAALLICASKANHQRLFEDLNLSTLCTTLIQALVDVLTSALSSLGNEGDDSKELISICRHTKEDANSGESNTSTTIICGANLAIWLLSILACHDEKNRTAIMEAGAVDVLTDRISNCASQYSQIDNKEDSSKWICSLLLAILFQDRDIIRAHATMKSVSALANLSRSEELADKYFSAQSIASLVCNGSRGTLLSVANSGAAGGLISLLGCADTDIQDLLEMSEEFSLVRYPDQVSLERLFRVDDIRVGATSRKAIPALVDLLKPIPDRPGAPFLALGLLIQLARDCPSNKIIMVESGALEALTKYLSLSPQDSTEEAATDLLGILFSSADIRKHESAFGVVAQLVAVLRLGGRAARYSATKALESLFSADHIKNAETARQAVQPLVEILNTGSEKEQHAAIAALVRLLSENPSRALAVADFEMNAVDVLCRILSSDCSMDLKADAAELCCALFGNTRIRSTMAAARCVEPLVSLLVTESSPAQHSVVRALDRVVDDEQLAELVAAHGAIVPLVGLLYGRNYILHEAVSRALVKLGKDRPACKMEMVKAGVIESILDILHEAPDFLCAAFAELLRILTNNASIAKGQSAAKVVEPLFLLLTRQEVGPDGQHSALQVLVNILEHPQCRADHRLTSHQVIEPLIPLLDSPISAVQQLAAELLSHLLLEEHLQKDPVTQQVIGPLIQCLGSGIHILQQRAVKALVSIALTWPNEIAKEGGVIEISKVILQADPYLPHALWESAASVLSSILQFSSEFYLEVPVAVLVRLLRSGSDATVLGALNALLVLESDDGTSAVAMAESGAIEALLELLRSHQCEETAARLLEVLLNNIKIRETKATKSAILPLSQYLLDPQTQAQQARLLATLALGDLLQNEGLARTADAVSACRALVNVLEDQPTEEIKMVAICALQNLVMYSRSNKRAVAEAGGVQVILDLIGSSKPETSVQAAMFVKLLFSNNTIQEYASSETVRSITAAIEKDLWASGTVNEEYLKALNSLFSNFPRLRATEPATLSIPHLVTSLKSGSEGCQEAALDALFLLRQAWSACPAEVSKAQSVAAADSIPFLQYLIQSGPPRFQEKAEFLLQCLPGTLVVIIKRGNNMKQSVGNPSVYCKLTLGNTPPRQTKVVSTGPNPEWDESFSWSFESPPKGQKLNISCKNKSKVGKSKFGKVTIQIDRVVMLGAVAGEYTLLPQSKSGPPRNLEIEFQWSNK >OIW19216 pep chromosome:LupAngTanjil_v1.0:LG01:7742836:7745381:-1 gene:TanjilG_20341 transcript:OIW19216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHFRRAKLVKHFIISDAGGSTFLNVSLPRSYLIRKQQLKVSKNISAAAAAVATTPTEEIQEFKLPSWAMFEIGRAPVYWKTMNGLPPTSGEKLKLFYNPTATQLAPNEEFGIAFNGGFNQPIMCGGDPRAMLRKDRGKADSPIYTIQICIPKHALNLIFSFTNGVDWDGPYRLQFQVPKTLKNKPIEFFNEGLAEELSKEGACEEAIFPDTNVVITKCAMIGNLSKEGGDRCDLNLVPGCTDPSSPLYDPLANVDDGTCPIDLDSESDD >OIW18238 pep chromosome:LupAngTanjil_v1.0:LG01:25632649:25638645:-1 gene:TanjilG_06322 transcript:OIW18238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSNTSTNTIPTKPTWVLPYRTPNLKEDYKLGRKLGQGQFGTTFLCTHVSTGRTYACKSIPKRKLFCKEDYDDVWREIQIMHHLSEHPNVVRIHGTYEDSMAVHLVMEICEGGELFDRIVQKGHYSEREAAKLIKTIVEVVEACHSLGVMHRDLKPENFLFDSVQEDAKLKATDFGLSVFYKPGESFSDVVGSPYYVAPEVLRKHYGPESDVWSAGVMLYILLSGVPPFWAETEPGIFRQILMGKLDFQSQPWPSISDSAKDLIKKMLDQNPRTRPTAHEVLSHPWIVDDNIAPDKPLDSAVLSRLKQFSAMNKLKKMALRVIAERLSEEEIGGLKELFRMIDTDNSGTITFDELKDGLKRVGSELMESEIKDLMEAADIDNSGTIDYGEFIAATVHLNKLEREENLLSAFSYFDKDGSGYITLDEIQQACKDFGLDDIHIDDMIKEIDQDNDGQIDYGEFAAMMRKGNGGIGRRTMRKTLNFREAFGLLGNGSNQVIDGLL >OIW17886 pep chromosome:LupAngTanjil_v1.0:LG01:33122771:33125645:-1 gene:TanjilG_19855 transcript:OIW17886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKVSSECPYPGCFFCVMKEGNPNKRRASVLKFFRELPSQDDDGQVLPISGLWNTAMAHPNDPEFVELGIFECMAALIWKGLKNRRWLSHDQNIYIPYYAAHVIGSYTMNMEEFAESAVHAGVVPPLVELLRGRLTWVEQRVAVRALGHLATYASTFPAVASHGEILELSIQLAMSSLEIVYSHFYQYVDRRLSYHCDLLTRGMGGVEMESRKAEEWASQLQCWSLQLINCFAFKPEFLPTICKPEFLVKLPGMWGGLVNENSPAGVGLLRTICHQKLGRGPVAACHDIIEALCNIARSSDDWQYMAIDCLLWLLQDPNTCHKVIDKVVPALIDLAEITTLGDHKKLGDSIVNVLQECIQSQGSGKSSISSHTREQIEDLLNSKQKFKWEKNMPKEDLHIKQAAALVVKLEGNSLFSSGNISGAASKYSEALTLCPMRSKKERVVLYSNRAQCYLLLQQPLAAISDATRALCLHRPANRHAKSLWRRAQAYDMLGLAKESLLDAILFINECSQSNDPDLSLRQNKVPDYAERLVKKQMRAAWLFREAAIKHGGVHTQGDGDNIYGPENDDSEWETASESDIGNDGRDDMGNDDDWNNDDERKDYDKPSLKGIFTV >OIW19390 pep chromosome:LupAngTanjil_v1.0:LG01:3207785:3210881:-1 gene:TanjilG_09410 transcript:OIW19390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITGKDIYDVFAAIVPLYVAMMLAYGSVRWWKIFTPDQCSGINRFVAVFAVPLLSFHFISSNDPYAMNYHFIAADCLQKIVILSALFLWNTFTKRGSLDWTITLFSLSTLPNTLVMGIPLLKAMYGDFSGSLMVQIVVLQSVIWYTLMLFMFEYRGAKLLITEQFPETAGSITSFRVDSDVVSLNGREPLQTDAEIGEDGKLHIVVKRSAASSVVSSFNKSHLTSMTPRASNLTGVEIYSVQSSREPTPRSSSFNQTDFYAMFNSKAPSPKHGYTNSFQSNGFGGDLYSSQSTKGATPRTSNFEEETLKMHKKKGGRSMSGELFNNGGSVSSYPPPNPMFSGSTSSATATKKKDNSAAAPNKELHMFVWSSSASPVSEGNLRHAVNRAASTDFGAIDPSKAGPQETVASKAVHELIENMSPGRRGSGDKELEIEEGTKFPTSGSPYTCQKKMDIEEGDKNKKQNMPPASVMTRLILIMVWRKLIRNPNTYSSLLGLIWSLISYRWHIEMPTIVKGSISILSDAGLGMAMFSLGLFMALQPKIIACGKSVATFSMAVRFLTGPAVIAATSIGIGLRGVLLHVAIVQAALPQGIVPFVFAKEYNLHADILSTAVIFGMLVALPITILYYVLLGV >OIW18504 pep chromosome:LupAngTanjil_v1.0:LG01:20698151:20700068:-1 gene:TanjilG_13256 transcript:OIW18504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQQAGALAGALLGALAAKASKSSFLRGTSLGAIAGAIISMEVLEASRDFLFMDRTSSQGASYMAHFIDELVRGRLVEESLTPVILPAYNLQFDQARVANTGFDEIYDFQYHSLVVSRGLSGESLNKLPHHVVLNAENTCCTICLQDIEVGETARRLPRCHHTFHLKCVDKWLVKNDSCPVCRQGV >OIW18293 pep chromosome:LupAngTanjil_v1.0:LG01:24680610:24681032:1 gene:TanjilG_31433 transcript:OIW18293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRDPEFERVLSYFDEDGDGKISPYELRNMLCKMGGELLLKEAEMVIEAMDSDGDGLLCLEDFAKLMEAGGEEEKLMDLREAFEIYDKEKCGFITPKSLKRMLKKLGESKSVDDCKLMISRFDLNGDGMLSFEEFRIMMQ >OIW19053 pep chromosome:LupAngTanjil_v1.0:LG01:15969443:15971728:1 gene:TanjilG_10614 transcript:OIW19053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMSQPGSDQGGGGGGDAVAKKEREECGRWAEIDTSAPFESVKEAVTRFGGVGYWKPIPNNNNNNNKFVFVELPHTEELDAGKLEEQAAVLEKELILKERETLDVLKELESTKRLVEDLKSKVQKEEAEMNLNLEMSVCDNISSVEEKEDKENQVCHVLQHPTEDCSRYPSPSPGLILMELKQAKFNLNRTTNDISDVRVSVESLNKKLEKERISLEKTRERLTQNSSKISSLEEELNQTRLKLHMAKDAEIKGGLDDPSDITRELQWLSSEADHFGKMGEVAKSEILRAVSEIDQTKAMISTAEIRLVAARKMKEAARAAEASALAEIKALSHHESSTRDCMQNHEAVTISFEEYTSLTSKARAAEEHSKKRVLNAMYQVDEANLSKMDILKKVEEATEEVKTSKMALEEALERVEAANRGKLVVEEALRKWRSDGYKRRSSIHNATKFKNSYQSQHRRDSQLFDVNGLNLANDEAKPVLKSTLSIGQILSRKLMLPEEFEGKIHRERSSVKRKVSLGQMLGKQNDDPSFDRQVEKENSQKQFSAKRKKFGFARFSLLLKKQQKKKKATLNLR >OIW18779 pep chromosome:LupAngTanjil_v1.0:LG01:22995062:23001158:-1 gene:TanjilG_13531 transcript:OIW18779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNSQSESKRRLIVDCIVQMIKSKVGNIKSGWHSVFMIFTAAADDELETIVESAFENVEQVILEHFDQVVGDCFMDCVNCLIRFANNKSSHRISLKAIALLRICEDRLAEGLIPGGALMPIDADLDATLDVTEHYWFPMLAGLSDLTSDPRPEVRSCALEVLFDLLNERGSKFSRSFWENIFHRVLFPIFDHVRHAGKEGFSSTDDDWFRETSIHSLQLLCDLFNTFYKDVCFMLPPLLGLLLDCAKKTDQTVVSISLGALAHLVEVGGHQFSDNDWDTLLKSIRDASYTTQPLELLNALSFENLRNHGGVIRDSEANAGDSGTSKSIDNEVVSGHQLDVNDNGKLSTRASSNTNADGVEDSVLQMNLDPSEALPSPSAQSPKPADGVGLQRNQTFGQRFMDNIFRRNLTLKSESRVSDASQPSSPVQVADAVEPYTKNEESPLLVVVRGKCITQLLLLSAIDGIQKKYWTKLKSAQKIAVMDILLSLLEFAASYNSSTNLRTRMHQIPDERPPVNLLRQELTGTGIYLDILQKATCGFETNKESDGSQDTNSIADNGSSITQHSDGEEKFERIAEEKLVSFCEQVLREASDLQSTTGETTNMDIHRVLEMRAPIIVKVLQSMSFMNNKIFRRHLREFYPLLTKLVCCDQMDVRGALGDLFQAQLKALLP >OIW18877 pep chromosome:LupAngTanjil_v1.0:LG01:19551626:19554268:-1 gene:TanjilG_25320 transcript:OIW18877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSNNKQQEITLELNFDGRNTDLLGGPGEEPVIDEDTALNKALEIVKSIVYGGLTESLASLSVVTSAASADATILSIVALALANLISGLFIFVHNLGELKDEQHRRSENQTEASVDRYNELLGQRNNFYVHAFIAIISFIVFGLMPPLVYGFSFHENGDKDFKLAAVLTVSLLCITLLSIAKAYTQKSNTFMAYFKTVIYYVSNGAVGSVLSYLAGDVVKKLLEKVSWLEPSSNFGLHVQGMNVQKTEWSSY >OIW18184 pep chromosome:LupAngTanjil_v1.0:LG01:26725279:26734868:1 gene:TanjilG_31304 transcript:OIW18184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSSENELPHKCFGWAAKDSSGILSPFHFSRRENGPNDVAIKILFCGVCHSDVHSVKNDWGFTTYPVVPGHEIVGIVTKTGENVKKFKVGDRVGVGVIVESCKECDICQQDLECYCSKLVFTYNSPYYDGTRTQGGFSDFVVVHERFVLQFPDNLPLDAGAPLLCAGITVYSPMIYYGMTEPGKLMGVAGLGGLGHVAVKFAKAFGLKVTVISNSPNKESDAIERLGADSFILSTDTATLKAAIRTMDYIIDTIPSDHSLNSLLSLLKPNGKLITVGLLNKPLELTTFPLVMGRKLIGGSTLGGLKETQDMLDFCGKHSIVADIELIKIDQINTAIERIVNSDVKYRFVVDVANSLSTTS >OIW19476 pep chromosome:LupAngTanjil_v1.0:LG01:2312359:2314906:-1 gene:TanjilG_09496 transcript:OIW19476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDICESEQALRKHRGVETSRLPLVSAEKNNAVPVRRSTTREVSSRHKSPTPASPSGPRRYPSPNLTRSTTPTSSKLLPKRPLSAERKQPTTPRSPPSASTPVHDSSVDVRLSSRKVAGSHLPEGLWPSTMRSLSVSFQSDTVSVPVTKKEKPVTSPSDRTLRANLNVARKQVETSNVRKSTPERKRSPLKGKNASDQSENSKPVDGLHSRLIDQHRWPSRIGGKVSSNALNGSGVDHADKTIRMLNTSYSGTGVPSLRRLSLSDEASNPLSVSSLRRFSLPGEASKPLQKTSSDGGRLSMFVESGRIGCKVKSVDDSSQVLRLRKSVSATPSDKTGLAIAGVRSLSLSSTGSRLPSPSKTSVLSSSSSRGVSPSRSRPSTPPSRGVSPSRIRPTSSSSQFNSPISGFSFIADVKNGKKGAAYIDDAHQLRLLYNRHLQWRFANARANDVLYVQNEIVERTLYNVWNTTLSVWESIIRKRINLQQLQLEHKLNSILNDQMAYLDDWSVWESDHIDALSGAVEDLEANTLRLPVTGGAKVDIEDLKVAICSSVDIMQAMGSAICPLLPRVEGIDNLISDVAVVSAQEKDMLDECEALMSSVAAMHVSHVIWFKILNYANK >OIW19080 pep chromosome:LupAngTanjil_v1.0:LG01:13723514:13725052:-1 gene:TanjilG_06389 transcript:OIW19080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDSPLQKIAISGPTLASLIQRFSTSPSSIDGLLFGHVTQLTPLNLSDDSADSADSPTLLATVTGFLSSPSSFFDSSGIVNPSSLRRLLHHHNCSLLGWFSARRKTPLRPSMREFSVTASLSSLSQFSSSIKNATKPSNFDPCVFLLFASPSVDHNTHIHTHEYRAYQFRGTGNSFEAKSIQVINIGPAFRGHYGSFSPNSMFPALDCEVGGSPMKEDEERLSRMKQVAKDKKELDDYAEGFEIGKLSKLMGSEATSYTAGLEDLYEKMLVKIQNLTRDVENSSVKVLELVYCIFRHGGIGIMYEDVSVESGMWLMALNSVFSQVTSCRLDILLCNCLTHDLSGPLFPQAGNLDVIFVYLLDSLQQFIIGLCRGLFIGPKDCSAWEEY >OIW18733 pep chromosome:LupAngTanjil_v1.0:LG01:22589303:22591393:1 gene:TanjilG_13485 transcript:OIW18733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEISSAVAVPFTLGNLIQKESAKLMANTATTLILNHAIEGNCQSFFSVKSENHVPGISLQDQVMVSSEVEENQVGSALVSEMVIQCDSNCILTENHEQPIKADELMLAVDFQCLHNDKRSPCDEKAAYSETDSPIIIKVDGNNIHGKSMQDIVSVAMDAEIEDQSGSDGSDQKPSSVLPDQLREEKKTRYQNASVTSRGPLYGSSSICGKREEMEDAIAAKPQLLKVSSKMLLMDDHVNENTKYSLAHFFGVYDGHGGFQVANYCRERLHSALIEEIEAAQSSFAETNKGDEWQNQWKKAFANCFRKVDDEVGGIRANKSGGYQSNIEPLAPDTVGSTAVVAVLSQNHIIVANCGDSRAVLYRGKEALPLSIDHKPNREDEWARIEAAGGRVINWKGYRVVGVLAMSRSIGDRYLKPYIIPEPEVMFVQREKNDECLILASDGLWDVVTNEEACEVARKGILLWHKKYGDNGSTVHGKGVDPAAHHAAEYLSRLALQRGSQDNISIIVIDLKAQRKIKRGMK >OIW17666 pep chromosome:LupAngTanjil_v1.0:LG01:35366876:35369370:-1 gene:TanjilG_29016 transcript:OIW17666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDFTKVHDDDNLYTQDGTLDIKNQPANKKKTGNWKACRFILGNECCERLAYYGMSTNLVNYLQERFNQGNATAANNVTTWSGTCYLTPLLGAFLADSYLGRYRTIATFSSIYVVGMTLLTFSAILPGLKPSCDANVCHPTSGQTATCFIALYLIALGTGGIKPCVSSFGADQFDDNDEDERKKKSSFFNWFYFSINIGALIASSVLVWIQMNVGWGWGFGVPAVAMVIAIIFFFIGSRCYRLQIAGGSPLTRICQVIVAAFKKSSVQVPEDKSLLHETEDAESNIKGSRKLEHTDKMKCLDKAAVETESDRVKSLPNPWRLCTVTQVEELKSIVRLLPVWASLIAFATVYSQMGTMFVLQGNTMDQHIGPNFKIPSASLSLFDTLSVIFWAPVYDSVIVPLARKFTGHERGFTQLQRIGVGLFISIISMIVAGILEVIRLNIVQKNNYYDLETIPMTIFWQVPQYFLIGAAEVFANIGQMEFFYGEAPDAMRSLCSALSLTTNALGNYVSTLLVTIVTKVTTRNGSLGWIPNNMNRGHLDYFYWLLTILSIINFIVYLFIAKRYTYKKVAPY >OIW18852 pep chromosome:LupAngTanjil_v1.0:LG01:19820338:19824210:-1 gene:TanjilG_25295 transcript:OIW18852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIHCKNRKYSIQLSNKTNYIDDAGKVFVNGKVIHKAGTPVSDKSVVEIVADVPKYVCRAGYKLEGAIEQLGVDVAGKVALDSGLSTGGFTDCLLQYGASHVYGVDVGYGQVADKIRRDERVSVIERTNLRYLAGLPQNVDLVTLDLSFISILMVMPAVVNVMKEDAALVTLVKPQFEARRSQVGKGGIVKDPNVHQEVLERIIKGVENFGFCSKGWIESPLKGAEGNTEFLVHFNRTHSTQPIAKVPEIMNKLDN >OIW19288 pep chromosome:LupAngTanjil_v1.0:LG01:5614390:5620470:-1 gene:TanjilG_20413 transcript:OIW19288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYGCCSTMVLVFCIWGMFLISVSSASRLGASRQKFEVNKHLNRLNKHPVKTIQSPDGDIIDCVHISNQPAFDHPFLKDHKIQMRPNFHPEGLFDENKLSEKQNEGSNAASSITQLWHANGKCPEDTIPIRRTKEDDVLRASSVKRYGRKTHRSIPKPRSADPDIINQSGHQHAIAYVEGDKYYGAKATINVWEPKIQQLNEFSLSQLWVLGGSFGQDLNSIEAGWQVSPDLYGDNNTRLFTYWTSDAYQATGCYNLLCSGFIQVNNNIAMGASISPISGYQNSQYDISITIWKDPKEGHWWMQFGNDLVLGYWPSFLFSYLADSASMIEWGGEVVNSEPNGQHTSTQMGSGQFPEQGFGKASYFRNIQVVDSSNNLKSPKGLGTFTEQSNCYDVETGSNDNWGHYFYYGGPGKNPNCP >OIW17608 pep chromosome:LupAngTanjil_v1.0:LG01:36050115:36051951:-1 gene:TanjilG_28958 transcript:OIW17608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDRTSEPPSFLRGIAVNAAPPPSLAVASAGDDENGVSSPNSTVSSVSGKRLEREANGDDTDAAERASCTRGGSDDDGGDGDGDGENSKKKLRLSKEQALVLEDTFKEHNTLNPKQKQALANQLNLRPRQVEVWFQNRRARTKLKQTEVDCEYLKRCCEHLSEENRRLQKEVQELRTLKLSSHLYMHMNPPTTLTMCPSCQRVGVSSTSSSSPATMPSAPALANCNRLGLNIQRPVPINHWVPMQIQHRPFDIPTSRP >OIW18082 pep chromosome:LupAngTanjil_v1.0:LG01:29106537:29106758:-1 gene:TanjilG_08552 transcript:OIW18082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHMVTILEVASTPKELCESVFEKPLEGLIPLLHFFLLKKYLFIDHSIHHLIFSFAGFLLITKHHAFAPFGENT >OIW17967 pep chromosome:LupAngTanjil_v1.0:LG01:31758799:31761782:1 gene:TanjilG_17803 transcript:OIW17967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMLFFQPSVLTSCSSPFCTTDASLNPLSSFNIQNPSFESVHSISHLGFFFQSSINRHQIVKPISCSVSKKSSSKPEPEPSSNRRGRPKGKSKNPEDVVPSNDDKSSDDNIPKIIPRKKRRGRRSEAMAVEDLVRNSLEQAFAAIRQQNSESLDNQEKIMKDKVPESSDSESSDDEEEEEEEEEEEEEKEDSEDGHGKKMVIEEESKHWPLDADVGWGVRASEYFEQHPIKNVIGEDGVEIDWEGEIDDNWVQEINCLEWEDFAFHPSPLIVLVFERYNRATENWKNLKELEEAIKVYVRAKDRLPPRAVKIDFNIERDTAYALKVRECPQILFLRGHKILYREKGLRTKEELVQMIAFFYYNAKKPAWIDDSALYLRR >OIW18638 pep chromosome:LupAngTanjil_v1.0:LG01:21812212:21815672:-1 gene:TanjilG_13390 transcript:OIW18638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMAQPWCFCVMTISLTLFVVVNATTIPPSSQAQQIHDKVGKLPGQNFTINFDHYSGYVTVNEKAGKALFYWFIESDQSPQTKPLLLWFNGGPGCSSIAYGEAEEIGPFHIRPDGKTLYLNPYSWNQVANILFLDSPAGVGFSYSNTSSDIQNNGDKKTAEDSLEFLLRWFERFPQYKGRDVFITGESYAGHYVPQLSQAIVKHNLKNKKNAINLKGYMVGNALTDDVHDHLGVAEFMWSSGLISDQTYKLLNLSCGNQSFVHASDACAQIQGIAYTEIGNIDPYSIFTPPCSANHSQSNQLLRRKLSSRIGKFSEEYDPCTESHSTVYFNLPEVQKALHVDPAHKPAKWEPCSDEVHSNWKDSPKTVLDIYRELIPSGLRIWVFSGDTDAIIPVTSTRYSIAALKLPTVSPWRAWYHDGQVAGWTQGYAGLTFVAVRGAGHEVPLHKPKPALALVKAFLDGTSLPEAELVRSY >OIW19043 pep chromosome:LupAngTanjil_v1.0:LG01:16396395:16397530:1 gene:TanjilG_10604 transcript:OIW19043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKLFFLSIMCLALGAISPAAEAAVSCGQVVNNLTPCVSYVVYGGSIVPEQCCNGIRNLNGMAQNTPDRQNVCNCIKNAVSSSGFSFSNFNLNLAAGLPQKCGVNIPYQISPNTDCRRVR >OIW18928 pep chromosome:LupAngTanjil_v1.0:LG01:19016623:19021526:1 gene:TanjilG_25371 transcript:OIW18928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYERIQKPQGGGGFSPGKLRNILLGVEKRRKEEEELHSNFTMRSQSYDMDESGGSGFDHCKDVDVVSVLPDCSTSAISRSFSAEAVGVGDRIVKDNVAMTSRHRILEDPSLDYDSGHDNMSMSSPMFEFQKAERAPPRVPVGPFSKPAPSKWDDAQKWIASPTSNRPRTGQTQGQVGPRKVGSIGYGSRQSSMKVVVEVPDPREIVLDEPDTKQIDTNQTKMESGVQKVVNWDDDPYATENSYVNLSQHNTSIAVQSATTFVPPPSTARSVSMRDMGTEMTPIASQEPSRTGTPVRATTPMRSPNASQPSTPTRTTAVPTFTDVSNDHLNSNKNELSEKELQMKTRREIIALGTQLGKTNIAAWASKEEEDKVASTSLKTKTAEQSAKSVIEARAAAWEEAEKAKYMARYRREEMKIQAWENHQKAKTEAEMRKIEVEVEKIRSKTHDKLMNKLASIRHKAEEKRAAAEANRNHQATKTEEQSEYIRRTGHVPSPYLSFSCCNWCS >OIW18591 pep chromosome:LupAngTanjil_v1.0:LG01:21437562:21444869:1 gene:TanjilG_13343 transcript:OIW18591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENRDSSSSSPSSTANRDSSSATDNDNAVFAVTVSLAKDAVLHFQSGKFAECVEVLNQLLHKKQHDPKVLHNIAIAEFFRDGCSDPKKLLEVLNGIKRKSDELALASGDQEESSNNVGNEVVLGSKGSNSLTHQFSGANSTTTMYADEFDSSVAILNIAITWFHLHNYAKTLSVLEPLFQNIEPIDEQTTALHICLLLLDASLACHDASKSADVLTYLEKAFGVSSVSQGNNGSTSQQQSANLITKSAPVAISASTAEASSSDLGSNVNASENPLSRALSEDTLDYEAMLLHMGGQNLARPTGPSSIDLSRALVDRFSSVDLKLKLQLYKVRFLILTRNLKLAKREVKLAMNIARGKDSSMALLLKSQLEYARGNHCKAIKLLMASSNRTDTTFSSIFNNNLGCIYYHLGKYHTSSLFFSKALTNCSSMRKDQPLKLTTFSQDNSFRIIYNCGVQYLACGKPILAASCFQKASLVFYKQPLLWLRFSECCLMALEKGLIKSGGVPPEKLEVAVCVVGTGKWRQLVVEDHIPGNGHMDSSEKDYFCQSNDGQLQLSMSLARQCLANALHLLDSYSTNYLKSGLPSNSSMEENDTSESPSSKNSNLKNLHGIDSKAFSVTVGLGQINSNGDTKEQKGGTSQELVQNSLSYYEDVCRRENQLVKQAVLANLAYVELELDNPEKALSVAKSLLELPECSRIYIFLGHVYAAEALCLLKRPKEAAKLLSFYLSGEHNVILPFSQEDCEKWRVESTCECEEVNGGPIAAKNNCLKDKSIDFLKPEEARATIYANFAAISVMQGDLEEANILISQALSISPNSPEATLTAVCVDLLLGKPQEALAKLKRCSRIRYLPSGGLISIES >OIW18350 pep chromosome:LupAngTanjil_v1.0:LG01:23810492:23817802:1 gene:TanjilG_31490 transcript:OIW18350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESQNDEKALPTVHVSNIPQSATAKELLQFLESIFGPSSVFALEIRSHHENWNSRGSGRVQFETLESKSKAITLSLQNDLVFMSHYLQVTESYGDIVPRPCIPRNRVRNSVLYAGFMVRDDRMSVVESWEGVKGWVMPERKRVEFWVPHGGNFYKLEIMFDHILEAHGYSFGGDSKINAIVFKLKYGPKIYQKTVGPNIAAKFKADRYHFCKEDFEFLWVRTTDFSATKSIGHSTSFCWEIEEELFASDIFKSFPRYRENLKGLILEDGEEFCSSTETVPLVKCGSDSKLPYEILFQLNSLVHTQKLSLASVDDDLIDLLGSLDEETKAIILEKMHKLDSTCYEPLKFVRTQLHVLSSRGRRPPPSSRKRLTDNNIMSCHRALITPLKIYCLGPELETSNHVVKHFAEYASDFMRVTFVEEDWTKLQPDAVSCRAQKGISVSSRVQKGISVSRCVQKGISVSSCVQKGIFPKPFRTEIYKRILTILRDGIVIGSKKFEFLAFSASQLRSSSVWLFASNDNVKAEDIREWMGCFNNIRSVSKCAARMGQLFSSSVQTLEVPAQDVEIIPDIEVTTDGVNYCFSDGIGKISQSFARQVAQKLKLDQSRIPSAFQIRYGGYKGVIAVDRHSYRKLSLRSSMLKFESNNRMLCVTKWSESMPCFLNREIISLLSTLGVKDEVFLAMQQEQLNLLGRMLTDSEAALDVLVSLSGADSKSILVKMLRAYYEPNSEPYLSMMLMAQYAYQLSDLKSKCRIVVPKGRILVGCLDETGILNYGQVFVRITVKKTKEQFEDESLRKADGDDCTSIIVGKVVVTKNPCLHPGDVRVLDAIYSEELEEKGLRDCLVFPQKGHRPHPNECSGGDLDGDLFFISWDKELIPCEIVAPMDYTGRRPRVMDHKVTLEEIHQFFVDYMINDTLGAISTAHLVHADREPNKARSRKCLELANLHSMAVDFAKTGAPAEMPRVLKPKEFPDFMERDEKPKYISNGVLGKLYRAIIDSNLQARSSFVWSEKIAEEAYDQDLEVRGFEVFLETALSHKEMYAQKMSNLMNFYSAETEDEMLTGNLQNRATYLQRDNRRYGDMKDRILIAVKNLQREAKEWFETSCQQPEYQHLASAWYHVTYHPRPSTFAEAKTTLLLIRRDHTATLGSVNGLEHGNYLIHLRPLIRTKGRALSETEDEMLTGNLQNRATYLQRDNRRYGDMKDRILIAVKNLQREAKEWFETSCQQPEYQHLASAWYHVTYHPRYFQESSSFLSFPWIVGDILLNIKSANSKAQCDNLL >OIW17830 pep chromosome:LupAngTanjil_v1.0:LG01:33888711:33898289:-1 gene:TanjilG_02458 transcript:OIW17830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAGDEAPSPFPLLKDISNFRTPRRPPLSLTVKSPSTTSFFTASKQTPRSSSTFRRRPPNKHSTAAARKLNAFQLEQSQSSRKALIKKEQSLKSLAKSLTVWLNFLLQNPSSCGCHVSISEGVGSAAPLTNGKRDSAPGVSVGVDSTWRIPKRQRKTCSRDEKENCTVSKENGRVELPYSTFSNLKESLKDVCSFDDLKQRMKVYLSLSSCKEIFQTLNPVAKAIDDGRLTLKAHCPIVTDVGLKDKATRILMCYNPIWLRIGLYIIFGGDSLLLNGKVDSDEDVVFLRMVIDKLFFSHEGLAKQYVYNKMVEGVYRSGYYENLGKVILKRILLLVLFLDRAKCQSCLPLEYGIDGLDGGSPLLFKAESWIKSSNQVIHEFLSSDVMHGEGNLLAHLVILRYKVSHQQGSLVEYDFNVSDLFVDLQDGIKLCRAIQLLQHNSSIIMVGYISKIAVPSDTTKKKMANCVLALQYLRQAGVSLQDEDGMMIVADDLVNGDKELTLSMLWNMFVHLQLPLLVDKRSLAAEISKIRGLSTDLTNSANCSSLELLLNWIRAVCENYGCEVDNFRSLVDGKAIWCILDYYFQKEVKMKSVKSSIVSVHDYSDALYNFILSQKLATLLGNFPEVLQISELLEYNGACNDHSVVILLVFLASQLFVKKNVDHLNFHKLLGFDCHSPYRRHLRMVECLSSSKPVQNPDASDVLVNEDATRKFRAIQAWWQDMAERNQILKPAISDLQTSKIIECSTNIRRVSLLQTVFRAWLKVRLESRCLIPTTIPAYKFSHEILRQPETYQRYAMLFVHRHSFLRLKRSTQLIQQRVRSWLCWRHQRLQGCSIKLMASDPVTAATIVQKFVRGWIARSGYIRQLNQKDKALYLAQKKVILDLQINAALTIQHAWKKFKCCKSTQKQHYFATKIQCNFRRWLSRKRFLQQIQSVVKIQSSFRMWRCIKAFQHFKIAFKAAIVIQSCLRGWLARKKAYAHKNHVVEIQRQCRGWLVKRDFLLQTDAVVKIQCVIRSLKCQKALDCQKVAASKIQCFIRGHLSRNRLLGGASQLCAIIPVSYISRPIGCCSFQLELYLTSVKKLQRWWKGILLLKIMNKSAIVIQSCTRGWIARQKSTVHRHLIAPQALYCQNDAALKIQCFIRGHLTRNLLLGNASKLHAVIPAGLNSRPIGCYSFQLELFLISVVRLQRWWKRVLLRRLMTKSAIIIQSCARGWMAKRKATIHRHNIAVIQSCWKGYLARKESKQQLLDLRLRLQESARNVEDSKRLINRLLAALSELLNMKSVSDILHTCSTLDMATGYSQKCCEELVAAGAIVTLLRLTRSLSRSKPDQEVLKHALSTLRNLARYPHLLQVLIQTRDSLQIVVMELLRNKEDGYFIASELLKKICSTHIGAEALLKSPALLKRLHGLVEELTRKAVYEKRNGRGPSPIIRENGERRLKEAAEILKLIAPA >OIW18926 pep chromosome:LupAngTanjil_v1.0:LG01:19027456:19031278:-1 gene:TanjilG_25369 transcript:OIW18926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSNWWHDINDSPFWQDRIFHLLAALYAVVAVIALVQLVRIQLRVPEYGWTTQKLFHFLNFFVNAVRCSVFIFRRDVHKLQPDIVQHILVDMPSLAFFTTYTLLVLFWAEIYYQARAVPTDGLRPSFYTINAVVYIVQIALWLILWWKPVSVLVIVSKMFFAGVSLFAALGFLLYGGRLFLMLQRFPVESKGRRKKLQEVGYVTTICFSCFFVRCVMMCFNAFDKAADLDVLNHSILNFIYYLLVEILPSSLVLFILRKLPPKRGITQYHQIH >OIW19354 pep chromosome:LupAngTanjil_v1.0:LG01:3475996:3477260:-1 gene:TanjilG_03488 transcript:OIW19354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GFPADDDSDSSCTSSLGRNSISSDREDSGKVAVNSPFKSPLDTMNDFEEDLPIKRGMSKFYSGKSKSFTSLADAATATCVQDIVKPEDPYAKRRKDLLAHNILINRNRSYTDNVGEISKRPGRGASCLTLSSLGSNGDGEDGKISTSISPPCPLPPTHPHAKRSSANASAPCPPTRNSPWRSYSWSDLQSVAANAHDIPGLAICSGSKGNKVH >OIW18808 pep chromosome:LupAngTanjil_v1.0:LG01:20158469:20159461:-1 gene:TanjilG_25251 transcript:OIW18808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLLWLSLLISLPHLLNSLSSTTTTVTAVATTTQAKALDAILQHYAYMALITPITGAIYNATNLPSNFTGVKVAALRLRSGSLRRRGFSMYNEFQIPKGIIEKPYVTRLVLVYHNLGDLSTRYYSLPNYTYLAPVLGLLAYDGSNLSATNLPELNVKASADPINIKFQDVKSAPDGTVAKCVWFDLQGSSNFTNVTGGNTCSTTQQGHYSIVVESTALPPAPAPAPLPPALAPSGGSPNLKPSGKGESKSNKKKVWIIVGSVLGGLALLALLSLLVLWAHKYKQKKKIQQMERAADVGEALHMASIGDTRAPAATVTRTQPTIEHEYTP >OIW19132 pep chromosome:LupAngTanjil_v1.0:LG01:11292490:11293638:1 gene:TanjilG_03622 transcript:OIW19132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKDNDAENPTTSLKVQEDQDLKASAPATDNDNQGKWYTSLLQHVSVYGVAAGYCLSASLLSIINKWAVMKFPYPGALTALQYFTSAAGVFLCGWFKFVEHDPLDLLTMWRFLPAAIIFYLSLFTNSELLLHANVDTFIVFRSVVPIFVAVGETLFLHQPWPSVKTWASLTTIFAGSVIYVITDYQFSFMAYSWALVYLVSMTIDFVYIKHVVMTIGLNTWGLVLYNNLEALMLFPLELLIMGELKKIRHEISDETDWYSFQVVLPVGLSCLFGLSISFFGFSCRRAISATGFTVLGIVNKLLTVVINLVVWDKHSTWVGTVGLLVCMLGGIMYQQSTSKPKVAKEATAQENEEEQLKLLEMQVNSESNINDNEVNKSREQN >OIW19653 pep chromosome:LupAngTanjil_v1.0:LG01:871294:872853:-1 gene:TanjilG_18463 transcript:OIW19653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDQQLMRQQHMCKFCSKSFPCGRSLGGHIRSHITKLSSLSAETEYKEKVGSYGYGLRENPKKTWRISDSTSEDTLLTLTTERFCKECGKGFHSWKALFGHMKCHSNNEKVSKRSVEDQDSVTNNNASSSHNQKLVLHIQSDNEGTAPSRRRRRSKRRRTSYMACANTNPCTFSLSEVEHEQEQVAMSLVMLSRDLSPWSGVNSVVDFSDINSAYFQPSSSAQTKIEKMKKPMLICSSEIAKVINESEKLEFVNCVSGNLNSKGKSSESSATEFMKSKKGKKCELDYVSALENSEGNRVNETESNLSKSTITNKYSSIKTKFFDSELKPKSLKNCADKPSEAAEFSKNTHKRGKFECTTCTKIFHSYQALGGHRANHKRNKNCFVSKNENNIDPTTGSMFMKHLVESEIHAGFDNEVADTITESKKIKVHECPICLKIFQSAQALVGHKRSHLASDSECTKHFQKVVVLEEPVQEIKEFLDLNLPAEEESTSYADSNRPWWFVENNHKQEALIGLMSS >OIW19614 pep chromosome:LupAngTanjil_v1.0:LG01:613344:614400:-1 gene:TanjilG_18424 transcript:OIW19614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSFEDVQGGKGYNRSFFTAFDPDENVDQVYFHQPEKKRRLSANQVQFLEKSFDEENKLEPERKTKLAKDLDLEPRQVAIWFQNRRARWKTKQLEKEYESLQASFDTLKANYDDLLKEKEKLKSEVANLTEKVLVREQQGGDYKEGESKRKGLEEALEKPLIESVSEGEGSKVCVEGCKQEEISSAKSDILDSESPHNYVDGVHSTMLELSDSSYVFEADQQDISQDEEDKLSKNLFPHQPYIFPKFEDVNYSDHPGSSCNFGFTDEDHALWSSWSY >OIW18447 pep chromosome:LupAngTanjil_v1.0:LG01:20355314:20356593:1 gene:TanjilG_13199 transcript:OIW18447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNISITLSSAVCKPIVNFQPALTSLLNQHHQQQQQRKDKVVVIMGATGSGKSKLAIDLATHFPPAEIINSDKMQVYKGLDITTNKVTEEECRGVTHHLLSIVDDPNYNFTANDFCHHASLAIDSIVGRDGLPIIAGGSNSFIEALVNHDSTFRARYECCFLWVDVSLPILHSSLSSRVDRMIEAGQLDEVRQFFDHSISDYTRGVRRAIGVPEFDEFLREEGSTYGDQSKKKKLLEAAIAMTKVNNCKLASRQVQKINRLYTIWKKNTYRLNATESVFNKSAWDDDVAAKGRRILQKFLYDDACVLVPAGAPLSPSLPPAMAAITH >OIW19687 pep chromosome:LupAngTanjil_v1.0:LG01:1147685:1154545:1 gene:TanjilG_18497 transcript:OIW19687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQDTVSGADSPRRRYGLLRDQVQLVKRKDSDRYEIIPIQDTLSFEKGFFIVIRACQLLAQKNDGIILVGIAGPSGAGKTVFTEKVLNFMPSLAVLSMDNYNDSSRIIDGNFDDPRLTDYDTLLKNIQGLKAGKPVQVPIYDFKSSSRIGYRSVEVPSSRIVIIEGIYALSEKLRPLLDLRVSVTGGVHFDLVKRVLRDIQRAGQEPEEIIHQISETVYPMYKAFIEPDLQTAHIKITNKFNPFSGFQNPTYILKSARAVTVDQIKAVIAAEHTENQEETYDIYLLPPGEDPEACQSYLRMRNRDGKYNLMFEEWVTDSPFIISPRITFEVSVRLLGGLMALGYTIAAILKRISHVFHDDKVSVKTDWLEQLNRLYVQVQGRDRNYVKLVAEKLGLDGSYVSRTYIEQIQLEKLVNDVMALPDDLKTKLSIDDDMVLSPKEAISRASTDRRMKYLNSSISHSYSNQREKSLSKLTRLAINNRFDARVLESPPAPIANQGVVTHLSEQISTLNERMDEFTSRIEELNLKIAMRGVSASQPNLALQADAGNASGPTLFVNGMGNGSLTGSLLPTSLSSSQLARDSPLMEEVLLVARGQRQIMHQLNTLSNLLHEYYDRSKQRRTDQTTRTRDVESVAIPLVLTLAIGSIAVYFFKTSQK >OIW19019 pep chromosome:LupAngTanjil_v1.0:LG01:17377493:17380603:-1 gene:TanjilG_10580 transcript:OIW19019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASSTSFSPDQQHHLSPSDQLCYVHCNFCDTVLAVSVPCTSLFKNVTVRCGHCTNLLSVNMRGLLLPPTNQLHIGHNFFTPQNLMEEIRNAPSTNMMMNQLPIPNDLVMSTMRGGPEEIPKPPPANRPPEKRQRVPSAYNRFIKDEIQRIKAGNPDISHREAFSAAAKNWAHFPHIHFGLMPDHQPVKKANIHQEAEDMLLKDGFFSPTNVGVTPY >OIW18264 pep chromosome:LupAngTanjil_v1.0:LG01:25354886:25365159:-1 gene:TanjilG_20319 transcript:OIW18264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFAKLDDSPMFRQQLQCLEDGADSLRTRCWKFYKECRKYTDGLGEVYDRDIAFANALENFRGGHSDPHFVALGGPVMTKYTIALREISTYKELLRSQVEHMLNERLLHIINVDVHEVKEARKRFDKASLVYDQAREKFMSLKKSTKIDVATVIEEELQNARTSFEETRFILVSALNNIEAKKKFEFLEAVTGIMDAHLRYFQQGYQLLHQMEPFLSEVLDYVQQSRESYSKEEVSLYRRMEEYKRHVYEESRLSLNGPYGSSPSGDSAHPFSRISNDVVDVVMESAANGKVQIIRQGYLSKRSSNLRGDWKRRYFVLDSRGTLYYYRKPWNGSYGSNQPSAHKNSANENGSGLLSRWLSSHYHGGVHDERSVARHTVNLLTSTIKVDADQTDLRFCFRIISPAKNYTLQAENAVDQMDWMEKINGVIASLLSVQTLGTPPSADSENSDHYSANKNDMLESSLDDGQTVTNKYASMNVSPNHHLRASKSMQLHKHSLKIEKPIDILRRVSGNDKCADCGKPEPDWASLNLGILVCIECSGIHRNLGVHISKVRSLTLDVKVWDLSVLTMFKSLGNLFANSVWEELLHSTDDMPDGSSKANSDMLLHARKPKHDDSISLKERFIHAKYSEKIFVCRTKKNDPPLPLAQQVRESIYANDKKAIYRHIVKSDIDVNAIGGKALSGESFNMAFSSNSDISSHSENQLIEDIQDGSTVLHLACETADIGMVELLLQYGADINACDSKGRTPLHCCIIRGNSAAAKVLIMRGGNPHVADKEGNTPLNLASETSSVANEMVVLLTSK >OIW18260 pep chromosome:LupAngTanjil_v1.0:LG01:25229657:25235331:-1 gene:TanjilG_20315 transcript:OIW18260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARVLAQSINIPGLVPGQRNGQHKGSGKSKRPVKMMSTLTMPGIRLTTFSGLRASNPLDTMLRPAGQDFHSKVLTATSSRRARASRCVPKAMFERFTEKAIKVIMLAQEEARRLGHNFVGTEQILLGLIGEGTGIAAKVLKSMGINLKDARVEVEKIIGRGSGFVAVEIPFTPRAKRVLELSLEEARQLGHNYIGSEHLLLGLLREGEGVIRMVGESADSVPASVGGSGGGSNKMPTLEEYGTNLTKLAEEGKLDPVVGRQPQIERVTQILGRRTKNNPCLIGEPGVGKTAIAEGLAQRIATGDVPETIEGKKVITLDMGLLVAGTKYRGEFEERLKKLMEEIKQNDDIILFIDEVHTLIGAGAAEGAIDAANILKPALARGELQCIGATTLDEYRKHIEKDPALERRFQPVKVPEPTVDESIQILKGLRERYEIHHKLRYTDDALVAAAQLSHQYISDRFLPDKAIDLIDEAGSRVRLRHAQLPEEARVLDKEVRQIVKEKEEAVRNQDFEKAGELRDKEMDLKAQISTLIEKGKEMSKAESEAGDEGPVVTEVDIQHIVASWTGIPVDKVSADESDRLLKMEDTLHKRIIGQDEAVKAISRAIRRARVGLKNPNRPIASFIFSGPTGVGKSELAKSLATYYFGSEDAMIRLDMSEFMERHTVSKLIGSPPGYVGYTEGGQLTEAVRRRPYTLVLFDEIEKAHPDVFNMMLQILEDGRLTDSKGRTVDFKNTLLIMTSNVGSSVIEKGGRKIGFDLDYDEKDSSYNRIKSLVTEELKQYFRPEFLNRLDEMIVFRQLTKLEVKEIADIMLKEVFERLKTKEIELSVTERFKERVVDEGYNPSYGARPLRRAIMRLLEDSMAEKMLAREIKEGDSVIVDADSDGNVIVLNGSSGTPESLPEALSV >OIW19294 pep chromosome:LupAngTanjil_v1.0:LG01:5535517:5540414:1 gene:TanjilG_20419 transcript:OIW19294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFESRSLFFFFLFLALSFASESDHKYQQDDPVTLWVNKVGPYNNPQETYNYYSLPFCHPSSSSEAAHKWGGLGEVLGGNELIDSQIDIKFLRNVDKTVFCQISLDEAKVKQFKGAIENNYWFELFVGYVGELHPDKNSDSGKHVLYTHKNIIVKYNKDQIIHVNLTQDIPKPLEAGKHLDLTYSVKWIPTNVTFRHRFDVYLDYPFFEHQIHWFSIFNSFMMVIFLTGLVSMILMRTLRNDYAKYAREDDDLETLERDVSEESGWKLVHGDVFRPPRYLVVLSAVVGTGAQLALLVLLVILLAIVGMLYIGRGAIVTTFIVCYALTSFISGYVSGGMYSRNGGKSWIKSMILTASLFPFLCFGIGFVLNTIAIFYGSLAAIPFGTIVVVFVIWAFISFPLALLGTVVGRNWSGAPNNPCRVKTIPRPIPEKKWYLTPSVVSLMGGLLPFGSIFIEMYFVFTSFWNYKVYYVYGFMLLVFLILMIVTVCVTIVGTYFLLNAENYHWQWTSFFSAASTAVYVYLYSIYYYYMKTKMSGFFQTSFYFGYTLMFSLGLGILCGAVGFLGSKLFVRRIYRNIKCD >OIW19662 pep chromosome:LupAngTanjil_v1.0:LG01:934599:936453:-1 gene:TanjilG_18472 transcript:OIW19662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFFGKLGNILRHAASANQRISSEIRSSPSFFQAIRCMTSAPSSKLFVGGISFNTDEQSFREAFSKYGEVVDARIIMDRESGRSRGFGFITYSSVEEASSAIQALDGQDLHGRQVRVNYANDRTRGGGGGGFGGSYGNAPYGGGAGYGGGYGNSQYGSGGGGYGGAGGYGGNSAGGYGGGGYGGSSYGSGSNYGSGDSGNNYSAPDSFGAPGAAPDSFGAPGAAPDTFGAPGAAPDSFGTPSAAPDSFGTPSAGGGYSGVGGYGDNSGSFGVAGGVGGNESSPGFGGNNGYASGSNFAGGFDGSTGQFDSKESSNVGEDLGGFGDSSGGNYRDDADDFAKRA >OIW17809 pep chromosome:LupAngTanjil_v1.0:LG01:34103498:34112049:-1 gene:TanjilG_02437 transcript:OIW17809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWPNLIEKAKEGGVDVIQTYVFWNLHEPQPGQYDFSGRYDLVRFIKEIQAQGLYVCLRIGPYIESEWTYGGFPFWLHDVPGIVYRTNNEPFKYYMQNFTTKIVSMMKSEGLYAAQGGPIILSQIENEYENIEKPFGEEGSRYVGWAAEMAVGLQTGVPWVMCKQNDAPDPVINTCNGMKCGETFTGPNSPNKPSLWTENWTSFYQVYGGVPYIRSAEDIAFHVTLFIARKNGSYVNYYMYHGGTNFGRSSSAYVITSYYDQAPLDEYGLLRQPKWGHLKELHAAIKYCSTTLLQGIQTNFSLGQMQEGYVFEDGEGECVAFLVNHDSVNKVTVQFQNRSYELLPKSISILPDCQNVTFNTATVNTKSNKRIINPVQSLSSADKWEQFQDAIPNFEDTLSISNQLLEHMNLTKDKSDYLWYTLRFEHNSPCNEPILHFQSAAHVAHAFVDDIYIGGAHGNHDVKSFTLDLPITLNEGANNISILSVMVGLPDSGAFLERRFAGLTSVEIQCSEESYDLTNSTWGYQVGLLGEQLQIYEEQNSSPVEWSQIGNTINQRLTWFKTTFDSPEGDDPVALNLGSMGKGETWVNGQSIGRYWILFHDSKGQPSQALYHVPRSFLKNSGNILVVFEEGVSGEPDKTASSATTTTNKPQQKHVAGAAGVRSMNLIGSLRVIELQLVAFVLVFSASGLVPLLDLVFPALVSIYLLLLSRFAFPSYGSVGTQSPIFHGSMFFQAYVVVGTIVGLFLPLAYVLGGFGRGDEHAVRSASPHLFLLSVQILTENVISGLSLFSPPVRALVPLMYTVKRIFVDIDWIHNVWLNKTLPANPHFKDTAWFWFGRGLAVANLVYFSINLFGFLVPRFLPRAFERYFQQKGEIYAKEAEDKASVIINKSHTLDKKTD >OIW18245 pep chromosome:LupAngTanjil_v1.0:LG01:25744152:25748349:-1 gene:TanjilG_06329 transcript:OIW18245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAELVSAATTGKLVEIDWMKNIAICELVAHDHRQAKDVVKAIKKRLGNKKPNTQLYAVMLLEMLMNNIGDHIHQQVIDTGIIPILVKIVKKKSDFPVRERIFLLLDAAQTSVGGASGKFPQYYNAYYDLVSAGVQFPQRAQVVQSNCPSSQPNRTNNVPKREYALPSHGEVAQPAESRTVPGSSIIQKASDVLEVLKEVLDAVDAQHSQGGRDEFTLDLVEQCSFQKQRVMHLVMASRDERIVSKAIELNEQLQQVLARHDDMLSAKATTTANHFGHEDEEEEPEQLFLRLRKGKAHARPEDEEAEPEFPHLGLLEERLNRPLIRPLSLSPSRLVNTRPAPAVIPPPSTRQNSELPHVAIPPPPAKHIERERFFQENKKGSATLGGHLRGLSLNSHNGSSSHSGSLDFSDWSL >OIW17756 pep chromosome:LupAngTanjil_v1.0:LG01:34684152:34685480:1 gene:TanjilG_06441 transcript:OIW17756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQDCIPSYDNKAQVGLFCGQEIENISSCTPSRSSSEDTTSSLVLSGIVRFGSPLGEEMTKMGITCFGREKLYRLAVNIPYHHSFFFTLPRKGGPPYDATDGCPKCKG >OIW19720 pep chromosome:LupAngTanjil_v1.0:LG01:1342889:1346650:1 gene:TanjilG_18530 transcript:OIW19720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVATAVTASLFPVISPSPDSSAEGNKHAGGPTNLGGFKSRHTSSRGLKVKANAQAPPKINGAAVATSVETCKHDDVLPSPSPRTFINQLPDWSMLLAAITTIFLAAEKQWMMLDWKPRRPDMLIDPFAIGKIVQDGMVFRQNFSIRSYEIGADRTASIETVMNHLQESALNHVKTAGLLGDGFGSTPEMFKKNLIWVVTRMQVAVDRYPTWGDVVQVDTWVSASGKNGMRRDWLLRDCNTGEILTRASSVWVMMNKLTRRLSKIPSEVREEIGSYFVNSAPIIEEDNRKLTKLDDNTADYIRTSLSPRWNDLDVNQHVNNSAPQSILESHELSSMTLEYRRECGRDSVLQSLTSVSGVDVGNLAYSGHVECKHLLRLEDGAEIVRGRTEWRPKPVNNIGIVNQVPLND >OIW19095 pep chromosome:LupAngTanjil_v1.0:LG01:14366495:14366854:-1 gene:TanjilG_06404 transcript:OIW19095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTATVVVGGGGERWRWSFQRCRQCHRKGDGSRGGLRPKVRRSCFTRALIHGGRFMMARDGIVSGFSSETTVGSRGNSLPRSHYSLSFDL >OIW19523 pep chromosome:LupAngTanjil_v1.0:LG01:1964539:1970332:-1 gene:TanjilG_06978 transcript:OIW19523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSQIRLLGWYSWIYYFVCFSFIVVNAVNGERRGDAIEKGFVFIHGKSFIGKIDDDFVCATLDWWPPQKCDYGRCSWGLASLLNLDLNNKILLNAVKAFSPLKIRLGGTLQDKVTYGTEDYHHPCTPFVWNTSEMFGFTKGCLPLHRWDELNSFFKKAGAKIIFGLNALAGKSIQSGSAVGPWDYSNAESFIRYTVKKNYIIHGWELGNELCGNGIGTSVSADQYVSDVASLRLIIQNAYKGIEPKPLLISPGGFFDATWFKEFVTKAGKSVDVVTHHIYNLGPGVDEHITEKILDPSYLNGEASTFNGLKNILRSSATSATAWVGEAGGAYNSGHHLVSDAFVYSFWKMGSQIRLLGWYSWIYYFVCFSFIVVNAVNGERRGDAIEKGFVFIHGKSFIGKIDDDFVCATLDWWPPQKCDYGRCSWGLASLLNLDLNNKILLNAVKAFSPLKIRLGGTLQDKVTYGTEDYHHPCTPFVWNTSEMFGFTKGCLPLHRWDELNSFFKKAGAKIIFGLNALAGKSIQSGSAVGPWDYSNAESFIRYTVKKNYIIHGWELGNELCGNGIGTSVSADQYVSDVASLRLIIQNAYKGIEPKPLLISPGGFFDATWFKEFVTKAGKSVDVVTHHIYNLGPGVDEHITEKILDPSYLNGEASTFNGLKNILRSSATSATAWVGEAGGAYNSGHHLVSDAFVYSFWYLDQLGMSATYDTRTYCRQTLIGGNYGLLNTSTFMPNPDYYSALLWHRLMGRRVLATSFYGTKKIRAYAHCAKQSQGITILLLNLGNSTSVQAKLALRFAKVPYGREKFREEYHLTTKSQNLHSQVMLLNGNILSVNKYGDIPPLKPLYVDPSKPIVVGPLSVVFAHIQDIILPACT >OIW18106 pep chromosome:LupAngTanjil_v1.0:LG01:27994137:27994469:-1 gene:TanjilG_19372 transcript:OIW18106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARARNVFLVALVLFNLIVISYGAAETPKVSDASNDTAEVDDDYEGVIGTGDSGGAPSPNSVVAGPIGGPVPPGAFDEVNKATASSAATSSLHRFSAFAGAAAVAGFFFF >OIW18285 pep chromosome:LupAngTanjil_v1.0:LG01:24753029:24756394:-1 gene:TanjilG_31425 transcript:OIW18285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWNLKSPSLDLTEVDQGTTFPNMETVDEGSSRYGVYRTKGEFSVDLKLGQVGNFGIESVMAKPKDTYAAAGVSKMASSPSGSSKRARAFNNGNHVVTCLVDECKSDLSNCRDYHRRHKVCELHSKSPQVTIGGQKQRFCQQCSRFHSLEEFDEGKRSCRKRLDGHNRRRRKPQPEPLTRSSNFLSNYQGTQLLPFSSSHIYSSTAMVNPAWNGVVTSSADVRLQNQHQQVNFIEKQDLFLGSSPTSYKEGKQLAFLQGDHNQNTHHLHTLLRTSPYSESSEGLRSKMFFDNNNSLTSSVHESPCALSLLSSPQVHNNPGNGLNQMVQPHSSSLMQPLGLSLHDNNNNSLESVEPVLDPNGSDHCSSMYNIGSSGSQGSDAPQLFPFQWE >OIW18783 pep chromosome:LupAngTanjil_v1.0:LG01:23029770:23032876:-1 gene:TanjilG_13535 transcript:OIW18783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLNASSCPAPMKAVSNGVFQRENPLDFALPLLILQICLVVTFTRFLAFLLKPLKQPRVIAEIIGGILLGPSAFGRSEKFLDTVFPKKSLTVLDTLANIGLLFFLFLVGLELDIRSIRRTGYKTLCIAVSGITIPFVLGIGTSLVLRATISKGVDPVAFLVFMGVALSITAFPVLARILAELKLLNTDVGRIAMSAAAVNDIVAWILLALAISLSGTDTSRLVPLWVLLSGTAFILFAVFAIRPLLAVMAKRSLEGEPVKEIYICITLILVLACSFATDVIGIHALFGAFVVGIIMPKDGPFAGVLIEKIEDLVSSIFLPLYFVSSGLKTNVATISGGVSWALLVLVIFTACFGKIIGTFVVSLICKVPFREALALGFLMNTKGLVELIVLNIGKDRKVLNDQAFAICVLMALFTTFITTPIVMAVYKPARRGTTYKHKTIQRKDPNSEVRVLACFHSNHNIPTLINLIESSRGTRKGAKLHVYAMHLMELSERPSAITMVHTPRKNGTLFCGTKQNGDDQMVIAFQAYGKLSSVNIHPMTAISDLSNIHEDICTSAHEKRAAMIILPFHKHQQISGSMESLGYAFHQVNELVLSHAPCSVGILVDRGLGGTSQVQASDLSFKVVVPFFGGGDDREALSYGLRIAEHPGILLNVIKFVAPPGKTLAFGAKLVGVASNKEHKVLIEQDDKDQDDELWSNFLSICTNNGESVKYEEKLVESKGDIERALNEINNSSLILVGRMPLVAPLVTESDCPELGPIGSYLATSEFSTTASVMVIQQYNPSTDIHPLVIVESDYQQEPESERV >OIW19297 pep chromosome:LupAngTanjil_v1.0:LG01:5444546:5456268:-1 gene:TanjilG_20422 transcript:OIW19297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLLTMVVNVSEFIVGNSSVVKRVKSEINFRIVSGSSKFNLSEECFKETSFSNGDVYIGEIKAVLPHGKGKYTWSDGTVYEGDWEDGKRTGKGLIIWPSGAKYEGDISGGYLHGYGTFTRSTGCVYRGSWRMNAYHGIGWKEYSNSDSYEGLWREGIREGCGRYSWSNGNTYIGNWKGGKIDGRGVMKWANGDTFDGCWVNGLRHGSGVYIYADGGLYFGTWSKGLKDGKGTFYPAGSKHPSIRKFSINSNDSGLSLNTEKHSAPKPRVKRSLSENISGISRSKCSHQISRRTTSLDAYQNLRDPAGDRTCHDSSSTVLQTFGESQSEASGTSTLVYEREYMQGVLIMERTKKYSESSHKNKRQNKFNVTPVKKSSCMDIFGGRRSYYLKLNLQLGIRYTVGKITPVPAREVRSSDFGGRAMIKMYFPKEGSQRTPPHYSIDFYWKDYCPMVFRNLREMFKLDAAEYMMSICGDSGLRDISSPGKSGSIFYLSQDERFVIKTLKKSELKFLLNMLPKYYNHVGKYENTLITKFFGLHRITLRGGKKVRFVVMGNMFCTELHIHRRYDLKGSSQGRCTHKDKINSHTTLKDLDLKYEFQMDIKLRESLLNQISIDCKFLESQHIIDYSLLLGLHFRAPENLKALAELPGPMQRPDSLPSGDDVKQGEQLIIPKGLLLVAHEPSFVDTTPGPHIRGKLKAHSIGDMEVDLLLPGTARLRVQLGVNMPAQAISKVDEDKVDASEVELFEVYDVVLYMGIIDILQEYNMKKKIEHACKSLRFDPMTISVVEPKIYAQRFINFFHKKVFPETP >OIW17638 pep chromosome:LupAngTanjil_v1.0:LG01:35623955:35626544:1 gene:TanjilG_28988 transcript:OIW17638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTILNNPLNLIEFVMLEGNGVKGLSEMGLKSLPKQYIQPLEERMSMIKVVSQESIPIIDMSNWDDPKVGDAICDAAEKWGFFQIINHGVPIKVLENVKDATYRFYGLPAKEKVKYTKEKSSSNHVRYGSSFTPEAEKALEWKDYLSLFYVSEDEAVATWPYACRDEALEYMKMSETLIKRLLNILMKRLNVSEIDEAKESLLMGSKRINLNYYPICPNQELTVAIGRHSDVSTLTVLLQDGTGGLYVRAEDHHSWIHVPPVSGALVINIGDALQIISNGRYKSIEHRVTANEKNVRVSVPIFVNPSPSDVIGPLPEVLANGEKQNYKNVLYSDYVKHFFRKAHDGKMTIEYVKID >OIW18019 pep chromosome:LupAngTanjil_v1.0:LG01:30671661:30681629:-1 gene:TanjilG_07603 transcript:OIW18019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAFLSSHDVFGFRFLLLLTVMYGLLSLLSHSVIYMKFITPLPHDAPVDQFSEARAIEHVRILSEGIDGRQVGRPGLKKASEYIMGQLEVIKDRASSNLRVEIEENSVSGSFNMLFLGHNIALGYRNHTNIVMRISSLDAKDTEPSVLINGHFDSPLGSPGAADCGSCVASMLEIARLIVDSGWVPYRPVIFLFNGAEELFMLGAHGFMKTHKWRDTIGAFINVEASGTGGPDLVCQSGPTSWPSDVYAEAAKYPMANSAAQDVFPVIPGDTDYRIFSEDYGNIPGLDIIFLLGGYFYHTSYDTIDTLIPGSIQARGENLFSIIKTFTNSPKLKNTYLTNSTEVTANTFNNERAVFFDYLSWFMIFYSRRVANILHSTPIFVFLVMSFMCGRSHSWSATLFDFGKGFLFHAVETILAIVVPVAFSILRLQFSTQTMNWFAHPCLAFVMFIPASLVGLLIPRSIQRDFPLSQDAAIVKTSKEALSDEARFWGAFGFYAIFTLAYLVSGLSGGFITLIMSVSMLPAWISFSLSVKFVGRRTLRSTISYILPLIPCVAYAVYFGGFLAQFVIEKMGMMGSLPPPYGYYVPDVIVAALIGILTGWCVGPLMPICGRWLARPSILQFLVHFSVFSLAISSQFFPYAVSAPKRVVFQHTVRTTGSSEVMEATYDFSVTDSNAIPFLFKHAPEVAKELNVTSDFSIESILVSQRRDWMAIFPVSFLFSNSVKFSTSKDDILKQYEYFPKLSVQHPYSNKGPRRVHLELHLGSLEEIWVTVLNITGPLSNWSFADNVLPGTETYGGGPQSYICRLSGPSDSNWTFWPNVKNYFS >OIW19429 pep chromosome:LupAngTanjil_v1.0:LG01:2803362:2804746:1 gene:TanjilG_09449 transcript:OIW19429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLIDEDLNLNGSSDSDSCSHGDSRWFRIDISEGFREYALINWRVRSRGEGCVRRRSSMMGKICAL >OIW17897 pep chromosome:LupAngTanjil_v1.0:LG01:32883550:32911303:1 gene:TanjilG_19866 transcript:OIW17897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTATRRISNRRPSESMKVIVIFVVGGVFGLIIGISLPTMSITKLNLQSNLTCIENKDTGNDNISSLQEQLSNDTSKIWVPTNPRGAQRLPPGIVNAQSDFYLRRLWGIPSEDLTTKPKYLVAFTVGYQQRMNIDANVKKFSENFTILLFHYDDRTTEWDEFEWSKKAIHVSAHKQTKWWYAKRFLHPDIVAPYDYIFLWDEDLGVENFNAEEYLKMVRKHGLEISQPGLESVKKLCWNMTKRRDNSEVHKETQEKPGKCKYPTLPPCAAFVEIMAPVFSRDAWRCVWHMIQNEFVHGWGLDFAFRRCVEPAHEKIGVVDTQWVVHQGIPSLGNQAIHVSAHKQTKWWYAKRFLHPDIVAPYDYIFLWDEDLGVENFNAEEYLKMVRKHGLEISQPGLESVKKLCWNMTKRRDNSEVHKETQEKPGKCKYPTLPPCAAFVEIMAPVFSRDAWRCVWHMIQNEFVHGWGLDFAFRRCVEPAHEKIGVVDTQWVVHQGIPSLGNQGIAQTEGNQTKTAARAEHNPRNNNHVYGREFQSMLEGLDEEGYSIEETGHHSEKKRRLSVDQVKALEKKFEVENKLEPERKVKLAQELGLQPRQVAVWFQNRRARYKTKVLERDYCVLKANYDALKLNYDTLRKDNSALLKEIKELKSRAEEENTKSDATVKEEMITLQQDSSEDLKHDCFNKKNNEGVGADSLFPTEFNRDGASDSDFSATLNEEQNNNSPIYNPAISSPGVLQNHNFLMSPSLKFNNCSSSSSPSSMNCFQFQKGNSYQEQYVKMEEHDFFSADEACNFFSDEQAPTLQWDCSEEWSQANKQ >OIW19702 pep chromosome:LupAngTanjil_v1.0:LG01:1222511:1226781:1 gene:TanjilG_18512 transcript:OIW19702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEPENNLQLSPMDETNKKNKKKNKNKKNNKKRPRDTETDEESPQVEENVESTENEKVEEGEVKIEKKKKKGGSGIMSTEAFASLGLSEATYKAINDMGFENMTQIQARAIPPLLIGKDVLGAARTGSGKTLAFLIPAVELLYNVQFTPRNGAGVIVICPTRELAIQTHAVAKELLKYHSQTLALVIGGATRKIEAERLAKGVNLLVGTPGRLLDHLQNTKGFMYKNLKCLMIDEADRILEANFEEEMKQIIKILPKNRQTALFSATQTKKVEDLARLSFQTTPVYIDVDDGRSKVTNEGLLQGYVVVPCAKRFIVLYSFLKRHKSKKVMVFFSSCNSVKFHADLLNLIQLNCLSIHGKQKQQSRTTTFFDFCKAENGILLCTDVAARGLDIPAVDWIVQYDPPDEPKEYIHRVGRTARGEGGKGNALLFLIPEELQFLRYLKAAKVPVKEYAYDESKVANVQSHLENLVANNFYLNKMAKEAYRSYILAYNSHSMKDIFNVHRLDMPAIAASFCFSNPPNVSVNINSSKQRNKMRKVDGSRHGFSDNNPYGKRNADDTRQFVRH >OIW18071 pep chromosome:LupAngTanjil_v1.0:LG01:29707295:29743804:-1 gene:TanjilG_19303 transcript:OIW18071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNTPGAAPITFGFKNLMETFTVDVHKAENRPLNVPLIAPFTIASSRLDKVENVAIRVELSNGCVGWGEAPVLPFVTAEDQSIAMAKGAEVCGLLRRLPALTLGSMLEEIEGVLPGHQFASIPIVSPSEAAELASKYYKEGFKTLKLKVGKNLNADIQVLQAIRLVNPECQFILDANEGYNSEEAVEVLEKLNEMGVTPVLFEQPVHRDDWDGLGYVANVARNRYGISVTADESCRSLADVYKIAEGNLADVINIKLAKVGVLGALNIIEKAKVAGLDLMIGGMVETRLAMGFAGHLAAGLGCFKFIDLDTPLLLSEDPVLEGYEAIMAYHTVPGEWQTQRLIHVTLHLIAIVLGIVGICAVFRFHDMVNLEDVYSLHSWIGIATFCLFGVQWLLGLAFMFRGSRAERAGVAPWHIAGGRALLYMAICAALTGLMERFTMLKPHQSESRLINFLGLAILVFGIFVDITVSLAHFGS >OIW17606 pep chromosome:LupAngTanjil_v1.0:LG01:36083449:36085110:1 gene:TanjilG_28956 transcript:OIW17606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFMKSMILLYRHVSTTKVFSKLPPKSKQLALTQAQQVLTDYLHATRSLPYPYADQIAKNSFLSLSNLISKLQGGSFSFKSLVKFLRYNPINEFEFFFESIGINYSKVHSFLPHNKFFFSEDGSLLDAAAVLSEFGFPWDKLGLLYVETGGSVFKRSVFELKGRLCFFKRYGFCNVQVIGVCLAFPFVFRDDEEHGSQFHGLLDDLKLVFLDFDLAASVEGSVDSWYEVCRKIRVFYDLNDGKYKIGELIGRNKSVILEHGEEELIRKVEYFCRFGVKKEEVALLILQSSELLNINLETPVINVLKLLKHVGLSSEGLKDVRRNYVHALGTIKMSNLPSVMRALSLHEWFFNKLKDGDHHLLPSYITTYPNEGQDKDYQRGLETIRVSRTPIHNMNKLNFLHALGFGENGFTMSILNDLHGNVSELQERFDCLMNSGIEFSKVCKMITIRPRILSQNPEILVQKINFLCQDMGNNIEILVAFPAYLCHDLESRIKPRYRFHMWVKERGLTKKNYSIASMIATSNKNFVARISRIHPAAPKHWFEQFYPRKLSVE >OIW17941 pep chromosome:LupAngTanjil_v1.0:LG01:32362809:32378381:-1 gene:TanjilG_17777 transcript:OIW17941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQYTLPTSQRILPLLPSLPPLSPSSFNCAFPFNFNPPSSSITTTTTTTTTTNPTKTNTTHFRTTATQGNVAVVDVDVDNDSSNSEKEIRRGFIDIGYLSNVHGLQGQICVKPTTDFPQLRFSTPGTRWLRQNVLGEETIQEVELEQGTNGVRNWGFGEEDVEECWGLVHGSIDNSANLCEVDLGRFREYKLSGTEGRAKELMFGFQLAPMVHPYCGLIPIKKKNGEKIDNNKENKKNDARTGNKENKKKEMDVMNFKGERFSQDEEYLTSPLCNDLYKAKMLTGSTLLVTEDERPELEEGEFYTHDLVGMKVFLKESGEFVGTVIGVFNSGANDLLQVSLDSSFGILDKSGKSRSEEVEASGQLVLVPFVEAIVPDVDTKRREMHITPPKGLLELNLRYDERSKKERRQLEWKERKKFQKRLIAAKKKLVEIEQQHVFHGLRYGEKEQRSLLSDQIVGVNTKLLQEALQGLQQPTKRQDKDREVVPLILVSSAQQIESLRKLFTNNNHFAFDSEKIWFLEEEKLPVVSSLPEGQNKYKILMKSPWEILQTPVGSGGFISLFSKHSIADNLINLGVEYVEAKMLTGSTLLVTEDERPELEEGEFYTHDLVGMKVFLKESGEFVGTVIGVFNSGANDLLQVSLDSSFGILDKSGKSRSEEVEASGQLVLVPFVEAIVPDVDTKRREMHITPPKGLLELNLRYDERSKKERRQLEWKERKKFQKRLIAAKKKLVEIEQQHVFHGLRYGEKEQRSLLSDQIVGVNTKLLQEALQAEVISASEAKLINSLQISSKSSLHGNGDNILQDKGLKLMSEGKMAIVLLLNEKENQGFICDPDIVENEATDTLLLPLQKLLSDDGNFVKDKDREVVPLILVSSAQQIESLRKLFTNNNHFAFDSEKIWFLEEEKLPVVSSLPEGQNKYKILMKSPWEILQTPVGSGGFISLFSKHSIADNLINLGVEYVEICCPSERIAGGNSLLLGLVDSRKADVGIQISPSMADPEKIFDIIFSMDFLKKLLKQSNKLQFDAIPKANSFVEKNDKDWVTVTSSIPNSYELSCSIYSSLNACSLDKVCVVTE >OIW19572 pep chromosome:LupAngTanjil_v1.0:LG01:184660:187109:1 gene:TanjilG_18382 transcript:OIW19572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIRPYDLEVVSSNYGNSLSIGVVIMQAQKIAPPDLICKDKFLIQCIGVPFGATEDDITSDTFAKDSGKYIEEKKLNVVLITPPSSPVLLPVNGDVKQESSNEIHLQKDRAPSGVENIPPPLKVSEEVKGLKTAQDTEEDRTAEDIVARHAENVSDMKPSNDAVQLTLAKDLEELRSRLGIMDSKLREAEVTIMKLNEERRTNTQEKDLLKKELEVLKRKMDAKRGQSGFPLLFVCMVALVGVVAGYYIHP >OIW17625 pep chromosome:LupAngTanjil_v1.0:LG01:35840439:35841428:-1 gene:TanjilG_28975 transcript:OIW17625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPTLSPTSPTNTSPPFAVPLLPAPPLPPPSTSRRLPPPCWTPEETSALIDSYRHKWYSLGRSNLKATHWQEVADAVSAACPNVSPPKTAVQCRHKMEKLRKRYRAEIQRARNLPVKKFNSSWVHFKLMDSMEKGPNNNNDDGDDNKDDSNNNNNADFVDVDENDGEDDEDQDLIHANSLYKLRRNGIDSGGRGEGGFRIRIPSGVSVAKPDSRFYPRFSDERRNPSSGYGGKGVKEGSVLGKREREREKERDPVEEMVNAIKVLADGFMRTEQMKMEMAKEIESMRMEMEMKRTEMILESQHRIVEAFANAVSEQKKKNKRVPSSPES >OIW18581 pep chromosome:LupAngTanjil_v1.0:LG01:21284021:21286857:-1 gene:TanjilG_13333 transcript:OIW18581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSCSVDVGLEQLCYIPCKFCNIVLAVSVPCSSLFDIVTVRCGHCTNLWSVNMASAFQSMSWQDVQAPTHFNHPEYRIDTSGSTSKCNNRIAMRAPTTHVTEERVVNRPPEKRQRVPSAYNQFIKEEIQRIKTNNPDISHREAFSTAAKNWAHFPNIHFGLMLESNNNQAKMENVRKYY >OIW19435 pep chromosome:LupAngTanjil_v1.0:LG01:2721134:2722384:-1 gene:TanjilG_09455 transcript:OIW19435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYLYSTCTTITTLSILALASFMAASAGNLNQDFDITWGDGRAKILNNGELLTLSLDKASGSGFQSKNEYLYGKIDMQLKLVAGNSAGTVTAYYLSSKGSNWDEIDFEFLGNLSGDPYILHTNVFSQGKGNREQQFYLWFDPTADFHTYSILWNPQRIVFSVDGTPIREFKNSESIGVPFPKNQPMRIYSSLWNADDWATRGGLVKTDWAQAPFTASYRNFNANACTLSSGASSCGSNSASSSSNAWLSEELDSTNQGRLKWVQTNYMIYNYCTDAKRFPQGFPSECNIA >OIW19205 pep chromosome:LupAngTanjil_v1.0:LG01:8264944:8265411:-1 gene:TanjilG_20330 transcript:OIW19205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYIKMIFIIAITMALSIAFTMRSIIPQEGKSAPVDHDFPSSDEEPSVHKNKIFLPSKRVSRFLAQNPNAADHCYKDNELCYYPGAKNSTCCNNKCIDLGYDHNNCGVCKKKCKYTEACCRGQCVDTYYDKRHCGECNHRCDLGQYCVYGLCGYA >OIW18479 pep chromosome:LupAngTanjil_v1.0:LG01:20561113:20566952:1 gene:TanjilG_13231 transcript:OIW18479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDKSEVLDAVLKEAVDLENIPIEEVFENLRCSKEGLTNQAAEERLVIFGHNKLEEKKERKFLKFLGFMWNPLSWVMEAAAIMAIALANGGGKPPDWQDFVGIITLLIINSTISFIEENNAGNAAAALMARLAPKAKVLRDGRWNEQDAAELVPGDIISIKLGDIVPADARLLEGDPLKIDQSALTGESLPVTKSPGDGVYSGSTCKQGEIEAIVIATGVHTFFGKAAHLVDTTNQVGHFQKVLTAIGNFCICSIAVGMVIEIIVMYPIQDRKYRPGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKNLVEVFAKGVDADTVVLMAARASRLENQDAIDTAIVGMLADPKEARAGIQEVHFLPFNPTDKRTALTYTDSDGRMHRVSKGAPEQILNLAHNKVDIERRVHAVIDKFAERGLRSLAVAYQEVPDRRKESAGGPWLFTGLLPLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSALLGQDKDESIASLPVDELIEKADGFAGVFPEHKYEIVKRLQARKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLLALIWKFDFPPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLAEIFTTGVVLGSYLAMMTVIFFWAAYKTDFFPRVFGVSSLEKTAHDDFRKLASAIYLQVSTISQALIFVTRSRGWSYVERPGLLLVFAFLVAQLIATLIAVYANWSFAAIEGIGWGWAGVIWLYNIVFYIPLDIIKFMIRYTLSGRAWDLVIEQRIAFTRQKDFGKEQRELQWAHAQRTLHGLQPPDSKFTERTHVSELNQMAEEAKRRAEIARLRELHTLKGHVESVVRLKGLDIDTIQQSYTV >OIW19511 pep chromosome:LupAngTanjil_v1.0:LG01:2061659:2062039:-1 gene:TanjilG_06966 transcript:OIW19511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEADSTPSSPISLKNKLKSTLFLSCCFPHNRITPRLVRSSSLHNKPRGLITDFPHLKEKCSNFISRIGRHRRRHSADFHYDAFSYALNFEDDVTDDRCVHDLQSFSARLASSPPSKALPNATIS >OIW18958 pep chromosome:LupAngTanjil_v1.0:LG01:18542961:18543974:1 gene:TanjilG_09152 transcript:OIW18958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGSRGRHRITSRQYRLTPYPLVGCERDICQKKCSKILDKKEWEDVTCSVCMEYPHNAVLLLCSSHDKGCRPYMCQTSYRHSNCLDQYKKAYTKVTEDSISNPGALLDTNSVLGKSEVTELACPLCRGQVKGWTVVEPVRDYLNAKTRSCMQDNCSFVGNYKELRKHVKAEHPSARPRDVDPAHERKWRWLEWEREREDVISTVTSTMPGAMVFGDYVIERHQHNDFDSDDEGGTDNAERNGRVQMGIEAMNFFLLMHAVRQGNDLNNLSRRLVPGNGAGELDFSIEDSDNGRYDEGNDDGVSLVSHIHHHDHGVLLGRAGRRRRRRETHTRIDDS >OIW17845 pep chromosome:LupAngTanjil_v1.0:LG01:33665534:33666816:-1 gene:TanjilG_02473 transcript:OIW17845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQIPGLNMEKEMSDIVSALTHVVCGDIPKGDDYKVLHHNIDHSVIVGSGVDTFDATLSSSSSSYGGKRKREHDIPFCDFSISNADSSYATTPIAQCSRNWTNTTTTTETSQSQIGTNPAYEYRTDNVRNQEQHQQKQKQPQRKYRGVRQRPWGKWAAEIRDPFKASRVWLGTFETAEAAAIAYDKASLNFRGNKAKLNFPENVRLIRQQQQQQPLKPKSPTILSIAPATEPVVKPEDLSILQGSYAYAPSSSFYEYSHF >OIW17877 pep chromosome:LupAngTanjil_v1.0:LG01:33238525:33249794:-1 gene:TanjilG_14123 transcript:OIW17877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DADMEDYGFEYSDEEQEEQDVDIENQYYNSKGLVETDPESALAGFAEVVQMEQEKAEWGFKALKQTVKLYYRLGRYKEMMEAYREMLTYIKSAVTRNYSEKCINSIMDYVSGSASQNFALLQEFYQTTLSALEEAKNERLWFKTNLKLCKIFFDIGEYGRMNKILKELHKSCQREDGTDDHKKGTQLLEVYAIEIQMYTETKNNKKLKQLYQKALTIKSAIPHPRIMGIIHECGGKMHMAERQWAEAATDFFDAFKNYDEAGNQRRIQCLKYLVLANMLMESEVNPFDGQEAKPYKNDPEILAMTNLIAAYQRNEILEFEKILKSNRRTIMDDPFIRNYIEDLLKNIRTQVLLKLIKPYTRIRIPFISKELNVPENDVEQLLVSLILDNRIQGYIDQVNRLLERSDRSKGMKKYTAVDKWNTQLKSLNQTITNRVG >OIW18948 pep chromosome:LupAngTanjil_v1.0:LG01:18343055:18343497:-1 gene:TanjilG_09142 transcript:OIW18948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGPNPFVVSQTADGPSPYLHSGSEEGHSLWARTHCFGGRPLDRRVWARREARAQRSGRMIEEFSDAENENEDEEEMVIPNAVWYFANVMVLAMENVVTLLVEEHRATEEPV >OIW18253 pep chromosome:LupAngTanjil_v1.0:LG01:25081216:25098696:-1 gene:TanjilG_20308 transcript:OIW18253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIEQKQSEIIDHFVKQASSAASNASEIASVITDATSHPSLFAFSEILALPNVLQLEATENSVYLDMLRLFAHGTWSDYKSNAGSLPQLVPEQILKLKQLTVLTMADTYKVLPYDQLMQELDVTNVRELEDFLINECMYAGIVRGKLDQLQRCFEVQFAAGRDLRPAQLGNMIHTLSNWLSTSENLLVTIQEKIKWADSMGEIDKKHRQDVEEKVEEVKKTLFKSTCLTYFVAEVTHCKQADIDFRSHEEICSESGGVMDYEEDRVRPKR >OIW18393 pep chromosome:LupAngTanjil_v1.0:LG01:23370381:23371112:1 gene:TanjilG_31533 transcript:OIW18393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVFTFENESTSTVAPARLYKALVTDADTIIPKAVEAIQSVETVEGNGGPGTIKKLTLIEGGETKYVLHKIETIDEANLGYNYSIVGGVGLPDTVEKISIETKLVEGANGGSIGKVTIKIETKGDAQPNEEEGKAAKARGDAFFKAIESYLSANPDYN >OIW19349 pep chromosome:LupAngTanjil_v1.0:LG01:3544091:3550265:1 gene:TanjilG_03483 transcript:OIW19349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESNTRTTSKKEVKEHILSSVSDEEPKHERVLEAGKSHGIQTLSTPTSEAKRNDAEVEKTSKLEEHKEDIFMNSQQRSSSIGNNWNNATETKACNYAKGKWVPDDNRPLYSGFGCKQWLSSMWACRMMQRTDFAYEKLRWQPKDCKMEEFESSKFLKRLQNKTLAFVGDSLGRQQFQSLMCMATGGEEKPEVEDVGSEYGLALAEGNARPNGWAYRFPSTNTTILYYWSAILCDVEPIDVNNPQTEYAMHLDRPAAFLREYLHKFDVLVLNTGHHWNRGKLNANRWVMHVGGVPNTDKKIAVIWGAKNLTIHSVVSWVNSQLPKYPGLKAFFRSISPRHFFGGDWNTGGSCDNTKPMSVGKEILDEESSDPVAANAVKGTGIKLLDITGLSQLRDEGHISRFSLTAQPGNLVLEGARERIIVGDLYCDVPLGLYVIRGESSVLIGELKLGKEELPQHMTCVSEEEIKKAQKVEKEAKDLKSTMMKRMGFLDLEEQV >OIW18661 pep chromosome:LupAngTanjil_v1.0:LG01:22013742:22014245:-1 gene:TanjilG_13413 transcript:OIW18661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHYSFTITLFLLLVSTTHATIPGQKKKRSLANQFLIPQNAARSAARLRPLVWDSKLAHYAQWYANQRRNDCALEHSNGPYGENIFWGSGTGWKPAQAVSAWVEERQWYNYWHNSCSYGQMCGHYTQIVWGTTRKVGCASVTCSGNKGMFMTCNYDPPGNYYGEKPY >OIW17789 pep chromosome:LupAngTanjil_v1.0:LG01:34311449:34315863:1 gene:TanjilG_06474 transcript:OIW17789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVLQLGLFLALASGFAAISIYIIGLSNSSVYLNSQLTDEDTQALLSLHNSFEKCMSANGLGLKAARGGDYCQTTINFPSDTIPKWKDPKTGELEVLSYDFNLCEAVATWEQVRNSTTILTKEYIDSLPNGWEEYAWRRINKGILLNHCENKTLCMEKLSLVLPESPPYFPRQFGRCAVIGNSGDLLKTKFGKVIDGYDVVIRENGAPTQNYTDHVGRKSTFRLLNRGSAKALDKVVELDEQRKEVLIVKTTIHDIMSKMIRELPIKNPVYLMLGASFGSAAKGTGLKALEFALSMCNSVDMYGFTVDPGYKEWTRYFSESRQGHTPLHGRAYYQMMECLGLIKIHSPMRADPNRVVKWVPNHHLIRAARIASEKLLRRVGAGSGNPLGACSIVKKQVKRNANAISKLRKEALDHMRYVKSTTMYPLEHSPGHGLLCTVPSD >OIW17677 pep chromosome:LupAngTanjil_v1.0:LG01:35291284:35292060:1 gene:TanjilG_29027 transcript:OIW17677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKKEEKSQAAAERIKAAALTAAKGLSRAQAERAATAAARNVNAYGQKEEGPSRWQEKREAKRQMYLMSTEKAVKLGERKDLKPTMSATGSTAQCQKCFQSGHWTYECKNERVYISRPSRTQQLKNPKLRANVSVTYDLDDTINPDAKEEKAKVRSKKTKRKHRSDSDSGSDSEDSVFETDSGSGSSSVTGSDSSSESSSGYSSSSDSEEERRRRRKKKQKRGRRSKRYSSSSESSDSDSDSADRSSRRKKRHSRRR >OIW18266 pep chromosome:LupAngTanjil_v1.0:LG01:25424260:25427552:-1 gene:TanjilG_20321 transcript:OIW18266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQHEKALLHSVENATTEVNTLRSQLERAEKRLEYAQSELALIRAIKTTNVETMDRGTKRKFDEKQHKDLISLMCKNAYPYPVNFQKSYYISSQHDKKLRSLALCPVNDKLFATSAMDGIVNLWEVISWGEEVNLNSSTNCLTPEQCRWPEDIAWHPDGDRIFSVYNANSLDSQISIMYLSRVGEDPEEMNDINFLEHKPHTKGIINNISFMPWENTCFVTGGSDHVVILWSENGEDDWRPKTLHKNLHAAMVMGVSGMQQKQIVLSVGKDKRIVGYDVCAEREDFTHIIDSRCLSVIPNPCDYNLFMVQAGASEKQLRLFDIRTKQKELHTFGWTQAITLEARTNLINQAWSPNGMYITSGSSYEMIHIFDIRCNGQNPSQSLQAHQKGISKVSWLQSLPLLISMSSDNNIGLHKLV >OIW17661 pep chromosome:LupAngTanjil_v1.0:LG01:35425359:35427764:-1 gene:TanjilG_29011 transcript:OIW17661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMDPHHERFSGNEIQFGNQSLPLLHNQGFSTIPRFQNSSFFDQSRDFDYLQFDPIQANTSSSSTVTHEEQSPEDCDFSDAVLSYISQILMEEDMEDKTCMLQDSLDLQSAERSFYDVLGEKYPPNNQNDGVGGNLPLQNLQGNSVSNSPYSSCNSVISSTLDSSSSNLQVPDLSSENQSIWQFHKGVEEANKFLPSGNDLFANFKPLEPKVGIDEWSVKVEKDEGEDVVDGYKGRRYPERDEEDVEENRSRKQAAIYSEPTLRSSMIDIILLHSAGDGKDHYVARRDALQNKTNKILMASGQSKQSNGGKGQRKKQSRKNKVIDLRTLLVLCAQAVAADDYRNAHELLKQIRQHSSPFGDGNQRLAHIFADGLEARLAGTGSEIYKGLVSKRTPAADILKAYHLYLAACPFSKISNFTSNVTIRESAANSMRVHVIDFGIFYGFQWPTFIQRLSWRAGGPPKLRITGIDFPQPGFRPADRVIETGRRLTAYAESFNVPFEYNAIAKKWETIQLEELRIDRDEFLVVTCFYRGKNLLDESVVVDSPRKNFLSLIRKINPNIFIHGTMNGAFNAPFFVTRFREALFHYSALFDMLETIVPREDWERMLIEKEIFGREALNVIACEGCERVERPETYKQWQAHILRAGFSQQPFDRETVKMAMEKVRSSYHKDFVIYEDSNWLLQGWKGRIIYALSCWRPA >OIW19450 pep chromosome:LupAngTanjil_v1.0:LG01:2585047:2588777:-1 gene:TanjilG_09470 transcript:OIW19450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAGLSTIQQTLTPEAATVLNQSITEAGRRNHSQTTPLHVAATLLLSPSGYLRQACIKSHPNSSHPLQCRALELCFSVALERLPTSQNTSTSTEPPISNALMAALKRAQAHQRRGYPELQQQPLLAVKVELEQLIISILDDPSVSRVMREASFSSPAVKATIEQSLNSAPSNSNSIGLGFRPATVATPAAQPMCRSLYLNPRLQQGSGGAGQLGQVQRGDEVKNVVDILMRRKKRNPILVGESEPEAAIEKVLEKIENKELGEASFGFSNAHVIHLEKEIPSDRALVPARLKELGDLIEARVGNSGVFVNLGDLKWLVEQPVGFGVGGLDNKQQLSAVEAGRAVVEEMARLVAKFGEGGAGRLWLLGTATCETYLRCQVYHPSMENDWDLQAVPITTRAPLPGTFPRLGNNSILGTSFEPLSPLRAFPTTTITPSKCASENIGSTPDGVSTCCPQCMRNCEQEVAEMLKENEKSDSGSKSDAASPPLPRWLQVAKVKYQSQSISQEDVKQRTHELQKKWHDTCMSLHPKFHQQNVSKERITPTPFKMTGLYNVCSMGRHFHPKIPFNKNLETSLQLGTNSMPIQSPPEPVANVPPSPVTTELVLGQTKPVDTIPEATQEQPIIDLLGCLSQKQDKFGELQNKKILDADSFKKLLKGLSEKVWWQHDVASAVATTVTQCKVGNGKRHQLGSKGDMWLLFLGPDRVGKKKMAAAVAELVNGSNPIIISLAQSRGGEDSDVPHLRGKTVLDRIAEAIRRNPHSIILLEDIDEANILIRGSIKRAMEQGRFPDSHGREISLGNVMFILTANWLPEDSSYLSNGNSLDEEKLANLAKGGWQLRLSVSKKASKRRPSWLSGEGRSLKPRKEMNSGLSFDLNEAADADEEDKADGSLNSSDLTVDHEDNHVIHNKRSPSTIPRDLLELVDDAIVFKPLNFNLIRRTFATSIAKRFSTIIGNGILIEVKEEALEKIASGVWLGQASIEEWMEKVLVPSFQQLKKNFNSSIYDNESSMVVKLEDDGYSDLRNSEEWLPATVRVVVEGY >OIW19414 pep chromosome:LupAngTanjil_v1.0:LG01:2962982:2967248:1 gene:TanjilG_09434 transcript:OIW19414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPWKKESLIFVLQSATVSLLLNATFGALGSHAPTASWHKRKIQKEHNFLRFKQLSLNHTYKGIKGGCTSQECSRKYVVKAASEQSFESEPQALDPKSIWDSAKSSLDAFYRFSRPHTVIGTALSIISVSLLAVQKTSDLSPLFFTGVLEAVVAALFMNIYIVGLNQLSDVEIDKINKPYLPLASGEYSFQTGVIIVASFSILSFWLGWIVGSWPLFWALFVSFLLGTAYSINIPFLRWKRFAVLAAMCILAVRAVIVQLAFFLHIQTHVYKRPAVFSKPLIFATAFMSFFSVVIALFKDIPDIEGDKIFGIQSFSVRLGQKKVFWICVLLLEIAYGVALLIGAASPFLWSKIVTGLGHAVLALVLWYRAKSVDLKSKASITSFYMFIWKLFYAEYLLIPLVR >OIW17923 pep chromosome:LupAngTanjil_v1.0:LG01:32638233:32638673:-1 gene:TanjilG_17759 transcript:OIW17923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTPMLSGTMVSTSFLRLQPMTTTLKAFPNMGESLFVVKPERGCVIAMATHNVKVITPEGTKEFKCPDNEYILDKAEEEGIDLPYSCRAGACSSCAGKVKSGEVDQSDGNFLDDEQLEAGFVLTCVAYPKSDVVIETHKEEELAA >OIW19624 pep chromosome:LupAngTanjil_v1.0:LG01:689373:692468:-1 gene:TanjilG_18434 transcript:OIW19624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGDNSKKLKVEDNSEDIDPQLLLSIEKLQEIQDNLEKINEEASDKVLEIEQKYNEIRKPVYDNRNEIIKSIPDFWLTAFLSHPALGELLNDEDQKIFKYLDSLEVEDHKDVKSGYTITLNFKPNPYFEDAKLTKTYTFHEEGATKITATPIKWKEGKGVPNGVDHDKKGNKRAFVDISFFSWFSDCDEKDDDVDDILDEEVPDDVETIGGPFEGNDQRNCLNCAESIQSSVSSYEYVSELLGLVSIVIVLRCQAYVGNKAS >OIW18306 pep chromosome:LupAngTanjil_v1.0:LG01:24543981:24546984:-1 gene:TanjilG_31446 transcript:OIW18306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYITTTSLSLFFFLFSFFTFISSSPLQDPELVAQEVNRKINASIARRNLGYLSCGTGNPIDDCWRCDPNWEKNRQRLADCAIGFGKNAIGGKGGKIYVVTDSGDDDPVTPKPGTLRYAVIQDEPLWIIFQRDMVIKLKEELIMNSFKTIDGRGASVHIAGGPCITIQYVTNVIVHGINIHDCKQGGNAMVRDSPRHYGWRTISDGDGVSIFGGSHIWVDHCSLSNCNDGLIDAIHGSTAITLSNNYMTHHDKVMLLGHSDTYTQDKNMQVTIAFNHFGEGLVQRMPRCDPNWEKNRQRLADCAIGFGKNAIGGKGGKIYVVTDSGDDDPVTPKPGTLRYAVIQDEPLWIIFQRDMVIKLKEELIMNSFKTIDGRGASVHIAGGPCITIQYVTNVIVHGINIHDCKQGGNAMVRDSPRHYGWRTISDGDGVSIFGGSHIWVDHCSLSNCNDGLIDAIHGSTAITLSNNYMTHHDKVMLLGHSDTYTQDKNMQVTIAFNHFGEGLVQRMPRCRHGYFHVVNNDYTHWEMYAIGGSANPTINSQGNRFVAPNDRFSKEVTKHEDAPENEWKGWNWRSEGDLLVNGAFFTASGAGASSSYARASSLSARPSSLVGSITTGAGALSCRKGSSC >OIW18006 pep chromosome:LupAngTanjil_v1.0:LG01:30510940:30522267:-1 gene:TanjilG_07590 transcript:OIW18006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSINPLNSITLCRSPPFSNGTRRRIHFSSVSRWRRVQTKAVVSGGDNGGQIKTTTTTTKTSALLDTSDSNEIEVKAMITIRKKMKEKLIDKIEDQWEYFINGVGQGIQIQLISHQIDPVTNSGKSVQSNVRGWIPKPSSVSYIVEYDANFTVPSDFGYPCAILITNLHAKEFYLLEIVIHGFNGEPIFFPANTWIHSHNDNHESRIIFCNQAYLPSQTPAGIKDLRREELLSIRGTGKGERKQHDRIYDYAPYNDLGNPDKDKELVRPILEGVQTPYPRRCRTGRPPTLSDPFSESRIEKPHPVYVPRDETFEEIKQNTFSSGRLKALFHNLIPSLAATLSSSDISFKCFSDIDKLYIDGVLLNVEENKGGINDLLLGKVMNQVLSAGGRLLKYEIPDIIKRDRFSWLRDNEFARQTLAGVNPVNIELLKEFPIQSKLDPAVYGPLESAITKELLEQELGGMSLEKAIEEKRLFIIDYHDILLPFIKKMNSIPGREAYASRTILFNSNSGILRPIAIELSLHQTRTSRRVYTHGHDATTHWIWKLAKAHVCSNDAGIHQLVNHWLRTHACMEPYIIATHRQLSSMHPIYKLLHPHMRYTLEINAIARQNLINGGGIIEASFSPGKYAMELSSAAYKNMWQFDLESLPADLIRRGMAVEDSSMSCGVKLVIDDYPYAADGLLIWSAIKEWVESYVKHFYTDLNSVTTDVELQAWWTEIKTKGHHDKKNEPWWPKLNTKEDLSGILTTMIWVASGQHAAINFGQYPFGGYVPNRPTLMRKLIPQENEPDYENFIQNPQLFFLSSLPTQLQATKVMAVQDTLSTHSADEEYLGQVNLQHNHWINDHEIMKLLNKFSSRLEEIEEIINARNKDTSLKNRNGAGVPPYELLLPTSGPGVTGRGIPNSISI >OIW19776 pep chromosome:LupAngTanjil_v1.0:LG01:27201:29688:1 gene:TanjilG_27315 transcript:OIW19776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPTTPPTTSFSVIKPEHYAHSPVHYAVVLGDHTKLSRLISTLPRLPDPSKTLTDSDSLAQERVADQISALLDRRDVPNRETPLHIAVRLNDVFAARILANAGADVSLQNSAGWNALQEALCRRASDISHVLLRLHHLNAWSKWRRRLPRVISVLRRMKDFYMEISFHFESSVIPFVGKIAPSDTYKIWKLGGNLRADTSLAGFDGLKIQRADQSFLFLGDGDLTHNISSGSLLVLNRDDRKIFDAFENAGGPMSESDIEGFCSQTSVYRPGMDVTNAELVRRTNWRKQEKTESVGEWKAKVYEMNNVVFSFRSRKVATNESDVAGSEQVLPLELDEDEDGFLVAENPFPLHDKRRHSSFVSQEREWVPVGRNSVDLPSTATAPPRMSSVTASVTMTATPQTKEKEYVKSLRPSVWLTEQFPLKTEELLPLLDILANKVKAVRRLRELLTTKFPPGTFPVKVAIPVVPTVKVVITFTKFVELQPVEQFFTPFSSPRHLVTSSEDGDEKQKPEEIRYSTSSAPSTWLRRNNSQSTKLQQQKCSSMSLDSDPFAIPNGYTWSSTGVDDKSKKMKKSKSVRKSK >OIW19075 pep chromosome:LupAngTanjil_v1.0:LG01:13531295:13536654:1 gene:TanjilG_06384 transcript:OIW19075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHMAKAHQIVLSTWGIRNTFQSLIAILTTILVVSAIYVAQEGGQWSQKRSISAESVISNCNLFNGKWVFDNKSYPLYKEKQCIYMSDQLACEKFGRKDLSYQNWKWKPHQCDLPRFNATALLERLRNKRLVFVGDSLNRGQWVSMVCLVESSLPPTRKSMRTIANGSLSIFKAKEYNATIEFYWAPLLVESNSDDPVNHKVPDRTVRINAIEKHARYWTDADILVFNTFLWWRRRAMNVLWGTFGDPDGINKRVEMVRVYEMALKTWSDWVEIHVNRSKTKLFFVTMSPTHQRAHEWGGISGNNCYKETDMITKEGYWGNGSVPKMMRVVENVLEDLKARGLNVQMLNITQLSEYRKEGHPSIYRKQWEPLTEEEISNPKTYADCIHWCLPGVPDTWNELLYAYNLYG >OIW18382 pep chromosome:LupAngTanjil_v1.0:LG01:23472307:23485578:1 gene:TanjilG_31522 transcript:OIW18382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKKQDFETTKKRKRISASNTESAPNESHHKKPFNSDKKSFKSDKKPFKSDKKPFKSDKPLAAGKDNKTIAPASGRERRIHAKELADARKMKRKRHFTLEQDLARLWEKMRCREISKEDRTKLVTEALQKMKGKIHEIAGSHISSRVLQTCVKHCSQVERDAIFEELRPHFLALAYNAYAVHLVKKMLDSASKKQLGGFISALHGHVAPLLRHMVGSVVVEHAYELASAAKKQELLLELYSTELKLFKDLVSLNESRLLDVMSKLSLQKGSVLRHMASVIQPILEKGIVDHSIIHRLLLEYFSIADQSTVTDIIQQLSSPLLVRMIGTKDGAKIGILCVKYGNAKERKKIIKGLKGHIDKTAYHQYGCMVLVCILSVVDDTKLITKVIIRELQPILKELVFDKNGRHLFLQLLHPNCSRHFSPQELASLNSSIPSLSLKDQSEVSSQTEISEVSLSDKESKEDIEVAADEADKDKTSLDDPDLAESGKKDPYVRRQELLIKSGLAENLLDICIENAGELIRSNFGKEVLYEPSLPRDIESGNRRSHSFGTEKMAAKKQDFETTKKRKRISASNTESAPNESHHKKPFNSDKKSFKSDKKPFKSDKKPFKSDKPLAAGKDNKTIAPASGRERRIHAKELADARKMKRKRHFTLEQDLARLWEKMRCREISKEDRTKLVTEALQKMKGKIHEIAGSHISSRVLQTCVKHCSQVERDAIFEELRPHFLALAYNAYAVHLVKKMLDSASKKQLGGFISALHGHVAPLLRHMVGSVVVEHAYELASAAKKQELLLELYSTELKLFKDLVSLNESRLLDVMSKLSLQKGSVLRHMASVIQPILEKGIVDHSIIHRLLLEYFSIADQSTVTDIIQQLSSPLLVRMIGTKDGAKIGILCVKYGNAKERKKIIKGLKGHIDKTAYHQYGCMVLVCILSVVDDTKLITKVIIRELQPILKELVFDKNGRHLFLQLLHPNCSRHFSPQELASLNSSIPSLSLKDQSEVSSQTEISEVSLSDKESKEDIEVAADEADKDKTSLDDPDLAESGKKDPYVRRQELLIKSGLAENLLDICIENAGELIRSNFGKEVLYEVATGGSGGILHPSLDDKINSLHEAIASLAAESKSEDSQEEHVFENFHSSRIIRKLILDCPNFASTLWEKALKGKSELWAHGHSCKVISAFLESPDSKLQKLAKKELQPLVDSGILKNLKPKEVATQ >OIW18373 pep chromosome:LupAngTanjil_v1.0:LG01:23567964:23569064:1 gene:TanjilG_31513 transcript:OIW18373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLKGGTTQACAACKYQRRKCTSECLLAPYFPADQPKVFLNVHKLFGVSNIVKILKILEPNQKKIAMDSIIIQANYRDKYPVHGCWEEICRLQHQIWLAEDELQTVYQQLEMFRQQNQQQQQGLTMPDYMNVASQLELGIAAPCTNALTLFNHSPQGQTYSNTMAAAAAVAAAAALPVSQQHSYSNSNSVDYNNSPYLESNDDTNMWIQQHHHYANNNSNPIVMQSQMLTSEALAIQQETVEDYEEMHPLFDAIDDRQSYIYSKEAYESSSEESLKDTRKFTEHVAENELKNAAACFSLTSVN >OIW17723 pep chromosome:LupAngTanjil_v1.0:LG01:34917749:34919909:1 gene:TanjilG_29073 transcript:OIW17723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEKTSKDTSVVSQVEGDNVDQQNHVTSSTNNEEQEYIRVPKGTSLEFPGWYADNSWHGEAHIYKIDKVIFQGKSELQEIMVFESCSHGKVAILDGYIQLTESDEFAYQEMLTHLALCSISNPNKVLLVGGGDGGILREISRHSSIDHIDICEMDKMVIDVYKKFFPNVAIGYEDPRVHVHIMDGIQFINSVPEGTYDAIILDAFDPMGPIADVLADDCFLESVAKALRPGGVLSAPADSFWHKDFVIPDTIANCKKIFKGSVNYAWTTVPTYASGVIGFMLCSTEGPTVDFKHPINPLKPGQNGVAKTPPKFYNSEIHAAAFCLPSFVDKGIDP >OIW18278 pep chromosome:LupAngTanjil_v1.0:LG01:24909955:24921301:1 gene:TanjilG_31418 transcript:OIW18278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDASIKEEVSEIPVPNAREQRERNGAVSTPPIDGLIELSSDSDSDSDVADLNGLIAREVQRSGVVLGENSWKKRKTNNVGVVLPLGFLSPLPPTTVPPQPQAVLSLPAPNSASALARSNGNAGLNVATQANGCKQFWKAGDFDGPSANAFESSTVGMDHVRVHPKFLHSNATSHKWALGDNGGGMDPDKMRQCMSLGYSAKSKVANTIGQCNQLFGLDYEGGGRDWNRIIRTSTDDWNKNVDTIVNWSPFYDEPDLLRQFNLMKDHGTRVIIYNLWEDDQGQLELDFDIDQHDIQIRGVNRDEKNILMAKEFPNSRHFLTYRHSLRSYASILYLRLPPGFRIILRGKDIVHHNIVNDMMMSQEMVAAVTIGFVKDAVHHIDVSGFNVYHKNRLIKPFWRIWNPAGSGVLEANFVEPAHDKQGFEQTSPENVPESSRSKRQHSATNDKGTPLPSDKLYSQPIQKRIRTESEKYTAYMNGLTPASPNSRMPSSSEQSSSAEDVIDQVTVVLPKNQAKGSSKKISHAEKSFENENICSEDTTTPMVELQGQYVCDDEQVLSDSDVLTMQQLKEENSELKKRLERKEDEIFGDAMQALRHEKDRCTSLDSQLRAAEKKIEDLNKEQETLIDVFSEERDRRDAEEKVLRKKLQDASNTIQELLDKVRRLERKSSGGKPNR >OIW19127 pep chromosome:LupAngTanjil_v1.0:LG01:11746397:11749813:1 gene:TanjilG_03617 transcript:OIW19127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIVFWSSHDVFGFRFLLLLTVMYGLMSMLTHSVIYMKFVTPLDHHAPLDRFSEARTVEHVRMLSEEIDGRQEGRPGLKKAAEYIKGQLEIIKDRASSNLRVEIQENNVSGSFNMLFLGHNIALGYRNHTNIVMRISSVDSKDTDPSVLINGHFDSPLGSPGAGDCGSCVGSMLEIARLIVDSGWVPYHPVIFLFNGAEELFMLGSHGFMKTHKWRDTIGAFINVEASGTGGPDLLCQSGPTSWPSDVYAKAAIYPMANSAAQDVFPVIPGDTDYRIFSEDYGNIPGLDIIFLLGGYFYHTSYDTIDRLIPGSIQARGENLFSIIKTFTNSSELKSTYLTNSIGVTANAFNDERAIFSDYFSWFMMFYSRRVATILHSIPVFVFLFMSFMRGRSHSWSAVFFDFMKGFLFYAFGTTLAIVMPVAFSILRLQFSTQAMNW >OIW18978 pep chromosome:LupAngTanjil_v1.0:LG01:18281083:18281787:-1 gene:TanjilG_23755 transcript:OIW18978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANTPCGLVSLNHVGVVPKNEKEMEQNQTQMTKDNNTNIDYTQRAQWLRAAVLGANDGLVSVASLMMGVGAVKRDVKAMLLAGFAGSIAGACGMAIGEFVSVYTQYEVEVSQMKRDMDMSGYKECEKVEFEMRMEKRRTLPNPMHAALASALSFTMGALVPLLSAAFISDYKIRLLVVVAMASLALVIIGILGAELGKTPKLWSCVRVLVGGWMAMAVTFGLTKSIGSSGLEL >OIW18685 pep chromosome:LupAngTanjil_v1.0:LG01:22178481:22181537:1 gene:TanjilG_13437 transcript:OIW18685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKRELVYEISKSHGASEMLQSWSRQEILQILCAEMGKERKYTGLTKLKIIEHLLNIVSEKKSEGLVITTDSERHSSPASGQKSAKRQRKIENPSRLHVPANNVSVSNVGNLGSATYCKNSACKATLNQADAFCKRCSCCICHQYDDNKDPSLWLICSSEYRFPSVSCGLSCHLECALKHDGSGIGKTGERPKLDGSFYCVSCGKVNDLLRCWRKQLMAAKDTRRVDILCYRVSLSQRLLQGTEIYQELHEIVDEAVKKLEPEVGPLTGSLVKIGRGIVNRLSVGPEVQKLCGLAVESLSKRISPSPPTQGANLLAPNMVRFEKVTATSLTVILGSEDPSVECISGYTLWHRKVDDVNYPAEPTCTFLLPNRTFCIRGLTPATEYIFKVASNNSRELGKCEIHISTEHGEDEVPNCSAPERSQSPATNCSSLSNPSSVEDETNISNPYSDQTDNQAGHYHTYHKDFNQLASGNLSNAAINCSNIGAVGLPADADSLSDRQHAAGTAGSVPASDVLKLENKHLPEEQVTKDTSTDDGLNSPVQTGRECEPLVVTSEGGFPNTPCKLEILKDWPGRNGRSKSSGKDKQNESGKKEGPQDGSTSKKRSGERQHDGCADRDFEYCVKVIRYLECEGHIEKNFRQKFLTWYSLRATPQEVRIVKIYIDTFLEDPASLAEQLVDTFSECISSKRTSTVPAGLCMKLWH >OIW18516 pep chromosome:LupAngTanjil_v1.0:LG01:20775336:20781546:1 gene:TanjilG_13268 transcript:OIW18516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTPTWVLAFGSIVTATILYKLLKLISRPSLPLPPGPRPWPIIGNLAHMGPMPHQGLAALALKHGPLMHLRMGFVDVIVAASASVAEQFLKVHDANFSSRPPNSGAKYMAYSYQDLVFAPYGPQWRLRRKISSVHMFSGKALDDFTHLRQVSPRPWPIIGNLAHMGPMPHQGLAALALKHGPLMHLRMGFVDVIVAASASVAEQFLKVHDANFSSRPPNSGAKYMAYSYQDLVFAPYGPQWRLRRKISSVHMFSGKALDDFTHLRQEEVARLTSNLASSSSKAVNLGQLLNVCTTNALARVMIGRRVFNDGNGGFDPKADEFKSMVVELMVLAGALNIGDFIPALEWLDIQGLQAKMKKLHNKFDAFLTNIIEEHKVSRSEKHQDMLSTLLSLKDVPEDGGKLADIEIKALLLNMFAAGTDTSSSTTEWAIAELIKNPNIRAKLQQELDSVVGHDRLVTEADLAHLPYLEAVVKETFRLHPSTPLSLPRVASESCEVFGYHIPKGATLLVNVWAIARDPKEWHNPLEFKPERFLPGGEKANVDVKGNDFEVIPFGAGRRICAGLSLGLRVVQLLTATLAHAFDWELENGLNPEKLNMDEAFGLTLQRAVPLLVHPRPRLSPHVYSS >OIW19023 pep chromosome:LupAngTanjil_v1.0:LG01:17242027:17242314:1 gene:TanjilG_10584 transcript:OIW19023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSDEKSGFDSYPKKLNEGNYTTQTWLVDDSEKPKGHGNSSQKVVKAKGKASSAVKTESLVSVSMSVLHKKPIEDQNPEFSLDYSPPKTHPPHHN >OIW18537 pep chromosome:LupAngTanjil_v1.0:LG01:20910767:20913266:1 gene:TanjilG_13289 transcript:OIW18537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCKVNKKRSESNNKKCNLKELGLMGLKRVGLGVEGKVEKLKNSIGIRIGMGGSSSSSSSSSSRSQMKLWMIRATTSIMLWTCIVQLTALGDMWGPRVLKGWPSCFTHDSAIAVLDSSFPTPPHVFLPPKRVYKNNGYLMVSCNGGLNQMRAAICDMVAIARYLNVTLIVPELDKASFWADPSEFQDIFDVDHFIASLRDEVRILKELPPRLKLRVENGQLYSMPPISWSDISYYQNQILPLIQKYKVVQLNRTDARLANNNQPLEIQKLRCRVNFSALRFTPEIEELGRKVINLLRQNGPFLVLHLRYEMDMLAFSGCTQGCNGEEVEELTRMRYAYPWWKEKIINSDLKRKDGLCPLTPEETALTLKALDIDRNIQIYIAAGEIYGGQRRMASLAKDYPKLVRKETLLEPTDLQFFQNHSSQMAALDYLVSLESDIFVPTYDGNMAKVVEGHRRHLGYKKTILLDRKQLVDLIDQYNNGVLNWDEFSSAVKEAHADRMGNPTKRVIIPDRPKEEDYFYANPEECLEPSSYLPLSST >OIW19028 pep chromosome:LupAngTanjil_v1.0:LG01:16984586:16988036:1 gene:TanjilG_10589 transcript:OIW19028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFGKRLKKQIQESFPEWRDNYLSYKKMKKLVKLISGSPMFMNGSLEYGKVEAEFVYLLNNEIEKFNGFFMEKEEDFIILHEELQQRIKRVVELWGPNGTQPSKVDYKEEMAKIRRTIVDFHGEMVLLVNYSNINYTGLAKILKKYDKRTGGLLRLPFIQKVLEQPFFTTDLISKLVKECERIIDNVFPTEEEEAEKAKEAIIVVGEGIFRNTVAALLTMQEIRKGSSTESPFSLPPLNMPDSDLIRSLQLNAAVPIVQ >OIW17816 pep chromosome:LupAngTanjil_v1.0:LG01:34027280:34027753:1 gene:TanjilG_02444 transcript:OIW17816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKVIPANGKISKDAKETVQECVSEFISFVTGEASDKCQREKRKTINGDDVIWAITTLGFDDYVEPLKIYLQKYREIEGEKLNVPKQQRSEQRLHQHHNQDENVQSLNGSLYSSTNLISQSPYVATDQSFSQPFSPNSIQKQLRPHDQIDSMGHWYE >OIW17971 pep chromosome:LupAngTanjil_v1.0:LG01:31696462:31699435:-1 gene:TanjilG_17807 transcript:OIW17971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREIISIHIGQAGIQVGNSCWELYCLEHGINPDGMMPSDSTVGVAHDAFNTFFSETGSGKHVPRAIFVDLEPTVIDEVRSGTYRQLFHPEQLISGKEDAANNFARGHYTVGKEIVDLCLDRVRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTIYPSPQVSTAVVEPYNSVLSTHSLLEHTDVAVLLDNEAIYDICRRSLDIERPTYTNLNRLISQIISSLTTSLRFDGAINVDVTEFQTNLVPYPRIHFMLSSYAPVISAAKAYHEQLSVPEITNAVFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVGTIKTKRTVQFVDWCPTGFKCGINYQPPTVVPGGDLAKVQRAVCMISNNTAVAEVFSRIDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAEGAEDEEEGDDY >OIW18882 pep chromosome:LupAngTanjil_v1.0:LG01:19501329:19503697:1 gene:TanjilG_25325 transcript:OIW18882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSGSGICAKRVVVDARHHMLGRLASIVAKELLNGQKVVIVRSEEICISGGLVRQKMKYLRFLRKRMNTKPSHGPIHFRAPSKIFWRTVRGMIPHKTKRGEHALARLKVYEGIPPPFDKQKRLVVPDALKVLRLQKGHKYCLLGQLSSEELEKKRKERSQVVYERKKQLNKLRVKAEQVAQQKLGSQLDILAPVKY >OIW17770 pep chromosome:LupAngTanjil_v1.0:LG01:34540094:34542082:1 gene:TanjilG_06455 transcript:OIW17770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTTTTSLTGSGGGEDRVLATAQQIMKSLNTPKDVREDMLLIFSNFDNRLSGISDLIKGEESNNDDLQRFQAAEKVILRWDPSLSTRHFNSLLDSKNDAVDYFSALDEIVNFMEKLSVAPPPSCAERSGQVMVERAENAIQLAMSRLEDELRHALIRNTVPLDAERLRGSMKRVSLSFASSHVDENLESFDDHEIGDRGYDRFHSRGASLDDHVVVDLVNPDVVVKLGEMVERMVRSGYERECLQVYSSVRRDALDECLVILGVERLSIEEVQRIEWENLDEKMKNWVHAVKIAVRVLLCGEKRLCDNVFDDLDEMKEICFNETAKGCVLQLLNFGEAVTICKRSSEKLFRILDMYEALRNVLPDLEALVSDELVIGEVRGVLSGLGEAAKGTFAEFENCIRNETSKKLVITGDVHPLPRYVMNYLKLLVDYGELLNSVLQVSDEDLYRFQNDFGGDGSQFESMPPLGCRVLLLMSQLESNLGEKSRLYEDNAMQQVFLMNNLHYLVRKVKDSDLGKVLGDDWIRKRRGQIRQCATGYLRASWSKALSCLKDDGVGGSSRSSSKMALKEKFKNFNACFEEIYRIQTAWKVPDEQLREELRISISEKVIPAYRSFHGRFSSQLDGRHAGRYVKYTPDSLEIYLLDLFEGYPAVLNHMKRKST >OIW18903 pep chromosome:LupAngTanjil_v1.0:LG01:19289072:19289545:1 gene:TanjilG_25346 transcript:OIW18903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFNPQNSEKYEHTKWSSSNDQSGVGQVIRSFTCAFCKRGFSNAQALGGHMNIHRRDRAKLRQSCEENFISLDISMKKTNDHDEILHQLKDHDHDHDNDALPLFIGRESSSSSSSNEIKGNSVVMEIIEEKKSELDLELRLGIEPQETPNLSTREFF >OIW19194 pep chromosome:LupAngTanjil_v1.0:LG01:8497352:8500562:1 gene:TanjilG_04937 transcript:OIW19194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRTDELENILTKKFLRFLSMRAEAFEVLRRKPVQGYDISFLITNYHCEQMEKHKLINFILQFMEGIDREISELKVSVNTRGNLVAKKFLKQFI >OIW18950 pep chromosome:LupAngTanjil_v1.0:LG01:18378840:18381558:1 gene:TanjilG_09144 transcript:OIW18950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSLSSSEQSTPEKNGHSDDASKSSELSQEFLKSGSKKELIRTCFGKDKKKVSSKSKMSDSKSIGKVIKKQESKQVSSLSHQPPRKQHRKGENPTRLISTPDRSSDFGHSNSWICKNAACRAVLSIDDTFCRRCSCCICHLFDDNKDPSLWLVCTSEYTQGDSCGLSCHIECALRHEKVGVIDHRQLMQLDGGYCCASCGKVTGILGCWKKQLNIAKDARRVDGLSYRIYLSYRLLDGTSKFKELHQIVQEAKAKLETEVGPVNGVSAKMARGIVSRLSVASEVQKLCSLAIEKADSWLATVPNVNPDSTEGSLPAACKFFFEEVTASSVKIILIEISNVYFEDIKGYKLWYYKKGEELDTKEPVSVFPKSQRRILISNLQPCTEYTFRIISYTDSGDLGHSEAKCFTKSIEIIQKNPSSSVVMNQRKENIQTGGSSSGSKMELHPIMEDSGFKVRDLGKILRFAWAQEQGYFEKFCCADKKICHGQSEMVKPKIPEEKLPSVSRDLDLNVASVPDLNEELTPPFESSRDEDNCYTSLQAVEADDDAASRDIEKNGLARSHGSDDSQTWTRGPAREVPAVDSRVDVGRKRIASRNEETHDCDSTLITGSPLCRSDGSISLNENFEYCVKVIRWLECEGHIKQEFRLKLLTWFSLRSTEQERRVVNTFIQTLIDDPSSLAGQLVDSFSDIISNKKPRNGLCNKAGASN >OIW19463 pep chromosome:LupAngTanjil_v1.0:LG01:2447667:2448113:-1 gene:TanjilG_09483 transcript:OIW19463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVSSWSCCLLTLILFMSAIVSECRVARKDLGLDLGGIGLGVGVGLGLGLGGGSGSGSGAGAGSGGSGSSSSSSSASSSSSSGSGGSGAGSEAGSYAGSRAGSGSGSRGRGGGGGGGGGGGGGGGGGSGQGYGHGEGHGEGYGEGGGN >OIW18188 pep chromosome:LupAngTanjil_v1.0:LG01:26847248:26847936:-1 gene:TanjilG_31308 transcript:OIW18188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAKARSLRETSDIGVDKGQTSEMFKPNNNEGAHNSSDAVDTMDYTPAKKNPPIHN >OIW17804 pep chromosome:LupAngTanjil_v1.0:LG01:34186183:34186932:1 gene:TanjilG_02432 transcript:OIW17804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPLKVLADSINEKLLGHLFVGAELFVKKDDGPYPCKILKVIQEGVDKCCYEVAWLNKDKNITEKADVNAEDLVQKKPLFSRNILKSFIRESTYRNAPWVLHEELAQKHGISTDIPKELQGRIFIKNGILTCSKKRKNERDLYHIQSQPLCVVAVVLCGFSSFSPLFPSQSPEARQQHPVVTDCSTPPKLFDFMMWSSSTYDF >OIW19609 pep chromosome:LupAngTanjil_v1.0:LG01:521297:524927:-1 gene:TanjilG_18419 transcript:OIW19609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANAIKAAIGDLVLTFMWVFSSSVLGIASNAITRAFDVQHVSYNGFPYPSFFITTFLVFVLVFVFTIIGNALGGASFNPTGTASFYAVGLGSDTLFSMALRFPAQALGAAGGAIAIMEVIPKEYKHMMGGPSLKVDLHTGAVAEGVLTFVITFAVLFIILKGPRSELVKTWLLAMSTVALVMVGSAYTGPSMNPANAFGWAYLNNWHNTWDQFYVYWICPFIGAIFAAWLFRAIFPPPQAKKKQKKA >OIW17815 pep chromosome:LupAngTanjil_v1.0:LG01:34028435:34032231:-1 gene:TanjilG_02443 transcript:OIW17815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESNAATTLVQNNPIVFDENFLQKLPHVPEKFQWPSSDLVETSQEDLNEPLIDIGVLLKGDEAAIANAAELVRNACIKHGFFQVTNHGVDQDLINAAYEQVDTIFNLPLNKKVSAKIKPGSVEGYSGAHAERFSSKLPWKETFSFKYFYERNESESQAVEYFNRVLGEDLNHTGLVYEKYCEAMKELSLLIMELLAISLGLDRLYFGEYFKNGEGIMRCNLYPPCNGSKLTLGTGPHSDPTSLTLLHQDQVGGLEVYVDNKWLAVRPRSDAFVINIGDTFMALTNGIYKSCLHRALVNSEVKRKSLVYFVSPKEDKTVTPPESLIGTEEPRKYPDFKWADLFEFTQKHYRPDVATLQGFIPWLQSSKPSISNF >OIW19407 pep chromosome:LupAngTanjil_v1.0:LG01:3032297:3036213:-1 gene:TanjilG_09427 transcript:OIW19407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METGGKDTNIVLTKPFSLESESDNSDPSYRAPNIVSRILSLLKNVRPGTDLTHFQLPPLFNFPKSQLQCYGESVYCTGSDLLSKCNNEQSPVERFKSVVAWSISTTRPTSFAVAPYNPILGETHHVSRGNLNVLLEQVSHNPPVSALHATDEKENIEMTWCQQPAPKFWGTSIEAIVHGKRQLKLLNHGETYEMNCPHLLIRILPVPRVNWVGNVNIRCLETGLTADLLYTSSHSFLGIGGNHRLVKGKILDSSSSKVLYEVEGYWDSTVTLKDTNNGETRVLYDAKEVISGLQAPIVKAAQSVWPTESALVWSQLSQAILNNDWEKAREVKQLVEERQRKIMTERETNGKAWVPKHFAVSYTKEGGWDCSPIHKWVPAAPIIA >OIW18493 pep chromosome:LupAngTanjil_v1.0:LG01:20636970:20638145:-1 gene:TanjilG_13245 transcript:OIW18493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDDDDLHHQHQHHTQNFPFQLLHKKEDQEPPSCSTVSTYPTLAISPTEPTTSNSNRSNQLVGSTPSTNIITEPSKKPPPKRASTKDRHTKVDGRGRRIRMPALCAARVFQLTRELGHKSDGETIEWLLQQAEPSVIAATGTGTIPANFTSLNISLRSSGSTMSVPSQLRPSYFNPNFSLPQQRRTLFPGIGFSSENNNTSTLLNFQHSNNLTTLQAKQELREGVGGGQSSSTTLDLSDANTVEGLETKTRPTEQDHSSTQHQIGSYLLQSSAGAIPASQAQIPANIWMVAQATTSANSNNQVMSHGDPIWTFPQVNNSAALYRGTASTGLHFMNYPTPMALLQGQQQFASSMNEGHLSMLAGLSPYRPVIGVSESQASDDRHDSNTHHS >OIW17802 pep chromosome:LupAngTanjil_v1.0:LG01:34192775:34193332:-1 gene:TanjilG_02430 transcript:OIW17802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKPPIHGATSDAKPTTTFVHANSSAFREVVQRLTGPSETTFSAPKEGDATKVVPNVKRTTSKLHERRKYMKPKLEIMKPTFQYKSASSPGSKNSSFPPSPGSGSSSVLPSPTTPSTIFSKLTLLVEDEKKEDSVVPELNTEEEEKAIRERRFYLHPSPRSKQGFSEPELLTLFPLASPNASNNV >OIW18793 pep chromosome:LupAngTanjil_v1.0:LG01:23128900:23129850:1 gene:TanjilG_13545 transcript:OIW18793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRVVLVMVMTIVMLVFSMKCMCSSVGHMPSTKEEGRDYKEVKAKTSETAKEGKEATESWTEWAKEKLTQGLGFNNDEDHKDSTIKKASDYADSAAEKAKDYAGSAAEKTKDYAGDAAEKAQDYGGSAAHKTRESAGDASQKTKEKVQDVASGAGQYSAEKAREMKDAATEKGSDIANAAKDKAGEASEKTSESMNEAKERTYQRAQEAKEKVNEEANERERESNEQLNWAKEKAKEGYDAAKDTIASNLEAAKHKSQQVKDKLGGQSRDAEL >OIW19509 pep chromosome:LupAngTanjil_v1.0:LG01:2077733:2079709:1 gene:TanjilG_06964 transcript:OIW19509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESFHQFRFWVLAFCFITFQSCNGFYLPGSYPHNYAVGDDLWVKVNSLTSIDTEIPFSYYSLPFCQPEGGIKDSAENLGELLMGDRIENSPYRFKMYTNESDVLLCRIDKLSADQFKILAKRIDEMYQVNLILDNLPAIRFTKKDDYFLRWTGYPVGIKVQDAYYMFNHLKFNVLVHKYEETNVARVMGTGDAAEMIPPISKEGSEKPGWMVVGFEVIPCSIMHNADSIKDSKMYSKYPSPIKCDPSTVAMPIKEGQPLAFTYEVTFEESDIKWPSRWDAYLKMEGAKVHWFSILNSLMVITFLAGIVLVIFLRTVRRDLTRYEELDKEAQAQMTEELSGWKLVVGDVFRAPSNPSLLCIMVGNGVQLLGMAVVTILFAALGFMSPASRGTLITGMLFIYLILGIAAGYAAVRLWRTIGCGDQKGWASVAWKAACFFPGIAFLILTTLNFLLWGSHSTGAIPFSLFVILILLWFCISVPLTLAGGYFGAKAPHLEYPVRTNQIPREIPQQRYPSWLLVLGAGTLPFGTLFIELFFIMSSIWMGRVYYVFGFLFIVMILLVVVCAEVSLVLTYMHLCVEDWRWWWKSFFASGSVAIYIFLYSVNYLVFDLKSLSGPVSATLYLGYSLFMVLAIMLATGTVGFLSSFWFVYYLFSSVKLD >OIW19076 pep chromosome:LupAngTanjil_v1.0:LG01:13537394:13538146:-1 gene:TanjilG_06385 transcript:OIW19076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRYDSRTTIFSPEGRLYQVEYAMEAIGNAGSAIGILSKDGVVLVGEKKVTSKLLQTSTSTEKMYKIDDHVACAVAGIMSDANILINTARVQAQRYSLAYQEPMPVEQLVQSLCDTKQGYTQFGGLRPFGVSFLFAGWDKNFGFQLYMSDPSGNYGGWKAGAIGANNQAAQSILKQDYKDEITREEAVQLALKVLSKTMDSTSLTSDKLELAEVFLSPTGKVKYEVHSPESLTKLLVKHGVTQPATDTA >OIW18736 pep chromosome:LupAngTanjil_v1.0:LG01:22604894:22606393:-1 gene:TanjilG_13488 transcript:OIW18736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLLNTSLSIFTPNAKPKTIHHSNKRSISTIVKCNHDNIKDEARRHRDHHNFSAKYIPFNAAPDSTESYSLDEIVYRSRSGGLLDVQHDMEALKKFDGAYWKALFDSRVGKTTWPYGSGVWSKKEWVLPEIDSDDIVSAFEGNSNLFWAERYGKQFVGMNDLWVKHCGISHSGSFKDLGMTVLVSQVNRLRKLNRPVYGVGCASTGDTSAALSAYCASAGIPSIVFLPANKISTAQLVQPIANGALVLSIDTDFDGCMKLIREVTSELPIYLANSLNSLRLEGQKTAAIEILQQFDWEVPDWVIIPGGNLGNIYAFYKGFKMCKDLGLVDRIPRLVCAQAANANPLYLYYKSGWQEFKAVKAENTFASAIQIGDPVSIDRAVLALQNSNGIVEEATEEELMDAMAQADSTGMFICPHTGVALTALIKLRNSGVIGPSERTVVVSTAHGLKFTQSKTDYHSGDIPGMGRFANPPVSVKADFGSVMDELKGFLLSKAPKY >OIW17656 pep chromosome:LupAngTanjil_v1.0:LG01:35463528:35464961:1 gene:TanjilG_29006 transcript:OIW17656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQIFNNVKSKYPNYYHNGPVYATMINVLGTSGRFTEMKDVIEQMRNDSCECKDSIFVSVINMYAKAGLIDEAISLYKNIPRFNCVHWTESFNTLLQIMVNENQLEAAHYLFVESSCGWEVKSRIRSLNLLMYALCKKRRSDLALQVFQEIDLQGCYPNRDSYAILMKGLCHDKRLHEATHLLYSMFWRISQKGNGEDIVIYRTLLDALCDDGKFEEAVEILSKILRKGLKAPKRCYNQLDLSKCCDGMDIEGTKRLLHEALVKGSVPSSVSYNAMAVDLFREGKISEADQVIIEMQRRGFKPTHSIFEAKVAALCKVSKVDEAIKVIEVDMIEVNCLPSARVYGILVKNLCNLGNITAVLESLSKMSKKVGRTADRETFGILLEMLCHERRYLEASQLLEQMSIKSYWPCAHSYNSLIKGLCSLGRQYEAVMWLEDMLSQGKLPDISVWYSLASIFCNSDKMKVSSETFNRLRNF >OIW18199 pep chromosome:LupAngTanjil_v1.0:LG01:27039697:27045420:1 gene:TanjilG_31319 transcript:OIW18199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDARTAQTSLQFSTLLFQTDNVGRRPCSYVSLSRDRNKVQVIVSAKFQHHHSTASKIRRRTITPLEVSCSYDNLSASTLESGSCHAPLDEELILKNKSQEIEQYLNGRCIYLVGMMGSGKTTVGKVMSQVLGYSFCDCDSLIEEEVGGNTVADIFKHYGESFFRDKETEALRKLSLMHRLVISTGGGAVVRPINWKYMHKGISVWLDVPLEALAQRIAAVGTNSRPLLHYEAGDAYTRTFMRLSALFEERSEAYANANTKVSLENIAAKLGQKDLSNLSPTAIAIEALEQIEGFVKGEDGCYTGS >OIW19111 pep chromosome:LupAngTanjil_v1.0:LG01:12600731:12602095:-1 gene:TanjilG_08911 transcript:OIW19111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYHGIPPISIETLIHRSTTLSHLLQLHSLLLKSSLYHHPSLINHLLISATSISLPFSTSFFHSLPTIPPPPLFAFNTLIRAFSNTPSPQESLILFKKLQSSNLYPDHYTFPFVLRACGLCSFVGVGGVLHSLVLKMSFGSDRYVGNTLLKMYADCGFVRFARNMFDEIPVRDVVSWSSLIAGYVACDLPVGALNVFCEMRLLNEKPNSVTLVSLLSACTKMVNISAGESVHSYIVMNCIEMDVGLGTALFEMYSKCGKIDKALRIFNSMPRKNLQSYTIMISALANNGCREDVISLFNQMEDIGLRPDSLSFSAILSACSHMGLVYEGRNYFDRMVRLYGIKPTVEHYGCMVDLLGRAGLIEEAYDVIKNMPTEPNSVILRSFLGACRNHGWVPSLDDKLLSKLESELGANYVLAANIFSARASWKDANDLRLAMKHKGLKKVPGCSWVEVQN >OIW18228 pep chromosome:LupAngTanjil_v1.0:LG01:25488325:25491861:-1 gene:TanjilG_06312 transcript:OIW18228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPDAFTSTLFKWDPRTVLPTPPPPPNRHQILDYTVPPPVAHPARSLLGGLEDVFHAYGVRYYTAAKVAELGFTANTLVDMKDEELDDMMNSLSQIFRWDLLVGERYGIKAAVRAERRRIDDQEMKRRNLLTADATTTNALDALSQEGLSEEPVVQQEKEAVGSTWEVVVAAERRKQQQRRRRTRMKVNNHNHHNENEDPEDEEGEEDDEGNNNGGGERQREHPFIVTEPREVARGKKNGLDYLFHLYEQCREFLIQVQHIAKDRGEKCPTKVTNQVFRFAKKAGASYINKPKMRHYVHCYALHCLDEEVSNELRRAFKERGENVGAWRQACYKPLVEIASLQGWDIDAIFNAHPRLSIWYVPTKLRQLCHAERNINGASSSVSVVTTHHLPNF >OIW18232 pep chromosome:LupAngTanjil_v1.0:LG01:25555578:25555922:1 gene:TanjilG_06316 transcript:OIW18232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLLPMVYKAIKKNNTRRKYECLSSGASKSCNTNMTEMIYIQTQGRGYQNHSTQNVANDHAEKISYRRYNSTGDFSNGFSSLAQQRNFGAASSDSKQLMRFRSHRMFSCISGM >OIW18017 pep chromosome:LupAngTanjil_v1.0:LG01:30653216:30654457:1 gene:TanjilG_07601 transcript:OIW18017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSLPAYAFIAQDFTTQAALYTHHQYIAGFIMTGAFAHGAIFFIRDYNPEQNADNVLARMLDHKEAIISHLSWASLFLGFHTLGLYVHNDVMLAFGTPEKQILIEPIFAQWIQSAHGKTSYGFDVLLSSTTSPAFNAGRSIWLPGWLNAVNENSNSLFLTIGPGDFLVHHAIALGLHTTTLILVKGALDARGSKLMPDKKDFGYSFPCDGPGRGGTCDISAWDAFYLAVFWMLNTIGWVTFYWHWKHITLWQGNVSQFNESSTYLMGWLRDYLWLNSSQLINGYNPFGMNSLSVWAWMFLFGHLVWATGFMFLISWRGYWQELIETLAWAHERTPMANLIRWRDKSMALSIVQARLVGLAHFSVGYIFTYAAFLIASTSGKFGLFNSSWYPKKILFPFFLWRREGTTFLYFYI >OIW19603 pep chromosome:LupAngTanjil_v1.0:LG01:360079:361828:-1 gene:TanjilG_18413 transcript:OIW19603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTKQKSQKTYPTIAEVFQELKRMSDIGLPIAVMSIVGSLKNITLVMCMGRLGSLELAGGALAIGFTNITGYSLLSGLAMGMEPLCSQAFGSRNLSLLTHTLHRTIFMLLLFAFPICLLWLNLEYFMLCLHQNLDITRVASLYCHFAVPDLVANSFLHPIRIYLRSKGTTWPLMWCTLLAILLHFPIIIFFTFKLHLGVPGIAISSFLTNFNTLFFLLIYMFYTRTHEEYSLSVPLIIYFPLSHNLTSNAISTLVKEWGMLIKFSMQSCVAVCLEWWWYEYMTILAGYLYNPRVALATAGIVVQTTSLMYTLPAALSASVSTRVGNELGAGEPERANLSSVVAIGIALLSSTFGLLLTTIGRDRWTRVFTSDSEVLELCMVVLPIIGLCELANCPQTTSCGILRGSARPGIGAAINFCSFYLVGAPVAIVLAFVWKLGMVGLCYGLMAAQIACVVSILVVVYNTDWENESLKASTLVGNKSSSCDALFAHAEQIVKCEEGVVFLEESSKK >OIW19230 pep chromosome:LupAngTanjil_v1.0:LG01:7222256:7229332:1 gene:TanjilG_20355 transcript:OIW19230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLVRLQVRNEFSLGHPELYRETNREDPKAVLDGVAVAGLVGILRQLGDLADFAAEVFHGLQEQVMATASRSHRLMVRVQNIEASLTPLEKALLGQTRHIHFAYTTGCEWHPHMNAARNHFIYNDLPPFIMDSYEECRDPPRINFLDKFDIGGPGSCFKRYSDPTFFKKVSADSYEPYSENNQKARKTRKNKKRRSSQRSGVLLRGEQVHSSSGRMQFIPPAVNGRTSSSKAASATDMTVRSDVEDRSNLFDSKSGASYIECVFHPSNSMQSDEHDCKEPSPSRLAAKTNNFQSVSTLIDDSISHDSLEKHVASSSSGVTWDEKEEIVESTSQDYSRDKTPERPLEKLESDMPLDEAVTITNIDYNDIILDEESNLKSVSTSVKIDDIDSEPDNYMDALNSIESESEIDFDYEMEREVEQVTSHVTHGMIETRVAKATSNSFDNNLLDVVSQTGYTVSLNNETGSDFSEPHASNLGSLCPSDIPYSEGMTRDTVSLNKETFDYLPDSLQEIPPLTSEPYASNLRSPSPSNVPDRKEMTNDTVPLNKETFRNLPDLQQEISSLTSPPHASNLSSASPSDVPASKEIVNDIAYSHSIESPICEQAPQTCENSVVHHSVCTDSFIGLPTVHDMVSAPFETDIPSSGSKSSNLADEEAGRINSNICKYEETHRESSSDHSVSFWTNGGLLGLQPSKPPDFNISSSLSQGSLITKSEMDHSSSCNSMQKSNGYKEERNLSNEVAEKILKEPCSSCLTSSHNNDQPCTSAKNSGSSQLSNGIEQTERNTLEENVEIAPGCVLPAAPYMKALTEPNQGGCENSSRVFGLGHRLLTNSFQRKVSFDEKTSPYNSMKSIILERNEQNGIVKQPPPETTIKEKLGSGYPIGSLPPSPPLEHMKISFQPVSGLEISKLKLKFPDGSNHHGSIRDMFPSFQLVPELSIPLDDSSSHSDGNDTFCRSSHVSDGCLSPRSDNDSDQWESDETPESSDHGVYDCPHGRSSTEYVLCTKDCGGVSNDDTNVASGHSTYTTNGVEPSLFGPPLDFPSFDNVNLIYENESNKHSECNNVVTPHSHAEPTPPAPAPPPLPPMQWQVSKPQLGMTNGTHHFMSEDAEHINDHSLPESILFHQSMLAEFEQIHINHDDLEFQDNIIHKLKKPFPQWDQQKLNGNKETNQLRMGKEVDEREDFLQQIRTKSINLRRTVRRKPNATTGPTASVEVTAILEKANAIRQVVASDDGEDDDNWSDT >OIW19599 pep chromosome:LupAngTanjil_v1.0:LG01:335684:336031:-1 gene:TanjilG_18409 transcript:OIW19599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEERGAPHGILLAVVVAIVVIVPFLVGDGEAITEFISELLSPIGLLLLPIILLLTIQFLSSDRGSFISSIFTSGEPDSIHRVSGSPFGVALFLILVIFLLYNRFSIFGGGDDDE >OIW19723 pep chromosome:LupAngTanjil_v1.0:LG01:1369286:1378242:1 gene:TanjilG_18533 transcript:OIW19723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWNSAENAFARTSSFREQHDDDEEALRWAALERLPTYKRARRGIFKNLTGDANEIDVTDLQVQDQKLLIQRLVHSVDDDPNTFFHRMRSRFDAVDLEFPKIEVRFQNLNVETFVHVGSRALPTIPNFLFNMTETNAAVGSAKLWKNDTTTSSFGKAGIWFAGNIKYNGHGMNEFVPQRTSAYVSQQDLHVAEMTVRETLQFAGRCQGVGFKFDMLLELARREKNAGIKPDEDLDLFMKSLALGGQETNLVVEYIMKILGLDICGDTLVGDEMLKGISGGQKKRLTTGELLIGPARVLFMDEISNGLDSSTTYQIIRYLRHSTHALDSTTIISLLQPAPETYELFDDVILLCEGQIVYQGPRVAALDFFKMMGFSCPERKNVADFLQEVTSKKDQEQYWSVVDHPYRYVPVGKFAEAFSLYREGKNLSEELHIPFDKRYNHPAALATCTYGSKRLELLKTNFQWQKLLMKRNSFIYVFKFVQLLLVALITMSVFFRSTMHHNTIDDGRLYLGSLYFSMVIILFNGFTEVSMLVAKLPVLYKHRDLHFYPSWAYTLPSWFLSIPTSFMEAGCWVVVSYYTMGYDPAFTRFLRQFLLYFFLHQMSIGLFRLIGSLGRNMIVANTFGSFAMLVVMALGGYIISRDRIPSWWIWGFWISPLMYAQNSASVNEFLGHSWDKRAGNQTTYTLGKAILKVRSMYPESYWYWIGLGALVGYTILFNTLFTIFLAYLNPLGRQQAVVSKGELQERERRRKGESVVIELREYLKHSASSGKHFNQRGMVLPFQPLSMAFSKINYYVDVPLELKQQGILEDRLQLLVNVTGAFRPGVLTALVGVSGAGKTTLMDVLAGRKTGGVVEGSIYISGHPKRQDTFARISGYCEQTDVHSPCLTVWESLLFSAWLRLSSDVDLETQKAFVDEIMELVELTPLSGALVGLPGVDGLSTEQRKRLTIAVELVANPSIVFMDEPTSGLDARAAAIVMRTVRNIVNTGRTIVCTIHQPSIDIFESFDELLFMKRGGELIYAGPLGPRSTELVSYFEAIAGVPKIKSGYNPATWMLEVTSSAEENRLGMDFAEIYRRSSLYQYNQELVESLSKPSSSKELHFPTKYCRSPFDQFLTCLWKQNLSYWRNPQYTAVRFFYTVIISLMLGTICWRFGAKRDTQQDLFNAMGSMYSAVLFIGITNATAVQPVVSVERFVSYRERAAGMYSSLAFAIAQVVIEFPYVFGQAIIYSSIFYSLGAFVWTVDKFIWYLFFTYFTMLYFTFYGMMTTAVTPNHNVAAIIAAPFYMLWNLFSGFMIPHKRIPIWWRWYYWANPVAWSLYGLLTSQYGDDNKLVKLSDGNLMHINQVLKIVFGYRRDFLGIAAIMVAGFCIFFALIFAFAIKSFNFQRR >OIW19542 pep chromosome:LupAngTanjil_v1.0:LG01:1809046:1811429:-1 gene:TanjilG_06997 transcript:OIW19542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIKPTVRVSEKNLIVKDRTILTGVPENVIETSATASGPVDGVFLGALFDRNDSSHVVSLGTLKDVRFMACFRFKLWWMAQRMGDKGRDIPLETQFLLVETKEGSHLESENGDNNKQIIYTVFLPLIEGSFRSCLQGNAMDQLELCIESGDTDTKASSFNHALFISAGTDPFATIHDAFKAVKNHLNTFRLRHEKKLPEIVDYFGWCTWDAFYQEVTQEGVEAGLQSLAAGGTPPKFVIIDDGWQSVAGDQKNEEGKQEQLLRLTGLKENEKFQKKEEPNLGIESIVSIAKKKHGLKHVYVWHAITGYWGGVRPGVKGMEEYGSVMKYPNVSKGVVQNEPTWKTDALAVQGLGLVNPNNVFNFYDRLHSYLASAGIDGVKVDVQCILETLGAGLGGRVQLTKQYHQALDASIARNFPDNGCIACMSHNTDALYCSKQTAVVRASDDFYPRDPVSHTIHIASVAYNSIFLGEIMQPDWDMFHSLHPAAEYHASARAISGGPIYVSDAPGKHNFELLNKIVLPDGSVLRARLPGRPTKDCLFSDPARDGVSLLKIWNMNKHGGVIGIYNCQGAAWSSSERKNTFHETNSDAITGYVRGRDVHLISEAAGETDWNGDCVLYSHYSGQVVILPYNVAMPVSLKVLEHDVFAVTPVKVLATGYSFAPIGLINMFNAGGAIEGLAYEVKNDGLLVAIVRMKIKGSGKFGAYSSAKPRRCLLGANEVDFEYDIDSGLVTFNIDHLPEEGNKVHLVEVEI >OIW19168 pep chromosome:LupAngTanjil_v1.0:LG01:9756193:9756348:1 gene:TanjilG_13950 transcript:OIW19168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LQIIVPQLEPLNDQQMASIHNIFLSSQQAEDATSQGLDKLQQSMVHNIVVD >OIW18456 pep chromosome:LupAngTanjil_v1.0:LG01:20403773:20408970:-1 gene:TanjilG_13208 transcript:OIW18456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLFLRWFWIVLVLIIATSVGAGLCSVDYGNPHRILLDTDVDTDDLFALFYLLKLNTSQFQLEAVTINANAWTSAGHAVNQIYDILYMMGRDDVAVGIGGEGGILQNGTILPNVGGYLPIIEQGMTTAGECRYRRAIPVGLGGRLDVDVNYGIWKAFLPQGKRRYTPLRQPTAQQVLIDKISAGPITVLITGAHTNFAIFLMSNPRLKKNVKHIYIMGGGVRSSNPTGCCPKNASSSCMPRQCGDRGNVFTDYNTNPYAEFNIFGDPFAAYQVIHSGIPVTLVPLDATNTIPITEEFFNAFEKSQDTNEAEYCFKSLKMARDTWFDNEFYSSYFMWDSFTAGIAVSIMSKSNNVKGENEFSEMEYINITVITSNKPYGISDGSNPFFDDLKVPKFNLEKGGVHSGHVQDGLRDAHCFVKNRKGTCQDGYTSEVNGPDSVRVLVATKAKPNQDVRSSLDREYFISFLNVLKQPHQAGRFNLTTEFPHYREVTYKPDFQNRRLGKPVVFDMDMSAGDFLALFYVLKVPVEVINLKAIIVSPTGWANPATIDIIYDLLHMMGRDDIPVGLGDVFAMNQSDPTFSAVGDCKYVKVIPHGSGGFLDSDTLYGLARDLPRSPRRYTAENSVKFGAPRDTDHPELRQPLAMEVWESILQTTEPQSKITVLTNGPLTNMAKVVSVKNISTRIQEVYVLGGHISRNGNDKGNIISVPSNNYAELNMFLDPLAAKTVFESKHNITLIPLSIQRKDTFLGEILGAVVMADITSNLNPKFEVKPIKVIANGVESSDGEIMVDEKHGKLVRILSGVDAKAYHDLYTNMLGYQNQSAKVGSFEEQKRQWRIGDKS >OIW19433 pep chromosome:LupAngTanjil_v1.0:LG01:2739973:2744173:-1 gene:TanjilG_09453 transcript:OIW19433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPTHDEHEEFIFRSKLPDIYIPTHLPLHTYLFENLSQFKDRPCLIDGNTGETFTYADVELTARKVAAGLNNIGIKQYDVILVLLHNCPQFVFAFLGASYRGAIVTPGNPLYTSAEVAKQAIASNAKLIITQSSCVEKVKDFARENGIKVMCIDTPPEGDYLSFSELITSNESDIPTIEISQDDVFALPYSSGTTGKPKGVMLTHKGLVTTVAQQVDGENPNVYLHSKDVILCVLPLYHIFAINSALLCGLRVGAAILIMPRFEIIKVLQLVQKHKVTFAPFVPPIILAIAKNPEVERYDLSSIRMIMSGAAPLGKELVEAFSAKLPNVTLGQGYGMTEAGPVLSMSLAFAKEPFEAKSGSCGTVIRNAEMKIIDPDTGTSLPRNKAGEICIRGNQIMKGYLNNPDATKISIDEGGWLHSGDIGYIADNGELFVVDRLKEIIKYKGYQVAPAELEDMLISHPDIFDTAVVSMKDEDGEEVPVAYVVRSNGSEILEDEIKQYISKQVVFYKRIKRVFFVDSIPKASSGKILRKELRARLAAGPIA >OIW19464 pep chromosome:LupAngTanjil_v1.0:LG01:2432957:2434252:-1 gene:TanjilG_09484 transcript:OIW19464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLEEELFPSTPGKFKIERSHHMNRQLYRCFASSSTVFLWTLFIIALTASYISFQSFIDSGSRYLTASWGGIQWEKQVRSSAQIQRHGGMSVLVTGAAGFVGSHVSIALKRRGDGVVGLDNFNDYYDPSLKKARKALLETHGVFIVEGDVNDAKLLAKLFDVVAFTHVMHLAAQAGVRYAMENPHSYVHSNIAGLVTLMEACKSANPQPAIVWASSSSVYGLNDKVPFSESDRTDQPASLYAATKKAGEEITHTYNHIYGLSITGLRFFTVYGPWGRPDMAYFSFTRNILQGKPITVYRGKNRADLARDFTYIDDIVKGCIGSLDTSEKSTGSGGKKRGPAPYRIFNLGNTSPVTVPTLVNILERHLKVKAKRNVVDMPGNGDVPYTHANISSARRELGYKPTTDLQTGLKKFVKWYLSYYGYNNGKLVN >OIW19137 pep chromosome:LupAngTanjil_v1.0:LG01:11115488:11118755:1 gene:TanjilG_03627 transcript:OIW19137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGTLLRNASFYTRNLLLRSSLSASTRSPLSVSTRSPLSVSTRSPFRFFSSSDNNSNGENPKPEPEPDSVSEPTNSVEPVNKDDAIAVEDVSNKELKTRIEKYFKAGDEQALDSIMEAIFQRRLTGKHEETDDELMDELLAEPIDDVDDKEFESDFEDAHETDEEIDDLYDARSVVMKRMTKDPYFNMDEKKWDEIVQDAVNHGLMKDTKECEEILEDMLSWDKLLPDEIKKKVEIKFNELGDMCERGELEPEEAYEHFKKFEDQMVAEYMKIMETEEVPKFDDTGVPDKKKDIDDPPGEGPILRWQTRVVFAPGGDAWHPKNRKVKLSVTVKELGMSKYQFRRLRELVGKRYHPGRDELTITSERFEHREENRKDCLRTLLSLIEEAGKANNLVADARASYVKERLRANPAFMERLNAKSLRLREINQVPA >OIW19026 pep chromosome:LupAngTanjil_v1.0:LG01:17038763:17041306:-1 gene:TanjilG_10587 transcript:OIW19026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRNRGGSNHATATPNSDAAVSEYEQQRLSRIAENKAKLEALGLSKMSSSFDKCLTQNSRNINNKGKKKVEDEDDEYKPENEEPGSSSSTDEDHDDKDEDFEAVNASGSRKRKVKNKCLKMKAKISGKKCCSSNMEYNDDEDEALRQAIALSLQDSAEDPLFSDKNVVNITGAEKGNIHIEEDKGRKKSKKSFTSRLQMTEDELIVHFFQLDEAGKGTVSVRDLQRAAEVHDFIWTDKEFFDMIRFFDSDGDGKLSFDDFKKIVVRCNMIEGSSNS >OIW19307 pep chromosome:LupAngTanjil_v1.0:LG01:4177195:4181421:-1 gene:TanjilG_09091 transcript:OIW19307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRSGATMAWNVFKFCTALRGLGSIMILLVLGVVGVTYYAVVLTNYGPALYAGGLDFLSAFAILILFHSLLVMLLWSYFSVVFTDAGSVPPNWKPAVDEERGEADPLVGSDFNNVAPSDPSNQHIRFCRKCNQLKPPRCHHCSVCGRCVLKMDHHCVWVVNCVGALNYKYFLLFLFYTFLETTLVTASLLPQFLAFFSDGEIPVTPGSLATTFLAFVLNLAFALSVMGFLIMHISLVAANTTTIEAYEKKTSPKWRYDLGRRKNFEQVFGMDKRYWFIPCYSEEDIRRMPALQGLDYPSKPDFDSQ >OIW19171 pep chromosome:LupAngTanjil_v1.0:LG01:9940227:9943671:-1 gene:TanjilG_13953 transcript:OIW19171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFENYKSNFHKAITDLQRRFSTLTDHPQPPPSFTFQNPLSVNPPWARVAQNLKPFRKPLSAEEIEERLDGIPVYALSNPEEEFMLVSGSSTGKNLGLFCFKQEDAESLLDQVTVIDPHMRQGSKVVPVALNKVFQLKVNGVAFRLIPEHTQVQNALREREKLGLPADSFSGVPVFQSKSLILKSQKKRYRPLFFRKEDLENSLERASRDQNQLNPALRQGDIQVAVLEDIIKEMKDNYTMDWDDAIFIPPGFDISTDPNQQ >OIW19340 pep chromosome:LupAngTanjil_v1.0:LG01:3683456:3688811:1 gene:TanjilG_03474 transcript:OIW19340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATHENHSILVSKIGIIGAGVSGIAAAKQLSHRNPIVFEASDSIGGVWRHCSYNSTKLQSHRRDYEFTDFQWNDRDNSDFPSHLEILDYLESYAEHFNVLKNIKFNSKVVEIRFISNNKEASDFGGLTHDRDYGKLLSGHPVWEVAVQTNESDIQWFSFEFLVICIGKYGDIPNIPTFASNKGPEIFKGKVMHTLDYCKLDKEGTTQLLKGKKVVVVGSKKSGLDLATECALVNQGPEGQPCTMVVRTLHWIVPHYWIWGLPFFLFFSTRSSQLLYERPNQGLLRTFLYLMLSPMRHAISKFIESYLLWKLPLEKYGLKPEHPFVEDYASCQMAIVPEKLFSEAEKGKIVFKKAAKWWFWNGGIEFEDNSKLEADVVVLATGFDGKKKIKTILPDPFRSLLEYPSGVMPLYRGTIHPLIPNMAFVGYVESVSNLHSSELRSMWLSGLVDDKFKLPRVENMLSQTLKEMEVMKRSTRFYKRHCISTYSINHSDEICKDMGWNFMRKNNWISEAFGPYSSEDYEKED >OIW18904 pep chromosome:LupAngTanjil_v1.0:LG01:19273310:19277730:-1 gene:TanjilG_25347 transcript:OIW18904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDARVVEGTLNTAQTTVVDGASAKFLHQNNHQLGTVHQLLAGGIAGAFSKTCTAPLARLTILFQVQGMHSDVSALSKPSILREASRIVNEEGFRAFWKGNLVTIVHRLPYSAVSFYAYERYKNLLYSMMGDNFRGNTSANLSVHFVGGGLAGITAASATYPLDLVRTRLAAQRSTMYYRGISHAFNTICREEGFFGLYKGLGATLLGVGPSIAISFSVYEALRSFWQSRRPNESTVMVSLVCGSLSGIASSTATFPLDLVRRRMQLEGAGGRARVYNTGLFKTFGHIVQNEGLRGLYRGILPEYYKVVPGVGIVFMTYETLKMLLSGTPTS >OIW18694 pep chromosome:LupAngTanjil_v1.0:LG01:22290542:22295353:1 gene:TanjilG_13446 transcript:OIW18694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILVTAKALNEARLEANIRVYGEENHQKKWPSPYVVASGVLLLLSFLKYVYHPLQYLALGAVAAGIFPIILKAIVSIRNFRIDINILMIIAVIGTIAMKDYLEAGTIVFLFSIAEWLETRASHKANAVMSSLMSITPKKAVIAETGEEVDADDVKVNTIIAVKTGEVIPIDGVVVDGNCEVDEKTLTGESFPVPKQRDSIVWAGTINLNGYISVKTTAMAEDCVVAKMAKLVEEAQNSKTSTQRLIDKFAKFYTPAVVAIAALVAVIPLAFRVRNEKHWLHFALVVLVSACPCALILSTPVATFCAYTKAATIGLLIKGGDHLETLAKVKVIGFDKTGTITKGEFEVTKFQSLSDDIDLNTLIHWVSSIESKSSHPLAEAIVDYGKSLSIEPKPEEVTGFENFHGEGISGTIDGRVLYIGNKKIATRAGSETVPTLAGENQRGKTTGYIYSEATPIGYFSLSDTCRTGVQEAIGKLKSMGIKTAMLTGDSQAAAMQAQEQLEQALELIHAELLPEDKVKIISEFKKEGLTAMVGDGVNDAPALATADIGISMGISGSALASETGNIILMSNDIRKIPEAIKLARKSHRKVLENIVLSITTKVAIIGLAIGGYPIVWAAVLADVGTCLLVILNSMLLLRKGKGQGHRLDHGGQCYFHYIEGQEFNQVNKYVGIVLELKIVL >OIW18730 pep chromosome:LupAngTanjil_v1.0:LG01:22554439:22555183:-1 gene:TanjilG_13482 transcript:OIW18730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVKTQVAVEGGVLDNESVSINEEIDYGVVSVHHVGILCENLERSLDFYQNILGLKINEARPHDKLPYKGAWLWVGSEMIHLMELPNPDPLTGRPQHGGRDRHTCIAIRDVSKLKAILDKAGIPYTLSRSGRPAIFTRDPDANALEFTQIDD >OIW19622 pep chromosome:LupAngTanjil_v1.0:LG01:665562:667201:-1 gene:TanjilG_18432 transcript:OIW19622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSESAAPMVEKSINRSSPGKKKQGKVPKRIHKSEMEKMKREHLNELFLDLANTLDLNEQNTGKASILCETARLLQDLVCQIESLKKENVSLLSESHYVAMEKNELKEENSGLETQIEKLLSEIQAKVAQSKPDLNAPPSLELELPTQTNYPGQSLQLPSIDPILQQGPAILVVPFRPDLQAAFSAPNVAEIAPKPSSVVSKPHARYPTPADSWPSQLLG >OIW19651 pep chromosome:LupAngTanjil_v1.0:LG01:859919:861487:-1 gene:TanjilG_18461 transcript:OIW19651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGSKRSPLKAAKPSSGGPIYPVKSGPNPFDSDDEAKHNKNYNSSKKTLSGGTLVTVEANTNPFDDIDANKSTSSSSYALRSADRNRYKNAFHDSGGLENQSVQELEGYAVYKAEETTKSVNNCLKIAENIREDATQTLVTLHQQGEQITRTHYIATDIDQDLSRGEKLLGSLGGLFSKTWKPKKTQAIRGPVIFGDDPIRSKGNHLEQREKLGLTSAQKGQSKLPRTPPPEPTNALEKVEVEKGKQDDALSGLSDILGELKEMAVDMGSEIERHNKALDHVDNDMDELVSRVKGANQRGRRLLGK >OIW19142 pep chromosome:LupAngTanjil_v1.0:LG01:10835167:10837773:-1 gene:TanjilG_03632 transcript:OIW19142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSELWHYLKKSLYCKPHSKEVFDPRRTSPHKSDQRKKQSKETDSKQGSPTSQASSAIINPVTHVIVLDTSSGENKLCCYPSPPNNEDASKGVEGSQTSTRTSNLQKTHCVDCDQCNFFSKPRVMVKRDSPSSLREKLESLDKLDKDDTSAEHSVIQLHNEDSTWQIIEKICQTSYTNSEIKATEIEIVLKVLNHQNAFTSFEECREMARNKSQKLPDKLPRCLADGNELLRFHGTTIACSLGMNDDYSNSLCTLDQCGFCQILRHGFSTKTQEFNDALGVLTTSSCAKAFELVVSSDELYGRKCVIVCRVIAGRIHNPLQEIQEMITDDSRFDSLVKKINAESDIEELFVLNPSSILPCFVAIYKCGS >OIW17655 pep chromosome:LupAngTanjil_v1.0:LG01:35467492:35470959:-1 gene:TanjilG_29005 transcript:OIW17655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGLKESWCFCNIGLTKSERTKASIFSSKAQSMATISNSSTSLSGSGFLIHRNLLLTTHVNLPSTAAADTSDIRLRDGVAATLVPQRFFITSSVLDLTIVGLDDADGESNAQGQCPHYLKTCCKTNLELGSVVYLLGYTEKRELTVGEGKVVIATDNLIKLSTDGILWNPGSAGFDVHGNLAFMICDPMKLATSPNTKSTSTSSSSSSGKKDLPMQFGIPISVICDWLNQHWEGSLDDLNKPKLPLIRLMSSGPKSEHSCASFTLRSVFKSADADNNGTPSSSNKGRDQGQSSLAVANTIEEEDLTNNLHAAHVQGIPTPEIYESPRVNAVPLRKKENTQIQLLDINFPPRMAQPVVLAQSSKIPQPKSAKTSTKDPAPENQLGERNRDRHRPAVLIEEVASTGSVHVALTEVQSSSSPVEVSEMHNGYSSEGETTMYSAETAESRNYTSPREVKFQQVGRSQSCVSYNRWGAAAQRNQASGRTTLENQRSFIHGKKMYSQGATSHRSNDYFSPTVSSVMKRNPEHATKPRQTPVHSSSPRWNF >OIW19752 pep chromosome:LupAngTanjil_v1.0:LG01:1594252:1597783:1 gene:TanjilG_18562 transcript:OIW19752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWTVADAVDYKGFPADRSKTGGWVPAALILGIEIVERLSTMGIAVNLVTYMIGVMHLPSSTSANIVTDFMGTSFLLCLLGGFLADSFLGRYKTIGIFAAIQTLGTVTLAISTKLPQLRPPPCNVTVGSNTCKKANGFQMGILYMALYLIALGTGGLKSSISGFGTDQFDEKDEKEKSQMGFFFNRFFFFISFGTLAAVTVLVYIQDEVSRSWAYGICSFAMVISILVFLSGTKRYRYKKISGSPIVHIFQVIVAATKKRKMELPSNVGFLYEDTPEASRIKHTDQFCFLEKAALVAEGDFEKNLSGSVSNPWKLCSLTRVEEVKMMVRLVPIWATTIIFWTTYAQMITFSVEQASTMERNIGSFQIPAASLTVFFVAAILITLAVNDRVIMPLSKKWNGNQGFTNLQRVAIGLALSILGMVAASICERKRLTVAKNVSGNPATLPISVFLLIPQFVLVGSGEAFIYTGQLDFFITKSPKGMKTMSTGLFLTTLSAGFFLSSFLVSVVKKVTGTRDGQGWLADNINKGRLDLFYALLTILSFINFVAFVKCALWYKPKKPKPTLQMEAINEERC >OIW18130 pep chromosome:LupAngTanjil_v1.0:LG01:27696489:27697270:-1 gene:TanjilG_22328 transcript:OIW18130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFTSYIGRVEVRTLFSNFGNLLKLENNPGGSEPFETILKIYYGLPIYVNPDNIAALRCASTSEVVGMIAKLEDGNLISKAEAFLTFVVLSSWKDTATVLKSCETLSPSLENV >OIW19030 pep chromosome:LupAngTanjil_v1.0:LG01:16875751:16876193:-1 gene:TanjilG_10591 transcript:OIW19030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFCARIGFSGTSVEGHFLVVGADEELLQKEGLLSHFHDAEGLLSHFHDAELLLQMEGLLSQFGVTEELLQLVTVGVELVCDVVVKFNDSVQGSASDDSTQVGFSVNTS >OIW18780 pep chromosome:LupAngTanjil_v1.0:LG01:23004019:23015784:-1 gene:TanjilG_13532 transcript:OIW18780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGAAGGFLTRSFDSMLKECSGKKYPELQKAIQNYTEITKEASQRKQPVSSEANQAAPSAESASTEETQDGAATTTEAGQSQKAEHVPDAADHGSSKPKSGNITLVLASAGNTLDGADAELVLNPLRIAFETKNLKILEAALDCLHKLIAYDHLEGDPGLDGGKNVPLFTDILNMVCGCIDNSSPDSTVLQVLKVLLTAVASSKFRVHGEPLLGVIRVCYNIALNSKSPINQATSKAMLTQMISITFRRMETDLVETSSGSGGHAITKAASQENLNTKSDEISTGDANEKETTLGDALSQAKDTSPTSLEELQNLAGGADIKGLEAVLDKAVHTEDGKKITRGIDLESMGIVQRDALLVFRTLCKMGMKEDNDEVTTKTRILSLELLQGLLEGVSHSFTKNFHFIDSVKAYLSYVLLRASVSQSPVIFQYATGIFLVLLLRFRETLKGEIGIFFPLIVLRPLDGLDFPVNQKLSVLRMLEKICKDPQMLVDIFVNYDCDLEAPNLFERMVTTLSKIAQGTQNNDPNSVAVSQTASVKGSSLQGLVSVLKSLVDWEQSHRESERLKNNLQEGVSAEDSSDIRPKEDVSNDFEKAKAHKSTLEAAIAEFNRKPMKGVEYLISNKLVDNAPASVAQFFKNTPNLDKATIGDYLGQHEDFPLSVMHAYVDSMKFAGMKFDTAIREFLKGFRLPGEAQKIDRIMEKFAERYCADNPDLFKNADTAYVLAYAVIMLNTDAHNPMVWPKMSKSDFVRMNARDEADECAPRELLEEIYDSIVKEEIKMKDDTSLIGKGSRQKSEGEEGRLVSILNLALPKRKSSADAKSESEAIIKKTQAIFRSQGVKRGVFYTAQQIELVRPMVEAVGWPLLATFSVTMEEGENKPRVVLLMEGFKAGIHITYVLGMDTMRYAFLTSLVRFTFLHAPKEMRSKNVEALRTLLVLCDSDINSLQDTWNAILECVSRLDFITTTPAISATVMYGSNQISRDAVVQSLRELAGKPAEQVFTNSVKLPSDSVVEFFTALCGVSAEELKQTPARVFSLQKLVEISYYNMARIRMVWARIWYVLANHFISAGSHHDEKIAMYAIDSLRQLGMKYLERAELANFTFQNDILKPFVILMRNSQSESKRRLIVDCIVQMIKSKVGNIKSGWHSVFMIFTAAADDELETIVESAFENVEQVILEHFDQVVGDCFMDCVNCLIRFASNKSSHRISLKAIALLRICEDRLAEGLIPGGVLMPFGADLEATLEVSEHYWFPMLAGLSDLTSDQRPEVRSCALEVLFDLLNERGSKFSRSFWESIFHRVLFPIFDHVRYSGKEDFISTDGWFRETSIHSLQLLCDLFNTFYKEVCFMLPPLLGLLLDCAKKTDQTVVSISLGALAHLIEVGGHQFSDSDWDTLLKGIRDASYAIQPPELLNALSIESMRNHGGIIGDSEANTGGSDTNKSINNDIATGHQLDVNDTGKLSPLTSTNTSADSVEGSVLQTNLDQPEG >OIW18717 pep chromosome:LupAngTanjil_v1.0:LG01:22464215:22469152:-1 gene:TanjilG_13469 transcript:OIW18717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPRILLCGDVLGRLNHLFKRVSSVNKSAGPFDALLCVGQFFPDSLDQLDEFIAYIEGRSQSKFPLPIYFIGDYGIGAPKVLLQASKDSANLGFKMDGLRICDNLFWLKGSGKFNLVGLSVVYLSGIKSPNAQQFGTYSQDDVDALRAIAEEPGIIDFGVTREAATSDIPAGLSDSVGSDSTISELVQEIKPRYHIVGTKGIYYAREPYSNVDAVHVTRFIGLASVGNRDKQKFIHAISPTPASTMSSTEIAMKTTNTTLSPYTFLEKSTSPRDASKRSSDDISGSQHWRYDVSQKRQKHGAENGNKLCFKFVSSGSCPRGEECYFRHDSDAREHSVRGVCFEFLNKGKCERGPDCNFKHSLQEEDDRNSSRRPGSESIRSNRSKECWFCLSSPNVESHLIISIGENYYLALAKGPLVDDHVLIIPVEHMPSTLSLSSESEDGLYRFQNSLTRFCKNQGKEVIFFEWVSVRGTHANLQAIPIPASKAAMAVKAFNLASQKLGFKFIEKKFDSISDGRKFLSTQIDGNQSLFYAEIPGGTVLLHHVEEKVKFPAQFGREVNDSIYRQGFKRASVNQVLAGLLNMADNADWRNHKHSKEEEMKIVEDFKSRFQEYDPNC >OIW19569 pep chromosome:LupAngTanjil_v1.0:LG01:146436:149117:-1 gene:TanjilG_18379 transcript:OIW19569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCNKEEALRAKGIAEKKMESRDFMGARKFALKAQQLYPDVENIAQMLTVCEVHCSAQQKLSNSEMDWYGILQVEQTANDITIKKQYRKFALQLHPDKNQFSGAEAAFKLIGEAQRILLDREKRSMHDLKRRGASINKTATSHHNQQKTNVRPNSKKPNPQPQKPQQQQSRQQAQQGVNGVRPTFWTACPFCSVKYQYYREVLNKSLRCQHCSRPFIAYAVNIQGTSPATNSSQHPSGQQKSGMNHGDFKAGVGSQGNLHGKKSNTEPFEKKIPRDVSGKPNGKRRKRVTESSESSDSVGSTDSEDDDMVADEDGFPGGQNHSTNREEQPRRSTRQKHDVSYQDNASDNNDDFPRPSKRVKESGSPCMSAKSNDQHGLAADLKNDKDVKQKQTSQNYSPDSIAKATNDPNHFVFPDAEFSDFDKDKKKECFAAGQIWAIYDTIDGMPRFYALIRKVLSPGFKLKITWFEPDPDDKDEMNWVDGGLPVACGKYKFGATQISQDHLCFSHLVLCKSNGPDAFKVSPRKGETWALLKNWDIKWYKDVESHQQYEFEFVEILSDYVEGEGVSVAYLARLKGFVSLFMKGDVHSFRIRPTELFRFSHRVPSFKMTGQEGVGVPVGSYELDPASLPMNLEEIAVPERSNLAEKKKDSVHGIDENPEAIKIPDPQFFNFDDEKFIEKFQVGQIWAFYSDEDGLPKYYGQINRIKTSPEVELHVNWLTCCWLPENTTKWEDEDMLISCGRFRVNKTSAYHNVYSSTSSFSHLVLADPVDKKKNYDIYPRKGEVWALYRKWSSKIKSSDLKNWEYDIVEVLGGNDLFFDVLVLAFVSGFNSVFRGKSNEGSAVTLRIPRKELLRFSHQIPAFRLTEEQGNLRGFWELDAGALPVFYNGAGSK >OIW18276 pep chromosome:LupAngTanjil_v1.0:LG01:24930748:24933232:-1 gene:TanjilG_31416 transcript:OIW18276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEEDLVEIKFRLYDGSDIGPFRYSSTSTVDVLKQRIVSDWPKGKTVIPKAANEVKLISSGKILENNKTVGQCKGPLGDIGGGVLVMHVVVQPSLAKTKGVQGVGDAHHLLTTKVTCLNKPLYFQHSCLQLMPIWEGMVLPCGVLGQVKGRLASVFLATILWIWHARNCV >OIW17718 pep chromosome:LupAngTanjil_v1.0:LG01:34954064:34958047:1 gene:TanjilG_29068 transcript:OIW17718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANASRFIKCVTVGDGAVGKTCMLICYTSNKFPTDYIPTVFDNFSANVVVEGTTVNLGLWDTAGQEDYNRLRPLSYRGADVFVLAFSLVSGASYENVLKKWIPELQHFAPGVPVVLVGTKLDLRQDKYYLADHPGLAPVTSEQGEELRKLVGAAYYMECSSKTQQNVKSVFDAAIKVVIKPPPKQQEKKKKPRRGCLFTYSLDQLEIVTKIEVCDLLSHSTLDNEEMGTHSFLCGPGK >OIW18329 pep chromosome:LupAngTanjil_v1.0:LG01:24122103:24122660:-1 gene:TanjilG_31469 transcript:OIW18329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSYNSPCAACKFLRRKCMPGCIFAPYFPPEEPQKFANVHKIFGASNVTKLLNELLPHQREDAVNSLAYEAEARVRDPVYGCVGAISFLQRQVQRLQKELDAANADLLRYSFSDIPSAAISVPPGLTSLQQIPQRGFSARIGNEGSGFYLQTPNTAYSFPYSLPWNDNFPEDISEGGGGGNL >OIW19684 pep chromosome:LupAngTanjil_v1.0:LG01:1125032:1125343:1 gene:TanjilG_18494 transcript:OIW19684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSNRESARRSRMRKKQQIEVLHYQVNQLQTLNYQLSQKIIYMLECNQHIQQQNAQLREKVSSLQLTPSELFVIPVGHDDQSQHILNSFLPEPSTRPIVSSGV >OIW18494 pep chromosome:LupAngTanjil_v1.0:LG01:20645518:20648382:-1 gene:TanjilG_13246 transcript:OIW18494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGGGDSKKLKVEENFYKPVNSQLLISIEKLQDIQDKLQKINEEANDKVLEIEQKYNEIRKPVYENRDQIIKSVPDFWLTAFLNHPALGQLLNDEDEEILKYLGSVEVEDNKDVKSGYTITFSFKPNPYFEDTKLIKTYTFLDEGTTKITATPIKWKEGQGILNGDSHKKNGTKRAYFDVSFFSWFTDCEEKDDDKDDIHDEVAELIKDDLWPNPLTYFNNGIPVDAEVLGEPDYELSIRNPKMQSSLENHKH >OIW18578 pep chromosome:LupAngTanjil_v1.0:LG01:21255230:21257352:1 gene:TanjilG_13330 transcript:OIW18578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKGKPFRKPDISVTVQEVLENAKSEGRDGFVVDVGANVGMASFAAAAMGFRVVAFEPVFENLQKICEGVYFNRVADLVTVFEAAASDRIGNITIHKLVGRLDNSAVSATGAKMAFKSNEEVAFQVRTVPLDEVIPESERVLLLKIDVQGWEYHVLKGASKLLSRKGSQAPYLIYEEDERLLQASNSSAKEIRDFLQSVGYHECTQHGTDAHCTKKD >OIW18441 pep chromosome:LupAngTanjil_v1.0:LG01:20313550:20317507:-1 gene:TanjilG_13193 transcript:OIW18441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIVFLFASYYLTNALDLSSLPSNFLFGTASSSYQYEGAYASDGKGQSNWDNFTHGTGTSLIQDRSNGDIAVDHYHRYLEDIDLIESLKVNIHRLSISWARILPKGRFGEINWAGVHFYNILIDALLLKGIQPFVVLSHYDYPQELEDRYGGWLSPQSQEDFVFYADLCFKNFGDRVKYWVTFNEPSNQVALGYRSGLYPPCRCSGSLAMIKCKEGDSENEPFKAAHNIILSHAAAVDIYRTKYQAEQRGKIGIVLQHEWYEPISNSTADKLAAERARSFTFNWFLDPIIFGKYPTEMENNLGSTLPKFSSNDKVKLSKGLDFIGINYYTAYYVQDCIYSSCKPGIGVSRTEGSYQKSGYKNGIPIGEPTSFSWLNIYPEGIEKAVTYVKDRYNNTPMFITENGYGEQDNPNLTMAEQLNDFRRIKCMSDYIEALSKAVRKGADVRGYLAWSLLDNFEWIYGYTIRYGLHHVDYATQKRTPRLSATWYKQLIAKHKGTFLSRMIGQEKGVQTT >OIW17749 pep chromosome:LupAngTanjil_v1.0:LG01:34731524:34732486:1 gene:TanjilG_00343 transcript:OIW17749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVNGHGKQPLFSFGLISDVQYADILDGRSFLGVPRYYRHSILVLQRAVKEWNNHQKHKFVINFGDIVDGYCPKDQSLNTVKKIVNEFEMFNGPVHHLIGNHCLYNLPRSELLPLFKIKSLQGHAYYDFSPVSDYRFVVLDGYDISAIGWPQDHPRTLEALKFLREKNPNEDKNNPTGLIGLERRFLMFNGGIGKEQMEWFNGVLQDATKLKQKVVVCCHLPLHPGASSKEALLWNYEEVMNLIHRFNCVKVCISGHDHKGGYYIDSHGVHHRVLEAALECPPGTNAFGYADVYDDRISLYGTDRMRSTHIYFDPNADF >OIW18527 pep chromosome:LupAngTanjil_v1.0:LG01:20828683:20833005:-1 gene:TanjilG_13279 transcript:OIW18527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKFLHSSADDNTDLQKQIGCMTGIFQLFDRHHIVTPLLISHKRLSPGNSHFNHDNLERESNGVRHRQKGPDISLNKGVSEKQRVSTESSRVSFSSSGSSSMSSLDFKAQVDAHYDQINFHEPPMRDTIMNQRSTSPHFGRQSLDFRDVVKDSMYRETRGLSLRTTAKEEAAICVMKHMDSPRPLQLYKSDGYDRVGIDGKESAHIDVKDSLRVLAKLREVPWHYGEAIEPSRLSSYEVKDGGNWYSISKDAPRFSYDGRGTSRLSFETRETIKCQPKLPELPRLSLDSRQGSWRRSTYNPDSKKQSCLRNFNTGNSTSDESLSSLQHSSATQSRPPSVVAKLMGLEALPESYLANETRSNLSESGSTRGNIQFSRPSKDGFIRPLQISNSPKSSLKDRSSPRRKSPDAVVKPISGSRFPIEPAPWKQQDGNRSSKKPNSKALKAPAKTPDSFPSVYSEIEKRLKDLEFKQSGRDLRALKQILEAMQVKGLLETRKEEQASNIVGSQRDYEPKPLSLVQNSSSTKQQNSHGNNLVFNSIRGSDSARTFESPIVIMKPAKLIEKTGTSASSVIPVVGLSDHRLQSGCGVHADNKKGTASGRIAKDQSPKNTRRDASTSSIAKKASSSSKTTKSTQSQPRSQQFPGSVKNSGSVSPRMQQKKLELEKRLRPPTPPSDSNKPGRQHGKQATESVSRGRKLRHKVHNTQHIDDQLSEISNESRSLSCQEDQMSQKSDGIAVDLNIDMEVTSSFRSARIIVNQSPSLKASEQLVSGSMHKKSTLRLDEDESIAELATDGPDHRSPVSVLDGSEYTDDVPSPVKRIPNAPKVAGNAEESQETNNKDRWNPANSFSFDSTGTGEINCKKLQSIDHLVQKLRRLNSSHDESRIDYIASLCENSNPDHRYISEILLASGLLLRDLSSELLTFQNHSSTHPINPELFLVLEQTKASSLLSKEETCPGKVAYAKLNSEKFHRRLIFDAVNEILGTKLGSCPEPWLKSNGLSKKTLNAQKLLKELCFEIEKMQAKKQEMSIEDEGDGLKSMLWENVMHGSESWTNLYSEIPLVVLDVERLIFKDLVDEIVIGEAGNLRIKSSSRRKLFGN >OIW18500 pep chromosome:LupAngTanjil_v1.0:LG01:20671100:20672652:-1 gene:TanjilG_13252 transcript:OIW18500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTAITHASASIFRPCASKSRFLNGSSVSFTQMRSHSYTSSFKVQARKAEWLPGLDSPDYLTGSLAGDNGFDPLGLAEDPESLRWFVQGELVNGRWAMLGVAGMLLPQILTKLGLFNIPEWFDAGKEQYFASSSTLLVIEFLLFNYVEIRRLQDIKNPGSVNQDPIFKQYSLAPNEVGYPGGVFNPLNLEPTLELKEKEIANGRVAMLAFLGLVTQYNVTGKGPFENLLQHISDPWHNSIVQKLSAY >OIW18849 pep chromosome:LupAngTanjil_v1.0:LG01:19852051:19860046:-1 gene:TanjilG_25292 transcript:OIW18849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQVRNNQVILRNYVTGFPKESDMNIVESSITLNLPEGSNDVLIKNLYLSCDPYMRILMNKVEVFEGFPPFTPASPLGGFGVAKVLESGHSDYKKGDLIWGFTRWEEYSLVPSTQIFSKIEHTDVPLSYYAGILGMAGLTAYAGFFELGTPKKGENVFVSAASGAVGQLVGQFAKLSGCYVVGSAGTKEKVNLLKNKLGFDEAFNYKEEPDLNAALKRYFPEGIDIYFENVGGKTLDAVLLNLRSNSRIPVCGMVSQYNLIEPEGVKNLTNLIFKQVHMQGFLVTNYYHLYPKFLEYLLPYIREGKVVYVEDRAEGLENGPKALVGLYSGRNVGKEWRQKQIRKITNRVYEYVKIQPGTANLTFEDLYIAVLLVYNDINKLLPGPHFDPPSKDKVREIIKNCDINLDGEIDREEFFSFIQQMTADTFTYVSQKLIVTMVVAPTIAVATKRATEGVPGVGRVVQRLPNSVYATLVTVAAMWFQNMGQDS >OIW18837 pep chromosome:LupAngTanjil_v1.0:LG01:19950773:19958545:1 gene:TanjilG_25280 transcript:OIW18837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFNQSNSDNKTQSVYPKSGRSATFNHHRPSSPSYVKPGAGGGAGGGAGGSSISSTRSFNKKSNNAQGGQSRANPTPVNSTEANYAPAAGTIQNGSHVQPQLHGAPGMSVTTKPSESSAAQRSSEAVPKASIPQPPPPMSSGAATPTTPAKGDASKTFPFQFGSISPGFMNGMAIPARTSSAPPNIDEQKHDQVHHDSFRSVPSGPTPPVPKQQQPPRKDAGVTYQSNAGETHIGTKGRKEPQVSASIPAGLIQKPSVVSVTGPSMAMPYHHSQASLQFGGPNPHIQSQDISTASLQMPMPIPIPFGNAAQVQQQVFVPGLRPHLMHHPRIMHQGQNMSFTPPMSHQLPHQLGMGIGISPQYPQQQGGKFATSRKTTTVKITHPETHEELRLDKKTDAYSDGGTSGVRSHPNMPSQSQPVQSLAASTPMNYYSSSRYYPPPTSLPPTSSQITPNSQQPRSNYPLNHGPENAGFMNSSSLGSPKASTPITGIVEAHNSESSRDVPNVPSSAPFGATSVTIKPSGLSAVVDSSLPNSSISGIQNRESSSTASFDTSSFVLQKGSETGTDNSSLTSKLASNSSVLCSLPKESSASVAVSDEKLTATPLTLPSSTPSMDSVLVVSNNEAQMKESLSSSNSLKDNHSNPSKKGQLQQVPVKSPTEANVSSQAVDIGKSDIGVSEPAGAKIKYSVAVNTEALPSYPDTASVTSRSIPSAVEAKTNCSADFSARVSAEGYGTQGADSLNNDKHDKIDDSPEDPQSADHPEKTSTHVKDCSDNAGIDSMSLPVSGNKNTQASEANKVNNTSKAKKKRKEILQKADAAGSISDIYNAYKGPEEKKEAVLSSETTENDSTSRSLKQLPADVAQLGAVATEKCGESKAEPDDWEDAADMSTPKLQAADKLQQVSDESGGTAKKYSRDFLLKFSEQCTDLPEGFEISADFDGALMSNNIRSVHVFERDSHPNPRRNVDMLDGMSRMDRRGSGMIEDKRWNKVSGAFHSGRGLDGIGGNAGYRAGQGGNSGVLRNPRSQIPLQYGSVESMGNQGGMQRNSPDGERWQRSAGFQQRGLIHSPQIPLQMMHKAEKKYEVGKIPDAEQAKQRQLKAILNKLTPQNFERLFEQVKAVNIDNAVTLTGVISQIFEKALTEPTFCEMYANFCSHLASELPDLSEDNEKITFKRLLLNKCQEEFERGEKEQEEANKADEGEVKQSDEEREDRRVKARRRMLGNIRLIGELYKKRMLTERIMHECIKKLLGQYQDPDEEDIEALCKLMSTIGEMIDHPKAKIHIDAYFERIKVLSNNMNLSSRVRFMLKDSIDLRKNKWQERRKVEGPKKIDEVHRDAVQERQAQASRTGRGPGNNPSARRNPMDFGPRGSSVLSSPTAQVGGLRGQPTQVRGYGSQDARFQERQSYEARTLSVPLPQRTLAENSLTLGPQGGLARGMSIRGSPVISSSSNSDGFPLPGDSHRMTSGINNYSNLPESAPYNSREALASRHVDRFSGSVGYDQSSAYERNINYGNRDLNNGDRNLDKPILISPTAQLHGSTVSHNVPSEKVWSEERLQDMSMAAIREYYSARDDKEVALCIKDLSSPSFHPSMVSLWVTDSFERKDTERDVLATLLVNLVKSQDGTLSQAHLVKGFESVLSTLEDAVNDAPRAAEFLGRIFARVITESVVTLNEIGRLIHDGGEEAGSLLEAGLAADVLGWTLESIKMEKGEAVLSEFLTSSNLLLETFRPPNPITSRKLEKFI >OIW19123 pep chromosome:LupAngTanjil_v1.0:LG01:12131079:12145704:1 gene:TanjilG_03613 transcript:OIW19123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLIHKYGNEDVPLGSWFIRLDLDHIDDQRLCRNTPPENIKPKKASWSTTRTCVPIIRKVDNVARCTIGTREERIEKAKKVDWDTTRT >OIW19448 pep chromosome:LupAngTanjil_v1.0:LG01:2611102:2617330:1 gene:TanjilG_09468 transcript:OIW19448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPPSVTGQTKKITARSSIMESLRGCGLSGIRIDKEELKKQLTMPQYLRFAMRDSIRHQDSAAGESRYIHRNDGEDAAPPLSPMVVFINSRSGGRHGPVLKEWLQQLMSEEQVFDLSDVKPHEFVRYGLGCLEMLAGLGDSCAKETREKLRVMVAGGDGTVGWVLGCLVELHTLGREPVPPVGVIPLGTGNDLSRSFRWGGSFPYAWKSAIKRSLYRASTGPIHHLDSWRVSVLMPDGTHVDPPHSLKRTEEFTLDQGLEAEGELSENVKSYEGVFYNYFSIGMDAQVAYGFHHLRNEKPYLASGPISNKIIYSGYSCTQGWFFTPCTSDPGLRGLKNILRMHIKKVNSSDWEHIPIPKSVRSIVALNLHSYGSGRNPWGEPKPEYLEKRGFVEADVADGLLEIFGLKQGWHASFVMVELISAKHIAQAAAIRLEFRGGAWKNSYMQMDGEPWKQPLNKDFSTFVEIKREPFQSLMINGA >OIW19568 pep chromosome:LupAngTanjil_v1.0:LG01:143340:145292:-1 gene:TanjilG_18378 transcript:OIW19568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHGDFKAGVGSQGNLHGKKSNTEPFEKKIPRDVSGKPNGKRRKRVTESSESSDSVGSTDSEDDDMVADEDGFPGGQNHSTNREEQPRRSTRQKHDVSYQDNASDNNDDFPRPSKRVKESGSPCMSAKSNDQHGLAADLKNDKDVKQKQTSQNYSPDSIAKATNDPNHFVFPDAEFSDFDKDKKKECFAAGQIWAIYDTIDGMPRFYALIRKVLSPGFKLKITWFEPDPDDKDEMNWVDGGLPVACGKYKFGATQISQDHLCFSHLVLCKSNGPDAFKVSPRKGETWALLKNWDIKWYKDVESHQQYEFEFVEILSDYVEGEGVSVAYLARLKGFVSLFMKGDVHSFRIRPTELFRFSHRVPSFKMTGQEGVGVPVGSYELDPASLPMNLEEIAVPERSNLAEKKKDSVHGIDENPEAIKIPDPQFFNFDDEKFIEKFQVGQIWAFYSDEDGLPKYYGQINRIKTSPEVELHVNWLTCCWLPENTTKWEDEDMLISCGRFRVNKTSAYHNVYSSTSSFSHLVLADPVDKKKNYDIYPRKGEVWALYRKWSSKIKSSDLKNWEYDIVEVLGGNDLFFDVLVLAFVSGFNSVFRGKSNEGSAVTLRIPRKELLRFSHQIPAFRLTEEQGNLRGFWELDAGALPVFYNGAGSK >OIW18532 pep chromosome:LupAngTanjil_v1.0:LG01:20870375:20871306:1 gene:TanjilG_13284 transcript:OIW18532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRCFRLHSLCISIGHVTPHVRACSSSSLRTKSSSSDSIKYANITEYSSHDSSSRLDNSDKESAENGNKVMVVVDSTFEGKGALEWALSHTVQTHDTVILVHVTRPTREGGESPRKFNLKAYNLLLDMKNICETKKPGVQVNIVMLEGDEKGGAIVQEAKQQRVSLLVVGQRKRSMLWSLMKRLLLRKRTKGGVADYCIHNSPCITVAVRSTNKKLGDYFITTKRHKKFWLLA >OIW18125 pep chromosome:LupAngTanjil_v1.0:LG01:27726408:27728460:1 gene:TanjilG_22323 transcript:OIW18125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFIIVLSISILVLHLNTSYSNAQVKSATFLSEKLELEPGLCVKKYLFNVDFPKGHIGIKRFDAELVDEKGKSLSLNETYLHHWLAVLHLENNAKPKGGVIAKLNNGPCYSLPHFWGRGSESRGTTSDIPNPFAVEMGNPEKIAKGYEEKWVLDTMIIDLRGVQDKHGCAQCRCNLYNVTKDVKGVPLNPEYKGGFNCCYDNTQCKLKEGFHGPRRSVHLRYTIKWVEWDQHQIGVKVYILDSTDEVVKNGSNVVHNCKVEYSIIPENGDRKPVHVQKASIPLEKGGYLIYGVAHQHGGSAGSTLYGQDGQILCNSRPRYGTGKEPGNEEGYLVAMSECVPKPGSVKINDNEILTLESRYNNTYLTGLMGHFSIFVAEKLQQ >OIW17819 pep chromosome:LupAngTanjil_v1.0:LG01:34005415:34009097:-1 gene:TanjilG_02447 transcript:OIW17819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFENLEPIFGEPKVEWVGHSSCPLRPFLFHVYVPDSFHLVIHVTDFHSDTWGVHLSISMLEDIRDIIGLGGSWSEFADYFIDSLKSENLKLVLEANSNSDGVSNAKLIAQKSKGMPVITIPLIKLLDSTANEAMSNLSLRLFKEYQSIKCSLVEEQEQSIRLTNLRAAEKYTWIFFCRYHCQLIDGYPICQERNEIMQKQLEQRQKFQKISDSEKAGVSTNGQQNSPDKQAARDTKVKNRVVPTHRR >OIW19513 pep chromosome:LupAngTanjil_v1.0:LG01:2048170:2053085:-1 gene:TanjilG_06968 transcript:OIW19513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDSLYDEFGNYIGPEIDSDVDSDREEDNDDDDDQDRLTNHRDGDASDGDAPNNGWITTSNDVDMLDNQVVLAEDKKYYPTAEEVYGEDVETLVMDEDEQSLEQPIIKPVRNIKFEVGVKDSTTYVSSQFLLGLMSNPTLVRNVALVGNLQHGKTVFMDMLVEQTHHMCTFDPQSEKHMRYTDTRVDEQERRISIKAVPMSLVMEDSNSKSYLCNIMDTPGHVNFSDEMTAALRLADGAVLIVDAAEGVMVNTERAIRHATQERLPIVVVINKVDRLITELKLPPKDAYHKIRHTLEVINNHISAASSIAGDVQVIDPVAGNVCFASGTAGWSFTLQSFAKLYGKIHGIPLEANKFASRLWGDFYYHPDTRTFKKKPPASGGERSFVEFVLEPLYKIYSQVIGEHKKSVETTLAELGVTLSNAAYRLNVRPLLRLACSSVFGSASGFTDMLVQHIPSPRDAAIKKVDHIYTGPKDSAIYKAMSQCDSSGPLMVNVTKLYPKSDCSVFDVFGRVYSGKIQTGQTVRVLGEGYSPDDEEDMTVKEVTKLWVYQARDRMPIAEAPPGSWVLIEGVDASIMKTATICNVDYDEDVYIFRPLQFNTLSVVKTATEPLNPSELPKMVEGLRKISKSYPLAVTKVEESGEHTILGTGELYLDSIMKDLRELYSEVEVKVADPVVSFCETVVESSSMKCFAETPNKKNKITMIAEPLERGLAEDIENGVVSTDWNRKKLGEFFQTKYDWDLLAARSIWAFGPDKQGPNILLDDTLPTEVDKNLLSAVKDSIVQGFQWGAREGPLCDEPIRNVKFKIVDARIAPEPLHRGSGQIIPTARRVAYSSFLMATPRLMEPVYYVEIQTPIDCVSAIYTVLSRRRGHVTADVPQPGTPAYIVKAFLPVIESFGFETDLRYHTQGQAFCLSVFDHWAIVPGDPLDKNIVLRPLEPAPIQHLAREFMVKTRRRKGMSEDVSIGKFFDEAMMVELAQQAADLHQQMM >OIW19301 pep chromosome:LupAngTanjil_v1.0:LG01:4785498:4795492:1 gene:TanjilG_24340 transcript:OIW19301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLPQIPQSQTAENVAPVGAVLDCSQQFSDASPSCVDSSMNSVSSGGLAAYTLRSSLDDDFSKKAPSFGDFAKNSSFEISNVLDNMIYTGALEVTSNVHSLKIDSIDRSSLFASKNGQSIYVPASRVVGFESCRRSSFTDGSAKVSDANLHSSTFTNVSANDTEAASSLVRKRLLSPLSSMLSPSHFKSDPEDNGSKYNETSSLVKNDNVRNYIAQDNKKANIGSKSSHTMPTWYLKSCLEQKNMPHTTESFLLFDGTSQENRGPLYLGSSPTDGNDHFGQSSQFRSQSGLLSISPKNLSSPLSLSPLGPKFSERIKTIRGCRSVVEEMKNCNITLRSIEQSLDNSNSRHMLNHKDNNLGIASKSFEHVELLWEDFCPSLDDITEMNWPLSQEPVSTSHSTRFTRSLSGLSVRRSLVGSFEESLLSGRFLSRNCSKNIDGFLAVLSITGGNFSLKSQKLPFSVTSVDGDCSLLYYASIDLEGNSSNKCRGHMLKRGLINDDSQIVKSRLRVPIKGRIQLVLSNPEKTPLHTFFCNYDLSDMPAGTKTFLRQKVTLKSSSFTSAPFKQGSTVLDKGIVYNGIPATQKNQDISCSREVMHTDAVDVVNKTKSTIQRNGKSSRMVGLMNEEDSSKQSRNISSSEKSLESFSKIKEICNSAGPLRYALHLRFICPLPKRKNRSIKKCDNSLPEKAGLDMEGERRFYLYNDLRVVFPQRHSDSDEGKLGV >OIW18582 pep chromosome:LupAngTanjil_v1.0:LG01:21299200:21301582:1 gene:TanjilG_13334 transcript:OIW18582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLEKEGGASSSTVEDAVSLELHAPAGWKKKFLPKQSGTPKKNEIVFTAPTGEEINNMKQLEQYLKAHPGGPAVSEFDWGTGETRRRSARISEKAKVAPPPETEPPKKRGKKSPASKKEASEEEKEKSQETKEVQMDEADGTKDDKDLEEEKNVAKENQDEKGADDADLKESAHPGEPKAEENIDIPNDEEKNVETENQDEKRAEDTDVKESTHPGEDKAGETADIPNDEEQSKTADGELQASKEKIDDKVVEGSEVFQDKDEEKIEQPPEETRKDGEPVDLEKSETILTSEERVEVEGENKKEHNRSNHESEGETKEKEGTKVIGEEHHKVHDINKTSETELTVNGS >OIW19696 pep chromosome:LupAngTanjil_v1.0:LG01:1182458:1184685:-1 gene:TanjilG_18506 transcript:OIW19696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METAINIGFACSLLRQGMKQIIISSDTPEIKSLENMEDKSAAEKAIKASVLREIKNGKALISTPDENSDAFALIIDGKSLTYALEDDVKDLFLELAVGCASVICCRSSPKQKALVTRLVKIKTGKTTLAIGDGANDVGMLQEADIGIGISGVEGMQAVMSSDIAIAQFRFLERLLLVHGHWCYRRISSMICYFFYKNIAFGFTLFFYEMYASFSGQPAYNDWCMSLYNVFFTSLPVIALGVFDQDVSSKLCHKFPLLYEEGLRNVLFSWKRIIGWAFNGAVSAAIIFFFCIRAMEHQAFRKGGEVVGLEVLGTTMYTCLVWVVNCQMALSISYFTYIQHIFIWGSIIFWYIFLMIYGAIDPSFSTTAYEVFIEALAPAPSFWVITLLVTIASVLPYFAYASIQSRFFPVYHQMIQWMKKDGQINDPEFCNMVRQKSIRNTTVGFTARLQASRRLEASRRLEASRRMEVSFEVTSESR >OIW19422 pep chromosome:LupAngTanjil_v1.0:LG01:2875493:2875978:1 gene:TanjilG_09442 transcript:OIW19422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSSKVLFLVFLGTLFCTIGARKLGTEKGNSFGDEKTFFHRPGFGGGAGGGGGFGGGSGGGLGGGSGGGFGGGAGAGGGAGGGLGGGGGFGGGGGAGGGVGGGSGFGGGAGFGGGSGAGGGLGGGGGGGFGGGGGGGLGGGAGAGAGGGFGGGAGTGGGLP >OIW17650 pep chromosome:LupAngTanjil_v1.0:LG01:35501515:35506836:-1 gene:TanjilG_29000 transcript:OIW17650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRAPNYEFQEWWNKQREKNNLDPFQDNSDQPNSPSFHSIDVDTGGGGGGAVSVEKDRARSARQLSWVWLLRFQQIAGSLAWISNGLVILVRTANRRIITSPRADSASSRLYRVIRVFLVVVILLLGFEMVAYFKGWHFRPPSVGSADLMGMVGIVYARWIQIRADYLAPPLQSLANLCTVLFLVQTVDRIVLILGCFWIKFRRIKPVASVDYETNVENGGVDVEDYPMVLVQIPMCNEKEVYQQSIGAVCILDWPKEKMLVQVLDDSDEVDTQQLIKAEVQKWQQRGIRIIYRHRLIRTGYKAGNLKSAMSCDYVKDYEFVAIFDADFQPTPDFLKKAVPYFKGNDDLALVQTRWAFVNKDENLLTRLQNVNLSFHFEVEQQVNGVFINFFGFNGTAGVWRIKALEESGGWLERTTVEDMDIAVRAHLCGWKFIFLNDVKCLCELPETYEAYKKQQHRWHSGPMQLFRLCFVDILRSKVSWAKKANLIFLFFLLRKLILPFYSFTLFCIILPLTMFLPEAELPAWVVCYLPGIMSILSVLPAPRSFPFIVPYLLFENTMSVTKFNAMISGLFRFGSSYEWVVTKKLGRSSEADLVAFGNESEPLMRSTSLHRSSSDSGIEELSKLELSKKTAKPKRNRLYRKELALAFILLTASVRSLLSAQGIHFYFLLFQGISFLVVGLDLIGEQVS >OIW19196 pep chromosome:LupAngTanjil_v1.0:LG01:8446833:8454107:-1 gene:TanjilG_04939 transcript:OIW19196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVSLKCGDCGALLKSVEEAQEHAELTSHSNFSESTEPVLNLVCTACSKPCRSKTESDLHTKRTGHTEFVDKTSEATKPISLEAPKVGATAEDSVTATTNQDEEMVVPEVDKKILEELESMGFSAARATRALHYSGNAGLEAAVTWIVEHENDPDIDQMPLVPANTKVEAPKPSLTPEELKAKQQELRERARKKKEEEEKRTEREKEKERIRIGKELLEAKRIEEDNERKRILALRKAEKEEERRAREKIKQKLEEDKAERRRRLGLPPEEPSVSKPSPVVEEKKSFLPVRPATKAEQMRECLRSLKQNHKEDDARVKKAFQTLLTYVGNVARNPDEEKFRKIRLTNQSFQERVGALKGGIEFLEICGFEKIDGGEFLFLPRDKVDMAVLNSAGSELDSAIKNPFFGVL >OIW18219 pep chromosome:LupAngTanjil_v1.0:LG01:27575876:27576682:-1 gene:TanjilG_31339 transcript:OIW18219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGHSPKNVTTEEVIEDHVMASPILLPPPPGRFNNHEMYIVQFPKDQIYRVPPSENALIVEKHRNLPKNEKKRRSCSCSIRLMLTIGLIFLTIIAIVGVTLAVLYFIFNPMGPIFSVNDVVVRTSGKSKTPYYEISLGVKNPNKRLGLNYEDADNDAVLLFEETKVAIGKFPTLEQGHGVSSKVKVELTGTNGDLPKVMDKSMNDKKSNTPVSLSLDMKLGVRFSTAGIEAWLMKSDVVCRFKVNALMNNSEILSQACDINFKPLFNN >OIW17980 pep chromosome:LupAngTanjil_v1.0:LG01:31559703:31561142:1 gene:TanjilG_31353 transcript:OIW17980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDSLNSSTQSSQQQQQHLLNHNNYHITKTKPTKKLALLPLVFLIYFEVAGGPYGEESAVGAAGPLFAIIGFIIFPFIWSIPEALLTAELATTFPGNGGFVIWANEAFGPFWGSLMGFWKFFCGVINLASYPVLCINYLKLLIPSLSSGLPHFFSIFISTSVLSFLNYSGLAIVGYTAVFLGVISLLPFVLLTLISLPKVDPTRWLSLGQEGVKKDWTLYFNTIFWNLNFWDSASTLAGEIEEPHKTFPKALLSAGFLTCLAYIIPLLAATGAMPLDQKNWAGGYFANVAETIAGKWLKLWMEIGAVLSIIGLFEAQLSSAAYQLLGMADLGFVPKFFGERSKWFNTPWMAILLSTIIALSVSFFTFTEIISIVNFLYSLGMLLEFASFLWLRRKFPSLKRPFKVPLGLQGLIIMCLVPSVLLVYVMAIATRIVYVSSALLTFFGIVLYHFMNSCKSRNWIEFNHVGGDKLDEEDNVL >OIW18142 pep chromosome:LupAngTanjil_v1.0:LG01:25834262:25839164:-1 gene:TanjilG_31262 transcript:OIW18142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGPPPPAPLSRRNSGSSHKRPPPLSKKSENGTATEPSTQNRPSSPSLQQPDGGERTVKKLRLSKALTISEGTTVSEACRRMAARRVDAVLLTDANALLSGIVTDKDVASRVIAEGLRPEQTMVAKVMTRNPIFVTADTLAIDALQKMVQGKFRHLPVVENGEVIAILDITKCLYDAISRMQKAAEQGSVIAAAVEGVERQRGSNVSVPNALIETLRERMFKPSLSAIIGENTKFATASASDPVYVAAKKMRDLRVNSVVVLSLSGSKIQGILTSKDILMRVVAQNLSPELTLVEKVMTPNPECASMETTILDALHMMHDGKYLHLPVVDRDGYVAACVDVLQITHAAISLVESSSGSVDDVANTIMQRFWDSALALEPPEDSDTHSEVSGLMTSDGADTVKSTYQSASFGNSFAFKFEDLNGRVHRFNCGPEHLDELVSAVMQRLDINEGEHPVILYEDDEGDKIIIATNNDLAAAVSFARSSGWKALKLYLDFGDSSKPTTPKPDIATTQKTSALSFRSSVFASAVVISSIGVLVYLKRSKQ >OIW18384 pep chromosome:LupAngTanjil_v1.0:LG01:23441334:23448874:-1 gene:TanjilG_31524 transcript:OIW18384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTTDIRGVLTAFSPSLDFFAITTGDGRVKIWDTLKGQLQAEFSDITSTDTSINTYHSSLKGHLSIDYTCIKWLSLETKKKRKHASSLLVLGTGSGDVLAINVSAGQLTWRISDCHPGGVRAISSSANGTSIYTAGADGMICVINGLTGNLLEKFKASTKAVSSMSVSSDGRMLATAAAQLKVFNCSNHKKIKKFSGHPGSVRCMVFTEDDKYILSSGVGERYVAVWRIDDPKKQSASCVLSMENPAVFLDSRCIDNEDGEATVCVLAISEVGVCYLWFGNSIEELRNAKPTKISLSLEEISSSNYKGALSAIYAAKLQGIQKPSSGHVFLVYGLLVKPSFRKILVHSGTDIKLNVSRDVTALDRANAEDALLPIPKVFDSHDKEETFQDFLDKDATNDLVISSKADSLQIEDDMVEGEADVICMEDRMRSLGILNSQKDFAPNFESSALLKGIDLKAAIPMKKIKATVMSLVPNEAFKLLEVLLAAWQSSSASAKYVLPWIYSILVNHGHNVIAEDSATHMLDSLHKIINTRGATLQPLLQLSGRLQLITSQIDKASQTISDSVHDHQVQESGDEDEEDEEDEEDEYVHEEGEDESEISSDDES >OIW19269 pep chromosome:LupAngTanjil_v1.0:LG01:5884161:5900069:1 gene:TanjilG_20394 transcript:OIW19269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIKLGHILDLYTTNNIISRYAKCSQLSLAHHLFDEMPHRNTVSWNTIISGYVNSRDIHSSMEFVKAMTSALLHMYAKCGRVDDTFIVFWSMPEYNYVSWNALISGYSQVGDDVSAAPSTTSPATVSSRSMMKFESGYNVETVFDGSKLGIEPYAVEVLPNGELLILDSANSNVYRISSSLSLWVTTIAGGKWSRVGGHVDGPSEEAKFSNDFDVVYVGSSCSLLVIDRGNRATREIQLHFDDRAYQYGSGFSLGKLNHPRFSVEVLLSVDIPHTPRTLPRIMTVPGIISYLDGYGCNDGESDISSIGCRERKIIVANMLPNLGYCFDASVLMRIQFYLIRDEILRGLLNSDLIGFHTFDYARHFLSCCSRMVGLDYESKLESVLNLPRTAAKLKEVQEDFKGKKVILGVDDMDIFKGISLKFLALEQLLQQKPDLQGKVVLVQIVNPARGSRKDVQEAMKETYLISQRINDIYGSNNYQPVILNDRPVPRFEKTAYYAVAECCIVNAVRDGMNLVPYIYIVYRQGTAKMDLALERKSDSPRSSMLVVSEFIGCSPSLSRAIRVNPWDVDAVADALYSAITMCDSEKHLHHEKHYRYVSSHDMAYWARSFMQDLERACKDHYTKRCWGIGLGLGFRVVSLSHGFRKLSIDHIVSAYKRTNKRAIFLDYDGTIVPESSLNKAISANVISVLNALCNDTKNIVFIVSGMGRDSLSNWFTSCKMLGLATEHGYFLRWNRDSEWETSYLSMELDWKNMVEPVMQSYTEATDGSSIEVKESALVWHHLDADPDFGSCQAKELLDHLESVLANEPAAVKRGQHIVEVKPQGINKGLVAEKILSTMVNGDNSLDFVMCIGDDRSDEDMFESILRTVSCPSLLAAPEIFACTIGSKPSKAKYYLNDTTDVIKLLQGLATSSNPKPRHLAHFQMWFLENVFSSSALLHMYAKCGRVDDAFVVFWCLPECNYVSWNALISGYSQIGDHGMALHLLKCMEMEGFLIDDGTVSPLLTLLDDVEFYRLAMQLHCKAVKHGLKSFNTICNAMITAYSECGSLLDAKRVFNGAVVCHDLVTWNSMLAAYLMHDKKDLAFKVFIDMQKFGFEPDIYTYTGVVSACYAPEHKSHGKTLHGLVIKRGLENSVEVSNALISMYLKLNNRCMEDALKIFYAMSLIDCCTWNTILAGYSQVGLNEDALRLFVQMRSLVIETDHYTCSAVIRSCSDLATLQLEDARRSFEATSKDNAILWNSIIFGYAQHGQGNFALELFHLMIERKSPWSLILVLHREWSATLVPLTSTVVPGHLDEAKALVETMPFEPGTMVLKTLLGACRMCGDIELASDVAKTLLELEPEEHCTYVILSDMYGRQKMWNEKASVTRLMRERGVKKVHGCSWIEVKNKVHAFNAEDHSHPQCDEIYMVLEQLKIVTVSWNALIAGYSQVGDRGMALHLLKCMEMEGFLVEDGTISPLLMLLDDIEFYSHNGLLEEGCNFIESMESDFGITPRMEHYACVVDIYGRVGHLDEAKALVETMPFEPNTMVLKTLLGACRMCGDIELASDVAKTLLELEPEEHCTYVILSDMYGRQKMWNEKASVTRLMRERGVK >OIW18829 pep chromosome:LupAngTanjil_v1.0:LG01:20011954:20016489:-1 gene:TanjilG_25272 transcript:OIW18829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRGYQNRQAYRISDEDSSDSSIRRSNSSPNSERGERFLVNRSRQNVRTSSYQENSNIVNNYSRRRNAFPGPRIRYLEPNLSIVVERSSLSTYNDHSDDANVRTSSYQENGNIMDNYSRRRNTFPGPRIRYPEPNLSIVVERSSLSTYNDHSDDANSEPHFLSMSSPSPEIRRQEHFDEDVSEDEDENMNMGKTEEEIMTSINRENFESDDEENVENKKACGICQDDYVNGVEIGKLSCEHKFHMECIKQWLMLNNLCPLCRRVAL >OIW18651 pep chromosome:LupAngTanjil_v1.0:LG01:21914555:21917536:1 gene:TanjilG_13403 transcript:OIW18651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRRQNKNEYESEKHRIPNPDSENSRFEDWSDKRVLCFCLAFRIINSLLIQTYFNPDEHWQGPEVAHRIAFGKCGLVVAALACAIRPTSAITWLFIGLLELLKTRDRLKFLFYEVVPIGILMVGLTCLLDHFMYGKWILVPLNFLKFNFLSSGGDYYGTHKWHWYFTQGFTVMIFSHLPFCIAGIIYSKQWKFAGLIAWVLGFYSILGHKEFRFVLPVLPIALMFSGYSLAVIEDPGSPVYRGKKSSEKNTKCSPKMTLAILFLLVTNIPMALYMSLVHQRGPEDVMNHLAGEAQHGNVRSVLFLTPCHATPYYSMLHHNLPMQFLDCTPSEEKGVPDESDHFMMDPAPFVSEYAKKWSLPSHIVLFDSEEQKLRNSLISFGYREERRFFNAHFKVDRDLQASIVVYVLVK >OIW18093 pep chromosome:LupAngTanjil_v1.0:LG01:28574859:28576901:-1 gene:TanjilG_01182 transcript:OIW18093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCTLLKKQFGIEIDNIFDSALAPIWVSEVLVKLKGDPKLALKFFKWAEARTTFCHTTDSYCILAHILFCGMFYFDARKIIMKWILLRREIPGCDFFDTLWLTRDVCRPGFGVFDTLFSVLVELGMLEEARQCFWKMKKFRVLPRVRSCNELLHKLSKSGEGKLSISFFKDMVVASLSPSVFTYNIMIGYLTKDGDLETARNFFEQMKRNGLTPDIVTYNSLIDGYGKVGLLTEVIVVFEEMKDAGCEPDVITYNCLINCFCRFDRIPRGFEYLSEMKQRGLKPNVVTYSTLIDAFCKAGMMLEAIKFFVDMIRVGLRPNEFTYTSLIDANCKLGDLDEAFKLASEMLQAGLELNIVTYTALLDGLCEDGRIKDAEELFSALLKSGVIPNLQIYTSLVHGYIKAKMITNANDTLEEMNKKNFKPDLLLYGTKLWGIRSQNMIEEFEAVIREMKECGLRANNYIYTTLMDAYFKVGKTVEAVNLLQEMQELGIETTVVTFGVLIDGLCKKGLIEQALSYFDNMTNTGLQPNIMIFTALIDGLCKNGCIQAAEKLVHEMADRRISPDKLVYTALIDGNLKHGNPQEALSLRNKMVEMGMELDLHTYTSLIWGFSHCGQVQQAKSFLDEMLGKGITPDEVLCIFLLRKYYELGDINEAQALYDDMMRRGLITGTLDVIVPSAHT >OIW18083 pep chromosome:LupAngTanjil_v1.0:LG01:29124101:29125763:-1 gene:TanjilG_08553 transcript:OIW18083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATYNALLKLTSIFIFIASAPELCGSSNTFTIVNYCQETIWPGITHSENFSGNGFTLKPGQSAIYTAPSGWSGRIWARTGCNFDKNGNGKCQTGNCGTNINCTDPGTPPATIADFTLGEPDFYDVSLVDGFNLPLLVRAVNGTGNCSIAGCDGDFRQNCPPELAAKDNDRVIACRSACDTFDTDEYCCRGVYGSPSTCLPSNYSKIFKQACPAAYSFAHDDPTSLTTCSQADFIVTFCGSRNQTVCSNHEKQAECNLNGSKSKAYKEMPQRWWVMMLLFAYMFNLRILS >OIW17633 pep chromosome:LupAngTanjil_v1.0:LG01:35747691:35751875:1 gene:TanjilG_28983 transcript:OIW17633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTVVEASNKGANGSIPQKNPTVVFVLGGPGSGKGTQCANIVQHFGYTHLSAGDLLRAEIKSGSENGTMIQNMIKEGKIVPSEVTIKLLQRAVEEDGNDKFLIDGFPRNEENRAAFENVTGIEPAFVLFFDCPEEEMERRLLSRNQGREDDNIETIRKRFRVFLESSLPVINYYDAKAKVCKIDAARPVEEVFETVKAIFAPKNAKAD >OIW19159 pep chromosome:LupAngTanjil_v1.0:LG01:9491914:9496641:1 gene:TanjilG_13941 transcript:OIW19159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKQQVTVVVAAVSTTLVAVAVGALIRRWKKRKEQELRQTRNMVKKFARECGTPVTKLWQVADDLVSNMKAYLDSSNESSTLNMIISNVASLPSGEEEGFFYGVNLQDTNLLILCARLGGKNKPISDLHREEISIPSNVLAGTSEELLDFVAMEIAKFVQAHPENEDGSFTKRKKLSFTLSYPLHKSLPFSGTTFQRKSSDDPVRQGLVKDLNRALKNHGMKMHVFALVDDSIGGLAGGRYYNRDSVAAITLGMTTNAAYVEPAEDVPSVLAQSPNSTELVISMEWGNFNSPHLPLTPFDVSLDAESSNPGNGIFEKLISGKYLGEIVRRVLLKMAQETALFGGNLPKKLMTPYILSTPDMAAMHQDTSEDREVVSEKLKEVFGINSCTPMAREVVAEVCDIVTERGARIAGAGIVGIVKKLGRIETRKSVVTVEGGLYEHYRIFRNYLHSSVWEMLGSDLSDNVIIEHSHGGSGTGALFLAAAQTQTPRGDI >OIW19691 pep chromosome:LupAngTanjil_v1.0:LG01:1166182:1166784:-1 gene:TanjilG_18501 transcript:OIW19691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKCCKDFLDAGEDSFTYQHVSLDKFPLIPWLHDDKRISFMKRCKESKNMDILYREGLLQYLRVNIGGGGCGVELLKIVAEKGHKEAKYVYGMIMLCSENEELRKHGLKHMRFLRESMCVIRCRKNVEKILQYVWKNNKKLVQNQINLCKSKNTCKSWRPKQGRWSLLDDDDDDNNDVNMCEYCRWDHELVSFSKFFHVH >OIW18729 pep chromosome:LupAngTanjil_v1.0:LG01:22548133:22553592:1 gene:TanjilG_13481 transcript:OIW18729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSLAAGGGLRTLAVAVTSGSGTGRGSCRAVDWAVENLLPQADRFILVHVIPAITSIPTPSGEYIPISEADNDVLATYMHDVKQRSEEIFVPFKKLCKINTVETLLLEDDNPANALLSFISESGIQMLVMGSYSSNFITRKLKGPGIPTTVLRCAPDSCDVYLIARDRIISKLSDSSASASYETSSRYSMSTQMNKGENGAGIGSEASRFNSSSAEPESPKNFKFLSISARSYIGLQISSRRSSFENHTRNEEQNLENCDDDFETFSLHSFDSIASVQREQLAVQEEVERLQLELQNTVAMYKQACEELVHAQSKALLLSSESLEEAKRVNDSLKREETLRKIAAEENTKYLKVMKELEEAKEMFAKESYERQLAELNVAREFIEKRRIVDTLLSNDRRYRKYTIDEIQIATDFFSEDLIIGEGGYGKVYKCTLDHTPVAVKVLHYDAMNKKEEFLIEVEILSQLHHPNMVLLLGGCPEKGCLVYEYMENGSLEDYLFSKGKAPLPWYVRFHIAFEMACGLSFLHNAKPEPIVHRDMKPGNILLDRNYVSKISDVGLAKLLEDVVPDNVTEYRESVIAGTIHYMDPEYQRTGTLRPKSDVYAFGVITLQLLTGRHARGVIMTVEDAIIKGSFRDILDRSAGDWPLEEAAELAQIALRCVELRCRDRPELDTEVLPVLKRLSDVTNASARVGINSRGTPSQYYCPILQEIMDDPHIAADGFTYEYRAIKAWLSKHNVSPVTKRKLQHSMLTPNQTLRSAIQEWKSGVTSFD >OIW19344 pep chromosome:LupAngTanjil_v1.0:LG01:3585418:3587732:1 gene:TanjilG_03478 transcript:OIW19344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANIQLTTSSISTRNLSPFKGFQPSAFQLPSIHHLRIDTLTQRSFSRLVVKAATVSALKYTSIKPLGDRVLVKIKEAEEKSEGGILLPTTAQTKPQGGEVVAVGGGKSVGNSKVEVSVKTGAQVVYSKYVGTEVEFNGSKHLILKEEDIIGILETDEVKDLKPLNDRVLIQVAQAEEKTSGGLFLTEATKDKPSIGTVIAVGPGPVDEEGNKTPLAIAAGNTVLYSKYAGNDFKGKDGFEYITLRASDIIAILS >OIW18567 pep chromosome:LupAngTanjil_v1.0:LG01:21185923:21187846:1 gene:TanjilG_13319 transcript:OIW18567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAIYEREADYDSSSSSITVPESSRSWMSNLSFSSRRRRSSVSVYSSTTDTNTKPHKANQVAWEAMQRLRLDKGHLGLEHFRLLRRLGSGDIGNVYLCQIRNPVVGLPQCFYAMKVVDREALAIRNKLHRAEMEKEILGMLDHPFLPTLYTEFDASHYSCFLMEFCPGGDLYAARHRQPGKRFTLASAKFYAAETLLALEYLHMMGIVYRDLKPENVLVREDGHIMLSDFDLSLKCDVVPKLLRSKTRSERSIKSIKRSTPSCTAPMQPVISCLLGKKKKPTVTTVIRENVTIEDQELDPELVAEPIDARSKSFVGTHEYLAPEVILGQGHGSAVDWWTFGVFLYEMLNGRTPFKGENNEKTLINILKQPLAFPRIAVSSSKEYEEMVKVQDLISKLLVKNPNKRIGSCMGSVEIKRHEFFKGVNWALIRSVKPPEVPNDMNKVRTSRVLIPKLSKKDRDQPFQLTHSFEYF >OIW19604 pep chromosome:LupAngTanjil_v1.0:LG01:377371:383031:1 gene:TanjilG_18414 transcript:OIW19604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDKSEVLEAVLKEAVDLENIPIEEVFENLRCSKEGLTSQAAEERLVIFGHNKLEEKKESKFLKFLGFMWNPLSWVMEAAAIMAIALANGGGKPPDWQDFVGIITLLIINSTISFIEENNAGNAAAALMARLAPKAKVLRDGRWNEQDAAELVPGDIISIKLGDIVPADARLLEGDPLKIDQSALTGESLPVTKSPGDGVYSGSTCKQGEIEAIVIATGVHTFFGKAAHLVDTTNQVGHFQKVLTAIGNFCICSIAVGMVIEIIVMYPIQDRQYRPGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKNLVEVFAKGVDADTVVLMAARASRLENQDAIDTAIVGMLADPKEARAGVREIHFLPFNPTDKRTALTYIDSDGKMHRVSKGAPEQILNLAHNKVDIERRVHAVIDKFAERGLRSLAVAYQEVPDGRKESSGGPWQFIGLLPLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSALLGQDKDESIAALPVDELIEKADGFAGVFPEHKYEIVKRLQARKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLLALIWKFDFPPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLAEIFTTGVVLGSYLAMMTVIFFWAAYKTDFFPRVFGVSSLEKTAHDDFRKLASAIYLQVSTISQALIFVTRSRGWSYAERPGLLLVFAFLVAQLIATLIAVYASWSFAAIEGIGWGWAGVIWLYNIVFYIPLDVIKFMIRYALSGRAWDLVIEQRIAFTRQKDFGKEQRELQWAHAQRTLHGLQPPDSKFTERTHVSELNQMAEEAKRRAEIARLRELHTLKGHVESVVRLKGLDIDTIQQAYTV >OIW18866 pep chromosome:LupAngTanjil_v1.0:LG01:19655426:19659514:1 gene:TanjilG_25309 transcript:OIW18866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFLSHTNYLPITLFIFSTFYLCSNSSSIHAITSTRFITDNETITSNNTNLKLGFYSPENSTDRYLAIWYLSQSYVIWIANRDQPLKDSSGVAKIHKDGNLVIMNGQNIIIWSSNVSTNLTNSTAELHDSGNLVLYDVMSGSGSGQLLWDSFSHPTDSAVPTMRVASNPYTGKKIQYVSRKSDSDPSSGYFTVSLERLDTPEVFFWYNKTRPYWRTGPWNGRFFLGTPRLTTEYLYGWRFENDSDGTIYVTYSVADPNDFGILSIVPSGTLKLVRILNKVEYVNLEVDQNECDFYGACGPFGRCDNSTIPICSCFEGFEPKNLEEWNMKNWSNGCVRNVPLQCGNMSNGSSVLEQDGFLVHHNMKVPDLLERLLDVDQNKCMEYCLGNCSCLAHAYDSYIGCMYWTKDLIDLQKFPYGGVDLYIRTPHSLLGTDKKRRGNKALIVGVTAGAIGIITLVVCAYILWQKWTTKQTDTRNHTQNLSSGEQKQTKLEELPLFEFEQLATATNDFHLASMLGKGGFGPVYKGKLENGQEIAVKRLAKASTQGLEEFMNEVVVISKLQHRNLVRLLGCCIEGDEQMLVYEFMPNKSLDAFIFDPLQRKDLDWKKRFNIIQGIARGILYLHRDSRLRIIHRDLKASNILLDDKMNPKISDFGLARIFKGGEDHEVNTKRVVGTYGYMPPEYAMEGIFSEKSDVYSFGVLLLEIVTGRRNTSFYNEDSLSLVGYAWKLWNEENITSIIDEEINDPCFENSILRCIHIGLLCVQELTKDRPTMSTIVLMLISEITHLPPPKKVAFVHKESLSCSTSSQKTQSLSNNNVTLSEVQGR >OIW18662 pep chromosome:LupAngTanjil_v1.0:LG01:22018473:22023448:-1 gene:TanjilG_13414 transcript:OIW18662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSPTAVRSPATGGSGHGFNDDTRRVKFLCSFLGSIMPRPQDGKLRYVGGETRIVSVPRDISYEELMVKMRELYDDAAVLKYQQPDEDLDALVSVVNDDDVVNMMEEYDKLGSGDGFIRLRIFLFSQSEQDGSSHFIDGDDNERRYVDALNSLNDVSDFRKLNQMDFNVISPVEDIHVPDQFYSPISVESGIHSQRSGELSMPQQYNLHHLTMQQPMSQRFSEMDSPWSPAFYSPRHHAHHDSRMLVEFPSSPSSARYRGQFSELPDYGVPEEYAHHHVYDNQPQYPDNVVWVPTAAPPHGEKSGFPGNILHGPNAVDGNSICDHCRMSFPRGQPPLDLPNNISNGLPPVVANPCAECPSTTVNAIYPNEPSNDLAINLSRHGSVNGHTYLSRHGSLNDGHTLSANYVQQRHGPEIGNELFPDQTMPAMPHIQIPALEEHSVRFGNPPSPYAVDSHYAVPHGHVPGHAFWRNTPAPVQIGPSYEVSTPSQQVNGMVNAGLIRVESNPGFFVGPDSLNHIPLVDSPQILSGHDGSAISEHPYVHALKLSSNATGQENQHPFTVDATHPPQYISATTFLEPVQLPKSSVNMVHVKVENPNAQLQTISFSEQNKVVENVLGTVGPVESNNLNSKPRAECGSLEKLADKDPSVPEDSKNLVDQFSFLPQLIASVKKAALEGSEEVRPKADECANSQMHISTPKEETANEVELVNAHDDLELEPENDHVDTSTIEPTKAEEEAIAKGLQTIKNDDLEEIRELGSGTYGSVYHGKWKGSDVAIKRIKASCFAGRPSERARLIADFWKEALMLSSLHHPNVVSFYGIVRDGPDGSLATVTEFMINGSLKQFLHKKDRTIDRRKRLIIAMDAAFGMEYLHAKNIVHFDLKCENLLVNMRDPQRPVCKIGDLGLSKVKQHTLVSGGVRGTLPWMAPELLSGKSTMVSEKIDVYSFGIVMWEVLTGDEPYADLHCASIIGGIVNNSLRPQTPTWCDPEWKSLMESCWASDPTERPSFSEISKKLRSMAAAMNVK >OIW19545 pep chromosome:LupAngTanjil_v1.0:LG01:1768154:1769208:-1 gene:TanjilG_07000 transcript:OIW19545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELQLGLALPTHSSEPLNHNSSHSHNNKRSFSNLYDHNTYNNTEHVMLPTLSLLPLTPSHSSNLYYSLISTKNDENDVVGWPPVNSYRKKLRHENYGDDEVASNYKTVWIHHQPHNHVSVGTRECNTLYVKVKMEGVGIARKINLTKHHSFHTLKETLMNMFGKCHQQHSKFYELAYQDQEGDWLLADDVPWRSFIQCAQRLKLVKNTR >OIW18007 pep chromosome:LupAngTanjil_v1.0:LG01:30529498:30545795:-1 gene:TanjilG_07591 transcript:OIW18007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLPILQHEEKIIQTVNQNPVVVIIGETGSGKSTQLSQILHRHGYSNSGKIAVTQPRRVAAVSVARRVSQELGVHLGEEVGYAIRFEDRTSHNTRIKYLTDGVLLRESLADPELNDYSVVILDEAHERSLNTDILMGLMKRLVKVRSSDFKVLITSATLDGNKVSKFFTDCPVLTIPGKLYPVEILYNKERPSSYLESSLKTALDIHARQPEGDILIFMTGQDDIEKLVSKLEDKVRALEEGSCMDAIILPLHGSLPPELQVRVFSPPPPNCRRIIVATNIAETSLTVDGVVYVIDSGYVKQRQYNPSAGMYSLDVVQISKVQANQRAGRAGRTRPGKCYRLYPSRVYDDEFLDATVPEIQRSSLAGCVLYLKSLDLPDIDILKFDFLDPPSSESLQDALKQLYLIDAIDENGAITNTGQKMAELPLEPSLSRTLMEANNYGCISEALTVAAMLSAETALLSAPGRSKIERKRKNPVTNLPDGSGLGDHIQLLQIYECWDQTDYDIGWCKDNGLQVRGMLFVRDVRKQLSQIMQKMAKGPLDIRTNGKGEEFRKGYRNLRKALCTGYANQLAERKMHHNGYRILGFQSQVVQVHPSSVLKADELGKFPDYVVYHELIATPRPYMRNVCSVEMRWVVPIINKLKTLDVYKLSGGVEHVEEETERNLPDFPKKDVDIVASGDDRESRIQAARERFLARKGNK >OIW19248 pep chromosome:LupAngTanjil_v1.0:LG01:6543494:6544920:-1 gene:TanjilG_20373 transcript:OIW19248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACRVQKRISTRRKLHILRTLSNSNSAKRTSIAKSTVLYIYKLKVALETVKREYENLLATRREYLKLLNHVQEKENVKVEKVMAGTFVVRVTCDEGGDKLVSILEAFDEMCLNVEQAKVCCENGFSLEAIAVAEDKTLDPRDVTESLLKAIGFGNQSAEKDSAKD >OIW18110 pep chromosome:LupAngTanjil_v1.0:LG01:28142895:28144352:-1 gene:TanjilG_19376 transcript:OIW18110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALIVDQQSNFKYFCKICKKGFGCGRALGGHMRAHGIGDETGQIDDDDPEADWEERFGGNVPPSNKRMYALRTNPNRLKSCRACENCGKEFLSWKSFLEHGKCTSEEAESLVSSPASEADDDGVGRRGCGWSKRKRSFRAKVGDCFNKYNCPSSEEEDLANCLMMLSNAIVDSPLAEPEESCASGSKDEERRNPMNFIAPLSCRVNLVDNKAKGVAKGLFECKACKKVFNSHQALGGHRASHKKVKGCFAARLDNNIDDNLPEDDVIIHEEFFPTKSNSSLQFDHGSTLASSSKRKSKVHECSICHRSFSSGQALGGHKRCHWITSSNAPDTSTLARFEQFQDHMEQIQKFDNSSDPLELKLDLNLPAPANDLTRKNLSTEIFLLPWVGTNDNNNNYNNNNNNNNNNNSQCQSHHQHNQIVNDNNNEDKNNNTSNVLLQSDVDNEADSKAKLEKLSELKDMNNGGSSSPWLQVGIGSTNDVGTEK >OIW19655 pep chromosome:LupAngTanjil_v1.0:LG01:884688:891430:-1 gene:TanjilG_18465 transcript:OIW19655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGNEVGDRVHNFFGQDNLSQGQYHTQAVDGNWPGLSNNLWAGSQRPTGAPFIPNLKNFNLQQSDSEQGQTSSPHFRHGFNLAQSNRRPDAGRNQPPNQQTSVNGYMQGHQTFQSRQNEANILGVDTEAHLHGITSLPRGISVLESQHGAGPELYKKNMIRPEATESPVNYDFFGNQQQISGRHLGMLQQSLPRQPSGINDMHHLQQQVILNQMQELQRQQQFHQLEASQHCSMTPASSTSRQTVASHSASLINGIPVNEASNLLWQPEVMATNANWLQRGASQVMQGSSNGLVLSPEEVRLMGLVHNQGDQSLYGLPISGSRGTSNLYPHVQADKPAVSQVSMPHQYSHIQGDKAALPHISASGNSFPAHQYTAFSDQTNTNGGTLVSRQGIHGKSMFGSIAQGINSGLNMDNLQQVNSEQRNAHIEDFHGRQELAGSSETSQDKMVMQFAPSQNVATLDPTEEKILFGSDDSLWDGFGKNAGFNMLDSTDSFNGLPSVQSGSWSALMQSAVAETTSSETGIQEEWSGIQLQNAERSSGNEQPSTIDSSKQQSLWADNNLHSTPNINSRPFPRPNDVIRTNTTVNYSVAGFQQVGIHTAQAQHDRLHIDSSQRSMPQFLEGSHIYGNVANSSGFEMNEKVIADSWVHQQTLSSPNNSGEPFNRSNGWNSIKSASPDHNSTFKSRAEAMQEMGQVPAILEHDSDTNSSAGLEHVKSASDMQVCGEDSGKNGIAAIPNSGATWVSRQSNQQLPNVDARRHADSVGNYRSNEAPGKYMHHMEKIPSILESSKNEKIDGEAHDMQNSSKKDKSSDSLGPNPSHHRTGGMRETCSFDGSDSHSPKLSGQGNRRPPVTRKFQYHPMGDVGVDTEPYGNKQALNLQPMPQQSFVGFKGHDQNFPVQSKYGHYDGNYTELEKGDSKSSEDHASRGISPSQMSKTLNSFDRSIDNYALNKTSSPSQNILELLHKVDQSGEHDIATKTTTSNRHLSSRVPNTKPSDGSVVHPQQYQSSSTQGFGLQLAPPTQRLPMGSSHATPHVESETVDMGHTWLATTQTFASQESSHEHRNNISSSSGQNFDKASQPFTSGFPFSRIHTQNQNMADSQCANETFVDRTAMNKIDGNSERAQSSHSQLASSAGESAMQISAVEASAVPYPSVTFGASLHDTPSKVLHNVWTSVSSKQQPNTSTIPSHSQPINVCETTTGPQKSDIEDSEEDGTDLSGQQILPGSADASEETASASHMKSTPDASQSSPAATPRDIEDFGRSLRPNNFWHQNFSSLNQAQSMQNIDIDPSNRHVKRFKVSDNVVDKQQVDSNHGQKSHGYENMVKDVAGNQASVPPSNPNVLSFSTKPGDERDTNASLQEVVGYSQKNALNHSNSSKTTSVRSDHPLINPQMAPSWFQQYGSFQNGKMLPMYDSQTMTPPKIMDQPFILRSQSDNLHLSKSMEQVNSLNDAGQLGTGRQTLMPAAVVTEHIPSQLLPPPAVEPDLLIRRPKKRESATSELVPWHKELKQDSEKLCDISMAEFEWAQVANRLIEKVEDDAELVEDLPTMKSKRRLILTTQLMQQLLNPPPAAVLSADVKLHHESVIYSVSRLVLGDACSSVSCLPDKLKSSQKIDQYILKVEDFAGRAKKLDNDISRLDNRASVLDLRVECQDLERFSVINRFAKFHGRGQNDGAGTSSSSQKSCPQKYVTAVPMPRNLPDRVQCLSL >OIW17594 pep chromosome:LupAngTanjil_v1.0:LG01:36425327:36428099:1 gene:TanjilG_11158 transcript:OIW17594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMEVVDGTFLNTILLLFTTIIISKLILSFILPKSNKNLPPLVPAYPLFGGLHRFMKGPILMLNDVYPKLGSVFTLKLFHKNITFLIGPEVSSHFFKAQETDMSQQEVYQFNVPTFGPGVVFDVDYSIRQEQFRFFTEALRVNKLKSYVDQMVVEAHDYFSKWGASGEVDLKYELEHLIILTASRCLLGHEVRDKLFGDVSALFHDLDNGMLPISVLFPYLPIPAHRRRDNARKKLAEIFANIITSRKNTGKSEDDMLQCFIDSKYKDGRPTTETEVTGLLIAALFAGQHTSSITSTWTGAYLLSNKQYLSAVVDEQKKLIEKHGDRVDHDVLAEMDVLYRCIKEALRLHPPLIMLLRSSHSDFSVKTREGKEYDIPKGHIVATSPAFANRLGHIFKDPNRYDPDRFAVGREEDKVAGAFSYISFGGGRHGCLGEPFAYLQIKAIWTHLLRNFELELVSPFPEIDWNAMVVGVKGKVMVRYKRRELSVNQ >OIW19369 pep chromosome:LupAngTanjil_v1.0:LG01:3364249:3367667:-1 gene:TanjilG_03503 transcript:OIW19369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDEEEHAAPNAESPPKIAKQRFRDRSKEMLSKQAVQTKQMLSKHAVKIAKQAEEHERFINKVTHLLGVLGFGGFCFLLGARPQDIPYVYCLFFVIFVPLRWIYYRFKKWHYYLLDFCYYANTIFLVDLLFYPRNEKLFLICFSFAEGPLAWALIVWRCSLVFSSLDKIVSVLIHLFPGLVFFTIRWWNPATLEAMDPHETARRATWPYIQDKFYLWTWLFLVPLVAYTLWQVLYFLIVNVLRRQRLLRDPEVMTSYRELSKKAQKSNNIWWRLSGLLGDQNRMLMYIFLQGVFTVATMALTVPIFLSYELHVVFQILKVSASVWNGGSFLLEVMPKQAILKEKRKSELQPVQDQSSTLMQNGSKFNSSVGTSES >OIW18162 pep chromosome:LupAngTanjil_v1.0:LG01:26204355:26207638:1 gene:TanjilG_31282 transcript:OIW18162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGGNWYSFRGIHVSLALVALIIFTTLLWTWENNPIVTTLRSTQEWYHVPSAMLRILFLFVDNCFYKYVCLEFPVEVPVDSVGTTKVKKSEEKSLPPIKENRTKLELDIVHSPAVDSIPAESPKIQYNQDVRSSSRSEVCNYAKGRWLADSRRPLYSGFGCKQWLSAMWSCRMTQRPDFSFEGYRWRPENCDMPEFDRSAFLRKMQDKTIAFIGDSLGRQQFQSLMCMSTGGEESPEIVNVGWEYGLVKHRGAIRPDGWAYRFPKTNTTILYYWSASLCDLQPLNSRDKNTDIAMHLDRPPAFMSQFLHCFDVLVLNTGHHWNRGKLTANRWIMHVDGKPNEDQKIAEIANAKNLTVYSVARWLDLQLVSHPRLKVFFRTMSPRHFFNGDWNTGGSCDNMTPLSNGSEVMQEGSSDPTIEGALTGTKIKILDITAISQLRDDAHMSRYTTRGTLNSSDCLHWCLPGIPDTWNELLVAQI >OIW17820 pep chromosome:LupAngTanjil_v1.0:LG01:34002065:34004553:1 gene:TanjilG_02448 transcript:OIW17820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMQGCEVEAISINYKINTKKTKHPFKIFTKPPTPDIKQDGQEPEEEVKAEQSCTGVKHVLKNVTCRAKPWEILAIVGPSGAGKSSLLEILAGKVTPQSGTILVNHKPVDKSQFKKFSGYVTQKDTLFPLLTVEETMMFSAKLKLKLPQEKLCSKVKSLIKELGLDHVAGTRIGDDRVRGISGGERRRVSIGVEVIHDPRVLILDEPTSGLDSNSALQIVEMLKVMADTKGRTIILSIHQPGFRIVKLFNSMLLLANGCVLHHGTVELLDVNLRLMGLELPLYVNVVEFAIESIDAIQLETPRRLQGAMQQKKGDDTEEPSECRSGKFTLQQLFQQSKVIDEEIINAGMDFPCDFANSRLGETVILTRRFSKNIFRTKELFACRTIQMLVSGLVLGSIFCNLKDDLVGARERVGLFAFILTFLLSTSIEALPIFLQEREILMKETSSGSYRVSSYAIANGLVYLPFLLILAILFSLPLYWLVGLNRNFMAFLQFLLLIWLILYTANSVVVCFSALVPNFIVGNSLIAGVIGSFFLFSGYFISNHEIPNYWVFMHYISLFKYPFEGFLINEFSNSGKCLQYMFGECMLRGEGVLKEEGYGGESSRWKNVGVMVSFIFFYSKSNFKYNYISWV >OIW17835 pep chromosome:LupAngTanjil_v1.0:LG01:33811788:33812198:-1 gene:TanjilG_02463 transcript:OIW17835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVSALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >OIW17961 pep chromosome:LupAngTanjil_v1.0:LG01:31875843:31881250:1 gene:TanjilG_17797 transcript:OIW17961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECNWDPFGLWIKICVGLVSLWEIQQCWSLNDEGMALLEFRVRITSDPFGDLANWNPNDSDPCKWLGVHCVAGKVQMLDLNGLSLEGTLAPELGKLSHLKSLVLCKNKFSGTIPKELGDLGKLELLDLRENNLTGSIPSEIVRILPLKRLLVCGNKMEAIDSEELESLRLPSKLLYFDNCLSTFFGCKIRKLGHCVWHRDRFKQWNKEDSLIIQIKEALIKYLNVLALPLFKLRNASLHFYEKNYRSDLPCSKEQDIGQNVSNLVSSVHRKLLDQSINLAAAPYSGGPTVQLSLLPSSLSSGSFSAVPDVNKKQNQPPSPPSSPSDSPHDTLNQTSEQGGNDASRKWWKYLIIILVVAVLVIAIMVMLFVWRKRAARVIKPWATGLSGQLQKAFITGVPKLNRGELETACEDFSNIISSYKEFTIYKGTLSSGVEIAVVSTIITSSKYWSKNMQTAYRKKIDTLSRVNHKNFVNLIGYCDEEEPFTRMMVFEYAPNGSLYEHLHAKEVEHHLDWSTRMRVIMGMTYCLEYMHDRKPPVSHTNLSSLYILLTDDYAAKIGEMTFGHCLLTPSNRKGDPSKCELPPHSDPETDVYNFGILLLEIISGKQPYSEEQGDLVNWAAEYLKDKRSIGNMVDQTLQSFKDNELDVICEVIQDCIQPDSRLRPQMKDITPKLREVLQVSPEQAVPRLSPLWWAELEILSGEAT >OIW18863 pep chromosome:LupAngTanjil_v1.0:LG01:19697161:19706261:1 gene:TanjilG_25306 transcript:OIW18863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSSTNPNQQPELDPEKKRKKEEKAREKQLKKEKFLLKQQQQQQLQQSSSNASKKTEKKTVRRGAEDENPEDYVDPETPSGDKKRVSRQMAKQYSPAAVEKSWYEWWEKSRYFEADSSSSKPPFTIVLPPPNVTGALHIGHALTAAIEDTMIRWRRMQGYNALWVPGMDHAGIATQVVVEKKIMREKQLTRHDLGREKFISEVWEWKNKYGGTILQQLRRLGASLDWSRECFTMDDKRSEAVTEAFVKLYKEDLIYRADRLVNWDCVLRTAISDIEVDYIDIKERTLVKVPGYDKPVEFGVLTKFAYPVEEIGELVVATTRIETMLGDTAIAVHPDDDRYKRFHGKFATHPFNGRKLPIVCDKILVDPNFGTGAVKITPAHDPNDFEVGKRHNLDSIIVFTDDGKINSNGGLEFTDVPRFQAREAIKEALHKKGLYRGSENNEMRLGVCSRSNDVVEPMIKPQWYVNCSDIAKQAFSAATDDENKRLEIIPKQYLAEWKRWLVNIRDWCISRQLWWGHQIPAWYVTLEDDIVQNFGFYNDHWVVAINEEEAQDKASQKYNGKKFHLTRDPDVLDTWFSSGLFPLTVLGWPDETSEDFKKFYPTSVLETGHDILFFWVARMVMLGLKLGDDLPFSKIYLHPMIRDAHGRKMSKSLGNVIDPIEVINGISLEGLHKRLEGGNLDPKELAVAKEGQKKDFPNGIEECGTDALRFALVSYTAQSDKINLDIQRVVGYRQWCNKLWNAVRFAISKLGDDYIPPASLNPDVLPFSCQWILSVLNKTISKILKSLESFEFSNATTAVYSWWQYQLCDVFIEVIKPYFSGNDPKFAAERRFAQDTLWFCLDNGLRLLHPFMPFVTEELWQRLPSPKESERTESIMISSYPIAVESWNNERVENEMDLLESTVKSLRSLAKERRDRRPAFALSRTPAVTEIIKSHQLEIVTLANLSSLTVINEHDTVPSGYADSVVNENLSVYLELQGINSAEVEQAKIKKIDELKKQIERLEKIMSAPGYEEKVQPNIQAKNQEKLDSLKELLLLEEAAGLSL >OIW19764 pep chromosome:LupAngTanjil_v1.0:LG01:86917:87966:-1 gene:TanjilG_27303 transcript:OIW19764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFWSSTSPILLGLLSFLLLILSINSIRIGLVRRGASTPELPQFREAPAFRNGEECGPTEAHCINVAMTLDANYLRGTMAAVLSMLQHSKCPENLAFHFLSVNDAPELFSSIKSTFPYLNMKIYGFESNRVRGKISKSIRQALDQPLNYARIYLPDSLPEEVQRVIYLDSDIVVVDDIAKLWRVDMEGKVVAAPEYCHANFTLYFTDMFWKDPILSKTFKGRKPCYFNTGVMVMDVDKWRKEGYTKKVEEWMRVQKQEKRIYHLGSLPPFLLVLAGNIKGVDHRWNQHGLGGDNFEGKCRSLHPGPISLLHWSGKGKPWLRLDSRKPCIVDHLWAPYDLYRSSRHFFEE >OIW17946 pep chromosome:LupAngTanjil_v1.0:LG01:32298816:32301945:-1 gene:TanjilG_17782 transcript:OIW17946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGENFSLVKQVQNHRVIASQIATKLGSIDKAQQHLNKCLYYMNIGSNDYINNYEQPDYYPSQSLFTPEQYAAVLIQQYSVQLRALYAIGARRFALIGMMRLGCTPREISIHGKNGTLCVEEDNDYSLLFNSNLRTLVDRFNRELFGAKFILINTAAIATGDENYYCCKVDDKIGLCLPNSVPCNDRSQFKFFDAVHPSEKTNQVTAMNAYKSPSPAYAYPMDINQLVSI >OIW18244 pep chromosome:LupAngTanjil_v1.0:LG01:25735261:25741462:1 gene:TanjilG_06328 transcript:OIW18244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSPTHAPSSSSCSSVLPPPCLTHASRNFKFPLLLSQAICSSQKMYRSSGGHMEASTNTNPLKIYMAGRCSPGWSEAQPFVSKQSLNRKLLPVEALVTPTAQDVSETPFIGDDKIGVLLLNLGGPETLEDVQPFLFNLFADPDIIRLPRLFSFLQKPLAQFVSVARAPKSKEGYASIGGGSPLRRMTDAQAEELRKSLWEKNVPANVYVGMRYWHPFTEEAIEQIKTDRVTKLVVLPLYPQFSISTSGSSLRLLESIFREDEYLANMQHTVIPSWYQREGYVTAMANLIEKELKGFDSPKEVMIFFSAHGVPLAYVEDAGDPYKAEMEECVDLIMEELEKRKINNAYTLAYQSRVGPVEWLKPYTDETIVELGKRGVKSLLAVPISFVSEHIETLEEIDVEYKELALKSGIQNWGRVPALGCEPTFISDLADAVIESLPYVGAMAVSNLEARQSLVPLGSVEELLAAYDSQRKELPPPVMVWEWGWTKSAETWNGRAAMLAVLLLLFLEVSTGQGFLHQWGIFPMFR >OIW18703 pep chromosome:LupAngTanjil_v1.0:LG01:22389298:22392996:1 gene:TanjilG_13455 transcript:OIW18703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSGGCALQQTLTAEAASALKHSLGLARKRGHAQVTPLHVAATLLSLRASSFTRGCLKSQPHQISHPLQCRALELCFNVALNKLPTRPAPFIHTSHQPSLSNALIAALKRAQAHQRRGSIEQQQQQPLLTARVELEQLIISILDDPSVSRVMREAGLSSIAVKNNIEDSSSLPSSVFQCYNTSGGVFSSPCSPSASENNTLSFRQNYFLDAYTSEFNNQVLFSPTNKEPVYPFPITGATASFNKDDIKVVLDTLLRKKKKNTVIVGDSVSFTEGLVREVMRRFERSEVPDELKSTNFIKFQLAPVSLRYMKRDEVEMKVLELKRKVDSVALGGGRGCIFYIGDIKWIMEGSFSKEKEGSLDVEFSGYNPVDHLVSEIGKLFCDCGTSNTKVWLMATASYQTYMRCQMKQPPLESLWALQAVPVPSGGLALSLHASSVLDSKMTVSQNPSQMLETELFNNKEQHDKLNCCEECTYYEKDAQFLKTDQKKMLPFWLQSHNMEAKQKDELTKLRTKWNRLCHCHHQSQQHLNKANNRYNMNAKIYPYNSSSSISFANNTYSSNLVPRFQRQQSCIEFNFSDTKQPTEPVVDSLGGMEEGNEVKTTLALGNGGGSGEPVGDITDITLQRAHICKLLQENVAWHSETVPSIAEALIDSKSGKRSKITWLFMQGNDSIGKRRMALAVAESVFGSADKILHLDMLKKDTSIAPFYEMLAGALKTHQELVVLIENVDFADAQFKKLLADGFETGNFENLTRTKEKIGQLVFILSNGDSTSNEEKNQDNVMKLLLQVSETKPNIETPCLGYKRIAELDLFSKTKIPRIEENGEASLLSEQESKKKDFSRQTSFNTLDLNLEAGEGDDKTGESIPISTDSTKETIADSLSLNRFLDSIENKFELNTSPAMEKEMAELFLSKIKRSFEEVCGRQYMVNFSVDERVIEEMYVGCGSFTNSLFEKWLRDIFQNSLQTVKFGGKEGIVVRLTWGGKGDTKLDNGFMSSTLPKSIQVNYLME >OIW18749 pep chromosome:LupAngTanjil_v1.0:LG01:22684966:22685433:-1 gene:TanjilG_13501 transcript:OIW18749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPAAMYKFLNQPSSHSKQTNITEPPSYSSSSSSSSSTRTFQCNFCHRNFFTSQALGGHQNAHKLERAASRRPNNLSFTHNHASTFPSIPPSLNLNSVHFFHGHPCWLQMEQSFQFQTPLSYHVAAVSTSYTSTYNASAYDASHNVNLDLTLRL >OIW18862 pep chromosome:LupAngTanjil_v1.0:LG01:19707759:19711841:-1 gene:TanjilG_25305 transcript:OIW18862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPNKQAEQLRIDGNTYFNKHRFGAAIDAYTQAITLCPNVSVYWTNRALCHLKRNDWERVEEDCRKAIQLDNNLVKAHYMLGLALLKREEYTKGIRELQKALDLGRGADPKGYMVEEIWQELAKAKYLEWQHSSTKRSSDLQSLKEACESALKEKQFHDMSQMEGFVDDVTIAHVEQLEALREVFNKAAEDDIPTEIPDYLCCKITLDIFHDPVITPSGHTYERAVILEHLKKVGKFDPFTRQPLQPSQLVPNLAIKEAVQAFLDKHGWAYKVD >OIW18442 pep chromosome:LupAngTanjil_v1.0:LG01:20318947:20322531:-1 gene:TanjilG_13194 transcript:OIW18442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTLNRVSSFTTPEALLSLKEICEGHVPKHVLKRMEDIGYVMPTDVQRQALPSLFSGRDCIIHAQTGSGKTLTYLLLIFSIINNTKSSVQALVLVPTRELGIQVTKVARMLATKPSGVEDDQKSCTIMALLDGGTLKRHKSWLKAEPPTIVIATVASLSQMLERQFFMLQSVRVLVVDEVDFIFNSSKQTSSLRKLLNSYSSCDNRQTVFASASIPQHRRFLHDCVQQKWTKRDVVHIHVSPVEPMPSRLCHSFIICDAKRKLETLLSLIQSDSPESGIIFVGEQSEKSKKAGNSPSTSLVSDFLKASYQGSLDIFLLEDDMNFNSRAASLLEVRKGGGYLLVATDIAARGVDLPEMSHIYNFDLPRTAIDYLHRAGRTCRKPFSEMKCTVTTIIVPEERFVLQKYENEMMFNCEELIP >OIW17610 pep chromosome:LupAngTanjil_v1.0:LG01:36019117:36021213:-1 gene:TanjilG_28960 transcript:OIW17610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPDREQKSKSENNHAPAFSVEKVLESVTDYMSDACDMETSPIKQNPLSSGETDVEVNITGCTNAGKALVVEDSCEDVTECSSSFGDTGSGTENASFSDNEVESRTCADNGSSSMCDDWCGALRRRKKRTMATHWRRFIGPLMWRCKWIELKLEQLRSQELKYVEELAAYNYTKQLDFAHLTLDGFDIKSVPISGRMRRNKVMKRNKRKRVEEECDLASYMSNHNLFSYFEKTDRNTDACLKDFHGVSVGGNDENIQEFKLSDMWASIDYGTNDKSLDEIIQKIEAVKSQVREMKTRTDKVVSENPGNFHSVAHLSMLGSSDGFNHSDLNCASLADHQNTTQVEYPTGELPMHGNASSSRERLSPVIEISDGSDLEEPWKDTKDGVLVQHHAVKEELHDFENVRTRLVQKTSAAFEESKPISQFQVSAPDMAIVDAAVRNVHLSLKPCSTIKSNAPRDKRKGRKKGSLKRLSQR >OIW19617 pep chromosome:LupAngTanjil_v1.0:LG01:634987:638444:1 gene:TanjilG_18427 transcript:OIW19617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFASGRSGSGGGGEVKEEKRSDYSVNDDELRHNKQESIVQEPPMTNAERSMQEAKPKECSVKKNKVDYQLETAKAEMGEVKEENERLKMSLNKIMEEYRTLQMQFHERKMSTDKENNLSHEEIIEESDMVSLSLGRVPRNHEEKVIKVTKPILKDEEEFNQDLALGLECKYETSKSGSTTNVANFPNTTSPTNSSEVQKEEAGKILKTVRDVEHDEVSQQTPAKKARVCVRARCETPTMNDGCQWRKYGQKISKGNPCPRAYYRCTISPSCPVRKQVQRCVEDMSILITTYEGTHNHSLPLSATAMASTTSAAASMLLSGSSTSHSSSIPFTSTTSTMNNHANSNNLHGLKFYLSDGSKPKQLYLSSPALTSSPSHPTITLDLTSNPSLSSSPFVRFNTSNYNQPRYPSSTTSLNFSSTESNNNNNAIMSWSNNGFLNYGSTQPYNSNKNNNNILSTVNFARQQQQQQPIENFYQPFMQNSNTFLPQGVITSTIHNQHGLPDTIAAATKAITADPTFQTALAAALSSFIGSSGANGSTQGNINEGIGEKLSQKIKWAEMFPASSTSLANSASKTNGGCASNFLNKTSTNT >OIW18655 pep chromosome:LupAngTanjil_v1.0:LG01:21939924:21940411:-1 gene:TanjilG_13407 transcript:OIW18655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIACTASRASSDFNQPRVNASAVKDVAATGELPAPLAVAKSVEADNATGFGSGFGSPGDAEAREAVEVAGGVMAEAVEGAAEEEDVEEEEGGETDEEEEEGGEEEHDDWFEEKGEKVGVWFGMRIAMNGRRRRHD >OIW18135 pep chromosome:LupAngTanjil_v1.0:LG01:27660432:27662471:1 gene:TanjilG_22333 transcript:OIW18135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLLGILNATSIWGLTHLAYLNLACNKLSGNIPKEIGACLSLEFLYLNNNQFDGPIAAELGKLPVLTSLNIYNNKLSGVIPDESGTLSSLIEKVAFSSAPCLIPLEISRIFLAYLGLAQNGIGGELPIEIGMLSSLTELILWDNPLSGSIPKGLGNCSSLETLAIYGNELVGPIPPDIGNLQSLKWLFLYKNNLNGTIPREIGNLSSCLELDFSENFLVGDIPSEFSKISGLNLFFLFENQLTGVIPNEFSNLKNLTLLDLSMNHLTGPIPVGFQYFTRMYQLQLFDNNLTGIIPQGLGPHSPLWVVDFSDNSLTGRVPPHLCRNSWLMFLNLASNMLYGNIPTGLLNCDSLAQLLLVGNRLTGCFPLELCKLANITAIELSENRFSGPLPHEIGTCQKLQRLHIADNYFTMELPKEIGNISQRVTFNVSSNLLTGESHPKLLSAKIFRGLISVRTALLVPCQMNLMSGNLFSGEIPPRLGSLSSLQIEMDLSYNNLSGSIPSQLSNLNMLEYLFLNNNHLDCEIPSTFNLLSSLMGCNFSYNNLSGPLPSTKIFQSVDISSFIGVNKGLCGPPLSDCNANQSSRADPSAKSVHSPLGKSVMIIAATVGGVSLILIAVIL >OIW19239 pep chromosome:LupAngTanjil_v1.0:LG01:6877431:6884149:1 gene:TanjilG_20364 transcript:OIW19239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQSSVPLCNTCGEQVGVDDNGEVFVGCYQCNFPICKACLEHEINEGSRGCLSCGTPFEERTKGGDDNDIKVHENQSTLASQLGNSQDVGLHARHISTVSTVDSELHDESGNPIWKNRVESWKGKDKKSKKKKALKADVEAPIPPEQHMEERMPTEVAAEPPLSMVVPISKTKLAPYRTVIIVRLIILGLFFHYRVTNPVDSAFALWLTSIICEIWFAFSWVLDQFPKWCPVDRHTFLENLSARFEKEGEPSGLAAVDFFVSTVDPLKEPPLITANTVLSILAVDYPVDKVSCYVSDDGAAMLTFESLVETAEFARKWVPFCKKFSIEPRAPEYYFSQKIDYLKDKVQPSFVKERRAMKRDYEEYKVRVNALVSKALKTPEEGWTMQDGTPWPGNNSRDHPGMIQVFLGHSGALDMEGNELPRLVYVSREKRPGYQHHKKAGAENALVRVSAVLTNAPFILNLDCDHYLNNSKAVREAMCFLMDPVVGRDVCYVQFPQRFDGIDRSDRYANRNTVFFDVNMKGLDGIQGPVYVGTGCVFNRQALYGYSPPSMPNLPKSSSCCCCPSKKSTKDVSEVYRDAKRAELDAAIFNLREMDNYDEHERSMLISQLSFEKTFGLSTVFIESTLMENGGVAESADPAMLIKEAIHVISCGYEEKTDWGKEIGWIYGSVTEDILTGFKMQCRGWRSIYCMPLRPAFKGSAPINLSDRLHQVLRWALGSVEIFLSRHCPLWYGWGGGRLKLLQRMAYVNTIVYPFTSLPLIAYCTLPAICLLTGKFIIPTLSNIASVLFLGLFLSIITTSVLELRWSGVSIEDLWRNEQFWVIGGVSAHLFAVFQGFLKMLAGVDTNFTVTAKAADDAEFGELYIIKWTTLLIPPTTLIVINLVGVVAGFSDALNGGYESWGPLFGKVFFAFWVIFHLYPFLKGLMGRQNRTPTIVILWSVLLASVFSLVWVKIDPFVSKVDSSAISGACSAIDC >OIW19029 pep chromosome:LupAngTanjil_v1.0:LG01:16911624:16912835:-1 gene:TanjilG_10590 transcript:OIW19029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEAADFRDWKDLLPDLQGAIFTRLFLEERLSVVSSVCKSWASTLAGPYCWQEIDLEEWCNQTEPDKIDRMLVLLITRSSGSLRKLTVSCVQSEKTFTFIAENYCYKIGAPALEMIGKNCTMPEVFYRNMHPIDTSDKLFDDDEAIAISTTMPNLKHLGIAYQLVKIEGLLQILSKSPKLELLDLRGCWGVNIENISLEKDFPNVKVLGPHVVDYHENNGWDDFSEPSKYLGWVFLVDEYYDDDDEEESDSDDIWDDEEGLEEIQFTFYQGIENAGMFVPPSP >OIW19693 pep chromosome:LupAngTanjil_v1.0:LG01:1170978:1178167:-1 gene:TanjilG_18503 transcript:OIW19693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISAMASTTPSSTSHHTLKTFCKPQLKRTNISLPTSTTISLLTIFSPPFEAKAISKDQIISSLNQAEKTIDQVQEAGSSFLDTSQHVFEAIGNALKPWIETGLPYVQQAGEEALKVASPVISEVTKKAQEALQSSGVDTQPVLKTVADAAQQTTNVIEGAKPIASTTIETISTSDPTVIAGTAGALFIAYLLFPPIFSAISFNFRGYKGELTPAQTLDLISTRNYILVDIRSEKDKDKAGIPRLPSSAKNKLIAIPLEELPSKIKGLVRNVKKVEGEIAALKISYLKKINKGTNVVILDSYSDSAKIVARTLTSLGFKNSWIVADGFSGGRGWPLRENLTSFRNVPNCSRIRCALDTPYGGGAGGNVQQFPRISVWDPYRRLGVNPDASEEEIWGSRNFLLQQYVGHERSEESIEAAFEKLLMASFQHRKKTKINLKSRLKKKVEESPPWIKNLLNFVELPPTEVILRRLFLFAFMGGWSVMNSAETGPAFQVAISLAACIYFLNEKTKSLGRAFIIGFGALVGGWVSGSLLVPNLPSLLLRPPCSHSVFCNEFPE >OIW19673 pep chromosome:LupAngTanjil_v1.0:LG01:1008756:1011825:-1 gene:TanjilG_18483 transcript:OIW19673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESWSYVSEEKGYGSNDTLSPPTTFGRSKSSILGWELKTPCSFSNDLLALGHQNIDNQGFEDLGYPEMLGKNLYDDLDGGHGSRITGTTTVMAATPNPFSARGDCNFRLPNSNGSDSFIDLKLGRFIDHGEAIDAAFSKGAPIMSSSESSTPSKRVRASGLRSQTAYCQVYGCNKDLSSCKDYHKRHRVCEVHSKTAIVIVNGIEQRFCQQCSRFHLLAEFDDGKRSCRKRLAGHNERRRKPQMGIHSGKAGRLLQPCGDSRFQGTMLTSASYICPDMLPSRVMPSEKYEMSGFWRPNKAEHGTGFRHLSSLPSSNGYSQSRSLFPSYNQKQFPFLHENGATSTTSVFCDNNIQYPPVLGAQNSRSKSVYYQDSSLRSEDFNVFDTTSTVQGLSGISDSCALSLLSSQSQNSSSQSSEIPLSHSLVFPNSHSHHYNISQVSEKVGISSLTSSSQVSDRFPSEMNPVDGNHINPVLIPDNDADIVNFEMADEIFQGSDFLNVKDRLSCEDGTTIDLLQLSSQLQRVEHHRQSLQVKQENDSFCTLRIT >OIW18131 pep chromosome:LupAngTanjil_v1.0:LG01:27693126:27693434:1 gene:TanjilG_22329 transcript:OIW18131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHLSSLVPLVPIPTSTSPSSNNSVKVIAFKTIPSATKLEIKNFLQSFYNLDVQKVRTLNMKGKKKHHGGSLIAKPDYKKAYVTLNKPLPVTSNLYPLTMQY >OIW17646 pep chromosome:LupAngTanjil_v1.0:LG01:35546593:35550298:1 gene:TanjilG_28996 transcript:OIW17646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTQQEHQLSLSPFNHYSNRVLLKTLLHHANDDGAVGLVGQRVLVGGWVKSSKEVKKPSLPLIMDNNNNDGFDETRNKDVSCVEILQSRIPLVRSIFHVLGGKGYVPRKKLEHSQHPLKAIIPQPSTVYLLLTDGSCVSSLQVVVDSSVAQPSNLLPTGTCILVEGQLVKPSSEGKHSIELKADKVLHIGTVDIDNYRLSRKRIPLDMLRDYSHFRPRTTTVATVMRIRNVLSFATHSFFNDHAFIDVQVPAITSTDSEGFSNLFKVTTLDRKAEKEKLSTVYETEGVSLEVVKAAAKEKSNQVENLKRSESNREALAAAIHDLRKTNELASHLEAREKKTIEASLKDDKVDSSEDFFSCQTYLTVSGRLHLASYACALGNVYSFGPRFQAHKTDSAKHAAEMWMVEAEMAFAQLKDSMNCANDFLKYLCKRVLENCSEDLKFIAKRIDNACIDRLQQIVSVSPEMLSYNEAIDVLRKVEDKKFETNIEWGIDLTTEHLSYLADVVYKKPVMIYNYPKEVRPFYVRLNDDGRTVAAYDLIVPKVGTIISGSQNEERLNIISSRIRELGLAREKYEWYLDLRRNGTVKHSGFTLKFDIMVLFTTGLSNVRDVIPFPRSYGKANN >OIW17764 pep chromosome:LupAngTanjil_v1.0:LG01:34611089:34613628:1 gene:TanjilG_06449 transcript:OIW17764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFLLYESASGYALFEAHGLDEIGQNTEAVRNSVSDLNRFGKVVKLRSFNPFTSALDALTQCNAISEGILTDELRTVVETNLPKPKEGKKAKFSLGVADPKIGSQISELTKIPCQSNEFVLELIRGVRLHFDRFVSDLKSGDLEKAQLGLGHSYSRAKVKFNVNRVDNMVIQAIFLLDTLDKDINSFSMRVREWYSWHFPELVKIVNDNYLYAKLTKFIEDKSKLTEDKISDLTDIVGDEDKAKEIVEAAKASMGQDLSPVDLINVQQFAQRVMDLSEYRRKLYDYLVTKMSDIAPNLASLIGEVVGARLISHAGSLTNLAKCPSSTLQILGAEKALFRALKTKGNTPKYGLIFHSSFIGRASAKNKGRMARYLANKCSIASRIDCFSEREKGTTAFGEKLREQVEERLDFYDKGVAPRKNIDVMRSAMESADNKDTEMETEEVPLEASSKKAKKKKQKDDAVDNANGDAPEDHKSEKKKKKEKRKVDQELELDDGANEVASETKKKKKKKDKKDDESEVVEAAVETKKKKKSKSKDDE >OIW18367 pep chromosome:LupAngTanjil_v1.0:LG01:23622891:23627168:1 gene:TanjilG_31507 transcript:OIW18367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDSEKLLALKKAYADIILNTAKEAAARVMVSERKATRFQQELASTKDEALRMLLRLKQMLDSKVKEAELTSLSQQKKIDELEAQLQEAEDIVSNLRAELREAETKLENVTNHRMHLPLEQNVEGEAATQEYCLQDRLDPYDGSRHPLPDSHIEPVSISDTRNAIVIGTNDSRTCCVSHNHSHNCYIHNPDFASIVIRRKEPQLYRNGCTQRIHAFERNLVYGNMSVSGNLDNVHDETMVSVHEEGIAIDVTTNAKADTICEKEKPDELKVVKADADPVKVSARERKRNFIKALKSRLRANRARRRNKASYLADAKDSPCLLDNNNSLRVNSSIECGNEAHKDLVRIHEEGKATTVTANAKADAICGKEKPIKLEVVKTDADLVEVPVRKKKRLTVGLDPVSVKKTNNASYLSDAKGSPLVNNDPSKVDSSMVCEKEAEKDLMSLSFVKVPTDKAAIIEHSESQDDIEKGGVFLNVCSAQNKIKDEKKFVEKSDLTRQESLSTESLEVSSCRADAEQANGSPDKPDPKASDLVDKVSSQPTNDKFLKYTFQRKRKRESVRSANADCSLENDSLKKSVEKQNGHVEPQKSCPVTESSRDSRRLAQVARQIYSGVEVVSQTVKGLATWHI >OIW18914 pep chromosome:LupAngTanjil_v1.0:LG01:19155339:19156509:-1 gene:TanjilG_25357 transcript:OIW18914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTAPYSHPLFCFSHRKSSTNVKTSSVKSHALCPSQLDRKCEFNRRPHICKFPIHLKQNRLLVVPNTNDGHPAASIIDDEMNTHHAPIDLPPKFLSNWSPPRYLWRGLSVLILAGQVIMNTLKGRIHWRNTLQQLERVGPKSVGVCLLTSAFVGMAFTIQFVREFTRLGLNRSVGGVLALAFSRELSPVVTAIVVCGRIGSAFAAELGTMQVSEQTDTLRVLGSDPVDYLVTPRVIATSIALPLLTLLCFTLGMASSALIADSVYGVSINIILDSARRALRPWDIISAMIKSQVFGAIISIVSCAWGVTTMGGAKGVGESTTSAVVISLVGIFIADFALSCCFFQGAGDQLKNCV >OIW18712 pep chromosome:LupAngTanjil_v1.0:LG01:22443039:22443293:1 gene:TanjilG_13464 transcript:OIW18712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLQELILVMCLLFLACIGSNTMARKTLIVRNMVDGAKEKNTSVAVNDFHKCNHKNLLSCSENNNTTSNDKRVVPTGPNPLHNR >OIW18428 pep chromosome:LupAngTanjil_v1.0:LG01:20242687:20245906:-1 gene:TanjilG_13180 transcript:OIW18428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIYHHNEIPSDTENAANGSEVVLEDRCPVEEVALVVPETDDPSLPVMTFRAWFLGITSCIILIFLNTFFTFRTQPLSISAILMQIAVLPIGRFMASVLPTKEYNLLGYRFSFNPGPFNMKEHVIITIFANCGVSTGGGDAYSIGAITVMKAYYKQTLSFLCALVIVLTTQMIGYGWAGILRRYLVDPVEMWWPSNLAQVSLFRALHEREHKSKSLTRMQFFLIALGVSFLYYALPGYLFPILTFFSWVCWAWPRSITAQQIGSGYHGLGIGAFTLDWAGISAYHGSPLVTPWTSIVNVGIGFIMFMYIIVPVCYWKFNTFDARKFPIFSNQLFTSAGKKYDTTKILTANYDLDIDAYNKYSKLYLSPIFALSIGSGFLRFTATLVHVALFYGSDIMRQSKSAMSNVKLDVHGRLMKAYKQVPEWWFQIILFGSIALSLVMCFVWKRDVQLPWWGMLFAFALAFIVTLPIGVIQATTNQQPGYDIIGQFIIGYILPGKPIANLLFKIYGRISTVHALSFLADLKLGHYMKIPPRCMYTAQLVGTIVAAIVNLSVAWWMLDSITDICMDDKAHHDSPWTCPKYRVTFDASVIWGLIGPRRLFGPGGLYRNMVWLYLIGAVLPVPVWVLSKIYPEKKWIQLINIPVISYGFAGMPPATPTNIASWLITGMIFNYFVFRYRKQWWQKYNYVLSAALDAGTAFMGVLIFFALQNAGHNLKWWGSELDHCPLATCPTQPGIIVDGCPVF >OIW19597 pep chromosome:LupAngTanjil_v1.0:LG01:325372:326736:1 gene:TanjilG_18407 transcript:OIW19597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDRCLGTRRLRQIQRACQRGSLTFLCLFLTVVVLRGTIGAGKFGTPEQDLNEIRLHLYSRGGRRVEPHRVLEEVKHETTTEPNNYATFDISKILVDEGGEDEFKRDPNTPYTLGPKISDWDPQRTRWLRNNLDYPNFVGPTKPRVLLVTGSSPKPCENPVGDHYLLKSIKNKIDYCRLHGIEIFYNMALLDAEMAGFWAKLPLIRKLLLSHPEVEFLWWMDSDAMFTDMAFEVPWERYKDHNFVMHGWNEMVYDEKNWIGLNTGSFLLRNCQWSLDLLDAWAPMGPKGKIRDEAGKILTRELKNRPVFEADDQSAMVYLLATAKEQWGKKVYLENHYYLHGYWGILVDKYEEFIENYHPGLGDHRWPLVTHFVGCKPCGKFGDYPVERCLKQMDRAYNFGDNQILQMYGFTHKSLASRRVKRVRNESSNPLEVKDELGLLHPAFKAIKLPTSS >OIW18536 pep chromosome:LupAngTanjil_v1.0:LG01:20898862:20903516:1 gene:TanjilG_13288 transcript:OIW18536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLWNRARSFVEETAKGVNKQSQHLSFATSKFTDIIAETKEIASQASNQIKYFAETVTVNPNQNNSQYEDEILDLEIFGITEELREFVKGITVITFRDFPIQDDTELSDVPAVSNVRQDLTEWQQKHACLVLSTVKEISKLRYELCPRVMKERKFWRIYFILLNNHIAPYENQYIEDAKLKSSEQVKDHEVTEPSKVELTSNQEEVLKVKKETKTSTSSTEQDLDVFLLGDTGDSDNDNAPDDGDGDFDDDLDKLIDSSDDEKGKS >OIW17626 pep chromosome:LupAngTanjil_v1.0:LG01:35822446:35831990:1 gene:TanjilG_28976 transcript:OIW17626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFNQSKSDKNEAFYRKSERSSSFNHHRGSYVKATSGGGSASLSSNRSFNKKSINAQGGQSWVNSTPVNSIEANRAPAARTVQNGTHVQPQSHGAPDASVTTNPSAAQRSSTVVPKAPSSQRTPPMSSDSAAPTTPAKGDASKAFSFQFGSISPGLMNGMTIPARTSSAPPNIDEQKNDQAPQDSYRSVPLGPTPPIPKQQQPPGKDAVSTGRSNAGGTHIGIKGRKDPQASALTPAGLIQRPSVPVTGNSMPKPYHQSPASLQFGGPNQQIQPQGMSTTSLHRPIHMPMPIGNPAQLQQHVYVPGLQYHPMHHQGIMHHQGIMHQGQNMNFNLPMGHQLSHQLGNTGIGISPQYPQQQQGNFATSRQTTTVKITHPETREEQQRGKIATSRKTTTVKITHPETHEELRLDKKTDAYSAGGASAVRSHPNMLSQSQPVQSLAASHPMNYYSSSFNTSSVYFPPPNSLPPTSSQIAPNSQSPKINYPVNHARQNAGFMNSSSLGPPPVNNSSIPSIGSVEAHNSESSHNGPRTLSSTPSGVTSVTIEPSATSAVVGSSLPNSSISGVQNSESCSAASCDTSSFVLQEGSETCIESISHQSNLASNSSVLGSLPNQSSASIAVSDEKLTATPLTLPSSTASEDSVSVVSNNEGRRREFLSRSNSPKDDQNKPWKNGQLQHQVSVNSPTEANVSSRGVDSGISDNGVSEPSGTKTNYSVAVNVEVLSSSLDTISASSSGIPGAVEVKAKCSADVSAEGSDLQGELVKHDILEFFVKNDKPSFERYKQNINFVGTESKQTEQDAAMVSIEPITLKTVQNQQDESANCSTDLESADPPETTSVHVKDSSEIVGSHSMSHPVSGNNDRQTSEAIKVKTIPKGKKKIKEILQKADAAGLSSDLYSPYKGPEEKKEDVLCSDITENDSTSGSLEQLSADTAQPGAVSNEKCGENKAEPVDWEDAADISSPTLDVDKSQQVSDESGGTANKYSRDFLLKFSEKCTDLPEGFEISADIAKCLMSANNSSGHVIERNSHPSPVRNVAWMDHRGSGMIEEERWNKVSGAFHSGPGLDGFGGNAGFRAGQGSNFGVLRNPRSPTGGILSGPMQAMGNQGGIQRNSLDGERWQRSASFQHRGLIPSPTTPQTPLQMMHKAEKKYEVGNISDVEQAKQRQLKAILNKLTPQNFERLFEQVKAVNIDNAVTLTGVISQIFEKALMEPTFCEMYANFCSHLASALPDFSEDNEKITFKRLLLNKCQEEFERGEKEQEEANKADEGEVKQSDEEREERRVKARRRMLGNIRLIGELYKKRMLTERIMHECIKKLLGQYQNPDEEDIEALCKLMSTIGEMIDHPKAKVHMDAYFEGMKVLSNNMNLSSRMRFMLKDSIDLRKNKWQQRRKVEGPKKIEEVHRDAVQERQAQAQAGRMGRGPGNNPSARRNPMDFGPRGSSMLSSPTVQMGGPRGPPNQVRGYGSQDARFEERQSYEARTLSVPLPQGILGDGSITLGPQGGLARGMSIRGSAVISPLSVSEGFPVPGDSHRMATGLNGHSNLPQHAPYSSRENPSSRYMMDRFSGPGSSDKSSALERNINYGNRDLRHVERNLDMPVATSPTSQLQGSAVSQNDSSEKVQPEERLWDMSMAAIKEYYSARDEKEVSLCIKDLNSPSFHPSMVSLWVTDSFERKDTERDLLAKLLVHLVKSQDGTLSQAHLVKGFESVLSALEDAVNDAPRAAEFLGRIFAKAVTESVATLNEIGQLIHEGGEEPGSLLEAGLAADVLGWTLESIQLEKGEAVLNEILKSSNLRSETFRSPNKSITSRKLEKFI >OIW18081 pep chromosome:LupAngTanjil_v1.0:LG01:29070549:29071550:1 gene:TanjilG_08551 transcript:OIW18081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGRGSAMVALMLLLMCLMLHSEMAHAATYTVGDANGWTFNAAGWPKGKRFRAGDTLVFNYSPGAHNVVAVDKVGYSACKTPKGAKVYNSGSDQIKLAKGLNYFICNFVGHCESGTKVAISAV >OIW19272 pep chromosome:LupAngTanjil_v1.0:LG01:5817074:5818531:1 gene:TanjilG_20397 transcript:OIW19272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPLLTKNIVKKRVKRFKRPQSDRKISVKVIRRPKGIDSRVRRKFKGCVLMPNIGYGSDKKTHHYLPNGFKKFVVHNVNDLELLLMHNRTYCAEIAHNISTRKWKEIVERAAQLDVVVTNKIARLSSQEDE >OIW19428 pep chromosome:LupAngTanjil_v1.0:LG01:2815124:2816650:1 gene:TanjilG_09448 transcript:OIW19428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEYWTSLASLLGVFAFCQTIVQAVFPPELRFASLKFFYRILQCFSTHCYFDITEIDGVNTNELYNSVQLYLSSHVSVTGTRLSLTRALNSSAFTFGLSNNDTITDTFNGVNVQWEHIVTQRQAQTFSWRPLPDEKRGFTLRIKKNDKTLILNNYLDYIMEKANEIRRKNQDRLLYTNSRGGSLDSRGHPWESVPFKHPSTFDTLAMDPEKKKEIMEDLEDFANGQGFYQKTGRAWKRGYLLYGPPGTGKSSMIAAMANYLGYDIYDLELTEVHNNSELRKLLMKTSSKSIIVIEDIDCSINLTNRNKNKPTSDSRGYYDSGAPEMRGVGGGGGEDGGGNSITLSGLLNFTDGLWSCCGSERIFVFTTNHIEKLDPALLRSGRMDMHIFMNYCSLPSLKILLKNYLGCDESNLDDSVLKELEEVAEAAMMTPADISEVLIKNRRKKEKAVIELLEILKARAQRNQKNDEQVEEEEHEKRALDNDNPKQESEIMNNCKEREEEEEEKIK >OIW18765 pep chromosome:LupAngTanjil_v1.0:LG01:22866341:22868156:1 gene:TanjilG_13517 transcript:OIW18765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSEISGVSGQKPPEKSTFSQTCSLLSQYLKEKGSFGDLTLGMTCTTETNGSPETSCLSAMNLFPNKENKMTHPNVTTMDFLSPHNDYSPHSTKEVPTLVNPSAFKSLCKEPKAAQLTIFYGGQVIVYDDFPADKVDEIMSLARKGISQNQNTSVHAHTQPSMIQEHPHAPPSPIVCDLPIARKASLHRFLEKRKDRIASKAPYQTSNPMTAPNKLAESMAWLGC >OIW18091 pep chromosome:LupAngTanjil_v1.0:LG01:28516485:28521090:1 gene:TanjilG_01180 transcript:OIW18091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASYQVEIPSPSPFGCVLRDHTRFQNNMKNFVRDHINTCNISISSDPAPINKDSQNPIKNGTWASRVVKNNIGSLSFARRSNHNMYNEQDDSSSLSALVSPRHSKTINRWAAKQAREMVSSTLENEGDVLENPSKSDISNLGASSLVQIWEKRLNKFNCTKPNAPLPSLLRTTSPNENALSVEQQQCMVSGQREIIDEPQGNSEVSFQDYESDKSCSPKAHSSSDGAESERGKVADIIKRLTATKKMQSAVSMNDDNDNEVCSNVTGSSHRERNCASTPEQPEYRAFAQVSSNPRIRGRHAFNNLLMQFESEKHGELHNLAERGAVSKFTQRGRIQSLLRLRLLQRGVAANDQCSQKSAASEVNRQPQGSIMHLRERFSCIGVEERNFALVEASNPRSPCRDREIVSNITQLDNIPTENQLGKYTHHRTFDANDEEKAHLSSDVTFQGTCYEAQNDDLKEIIGTSCFVASSSDQHYTTAETNYDEKEEEAESHQQYDASSYNEIEEDEESIDQNYDDTGASYDWISPISRPRSYWEERRQEWYREILHFGADNDERRTLLERRTVSTFLSSDFRERMDSLMMSHAGNQTHLVSSQHAEEDNQESMDQLIMFFQERLHTRSNPQEDGVHGAEEEEERIYEEEVEKAEESITSSSDNELSDYCNQSSSSMHTHSSTTWSYRDNEVDNDCDKASVSSPPPSQSQSFYHDSQQYSSATNHHSIEMEFIYDLRRQMDQLFHEVSELRKSVQSCMDMQMHLQQSQNQEVHTVKEEENKFHCKAPKKGNCCICYEERVNSLFYRCGHMCACLKCANELQWNSGKCPVCRAPIVDVVQVYAPLGSSLED >OIW19217 pep chromosome:LupAngTanjil_v1.0:LG01:7734235:7738432:-1 gene:TanjilG_20342 transcript:OIW19217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAVCGNKRAFLEELPPSPPLSKRLRCSSSTSPIRFSLHSLIDHLRNLFPHMDHHLYERALQESDYDLDAAVKRLNELCLGTADGNSGTAEGSNITVNVHTAKLEGDGDASASENQPAMNNLPVNGAEWVEFFVREMSVATNVDDARGRVARMLAVLEKSISARASADATEVLHKENLMLKQQIEVLIKEKNSFKNAFRIQHERLSDYEEKNQELQHLKQLVPQCQEKIRTLEMNNYTLGMHLNQAQQNNNPFNGRFPPDVF >OIW18151 pep chromosome:LupAngTanjil_v1.0:LG01:25992128:25997706:-1 gene:TanjilG_31271 transcript:OIW18151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNFDNLLSSSDFGFKPKGKSAPMAPSSNNNINDNHSSNLDFGSRSSNRNNRDADGFGDLFGGASKSDAPFNLDSMFTGSGSSAADFGSRSANSPPPVYDKPVYDDDIFHGVPGVKTTFKVQYDDVFASDGGRGGGSDRSGAFDDLLGGFGKESKSSGGKRLEKDEKGVSDFDDLLAGFGTSARPSSSERHTPDIHWSSEPTASGSKRTSAVAEDPFKVFESPSAPKDSPASHFADPLEEISKFSSSGSTKYDSTSNSNGRVYEDIDPFDGLGKSVPAFSSEGNGKKVSSSPRSSTSTSWTRDKEPVEKLSGRSPSRSSQNKIPVEQDQDFPQVPIYTPTNSSDSNKPVDRRSTSPSYNAGFKQTNIQVDMSPKYEQNMEWNEDIWLTVSEIPLFTQPTTAPPPSRPPPPRPVHIPKSGTGSPASKNARKMANEFSSFPSSTRLSHGPNSAPAVARVSPVSQFDEHDDFSMGMNRGNDDESGNGLHDEELEMNSAAAAMKEAMDRAQAKFRHAKEVRERENTKASRNKEAVQLKKDDRTMLEEREKQEMFDRERQQKEREEEEQRRVMKEREVKEREQQMIEREKARQAVERATREARGRAAAEAHQKAQRAAVGKAHAEARERAERAAVQRAQAEARERAAAEAKERAERAAAEAKEKETRVRAARAEAEARVKAERAAVERAAAEARERAAVEARDRAAAAARMNQQNNENDLESFFGMGERATSVPRPPRANSSDSVFETQFQSDATRKSTAASPSMKKASSATNIVDDLSSIFGAAPSSGEFQEVEGESEERRRARLERHQRSQERVAKALAEKNQRDLQSQREQAERHRLGETLDFEIKRWATGKEGNLRALLSTLQYVLWPECGWQPVSLTDLITPAAVKKAYRKATLCIHPDKVQQKGATLQQKYIAEKVFDLLKPVIINGFLNADYAAIISIF >OIW19391 pep chromosome:LupAngTanjil_v1.0:LG01:3204360:3205229:-1 gene:TanjilG_09411 transcript:OIW19391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTIVKGSISILSDAGLGMAMFSLGLFMALQPKIIACGKSVATFSMAVRFLTGPAVIAATSIGIGLRGVLLHVAIVQAALPQGIVPFVFAKEYNLHADILSTAVIFGMLVALPITILYYVLLGV >OIW19581 pep chromosome:LupAngTanjil_v1.0:LG01:230990:233106:1 gene:TanjilG_18391 transcript:OIW19581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLQPRYKQVAVLARCYHAYCTHCIARWSQLRRICPLCNSFFNSWFSIHNLSSTTFRKHFLPPLHDTQSHFPTTTRRIVGRRIRRVDRRALQWRRSFGNPAFVTADVIAQRKLEWRASIYNNLGLQPDPTTLRCLETRCKGCSPCKLFVSMLQRHPSPNKSRKDAVKSEILQRIEPWIKRELQAVLGDPDPTVIVHVVTSQFIAWLEEKARMPSGQCDVVDAFIHPLRPFLHDKASTFWHELRYDVMLDVCTPRPNAFECI >OIW17629 pep chromosome:LupAngTanjil_v1.0:LG01:35797255:35798604:1 gene:TanjilG_28979 transcript:OIW17629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSIKSSYIVIPNEPTPKGLLWLSHNDQTVRWDHTSSIYIYKEQPNLDAIEILKDSLSKILVSYYPIAGRLRYAENGKLELDCNTKGVELVEAENTKTLAQYGDFSPNETFKELIPKVDYTQPIEDIPLMLVQLTRFYGIDQGVAIGVSFSHPLTDGFGAIRFINSWAKLARGETLEASELFPVLDRSILKSPHPPSAPRFDHAEFKPLPLMLGSSDHLAEQGKKLSVVTLKLTLEQVEGLKKKANDESQKEGSRPYSRYEAIAAYIWRCASRARELDHLQPTQLRFVVDFRNRLNPPLPKNYFGNAFTPTVTPTCYIGDIISKPLSYVAQKIREATELIKSDYIWSQHDFIKCHEKLNELRPSFQFQEKHKKNSPFFGNPNLNVVSWMHMQWLEADFGWGKPMYFGPALVCTSDKAYLIRSSNDSIIISMHFQVAHMPLFIKFFWEDI >OIW18171 pep chromosome:LupAngTanjil_v1.0:LG01:26391246:26396658:1 gene:TanjilG_31291 transcript:OIW18171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSFFFLSFLFFLTLSSAANPPPTTTATPVIQQACKVTNFSQQCESTLSQSKLPPNPTSLQLLQAALAASSSNLATALTMIKSILDASADSKNRTLAATICLEVLQNSKYRISLANSSLPSGKTKDARAWLSAAHSYTYDCWYALKNANDTKQVGETMSLVNSISILNSNALSMAFNYDAFGNNIASWKLPKTERDGYWERSGTCGGSGSVTGLPDKSKADVTVCKGGGSGCLKTIQEAVNKAPDNGGEGKKFVIYIKEGVYEETVKVPLEKGNVVFLGDGIGKTVITGSASAGQPGVSTYTSATVAVLGDGFMAKDLTIQNTAGPITHQAVAFKSDSDLSIIENCEFLGNQDTLYVHSLRQFYKSCYIQGNIDFIFGNSAAVFQDSEILLRPRQMNPEKGESNAITAHGRTDPAQATGLVFQNCLINGTKEYLALYHSNPKVHKNFLGRPWKMYSRTVFIHCKMDAIVTPQGWSPWQDDFALKTLYYGEFENSGPGSGVSQRVPWSSKVPPEHVLAYSAQNFIQGNSWIPPSLLSSKVDKSNQGIGRNYK >OIW18342 pep chromosome:LupAngTanjil_v1.0:LG01:23896092:23898423:-1 gene:TanjilG_31482 transcript:OIW18342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDFQHIDQFHHANASSPNQVFGVQTQNLDPFANVTCGCSKAEFDGYDGKAFVENNGSSSHAHVIDNFQYEGYGLNPHRRSQMNMMASNQSYLPFNPLETKPSNFVAPDEVSCISPAVYYNRIGLNRSNKTSLTTTRSCKVRKKTNIVKGQWTENEDRLLIQLVEQYGVRKWAHVAQSLPSRIGKQCRERWHNHLRPDIKKEVWTDEEDKILIQAHAGIGNKWAEIAKRLPGRTENSIKNHWNATKRRQYSKRKCCSKYPRGTILQDYIKSLNLNKNTPKDYTTQPSSNTMRKKASTIKSAAAARATQPHSTSQVSSNDGLVPNYEFNEDPDFCFHDNMFQDGCSIDSLLDDMPCAPTTNEKDFDAKVLCGSNNKKVKQVVNVDEHNHFETEMAQEVEGNEVQKELDLVSQVTCGNISVTTTECWSLAD >OIW19103 pep chromosome:LupAngTanjil_v1.0:LG01:12173685:12179096:-1 gene:TanjilG_08903 transcript:OIW19103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAILSSSNRDDDVKSAMPSYFNLPPLDVSEAFPQATPASKFPPCASDYFQLDELLTLEEQAVREKYWEKAKFPFHVIPKLGALGVAGGTIKGYGCPGLSITGSAIAIAEIARVDASSSTFVLVHSSLAMLTIALCGSEAQKQKYLPSLAQLQTIACWALTEPEYGSDASALKTTATKVVGGWILEGQKRWIGNSTFADLLVIFARNTTTNQINGYIVKKDAPGLTVTKIENKIGLRIVQNGDIVMRKVFVPDEDRIAGVNSFQDTSKVLAVSRVMVAWQPIGISMGIYDMCHRYLKERKQFGAPLAAFQINQQKLVQMLGNIQGMILVGWRLCKLYESGKMTPGHASLGKSWITLRARETAALGRELLGGNGILADFLVAKAFCDLEPIYTYEGTYDINTLVTGREVTGFASFKPVSGRSRL >OIW19378 pep chromosome:LupAngTanjil_v1.0:LG01:3280923:3290687:-1 gene:TanjilG_03512 transcript:OIW19378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFFHFGIPEGRKRSKSDGSDSCEENTSKKDLALQQALDQITSTFGKGSIMWLGRTVSPKNVPVVSTGSFALDIALGVGGLPKGRVVEIYGPEASGKTTLALHVIAEAQKHGGYCAFVDAEHALDKTLAESIGVNTENLLLSQPDCGEQALSLVDTLIRSGSIDVIVVDSVAALVPKGELDGEMGDAHMAMQARLMSQALRKLSHSLSLSQSILIFINQVSAKTVDCTIVNFPLVRSKLSTFGGFGGPTEVTCGGNALKFYASVRLNIKRIGFVKKGEEILGSQVLVKVVKNKLAPPFKTAQFELEFGKGICREAEIIELSLKYKLILKAGSMYYYNDQSFRGKDALKNFLSDNHSTLEELEMKLREKLLNAETEKVSESDMITGDVTEEVATLDSTDEEAVAVVEA >OIW19024 pep chromosome:LupAngTanjil_v1.0:LG01:17199430:17205498:1 gene:TanjilG_10585 transcript:OIW19024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVASMVSSSWTCGSIPISKLNPSLRTKNGARRTSFILLNPQPRAALVEATPPPPPPQLRPPTTAAPKNGSINVLELPGDSAETRAIARAANASVYSPQFVASKYGSQPFKVVGRAFQILSELGLFGLKLFLDQRNGMLDQNMKIRAIELRNIFTRLGPTFVKLGQGLSTRPDICPPEFLDELSELQDGLPTFPDEEAFSCIEKELGQSIDSIFSSITSSAVAAASLGQVYKAQLKYSGKLVAVKVQRPGIEESIGLDFYLIRGLGILINKYVDTITTDVVALIDEFARRVFQELNYVQEGQNARRFKELYADKEDICVPDVFWEYTSGKVLTMEWIEGVKLNDQEAIESQGLKLLDLVSIGIQCSLRQLLEYGYFHADPHPGNLLATPEGKLAFLDFGMMSEAPEEARFAIIGHVVHMVNRDYEAMARDYYALDFLSTDVDVSPIVPALRNFFDDALTYTVSELNFKSLVDGLGNVFYQYPFNVPAYYALIFRSLTVLEGLALYADPNFKLLAASYPYFAKRLLTDPNPYLRDALIELLFKDGEFRWNRLENLLVQGRQDRDFSAKEALQPVLKVLLSPDGEDVRNLVIKEAVRISDAFTLGTISETYQYIPDFMRTLVFNGNANRALMISETEIQSMIELRNRVLRVWGLLQSSNGFDPALLQPILQVVQQPEARILGRRVMGGITQRLASRFLQQLLSVLETASPLADATRRELFALGRHLFRLEPYVPLGYTNPFVLFHFPVRLLWTKEDRSCIPNTA >OIW19069 pep chromosome:LupAngTanjil_v1.0:LG01:15006575:15007172:1 gene:TanjilG_10630 transcript:OIW19069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASKESDTKIDVQSLPETSAPPPGAGGSAAGIFRRLKKDDLVKRGSLGLRGIALVFSLISFILVASNKHGDWKEFDKYEEYRYLLAVAILSSLYTGGQVYRQVQELSIGKTLLQPRTAALIDFFGDQVRQLHIYSLVLGIIFHFP >OIW18141 pep chromosome:LupAngTanjil_v1.0:LG01:25826966:25830331:1 gene:TanjilG_31261 transcript:OIW18141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLLFGTHYPFLHYKSIAYSSTTITHCKPRTQFCKEGDNNNNNNNNNNNNNNNIVNVEEDVYCEVEVVSWRERRVKASISINADIESVWNALTDYEHLADFIPNLVWSGRIPCPYPGRIWLEQRGFQRAMYWHIEARVVLDLQELTNSEWDRELRFSMVDGDFKKFEGKWCVKSGTRSSTTNLSYEVNVIPRFNFPAIFLERIIRSDLPVNLRALAYRVDRNIVGNQKLSYPKNHLHETSIAIDGSPFKEINGSSVKEIDGALCESDKLQPGENKEALASSISGPLTTSSSEVNSNWGVFGKVCRLDRPCVVDEVHLRRFDGLLENGGVHRCVVASITVKAPVREVWDVMSSYETLPDIVPNLAISKILSRDNNKVRILQEGCKGLLYMVLHARVVLELCEYLEHEISFEQVEGDFDSFEGKWVFEQLGNHHTLLKYSVDSKMRKDTFLSEAIMEEVIYEDLPSNLCAIRDYVENRNASHSLEVCEQNTNSVQQIVASGFEDDDGYSSANDLCICNDQGSSKKRSRVPGLQRDIEVLKSELLKFIAEHGKEGFMPMRKQLRLHGRVDIEKAITRMGGFRTIATIMNLSLAYKHRKPKGYWDNLENLQDEVGCKSSNLITSMTG >OIW19704 pep chromosome:LupAngTanjil_v1.0:LG01:1234492:1238999:1 gene:TanjilG_18514 transcript:OIW19704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANDSEKRFHSIMNKLFHPPLNSQSTSSPSRKRSYQSMELNRRGDVSEGELCRPWDRGDFMKRLATFKSMSWFAKPKLVSAVNCARRGWINVDIDTIACEACGARLLFATPASWNQQQVEKAALVFSLKLDNGHKLLCPWVDNACNETLARFPPTAPPVLVDNFKERCSALLQLSALPRISASAIECMQSPLLEDFLREPLMLECGTEFVSSQEELKLYYQAQKIISLCGWTLRSLPYVVDCKDTSDHSVKDTTILNDSRVDANMNNNSLIAHSADNDENSKDSNGKQLDPNSTVLDCTICGATVGLWAFCRVPRPVESIRLVGYAEVNNENDIENRQGVNNSTTDVETSSKVVPSSLNMTIAGGPPPTKQNFKAIISLPVIGQNLRARFSYDSGFRGRVFVDEGDIQLDSQKKMRIEEKTDNTVNTSTGQLVPVSSEIREISGYETGSIASIHDSVVDDVIEGTSYAGQPSDLKDMAPVHLEADGLNSLAAGDPSSSQKHRTEIEALSISQKTLDGEGISRENHGVKDRVENPANHEDVYCSFGRDVRVTVDKAMEFDPIKQHRHFCPWISSIDDGEPGWKQTLSALYHQKNHLPHSPNRSSSKPIVKVDDPVGSVRKIFMSPSARRMKLARTSAQNTEHSPPNISSPKVL >OIW18634 pep chromosome:LupAngTanjil_v1.0:LG01:21784104:21789591:-1 gene:TanjilG_13386 transcript:OIW18634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFKFRALDEAPPPPPITTAYPTTVTYISHRSLRDGFSGMIPSPRHNSFPMPMNSTRETLRRELEKEQIRREIIAGEIERRRELEEEVRRELALERGWGMAMAETSHGFSFEERVSMMRLNPSSYLFNHNARSQPQLSQLMPPAELKPYLETNNDNVIKLGPVKYMSPSLYRLDTTARTQSQLPQLMAPADEFKPSPETNNDKLVTLGSVKFSSPGRYQFDNNNNAWPQPPLAQLMAPGGEFKPSLETNNEFVKQGSVKFPSPGQYQFANNAWSHPQLPELRAPAEFKPYEETNKDKVIKLAKPDPDRYVAKRKAVTPDVDRNDHFPSSLKKKPKEDWSCAVCKVSATSEKGLKDHLQGKKHKAKEIALRKKKIFKNTNTSISSKKSEKSVKSNQLMHTTTSGLDAKADRQPLQSSVTCGGINQTMAGKGAVESKNEEHFVQKNLKSEPMYTTTLGLGTKANSLPIQPSFISWMDINQTVASKGAVESKNEEQLGPKNVNSNESMDATATSVLDAKADKLPVQPNFATWEDINHTTADKCAVESKNKEQLVPKNVKSESMDTTETSRLGAKTDRLTLQPTFKTCGDINKIMSHKGMVKSNNEEQVVQKNVKSNEPMDNTTTSGLNTKADRLQFQPSFSPWGDIYRPKADKAVVDSKNGEQPVQNIAKSNEPIDTTTLGLDAKTDKPSLQPSFITWGDIAKTMANKGAVESKNAEQPVHKNVDGLKNENRTINEQGGKKALKRKKMKFWCETCQVGAPSQVVMESHKKGKKHLARMKTSSVSVEAPELIKGTDGKQFC >OIW18590 pep chromosome:LupAngTanjil_v1.0:LG01:21394543:21397835:-1 gene:TanjilG_13342 transcript:OIW18590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMEKKCGCWSVLKRGVRGSPCKPSSASPNTIPRTSLVYDAATETRYLNASNREPCPPNEARISSDNPDPPPQENRAPCQLLQFTFQELKSATGNFRPDSILGEGGFGYVFKGWIEEDGTAPAKPGSGITVAVKSLKPDGLQGHREWVAEVHFLGQLHHANLVKLIGYCIEDDQRLLVYEFMTRGSLENHLFRRTVPLPWSNRVKISLGAAKGLAFLHNGPEPVIYRDFKTSNILLDTEYNAKLSDFGLAKAGPQGDKTHVSTRVVGTYGYAAPEYVMTGHLTAKSDVYSFGVVLLEILTGRRSMDKKRPSGEQNLVSWARPYLADKRKIYQLVDPRLELHYSLKGVQKISQLAYNCLSRDPKCRPNMDEVVKALTPLQDFNDLAILSYHSRLSQQGRRKKKSDGNPMVTYTQSKSMRASPLNTGKQHRAAK >OIW19676 pep chromosome:LupAngTanjil_v1.0:LG01:1063533:1064633:1 gene:TanjilG_18486 transcript:OIW19676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRTRIRLHNLCIGHVKPRIRASSSLRAKSSSSSIKYANRTEYASHDSGSRDLADNNKGSAESGNKVMVVMDSSYEAKGALEWALSHTVQTQDTVVLVHVAKNTRDGGESPGKFNLKAYQLLLDMKSMCETKKPGVKVNVVMVEGEEKGAAIVQEAKQQKVSLLVVGQRKRSILWTLMKRLARKRDGVVQYCIQNSPCMTVAVRRKNKKLGGYLITTKRHKKFWLLA >OIW18607 pep chromosome:LupAngTanjil_v1.0:LG01:21573420:21574292:1 gene:TanjilG_13359 transcript:OIW18607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWFSWLSRTNLDPSLIYDYGLTFARNELQLEDSIYFNNEFLQSMGISVAKHRLEILKLVKKEEAESAKHPNKNLSKVIKKYLRKCMSKFVFREDHNNIKKVIKDIPLPPLLPQVQEPNWHQGKWKETQVVKQQQQQQHHGTEELKDEKPPRVPMYRSRTIALSGPLDHSGMHEKMVSTKALRLSGPLDGKMNEKMKIYTNRSPLISRHVDGRFTATTKSPRFSGPLDAARDQVENRSPRVTRASDATRGEIENPMGSYSPYNKPKADFYSDDDVDHTLWPTLFQDLKPT >OIW19013 pep chromosome:LupAngTanjil_v1.0:LG01:17500599:17503171:1 gene:TanjilG_20286 transcript:OIW19013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVASRGIHFLQRLNAANGELVRALGGAVACPSLLGVPLGHNSSFLQGPAFAPPRIREAIWCGSTNSTTEEGKQLQDPRVLTDVGDVPIQEIRDCGVDDDRLMNVISDSVKLVMEEGPLRPLVLGGDHSISFPVVRAVSEKLGGPVDILHLDAHPDNYDAFEGNKYSHASSFARIMEGGYARRLLQAIFVTLHMILAFVLKTV >OIW19587 pep chromosome:LupAngTanjil_v1.0:LG01:282184:283302:-1 gene:TanjilG_18397 transcript:OIW19587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLILNSQRLRSILNLSLSSPFSLTPNFFSTTSKENPFTVSYLITKCSFPLETALKASKRVNFDNPKKADSVIAFFKTQGFTDAQIHSVMRRAPELLICDPNKRVLPKFQFLSSKGATPSDIILTVSRNPDFLRKSLDNHIIPAFQFVRRFCPSDQRAIACVIACPTSISDARVQQNVQLLIDEGVTDSNIYNLFRTRLTVLCSRDFGEVLDEVKNMGFDPSKASFSVAILAKKAITKSKWDAKIDAFKTWGWSEQEVLEAFKRLPQFMLRSQDKLNGVISLWVGQLGWDPSALLRAPVIFGYSLEKRLIPRASVVKELLSKGLMKKDASLVTPFGLSEHMFLEKFVRCLDEEDASRLLKLYQKELQHLSDS >OIW18667 pep chromosome:LupAngTanjil_v1.0:LG01:22050867:22051866:1 gene:TanjilG_13419 transcript:OIW18667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNALKILVYVTSAAAGYNMLQLFKYYVSAYSRGKFKGSYIYMAWISLLLDQMAVYITFAANSAALEGSVVAITGSETFQWMKVCNRFTRFCFQIGGAVLCGYVASILMALISIMSTYKVFRMYSPKWFLRLKSR >OIW18288 pep chromosome:LupAngTanjil_v1.0:LG01:24722516:24722857:1 gene:TanjilG_31428 transcript:OIW18288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPITWLDLRIRGSQLSRNFRRKCKISRKGLFACAADVNGIMHWVSEAHRNCWHVLLDASSLIMGKDNLRLALNRPDFVVTSVDSTNSNASTITCLLVRKKSFYIFTASLANE >OIW17822 pep chromosome:LupAngTanjil_v1.0:LG01:33971086:33971727:-1 gene:TanjilG_02450 transcript:OIW17822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLPKFSSGEGTSSPSPKKELQIQGPRPPALRVSKESHKICKPPLPPPAAHYPLPPEHRQPLIIYSVSPKIVHVTVNDFKNTVQRLTGTSSGDDPALRSGDVSPAARLASIEKTSPSEKERGHGGGDDDDMMWLLDGVEMGQFPGILSPAPATLPPISSGFFSPVTELHQTSSFWNDLSPFWSANTFVASPSGLLSAAVVSPLPSPDLFNLFD >OIW18120 pep chromosome:LupAngTanjil_v1.0:LG01:27790579:27793151:-1 gene:TanjilG_22318 transcript:OIW18120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFVILHRFTTKRVPHFHLLHPRVTTTLLSQITRHPLLLPLANLFSTHNVNDIYRVNLTIASLSRAGNIDAARQLFNETPHKDIVTWNSMLTAYWQNGLLEHSISLFHSMPAKNVVSYNSIVTACVQNDMLHDAFSYFVSIPEKNVASYNAMISGFVKFGLMKEAQKLFEEMPWPNVVSYTMMIDGYASVEGGIGRARALFDAMPHRNEVTWTVMISGLVENGLCEEAWEVFERMPHKNVVAMTAMITGFCKEGMMEKARTLFEEIRCRDCVSWNIMITGYAQNGRGEDALNLFSQMIRAGMQPDDLTFVSLFTACASLASLEEGRQVYGLVIKHGFDSDLSVSNALVTMYSKCGGVVDSELAFGQISHPDIVSWNTIIAAFSQHGLYDKARSYFDQMVTVGVQPDGITFLSLLSACCRAGKVVESMSLFNLMIHNYDIPPRSEHYACLVDIMSRAGQLQRAYKIIQEMPLEADSSIWSALLAACSVHLNVKLGELAARKILNLDPRNSGAYVMLSNIYAAAGKWKDVNRVRVFMKEQGVKKQTAYSWMQIGNKIHCFAGGDPSHPNTSDIRVALGWITLHMKVKGDDTEEVFL >OIW18961 pep chromosome:LupAngTanjil_v1.0:LG01:18582005:18589425:-1 gene:TanjilG_09155 transcript:OIW18961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIAGVDSNGREFNTAGEMWKEHAGDPNKKSQWYNDGVTYWEGVDASVDGVLGGFANVNEPDISCSEDFLKILLSERFSSDNRHQPLVALDCGSGIGRVTKNLLIRYFNEVDLLEPVSHFLEAARETLALGYQTNSDLHRAVNFYCVPLQDFTPDAGRYDIIWVQWCIGHLTDDDFVSFFNRAKAGLKPGGMFVLKENIARSGFVLDNEDRSITRSDSYFKTLFSRCGLHVYKLKDQKGFPEELFAVKMYALTTEVPKKVNRTRSKAQANRPRIIK >OIW17643 pep chromosome:LupAngTanjil_v1.0:LG01:35565616:35566062:1 gene:TanjilG_28993 transcript:OIW17643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDRKGDTIIYIIYGFFFACIIGGGVFLCLYIIQPNDSESITWYVILGMTLVTIPWLFWFLIYAYRCFCFRPHDVQFVDEGSRSPKSQPIKTSNFNSCDTKSPLHSPIGGRERHVHFGVVVEMEDGDCDDDDRQEHRHHEDDTEKLQS >OIW19036 pep chromosome:LupAngTanjil_v1.0:LG01:16547117:16548542:-1 gene:TanjilG_10597 transcript:OIW19036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASLVGEAVVGAVFGELLKAVLELKDKAIMFKQTLIYLQSTLVAIAPVIKEIEQYNNDLGRPKEELESFIREMEEGTKLILKCSKIHRLNYVARIRYQEQLVGLVNSLAKFCIINMQAQTARDQKETLLKVTRILSKVDKIPLLNTEDTTMASSSELSEILDSSVMHPNENSMEINSVEGAMEHSNQVTRSSTSELTAIQPEVDSTKHVMELEHVAGQGVAMHCWQHIGREWFPTRPSMSGQQ >OIW17805 pep chromosome:LupAngTanjil_v1.0:LG01:34176086:34179647:-1 gene:TanjilG_02433 transcript:OIW17805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQETFITLSHQHLHNTAPKKKKSQQHLKPPSRRVTPTVSPSSSSNAVNGDIYTGALSGNTPHGTGKYVWCDGCMYEGEWKKGKPCGKGKFSWPTGATYEGEFKSGRVDGYGVFTGVDGDTYRGSFVADRKHGFGEKRYANGDVYEGWWRWNFQEGEGKYVWKNGNVYVGEWRKGGIFGNGVLVWGNGNRYEGFWENGVPKGKGVFTWCDGNWGMEFMSEDENNDDEIVIALTRKRSSVDCGCKGVNFPKICIWELDGEAGDITCDIVDNVEASMFYKDSSEFESENVGLGCSPSWFVDDAEVKKPGQIVYKGHKNYGLMLSFQLGIRYTVWKHALMMRELRPGDFDPKEKFWTRFPPEGSKFTPLHHSVDFRWKDYCPMVFRHLRELFAIDPSNYILAISASGSLREMSSPGKSGSLFYLTQDDRFIIKTVKKSEVKVLIRMLPSYYRHVCQYKNSLVTAFLGVHCVKPIGGQKTRFIVMGNVFCSEYRIHKRFDLKGSSHGRTTDKPEKKIDETTTLKDLDLNFVFRLEQSWFQELKWQLDRDCEFLEAEGIMDYSLLIGLHFPDGASVDDIKSSTYDLYPGKRNMHNNEMHIGWKPLIRLGTNMPARAERVYKDSSSNGSEISDVILYFGIIDILQDYDISKKLEHAYKSLQVDSTSISAVDPKLYSRRFRDFIHRIFVEDK >OIW18757 pep chromosome:LupAngTanjil_v1.0:LG01:22765563:22766036:-1 gene:TanjilG_13509 transcript:OIW18757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQISGSETQRPNSVGDSKLDTPPLHVIEVESVKCDSCGFTEECTISYISKLRQKYQGRWLCGLCVEAVKDEVVRSNRLITTEEALNRHIIFCREFQSTSTPLSEKEQPIFVMGRIFRRSLDSPRPLRSNSSGSLPGVHAVLAPPLMRSKSCFSSVSG >OIW18337 pep chromosome:LupAngTanjil_v1.0:LG01:23942092:23948980:-1 gene:TanjilG_31477 transcript:OIW18337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSGERRWAGASSRRGGMTVLGKVAVPKPINLPSQRLENHGLDPNVEIVPKGTLSWGGKSPSSSSNAWGSSPYANTDGGASSPSHLSARPSSGGSGTRPSTAGSDRAFDTTSNAWGSTSRPSSASGALISNQTSLTSLRPQSAETRPGSSQLSRFAEPLTENSGSWSSARTMEKLGVAQPKNDGFSLSSGDFPTLGSDKDKSVLNSELQDHSLESHARPGSSSGLRKDIYDTSVVDDVHVNVKGGTVDSWRRDDQAHNDGVRPSIEMWQGNTHSYPNAGIPSQHFDAWHGPPVNNPQGGGVWFRGPPGGPPFGNPVAPGGFPIEPFPYYSPHISPTGLANPPPVACSGTGPRGHHKNGDVYRPHMPDTYIRPCIPVRPGFYPGPMGYEGYYGPPMGYCNPNERDVPFMGMAAGPPVYNRYPNQNPPEPGNSQGRSGGYGSAGKPLTSEHVEFSHPPGTTAPYKVLFKQHESDGKNEPENWEDSATTGASYVNGRDRARMTVWENEQSSNYRKNGEIDLRRSAHGEEASSQTLENQVSSSSVIKAKAPESFGNMKKSGDILARKLDSAATVIPEIPPKTSASKDANLIQKIEGLNAKARDNSSARNKEEQRNKFHAGSAVPNHVENEVGAAIVLPVRTHATAVTSPTHREVGASGGERNPESLSIIGTATSRQTGHGMQGRSVHHNKGKSTNQDADGWRKKSVVADSLPSSDPLLEPSDVLVGDHRISLETYDRSGSYNKARRDGDSTQARSDSVDNHAQRTKMKELAKQRTKLLQEEEEERIRKQKAKALAKLDELNRRAQTVVGSTQEKNVTNSAIQNKQEELQPSISSIVEGKSGVVKSAVISNTNTVCQISDTSFNKVEKPILSCVPPFETNKSSGKEPVLIQNLFQDANSADAMNALQVHNTIASKQKRMSSKQKQSISSEKKLSEKVVSTASTALTFENDKIIDVTMPSSNVTNDVGSACGPNLPVNSTAMVEPSLNQKKNRNNRNKHKVEESSSLTTPPLASKEPNILKISVESDKPKASDFELDQGLLHPASLSEESNQFSDQYRHLANEESHGRMNSQWKSQHARRTPRNLQTNRPAEKSHGNDAMMWAPVRPQNKTEIMDESSEKSNTEAANPVKSDQRVHNSKNKRAEIERYIPKPVAKEMTQQGSTQVVSSISQAAANVDVERAASGSHSPQIAQHTNSAFGKVGSGVESKNRDGRHSKQGKAHGSWQQRNRTESTIVHDMQDALDHDSNSVPNVQRPTEHHIHQKYETSLVKGQTKHFNDSGDQDGLSNPINHDSTAPVSVHVIKDHAVTGRGRRGPFRGHMGPGANHDVDHKGSAGEKEKIETHVSPSEHSQSDGAALKENRGVGERLTSHWQPKSQASNNQRGNRPSDQNVGSVVVGKDPAHDSESIRAGRDKESNAYAAQPRHDQSVSEKSKDGEAPHFGNQESRRERQNAPEKRRLHSPNQVSSGEQAPTGRDLRHEQHPSSGFGKNGNQNRFGAGHESQRDWKSPAQDNRHYNNQPKIRERQGSNMHHEYQPVGPHDDSKLDHLERPRDGNNGGPRDGNYGGPRDGNRGGGRFREKGHAHSRRGAGNFQGRQGGLD >OIW19777 pep chromosome:LupAngTanjil_v1.0:LG01:23998:25581:1 gene:TanjilG_27316 transcript:OIW19777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLLDWPNKDNRRFLHAVYRVGDLERTIKFYTESLGFKLLRQRDVPEEKYANAFLGFGPEESYFAVELTYNYGVTSYDIGDGFVHFGIATQDVYKLVENIRAKGGKITREPGPVEGGTTIIAFVKDPDGYPFALIQRPSITDPFAQIALRVGDLDRAIKFYEKALGLKVVRKDDRPESKYTVAALGYADENETTVLELTYNYGVTEYSKGDAYAQIAVGTDDVYKTAELVKKVIEEVGGKIIREPGPLPGLKTKITSFLDPEGWKTAFVDNEDFLKELQQ >OIW19161 pep chromosome:LupAngTanjil_v1.0:LG01:9565798:9567708:-1 gene:TanjilG_13943 transcript:OIW19161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKLSPRRNERSNGFKVKHAIQICLLLGVCVWLIYQVRNSHDKKESYSESAKTSNEAVKLGRKDIHPRVEETSVIDARHKEVEEDEEENKPNEENKPDDVSGVEDEVPMQKPEEQGNNEDSSEQKQDSVDQETEESSENARTEVESEQHNEKFSGENENLEDNRESNSSVKENGENQNEVDKELEVKENVEENHEQDSKEEEGNAKEAHRKENKISDEVSSENEVQDGERNNGESREENYAGDNASSAVDHESQDNSDESSSKTEEFDKKDKNEFELESEKSGTQTTEVTDATVTTTNQENGGEYEAQTENDSQKSSVSESDGQQQEQNNPTRDGVETVDSSLQNGNDTTSYTTEKQNDTEENSNSEVEDSNKDNATPKTDDSNSDAAGRQGDSTSETSSGTDDSNTNHGEDKDSSRNSVENENTNNSVQDGIQENVQLTSSDTSSEEKKDETSNAENNSDKVNTDQGNTNNDGSANDNKDASQDNSSHTSSEQNSEGSSDTENSNDANQNNSDGSDGSANDNKDASQDNSPLTSSEQSNEGTSTSENGSDTNQNNSNDNENANHNGNASHDEVQVTAIHEDKVESSNNENENKTNENEGSAQNESVESQEKEETAHTDGDSNAENNHNDAVAAER >OIW18405 pep chromosome:LupAngTanjil_v1.0:LG01:23241789:23242259:1 gene:TanjilG_31545 transcript:OIW18405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLFDWFYGILASLGLWQKEAKILFLGLDNAGKTTLLHMLKDERLVQHQPTQHPTSEELSIGKIKFKAFDLGGHQVARRVWRDYYAKVNYPYLMMLQSIHNFRFLPLFNDMHFYKIFNF >OIW19008 pep chromosome:LupAngTanjil_v1.0:LG01:17582934:17584250:1 gene:TanjilG_20281 transcript:OIW19008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQLKQISHNDNSAPSTPGKFKIEKSPYFNRTRWHSSLAKLTLWSFAFLAVILIFFLRSPSSSVPIDPSRRSLRTYNWGGSAWEKRVRSSARVRSRNGMTVLVTGAAGFVGTHVSSALKRRGDGVLGMDNFNDYYEISLKRARQALLERTGVYIVEGDINDEALLRKLFEVVPFTHVMHLAAQAGVRYAMQNPGSYVHSNIAGFVNLLEVCKSVNPQPAIVWASSSSVYGLNTKVPFSERDRTDQPASLYAATKKAGEEIAHTYNHIYGLSLTGLRFFTVYGPWGRPDMAYFFFTKDILKGKPIPVFEAANHGTVARDFTYIDDIVRGCLGALDTAEKSTGSGGKKRGPAQLRVFNLGNTSPVPVTELVSILERLLKVKAKRNIMKLPRNGDVQFTHANISYAQRELGYKPTTDLQTGLKKFVRWYLNYYSGGKKAVE >OIW19601 pep chromosome:LupAngTanjil_v1.0:LG01:343195:345093:-1 gene:TanjilG_18411 transcript:OIW19601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRRRVKGSTVMEVGPDGEATHKGFYLYDDKQKASVDPKLYNFIEKARSISDVSIDPKLVKLQEKDIVEMIFFPVVNEACRVLDEGIAVKVDDLDISAVMSMGFPPYRGGIIFWSDSLGSKYIYSRLEKWSKLYGEFFKPCAYLAARAAKGIPLSA >OIW19700 pep chromosome:LupAngTanjil_v1.0:LG01:1212008:1212898:1 gene:TanjilG_18510 transcript:OIW19700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTLVVMDTTISTPQLLSPNTHFHWPNNKVVNEDSQPQLPTIIEKEKTSTNKEEEEEEKDHKTNINNNNINNVVQGHAPTTRKKLQALATSKVRSVLTVFSKNRSNTHIPFGLGFRVVGTLFGYRRGHVHIAFQKDPTSQPAFLIELATPITGLVREMASGLVRIALECDRQKKEEATEKNKKKGTSVIGLLQEPIWRTYCNGKKCGFASRRECGAKEWDILKAVEPISMGAGVLPAVDNDKDKYSDDGGGGGGGEVMYMRARFERIVGSRDSEAFYMMNPDSNGAPELSIYLLRV >OIW19200 pep chromosome:LupAngTanjil_v1.0:LG01:8365610:8367312:-1 gene:TanjilG_00559 transcript:OIW19200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKRATNIALSSTFILLLVDQAKSPTIDCCTGIKMVIDKSKRCLCVLIKDHDDPSLGLKINVSLALNLPSACHTKTNLTQCVDLLHLAPKSPEAKVFEGFDKAIRKNSSIPVPSVSNDATGKGTSTSAEDKSSGGWGKRWQVIVLVCEILPFVFISHLFLV >OIW19637 pep chromosome:LupAngTanjil_v1.0:LG01:768600:772788:1 gene:TanjilG_18447 transcript:OIW19637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATSLCSSTLQSQINGLNNYLHKTSPFQPRSLTFSRRKLSTVVKASSRVDKFSKSDIIVSPSILSANFSKLGEQVKAVELAGCDWIHVDVMDGRFVPNITIGPLVVDALRPVTDLPLDVHLMIVEPEQRVPDFIKAGADIVSVHAEQSSTIHLHRTVNQVKSLGAKAGVVLNPGTPLSAIEYILDVVDLVLIMSVNPGFGGQSFIESQVKKISDLRRLCVEKGVNPWIEVDGGVGPNNAYKVIEAGANALVAGSAVFGAKDYAEAIRGIKTSKRPEPVAV >OIW18330 pep chromosome:LupAngTanjil_v1.0:LG01:24111261:24111731:-1 gene:TanjilG_31470 transcript:OIW18330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDPQQQNYYHQRNKGPSTSHVLAIATIVPFGASLLILAFLTLTATVIGLAVAAPLLVFFSPVLVPAALIIGLAVAGFLTSGAFGVTSVSSFAWMASYLRRSRLPEQLQQTLGDLAQRTKEVAESGINKAQDMAQEAQSSAREAKTVHEENKTSSS >OIW19608 pep chromosome:LupAngTanjil_v1.0:LG01:489361:489805:-1 gene:TanjilG_18418 transcript:OIW19608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLVRRSGDEHRRLRASGRMGLHGGGRKCDGGLRWSGFLPMGGDDVNESKESEDKMVRWFAVVLIWAPILTVVTEVRWFRAASQCR >OIW18234 pep chromosome:LupAngTanjil_v1.0:LG01:25589873:25596539:-1 gene:TanjilG_06318 transcript:OIW18234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMGAEMVAGGVSPIVQHHESDIELISAERVPHSGQLNAPLNNQKPKDETNYVEVTMEIEGDPIALDSVETVADEEEKLGLIGKKLEKKASFGVAVMQNATIRMKQLKRLTSFSKQAKRYDRNKAAATHALRGLKFISKTGGGGGSTGWADVEKEFDKLTASTDGYLPRALFAQCIGMNKGSDAFVEKLFDTLARQRGIRGGSINKVQLRDFWDNISDQSFDSRLKTFFDMVDKDADGRITEEEIKEIICLSATANKLSNIQQQAEEYAALIMEELDPDETGFINIDNLETLLLHGPDQTTRGDSKYLSQMLSQKLTTTFAENPIRRRYRDTKYFLQDNWQRVWILALWIGVMFGLFAYKFVQYRRRAAYEVLGHCVCMAKGAAETLKLNMALILLPVCRNTITWLRNKTKLGVAVPFDDNLNFHKVIAVAIAIGVGIHAIYHLTCDFPRLLYASSEKYNLMKPFFGDKPSNYWHFVKSWEGVTGIIMVLLMATAFTLANPRLRRGQNNLPKFLKKLTGFNAFWYSHHLLVIVYALLVVHGIKLYLTKEWYAKTTWMYLAIPITIYALERLVRALRSSTESVRILKVAVYPGNVLTLNMSKPQGFTYKSGQYMFVNCAAVSPFEWHPFSITSAPDDNYLSVHIKIVGDWTRNLKTKFSEVCRAPLNGQSGLLRAGCTEGDNSPSPLPKVMVDGPYGAPAQGYSQYEVVLLVGLGIGATPMISILKDMVNNVKALEEEEWDAIEEGCRVGDGKSTSSSTHQKKARLNDFKTRRAYFYWVTREQSSFDWFKGVMNEVAEEDHREMIELHSYCTSVYEEGVFYCGPAALTKELRQLSLDFSHNTSTKYDFHKENF >OIW18937 pep chromosome:LupAngTanjil_v1.0:LG01:18907636:18908483:-1 gene:TanjilG_25380 transcript:OIW18937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANIEPNMKLVVTRQPSDNYNILKANVDARKLIQVGLTLSDAAGNLPDLGTKFRDFNLACDAYAPNSIALLQRQGIDFASNATYGIHSAHFGRLMISSGLIYSYNVTWVTFHGSYYFGYLVKIITRSTLPTRLEEFLWFVEVMFNDRVYDVKHMMLSCPSLYGGLDQVARALNLDRVGRSHQAGSDSLLTWHAFQKIRDTCFTNDEYKKHAGVLFGLEVERCTKLLDFIDFINM >OIW17784 pep chromosome:LupAngTanjil_v1.0:LG01:34345251:34348573:-1 gene:TanjilG_06469 transcript:OIW17784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPPPANHDSIAAVSTDAPPPPPLIRTYRAWRGNNVFMLQGRLIFGPDVNSVFLSMFLIIAPVAVFCAFVARKLIDDFPHHSGWSIMIIVIVHTLFVLITLVLTSGRDPGIVPRNTHPPEPEDYDVTANVDNDLSQRPRFPRTKNVIVNGISVKIKYCDTCMLYRPLRCSHCSVCDNCVERFDHHCPWVGQCIGLRNYRFYYIFVFSSTLLCLYIHGFCWVYIKRIMDSEEISIWRAMIKTPASIALIIYTFVCVWFVGGLTVFHTYLISTNQSTYENFRYRYNEQANPYNKGILENFKQVFCTSIPPSKNNFRSMVPIPKEPSDLSQRGSAHPLTMMRKARRNVELGGRQVYNETDEEESDYGERFNNEEDSKDSGSAVSLDLSRTLHTEGGEGQDSQFLGHPLRERTSRMLNVSPDVQDGVPSVGESNRTAGSGSSS >OIW19090 pep chromosome:LupAngTanjil_v1.0:LG01:14201648:14206035:-1 gene:TanjilG_06399 transcript:OIW19090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASINNFNPFGGNWFQKPQNPIPPLNTNIFALSDHQPPNFAAISLRNLFRRKPKRPDDEPGPMEQMVYQFFEECENPPDYRHTPEVEKILNEDPVWEKRENPTEEEIRENEELIEMIKNSPVMQFLQRAEEIADKINEMELKENERPYHKEDWEVWKNVPNVIGLDGRPMPRKAQKSRKEADDKFWDFARQFFFGLWGFRQRPYPAGRPIDVAQSIGYKNLEKRYYDFIMRSGGWYYKDRLGRTRGPCELIQLKTAWGAGIVDKNTFIWGEDMDEWAPIHMIYGMERAIATWEVRLGAAATALLFKLQKGIPPWVPLKGFEKKTYKQLQEEAMESKRRDLAVLEANGGVWPGVRIPSYALFLWASGTELTTILEQDQINHMPNKYIPRDLRKKLAEIIPGLRPWEVLSMEQAMDQITFNGEWYREPLGSYTTGPPYIRHWNEDVLRLYKIFEDLSAELYEKMEKTLPGFDKIMEKVQRDFDTRIGKLEEKKEAEKRRREKENNA >OIW18652 pep chromosome:LupAngTanjil_v1.0:LG01:21923249:21927607:-1 gene:TanjilG_13404 transcript:OIW18652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFLSLVGNSFGCSASGERLVSAARDGDVQEAKALLEYNPRLARYYTFGVRNSPLHYSAAHGHHEIVYLLLESGVDINLRNYRGQTALMQACQHGHWEVVQTLIIFNANIHKADYLNGGTALHVAALNGHTRCIRLLLADCIPSIPNFWTVLQTDDHESTIEFDQRTADGGITALHMAALNGHVETVQLLLDLGASVSEVTVEDGTTIDLIGSGSTPLHYAACGGNAQCCQLLIAKGANLNAENANGWTPLMVARSWNKNSLEDILKAPPAEHLKVLPSPYLSLPLMSIVKIARECGWRTSELAPTCLDPCVVCLERKCMVAVEGCDHEFCTQCALYLCSTNSTSTTVNGPPGSIACPLCRHSIVSFVKLPHTRPLTKEIQRTANLSLTFCSCSSDVLGDSSDTTPFCKTPPSRGVKTPHSRSFRSMSCHKFPSFRINPSLCLGADVSSSLVPCGASKNLRSRLARSSAPGFRRSSSDTERRKASWFCSLNQSVATGSGC >OIW17985 pep chromosome:LupAngTanjil_v1.0:LG01:31434916:31435443:-1 gene:TanjilG_31358 transcript:OIW17985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTPNSHIIFLTITTATTIFLTLSTATATATPTPNNKPTVFELLPTYGLPRGLLPSTVTNYTLSEDDGHFVVVLEKPCYVQFDYLVYYDKTVSGKLSYGSITDLKGIEVQRLFLWLNVDEIRVDLPPSNSIYFQVGLINKKLSVDQFKTVHSCRDSITSSPCAGTIATLSSEQV >OIW18509 pep chromosome:LupAngTanjil_v1.0:LG01:20728859:20730432:-1 gene:TanjilG_13261 transcript:OIW18509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGSNRSPLKAAKSSSGGPFKSGLNPFDSDDETKDNKNYNSSRKTSSERALVTLDTNTNPFDDVDANTNPFGDVDANTNSFGDVDANTNSSSSPCALRSVDRNRYKNDFHYAGGLENKSVQKLEGYAVYKAEETTKSVNNCLKIAETIREDATQTLVTLHQQGEQITRSHYVAADIDRDLSRGEKLLGSLGGLFSKTWRPNKTRAITGPVIFGDDPVRSKGNHLEQREKLGLTSVHKGQPKPQTPPQEPTNALEKVEVEKGKQDDALSGLSDLLGELKEMAIDMGSEIERHNKALSHVDNDMDELISRVKGANQRGRRLLGK >OIW18973 pep chromosome:LupAngTanjil_v1.0:LG01:18765176:18771753:1 gene:TanjilG_09167 transcript:OIW18973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDSGPITPGQVSFLLGIIPVFVTWVYSEYLEYKRTSTPPKVHSDTSLDELGKDVIKEDDQAILLESGLTRTGSAKLHVSSVKLNLIRFLTMDDSFLLENRATLRAMAEFGLILFYFYICDRTNLLGDSKKNYSRDLFIFLYILLIIVSAMTSLKKHNDSSAFSGRSILYLNRHQTEEWKGWMQVLFLMYHYFAAAEIYNAIRMFIAAYVWMTGFGNFSYYYVRKDFSLGRFAQMMWRLNFFVAFCCIVLNNDYMLYYICPMHTLFTLMVYGALGIYYKYNDNNSVIAVKILACFLVVILIWEIPGFFDIAWSPFAFFLGYTDPAKPDLPRMHEWHFRSGLDRYIWIIGMIYAYFHPNVEKWMEKLEECETKRKLTIKTCIVSVTLFVGYLWFEYIYKLDKVSYNKLHPYTSWIPITWLGKITLETYISQFHIWLRSNMPNGQPKWLLSFIPEYPMLNFMLTTAIYVLVSHRLFELTNTLKSVFIPAKDNRRLMHNFIAGATISVSLYCIALVLLRIPHPAVSHFLQHIKGLW >OIW19193 pep chromosome:LupAngTanjil_v1.0:LG01:8540110:8556793:1 gene:TanjilG_04936 transcript:OIW19193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGHPHNFRPGGGHHSQPPQPSQPPPPGTALQNPINPYYQPTLPFSPQTTNFHIPNTPPLSHWPLQQNPTRFYPHHFPNPVQQINLTHNGVIQYSPQQQLLNPVQHQNPSTPVQLSVQNLPNPVQPQNFSSAVQKLQNPVQHQNIPVQLQSTKHITSGEVRFSAQRLPSSVVQPLSSPQKPKNPMPTQNLPKKLKKVVVEADRAADQAWRDLFMARETVSAWKVSQNTLLALQVDSWESLGIKMQQVPSLLRLMIIEGKVNAFVHCFVGVRKIISLYDLEVEICKNEGVDSFEALGLGPLLQHPLVKHYFSVHSEMTEVFKITSEEIVQLLIELEASRSKDIIRVEEILDFIASKLPVKCKELLGIRIQNVGSHISAIREARKSEESTLEKCLKTSKLKNMKSKKHSISSSQKKQLRERFSAIAQRVESFSSVEKSYCGKHIRFVSSSSEDEDNDYYSTDDDQNNIIMHNRSGSSSQVGKSSKRVSSCPYPSAVEEMARLKLMGDPRCPNPSAIEEMAQLRLMGDQQRDPLSNSNSKKRFNGPPRKKRKSENVTSKSAPSKLRKRDEIVSGTPIESGSTTELTSNTNEDLSIANDSLQMFAATWKEACWEHKVAEVLERMLEFYDVKPQQRKGIRRMFTSYPFIGLLNAAVSSIKSGMWNSIYDTFQTIGHNELTNSPTKSSEFETIDVGPSMENTPVITKDTSENTKCISAEEVIRKIGTYFDLNNEVHRNCNPPVQDRIMLLRKFCNCESWLGEQFGVKNFSCLGHGDFLLFLEKYVYQLPHELQKLLGSGTCEKSSLEACMSSNQLAALASQALSSLWENETVTKQMISLLLLRQFPSISFEVIGNGTLEDMLDTVGEHKSSVTAKCILFSATMIEKYYLGDSLSNEDKNWSEITTVSSAISQNTRISEAVKTKNAIEVLLKAPMLSDLSKWSHWDVMFAPFLGSLISWLLSDVNAKELLCLVTRDGKVIRIDHSATLDSFLDAAVQGSSFKTAVNLLSLLSLAGGEKHVPLSLLKCHACHAFEVMFRNSLEDIEVSNDRNTLQSEEALREMEMLTGISTTKMRSEFSEHIHNISKVVPILSRFVLDCLGYLPAEFHSFASDVLLSGMQSVYKDATSAILCECNNMEQYVMLHEVGLSRGISEWINDYHAFISNDSSDLSASFSCLKDAKTKKGTSLKHDQEILDSVPEANITASVVASGHKDGCTEIIQTVDKEKSNDESIRSCLENSFQHGEDMDAALVIESIRRDEFGLDPSISDNESCMLKKQHARLGRALHCLSQELYSQDSHFILELVQNADDNIYPVKVEPTLTFILQDCGIVVLNNEQGFSVQNMKALCDVGNSTKKGSNAGYIGKKGIGFKSVFRVTDAPEIHSNGFHVKFDISDGQIGFVLPTVVPPCDVGQLSRIASTGTDSCDDNPWKTCIVLPFRSHLLEGTVMNSIMTLFSDLHPSLLLFLHRLKCIKLRNLLNDTFVIMKKEILGDGIVKVSHGKEKMTWFVVSQKLKTNSIRFDVHTTEISLAFTLQESDNGYSPCLDQQPVFAFLPLRTYGLKFILQGDFVLPSSREEVDGDSPWNQWLLSEYPNLFVRAVREFCELPCFRSEPGKGLSAFMSFVPLVGEVHGFFSSLPRLIISKLRKMNCLLVEGDNNGWAPPCKVLRGWTEEVRAFLPENILHEHLGLRYLDKNILLSDTLARALGIEEFGPNILVQVLSSLCHTKSGLISMGMSWLASCLNTLYVTMFNSSGTMSNNFEIREDILKNLKKTPFIPLSDGTYSSVDEGTIWLQCNNLNSGFDGEHKIETFPNICAKLRTVSPSLFSASSDTSSLHVTYWDNVIRLLQSVGVQQLSVHDVVKLHILPALSNKKIGNKNNELMIEYICFVMLHLKSSCSDCLIEKEHIISELRCKSLLLTDCGYKCPAEVPIHFCSGYGSPVNAKKLADVLNMRWHEVDISYLKHPVNELLSSAPEKWREFFKKIGITDFAQMVQVDKSVADVSDATFKQIMWDRGLISSESIVKDWESPEIMQLVSLLSKSGNEEHCKYLLEVLDTLWDTCYSDKTTGYFYSKSVGDGHPFKTTFICSLCDNRWVVSTMDDELHYPKDLFYDCEAVRTILGAFAPYAIPKVKSERLVDNLGFKTRVTLCDILDILKTWRKSSKTPFKASITQMSKLYAFIWNEMATSKQKTMEDLMSGPFIFIPYSSVFSHEDVVCGTFLSPDEIHWHDSIGSVQKMNEFDPQCISSGFPVNKSLCNIYPGLHGFFVDECGVQEAPALRSYIQILLQLSTVTLPSQAADKIFQVFLKWADGLKSGLLSVEDIIYLKECLTKLEFTVLPTVQDKWVSLHPSFGLVCWCDDKKLKKEFKHSDNLDFLYFGELTEDYEEMVQEKISFIVKNLGIPAISEVITREAIYYGVADCSLKQSLVNWILPYAQRYIHKHHSHRYVQLKQSGFDILDRLKVIVVQQLFYRNVIKSCGSISKKRVECSCLLQENILYTTQESDCHSLFMELSRLLLNGTSDLHFANFIHMITTMAESGSSKEQIEFFLLNSQKMPKLPDEEFVWELSSVPSAIETDESLPLDHIPSTNEQTFPRRKTGGYSNWPPADWKTAPDFNYARAQGFKTHASQASNFSEVEKDDNSEIFIAPPVGAEQGSVAVDWTISDAPAATQAQATGRLGEYLACEYFVGKVGKPAVKWVNEVNETGLPYDIVIGKETDTEFIEVKATRSPRKDWFNISLREWQFAIEKGQSFSIAFVAIMGNNVAKVTIFKDPVKLCQQGELQLAVMMPRQQQIQ >OIW19341 pep chromosome:LupAngTanjil_v1.0:LG01:3657625:3666151:-1 gene:TanjilG_03475 transcript:OIW19341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEPSFSIAESNDDFCNSILSRFSNSTDENHHHLCAIIGAMSQELMDHNLPSTPLAYFSAACSSLDRIASEPMPSKNVIGSLLTIISLVITRVPLPVLKKQREFLSNLLVRILRPSLDSEIGAISGLKCLSYLLINRDSVNWSDVSTLFNVLLGFVTDSRPKVRRQSHLCLRDVLLSFQKSSLLASASEGVTSLLERLLLLVGGANANAGEGNKGAQQILFILDALKECLPLLLMKYKTTILKYFKTLLDLRQPLVTRRITDSLSFLCHYPASEVSPEALLELLISLALSISSNETSGDGMTVTARLLDSGMNKVYPLNRQICVIKIPVVFIALKDILASEHEEAVYAATDALKSMINSCIDECLIKQGVDQISLSDKDSRRSGPTIIEKICVTVESLLDYHYAAVWDRVFQVVSAMFHKLGKYSPFFMKGILKNLEEVQKLPDEDFPYRKQLHECFGSALVAMGPETLLSFIPLNLEVEDLSDANIWLFPILKQYIVGARLNYFTKEILTMIERVRGKARKFEMQGLMVSSRNADALAYSLWSLLPSFCNYPVDTAESFMLLKEHLCIKLKEESDVRGIICTGLQLLIKQNKDILDANDKDSIENDIVKEQDLVRYSQQVATDNLSVLKSSAKSLLDALSEVFLNSTKDDGGCLQHTIGDIAAIGDKAMVSSLFVFRMKELRRHTKEASNVDNFKSFSSMQIDGPLNNKSLSVVRARYIDLAVSLLPGLNAKEINTLFLAIKPALQDLEGVIQKKAYKGLSIILKSSDSFISSNFEELLGLMVEILPSCHIPGKHHRLDCLYFLIVHITKSKDNMDGRWREIVGSFLTEIVLALKEANKKTRNRAYNILVQIGHAFGDEERGGNQENLYQFFNMVAGGLAGDTPHMISAAARGLARLSYEFSDLVLTAFNWLPSTFLLLQRKNKEIIKANLGLLKVLVAKSQAEGLLVHLKIMVEGLLKWQDNTKNHFKAKVKSLMEMLVTKCGLEAVKAVMPEDHMKLLSNIRKIKERKERKHGAKSEESRSHFSKATSSRQSTWNHTRLFSDFDEDSGGSDSGYLNGRTISRRGKSLHLKSAASSFRSKNIRPKKNLHEQFSDQSDDEPLDLLDQQKTRSALRSSDYLKRKSRSDDEVELDSEGRLIICEEGERRKEKPSDTDFGSERDSHLSAKSGTKAQKRRKTLESGWAYTGKEYSSKKAGGDVKRKDKLEPYAYWPLDRKMMSRRPHQRAAARKGMTSVVRMTKKLEGKSASDVLSVKGLKLKRTQKKGSKKIKM >OIW19282 pep chromosome:LupAngTanjil_v1.0:LG01:5699622:5703468:-1 gene:TanjilG_20407 transcript:OIW19282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDPFLSSAEFFSPKSQMMPIAKVTLDDDDVSEEEDDDVFVEAFSDDNSFQTADFEGVHAVENGVDLHYDAKDEKLVNEEKEEERIEILQEREQGDGVDNNTLSGENGNSDSLAVELAHDDNIDKSKHVVHNNVDFVDKNDSVVFNRSDVADKNDGDDKNGDVVKFNGVGGSILENAQVNVSEPSGGDVVEDKVEQGDEKESEIEGVEAPAPDISLENGFNPVEEVGSEGILDNDNKVARLDTESSQIVDTGDDDVKNSDIVPLEKDESVEAVQDDINIEDHANEGELGPMLRSVRLKGDNPAYRYRFLEPTSQLLTRPVLDTQSWDHDCGYDGVNLEQTLAIVNKFPAAVTVQITKDKKDFNIHLDSSVVAKYGENGSTMAGFDIQNIGQQLAYIVRGETKFKNFKRNKTAAGLSVTFLGENVSTSLKVEDQIALGKRLVLVGSTGTVRCQGGSVYGANVEVRLREADFPIGQDQSSLSLSLVKWRGDLALGANFQSQFSLGRSYKMGVRAGLNNKLSGQISVRTSSSDQLQIALIAILPIARAIYKNFWTGASENYSIY >OIW18951 pep chromosome:LupAngTanjil_v1.0:LG01:18386793:18387293:-1 gene:TanjilG_09145 transcript:OIW18951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGPSQKWGYVRIITGTIAGGILGFYVMHRLETNYKEKMNERLRIYEAELNRKKQEKLSEFEETS >OIW19733 pep chromosome:LupAngTanjil_v1.0:LG01:1463247:1466219:1 gene:TanjilG_18543 transcript:OIW19733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGEVQLQPPQIVQNPSRDSDPLLENQEEEEEERESPAATSTEIKDEDEDVEAGLLPSCRICLESDSDPEDELISPCMCKGTQQFVHRSCLDHWRSVKEGFAFSHCTTCKAQFHLRVESFEDNSWRKVKFRLFVARDVFLVFVAVQTVIAAIGGFAYIMDKDGNFRNSFDDGWDRILSRHPIPFYYCIGVLSFFVLIGFFGLILHCSSLNNNDPRMAGCQNCCYGWGILDCFPASMEACFALVVVFVVIFAILGIAYGFLATTMAIQRIWQRHYHILTKRELTKEYIVEDLGGSYSPPKLDPEHEARLKMLNLL >OIW19404 pep chromosome:LupAngTanjil_v1.0:LG01:3060515:3064868:-1 gene:TanjilG_09424 transcript:OIW19404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTFHSFRKALGALKDSTTVGLAKELDIAIVRATSHVEYPPKERHVRKILYATAANQPRADVAYSIHALSKRLSKTRSWIVAIKTLIVIHRILREGDQTFIEDLAKYSHKGHIFQMSNFKDDSSPEAWVCSAWVRSYALFLEERLECFKTLGYDIETERLTKSSPALTKAHSRTRTLGGDELLEHLPALQQLLYRLIGCEPEGSSNSNYLIQYALALVLKESFKIYCALNDGIINLVDMFFEMPRHEAVKALHVYKRARKQAEHLADFYEYCKGLDLARNFQFPILRQPPITFIATMEEYIKEAPQTGYGHKRLEYQEKDQSPHKEPEPEEPRADETQAEEVLIEEEPVEKEETRPKEEEVEPPPLISTDATYDLLGLNEINPKSLELEERNALALAILPPGGNNSSNLALGSISGTSGWELALVTTPSNLTSQAPVRKMAGGFDNLLLDSLYEDENARRQLQLRNAGHGYEGMATYNTLNHYNQHDPFAMYNNIAPPPNVQMALMAQQQQQLQQQQQMMFQQQQHNMMMFPYQHSQTQYPQQNQVIGYSNPFEDPLPVPNHPYNSMPYQGNYNLL >OIW17899 pep chromosome:LupAngTanjil_v1.0:LG01:32868493:32871269:1 gene:TanjilG_19868 transcript:OIW17899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTSSIKMHASAKECSFQAIFNFGDSNSDTGGLSAVFGQAPYPNGITFFHAPAGRYSDGRLIIDFIANSLGLPYLSAYLDSMGSNFSHGANFATAGSTIRPPNRTKSESGYSPISLDVQSVEFSDFKIRSELIRKRGGVFEGLFPKNIYFSEALYTYDIGQNDLTYGYKLNTTTEQVKAYIPDVVSQFSNAIRNVYREGGRSFWIHNTGPLGCLPYVLDGFPELAAQADKFGCSIPLNEVSQYYNLKLKETIVHLRKEMPEATITYVDIYTVKYTLITHAHEYGFEEGVIACCGHGGKYNFDNVARCGATKLINGKKVIIANSCKDPRVRIIWDGIHYTEAANHWIFQQIVNGSYSDPPIPLNMACNSHNTSHD >OIW18934 pep chromosome:LupAngTanjil_v1.0:LG01:18929349:18933511:-1 gene:TanjilG_25377 transcript:OIW18934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSVSIDSFLSNHQSQLGFVHHDGQVHSFRSSNILNLQKASKTPKSLSFMLSVKESKPHNAVQSNGRSSFAQTAAVKHTTGSVTRTQGLRFAVVVARFNEFITKQLLEGALGTFKNHSVQDEDIDVVWVPGSFEIGVVANRLGKSGRYHAILCIGAVIRGDTTHYDAVSNSAASGVLSAGLSSGVPCIFGVLTCDNLDQAINRAGGKSGNKGAEAALTAVS >OIW18881 pep chromosome:LupAngTanjil_v1.0:LG01:19506997:19508975:1 gene:TanjilG_25324 transcript:OIW18881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQEIHSWTFGDLIGAFIDLVVAYFLLCGSAFAFFASKLFKFFGLYFPCPCKGSFGYRNSNFCVHKLLFEWPSRKLCSIQVTAAKRFPFDLVRVKGHSCNACDKIVEDEPSCSSCSVPHLLSVVDKENGYDAKGKRIMNPKRRSGIRRTRRGNYDPGRLSSVVPADNLQSDVAPISSRTHASAKEVNVLDIEDAESSHNLDEKTSDCYEFNGSMVDRPGHDKYSSSSENFMSNVQDNVHIVGNEETHIKMLENALEEEKAAYAALYLELEKERAAAATAADEAIAMILRLQEEKASAEMEMRQYQRMIEERVNYDEEEMNVLQDILIKREMENHFLEKELEAYRQLDTRGSDRSSGKRTVLFDEWGQRPPISVETREDPRQTESTTMPMVMEDEISNIFSSYMVAQTCINTEVGEEPENNTQQKDQAHYNLHSSFYDTEPDVLDVHVIDENIELREEENEKISSSSLSTSVDGPTIQSSVLSNSRCKTMPFESRDDSSYTVHTEKLIIDKEIEILRERLRMAQLEKEKLNFSAENGGSEKGRLKLPEEIANYLI >OIW18284 pep chromosome:LupAngTanjil_v1.0:LG01:24782058:24785147:1 gene:TanjilG_31424 transcript:OIW18284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVDGEELTAQETALYDRQIRVWGADAQRRLSKAHVLVYGMKGTVAEFCKNIVLAGVGSLTLIDDRVATEEAFSSNFLIPSDENVYGGKTLAQLCCDSLKDFNPMVRVSVEKGDISSFDVEFFNKFDVVVVSCCSLSAKKLVNEKCRKLSKRVAFYTVDCRDSCGEIFADLQDYKYSKKKLDETIECHLQYPTFVEAISIPWKALHRRMSKLYFAMRVIEKYEESEGRSAGEVSIADLPGVLKLKKELCTAQSLNESHVPDTLLERLVTNTTEFPPVCAIIGGILGQEVIKAISGKGDPLKNFFFFDAFDGKGIIEDISDSNAGR >OIW18832 pep chromosome:LupAngTanjil_v1.0:LG01:19994271:19995242:-1 gene:TanjilG_25275 transcript:OIW18832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPTSPTDPSPPFALPLLPPPPSSTSSRRLPPPCWSPEETAALINSYRDKWYSLARSNLKATHWQEVADAVSAACPNVSPPKTAVQCRHKMEKLRKRYRAEIQRARNLPVKKFNSSWLHFKLMDSMEKGPLPPKSDNNNNDYADFVDVDDEIDAEDDEDQDFIHANSLYKLRRNGIGSSGGDGGIGGGGSRRVEGGFRIRIPSGVSVAKPDSRFYGRFSDEKRNPNSSYGAKSVKEGSALGKRERDPVEEMVNAIKVLADGFVRTEQMKMEMAREIESMRMDMEMKRTEMILESQHRIVEAFANAVSAQNKKTKTVPSSPES >OIW18800 pep chromosome:LupAngTanjil_v1.0:LG01:23183747:23193360:1 gene:TanjilG_13552 transcript:OIW18800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQLVGEDEIESLRIELAEIGRSIRSSFRSHASSFRSVPSVDAVQDVESYEEETSLWAEIQRLPTFERITSALVDVHDDDGMKTRGRVQGKQVVDVSKLGAQERNVFIEKLIKHIENDNLRLLQKLRKRIDKVGIKLPTVEVRYQNLCVEAECQVVQGKPIPTLWNTLKGWIFDAVTLSVLKSQNSKKIIIKDASGIIKPGRMTLLLGPPASGKTTLLLALAGKLDHSLKVEGEISYNGHLLDEFIPQKSSAYVSQYDLHISEMTVRETLDFSAQCQGVGSRAELLMEVSRKEKEAGIVPDPDLDAYMKGSSLVLLLLIIQHFTDPATSLKGLKSTLQTDYILKILGLDICADTLVGDPIRRGVSGGQKKRLTTGEMIVGPTKALFMDEISNGLDSSTTFQIISCLQHLVHITDATALISLLQPAPETFDLFDDIVLMAEGKIVYHGPRDYVLEFFEDCGFRCPQRKGTADFLQEVISKKDQAQYWSRTEEPYSYVSLDQLIKKFKDCPFGQKLEKEHLQPFDKSQNHKNSLTFKKYSLTKWELFKACMMREILLMKRNSFVYVFKSTQLVIVASIAMTVFIRTRMTVDVPHGNDFMSSLFYSLIILLVDGFPELSMTVARLSVFYKQKELSFFPPWAYAIPSAILKIPLSLLESFIWTTLTYYVIGYSPEVSRFFRQFLLLFTVHLTSVSMFRFIASVSQTVVASVMAGTMSVLFVLLFGGFIIPKPYMPSWLRWGFWLSPLTYGEIGLTVNEFLAPRWKKMSGNATLGHQVLQSRGLNFDGYFYWISIGALIGFIILFNAGFTLVLTFSKSPARSRALISYKNISKLQGKQESNGSFGGDTEPADALLESTAEPKKGGMVLPFQPLTVAFRDVHYYVDTPLEMRNGGYNEKKLQLLSDITGSFRPGILTALMGVSGAGKTTLMDVLCGRKTGGTIEGEIRIGGFPKVQETFARVSGYCEQNDIHSPNITVEESIMFSAWLRLPPEIDAKTKAEFVNEVLHTIELDGIKDSLVGMPDISGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAVVMRAVKNVVETGRTVTCTIHQPSIDIFEAFDELILMKTGGRIIYSGPLGRHSSRIIEYFENISGVPKIKDNYNPSTWMLEVTSRSAETELGVDFAKIYRESTLYERNKELVKELSSPPPGSTDLYFLSHFPQNGWEQFKACLWKQHLSYWRSPSYNLLRIIFVIASSLLFGILFWKKGNKINNQQDLFIVFGSMFTAALFFGINNCSTVLPHVATERSVLYRERFAGMYSPWAYSLAQVLIEVPYLFTQAVLYVIITYPMMGYYWSATKVFWLLYSMFCNILYFNYLGMLLVSITPNIQLASIVASSSYTMLNLFSGYFLPRLQIPKWWVWMYYLCPMSWALNGMLTSQYGDINKEISAFEETKTVAEFLKDYYGFHHDFLGVTAIVLIAFPIVFALLFSYCIGNLNFQRR >OIW19266 pep chromosome:LupAngTanjil_v1.0:LG01:6005334:6006741:-1 gene:TanjilG_20391 transcript:OIW19266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSGPKKRKAAKRKRQMEKNINNHPQGNDESQNEKGSDGGEVSSPEHHDHDDNHHQNPFNEGTEEVKEAGPLTAQPIASDAKSTEEIRSDIQIDKVVGVKEDSVVLVEGDMKSEQSSESKDLSFEQIENAKESYYRNANGSSTSNDESVAEKNTKGHDYNSIEVSIECHEFVKPIDSSPSNMTLIAENAPVEETGNSAAESSVNSVKAVASLSEAEKDDNGSVLLEKSVVPSIGVTNLAMKLNEDHAYPLTDESARASNLEEPKPKGCDSKVLASFSANTFTKSSNSAKHIKDSETPEYSENQPLLASAPRTVRKTSWLSCCGLFEVLSGSNR >OIW18459 pep chromosome:LupAngTanjil_v1.0:LG01:20422343:20443665:-1 gene:TanjilG_13211 transcript:OIW18459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSQNVELEAAKFLHKLIQDSKDEPAKLATKLYVILQHMKSSGKEHSMPYQVISRAMETVINQHGLDIEALKSSRLPLTGGPQIGSSSQAVGVAKDSRVGLAENEMSKMDPLASGRPPVAPSGGAPDYYQGPAAQRSSQSFDHGSPSSLDSRSANSQPQDRRDTANWDKQVNQKDGKKATTKRKRGDTSPPVELHVDSPSQLDPRNTVANARKGKQNKAEPSDDLPGESSELTNFNMIPSSAFAKVHGGMAVPTSASPFAEPVFSSSMQHVGTLERDVGSLATLDQIGRQSSGSEMTMLRQGVHSRDTGKSTVTAAPASSAMLFKEQQLKQLRAQCLVFLAFRNCLVPKKLHLEIALGTPFSREDGSGKDLAEHKGKLQSFNEPGNTSGVMMPFGDPSGSSYAGKVQEGETLSRGTESPRVMDDIGNLHPDMHILSEERKHLIATKSEVERHMQERVAGQASSATSCHQQHSSSTRGAVVNKHFDDVDMQIGRSNQSSVTGSNSWPGFVGHNEASVGPPQISNTHHELAIERRENIPSQFQIVGNNCGSRNHNSVNHLTPYSLKEHWKPVPGTDSDLHGATMRDGNVMTKHVSQDGFKAGSVDDAFKHGLTEQDGNGRSVLADLPPPKYTLSERWIMDQRKRRHIVEQNLVQRQQKTKQKMATSFHMLKEKVSSSEDISAKTKSVIELKKLQLLELQHRLRRHGRRVKQLEKYEQKMKEERQKRIRERQKEFFSEIEVHKEKLDDVFKAKRERWKGFNRYVKEFHKKKERSHREKIDRIQREKINLLKINDVEGYLRMVQHKGERCGAAIYFTGRYQMNGLRWLVSLYNNHLNGILADEMGLGKTVQVISLICYLMETKNDRGPFLVVVPSSVLPGWESEINFWAPSILKIVYSGPPEERRRLFKERIVHQKFNVLLTTYEYLMNKHDRPKLSKIHWHYIIIDEGHRIKNASCKLNAELKHYQSSHRLLLTGTPLQDAKSDRVKQLLKETETYLQKLGSKLQEAKAAAGHFGHDVDEIGRASFLENSETNLENEDEASLSLLSISLISSNSVLQHYMESNEKYYMMAHSIKESVAEQPSILLGGKLREYQMNGLRWLVSLYNNHLNGILADEMGLGKTVQVISLICYLMETKNDRGPFLVVVPSSVLPGWESEINFWAPSILKIVYSGPPEERRRLFKERIVHQKFNVLLTTYEYLMNKHDRPKLSKIHWHYIIIDEGHRIKNASCKLNAELKHYQSSHRLLLTGTPLQNNLEELWALLNFLLPNIFNSSEDFSQWFNKPFESTGDNSPDEALLSEEENLLIINRLHQVLRPFVLRRLKHKVENELPEKIERLIRCEASAYQKLLMKRVEENLGAIGSSKARSVHNSVMELRNICNHPYLSQLHADEVLFFSTMTRLLDVMEEYLTLKQYRYLRLDGHTSGGDRGALIDLFNKSDSPYFIFLLSIRAGGVGVNLQAADTVDLQAQARAHRIGQKRDVLVLRFETVQTVEEQVRASAEHKLGVANQSITAGFFDNNTSAEDRREYLESLLRECKKEEAAPVLDDDALNDIIARSETEIDVFEAVDKRRREDELATWNRLVLGQATDGSELTHPLPSRLVTDEDLRQLYEVMKISDVPKSRVESTGVKRKGGNLGGLDTQHYGRGKRAREVRSYEEQWTEEEFEKICQSETPDSPKVKEAAEMSYSTNASSSSISVSKTEPVINHPSILTETAVVPPVAPNPILPSVGCLPIQQVKDITPPVKRGRGRPKKITAPPVNVPPATSETIEVNMQSQKGTGSGHLTSSTSDSIAHSAVVVGVSGLIQQPDAGAVPNAQPAIPVPTILPSSQSAVAPVSVPIQAKGQGRKTHSSGQGTRRRGKKQTMISPPIPSGSVGPTLKVNEQLEDKLVSPPSVHAISQNEPLASIAAEHHPTTFSGSGSLNSGMDHLGVGTALKSQQQLPLASATPLAQVAPTYPSVEMQSNGQNQKSQNAAGAPRRRGKKHAAIPPPVPDVIGHPDLHLTSNLQISPGSLLGDNATELKSLQQYNVQVSECVIQDQASQSLGNQDLKSMERSDDLAKQTVALSPGQSCTMKSPGLDSEKVKNPDVLDSSVENIKSSEIASSKIEVCENLGNENLIVATLPVTEVTNSLQSGCTTHNAVEASKMIPSVDLTPTKSLAGSATTESVSQSLDSMTANFVTSAPLGIIYASTVDSESTHSSSFESTLAKKQGRKTQNRVEPRRRGKRSASVLPAVPDALVGHPKLSPRALNASEVSLAGKATKNVTQPKALEILLPTEVAGHDSKRKEKVANSSQNKQNVNDVARVMKEVFSGICLPKSKVHDSVGSEDRTTPSVHVMANPAADASNNQSVEEKACPDIPLTGAACVTSNVHEKELDEASNMQTQEGKASLDTTTTGAMSLTSAISVNENEQQSASVSDKKMTLLNETLPNVSEPGTSGYGEVKEKEEQTQHCIENSNTQNKMEALDATPLHASQKTDGSSERLSTGGSLTDLNTETSIHLICSSLVSPGAEPLTANHNLGKQSDSSLEKCSRSSPLDIGGTGCQATPLKSENSNNGFENFQADTFTQSHLSTKEPPNIIEHTSNENIDPPNSSPKSSPLACSDSSGLVVQAENLGDQPQVTIVVSSISEQAEINSRNETESSLQASAEFSLDEEIGGDKISASADNDKDGTAEPPNTSLNPTSLGNHSQNTIESSIQQFSESASEMEGSGSPKAVLDKEHQDAFLGSADMHETPLVESCPVSHREERKDEEDFVCEQLQSGGFRSLECQVEAVEKVVTNSSGIQVEPKVDNMESDVQVDTSISQILEENVDLPSYDSLAGSGDHTSKSVSLLSSPEVCQSDEEGSKADQSNCFDKSQCGYLLPENTEMEINEIPSDWPVTVSESVEGELLIEDKNHVAEISDQIDASQISENDPDKLASKNVDVSSSCSLMEEEKVSVLSDKTLICDLPAQSEPIDPVIPEESCRGGIKSPIANPLPQQESENPEPVTCDQMQTSNADRVDLGLTCKKMELTSSSVTEQDKADMLGEPNDCSTGEGSCRDATVGLSVNSVLLPESVSAEAEMGKQGESQVDGNESKGLADTEERADIVKRYDAEMTNVSQVPSSSETVENVVGLSEEGVVASKAGMQVAEEYEAVLGGTMDVTPGCETTLIHGVSSICSSVVGNELVESLSEKDLIGNSEDKQDTKESEAEVGNQENQIGQENAMQDIEKSQALVAEVENAENSSEDGRNIVENSEIVHEKAPEKEPSESSVAAEAQESETKL >OIW17751 pep chromosome:LupAngTanjil_v1.0:LG01:34740012:34742750:-1 gene:TanjilG_00345 transcript:OIW17751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPNPGTFPILSYVMSRLPSFGSTTVISHIQSDIEQPPSSDPSSSSSASIVGQMPQLADPKLLAAMTSAISDVSQARSVLKLIGERPTHEDVDTAKAQLADIEAHLSRQMQEIVGLPRPPEIDQDKWQAHVAQKEKECKESVEKEKRVYKSLIQLDEMHDAYEKLLNDAEKRLEKLYKNAGEDDDEKGGGGGGSGSEEEVNEQVHEILQEADVKGVERVDLSGQRLKFMPEAFGHIPGLVVLDASKNQLSVIPDSIAGLQNLEELNISSNLLATLPDSIGFLHKLKVLNVSGNKLSAFPDSICHCKSLVELDASFNSLQYLPTNIGYELQNLQKLIIQLNKIRSLPSSICEMKSLRYLDAHFNELHGLPIAFGKLNNLEFLNLSSNFSDLKELPETFGDLISLRELDLSNNQIHLLPDTFGRLHNLSKLNLDQNPIELPPTEIVKQGVVAIKGFMAKRWMDMLAEEERKSTQELQGQEEGQNGWLNRSTSWLKNASVNVTDYVGTAIKETMSPRTPKDAFLDQQL >OIW19285 pep chromosome:LupAngTanjil_v1.0:LG01:5673795:5677157:1 gene:TanjilG_20410 transcript:OIW19285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVASPADQATDLQQTLSLDNKPKPLEIPEPTEKASGNQYGTVNSGNALNGQVPPYDRSVTPQSQEFTDPSLCYVHHGGYPSPAYYYGGYDGTGNEWEDYSRQPNHEGLDLTSGVYGDNGSLLYHHGYGYAPYAPYSPAGSPVPTIGNDGQLYGPQHYQYPPYFPPLTPTSGGSFAPTATIPPQGGITTSVAVDQKPLPVETANGNSNGVTNGRNAKGNNGSAPVKLAYQNSFSSNASYERGAVPSRGPNSGYQDPRFGFDGVHSPVTWLDAPLFSDGQQRPANSTIISSSVSGGNNSTASRNQNFRPNSQFMGLQHPRPIPALGAAPGYINRMYSNKLYSQYGNTVRSSMGYGTHGYDSRTHGRGWLAVDNKYKNRGRSGGFFGYGNENTDGLNELNRGPRAKSNKNQKGFAPTVLAVKGQNLPAALSTSEEKDKTVSVPDLDQYNNVEFPEEYTDAKFFIIKSYSEDDIHKSIKYNVWASTQNGNKKLDAAYQEAQQKPGGCPVFLFFSVNTSGQFVGLAEMIGPVDFNKSVEYWQQDKWNGCFPLKWHIVKDVPNNLLRHITLENNENKPVTNSRDTQEVMLEPGLKVIKIFKEYASKTCILDDFGFYEARQKTILEKKAKQQYPKQVWEGKPTDEKTEVDDDVKAQNPEVAAELIKDLSLAEKDSDDHKQSESGSVSKTGDAPKGAKPVVSEIKTIANGF >OIW17654 pep chromosome:LupAngTanjil_v1.0:LG01:35473599:35477184:-1 gene:TanjilG_29004 transcript:OIW17654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGVENVIQEVDLKQPIILSSNIDVQGGVQELHQTIVIQDVVNHEIIIQSEENNILPTSDSPIPENDTYLTTATIPEIGAVGITIENDEEIKELYLESVFHKLPTEGFYCPNCKTCIQKVYIQKGELKQIITDLDQLPPTDTFRCSSCFSFLIPIGNWLSGLVSGENGGLNQQGTRSSAGNDVTSPDSSNQSTLTPQDQKGLVAPRKEHFWSDWTVIGWFSKATKHKQGKQTSIEENKQPLIPREVVVVVEEVASNKALEILKSIVYGGLIESLASLSVVTSAASADATTLSIVALAIANLIGGLFIFAHNLGELKAESVDRYKELLGEKKNFILHSFIAIISFIIFGLVPPLVYGFSFHESGDKDHKIGAVLAASVLCITLLSIAKAYIQRSNSFVAYFKTVLYYVSTGSVLSVFSYLAGDLVKKLLEKVPWIEPSSNYALHVHGMMKCAKQH >OIW19638 pep chromosome:LupAngTanjil_v1.0:LG01:774913:778216:1 gene:TanjilG_18448 transcript:OIW19638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSIPSEDRSEIVFFDVETTVPTRPGQGFAILEFGAILVCPRKLTELQNYCTLVRPADSSLISPLSERCNGITADAVSSAPTFAEIADAVYDLLHGRIWAGHNIIRFDCVRVRDAFAEVNKTAPEPKGIIDSLVLLTQKFGRRAGNMKMATLATYFGLGRQTHRSLDDVRMNLEVLKYCATVLFLESSLPAIFTENSWVSPNAVTRSRSNAKSPSDRVSLSTNKDPATETTEENHPIRCITMGNAEGALTNVSHPNSVQRDAFDLAALGAEITSETIQTDVVMDEKAQESPNMSSPSFESHATSSSIVVLEPDEISIPSIDASLVPFFRGINRIELLHNGFPFQLHCTGLKVRFGISTKFVDQAGRPRLNFVVDPSPSLCKVLDACNNIAHKLSLDSGSSSDWRPVVIRKDGFFNYPTIRLHIPTAVIGDIAIYATEIYQKESSGAEQRLIFSKFDATELGSLFVPGTFVDALFTLDPYDYQQNAGIKLVAKKLCIHSK >OIW19636 pep chromosome:LupAngTanjil_v1.0:LG01:756718:758153:1 gene:TanjilG_18446 transcript:OIW19636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENVSAMYNKKEDQMDLPPGFRFHPTDEELISQYLYKKVTDSKFTARAIGEVDLNRCEPWDLPWKAKMGEKEWYFFCVRDRKYPTGLRTNRATEAGYWKATGKDKEIFKGKSLVGMKKTLVFYKGRAPKGEKSNWVMHEYRLEGKFTVNYLPKTAKNEWVICRVFQKSSSGKKIHISGIMRLDSYGNKLGCSVLPPKTMNDSAYVPCFSNLIDNVQRNNINNNNNQGGIYDPFTFPVYAGLSNPLQIPLPRVPPPPPSTVTASFYTSTQGPTNLPLPGSVYNMQDQTSFYDNNNGSNNMMNGFKTDREMIGVSNNNGPNNMMNGCFKTDREISQETCPTTDMNAATSSVVSNFDMCRRPFHNQNQHHPPPPTTTSAAPMDLDGLWNY >OIW19016 pep chromosome:LupAngTanjil_v1.0:LG01:17462829:17464616:-1 gene:TanjilG_10577 transcript:OIW19016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHVSFFRKYGKTFKKPRRPYEKERLDSELKLVGEYGLRCKRELWRVQYALSRIRNNARNLLTLDEKNPRRIFEGEALLRRMFRYGLLDETQNKLDYVLALTVENFLERRLQTLVFKSGMAKSIHHARVLIRQRHIRVGRQVVNIPSFLVRIDSQKHIDFSLTSPLGGGRPGRVKRRNQKAAAKKASGGDGDEEDED >OIW18080 pep chromosome:LupAngTanjil_v1.0:LG01:29029609:29030022:-1 gene:TanjilG_08550 transcript:OIW18080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSSSAPPVLHNEPENENPNNSTKVMNLRSKLNVFGDLWNFKIPSRKRSKVVYKEKFQKELIDLLKNEMRPNESFHEEVLMFNNVNSFVSNNEIGLGAILLKPDDAST >OIW17953 pep chromosome:LupAngTanjil_v1.0:LG01:32185806:32187209:-1 gene:TanjilG_17789 transcript:OIW17953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METIKPKSAINNSRSKRFAKTFQKVISLKSATKIASNNGICMLNSHLKVKEEDPFTDYHNKTIGNKDNNNKVRNKAVMEALLARLFAGVTTIKAAYAELQMAQHPYNNDSIQAADQEVVDELKAISELKRRFMKKDLDLSPQITIMLAEIQEQQSLMKTYEITIKKLEAEVDVRDSKMLSLKKHLDESIACNKSLEKKLNSSGSLSMFDNIKLSLLNTTHFVQFLHHTLRSIRSFVKLMIREMESAHWDLEAAVKFIHPNASFTKPTHKTFAFESYVCITMLEGFNYPNFTLPNDQIQKHHHHYNNQSLYFEKFKKLKSLNPKQYLTHNPNSSFQWFLKSKYLQVVHAKMECSLFGNLNQRKVVNSGGYPDSAFFIAFAEMAKRVWCLHCLALSFDEDVTVFQVKKNARFSEVFMESVTEEAVSNSSSGSDSGEVRVVFTVVPGFKIGKTVIQSQVYMSPVGSLASQ >OIW18654 pep chromosome:LupAngTanjil_v1.0:LG01:21934237:21938356:1 gene:TanjilG_13406 transcript:OIW18654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEGEGEMAKVRTKPIVRLGIFLISHSSFFSVICLIAAVVALLLLPVLAKNTYISENALMPGSANNMLSTQDASEANKLVNDLTHLGLRSPIESQKIVGQYMSGLDAEVTYHKFYPQLNRFHPLHFFTSPDSGISSKTLNCSSPAINTVGIIRAPRGDGKETIVLVTPYNPVKNDVGEALSLGIAYSVFSLLSRVTWLAKDIVWLVADSRYGEYSAVDAWLTEYHNPVYGVDMKFYSGFRRAGTMAAALVIKVAEDGNHIEDSLNIYAEASNGQMPNLDLINIVNYLAVHKQGLRIKVKKIWSLLGLRWLNTLGVIFESVGQIARSLNPQWKFGIPASEYVEGTATLASSLYYQGLGVPTGPHGAFRDYQVDAITLEISPKVSLTKSIRRNDFILRGGRLIEGVVLSINNFLEKFHQSFFLYLLTSPSKFVSIGVYMIPFALLVAPLPLVAASLHADTSKSTTPQTTGVDVSRKSWKWLNSAQKKREWVSLKSVTITAAFMGLSLMSVINFATAQISALFIVPICLMARPLKLDALARSLGTLLRASFNLVLGFIAFPPVAYVLLKVAFDDVDGFNAGEYWNWLESLWTWNSATYLYVGIVHLPCWALCIHILFHPC >OIW17683 pep chromosome:LupAngTanjil_v1.0:LG01:35240504:35244395:-1 gene:TanjilG_29033 transcript:OIW17683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRPNERTEGRRNRYKVAVDAEEGRRRREDNMVEIRKNKREESLQKKRREGFAAQQQFTTPINNFDKKLETLPALVAGLWSDDNSTQLEATTQFRKLLSIERSPPIEEVIQSGVVPRFVQFLSREDFPQVQFEAAWALTNIASGTSENTKVVIDHGAIPTFVKLLSSPSEDVREQAVWALGNVAGDSSHCRDLVLSQGALIPLLAQLNEHTKLSMLRNATWTLSNFCRGKPQPPFEQVRSALPALERLIFSNDDEVLTDACWALSYLSDGTNDKIQAVIEAGVCTRLVQLLLHPSPSVLIPALRTVGNIVTGDDVQTQCIINHGALPSLLSLLTHNHKKSIKKEACWAISNITAGIKEQIQAVIEAGLIGPLVVLLQNAEFDIKKEAAWAISNATSGGSNEQIKYLVSQGCIKPLCELLICPDPRIITVCLEGLENILKVGEAEKYLGNTGNVNLYAQMIDDAEGLEKIENLQSHDNNEIYEKAVKMLETYWLEDEDDETLPPGDGAQPGFNFGSNELPVPSGGFNFR >OIW18454 pep chromosome:LupAngTanjil_v1.0:LG01:20396277:20396880:-1 gene:TanjilG_13206 transcript:OIW18454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAENTTHSLNTSSSTTLTSAVGDLIKLLPTGTVFLFQFLNPIATNTDSYTATDNKRHYGIVTTKGLYPSPPNTDLSKYSLKFSDFVHAVLSLVAFAVLALLDTNTVHCFYPDFDSTQKQLLQVLPPAIGVVVGGVFMIFPNTRHGIGYPTSSDSNEDTSSKLSNDTAAAPPQIV >OIW17707 pep chromosome:LupAngTanjil_v1.0:LG01:35038761:35040351:1 gene:TanjilG_29057 transcript:OIW17707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCNFTAADSAVSGDRAAGEFMLFGVRVVVDSMRKSVSLNNLSHYEIPQDASSNNNNNTSNKDAIAAGYASADDAIPHNSAKLRERKRGIPWTEEEHKLFLVGLQKVGKGDWRGISRNYVKTRTPTQVASHAQKYFLRRTNLNRRRRRSSLFDITTDTVPMEEEQTQNQDSASDSQSMCPATTEASNKNGFQVMPMYPIGVGSGMFSIQAENPMEELTLGQGIMEHNVSTNQVLLIPKATTMSNIASNSSSIMDPPTLSLGLSFSSHPQTSSRHSPLPVIPRFNNGDNIISVA >OIW17861 pep chromosome:LupAngTanjil_v1.0:LG01:33489597:33490742:-1 gene:TanjilG_14107 transcript:OIW17861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLGSSPVLEDPVLRYWRIQSSGTGGSSPPVLEDPVLRYWRIQSSGTGGSSPPVLEDPVLRYWRIQSSGTGGSSPPKNSPWLSVPQFGDWDQKGEVPDYSMDFSKIRETRKQNKTNISRASLGNEEEFINSTATSVNTAETTQHHHPHHFDQTHSPTVRFFTNFVSL >OIW18987 pep chromosome:LupAngTanjil_v1.0:LG01:17943064:17950408:-1 gene:TanjilG_31045 transcript:OIW18987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAGVENDVVHGSSSNQISPRDFILSVASNIASQSLQNSNPHVWGVLTAISKNARKRTQGINILLTGNEHCIGRLVEDVRFQIDSHSVSANHCRIYRMKVTNENMEDTTAIFLKDTSTNGTYLNWEKLKKNSAAVKVRHGDIISFAAPPQHEIAFAFVYREVSVSTPMPDNIVPKRKAEEFVSENKRLKGLGIGAPEGPISLDDFRSLQRSNTEQRKQLESQVVLIETLRNENRAAAELHESELKSVKEATEKCYFDQVKELQLMVDLKEKELVGVNKVSAEQKCAMEDLNERLSASIQSCAEANDIISSQKVNIAELKEQLDEERTQRKEEREKAADDLKAAVHRAQSEALEELKRLSDASSKRAREQQEAINKLQESEREQSLLVETLRSKLEDTRQKLVLSENKVRQLETQVHKEQLACANELKKVEELEQETKRLRKELESEKTAREEAWAKVSVLELEITAAMRDLDFERRRLKGARERLMLRETQLRSFYSTTEEIQKLFAKQQEQLKSMQKTLEDDENYDDTSNDMDGLIGGTSAREKEVAEYYNKNAAKVGLTTYEKKVNRDQVETSSDEASVTEKHDCDIRSQECQNTQEAEFTSEDHDPGVRGGFGSNIDGGGTVPLMEGDVVGTERVHETESPRNLGEQNIDLNKRGALEGDTLQFDDDVCVEETEEHVETNSQEVLHHSQSNNAAETQKTIEEDTEVGDTIRTIDLISSEVAGSWAVSTAPSADGENKYTRSIDKNEGPGALHDSNGVVVESQNNPSAATRENDRRALSEMIGIVAPELREQFGGSANDCDKKREKHGCISDSETESCSDTGSDDDIADVDEKGGPISDAETDTGDHVEEDPMDEDDVATQEDSLG >OIW18740 pep chromosome:LupAngTanjil_v1.0:LG01:22633863:22637755:-1 gene:TanjilG_13492 transcript:OIW18740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSYLNLALYGVGGLVVAGFSMLIAFQEKLVYVPVLPGVTKSYAITPSRLSLSYEDVWLRSSDGVRLHAWFIKLFPDCRGPTILFFQENAGNIAHRLEMVCMMLQQLKCNVFMLSYRGYGASDGYPSQHGITKDAQAALDHLSQRTDIDTSRIVVFGRSLGGAVGTVVTKNNPDKVAALILENTFTSILDMAGVLLPFLKWVIGGSSSKGPKLLNFVVRSPWRTIDVVGEIKQPILFLSGLQDEMVPPAHMQMLYAKAAARNNRCLFVDFPTGMHMHTWLNVGDHYWRTIQQFFEQHVPERKSENGKHTF >OIW18063 pep chromosome:LupAngTanjil_v1.0:LG01:29476641:29480741:-1 gene:TanjilG_19295 transcript:OIW18063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNVEGRYHHHTKQNKVSPLPKPPTYTTTLPQKPSNSPSVPSDPYPNDPKDSYSECVFDVRSFGAVGDGSADDTSAFREAWKAACAVESGIVLAPENYVFQITSTIFSGPCKPGLVFQVDGVLMAPDGPDTWPEEDSRNQWLVFYKLDQMSLNGTGTIEGNGDKWWDLPCKPHRGPDGKTSPGPCGSPALIRFFMSSNLKVNGLKIQNSPQFHMIFNGCQGVLINALNISSPELSPNTDGIHVENSNDVGIYNSKISNGDDCISIGPGSSNVDIEGVTCGPSHGISIGSLGVHNSQACVSNLTVRNTIISESDNGLRIKTWQGGMGSVTGLKFENINMENVRNCIIIDQYYCLSKECLNQTSAVHVHDVSYKNIKGTYDVRTPPIHFACSDTVACTNITLSEVELLPYEGELLDEPFCWNAYGSQETLTIPPINCLRDGDPETVGDPSAYECS >OIW18157 pep chromosome:LupAngTanjil_v1.0:LG01:26061510:26064179:1 gene:TanjilG_31277 transcript:OIW18157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGESLSGATLIHNPTPKVENDMMSIFDKHLLQNISELPKEFQWPSEQLVETSHESLNEPLIDLGVMKTGDEVAIAKAAELVRNACMKHGLFQVTNHGVDLDLTKAAYDEMDTIFNLPVSKKLSAKKKEGSQEGYSGAHGDRFASRLPWKEILTFINDYSKISDSQVLDYFVSTFGPEFQHTGLVFQKYCEAMKEFSHTVLELLAISLGVDRMHYKEFFDDGYLVMRVNSYPPCLDNAHTFGTGPHTDPTSLTFLHQDQVGGLEVFSDNKWLEVRPRPDAFVINIGDTFMALTNGIYKSCMHRVLVNKEVQRKSMTGFLDPRGDKAVRAPESLISKDTPRKYPDFTWADILDFTQKHHRAEADTLDVYFSWLGSSKSAPANSAPANSAPEE >OIW18629 pep chromosome:LupAngTanjil_v1.0:LG01:21763682:21768351:1 gene:TanjilG_13381 transcript:OIW18629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHTLGLTHPSDTYSPKISFSAKDVDITEWKGDILVVGVTENDLARDSNSNFQNSILKKLDSKLGGLLAEASFEEDFSGKVGQSTVLRITGLGSKRVGLIGLGQSALTPSDFKAFGEVFATAAKSAQAANAAIVLASSEGLSAQSKLSSAYAIASGVVLGIFEDNRYRSESKKPTLTSVDIIGLGSGPELDKKLKYARDVSSGIILGRELVNSPPNVLTPAVLAEEASKIASTYSEVFTATILNAEQCKELKMGSYLGVAEASANPPHFIHLRYKPPSGPINVKLALVGKGLTFDSGGYNIKTGPGCLIELMKFDMGGSAAVFGAAKALGQIKPLGVEVHFIVAACENMISGTGMRPGDIVTASNGKTIEVNNTDAEGRLTLADALVYACNQGVEKVVDLATLTGACAVALGPKIAGVFTPNDDLAKEVLQASEVSGEKLWRMPLEESYWESMKSGVADMKNSGSRQGGAICAALFLKQFVNEKVQWVHIDMVGPVWSYKKRSATGFGVATLVEWVLKNSS >OIW17759 pep chromosome:LupAngTanjil_v1.0:LG01:34648042:34650903:-1 gene:TanjilG_06444 transcript:OIW17759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHVSSSPLFSGNQVYDESSGGRNFEQEHPHEVHCSRERSRIARKVIEEYLTPFVERENYQLSKKCKLHPEKDIFRDQEEHKVYIDIHEWRCGYCKKSFREENFLDQHFDNRHYNLLNVSDGKCLADLCGALHCDAVMTSKSSRSKCNPAAAAKNRHLCESLADGCFPISEGPSASRLHELFLHQFCNAHTCSGKQKPFSRGGKEQRSFFSIAAAALILVLLPVFYLFLYLIQSDMKSRTQELRRISKAGWKTKPS >OIW19325 pep chromosome:LupAngTanjil_v1.0:LG01:3792060:3796095:-1 gene:TanjilG_26024 transcript:OIW19325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSENDPSHHSLEAHLPPSSHACMHKFRLYETRSNFYMIGRNKSRTYWRVLKIDRLDSSELNLREDSTTYTESECSDLLKRIHEGNKSTGGLKLVMESLGSSNFWGLTKCFLSQKEGKLVQSVVMQYMPYKRLLCTVDLTKDFYFSYSYHIMREHESGQVLYETMFVWNEFLTRGVRNHLQNTLWTVALVYGFFKQDTLTTVSGREFILTLIVRRSRHYAGTRYLRRGVNEKGRVANDVETEQILFEDVPEGLPIRISSIVQNRGSIPLFWSQETSRLNIKPEIILSKKDQNYQATRLHFENLAKRYGNPIIILNLIKTHEKKPRESILRAEFANAIDYINKDLSKRPLGLYVRTAILIPCNILREGSELNRNFPMVRHILAFERNFQILL >OIW19271 pep chromosome:LupAngTanjil_v1.0:LG01:5872119:5874445:1 gene:TanjilG_20396 transcript:OIW19271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIHLLLLYHTLLLLFFTLSVSAAPSTTSPATVSSRSMMKFDSGYNVETVFDGSKLGIEAYAIEVFPNGELLILDSANSNVYKISSSLSLYSRPKLVAGSAQGYSGHVDGKAGEARMSHPKGITVDDRGNIYVADTSNMAIRKISDSGMAMLAGAGFFGYMLAMLQRRLGTIVAIRDDKTEVPAMPLKSVSPPLVPSACQPHKLEEGFLDSLRKLIANTGSSMVEVMVGLIPGFGKNPQSMLQPPQKQQANAWPVQESFVIPNEDQPPSIDTRSPTPRKTYAFMSKDAEKMRQLQQSKAFYSGWDGDVQQPQQKHHHRHEYSSSIPHTYYEQSHETTNEIVFGAVQEQNAKQESVVSKPVDYGASLYNDHHNIRSRMSSMGYTCKY >OIW19741 pep chromosome:LupAngTanjil_v1.0:LG01:1529840:1531498:-1 gene:TanjilG_18551 transcript:OIW19741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENNGNNNNNSSLVVLNVYDLTPINNYMYWFGVGIYHSGIQVHGKEYGFGAHDFPASGVFEVEPRTCPGFIYRTSITLGQTNMSASEFRTFIEIMSCKYHGDTYHLISKNCNHFTDDVSFRLTGKQIPGWVNRLAKLGALCSCLLPESLQVTSVKQLPEYHEFSEDEFVESLSTATPRESTEIEEEQQKHLLSASDVTGDVIFVKEAHAK >OIW17928 pep chromosome:LupAngTanjil_v1.0:LG01:32580938:32583113:1 gene:TanjilG_17764 transcript:OIW17928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKKSKVNVRKHVLMIPYPSQGHINPMLNFSKRLSTKGVCVTIVTTIFISKSMHRLQSSSLPDSIQFDTISDGYDQGGFAQADSIASYLSNMELVGSKNLRELIQKYNFSDYPISGLVYDPFLPWALEVGKEFGLVGAAFFTQMCAVNYIYYHVHHGLLNLPVSSIPISIQGLPLLELKDTPSFVNNPGFYPPYFHMVMNQFSNIYKADFILVNSFYKLEEQVVDSMSKLLPLLTIGPTVPSFHLDKLSPNDTDNDIHLHSLDSSATTWLNSKPPGSVIYVSFGSMVCLSKEQMEELALGLKGTGFNFIWVITVLERKKLSKELLDEICEDEKGLVVNWIPQLEVLSNKAIGCFLTHCGWNSTIEALSLGVPMVAMPQWTDQPMDAKFVEDVWKVGIRVKVNENNIVKSEEIEFCVRQVMEKDSGKQFRINAKKWRDLAIEAVSEGGTSDNNINEFVKNLMR >OIW19283 pep chromosome:LupAngTanjil_v1.0:LG01:5684225:5689039:-1 gene:TanjilG_20408 transcript:OIW19283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSHSSLYLSDQSLQTSSTDHNLVNGSESDGDDYDDDFDTEPPKPILVPPYANYHKSQGFVDDPSLSFTPKSQFIPIAKVTLDDDVSEEEEEDVFVEASTDDNSFQTADFEGGSALENGVDLHDDKKDEKLVNEEREEERIELLEDRKVGGGVDNNTLSGENGNSDSLGVGLEHDDDKSKSVVENNDDVVDKNDAVIFNSSDVVDKNDGDVIDKNDDDVVDKNVGDVVDKNVGDVVDKNDGDVADKNDGDVVDKNDGDVVDKNDDDVVDKNDGEVVDKNGDDVVDKNDDDVVDKNDGEVVDKNGDDVVDKNDDDVVDKNDGEVVDKNGDDVVDKNDDDVVDKNDGEVVDKNGDDVVDKNDDDVVDKNDGEVVDKNGDDVVDKNDGDVVKFNSDGDPIVESVQVNVSEPSGVTVVGDKLEQDEEKESEIKGVEVPALGISLDNGFNPIEQVGGEDVLDNDIKVARVDTESSQIVDTGVDDVKNGDVAPLQKDESVEAVQDDRKIEGHADEGGNGTNTEESEIEGVEAPAPDISLDNGFSPIEQVGGEDDLHNDNKVARVDAESRQIVDTGDDDVKNSGIAPLQKDESLKAVQDDIDIEGHAIEGGNGANIEESAIEGVEALACGISLTDEFDPPEQLDAADVFYRKIADVDAESGHNAGPGVDDDDSTGYDGHKNDIAPLEGHVVQDDVNIEAHAHAGEVHRNIEAFGEVDEEEYGDDDKEFGSILDRLSEINFFEKQRHGVGEIDGSVLDSDGEEMNFRSFDAPKNYSEEEEQHGVDESTRDQRIDGQIVTDSDEEVDTDDDGGDNEMFDSATLEALLKAASGAGQDGGNITITSQDGSRLFSVDRPSGLGPSLLSGKPVARPNRANFFAPSINRAGTDSDINLSKEEKDKLEKLQQIRIKFLRLVQRLGFTTEESIAAQVLYRLTLVAGRQTGQIFNLDAAKESASQLEADGRDDLNYSITILVLGKTGVGKSATINSIFGETKTSLSAYGPATTKVTEIIGMVDGVKIRVFDTPGLKSSALEQNANRKVLSMIKKMTKKSPPDIVLYVDRLDLQTRDLNDLPLLKSITSALGPSIWRNVIVSLTHGASAPPDGPSGAALTYDVFVAQNSHIVQQTIGHAVGDLRLMNPNLMTPVSLVENHASCRKNRGGQKVLPNGQPWRPLLLLLCHSMKILSEAGNLSKPQEPFDQRRLFGFRTRSPPLPYLLSWLLQSRTHPKLSPEQGGVDNGDSDVEADLSDSDLDEDEDEYDQLPPFKPLRKAQFAKLNKEQQKAYLEEYEYRVKLLQKKQLKDELRRMRDMKKKGKTNAKDYGYPEDGDQENEAPAAVPVPLPDMALPPSFDSDNPAYRYRFLEPTSQLLTRPVLDTQSWDHDCGYDGVNLEQTLAIINKFPAAVSVQITKDKKDFNIHLDSSVAAKHGENGSTLAGFDIQNIGQQLAYIVRGETKFKNFKRNKTAAGLSVTFLGENVSTGLKVEDQIALGKRLVLVGSTGTVRCQGDSVYGANVEVRLREADFPIGQDQSSLSLSLVKWRGDLALGANFQSQFSLGRSYKMGVRAGLNNKLSGQISVRTSSSDQLQIALIAILPIARAIYKNFWTGASEDYSIY >OIW18109 pep chromosome:LupAngTanjil_v1.0:LG01:28070282:28076951:-1 gene:TanjilG_19375 transcript:OIW18109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFWWPLLVLAFAYGICKFLFMLIPPSVPSIDVDASDVLEDGNQTQENSFIYVPPRGNSQQSSKIVQCYEPATMKYLGYVPALTYDEVKDRVAKVRKAQKMWAKTSFKQRRLFLRILLKYIIKHQALICEISSRDTGKTTIDASLGEIMTTCEKIHWLLSEGERWLKPEYRSSGNSMLHKRAKVEFHPLGVIGAIVSWNYPFHNIFNSMLAALFSGNGIVIKISEHASWSGCFYFRIIQSALAAIGAPEELVEVITGFAETGEALVSSVDKVIFVGSPGVGKMIMSNAAETLTPVTLELGGKDAFIVCEDADVDHVAQIAVRAVLQSSGQNCAGAERFYVHRDIYASFVSKVTKIIKSVTVGPPLAGRYDMGALCMHEHSEKLESLVNDALDKGAEIVARGSFGHIGEDAVDQYFPPTVIINVNHSMRLMQEEAFGPVMPIMKFSSDEEAVKLANDSKYGLGCAVFSGSQSRAREIASQIHCGVAAVNDFAASYMCQSLPFGGVKHSGFGRFGGVEGLRACCLVKAVVEDRWWPFVKTKIPKPIQYPVAENGFEFQESLVEALYGLSIWERLQALVKVLKMLTEQNPAGSSSKRGID >OIW17733 pep chromosome:LupAngTanjil_v1.0:LG01:34850088:34851973:1 gene:TanjilG_29083 transcript:OIW17733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLDTDLRENYASQPMHLHENYIKPSMKDELPFVAPSSQGFMQEFQHVDQFHHANASSSNQNFGVQTQNFDPFVDITCGCSQADFEGYECKPFVENNGNGNHVHVVEDFQYEGYSLNLPRRNQMDMMASNQIYLPFNPLETKPLNFVAPDEVSSISATNYYRRFGLNRNNKTSPTTRRSCKVKKKPNIVKGQWSEHEDRLLIQLVEQYGVRKWAHIAQTLPGRIGKQCRERWHNHLRPDIKKETWTDEEDKILIQTHAEIGNKWAEIAKRLPGRTENSIKNHWNATKRRQYSKRKCRSKYPRGTILQDYIKSLNLDKNPPIDYRRRSSVNAMRLKASATKAAPAAATTIATQPHGANQVSPNDRLVPNYEFNEVPDFCFNDHMFQEGCSIDSLLDDMPCAPTMNEKDFDGKMQCASNMEGKQVVDVDFETEIPQQMGGNEVKKELDLVEMMSQVNQTSNT >OIW18243 pep chromosome:LupAngTanjil_v1.0:LG01:25730282:25733785:1 gene:TanjilG_06327 transcript:OIW18243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKYETVKDLGAGNFGVARLMRNKETKELVAMKYIERGHKARYFFQQLISGVHYCHAMQICHRDLKLENTLLDGSPAPRLKICDFGYSKSSLLHSLPKSTVGTPAYIAPEVLSRREYDGKLADVWSCGVTLYVMLVGAYPFEDHEDPKNFRTTIQRIMAVQYKIPDYVHISQDCRHILSLIFVANPLRRITLKEIKNHPWFLKNLPRELTESAQAIYYQRRGNPSFSVQSVEEIMKIVEEAREPPLVSMHVKGYGWEGVEEEEEEEYEKRVKEVQASAEFHIS >OIW18448 pep chromosome:LupAngTanjil_v1.0:LG01:20358364:20361119:1 gene:TanjilG_13200 transcript:OIW18448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGAECCSNPPNLNPSGGVGHVHKIGGLPSYLTASPNSNRAVLLISDVFGYEAPNLRKLADTIAAAGYYVVVPDFFDGEPYNPQNPDRPFPLWIKDHKQDKGFEAAKPIIEDLKRQGVSTIGAAGFCWGGKVVVELSKSRLIQAAVHLHPSFVTVDDIQGVDIPTAILGAEIDSISPPELIKQFEEVLAAKSEVDSYVKIFPKVAHGWTVRYNTEDTEAVKAAEESHQILLDWFAKHLK >OIW19607 pep chromosome:LupAngTanjil_v1.0:LG01:455442:460960:-1 gene:TanjilG_18417 transcript:OIW19607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQFTAQGRLKLLFNGEIVSCRLDYKDPFQYKCRYVENYKRRVRFSGYSSGIYYALSMSRKSNRCNVGARSSSGFEGVLDEYDDEDDDMFEEDGLSCFRGLVLDISYRPVNVVDWKRAICLEFMEKADVLEYYTKTVNSPSGSFYIPAVLRVPHLLQVVKRRIVKSNLSRKNILFRDNYTCQYCSLRENLTIDHVVPIALGGAWEWENLVTACAKCNAKKGQKTLEEAKMKLIKVPKAPKEYDILAIPLTTAALRMLAIRKGTPEEWRQYLRSSSDS >OIW18438 pep chromosome:LupAngTanjil_v1.0:LG01:20296986:20298251:1 gene:TanjilG_13190 transcript:OIW18438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRKRGRPRKEESVVQGENKPVMLGSDNVLNSYQTVGTNGDCDDEMVGKMVTGVIEGTFKAGYLLNVKVADTDAFLRGLVFLPGQVAPITAESDVAPHIQMIKRKETPIPVQTAQAAMHGSVPSSVQCSSKQPFQPELFVPVSKEQVLPTMIHYGNSVSLENQPASATLPKNQTSITCGGIPQGMLEPVHENRSYSIMSKLECDKAMEQDEMLNELDASTQVKEFSADEGAKKDSKPASEHVPTIVNTGQQTVVYVHKLNDLIQQEPNTLPIELNQIPLTSEPESMPSEQINKSVDYFVEKPKTNVLDDTKTVLAMDTLSKVDTSNSNRIPSFDAANNILDVGSNHDALETFQPQSIPFEQISKSVPSESNLPSEGCNFQEKSEFQKCFTFGDLNKVDVNQPNESLVNPVESENQIESNIS >OIW19739 pep chromosome:LupAngTanjil_v1.0:LG01:1527070:1527330:-1 gene:TanjilG_18549 transcript:OIW19739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRSSSVTRTFDSDEFFVDMSGSALASSYMKLAASDELPIHDHSVSDDVTKKEITHHGMSLPGQKAVHLIPLVILICGFILWMFSH >OIW18489 pep chromosome:LupAngTanjil_v1.0:LG01:20618970:20619521:1 gene:TanjilG_13241 transcript:OIW18489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAKPVDKATPVNLAQHTYWNLRGHNSGDILSHTVQIFASQITPVDNNLIPTGKLQSVKGTPYDFLEPKEVGSRIHKLPGLYDINYVLDKTPKLHFNKVAIVKDPVSGRKMELWSNQLGVQYYTSGMLNDTKGKDGAVYHKYAGIALETQGFPDSVNHLNFPSQIVNPGEVYKHYMIYRFTAS >OIW18175 pep chromosome:LupAngTanjil_v1.0:LG01:26535889:26546245:-1 gene:TanjilG_31295 transcript:OIW18175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSELKAARSAYRNAKAEENHREEARWANVISDILKNRGEYFESLKWLRIDYEVSRKHLPEKDLLPTCQSLGEIYLRLERFSDALTYQKKHLDLARDANDLVEQQRASTQLGRTYYELFSRSEHDHHSVRNAKKYFKWAMELAVTLKENPPNNKSSFLKEYIDAHNNIGMLEMELDNLDEAKKILTCGLEICEEEEINELDDGRSRLHHNLGNVYMELRAWDHAKKHIGKDIRICNWIGHRQGEAKGYINLGEVHYRTQKYEDARSSYERALALAKSLEDEDGLVRQIERNIGIVVEAVKVMGEIKKEEQNLKKLRRHAANARGTLHERKCLLLLNKSLDGLIDKSMTIFAWEKQCEFAKEKKKTASELCDRQKLADSYLDVGESYQKLRKFNKAIKWYKKSWETYKTIRYLEGQARVKINIGNVLDSTHDWRGALDAFQESYSIAVEADLPDVQLMALENMHYSNMVRFDDEALIDKLNKSTEKEPEAKNMPECCSETDTEADVSLSNSGSEIFCSRKTISRSKTVTNGEELKDDIPLVSLYQSIKGSSRKITGHMESFTPSTKQTELSPKCLTNLTSHQQTVVGRKRARVILSDDDNDDETECSSIKDQHCLFEDLSTDDAIKCKASPFHNIQMVSEYGSKCASNVEESSSSFKCWSPHRATRPGRHSLSLSNDIVPEPYVPSGSKCDMDDSCKQNVDVHPMVKNSQNDLQQYITCQIGNDLFNIEESLFIAGDHLNIESLKAIAACSYYLQLPTEKRSEGLLPIVQHIKCAGRDLESMKTVENIKEHLGNDTIEASIDGWIHKRLIKTYVDCCKELSEVPNMKVLKKLYNLEVSDDEIVVSECDLQDLSITPLINALHFHQAFTMLDLSHNLLGNGTMEKLQKVFTASGQSYCDLTLDLHCNRFGPTALFQICECPVLFARLEVLNISGNRLTDACGSYLSTILKRCSALCSLNVENCCITSRTIQKIADALDSRSVLTHLSIGHNTAVPGNAVVNLLSKLSTLKRFSELNLRGLKLGKPVIDALCQLAESSTLSGLILGGTGIGTEGAMQLTKSLYKETEELGKLDLSYCGLTSDFALNINANLFSSILELNLEGNPIRSEGSNTIFSLLMNPQCCLKVLVLRKCKLGLAGVLHIIEALAENSCLEELNLADNSVPNDLATLQCDLSIKGCSQKQEPKADNMNVDDYQEVLYTDYHQLEVADSEELPARVEATASGVEDGCASSCQRNSPSLECHFTLQFSIAIGKAKNLQLLDLSNNDFSAQAVEIFYNSWTALRPVSNQKHITERIIHFSTKENKCCRVKPCCKKV >OIW19228 pep chromosome:LupAngTanjil_v1.0:LG01:7276932:7280526:-1 gene:TanjilG_20353 transcript:OIW19228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEKKTKVAVWPTIKPFVNGGVSGMLATSVIQPIDMIKVRIQLGQGSAAHITTTMLKNEGVAAFYKGLSAGLLRQATYTTARLGSFRILTNKAIEANDGKPLPLYQKALCGLTAGAIGATVGSPADLALIRMQADATLPAAQRRHYTNAFHALFRISKDEGVLALWKGAGPTVVRAMALNMGMLASYDQSVEFFRDNVGLGESATVVGASSVSGFFAAACSLPFDYVKTQIQKMQPDAEGKYPYTGSLDCAVKTLKEGGPFKFYTGFPVYCVRIAPHVMMTWIFLNQIQKLEKSYGI >OIW19104 pep chromosome:LupAngTanjil_v1.0:LG01:12231400:12234516:1 gene:TanjilG_08904 transcript:OIW19104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATWVLSECGLKPLPSVFPRPRTGAISCNKPSTKVRFLNPNNGLEDLKYKKRSFFTCSGIKDRNWELRVSVPLRVGTIEGEEEGRERINGVNEKELSEFDPGAPPPFNLADIRAAIPKHCWVKDPLKSMSYVVKDVVVVLGLAAAAAYLNHWLVWPLYWAAQGTMFWGLFVLGHDCGHGSFSNNPKLNSVVGHLLHSSILVPYNGWRISHRTHHQNHGHVENDESWHPLPEKIYRSLDIATRILRFTVPFPMLAYPFYLWSRSPGKTGSHFHPDSDLFLPNERKDVITSTVCWTAMAALLVGLGFVMGPIQLLKLYVIPYWIFVMWLDLVTYLHHHGHEDKLPWYRGQEWSYLRGGLTTLDRDYGWINNIHHDIGTHVIHHLFPQIPHYHLIDATEAAKPVLGKYYREPKKSSPLPFHLIGDLIRSLKKDHFVSDTGDVIYYETDSNISGSATSM >OIW19035 pep chromosome:LupAngTanjil_v1.0:LG01:16659298:16661405:1 gene:TanjilG_10596 transcript:OIW19035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTCISSKKQIAEEEREEGHESMSSRSSSKSLTAQIKDLALKVSRSMHMKANTESIPITKGNIQQHDETSPSEVEFSGFQTTTNEPSIPIGRLPGFLLTRHTSTSASEIVEVEEERFKEWTIEVDDGVHMTFQSLPDGGNTILRIRFSHQKFNQLQAQRWWIDNYEQIIKSYNIRRAHQQNSNIAPLPTDHEVVEDPPVEEAQNPTLGDIQDSPQSISFREWLKGMYSSNPDE >OIW17617 pep chromosome:LupAngTanjil_v1.0:LG01:35927361:35929819:1 gene:TanjilG_28967 transcript:OIW17617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERVAEPKGVPLTSPIRFEVSNSKMVASREMSQRLHRQEEASRGRDVPTARLLSDAARMILIRDDIGFDSRASQEMSEGKASLVESEELMADVETSNGSSDSFEDGGSGSFAGASHPPEPVDTDLMKTVYIPLGQKDTQLMRTVYVPICQNKPEAGCLMNSFSMKGPFQEDLSIGIPTKKPSPNVLSSEDSLTEELSDTGNLSTPFSGARASQNTENSLLPPDSEEKEIVWDASLPPSGNVSPLSSIDSTSVVKAMSMVNSNASTYRSDAFTSDGMLSLEKMSIRGDSLESAKTSGSRVSDSSGLSDDSHWSNITGSANKPHKGNDPRWKSILAIRSRDGILGMSHFKLLKRLGCGDIGSVYLSELSGTRCYFAMKVMDKASLASRKKLTRAQTEREILQLLDHPFLPTLYTHFETDRFSCLVMEYCPGGDLHTLRQRQPGKHFSEYAARFYAAEVLLAIEYLHMLGVVYRDLKPENVLVRDDGHIMLSDFDLSLRCTVSPTLIRSSYSGDPSKRLGSGGFCVQPACIEPSSVCITPACFMPRFFPKKNKKSCKPKEDPRMLSSAFPELVAEPTAARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLHELLYGKTPFKGSGNRATLFNVVGQQLKFPESPSTSYASRDLIRGLLVKEPQHRLGVKRGATEIKQHPFFEGINWALIRCSTPPEVPRPVENELQGKLGPVDTVPVGSTSKRVIGGKYLDFEFF >OIW19423 pep chromosome:LupAngTanjil_v1.0:LG01:2864983:2868090:1 gene:TanjilG_09443 transcript:OIW19423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQPTEGDMVLRLSSHSAPTIVEYKFANVENLEYCAKYLNQSLVMFGFPASLDLFANDPVSIARTCNCLYFLLQQRKRDSEFRESSHEQRQRQLSDLSILEAKVERLEEQLQAKDREIATITRREAKTTAALKTQIEKLQHERDEFQRMVIGHQERLNQVLTEKKKESKSGMEIRNLLQKEGRQRGTWNGKKADNDFYKKIVDTYEAKNQELVAENADLRALLRSMQVDMRDFLNAPNGLPKESPTANERVESDPSRSQLVERTDVFDLPFHMARDQIEESLRNKMAFIKERMVQLQDDQKGAEVTSEATERELELEAQLVEARSIIQEQVADHKFLGKVKRAINLKRQKKYRRVAVLLSNIYTSLNELSCSDTLN >OIW19359 pep chromosome:LupAngTanjil_v1.0:LG01:3430442:3443901:-1 gene:TanjilG_03493 transcript:OIW19359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEIAKEEGSGSPSWGALLFKQTTGDVARAVAAAVNSPSSPVVYSSKDDNGGSQFQRLQHQVTNMIKGFSHPPDVNTNYNPEILTSLKRQWAANFQLQHMDHNSWKEPSRLFESMVVVGLHPNCDVQALGRQYADRKFEGSGKFRSALGYQNQSRIEPNINIEPQVRAVERTPSLSELNEILFGQEQLKQRDLSFVFRLQGADNSVLYGCCVLVEELVHKPSGLLSLNSDKQPSYSSSRRQIFTTQRCYCILSRLPFFELHFGVLNSIFTQERLERLTKGAGDLNLEFLEGSYDEENSESMLVSDSPINRLDENPRSSIPENIVGDAHQEHQMVNGELQTYKEKIIYDNDVPTDPKTNRATTKEESGPTHSEDGTSYSFQGSPCEDRNFRSDVDDNETEDASFSGQKDLNDLHDILKWAKENNHGQLQIISEYYHLNCPARGSALMFHPLEHLHPLEYHRLAETIQCLGGSTVDLKSFSIDLELADAHNALLAEEATALSLWVVACMCGTLRLENVLTFFAGVLLEKQIVVVCSNLGILSALVLSVIPLIRPYQWQSLLMPVLSNDMLEFLDAPVPFVVGIKNKTSEVQSKLTNFIMVDANRNQVKSPIIPQLPRQKELVSSLRPYHATLVGESYLGRRRPVYECTEVQIEAAKGFLSVLRSYLDSLCFNIRSHTITNVQSNDDKVSLLLKESFIHSFPNRDRPFMKVYFLSLHHTLC >OIW17960 pep chromosome:LupAngTanjil_v1.0:LG01:31890153:31894767:1 gene:TanjilG_17796 transcript:OIW17960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIKVEDISHPPMDQLQGLEYCIDSNPSWVETIALGFQHYILALGTAVMIPSLLVPLMGGSDGDKVRVVQTLLFVEGINTLVQTLFGTRLPTVIGGSYAFMVPIMSIIHDPNLTMIEDPQLRFLSTMRAVQGALIVASSIQIILGFSQIWAICSRFFSPLGMVPVISLVGFGLFDRGFVLVGDCVEIGIPMLILFTIISQYLKNFHIREQPILERFALLISTTVIWVYADLLTAGGAYKHRKQVTQHSCRTDRANLISSAPWIKIPYPLEWGAPTFDAGHCFGMVAAVLVSLVESTGAYKAASRLASATPPPAHVLSRGIGWQGIGILLNGMFGTLTGSTVSVENVGLLGSTRIGSRRVIQVSAGFMIFFSMLGKFGALFASIPFPIFAAVYCVLFGLVASVGLSFLQFTNMNSMRNLFITGVALFLGLSIPEYFREYTTKAGHGPAYTKAGWFNDFLNTIFFSSPTVASIIAVFLDNTLEYKHSARDRGMPWWARFRTFNEDSRNVEFYSLPFNLNRFFPPS >OIW19071 pep chromosome:LupAngTanjil_v1.0:LG01:14910770:14913132:-1 gene:TanjilG_10632 transcript:OIW19071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGCCSCHVTFRGRVYDMESESDEHHNKDTAYENGYGGARIMLGESSKFVSMYCKKGGKRINQDALTVWEDFMGEKGTVFCGVFDGHGPLGHKFSQCIRDNLPSKLSEAIKMSQQNSSSSSQHKQNMSFVSWNESFLKSFIEMDEELAMKVDTDGFCGGSTAVTVIKQGDQLIIGNLGDSRAILCTRADDNHCVPIPLTVDLKPDVPSEASRIANCGGRVFSAEEDPGVKRIWMPEEDCPGLAMSRAFGDFCLKNCGLTSVPDVFSRKLSKQDQFVVLASDGVWDVLTNNEVITIVASAPKRSMAAKILVKHVVHAWRHKYPTSEIDDCAVICLFLNE >OIW18176 pep chromosome:LupAngTanjil_v1.0:LG01:26597885:26598547:1 gene:TanjilG_31296 transcript:OIW18176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYASTSHLVHDLLGDSHTRRLLLQNTLNQSPNSANSPAPSIHSHNSTNLFSRSRNFDANVVMLLSVLLCGVICFLGLSAIIMCVLKCSNYSSRTSNQSPKLANTGIKKKVLKTFPIVTYSAEMNLSGLDTECVICLSEFTKDDKVRVLPKCNHGFHVPCIDKWLNSHSSCPKCRQCLIETCHKIVGSQPATVVPLPLPESIIRIEPLEPEGLVCNYRESS >OIW18236 pep chromosome:LupAngTanjil_v1.0:LG01:25608072:25608638:1 gene:TanjilG_06320 transcript:OIW18236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRMEKPPIHGASTTDSKPITTFVHTNSSAFKEVVQRLTGPSDTTTEAKEEDVTTKIPSVKRTTTKLHERRKYMKPKLEIVKPTFQYKSAASSPGSKNSSFTPSPGSGCSSILPSPITPSRIFSKLTLFEDEKIEDSAMPELNTEEEEKAIRERRFYLHPSPRSKQGFSEPQLLTLFPLASPNTSDKV >OIW19646 pep chromosome:LupAngTanjil_v1.0:LG01:832857:836684:1 gene:TanjilG_18456 transcript:OIW19646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLGMGANVQYHGYFPGYCSTKDLIFDSEGSMWTSSNINSELKNDCHNIGSLPVSSSNINKELLKQTILKQEAVFRDQIHELHRVYHRQRDLMDGIKRNELYKHSLRLEASWSNSSLSSKNAQKICFTPNLPRSTGQSPALIAERIQLPLASSPEKSRQMCPAPTVTEESLKDSKESMYRKVGKKILDLQLPADEYIDSEEGKCLENGRVTGVHQVSGYSFNRTSLVVCDSNDKPHGTKSHDFADLNVPCNLKVDAAVKSYGLEGLAHHRNNPFYYMSRRTTSGSQNFPNDVIQNLNKRQDLEAFSTNLQPDPVKKHEWLSYGNTSGRKFSRSESSAQTQDSTSNGLLGPSSASRTCSPFDIVSEADMITSGFSPAELWKTPVFDFGPRSIAVQELPCFSYSASLDQSSKPLISISGFSQNELYQCISAKSDPILDNRKFLDPNKFLNSGSSDSHELAKYVKGSDNVGTSRNLNLNITPGGYSDTTASQSIQITGEENELQDSTRGLSWLKEKPVFKGKSHVSSDLQCFEVFQNQTKNRSTEEIERGCISDVTSPQIHVRHLGNQMPADEQNKHESVAGLIDLNSCMIEEENMPVDVDFKAAVSPESKECSPPRGECDENQLEMLVQLAEQEDPEVQEDQIRIAAESLVSISGLVAHNSLQMTMCSSSESFVSSPLPWFADIVSATVNHPMEDFSNKVNDLEEFMLAGMDYFEFTTLNLTETKVSDCCCKSGGQTEQVSGSTSPTQLKKGRTNRGRWRKDFQKEILPSLASLSWYEVTEDLQTIGGLVASGTTNSETGSLRSTGKNALARGRKRSCASTSNNTNSTELSIEKRGLISWGKTCKKRRGQRVPTTNPKFILRSI >OIW19498 pep chromosome:LupAngTanjil_v1.0:LG01:2194834:2196168:-1 gene:TanjilG_06953 transcript:OIW19498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTHKDRVKFNVGGRVFETTSTTIAIAGRNSLFGAMFDDNWNLLSENSSEHFIDRNPDCFAVLLDLLRTGELYIPPNIPEKLLYREALYYGLIDHVRSARWSTFDGNRLRLSKSIQGQAPGDGTAIRAGPDGGVCIAHGSMVHVYDWALDEHPPLNLDYQRVNDVGWVDSDNIVMGVSERLGRGDGGMGLFNSHTGELRFKFHVCHENQVKSYTAGALSFSSDYKIFSSCKGRSNEYGVGVWDQVTGKQIDFFYEPMGWSLGDADKLQWLEGSNCLLVATMFPRKDNCYISLLDFREKNMVWCWSDIGASAAMAVDEKRVRDAIAMEDNKSICVVNEFEDLGFMDLRMSAATSIRWSSRSRLMKGKMPEEPCYPKLALHGGQLFSSMNDCISVFCGPEWVLTSRLRRSYGGSICDFSIGGDRLFALHSEENVFDIWETPTPPII >OIW17621 pep chromosome:LupAngTanjil_v1.0:LG01:35904787:35905605:-1 gene:TanjilG_28971 transcript:OIW17621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEYGTPITLSKLPNISEDQPYDQNTLFFNGGGSLAWPYPHHIPPPYSSTGHHDHNLDLVPAHKKPRGRPHGSKNKPKPTTVSTKESDDFMKLVSIEVSIGCDVVEAIVKFAHLHNVGISVLSGSGSISNVTLHNPFPHSPYFTLNGSFTLVSLSGTYISNLSNPYTLMATPSSASIPNHPFSDAASSFGISVLGSQGEILGGVVAGKVVAESTVTVIATVFKKPEFHRVGFNVNGEVNASGNDSMMMMSNFLNPQAPYAPHVPYANVNMFQ >OIW19688 pep chromosome:LupAngTanjil_v1.0:LG01:1155182:1156124:-1 gene:TanjilG_18498 transcript:OIW19688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSDSEVEKKKVLALAPIAKPLAGKKLSKHTLKLVRKAAQHKCLKRGVKEVVKSIRRGHKGLCVIAGNISPIDVITHVPILCEESEIPYVYVQSKEDLATAGATKRPTCCVLVMTKPTKGDLDQGEQEKLKSDYDQVAAEITEITASLF >OIW18362 pep chromosome:LupAngTanjil_v1.0:LG01:23690071:23691171:1 gene:TanjilG_31502 transcript:OIW18362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIPSLNSIHHPSTATHNLITTLTSHTSYTSSLTLSGEFLFTGSSDREIKSWNRTPLGFSNYNMVVSAGKGAIKSLVLHSNKLFSAHQDQKIRVWKISDNQNHEQKYTLLATLPTFSDRISKGLIPKNHVKIRRHKKCTWVHHVDTVSALALSKDGTLLYSVSWDRTIKIWRTKDFKCLESLINAHDDAINAVAVSDDGHVYTGSADKRIKVWKRNQDEKTHTLLESLEKHNSGINALALTNSNGTNLLLYSGACDRSILVWEKGHDGKMVVVGALRGHTKSILCLAVVVDLICSGSEDKTIRIWRSIDHKEYYCLAVLEGHRGPIKSLTMVEYHCDPSEEASFIVYSGSLDCDIKVWQIFVPLI >OIW18528 pep chromosome:LupAngTanjil_v1.0:LG01:20838771:20843505:-1 gene:TanjilG_13280 transcript:OIW18528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWWEKVIVKITGEDGKGPGKRWGHTCNTIKGGRFIYVFGGYGENNSQTNKVYVFRTLIQSWSQPEMKGHPPIPRDSHTCSTIGDNLFVFGGTDGVNPLNDLHFLDTSSHTWISPSIRGDGPAAREGHSAAVVGKRLFIFGGCGKSADNGSDVYYNDLYILNTETFVWRCATTSGTPPSPRDSHSCSSWKNKIIVVGGEDGHDYYLSDVHILDTDTLIWRKLATSGQLMPPRAGHSAVCFGKHLFVFGGFTDAQNLYNDLYMLDIDTGVWTNITTTTNSPSARFSVAGDSVDPIKSGVLVFIGGCNKSLEGLDDMFYLYTGMTRESGQRQEKLSLRKQLKLKCQEQNLNPAQNMVRYRVGTDIRPRITVLNCSQPSGLNVPASQLMPPPGKKMFAAKVTKNISEGYAIETVIDGKPLRGILFHNKPISLHPSDLTPTRKRAADEDGSITPNGAHTDTSKSPKVSEIQNRHAVHGDSSESHYHHHTEAHASISHKISADREPEAGSSSQIDVEKYETPKSSGGNSNNDGADDVVNSTSEVPANDVVNSASEVPANDVVNSASEVPANDQTNVPISNTEVPSHDIKNDAPNCNTGFPKPATTESAACPSNQGATMDCTASRTEESSEPAMDCTASRTEASSEPAMDCTASRTEESSEPAKST >OIW18195 pep chromosome:LupAngTanjil_v1.0:LG01:26967601:26971107:-1 gene:TanjilG_31315 transcript:OIW18195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFSAIPMISPKFLQLHIPTHFAPLSVSQKSSLSFRFSPSNLNRTKSLSIRSVSVPVSGILTQYLSAAPTSGAVAPAISLTDDALKHLNKMRSERSEDLCLRIGVKQGGCSGMSYTMDFEDRAKTRPNDSVIEYKGFVIVCDPKSLLFIFGMQLDYSDALIGGGFSFKNPNASQTCGCGKSFAAEM >OIW17794 pep chromosome:LupAngTanjil_v1.0:LG01:34237401:34239149:-1 gene:TanjilG_06479 transcript:OIW17794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSAPLWSSTLLPNKTTTFPSRFSPSIPKPSSTFAFPPLSIAATADIDTTTLAVIGGGSVAALAAVLSLTDPERRRKLQAEEVGGGDKEVVREYFNSTGFQRWKKIYGETEDVNRVQLDIRVGHAKTVENTIQMLKDEGSLRGVTVCDAGCGTGSLSIPLAKEGAIVSATDISAAMVAEAEKQAKEQLVASEDGLSPAPVMPNFVVKDLESLDGKYHTVVCLDVLIHYPQNKADGMIAHLASLATNRLILSFAPKTFYYNLLKRVGELFPGPSKATRAYLHSEADVERALRKVGWTIRKKGLITTQFYFANLIEAVPL >OIW19750 pep chromosome:LupAngTanjil_v1.0:LG01:1583616:1586095:1 gene:TanjilG_18560 transcript:OIW19750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRVLHPPLVDSTACLCRVDTGLKTVAGVSRYVPGTKLCLRPDIKPSIHPTRNKPARGDRSSNQSPLLPGLPDDLAIACLIRVQRAEHRKLRLVCKRWHHLLASNFFYSLRKNFGIAEEWIYVVKRDRDGKISWHAFDPVYQVWQPLPPVPKEYSGALGFGCAVLSGCHLYMFGGRDPLKGSMRRVIFYNARTNKWNRAPDMLRRRQFFGTCVINNCLYVAGGESEGVHQCLRSAEVYDPNKNRWSFISDMSTGMVPFIGVVYEGKWFLKGLGSHRQVLSEVYQPEADSWYPVYDGMVSGWRNPSTSLNGQLYALDCKDGCKLRVYDEATDSWSKHIDSKMHLGKSRAMEAAALVPLNGKLCIIRNNMSISLVDVSKFEDLKGSSAEHLWETIAGKGQFKTLVTNLWSSLAGRNRLKSHIVHCQVLQA >OIW19039 pep chromosome:LupAngTanjil_v1.0:LG01:16472175:16479685:-1 gene:TanjilG_10600 transcript:OIW19039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCVFPLLDFYSSGSVSEVELRLKMESRICMNVACAITTSIRWRRGWALRSGEFADLCDKCGSAYEQSTYCDVFHSNDSGWRECTFCGKRLHCGCVVSMSQFELLDTGGVSCINCARNLGIQPVATTGKPNESETSKGKNVSEKNFISLANQLNVRGMQIGHYASNDGLRWWLKPHNFDTSGTSAEIIVEEVPPSIENIGNTSISQFPPESNGSSKAAKEDNNTSDMEMQDTYEPLTQTTMTHAAPLGHSNPFQTAITDEREQSKTSSPLLAGSRSRQLSPKPLRSTLTAGLEENISMASQTRVARPPAEGRGRNQLLPRYWPRITDQELQQISGGSNSTVVPLFEKTLSASDAGRIGRLILPKACAEAYFPPISQPDGLPLRIHDVKGKEWVFQFRFWPNNNSRMYVLEGVTPCIQSMQLQAGDTVTFSRMDPEGKLVMGFRKGIYSAAIQDTQPSSMPNGSRSSETSYSGLYGNLSILSGSGNLRSQKENSETHLNVLSKKWNSAGGDMNLHSIEMPGSRKREGMSLPPMVVPEKKRARNIGSKSKRLLIESQDAMELILTWEEAQDLLRPPPTIKPSIVMIEDHVIEEYEEPPVFGKTSICVSRSTGINEQWTQCDRCSKWRKLPIDVLVPHNWTCTENLWDQNRSSCSAPNELNQRELDNLLRLNKEFDKLKVAASPNPLEHKSSGLDALVNTSILGDDAGDPGSTQVATTTKHPRHRPGCSCIVCIQPPSGKGKHKPTCTCDVCMAVKRRFKTLMMRKMKLQSEHETESDSISHLPPVDDSENEARVPDELDSTSQDHAAEAAKGQLDLNFQPGGEDVQAGANNVCMMSLLEEANLPPETNMKKNGITSLTLEEKTNSASNVQAQTPNESEGRHNDDQCTASAAHEHESSPEENSEQDKDQKNSLS >OIW19610 pep chromosome:LupAngTanjil_v1.0:LG01:577515:583523:-1 gene:TanjilG_18420 transcript:OIW19610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFPSKRTEKKSLFLSNIDKVLNFDVETVHFFVANKDFPTKIVAEKFKKALEDALVVYDFLAGRLKVNSETNRLEIDCNAEGAGFVEASSEYKLNQIGDLVYPNPAFRQFVHKNKHFLKPGDVPLCVFQFTSLKCGGFVIGISTSHTTFDGLSFKIFLDNIAALAANKPLAVIPFHDRQLLAARSPPRVTFSHPELINLGNIPIGLESGVCMDSMEELDFKIFRLTSDDINRLKEKAKAGSTARITGFNVITTHIWRCKALSGPYDPNRSSTILYAVDIRSRLNPALPKSYTGNAVLTAYATAKCKEIEEGSFSKLVEMVREGATRMSDEYARSIIDWGELYNGFPNGEVLVSSWWRLGLEKVEYPWGKPKYCCPAVHHRKDIILLFSPIGGNGDDGVNVIVALPPKEMEKFQSLFYKFLI >OIW18921 pep chromosome:LupAngTanjil_v1.0:LG01:19083834:19084847:1 gene:TanjilG_25364 transcript:OIW18921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDDVLNMSNWGYYEPFKGGHLGLHLMPGMIDRDTKPVFPGRDPSMFVGANDRDSKPFLSDHDPSMFIGANDRDSKPFLSGRDPSMFVGVNDRDMKPFLPGRDPSMFIGANGTMHPRDCVVSDAPMSMNYVSDGWISLRDRFFNMPPVTPDNAVLPESSVPSTLQTTQLPDISRNEKVDSVEDSVVKKVGQPKKRQSRGVIASPKAKKPRKPKVNSNASVQRVKPVKKTKELVINGIDMDISGLPIPVCSCTGTPQQCYRWGCGGWQSACCTTNVSIYPLPMNVKRRGARIAGRKMSLGAFKKVLEKLAAEGYNFVNPIDLRTHWARHGTNKFVTIR >OIW18784 pep chromosome:LupAngTanjil_v1.0:LG01:23040094:23041857:-1 gene:TanjilG_13536 transcript:OIW18784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPLLALQTFSEYYRSERKPPITAALIAANTVIYLRPAFLEPFIPPIDEVWFNPHLILKHKDLKRFFLSAFYHIGEPHLVYNMLSLLWKGIQLETAMGSVEFASMVASLLALSQGITLMLSKSLLLFFDYEKPYYNEYAVGFSGVLFAMKVVLNSRSEDYSYVHGVIVPSRYAAWAELVLIQMLVPGVSFLGHLGGILAGLLYLRLKGTNSGSNPLTILIRGLTRAANWPLKFLRDLFRFQRGRISGRGTVGGNRTGSTAQSGVWRCQACTYDNSDLLGVCEMCGTGRTGNGLSSLQWNHDSDDIPLDELRRRRINRFGRW >OIW18005 pep chromosome:LupAngTanjil_v1.0:LG01:30493457:30497113:1 gene:TanjilG_07589 transcript:OIW18005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGRHGGYRDNEFRDRESNVRGFSSSKDEFDRVKNGGRDVVRAGSRDSRDGIRVRQRGVREKEGSVNGSYRSSSSRSDSGSSGGGASGGPGPRRCDFSVKPMDREPGELSSESGSDDGIQLESRVVKETEVAVMVEESRKRKFSPIVWDRDDKDVNESFKSKVRVSMTSVTALPTPPLLPKVFVKSPNVPSGGVEVCPVETRETEDHELPAEAEDHELPAETEVNDQEQTWGYVQEAEPELPEGEDYFPARNISSSRWAARDNSPTDEGEIVEDEEMPKKRRMMTPGELGTRVRSKLLSPEESVREGRAKSTDSEGRGSYRRSSSEDDHPCSASEMDDYMEIDAQGGKSETSVNHSDTESEDEDHGQETAEPPTPPQRSVNMLQCCRSVDEFERLNKIDEGTYGVVYRARDKKTGEIVALKKVKMEKEREGFPLTSLREINILLSFHHRSIVDVKEVVVGSSLDSIFMVMEYMEHDLKGLMESIKQPFSQSEVKCLMIQLLEGVKYLHENWVLHRDLKTSNLLLNNRGELKICDFGLARQYGSPLKPYTQLVVTLWYRAPELLLGAKQYSTAIDMWSLGCIMAELLSKEPIFNGRTEFDQLDKASNFGWFWSGYLASLGDLYSYTTFLACINNRNVPFRYNLLRKKFPATSFTGSPVLSDSGFDLLNKLLTYDPEKRITAEAALNHEWFREVPLPKSKEFMPTFPAQHAQDRRTRRMLKSPDPLEEQRRKELRGETGTAYAVSGSCETISPAVLSRNLLVLA >OIW17925 pep chromosome:LupAngTanjil_v1.0:LG01:32604516:32606826:-1 gene:TanjilG_17761 transcript:OIW17925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYSKGSSSVRNFMYPGKHPLLPPKSPYPSVSQAYADYVPNSAAGSKAVQKPREGNTHHQRTSSESHVMEEQPSWLDDLLNEPETPVRRGGHRRSSSDSSFAYFDTVNATNVNYADQNLLSNTSWSFQEFDHGKDARHIPTYAEMNAARQRNRSWDSFSNTMAHPGVAPSSKENVVLKSSGPPYASHEADGLPSTANEKYDSVQSGIQDTKSSSERKDGSHAKSSASETDTKRAKQQFAQRSRVRKLQYIAELERNVQALQAEGSEVSAELEFLNQQNLILSMENKALKQRLESLAQEQLIKYLEQEVLEREIGRLRTLFQQQKTHQPQQPSASHRRTNSRDLESQFANISLKHKDTDSGRDTNSGHDPATGALRI >OIW18776 pep chromosome:LupAngTanjil_v1.0:LG01:22975868:22983912:1 gene:TanjilG_13528 transcript:OIW18776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGNGVVGVLAESPSTKRIHHDALYEEVGCEISQDLSQCGLILGIKQPKLEMILPDRGYAFFSHTHKAQKENMPLLDKILAEQASLFDYELIVGENGQRLLAFGKFAGRAGMIDFLHGLGQRFLSLGYSTPFLSLGASYMYPSLAAAKAAVISVGEEIATQGLPLGICPLVFVFTGSGNVCSGAREIFKLLPHTFVDPSKLPDLHKVDTDQPQNPSKRVFHVYGCVVTAQDMVEPKDPMKVFDKADYYAHPEHYNPVFHEKVAPYASVIVNCMYWEKRFPRLLSSKQMQDLTRKGCPLVGIADITCDIGGSIEFVNRSTLIDSPFFRYDPITDSYHDDMEGNGVLCLAVDILPTEFAKEASQHFGNILSQFVINLASATDITMLPAHLRRACIAHGGALTSLFEYISRMRKSDSDEVPDNSANSFSNKWKYNISVSLSGHLFDQFLINEALDIIEAKGGSFRLVNCHVGQSSEVISYSELEVGADDRAVLDNIIDSLTSLANPTDNDGILNQDSSKFSLKLGKVQKNGIKKEPDPKKKAAVLILGAGRVCQPAAEMLSSIQTLSSGQLYKTLLEDDFEDNIDVEVIVGSLYLKDAEQIVEGIPGVTGIQLDVTDSTNLYKYISQVDVVISLLPASCHIIVAKACIELKKHLVTASYVDSSMSMLDDSAKDAGITILGEMGLDPGIDHMMAMKMIDEAHMKKGKVKSFTSYCGGIPCPEAANNPLAYKFSWSPVGAIRAGRNPATYKYRGETVHVDGDKLYDSATKLRIPDFPAFALECLPNRFSEIMGTFSRIGLFNNEAHPSLKNEERITFRKFLSELLKVASEESDEPFIEEKDIMERILELGHCKDQRTAILTAKTIIFLGLTEHTKIPASSQSAFDVVCSRMEERLSYSSTERDMVLLHHELEVEYPDSQVTENHRATLLEFGKTVDGKTTTAMALTVGIPAAVGALLLLTNKIQTRGVLRPIQPEVYNPALDIIQAYGIKLIEKNE >OIW17989 pep chromosome:LupAngTanjil_v1.0:LG01:31358578:31362219:-1 gene:TanjilG_31362 transcript:OIW17989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTKLKISLLFSLTIATCIMVNVSAQVVVGGGSGGGASWCVTRSDASFQALQTALDYACSAGADCSVLQPNGLCFLPNTIQAHASYAFNSFYQRKGRAPGSCDFSGTATIAQSDPSYGSCVYPSSAITAGGGTTPITTPPGMSNPNMAPPTMAAPLFGGDNPGMNTPFSDNNSRAPSKAIATWLLVFFSFLLIMTLIS >OIW18168 pep chromosome:LupAngTanjil_v1.0:LG01:26331765:26332301:1 gene:TanjilG_31288 transcript:OIW18168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKIFYVILLFLAHSQQFALVNGDATLIKSTCKNTKYYDLCFSSLKSSPTSQKSDPKSLATIMLGIGIANATSTSSYLSSQFLGTSNDTTLKRVLKECAHKYTYAGEALQASAQDLANEVYDFAYMHVTAASDYPNACHNLFKVHPGLVYPSEVALREDGLKHICDVALGIIDNLSW >OIW19778 pep chromosome:LupAngTanjil_v1.0:LG01:17718:21974:1 gene:TanjilG_27317 transcript:OIW19778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLFNAAIMSLCAAIALAIIAPSFSLDVENGIASYESEETASSLRGMKRNLMGYNMTCDKFARVCLLKNSPGPDCCNKKCVNVKSDHLNCGMCGYKCKYTEICCMGKCVNASYDKTNCGGCNNKCNKGEIALKRVKLDPNNRVASRMDETDIVGLKNYLDAQYYGEIGIGNPPQKFTVIFDTGSSNLWLPSSKCTFSVSCYFHPKYKSTKSNSYRKNGTRAAIQYGTGAISGFFSYDSVRVGDIVVKNQEFIEATREPGVIFLVAKFDGILGLGFQEISVGKAVPVWYNMLEQGLIKEPVFSFWLNRNPEEEEGGEIVFGGVDPAHYRGKHTYVPVTRKGYWQFDMGDVLIDGKPSGYCANGCSAIADSGTSLLAGPTTVITMINHAIGASGVVSKECRAVVSQYGQTIMDLLLAEAKPKKICSDIGLCAFDGTRGVNVGIESVVDENERKTSGGLHGASCSACEMAVVWIQRQLSENQTQDRILSYVNQLCDRMPSPMGESAVDCGSISSLPTVSLTIGGRIFDLGPQEYILKVGEGPAAECISGFTALDIPPPRGPLWILGDVFMGRYHTVFDFGEKRVGFAEAA >OIW18167 pep chromosome:LupAngTanjil_v1.0:LG01:26295124:26298539:-1 gene:TanjilG_31287 transcript:OIW18167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLPCNESVEEKLSWLRSQIIGNDAEFYSSFGRTRLVYADHTASGRSLYYNENFIINHLLPFYGNSHTCDSYVGSRTTKMLHEATEYIKKCLGGGENDAIMFCGSGTTSAIKRLQEVMGISVPSIMRERVLNTLSTEERWVVFVGPHEHHSNLLSWRQSLAEVVEIEVNDKGLLDIDSLKQQLESYKYTNRPLLGSFSACSNVTGIYSDTRTIAQILHQYKGFACFDFAASGPYVKIDMNSGENDGYDAVFLSPHKFLGGPDSPGVLLMNKALYQLRSSPPSTCGGGTVSYVNGFNEKDTLYLEDIEERENGGTPPIIQTVRAALAFWVKEYISYEELEKREQLYINKALQRLMSNPNIEVLGNLSSKRQAILSFVISSTTNSPNDGWGNKLHNDDYKENGGKLDLWAETGNKRDKPLHGPFIAALLNDLFGIQARGGCACAGPYGHILLHINKSQTLAIRSAVQEGYVGVKPGWTRVSFPYYMSEEDFEYILTAIEFLALYGQRFIPLYSFNARNGSWKIKAEEFDALTKQTNFKKEVNNDLQEGRDYSINVAKCVAIATKLPMFPSKGTLKGNVDPNTLHFRV >OIW18976 pep chromosome:LupAngTanjil_v1.0:LG01:18802889:18807871:1 gene:TanjilG_09170 transcript:OIW18976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSLTCMPLSSSPNTFLKPYHNPLLGATTSKPFLTLKTRSCFITKALLSSTKDFVLKDFHERRALKIISGLQNFDKDNVASVVTAADKGGATHVDIACDPELVKLALSLTSCPVCVSSVDPATFPAAVEAGALMVEIGNYDSFYEKGIIFTPQQILSLTKETRRILPSIVLSVTVPHTLSLPDQIKLAESLEQEGVDVIQTEGGKSSNPTKSGVLGLIEKATPTLAAAYSISRAVKIPVMCSSGISAVTAPMAITAGAAGVGVGSAINRLNDVVAMIAEIKIVQVLITE >OIW18526 pep chromosome:LupAngTanjil_v1.0:LG01:20821368:20822510:1 gene:TanjilG_13278 transcript:OIW18526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIENSSEGYQAKKEGNTSNIEKLSMAPSTSSRQWSAFRNPRIVRVSSALGGKDRHSKVCTVRGLRDRRIRLSVPTAIQLYDLQNKLGLNQPSKVIDWLIEATKLDIDKLPPLQIPHGFPQFHHQQTLLPSASHHHQFSLGGFYDANLSTSIRDNGGNNQTLLAKSRYNWDFDLENSRLKGKEGESVMSNISQKGKWIKTGCEQENQDGIDGYNRQDSTQRLFHGLLNNAMAYNSSYHSEPSTLSLSQFGSHGSLFPSHVDPHQNSGNGVQFSSYNLAVPSTSQLLFSPSSATPSLFTPYAPFMANSSVENDPRQVNHVQILSSSSNNSQVMQPHHPLIQSFHPLNSPLRRLPIPFNSKLLDSDSNNNRSQPNKGSGSPS >OIW19470 pep chromosome:LupAngTanjil_v1.0:LG01:2369119:2371316:-1 gene:TanjilG_09490 transcript:OIW19470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKHLRRRNKNGGSIPANDEAKPKEMKRFERRLVKFEELPEYLKDSEFILNYYRSEWPVKEALWSIFQWHNETLNVWTHLTGFLIFAAMAVMSSTVMVQLGFEDFFSNLLRAPAALGFFTTAIGNNSSDSDAFSDLHLRHISDPSIFHEMRKSGEETIPRWPWFVFLAGGMGALACSSLSHLLACHSKCFNLFFWRLDYAGISLMIVCSFFAPIYYAFFCNPYARLFYLTSISGLGVLTTVTLLAPSLSSPRFRSLRASLFLSMGFSGVIPVIHALALYWGQPHIFVALGYELAMAFLYATGAGIYVARIPERWKPGAFDIAGHSHQIFHVFVVLGALAHSVATLVILNFRLGSPTCAF >OIW17813 pep chromosome:LupAngTanjil_v1.0:LG01:34061307:34061459:-1 gene:TanjilG_02441 transcript:OIW17813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGKGGAEAQGQRTSHPTGSFSNLPVSRSQIKNDFIIKIIKAGSSSTKNS >OIW18321 pep chromosome:LupAngTanjil_v1.0:LG01:24245761:24248861:1 gene:TanjilG_31461 transcript:OIW18321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLGFRRSVHSVKNLSATQPASLHSDNYPVMHYTDVDEDARSYIRCPFCDFEIVVHVPCSNLEEEHCPDLKNLVCPVCEENFGKDVIRQFTHPNSRKWMWKSDKSSFWSGNSAMLAKKLAVRGNKQGSIPDPLLSPFICNSPVLNANKIHLDEDSSSSNKDLDIPNTNRSGADAAYIGDEQDLQEKRVRAAFVQDLVLSTIF >OIW19059 pep chromosome:LupAngTanjil_v1.0:LG01:15614198:15615455:-1 gene:TanjilG_10620 transcript:OIW19059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIKVACMVLMCMVVVGAPIAQATITCGQVVSSLAPCLTYLQSGGAVPGTCCNGVKGLVALAQSTADKQTACNCLKSVAASTQFNPENAASLPGKCGVNLPYKISTSTNSSSSEELMWWERYRHHSKFLV >OIW18995 pep chromosome:LupAngTanjil_v1.0:LG01:17862966:17865333:1 gene:TanjilG_20268 transcript:OIW18995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMLKTYNSSACFRGFEFPVHRSNANKRSSYWKPPQAVVIPNFHLPMRSFEVKNRTSTDDIKCLRLITAIKTPYLPDGRFDLEAYDVLVKTQIEHGVEGIIVGGTTGEGQLMTWDEHIMLIGHTVNCFGAKIKVVGNTGSNSTSEAIHASEQGFGVGMHAALHINPYYGKTSMDGLVSHFDGVLSMGPTIIYNVPSRTGQDIPPHVIQTLVHNSNLAGVKECVGNDRIKQYTSNGIVVWSGNDDQCHDARWDYGATGVISVTSNLVPGLMRKLMFGGKNSTLNSKVAPLIDWLFQEPNPIGLNTALAQLGVVRPVFRLPYVPLSVEKRIEFHNLVKEIGREHFVGEKDVRVLDDDDFIFVDRY >OIW17725 pep chromosome:LupAngTanjil_v1.0:LG01:34908217:34909542:-1 gene:TanjilG_29075 transcript:OIW17725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRVPDWAFEAAGQEARGASQDAGAYHPGVYLTPAQREAVEALIQELPKFRLKAVPTDCNECPICLEEFYLGNEVRGLPCAHNFHVECIDEWLSLNVKCPRCRCSVFPNLDLSAFSNLPSDSERPSASVVTATRYVRGQPSSQSYRLRLQGLLRPVRAENATPAVDTQNALENAENGVALVVSRNASSGGQVSSVECMPVNIPSAQH >OIW19286 pep chromosome:LupAngTanjil_v1.0:LG01:5660597:5665144:-1 gene:TanjilG_20411 transcript:OIW19286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQRNDDSKLPSNPEEVEVLRRDSAVNPLVAFTYDELKIMTGNFRPDSVLGGGGFGSVYKGFISEELRQGLPPLAVAVKVHDGDNSHQGHREWLAEVIFLGQLSHPNLVKLIGYCCEDEHRVLIYEYMARGSVENNLFSKILLPLPWSIRMKIAFGAAKGLAFLHEAEKPVIYRDFKTSNILLDSDYNAKLSDFGLAKDGPVGDKSHVSTRIMGTYGYAAPEYIMTGHLTPRSDVYSFGVVLLELLSGRKSLDKFRPAREQNLTDWALPLLKEKKKILNIIDPKLEGDYPIKSVHKAAMLAYHCLNRNPKARPLMRDIVDSLEPLQQAHNEVPIEKTFTIINDVPETDLKKKDAE >OIW18984 pep chromosome:LupAngTanjil_v1.0:LG01:18213459:18215369:1 gene:TanjilG_23761 transcript:OIW18984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLNKNAVLSPHDAEFRKNDNFGDTMLCLNGIGFGDTNKTSYRCVESNLGMKFSNVPDDGCRLVLGLGPTPMTNDEEHNNLGFNKKKSLSNFFPLQLGLSGGITEASSMLDCSGSTETDVNMSCFSSQGYAENNFPMIPVVDEGSTSAKKSSGGYMPSLLLAPGMDRANTSTQTHELIHGTETQLCLESPNATSYSHGTASGLQPCITSENRISNLKRCRFFGCTKGARGATGLCIGHGGGQRCQKLGCNKGAESRTAYCKAHGGGKRCQELGCTKSAEGKTDYCIAHGGGRRCGYPGGCTKAARGKSGLCIRHGGGKRCRIEGCTRSAEGQAGLCISHGGGRRCQYDGCSKGAQGSTMFCKAHGGGKRCSFAGCTKGAEGSTPLCKAHGGGKRCLYNGGSICPKSVHGGTNFCVAHGGGKRCAVSGCTKSARGRTDCCVRHGGGRRCKFEGCTRSAQGSTDFCKSHGGGKRCNWGDGKCDKFARGKSGLCAAHSSLVQDRGSNKRSLIAPGIFRGLIPAGSTACSSFDNNNSSSGVSSVVSDSYDSMEAPPAKRQHLIPKEVLVPLSMKSPSYPYFLTTKKPSEQDRIITHGTTNTPDCSGIRKDLDFNLPEGRVHGGDLMLYFGGNLKNALDGI >OIW19665 pep chromosome:LupAngTanjil_v1.0:LG01:947365:950216:-1 gene:TanjilG_18475 transcript:OIW19665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLIIGCTASPLYPLHRHEHHESRKPLQTFRPYNIAHRGSNGELPEETAPAYLRAIEEGADFIETDILSSKDGVLICFHDVTLDDTTDVANYEEFANRKRTYEVQGENVTGFFTVDFTLKELKSLRVKQRFSFRDQGYNGKFQIITFEEFISIALEAPRVVGIYPEIKNPVLINQHVKWADGKRFEDKFVETLKKHGYKGSYLSKDWLKQPVFIQSFAPTSLVYTSNQTDLPKILLIDDITVPTQDTNQSYWEITSDSYFDYIKHYVVGIGPWKETVVPVINNYLQTPSDIVKRAHAHNLQVHPYTYRNENKYLCFNFNQDPYQEYDYWVKKIKVDGLFTDFTGSLHKYQEWTSSNRRNNSDDKTASKLLHKIASLVASY >OIW18036 pep chromosome:LupAngTanjil_v1.0:LG01:30462210:30462599:1 gene:TanjilG_31618 transcript:OIW18036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKAVGSAAKAVAEYQYPWREKLVKYKDELAKGVWGYWELGAWKPLSISARHRARIRKEVLLAGEDWSYDPERKEMRTKRKGHKCDRISAEKRANTARLMEKMPEMLLDFKKRKWQKKMKEEDKSKQL >OIW17895 pep chromosome:LupAngTanjil_v1.0:LG01:32981571:32984269:1 gene:TanjilG_19864 transcript:OIW17895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERYEIVKDIGSGNFGVAKLVREKWSGEFYAVKFIERGLKIDEHVQREIINHRSLKHPNIIRFKEVLVTPTHLAIVMEYAAGGELFERICSAGRFSEDEARYFFQQLISGVSYCHSMEICHRDLKLENTLLDGSSAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLSRREYDGKVADVWSCGVTLYVMLVGAYPFEDPEDPKNFRKTLQRILSVHYSIPDYVRVTKECWHLLSRIFIANPEKRITIPEIKMHPWFLKNLPLEFMVEGEGGLQNDDIINENDSAQSIEEILSIIQEGRKVGEGPNLCGQFVGGSMDLDDLDADADIDDVETSGDFVCAL >OIW18030 pep chromosome:LupAngTanjil_v1.0:LG01:31000111:31002061:1 gene:TanjilG_07614 transcript:OIW18030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAVGAVSATKDVTFNAHLEEELKNNGTDKVSNQQDQGPHSDGANYDEEAAEETEPEEDEECKLESDKELDLGPQFSLKEQLEKDKDDESLRKWKEQLLGSVDVSAVGENKDPEVKIVSLTIICPSRPDIVLPIPFTSDSKRSIFILKEGSRYRLKFAFNVSNNIVSGLKYTNVVWKTGVRVENTKRMLGTFSPQQEPYTYELEEETTPSGLFARGTYSARTKFVDDDRKCYLDASYHFEIQKNWPIPH >OIW19616 pep chromosome:LupAngTanjil_v1.0:LG01:621542:626241:-1 gene:TanjilG_18426 transcript:OIW19616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNFVVNRGVKAASVQRIYNTFASFNLPRYVSSFATVDAEKISGSSPAEVLNLVQGKWVGSSNYNTIVDPLNGDSFIKVAEVDETGIQPFVESLSSCPKHGVHNPFKAPERYLMLGDVTTKAAHMLSLPKVSDFFTRLIQRVSPKSYQQAHGEVFVTRKFLENFCGDQVRFLARSFAVPGNHLGQQSHGFRWPYGPVAIITPFNFPLEIPVLQLMGALYMGNKPVLKVDSKVSIVMEQFLRLLHTCGLPVEDVDFINSDGKTMNKLLQEANPQMTLFTGSSRVAEKLAVDLKGKIKLEDAGFDWKILGPDVEQEDYIAWVCDQDAYACSGQKCSAQSLLFLHENWSHTSFISKLKDLAERRKLDDLTIGPVLTFTTESMLEHMNKLLEIPGSKLLFGGQPLKDHSIPSIYGAIKPTAVYVPLEEIVKDNNYELVTKEIFGPFQIITDYKSNQLPIVLDALERMHAHLTAAVQVIGNTVNGTTYAGLRARTTGAPQNHWFGPAGDPRGAGIGTPEAIKLVWSCHREIIYDIGPVPKNWEVPSST >OIW18440 pep chromosome:LupAngTanjil_v1.0:LG01:20304223:20306437:-1 gene:TanjilG_13192 transcript:OIW18440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELIDILLLFFTFLFLLWWWRFCSATTHKGSKNLPPGPPGWPIVGNLFQVILQRRHFIYVIRDLRKIYGPIFTMQMGQRTLIIVTSADLIHEALIQRGPLFASRPKDSPIRLIFSKGKCAINSAEYGPLWRSLRRNFVTEMISPLRIKQCSWIRKWAMEGHMRRIEEEARDKGFVEVMSNCRLTICSILICLCFGAKITEGRIKSIESILKDVMLVTLPKLPDFLPVLTPLFQKQVREAKKLRKKQVELVAPLIRSRKEYVESNGKLENDEMVSPVGAAYVDSLFELEVPSRGRLGEEELVTLVSEIISAGTDTSATAVEWALLHLVMEQKIQERLYSEIVECVGKNGEVLERHVEKMAYLGAVIKETFRRHPPSHFVLSHAATSETELGGYRIPKDASVEFYTAWLSENPEMWEDPNEFRPERFLNGDGVDVDITGTKGVKMMPFGVGRRICPAWTLGVLHINMLLAKMVLAFKWLPIPNSPPDPTETFAFTVVMKNPLKALIVPRSIYPS >OIW19505 pep chromosome:LupAngTanjil_v1.0:LG01:2118059:2119780:-1 gene:TanjilG_06960 transcript:OIW19505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSGPSKTIVHPYIEIVSNITPCDIGVAQTAIKGGYWYSESGLKVSDIDASHFTHLFCAFAILENNKVSISSSDASSFSTFTQTLLQKKSSIKTLLSIGGGKGPSLAKSFSDMASQASTRKSFIDSSIQVARNNNFHGLDLDWEYPSTGTDKTNLGLLVKEWRAAITQESKTSGKPALLLSAAVAGSDQITSLQNYPGQELANNLDFLNVMTYDLFTSDGYPMVTQPPAPLKNPGGQFSVDEGITKWINQLGLPPKKLALGLPFYGFKWLLSDPIKHALFAQASAGAGAVKYKDIKNAGGQVVYNSTYFTNYSYKGTDWYGYDDTQSVSAKVDYAKGKGLFGYFAWHIEQDSNWALSQAASQAWGSQIDALQSKFTENKDRELST >OIW18585 pep chromosome:LupAngTanjil_v1.0:LG01:21321623:21324510:-1 gene:TanjilG_13337 transcript:OIW18585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRPGPRPYECVRRAWHSERHQPLRGSIVQQIFRVVNDAHSAATKKNKEWQEKLPVVVLKAEEIMYSKANSEGEYLNLDTLWERLNDAINTIIRRDETTESGDLLPPCVEAALNLGCKPVRTSRSDRHNNPRTYLAPRTQQPPPSGPPKPIGGNPTNYTTKITSCTVSGIPVSDCNQYAHQNSRMMGSCNYPFSDSFLSGQQHHQPLRIETKSSMNMGSVYPLYYSHESKEPQLRTANLDNTCSDTIFVGRPVMTPVPEPSGIGRMDNFPYGRFQHVPNRIAKEPAFGTHQEPPDRECDLSLRLGQSLHPGMNKKGSSAYEMEDAGLRASQDGRKFTHLSMQKNKELCFYPRETGYGTVDAANYSKYNAEGEDQNLETAMRKRKAPLGNSEEDGKFCRYLGVPSNQFSDRTQRPALNLGCKPVRTSRSDRHNNPRTYLAPRTQQPPPSGPPKPIGGNPTNYTTKITSCTVSGIPVSDCNQYAHQNSRMMGSCNYPFSDSFLSGQQHHQPLRIETKSSMNMGSVYPLYYSHESKEPQLRTANLDNTCSDTIFVGRPVMTPVPEPSGIGRMDNFPYGRFQHVPNRIAKEPAFGTHQEPPDRECDLSLRLGQSLHPGMNKKGSSAYEMEDAGLRASQDGRKFTHLSMQKNKELCFYPRETGYGTVDAANYSKYNAEGEDQNLETAMRKRKAPLGNSEEDGKFCRYLGVPSNQFSDRTQRPGS >OIW19128 pep chromosome:LupAngTanjil_v1.0:LG01:11729796:11731118:-1 gene:TanjilG_03618 transcript:OIW19128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALPTTTATTSVKRKPMFIKLEWQKTNTNGLNLTVKVLSCEQIKSVPNKGNGSSSLIARPSCIAECLIDDETGSALFTACNEQVDLMNPGSTLILQNANIVMFNGSIRLAGDKWEHIEVTDPASFEVKEDNNFSLVEYEMEIGGVLFVFGSRFGYILLDEELDSQKTTKEEDTKIKDKLEIRAKM >OIW18971 pep chromosome:LupAngTanjil_v1.0:LG01:18722079:18727262:1 gene:TanjilG_09165 transcript:OIW18971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNDLAHAPICEITDISKTRKFQLEKNLSYDLFFPREEKRVRGAYMPVVGDLIALTNVKPKCIDDLNRPYVIAYVHKMEEFEIIPSVTVLSSKLLMADGDYLMDHKKNETMFGVYLTNLTTNIRIWRSLKGQLKGRNMKIIHKVLQGHSSGNSYTCEECIVKELSSETGANTTYNDLNDSQRDAVLSCISLVKCDHMNTIKLIWGPPGTGKATTVSVMLLSLLKLNCRTLTCTPTNVAVIEIAKRVLRHVRKDRVPRFGSCYGLGDIVLFGNEKRMDMEHHQDLKDVFLDYRIETLRLCLGSWKYNLSSMISLLEDPKLFYNEYLLPLKIQNMKIEEARKNKKVDKSTIQNMKIVEARKNKKVDKSQNKKNEQAKKIQPWTFEEYISKSFHTLHEELTSSIVNMYKHLPISTISQEDMEKMFQAHDLLQSLKTFFESKNITEVFSDLKDNDSGLGCFSKWRMETKDCLHVLKQLPMKFYFFKGKLRDFCLAKACFIFCTVSSSAKLHLEEMSPIELLVIDEAAMLKECESTNPLQLRGIRHTILIGDDRQLPAMVQSKICGNAEFGRSLFERLVQLGQKKHLLNVQHRMHPTISLFPNIEFYESQIVNAESVKELSYNRSFLPGKMYGSYSFIDVPMGKEEFDDNHSRRNMVEASIVSQVFAIKKKMKLVSFSGGFEVNVRSVDGFQGGEEDVIIISTVRCNENGSIGFLSDRRRVNVALTRARHCLWILGNGTTLLNSKSVWKTLVIDAKNRGVFYKFQEDNCLLMALLYSLFEFKEMDSLQNLVSSFFTDAVWKVCFNDEFWHSMGRFGNRDTLKQVIFILEKLSSGWREINKRKQKLFNDGISSQLLQIYNVNMSLNLIWTVDIIQENLQCIQVIMVWAIVPSSSEINKIAKCVDLVYNSYITSEIDCCKYKSLQG >OIW17768 pep chromosome:LupAngTanjil_v1.0:LG01:34553934:34557477:1 gene:TanjilG_06453 transcript:OIW17768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPFLANLPNRGVLPSTTVSSTQSGMRVYTCVCDTSPPEGQHIKTNQQNILIRSLTLKKQKDASESSRKRPAEKAVESRAPAKRTNNQINSLQEGSNSQTSHRDFQNLTVERLRALLKAQGLPTKGRKDELIARLKEANS >OIW19179 pep chromosome:LupAngTanjil_v1.0:LG01:9095136:9098386:-1 gene:TanjilG_01212 transcript:OIW19179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGAPPSSSASAGTGGGPAPFLSKTYEMVDDSSTDDVVSWSSTKNSFVVWNPPEFARFLLPTYFKHNNFSSFIRQLNTYGFRKIHPERWEFANDDFLKDQKHLLKNIYRRKPIHSHSHPPGSPVDPERAALEEEIEKLSREKASLESNIFSFIQHKSTAKVQLEDFHQRLDGIEKRQKKLLNFFEKALQNPAFVEQLSRKIESMDLSAYNKKRRLPQADHLFPVAESKFVDNHSNLRMEFGNVFRQDFSDKLRLELSPSVSDMNLVSGSTESSTGDKESSPKKRSEGEPKGAQSKTTLSFAPETLELADTGASFTFNMDSCLSRRATAAEIPNLHSLELSSEEGDSHISCQLNLTLASSTLQVNRNSFSARSPQIDCQEIGKLAESRFYANGKESDNRVSSNQNPINEVTNSASPHEALSNNQVTLAAPGRVNDVFWEQFLTERPGCSDNEEAISNYRENQYDEKDEGRSGHGISRNIQGMDKLTL >OIW19077 pep chromosome:LupAngTanjil_v1.0:LG01:13567206:13567719:1 gene:TanjilG_06386 transcript:OIW19077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRQIGCDQPSIYEYAFASIMSLVSLVQTLKALDRDEPVRVIILTGPGQSFNSGVDLTIVEVVFKENVKDIESDTIVQMEQC >OIW18855 pep chromosome:LupAngTanjil_v1.0:LG01:19772248:19784916:-1 gene:TanjilG_25298 transcript:OIW18855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSVAIKNTTSEESNLVSAPVAVAGADVAADAEFVEGGVADVAVDGEFVEGGVADVAAGGEFVGGGDVAAGGEFVKAGGADVAAGGGADVAAGGGGDVAVGGECGGGGGDVAVGGEEEKEGREDYEEEEEEEEEYENQLPPLSADSELYLVQAIRRKRVIKGQVQYLVKWLGWPESANTWEPPEHLTSVRDLIEAFEESSASVKQRKRRSSSVSNPSQLKKRQERSNTPYSLRRIRDANAENHTQSAPPNDANIPDPCAFPQAVLFADELENNGEPSSLGKAKVSDGSGSTNPPELSKSNEENEYDPKLCELKAASTSGHGAADRPPTQVQEGNVATDNGQVDGRLKGVHVEQESDSSIGAKKKKSTEDSHAGEPVTAEKPIGTPASTFVPVRAEMPDFVWHKSQKKKKKVLATPVYDITKIIKPLGCSPTLSGELCVTFIASRWDGTEVMVDNGYLKLHHPELGKVVDGTKVLSVMQHDLLAFKENLNFLTFGYLYQGNGRSFCAGGDVAAVVRDVKGGDWWLGAKFFETEYKLNYLMATYSKPQVSILNGIVMGGGAGASVHGRFRVATENSVFAMPETALGLFPDVGASYFLSRLPGFFGEYVGLTGARLDGAEMLACGLATHFVPSSELSLLEESLCKVESVDPIAVSASIDKFSQQPVLKENSVYHRMDVINKCFSRKSVEEIISSLELEAQSKADNWISATIQTLKKASPTSLKVFLRLIREGRLLGVGQCLVLEYRVVCHILQGHHSKDFFEGCRAILIDKDKNPKWEPCKLELVSDTDVYRYFSKLDDEGWNDLELPKRNNNLPKYAIAKL >OIW19031 pep chromosome:LupAngTanjil_v1.0:LG01:16860023:16861069:1 gene:TanjilG_10592 transcript:OIW19031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKHKCKLCFRNFSNGRALGGHMRSHMMNLHVPPKFNESLSSPSPPSVRLSFEAESASSPSSSSSEDNKNLSYALRENPKRSLRLSDPEFADTGSVILQDRESETESSRNPTRRRSKRAWKLGPLDQQQLSKNIKFSMHFNKNESLSSVSDTTTEEDVAFCLMMLSRDKWKRQQELYEVEEPEEEDDDDEDEEEDEEENYESDEEMKSSKTILTRVRGKYKCETCNKVFRSYQALGGHRASHKKIKTILEEQPKSEHHINTSNINGAKKIHECPVCFRIFASGQALGGHKRTHVIGSTATTTATTITIPVPNFAKFGDSFIDLNLPAPMDDVEIEASAVSDAEFVKSH >OIW18758 pep chromosome:LupAngTanjil_v1.0:LG01:22769394:22774562:1 gene:TanjilG_13510 transcript:OIW18758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEFSRAVDDGLRLSKRINYGKDRAVAPPKLPAPMMKSPDSLLPTAPMVYAVIDDPGIVDNPDIPSYQPYVYGRCDPPALIPIEMKGIEMEIDCYMDTAFITMNGSWRVHCIMASRACDCRLAIPISKQGSILGVEITAPDKSYSTQLVLMDDNNENQSGIQAQNGGFLKSNILTLTIPKIDGGSILSIKMRWSQKIVYLDGQFSLNVPFTFPDFVNPAAKTVSKREKIQINVNAIAGSELLCKKMSHPLKEVRRHAGSMGFLYDSEVLSWSNSDFCFSYAVPSSHINGVVLLESASAHDFDQREMFYISLSPGDIQSKVFKKDIIFIIDISGSMRGKLIDDTKNTLSEALSKLNPHDSFSIIAFNGESYIFSKSMELASKDAVERANEWIKTNFVAAGATNISHALNKALEMLSSARSSVPIIFLVTDGTVEDEKQICTLVKNHMINGESICPRIYTFGIGAFCNHYFLRMLAMIGRGQYDAALDVDLVKPQMLSLFDKASSLILANIKVDIIDELDEFEVYPSHIPDLSSEGPLVLSGRYKGSFPESLKVKGVLADFSNFTIDMKIQKAKDMPLQRVSARDQIEYLTAQAWLSENKQLEQKVAKLSLQTGFLSEYTQMIILEDDHLKKVKESAETKVSKNNHGQHEANIQGQRTIILPHLGIGFGNLTATAENTPPGYETKLPEVAAIFKAASNCCSTLCGFCCCPCCIQCCSMMNNQCATTLTQLFIAVGCFGCLTCCSEICCSGNDG >OIW17959 pep chromosome:LupAngTanjil_v1.0:LG01:31898524:31900790:1 gene:TanjilG_17795 transcript:OIW17959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQVRGKGSGEVMDHVDEGVDENLSHLVEGVVTYDAVAGGRLGERDSVSGEDRTSEKMSNDSRLNNDVKEEEGSQEIKVDMCYQNDILHVVDQGSSCNSRNLVRREVLDSCVVIDSSSRVENSNGDSMKLKAKVNESGLGNVSMNAPLGVVSETDKSSSVIDVRCGGYKAFSETSEGEMICRICHLASEQLLEATDTGTTNSATSTDLIQLGCACKDELGIAHSHCAEAWFKLRGNRLCEICGETAKNVSDVTENGFMEELNESRFVDSSTGTSSGGMFGGWLRGQPFCNFLMACLVIAFVMPWFFRVNIF >OIW19110 pep chromosome:LupAngTanjil_v1.0:LG01:12584732:12586177:1 gene:TanjilG_08910 transcript:OIW19110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVSQMAYNTSLILVLFLMFGGEMIAMGSKLQKRQMVMEEEELLGLFEVMDVLLEDSEWGQEHPQPCTETPWPGVECEVSSDTQIFHVTKIHIGPDIISPPCKTTAYLSQSLIKLKYLKALSIFNCFVASPVTLPSTLFGPFSSLEHLSLESNPSLFGEIPPSLGDVPSLRVLTLSQNSFQGNIPSQIGGLVCLEQLDLSYNNLSGEIPKETGGLKSMTILDLSWNIIEGVLPYSLGQLQLLQKMDLHSNKLIGTIPPDLGMLKRLVLLDLSHNFIVGPIPITLSSLELLEYLVIDHNPIKGGIPFFIGNLRKLKSVSLSGCELIGPIPNFFSSLKNLTALSLDDNNLSGPVPPNLGSLPNLDHLNISLNKLGGVLQLPNDFIGKLGIRLDVRGNSKLCINDQPKGKNLSLYLEIPSCLSIRDRNGNVSFADGPLQEDPSEIKPSWYNSNMSSCSSLLDDLPIIMFILIMFLEFLFCNTRN >OIW18086 pep chromosome:LupAngTanjil_v1.0:LG01:29194858:29200545:-1 gene:TanjilG_08556 transcript:OIW18086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKLSAAKKRVLDFTKYEMLNKALEAFGELGDDEELGPADWSTVKRYISCLNNIEGERVHPHDASETDGNLDPMNVKDIRIRLLNGVQSAYWEMLDEGRISQTTANLLMLSVEEAIDLASDEPLCDWKGLKDNVHFPNYYNFLHSGRFPPKLVTYFTVERLESACYICAAFLRAHRIARQLLHDFIGDSGVASAVINESLVEGEGARKFLEDVRTTYPQVLRVVKTRQATYSVLNHVLEYVQNLEKVGILEEKEMLHLHDAVQTDLKKFLRNPPLVKLPKISILHPMLGALPSLVREALVRNTKEMMKLRGLTLYKEGAKSNGIWLISNGVVKWESKMIRNKHPLYPTFSHGSTLGLYEVLTGRPYICDVITDSMVQCFFLEADKIISSIKIDPLVEDFLWQESTIFLSKLLLPQIFEKLTMQDLRALVAERSVMAIYIRGETIEIPRHSVAFLLEGYVKTQGIQELVTSPAALLPSHGNLSFQNLTTSGSKESCFSHQGSSYLVETRARVIIFDVAAFEADAALPRRSSSMLSHAMDHSHRSLGRQHSTLMSWPEHFYTHEHLKQNSEGTGQQSNSLSARAMQLSIYGSMVDIHHRSRGSSSSRAKPPLSLSYPTIVPRHDRPLVSVKSEGASTAKKDNDVREFTRIETNPPLQSTEQRIQHDEEHSSDDSAVEEDIIVRIDSPSGLSFHPSA >OIW18560 pep chromosome:LupAngTanjil_v1.0:LG01:21131949:21134250:1 gene:TanjilG_13312 transcript:OIW18560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSPLSQLSLDSKPHMLLKSFVDQNCDQTYKLEEFLSRLEEEQLKIDAFKRELPLCMQLLTNAMEASRQQLQVCRVNQGTRTVLEEFIPIKHSTSDSSSSEKETTITDNKANWMTSAQLWSQASEGTNQQSTIITLSPKETAVNIGFSMSPNIVSDNNNKRMNHNGGEGGGAFLPFSKERNSPQVSALRGLPELALNEDKKCGELCQKKRENSSNSGSDVMIVDQGKGSSHAQNTTTTTTQTHRKVRRCWSPELHRRFVNALQMLGGSQVATPKQIRELMKKYRLHTRRPSPSPQTGAPPQLVVLGGIWVPPEYTTAGAPTLYGTHAPPPHYSVPQEFYTAASPQQLLPPPQSHDFLHQHHQNHHELMQHVYKTAQQRQSSPESDVEGGSESIEDGKSESSSWKGESGENEGEMKRVQIEESNGSEITLKF >OIW18259 pep chromosome:LupAngTanjil_v1.0:LG01:25223130:25228836:1 gene:TanjilG_20314 transcript:OIW18259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKEQQKQDTAITTNSFHHQSQGKVPEKEKELGLGLKIEEADSEIQVPLPLTVTSRALYMLEDFTAGPAFRFTQWLQLVRKRTAKYRSPGLPHRTSTAIATAAAMSSSSSAGESIEDAKWNVHPEQTEISLWERLGKAATLDIESSSFSWDTLTSLHHSEHTSSNELSEDEMNKALEVTVNSGGVVFFAFFNHDATFPKEAAAVIKISSSRMATQSERLGYEFAKWLGVQTPQARVIHNTNSEWHQIKEATEKAREIACSEGDEVSEMTCFELLEALDLSRCLFFMNYVHGSPLLESSSAFESRESAERTSAALGRVLMLDLVIRNEDRLPCRELRWRGNSANLLLAEKMTSENTDTLQAVIDSAINRYRPKVIKALQKERRSTSADSRFDSHKGPLLISQISDLTEITESPGSTDSMSLKSQTSEESLPPDFNIVAIDSGVPRRPPAGKRTNDQVNYPKLVELLLNSSEFGSNVLYDITGGKLGFPTPEDINTTYIHASDMTSVIQAFRTGFRGALRDLQGFQIFLLTLHQRLDTLFRSFMNIISKISSGESDKDDPMVPDSPSLAASGSCSSTPCKERFANENHQDFTDSESQKSASRSSSSGNRDCFDSASNVSRESWHGKFNKGSGGEQLRSLRLTARLRDFNKFFKVDAESNKELEQWNEMLKNDAIKLCQENNFNPGFFEGSDNNSVVDAYELKVRLEHILERIALISEAASTERPSAVTSSLFIGGALAARSVYTLQYFGITHILCLCTNEIGQSDSQFPDLFEYKNFSVCDNEDFNISSIFEEACDFIDYVEQTGQKVLVHCFEGRSRSATLVLAYLMLRKKFTLLEAWNALKRVHRRAQPNDGFVKILQELDQKLHGKISMEWQQRKPTMKVCPICGQNAGLSSSSLKLHLQKSHRKLSSGSVDSAMTMEIQKALTALKISRGGSVSPTQRSSHSIIDE >OIW18795 pep chromosome:LupAngTanjil_v1.0:LG01:23152150:23155874:1 gene:TanjilG_13547 transcript:OIW18795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSDLNSGLSKKTSVFGLKAWELMGLMVGMFIIVILVVVSICLSRKKSKRVNGMLPLRQMLSFTEEIKEIGVDQGSSNNHPQNGAFASLYDKLSDRESEKVSILAKTGDNSSQSGSFVHVEKDAAGSQSGEESGVKSLYRSSSNPITAQSPLSGLPELSQLGWGHWFTLRDLEVATNRFSKDNIIGEGGYGVVYRGQLVNGSPVAVKKLLNNLGQAEKEFRVEVEAIGHVRHKNLVRLLGYCIEGTHRLLIYEYVNNGNLEQWLHGAMRQYGFLTWDARIKILLGTAKALSYLHEAIEPKIVHRDIKSSNILIDDDFNAKISDFGLAKLLGAGKSHITTQVMGTFGYVAPEYANSGFLNEKSDVYSFGVLLVEAITGKDPVDYSRPTAEVNLVDWLKIMVGGRRAEEVVDTNIETRPSTSSLKRAILTALRCVDPYSEKRPKMSQVVRMLQSEEYPVPREDRRRRKSQAESIEMKAQKETSDTYKSDNPESKFKGRRKQGK >OIW19566 pep chromosome:LupAngTanjil_v1.0:LG01:131911:135956:-1 gene:TanjilG_18376 transcript:OIW19566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIEEHRGVESGNSNGFQDLQEPFIQQWKDIESNKRVENGSIGMVLLSTFVTVCGSFSFGTCVGYSAPTQAAIRTDLNLSLAEFSMFGSLVTIGAMLGAITSGRITDFIGRKGAMRISTLFCISGWLALFFSQDPYTLDVGRLITGYGIGVISYVLMIVIGASVTFLLGSIINWRQLALAGLVPCICLLIGLWFIPESPRWLAKVGLEKEFQVALRRLRGRDVDISEEADEILDYIVTLQSLPKTKLLDLFQSKHLRSVVIGVGLMVCQQSVGINGIGFYTAETFVAAGFSSGKVGTIAYACMQVPFTILGAILMDKSGRRPLIMGQSLLLEWTPILAVAGVLIYVAAFSIGLGPVPWVIMSEIFPIHVKGTAGSLVVLINWLGAWVVSYTFNFLMSWSSHGTLFLYGGCSLLTILFVAKLVPETKGKTLEEIQTSINS >OIW17607 pep chromosome:LupAngTanjil_v1.0:LG01:36069575:36074497:-1 gene:TanjilG_28957 transcript:OIW17607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATFTLHTETVQSWLFLSRLHIISHLLVVLSLFYYRLIHDTTTPTLPWILLTGAELLLAILWFFNQAFRWHPVLRSVKIENLPLNASLPGLDIFICTLDPEKEPTVEVMETVISAVSMDYPPDKLAVYLSDDGGCPVTLYGIREASEFAKVWVPFCNKYGIKSRTPKVFFSSIGEDEQLIRSDEFRVEHEEIKAKYERMQKNIEKFGSDPQNLRIVSDRPAQIEFRVSGLLSNGPYVLAVDCDMFCNDPSSAKQAMCFFLDPQTSKYISFVQFPQMFHNLSKKDIYDNQSRTAFKTMWQGMDGLRGPGLSGSGNYLNRSALLFGGPNEKDNYLLDAQNYFGKSTMFIESLKVISGQQTAKKNIPRDAILNEAQVGFSYGILLESTITGYLLHSRGWKSAYLYPKTPCFLGCAPTDIKEGMLQLVKWLSELCLLGVSKYSPFTYGFSTMPFFHALTYCFLATSSLYSIVFILYGIVPQICFFKGIPVFPKVTDPWFAVFAFLYIATQIQHLIEVLSGGGSITMWWDEQRIWILKSVTSLFAITEAIKKWLGLNKKKFSLSNKAIDKENLKKYEQGRFNFQGAALYMSPMVVLLIVNIVCFFGGLWRLVKVKDFEDMFGQLFLVSYVIVLSYPILQAIVTMKSKSG >OIW17596 pep chromosome:LupAngTanjil_v1.0:LG01:36431024:36432643:-1 gene:TanjilG_11160 transcript:OIW17596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSGLTERVLNRCGDAGNLAYRFYSWASKQSGYRHNQDVYKAMIKVLGKMRQFGAVWALIEEMRQENPMFITPQVFVILMRRFASARMVHKAIEVLDEMPKYGCEPDEYVFGCLLDALCKNGSIKEAASLFEDMRFRFPPTVKHFTSLLYGWCREGKLIEAKQVLVQMKDAGIEPDIVVYNNLLSGYALAGKLGDAYHLLNEMRTKGCEPNATSYTILIQSLCKRDKLEEAMRIFVEMQRNGCEADTVTYTTLISGFCKWGKIERGYQLLDQMIQQGHSPNQLTYQHIMLAHEKKEELEECMELVKEMRKIDCAPDLNIYNTVIRLACKLGEIKEGVRLWNEMEASGLSPGIDTFVIMINGFLQQGYLTEACEHFKEMVRRGLFAAPQYGTLKELMNSLLRADKLEMAKDVWNSITTTKGCELNVSAWTIWIHALFSKGHVKEACSFCIDMMDDDDLMPQADTFAKLMRGLRKLYNRQFAAEITEKVRKMAADRQITFKMYKRRGERDLKEKAKEKKDGRKRRARQRHWGGGRQKASAL >OIW19570 pep chromosome:LupAngTanjil_v1.0:LG01:151335:153286:-1 gene:TanjilG_18380 transcript:OIW19570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRLLDVMEEYLTLKQYRYLRLDGHTSGSDRGALIDLFNQSDSPYFIFLLSAEDRREYLESLLRECKKEEAAPVLDDDALNDILARSILPC >OIW19114 pep chromosome:LupAngTanjil_v1.0:LG01:12883253:12883588:-1 gene:TanjilG_08914 transcript:OIW19114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFDVIDAIAILRLDELYVESFEVKDVKTLRGDQLSRAIGRLSGKGGKTKFAIENATKTRIVIADSKIHILGSSANSKIARDSLCSLILGSPAGKVYSKLRAVTARLAERF >OIW19480 pep chromosome:LupAngTanjil_v1.0:LG01:2286055:2288177:1 gene:TanjilG_09500 transcript:OIW19480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAESEQTESEQTESGTTIADWSELTHECLINILSRLTLEDRWRGTMLLSKSWFRAFKEPSLHSIFNLDPHFKSPPELTRWWTPEFERRIDSMLQSVVHWSDGFLTEIRVPHCSDRSIALVAERCPNLEVLSIRSCPHVTDASISRIALMCPKLRELDISYCYEISHESLTLIGRNCPNLKVLKRNLMNWLDPSQHTGIVPDEYLNACPQDGESEAAAIANSMPHLEWLEIRFSKLSAKGLNLICEGCPNLEFLDLSGCANLTGRDIANTTSNLVHLKDIKKPNFYIPRSVFHTERYGHWSLYDERFQTDVFRI >OIW18645 pep chromosome:LupAngTanjil_v1.0:LG01:21863884:21866100:1 gene:TanjilG_13397 transcript:OIW18645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKCSNSLIGILNFVTLVLSIPIIVAGVWLSKQSNTECERWLEKPVIALGVFLLIISIAGLIGACYRVTWLLWVYLLVMFVLIVILFAFTIFAFVVTNKGAGEAVSGKGYKEYRLGDYSNWLQNRVNGSTWERIKSCLQSGKLCSKFENQFINDSVDKFYTENLSSLQSGCCKPSSDCGFTYQSPTSWTKTGNATYTNPDCNSWNNDPNILCYNCQSCKAGLLQNIKSDWKKVAIVNIIFLVFLIIVYSIGCCAFRNNRMDNYYKGY >OIW19762 pep chromosome:LupAngTanjil_v1.0:LG01:102106:105371:1 gene:TanjilG_27301 transcript:OIW19762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSMVASGSCQMSPSVVQEKGSRNKRKFRADPSLGESEKIIPAPQHECLSYEFSAEKFEISTGHVQATACDLCSVNHDHSDGLKLDLGLYSLGSSSEVGPCQPKEEVEVDEYDDADWSDLTEAQLEELVLSNLDAIFKSAIREIVACGYTEEVTSKAVLRSGICYGCKDTVSNIVDNTLALLRKGQEVDPSRGHYFEDLVQLEKYILAELVCVLREVRPFFSTGDAMWCLLICDLNVSDACAMDSDSLTSLGSDGVADGCSSNQMESQSKAEAKIPEFSLPSPCKSIPAVSHNSQSKKSLVAGIPGMNNLKNSQTIGGPSENDGASCGPVTVDKAFSATGTCQSEEKHGNVRKVHSGSSKRDYILRQKSFHAEKSYRTYGSKGSSRGGKLSGLSGVIMDNKLKSLSESSTINLKSASLQISKAVGVEVTQDNLDTSFSPNDRPSAPAAFSLDSADAVFRSMNTSYSVHAENTKPAFSSPSSLSTTDTDLSLSLSSKTKLSMAPVCCNNEAPNNSRVGIPYDKSLEQWVPQDRKDEMILKLVPRVQELQSQLQEWTEWANHKVMQAARRLSKDKAELKTLRKEKEEVERLKKEKQSLEENTIKKLSEMENALCKAGGQVERANAAVRKLEGENATLRREMDAAKLRAAETAANCQEVSRREKKTQSQFQSWEKQKFLLQEELMSEKRKLAQLLQESEQAKMQQGQVEARWQQEAKAKEELLLQANSIRKEREQIGELAKSKGDTIKSKAERNLQRYRDNIHKLEQEIAQLRLKSDSSKIAALRMGIDGSYASRFASTKNSNALEEPRASSIPELVSDYSVTGGVKRERECVMCLSEEMSVVFLPCAHQVVCTTCNELHEKQGMQDCPSCRTPIQQRIHAHYTNI >OIW19664 pep chromosome:LupAngTanjil_v1.0:LG01:943407:946375:1 gene:TanjilG_18474 transcript:OIW19664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSVIPNAISLLLANPSPDSSSLIPDIVLQVLDLKPTGNRFMFNANDGNLKMKAILPSNLNPEVHSGNIQNLGLIRIIDYTVNDIPNKPEKYLIVTKCEPVSPALEAEIKNEVKTAGSASSATGIILKPKQEMVAKSAAQIVHEQHQNVTRTERKAMSRRVNPLVSLNPYQGNWTIKVSVTSKGNMHTYKNARGEGCVFNVELTDEDGTQIQAKMFNEAARKFYDKFVLGKVYYISKGTLKVANKQFKTVQNDYEMTLNDYSEVEEVAEEAGFVPATKFSFVQIDQLGPYVNKNELVDIVGVVQNVSSTMSIRRKSNNETVPKRDITIADDTKKTVVLSLWNDLATNIGQELLDIADQSPVVVIKSLKVGDFHGVSLSTVSRSAVLINPDIPEAKKLRCWYDSEGKEAAMASIGVGSSPATTYGNRSVYSDRVLLSHITSNPSLGDDKPAFFSIRGYISFIKSDQAMWYRACKTCNKKVTESIGAGYWCEACQKNDDQCNLRYIMIVKVSDASGEAFLSIFNEEAEKIIGCSADELDNLKSQDGEDSPYQLKLKQAIWVPHLFRVSVTQNEYNNEKRQRITARSVVPVDFATESRLLLEDISKMGVSQ >OIW17844 pep chromosome:LupAngTanjil_v1.0:LG01:33686214:33690748:-1 gene:TanjilG_02472 transcript:OIW17844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVVKRDGRQETVHFDKITARLKKLSYGLSTEHCDPVLVAQKVCAGVYKGVTTSQLDELAAETAAAMTTNHPDYASLAARIAVSNLHKNTRKSFSDTIKIMYDHFNERSGLKAPLIADDVYEIIMKNAARLDSEIIYDRDFDYDYFGFKTLERSYLLKVEGKVVERPQHMLMRVSVGIHKDDIDSAVKTYHLMSQRWFTHASPTLFNAGTPRPQLSSCFLVCMKDDSIEGIYDTLKECAAISKSAGGIGVSVHNIRATGSYIRGTNGTSNGIVPMLRVFNDTARYVDQGGGKRKGAFAVYLEPWHADIFEFLDLRKNHGKEEHRARDLFYALWVPDLFMERVQSNGKWSLFCPNEAPGLADCWGEEFEKLYIRYEREGKAKKVVEAQNIWFEILKSQMETGTPYMLFKDSCNRKSNQQNLGTIKSSNLCTEIIEYTSPTETAVCNLASIALPRYVKDKGVPLESHLSKLVGSRGSKNRYFDFDKLGEVTATVTTNLNKIIDVNYYPVDNARRSNLRHRPIGIGVQGLADTFILLGMAFDSPEAQQLNKEIFETIYYHALKSSCELAAKEGPYETYSGSPVSKGILQPDMWGVTPSSRWDWDSLREMISKNGVRNSLLVAPMPTASTSQILGNNECFEPYTSNIYNRRVLSGEFVVVNKHLLNDLTEMGLWSPAVKNNIIYENGSVQKIPEIPHDLKAIYKTVWEIKQKTLVDMAVDRGCYIDQSQSLNIHIEQPNFGKLTSLHFYAWSKGLKTGMYYLRTRAAADAIKFTVDTSALKEKPKVLEEDDGTKMAQMVCSLTNREECLACGS >OIW18817 pep chromosome:LupAngTanjil_v1.0:LG01:20104895:20108068:-1 gene:TanjilG_25260 transcript:OIW18817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLWFFNQAFRWRPVSRSAKVEDLPVDSNLPGLDIFVCTIDPEKEPTIDVMETIISAIAMDYPANKLAVYLSDDGGCPVTLYGIKEASEFAKVWVPFCNKYGIKSRTPRVFFSSMGKEEQFIRTHEFRAEQEEIKAKYKEMQNNIEKFGSEPQNIGIVNDRPARIEFRVSGILSNGPYVLVVDCDMFCNDPSSAKQAMCFFLDPQTSNYISFVQFPQMFYNLSKKDIYDNQSRSAFKTMWQGMDGLRGPGLAGSGNYLNRSSLLFGGPNQKDDYLLDALNYFGKSTMFIESLKTIRGHKTTKKNIPRDEILREAQVTDPWFAVFAFLYVATQIQHLIEVLSGGGSVTMWWNEQRIWILKSVTSIFAIIEAMKKGLGLKKKKISLSNKALDKESVKKYEQGRFNFQGAALYMSPMFVLLIVNIVCFFGGLWRVLKVKDYVEMFGQLFLLSYIIVLSYPIVEAIVTMKSN >OIW19717 pep chromosome:LupAngTanjil_v1.0:LG01:1313773:1315781:1 gene:TanjilG_18527 transcript:OIW19717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQEKSLNVRYLVALFLIVLLTMSSCGYGVGVNWGTIATHQLPPDKVVEMLQENGFDKVKLFDADEWIMSALMGTDIEVMLAVPNNMLEEMSKDPKVADSWVDENVTSYMYTGGVNIKYIAVGNEPFLKEYNGTYLEATLPALKNIQTSLNNAGFGSKIKATVPFNADIYYSPESNEVPSAGNFRPEVIDLTVQITQFLYSNNAPFTVNIYPFLSLYGNDHFPFDYAFFDGSNKPIRDGNSLYTNMFDANLDTLLWALEKAGFGDMHIIVGEVGWPTDGDINANVQNAKRFNNGMLKHALSGIGTPKRKGIIDIYLFSLNDENAKSIAPGNFERHWGIFEFDGKPKFELDLTGLGEHKGLAPVESVKYMEKQWCILDPDATDFSNLPNSIDYACSLSDCTALGFGSSCNTLSFQGNASYAFNMYYQVHNQRDLDCNFYGLAILTGDDPSEKGCNFPLMISHSSSSLLLHEGLSILDIKNEAFSVFIFVVFLL >OIW17901 pep chromosome:LupAngTanjil_v1.0:LG01:32860460:32861851:1 gene:TanjilG_19870 transcript:OIW17901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKPWGNIGAWAADSERAEAEEREAEAVVETAASKNFPSLKEAVNAKQPKKKKMTLSEFSNFAAGGGGGSSEYRGLTTDEMLRLPTGPKERSAEEMQFSRGGFSSYGRSGGPSRDRDDNRDGSWGGGRRSYGGFDEEPRRGNSSRVSELDQPSRADEVDNWASVKKSLPSFDSGRQNRYGSLGGGGGDRDGGFGGGSRGDGGFGGGSRGDGGFGGGSRGDGGFGGGSRGDDGFGGGSRGDGGFGGGSRGDGGFGGGFRADGVDNWAAGKKPVPARSSNSGSSNFGSGFRDSGMEPDRWARGTPLPQREERERPRLVLDPRKSGVGSVNEAPVKTNKSNPFGAARPREEVLAEKGLDWKKLDSELEAKKPTSRPTSSHSSRPSSAQSSRSEGPGFQGAEGVVKSRPKVNPFGDAKPREVLLRVERFSCSQSLIDNGL >OIW18341 pep chromosome:LupAngTanjil_v1.0:LG01:23901051:23905131:-1 gene:TanjilG_31481 transcript:OIW18341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSSTFTVSPSLFVYHQKLRNRFHPLRFQPSKTNVNISSSSSSSPSLKPWSLASSSSSSFKLRPWTSLNRFQAKATSVPESAGDAASDNGALFRTLELGALFGMWFIFNIYFNIYNKQVLKVYPFPLTITAVQFAIGTVIVSLMWGLNLYKRPKISNAQLAAILPLAMVHTLGNLFTNMSLGKVAVSFTHTIKASEPFFSVILSAMFLGEDSIDNITLFSIITVMSFLLSAPVTIFAEGVKFTPSYLHAAGLNVKQVYIRSLLAALCFHAYQQVSYMILQRVSPVTHSVGNCVKRVVVIASSVLFFKTPVSPVNAFGTAIALAGVFLYSRVKRIKAKTT >OIW17750 pep chromosome:LupAngTanjil_v1.0:LG01:34733646:34737594:-1 gene:TanjilG_00344 transcript:OIW17750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSTLVIKVKYGDTLRRFSAHVNENKQLSLDMVGLRAKICSLFNFAADANLILRYVDEDGDLVTLVDDNDLHDMMRQQLPFLRIDVHVSNDIGGGKSNTSSSGNATPLRSPRVPDPSLSGNVAIADVWKSVQEPLNDALSNLCLVSKAVSSASPLLANLADTISKVGKPILNSHFQPNVTAGPSSKNGAPEESGTSEADGPKPTYMNPTFSDFISKTGKPHSYSQHQPPVTSGPTSENRVPGEQARGPQFVYGDSTSGSPFHAFADFISKVGKHVPNSHYQWPHVVAGIPGEHVTPEQSGPQSTYVGSTSSGTQPLVAGNPVGGEMDRVAVVDLNIPPSIPYSSQSANVNGDGKMGKVPTNDSFAHKGKISGTHKGKISGTSSSSTAPNNSSSWTSSTAPSLGNLRAHPFKRSHSQVMAPSGMFHKGVSCDGCGIYPIIGPRFKSNVKENYDLCSICFNTMGNATDYRRIDRRHPGFFPTLPHTLKLAKPKLDSRFILDVNVIDGTMMAPSTAFTKIWRIRNNGNLVWPMGTQLVWIGGDNFSDSHSVYLEVPMEGVPVEKELDIAVDFVAPQLPGRYISYWRMAAPSGQKFGQRVWVLIQVDASLKDSFYDSSQGLNLNIPLDVSGSKRPQIIDINVQPTEDDAFLQPHIPNAPTEPVNEIVDDQLMMQKLVDDFMLVNDLNESPVAVAASAPTISVAASAPTISVASPPTISVAASAPTTSVAATSLPTTYVAPSSVSYPIIDLSDTNPVVLSNQQSAAVNVPSSSFGVGGNNSVEETLLKELEVMGFKQVDLNKEILRMNEYNLEQSVDDLCGVSEWDPILQELHEMGFHNSEMNKKLLMKNNGSIKRVVMDLLNEELA >OIW18185 pep chromosome:LupAngTanjil_v1.0:LG01:26770553:26774525:1 gene:TanjilG_31305 transcript:OIW18185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVEDLPRKESNILKEHDGAVLGVRFNSDGNYILSCGKDRTIRLWNPHRGIHIKTYKSHGREVRDVHVTPDNSKLCSCGGDRQVFYWDVATGRVIRKFRGHDGEVNAVKFNEYSSVVVSAGYDQSLRAWDCRSHSTEPIQIIDTFADSVMSVCLTKTEIIGGSVDGTVRTFDIRIGRETSDSLGQPVNCISMSNDGNCILAGCLDSTLRLLDRSTGELLQEYKGHTNKSYKLDCCLTNNDAYVTGGSEDGYIYFWDLVDASVVSRFRAHTSVVTSVSYHPKENCMVTASVDGTIRVWKT >OIW19214 pep chromosome:LupAngTanjil_v1.0:LG01:7753188:7755271:1 gene:TanjilG_20339 transcript:OIW19214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDMENVEAYRKWLKTSLEKSSAIASALDESGSRLELLNQRCQSLKVLLITPISTQKCLFVGIDSVLCSVSAILKVLESIHQLENSLLTDPSSDLCTYVSDAKKLEEALKLLADNCRLAAGWLHVVFEFLQDTAIADELYLLNINRYLRILQELQDMEEGARLDGGFLSVAFDKLEIQFHRLLIANSMPFRLVSIASSHTASQPFPDTITGKLQTIIERLNANGRLDKCQSIYVEVRGMNARRTMRTLDLSYLEIPTAEFEVIHDVTSYIDRWGSHLELVVRHLLEFEFSLSSKVFEKIGPEAWMGCFANIAIESRILSFIRFGSIITKIKNDPFKLLKLLDIFRVLNGLRLNFNQLFNAKACEEIRTVTKDLINKIVNGASRIFFQLPSQVKLQRPSSPPTDGSVPWLVSFVIDYCNRLLGSTYRPHLAQVLEIHGSWRKEEYEEDVVFSLIYKTTKEISVKLDAWSKTYEDINLSYLFMMNNHCHFYNLRGTMLGNMMGDYWLREHEQYKDYYASLYLRNSWGKLLLVQKHFLSYSNGRVTSQDLVKRLNAFSLAFDERVKKQSNWVICDEILRENVCKYLVEGIVPIYRAYMKSYNLSIENDTKVAKHIKYTTQCLENMIRSLFQPKLRKSGSIKHANLFVQTPY >OIW19510 pep chromosome:LupAngTanjil_v1.0:LG01:2070596:2070868:-1 gene:TanjilG_06965 transcript:OIW19510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTGAAGDGLFRWVYSGCISNYDNHVERRPYHRNCGCALHNKSPNNCTHKFPKCNNVSYSMRRAWSEGNLVLATSSSSHSSPSHAERGGK >OIW18223 pep chromosome:LupAngTanjil_v1.0:LG01:27644896:27649280:1 gene:TanjilG_31343 transcript:OIW18223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDFGDHIKSQSNGPTQLSRFNELALKSKSTWAMSFATGGNGTNCASNDSDAIPCLSNAVAQTPRDAFPACPQSPKQHALNAPINEQLNGSHSPIEPIPSTIVGPHIQTQNSNLEDKPSDKIGEVLRGAKLTDEEADSLVKKKPWSGYKMKEMSGSGIFSANAKDTSSPANSANSKNRTSIRTYQQAINGISQISFNTEESISPKKPTSIAEIAKQRELSGTLHQPDTKNKKQISSAKTKELSGNDIFAPAPEILPRPVAAARTLQSKESKDMRGPVPQNARTSVKVSNPAAGQSNILFGEESIKKTSKKIHDQKFAELTGNNIFKGDVPPASAEKSLSRAKLREITGSNIFADGKAETRDVIRGARRPPGGGSSIALV >OIW19726 pep chromosome:LupAngTanjil_v1.0:LG01:1414311:1416640:1 gene:TanjilG_18536 transcript:OIW19726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSPSKLSLDCKTHMALKSFGGQNSDQNYKVEEIISQLEEERLKIDAFKRELPLCMQLLTNAMEASRQQLEVLKVNQGTRPVLEEFIPIKHSTYESFSAEKETKITDNKADWMTSAQLWSQASEGTKQQATTIITSLPTEAVDNIGFSMNPSLVLNHNNKQGNHNGGGGGGAFLPFLKERNSSQISALRALPELALASAEKEIEDKKCEDPCLKMENSSKGGTDGMVVDQGKGPSHAQNTTTAAAATTQTHRKARRCWSPELHRRFVNALQMLGGSQVATPKQIRELMKVDGLTNDEVKSHLQKYRLHTRRPSPSPQSGAPPPQLVVLGGIWVPPEYATAGAPTLYSAHPNSLPQEFYTAAPPQQLLPPPHPPHQNALHHHHQLMHVYKTAPQRQSSPEYDVQGGSESIEDGKSESSSWKGESGENEGERKGLQYEESNGSEITLKF >OIW17711 pep chromosome:LupAngTanjil_v1.0:LG01:35000563:35001783:-1 gene:TanjilG_29061 transcript:OIW17711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDSITTTTPIPSATNAKNLGKKKKINWSSKLKQNKVDARREQWLSQGPVKNMGCKDGEDNDSHVLPPPPHSGKHSKDLLEKLEIKRGRGQEDNGSMIHQDSDWESLTFSPTSNVFGINFTGSSSSRSSSSSSAGCCSGDITEENEEEEECLDDWEAVADALAANENQQHQNPCPDSPISPIVQTGSRDGSSSGATNSKLESARLVPWASGNTRAWRADDAFRPRSLPNLSKQLSMPNPDRYCGGGSPWTRPTMSCSCPICCEDLDLTDSSFLPCLCGFRLCLFCHKRILEEDGRCPGCRKPYECEPVKTEAKVTGGSLTLRLVRSFSLIERS >OIW17860 pep chromosome:LupAngTanjil_v1.0:LG01:33496704:33502471:-1 gene:TanjilG_14106 transcript:OIW17860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFWRERERENIEQNASVLCGQVRVLVVGDSGVGKTSLVHLLVKGSPVARPPQTIGCSVSVKHTTYGNSGSSSSSLKGDSDRDFFIELWDVSGHERYTDCRSLFYSQINGVIFVHDLSQRRTKASLQKWAAEIAATGTFSAPFGFGGPGGLPVPFIVIGNKADIAAKEGTGGSSGNLVDVARQWVEKQGLLPSSEDLPLTESFPSTGGLISAAKEARYDKEGMMKFFHMLIRRRYFSDETPAPSAWSIPSVQVPSQRIDDNFIEDDQCYSTSRRSDPYNYNMLPPLPAQRNLTPPPTLYPQQPVSVSENYSFPRFSLSGSSEISAVARTKRSDINV >OIW17975 pep chromosome:LupAngTanjil_v1.0:LG01:31643771:31647066:1 gene:TanjilG_31348 transcript:OIW17975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVDIQKSLSLGLNRRGGRNNHSPPKAMCNKDSKVFTMLDSMGLVSKPHRCPIPVSNDPDKIVIPTGRTSDKIVKKLMYVMEDEVPNNESQSSPLFGGHISWKQREESFKPKSNMKVHCGFIQGGGADMNRVDVEYVKKCKFVVASGIFDGYDIPHQPSNISPRSKKLFCFLMVVDEVSLKFMKENGTVTEDNDGGKWVGIWRIFLLKHPPYDEPRRNGKVPKILTHRMFPQAQYSIWIDGKMELIVDPLLILERYLWRGKHTFAIAQHKHHRSIYEEADANKRRKRYARPLIDLHMKIYYHEGMEPWSSKKKTISVVYDIPDVPEGAVIIREHTAINNLFSCLWFNEVHLFTPRDQLSFGYVAYRLGDSFKCFMFPNCEYNSIFVLHPHTREHSSPIEWVKELDQLKKNSNLKESRGGLGLFTPYPADLDSVVLPHVTRTSKAG >OIW19336 pep chromosome:LupAngTanjil_v1.0:LG01:3700313:3701505:1 gene:TanjilG_03470 transcript:OIW19336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVGVSKLKSLGQIAKIKEMFQKWQTTPLGSKESNDHSHVKHGGISPMINKRLTNLVYCDSDEEGCYSPEPPHDVPKGYLAVYVGPEHRRFIVPTSYLSHSLFKVLLEKAAEEFGFDQSGGLTIPCEIETFKYLLNCMENNQKDDHDEICGNTGTIEE >OIW17950 pep chromosome:LupAngTanjil_v1.0:LG01:32230119:32230961:1 gene:TanjilG_17786 transcript:OIW17950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMNQIFFLSFLLLPLITTTLAQSPASAPRKAPSKPASTIQAPTPSKPLVPALPQSPSSDSGSQDIIKILRKAKSFNTLIRLLKTTQIINQVNAQLVTTKSGGITILAPDDGAFSQLKPGFFNSLGERQQKELIQFHVLPVYVSSSNFDALSNPVLTLASDSPTGFQINVTAYGNNVNISTGVVDATITGIVYTDKTLAIYHVDKVLIPLDFSKPKAIAPAPALAKAPKADKENSSAEDDDQGDSSKNSSDAISFISNVHGTMLVSLGVAMFAVAATISC >OIW17664 pep chromosome:LupAngTanjil_v1.0:LG01:35386677:35395254:-1 gene:TanjilG_29014 transcript:OIW17664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKASRDKRDIYYRKAKEEGWRARSAFKLLQIDEEFNIFEGVKRVVDLCAAPGSWSQVLSRKLYLPAKLAPDEKDGIIPLIVAIDLQPMAPIEGVIQVQGDITNARTAEVVIRHFDGCKADLVVCDGAPDVTGLHDMDEFVQSQLILAVSTKLCSQNFFVGLTIVTNVLKEGGKFIAKIFRGKDTSLLYCQLKLFFPVVTFAKPKSSRNSSIEAFAVCENYSPPEGFNPKDLHRLLEKVGSPSGVEDTDCCSGWLEGPNKVYIPFLACGDLSGYDSDRSYPLPKVAGGTYQSLDPVQPPIAPPYKRALELKKASSQGI >OIW19292 pep chromosome:LupAngTanjil_v1.0:LG01:5555471:5561204:1 gene:TanjilG_20417 transcript:OIW19292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGNGDISHKSQKKESENKDSVFIHGDLDLIIIEAKSLPNLDLSSETFRKCITMGNICTPPFVKGLKTHSGNHKMITSDPYVSICLGGATIAQTRVIPNCENPMWDEHFLVPVAHPATKLEFLVKDDDVLGAELIGVVDIPAQKILSGNLINDWFPIIGQYGNCLKPYPELHISVQFRPIGVNNDPSENGGDVTAPLGVPRTYFPLRKGGNVTLYQDAHVPDGMLPEIPLEDGKVFHQGKCWEDICHAILEAHHLIYVIGWSIYHPVKLVREPTKPLPSGGELTLGELLKYKSQEGVRVVMLIWDDRTSHDKFLIKTDGVMQTHDEQTKKYFKHSTVVGTLFTHHQKCVILDTQASGNNRKITAFIGGLDLCDGRYDTPQHRLFVDLDTVFHNDYHNPTFQISSYGPRQPWHDLHCKVEGPAAYDILTNFEQRWRKAKKWRDFRLKKVTNWHDDALLRLDRISWILSPSSGLHGDKSVHVTDENDPENWHVQVFRSIDSGSVKGFPKDFDKAKAENLLCGKNLKVDQSIHAAYVKAIRSAQHFIYIENQYFLGSSYHWPSYKNAGANHLVPMELALKITSKITAKERFCVYIVIPMWPEGVPTSAAVQEILFWQGQTMSMMYRIIADALFKEGLSNKYHPRDYLNFYCLGRREQGSSENSSSPKSNQSSEHRALASVRKFRRFMIYVHAKGMIVDDEYVIMGSANINQRSMDGSRDTEIAMGAYQPKYTWTEKNSHPYGQVYGYRMSLWAEHLGRLDDTFSEPHSLECVRHVNNIAKRNWTTFVSDEGNQMSGHLMQYPVHVSRDGKVSALNDYEFFPDVGGKILGSPNSLPDALTT >OIW19150 pep chromosome:LupAngTanjil_v1.0:LG01:10437060:10443173:-1 gene:TanjilG_21042 transcript:OIW19150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTKETVRALFLLPSTYRGSHVQHQDTQTLSPQNVSIVDIRPNLDDKIVLREMSYVGPPQSGRKETVLDLAKFVDKGVQVKLTGGRQVTGTLKGYDQLLNLVLDEAVEFIRDSLNVEPLFSYTLDECDMSMQLIKSIAFVPYVADADDPLKTTDQTRRLGLIVCRGTAVMLVSPTDGTDEIANPFLQPEGA >OIW17906 pep chromosome:LupAngTanjil_v1.0:LG01:32812529:32818820:-1 gene:TanjilG_19875 transcript:OIW17906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCPFNSAAFPDSLAIAKEGVLTIGTIDDIQKLHIRSIPLGEQARLICHQEQSRTFAICSLKYNPASAEESEMHFARLLDDQTFEFISTYPLDTYEFGCYIISCCFAEDNNVYYCVGTAYVLPEENEPTKGRTLVFSVEDGKLQLIAEKETEGAVYCLNAFNGKLLAAINQKIQLYKRFIVVGDLMKSISLLIYKHGEGAIEERARDYNANWMSAAEILDDDIYLDRKKISLGTQPITLWTFSSKNTTHVFAASDRPTEIYSNNKKLLYSNVNLKEVSHMCPFNSAAFPDSLAIAKEGVLTIGTIDDIQKLHIRSIPLGEQARLICHQEQSRTFAICSLKYNPASAEESEMHFARLLDDQTFEFISTYPLDTYEFGCYIISCCFAEDNNVYYCVGTAYVLPEENEPTKGRTLVFSVEDGKLQLIAEKETEGAVYCLNAFNGKLLAAINQKIQLYKRFIVVGDLMKSISLLIYKHGEGAIEERARDYNANWMSAAEILDDDIYLGAENNFNLFAVWKNSEGATDEERGRLEVVDEYHLGEFVNRFRHGSLVMCLPNSDAGQIPTVIFGTINGVIGVIASLPNEQYFFLDKLQSNLKKVIKGVGGLNHEQWRSFNKEKKTVDARNFLDGDLIKSFVDLNRSKMDDISKAMDVSVEELCERVEELTRLH >OIW19162 pep chromosome:LupAngTanjil_v1.0:LG01:9568507:9568686:1 gene:TanjilG_13944 transcript:OIW19162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPFTGLRIEGTIPLHNEVMGNAYKTQLHSQEYRRHVCMIFLCSENKFGDEGNGLLEFV >OIW19062 pep chromosome:LupAngTanjil_v1.0:LG01:15467470:15470625:-1 gene:TanjilG_10623 transcript:OIW19062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIKVACMVLMCMVVVGAPIAQATITCGQVVSSLAPCLTYLQSGGAVPGTCCNGVKGLVALAQSTADKQTACNCLKSVAASTQFNPENAASLPGKCGVNLPYKISTSTNSLINDNKGKATRAPLMRVFSCQRVP >OIW18119 pep chromosome:LupAngTanjil_v1.0:LG01:27795421:27800196:-1 gene:TanjilG_22317 transcript:OIW18119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDDDHELQTFRALVESADRKFARVRDAPTYDHGGSQGHLFQKVFKAYTRLWKFQQENRAKLVRSGLNRWEIGEIASRIGQLYFSQYMRTSECRFLIEAYVFYEAILSRRYFQGSEASSLPKDLGVRSKELRFYARFLLVSLILNRVDMVKHLMNCFVALVDDCRSNFRETNFKEWKQVVQEIVRFTKVDNGFSVRSMRYCGTIDTHRASLPYVARFHAKRVLKFQDALLTSYHRNEVKFAELTLDTYRMIQCLEWEPSVSFLQRHTVKPNENGDMIDHSGASAVIDLNLAADLTDPTMPPNPRKATLYHPTVTHLMAVMATICEELPPDSVVLVYLSASGKTGLNNVSQMENSGSGGSSKYSRRSIFSRTTQEQNSGASESQSRGKSELSCYDNYLWFGPKGDGGANNLYPGDLIPFTRKPLFLIIDSDNSHAFKAGLSNLYLICLETLLGGTIVLHGAERGETAALFLSPLKPLFKNPSDVNLHNGSQFTFFLTAPLSSFCQMIGLFPNEADTDVYNEAENILTNAFTEWEIILCSTTSMDLVWAQMISDPFLRRLILRFIFCRSVISFLCPPEESEQYLPLCLPNLPNSVAPKSEAVRSAVMQLAGHFDVADSFYFTDT >OIW18927 pep chromosome:LupAngTanjil_v1.0:LG01:19022734:19025883:-1 gene:TanjilG_25370 transcript:OIW18927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAQSQSQHQIQTPTLSSHDEALKRNTDCVYFLASPLTCKKGNECEYRHSEYARVNPRDCWYWLNGNCLNPKCSFRHPPLDGLLGTPPATAAGPSVPPLQHPTPSATHAPYNSSKPAVPCIFFQKGLCLKGDRCAFLHGPIPNAGNKAVTQAPVNSQGAEPQSFKKTFGGIEKNNTQDRKISQGNVAKPVGGFEAKPAQKVGTAPQKKIFELKKHVPPPSTGFEDEVSEFEIISTPQVTDEPTIVMSSRLHQAYVPDDNGFHNGKDNDEFLRESSPGFDVLVADELRNSDYYHGEDEFGNTRGQDERNLDSLSEYDLGHSADYNLAADIGRDRFRVHQGYDSYDHTQETYMWEQHRKPSAHLERTHRRSDSPNNAEVSDLRLHLSKRRKSNGLKSVVAQDCARESHGEEHSHRHFPRKDSLQLPSNESSISNRFRGRIKLPGNGGDGRPERESDRRVGSRLSSGRLPAPHHGMLQDRIRGRVQDGERRNFRDRPVGRELTGDRTNGFYVPKRLSEHKNGRSSENRDQQFLGKRKGLRDNQQSDDGFQFEGPKPLSEILKEKKRGVGVGAAPPSGNSSENNNTEMTDNSDHKSLTKTQNGSLSETMENVKNHEEGSKSQAIDAVGKNAVNTDTTHGQSSEKEPIYDEAMEDQEYEGDDQRDDGDYDYDQVDEGYYEYEQVEEGENPDQEEYIDDEDGDDFAKKIGVMLT >OIW18721 pep chromosome:LupAngTanjil_v1.0:LG01:22498221:22501956:1 gene:TanjilG_13473 transcript:OIW18721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPMDGIFSLSEATRTDFLSALVLHLSGCAYICLWRYDSCLTNRLLFLDGFYNVNNQPNSSLARNSVAEELFNLYQSLTFDVNVDSIPGLAFRNHNPYLELQQLDLLRLVSTEIQVQFFKEARIKTAVFMGCSKGEIELGFTNMSQVDIEAALRGLFPEDFFRQTQRINYQNPHSSSSSYSISLSTGSPECSSLLINNIPVTSMSHHFPETLGSMVPKMQPIEARPIHQQAIQALGQVIPCHFATPEVEHDDAIIRAMIHVISSSSTSDHKHRIPQQNLPYTSSSLVHPVNITAFKKYSADNKGPDPHIRSNPPRQSLLKKSFACSRSLNSLRIRERIQEAARFTSTQQQRMMSERRRREKLNENFQALRELLPPKTKKDKASILTAAKEKLKSLTAEIEKFNIRNQQLTTFLSTIEANASNSNFSNEQLKIRVSNVPQSSLSEERMVDLHVTVRGESSQVDISIRLLEFLKRIQNLSLICMEANTHVTEGTTINQLTFRIGIIEGSAWNECTFVEAVRRIVADLLHGHVGK >OIW18192 pep chromosome:LupAngTanjil_v1.0:LG01:26911379:26914834:-1 gene:TanjilG_31312 transcript:OIW18192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQFDQIPTQMGFLLPFPPNLTSSPLFSPQYSKSFTSITPSSLASNELDDGSTSNLAQTLVAITAQKSKHGLTSTFGGPQFLSLHRSNVNPWSFGEVTDCFRSKRSREENHDMGFFDIKMKVKKMKGRRKVREPRFCFKTMSDVDVLDDGYKWRKYGQKVVKNTQHPRSYYRCTQENCRVKKRVERLAEDPRMVITTYEGRHVHSPPDLNLEDSHAQSQLTNFLW >OIW18535 pep chromosome:LupAngTanjil_v1.0:LG01:20886706:20887623:-1 gene:TanjilG_13287 transcript:OIW18535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEIHSMGGGRFFGGGGNGGGGGGGGGGGDRRLRPNHHHQALKCPRCDSINTKFCYYNNYNLSQPRHFCKNCRRYWTKGGVLRNVPVGGGCRKSKRANSNSITKPSNNNSSSETPPEHNSNSHSSSESSSLTATTTTEAVSAPNNTDSKLLIPSSNPPLETVSLEQQGTVDCAIFSEIGSFTSLITSTNDTLQFGFGPNTITDTSSFQWQNQKVLTMVGADNGELKFHDNLNGGGASSLLDQGTVAVDLSVLQNKTGHGGFGSLDWNGGADQGLFDLSNTVDHTYWNPHTTHWSDHDNSTLFHLP >OIW19589 pep chromosome:LupAngTanjil_v1.0:LG01:289122:293890:1 gene:TanjilG_18399 transcript:OIW19589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQGLSCRANHNEHGLFTAVQHGDLQTVATLLQADPSLLHHTTVYDRHSPLHIAAANGQIQILSRLLHGSVNPDVLNRQKQTPLMLAAMHGKIDCVEKLLEAGANVGTALVIDVADCIDEEADEQSPGQEISDDYDVFGDPEIFPRVGEEYQVEIPLLISESGYSQFQNNSHQAESRARTLHEFRVGLPIPIIWIEDEVENNELDPLKNACKSTRVANEIETPAVECIEETNVNREIKIGRHGKQQKGHFLVPGSASDNWNEIEEASFILGLYIFGKNLVQVRRFVGNKKMGDILSFYYGKFYKSDIYQRWSRCRKVKNRKCVQGQKNVTRPRQQELLSRLQPNVSKECHSKLLEVSKTFLEGVMHLEEYVLTLKDLVGLKALVEAVGVGKEKEDLTCFTIDSFKSTQAFPVRPKIPVGKACSMLSPPEIVKFLTGGFRLSKARTSDLFWEAVWPRLLARGWHSEQPCSYNYAIAAKHSLVFLVPGVKKFSRKLVKGGHYFDSITDILGKVASDPGLIELETVADKDCTSKEENVMDRENSPDQPRHCYLKVKTRTRIIDVMKFTVVDTSLASEKTTKVRELRSLPAEVLKASDYDSDYSDTSEEETNESDPVSTVCFQRGKTNICKASKFDIDRGISSDLNDLENNLSKEEIPMSSMGSSNLSASSKDQKTDLLSSTRKRESMKCQSLQGIVSDNKNGLVPVTKKRRRLTTCSHAKQNRETPNIFAVPRVKIEEANFCPNNSKSSENVTANFFVVPRVKQEKASYCTDNAKFRGNILSLEIPPSEKKISVEPLSNSGSIISREPVPATSSSGTKDHGEKPRPRTMIDLNLPASDEVEADEPFVSEIQENNTSKESVELSVGTIFKPVDDSDQQLDMNTRRQSRRNRPPTIKVLEAYASGFLDIKEKKRSRDYL >OIW19561 pep chromosome:LupAngTanjil_v1.0:LG01:1631352:1632148:-1 gene:TanjilG_07016 transcript:OIW19561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQSKKFRGVRQRHWGSWVSEIRHPLLKRRVWLGTFETAEEAARAYDQAAILMSGRNAKTNFPIIQTPEGDPKIMISNNDNTSTSSMSKDLEETLHAKLRKCGNVPSPSMTCLRLDTENSHIGVWQKRAGQRSDSNWVMTVHLGKKKSDKSYEGGEDCSSSSLPSSTSSENNNNNNNDGSLSAVVAGNDQEQVQVRTQMDEEDRIALQMIEELLNRNCPSPSTFNSVEQGVDSSFFL >OIW19175 pep chromosome:LupAngTanjil_v1.0:LG01:9286478:9290716:-1 gene:TanjilG_22671 transcript:OIW19175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKGKAYCLYRQNKLDEALDALKGQVRSDETMLLESQILYCLGKMDASLDIYQKLQKSKIDSLEINFVASLVMTGREKYTDAEQLLLSGRRCCGSLYSIIRRDLADESSIAVAVNNLISLKGPKDVSDSLRKLGRLKEKETKNFELARGLDLKLLVKQKEAIYSNRVLLLLHANKLDQARELVSRLPEMIPESVVPVMLQAALLVREIKAGRAEEILAQFAAAASHPHTAVDSLAKILDIQHMHAIVATLVSLKEHVGDIDGAAAMLDSTIKWWSNAMTESNKLNIIIQEATSFKLKHGKEEEDARLYEDLFKSQGNIEALVGLVTTVACLDVNKAELYEMKLKTLPGLKGIDVDSLERTSGVKQVDAPRVAFTQTQEEGKNKAKAMKKRKRKPKYPKGFDPAKPGPPPDPEKWLPKRERSTYWHKRKDKRAAQVRGSQGAVVREKHNVATSSNNSNPKSNQATGFKGAAAVSEQSKPSSNSSKKKSRK >OIW18583 pep chromosome:LupAngTanjil_v1.0:LG01:21308085:21310220:1 gene:TanjilG_13335 transcript:OIW18583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANHIVCFHFFHPLFLFFPLIASLTVQAFTGTYGINYGRIANNIPSPDEVVPLLRAAKIKNVRIYDADHSVLEAFSGTGLEIVVGLTNGQLQDMSANADHALNWVKDNVQSFLPGTHIVGIAVGNEVLGGDDLSLWGDLLGAVKNIYNATKKLHLDDRIEISTANSFAVFANSYPPSSCKFKDNVRQYMKPLLEFFSQIGSPFCLNAYPFLAYISDPDQIDINYALFKPTKGIYDPEFRLHYDNMLDAQIDAAYAALEDAGFHKMEVIVTETGWASDGDQNEVGANVSNARTYNYNLRKRLAKKKGTPHRPKNVVKAYIFAIFNENSKPGPTSERNFGLFKADGTISYDIGFHGLNAGDSSLLSLKNIKNQGLSQSYAMVFSISALMLLIF >OIW19484 pep chromosome:LupAngTanjil_v1.0:LG01:2265677:2267493:1 gene:TanjilG_09504 transcript:OIW19484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKMKGVAVSTEPSYDVYQDQRARLRHQSLLQDYEDLQKEAEAMRRKLQAMKQKSLILSDEVRFLRQRFKYLLKNPSPKPQPKEEVPKPQKLKSQVPFTSKGRNYKRKDSTSRVHNTSPLKPKGMISNGGEIALQRTGLMFDLNQNARSFSKKGATFHDSAPVFDLNHKDRNRSGKEATKKSIVPFFDLNQISREEEELLGNSEAMRIEEPKSTHRVMSDEQHNDIKLSVCRNIGDGSNRTGKRKISWQDQVALRV >OIW18497 pep chromosome:LupAngTanjil_v1.0:LG01:20660207:20661940:1 gene:TanjilG_13249 transcript:OIW18497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMDTTQPFMVWQSKYQINENPFPFTCQFSSYDHHISGNVNGYPALDNWPHEFPLQDNLMDAVPFMESYYSTDPLYEIPTIEPIPNYDFYDIRKEFSICNEIDVEFEETGKTELGKEEGQESEMKLRNAIEILEKEKKTLEEMPDMELEDSTKRLRQACFKASYKKKKLMEMMESKCSSTSTSTLSATATSIHTI >OIW18087 pep chromosome:LupAngTanjil_v1.0:LG01:29208641:29219681:-1 gene:TanjilG_08557 transcript:OIW18087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVAGSLIRYRIMEQEQPLPVSISDSVSYENDGTPSDAVIFFGLSLAIGIACRHLLRGTRIPYTVALLVVGIGLGSLAFPSRLEELERLSLVSDLQKIPLGSINSFKLSYCWKVLCFPVRILEIENILEKYGTHHRLGKIGTGIRLWSKIDPDLLLAVFLPALLFESSFSMEIHQIKRCVAQMILLAGPGVAVSTVCLGFVLKFTFPYNWSWKTSLLLGGLLSATDPVAVVALLKELGASKKLSTIIEGESLMNDGTAIVVYTLFYRMVLGETFNWIAIIKFLAQVSLGAVGIGVAFGIASVLWLGFIFNDTVIEISLTLAVSYIAYFTAQEGAAVSGVLTVMSLGMFYSAFARTAFKGESQQSLHHFWEMIAYFANTLIFILSGVVIAESILGGGGKSETQKVNADLKR >OIW18256 pep chromosome:LupAngTanjil_v1.0:LG01:25169595:25172888:-1 gene:TanjilG_20311 transcript:OIW18256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSDYSLVPSFLYSSKSLSNLDNTSINTNHGLPPSHSSSLSSHVDRKNHIMIPAPKESIRMFSPAYYAACSAGGIFSCGLTHMAVTPLDLVKCNMQIDPIKYKSITSGFGVLLKEQGAKGFFRGWVPTLLGYSAQGACKFGFYEFFKKYYSDIAGPENAVKYKTFIYLAGSASAEVIADIALCPMEAVKVRVQTQPGFAQGLGDGFPKFVKAEGAGGLYKGLVPLWGRQIPYTMMKFASFETIVEMLYKYAIPTPKEQCSKNKQLGVSFAAGYAAGVLCAIVSHPADNLVSFLNNAKGATVGDAVKKIGLLGLFTRGLPLRIVMIGTLTGAQWGLYDSFKVYVGLPTTGGSAPTPTK >OIW18621 pep chromosome:LupAngTanjil_v1.0:LG01:21693378:21696730:1 gene:TanjilG_13373 transcript:OIW18621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLHATMAQKRALPDKPIGPQVPTVIPAHKWSTLSGNEPLVIARGGFTGLFPEGSPDAIGLSKDISTFLCNLQLTKDTGAYCLTGTTLDNSTTIAMFDPKENTYNINGNDVKGHFSLDYTGAQIDQNVSVNQAIFSRPEFFDGVSPVLNVDALLEDKAPPKFWLNVQNEAFYTQHGIKVADIVLEILKVYQIDFVSSSEIGFLKSINGKSNKATKIIFQLLHATDVEPTTRQPYGDIVKDLLHIKSFASGIMVPKEYIWPVKPDRYLGLPTTLVADAHKFGLEVYASGFANDFFSSYNYSYDPTAEYLQFIDKEVSVDGLVTDFPATASNAIACFAHNNTLPQKGPTLIISNNGASGVYPGSTDLAYNQAIADGADIIDCSVQMTKDGIAFCSDSVNLIGSTTAMTKFMSRSSNVPELEAKSGIFSFDLTWSEIQTLKPQIVNTRGNDFPRNPADRNSGKFVTLPEFLELAKAKAVAGIMINISNAAYLASKKGLDIVGAVSTALSNASFDKQSTQQVLIQSEDSSVLSKFKDIPSYKRVLLVGEIISDAPRPTVDEIKKYADTVNLPKTSVITATTASLLSGVTNVVKELKDANLTVFVHTLRNEYTSLAFDYWSDPNIEIATYVQTGRVDGFVTDFPATASRYLRSPCSDPKHVPTILPAQPGELLSTIPAEILPPAGAPLPVLEVANVVDPPLPAVVNLTREATPAPAAVTPSSTASANASNFVLSLVAILVLAMLSSEHH >OIW18450 pep chromosome:LupAngTanjil_v1.0:LG01:20371790:20375312:-1 gene:TanjilG_13202 transcript:OIW18450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTMKLMLFSFFTILSFQTVFSVTPLIVQHPLDPLTEQEITLVQTIVLRKYPTSRNTLTFHYVGLDDPDKAAILKWQQSPKLVKIDRKSFVIAIINSQSHEILINLKAKVIVSDNIHKGNGFPTLSVEEQGQVIELPLKYGPFIASVNKRGLNLSEVVCSTFSIGWYGEAKSKRSLRVECFLKEDTANIYVRPINGITILVDLEKMKIVEYHDTEIEPVPTANNTEYRASRQRPPFGPKQHSLTSHQPQGPGFQINGHSVSWANWKFHIGFDVRAGVIISLASIYDLEKHKSRRVLYRGYISELFVPYQDPSEDWYYKTFFDAGEFGFGQSMVPLIPNLDCPPNAQFLDAHFAQADGTPTTLNNAFCVFEQYGNIMWRHTETGIPNENIVETRTEVNLIVRSIVTVGNYDNILDWEFKASGSIKLGIALSGILEIKAVGIKHKSEIKKEQHGSLVSANSIGVYHDHFYIYHLDLDIDGEYNSFEKTNLKTVKVTDGSSKRKSYWTIETETAKTESDGKIKIGTSPTEFAVVNPNKQSSTGNDVGYRLIPGPAVHPLLTEDDYPQIRGAFTNNNVWVTPYNRTEKWAGGLYVDQSRGEDTLAVWTKRNRDIKNKDIVLWHVVGIHHVPTQEDYPIMPLLSTGFELRPANFFERNPVLKTLSPKDVQWPGCPK >OIW18105 pep chromosome:LupAngTanjil_v1.0:LG01:27987860:27991630:1 gene:TanjilG_19371 transcript:OIW18105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPAASRPAVVIDNGSGYTKMGFAGNVEPCFIEPTVVALNESFLNQSRTSSKANWVAQHNAGVMADLDFFIGDEALAKSRSSSTYNLSYPIHHGQVENWDAMERFWQQCIFNYLRCDPEDHYFLLTESPLTAPESHEYTGEIMFETFNVPGLYIAVNSVLALAAGYTTSKCEMTGVVVDIGDGATHVVPVADGYVIGGSIRSIPIAGKDVTLFVQKLMRERGENIPPEESFEVARKVKEMHCYTCSDIVKEFNKHDKEPAKYIKQWRGIKPKTGAPYSCDIGYERFLGPEVFFNPEIYSSDFTTPLPVVIDKCIQSAPIDTRRALYKNIVLSGGSTMFKDFHRRLQRDIKKIVDARALASEARINGDIKSQPVEVNVLSHPIQRFAVWFGGSVLASTPEFFTV >OIW18669 pep chromosome:LupAngTanjil_v1.0:LG01:22059846:22064482:-1 gene:TanjilG_13421 transcript:OIW18669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHSHDNHDELIFRSKLPNIYIPIHLPLHTYCFENLSQFKDNPCIINSNTGEIFTYSDVELTARKVAAGFNNLGIKQGDVILLLLQNCPQFVFSFLGASYLGAIITTANPFYTSAEVEKQAAASNTKIIITQSSYVEKVKDFARDYNIKVVCIDAIPEGEYLHFSELTSSDEDDIPAVKISPDDVVALPYSSGTTGLPKGVMLTHKGLVTSVAQQVDGENPNIYFHSNDVILCVLPLFHIYSLNSVLLCGLRVGAAILIMQKFEIITLLELVEKHKVTIAPFVPPIVLAIAKSDDLERYDLSSIRMIMSGAAPMGKELEEAVRAKLPNGTLGQGYGMTEAGPVLSMCLAFAKDPFVVKSGACGTVVRNAEMKIIDPETGTSLSRNQAGEICIRGNQIMKGYLKDPEATERTIDKGRWLHTGDIGYIDDNDEVFIVDRLKELIKYKGFQVAPAELEAMLIAHPNIVDAAVVSMRDEAAGEVPVAYVVRSIGSKISEDEIKKYISKQVVFYKRINRVFFVDSIPKAPSGKILRKELRARLAEGLV >OIW18659 pep chromosome:LupAngTanjil_v1.0:LG01:22000030:22004364:1 gene:TanjilG_13411 transcript:OIW18659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGQIECYYHGVSSPPYNFCTNFNFIAVKKLKAINSKAEMEFAIEVEVLGRVRHKNLLGLRGYCAGDDQRLIVYDYMPNLSLLSHLHGQFSLEVQLDWKRRINIAIGSAEGIFYLHNEATPHIIHRDIKASNVLLNSDFEPQVADFGFAKLIPEGVSHMTTRVKGTLGYLAPEYAMWGKVSESCDVFSFGILLLELVTGRKPIEKLPGGVKRTITQWAEPFITKGKFRDMVDPKLRGNFDENQVIQTINVAALCVQSEPDKRPNMKQVVNLLKGYEADREVTRTRIHSIVYNDELLTQNEPSDDEGDGINNYGVLSAIEVQKMHDPPYKPSDNKYMV >OIW18391 pep chromosome:LupAngTanjil_v1.0:LG01:23387068:23387825:1 gene:TanjilG_31531 transcript:OIW18391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVFTFENESTSTVAPARLYKALVTDADIIIPKAVDTIQSVETVEGNGGPGTIKKLTLVEDGETKYVLHKIESIDEANLGYNYSIVGGVGLPDTVEKISIETKLFEGPNGGSIGKVTINIQTKGDAQPNEEEGKAAKARGDVFFKAIESYLSAHPEYN >OIW17765 pep chromosome:LupAngTanjil_v1.0:LG01:34601762:34605757:-1 gene:TanjilG_06450 transcript:OIW17765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQLKTFPSSYAYPATSPSLHRTEQVLHRQLWKANPSSFKKLGTRTTIGAGTFQVIRAVVRNDTETEISESKKVSGLRGKLNKVVLAYSGGLDTSVIVPWLRENYGCDVVCFTADVGQGIKELDGLEAKAKASGASQLVVKDLREEFVSDYIFPCLRAGAVYERKYLLGTSMARPVIAKAMVDVAKEVGADAVSHGCTGKGNDQVRFELTFFALNPKLNVVAPWREWDITGREDAIEYAKKHNVPVPVTKKSIYSRDRNLWHLSHEGDILEDPANEPKKDMYMMSVDPEDAPSQAEYVEIGIESGLPVSVDGKRLSPASLLAELNEVGGRHGIGRIDMVENRLVGMKSRGVYETPGGTILFTAVRELESLTLDRETIQVKDSLALKYAELVYAGRWFDPLRESMDAFMQKITETTSGSVTLKLYKGSVTVTGRKSPFSLYRQDISSFESGQIYDQADAAGFIRLYGLPMRVRAMLEQGI >OIW19458 pep chromosome:LupAngTanjil_v1.0:LG01:2498691:2501446:-1 gene:TanjilG_09478 transcript:OIW19458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFVSSSAFPIPFHIPQISPLSSSSSSYYYSSFSYSFSNLRTQIQSSFSVSIETQQHFPKIDTSFLTIAESFYEDELWAAASLRVRSFNDFSPDTFGLRDHIRFLTEREFEALKERVSGKRIGFKRVSCINASILLNHISNLSDDLCSSCKFSSNGEERIIVGSLDLNQCLSLPDEIAGTKPEAIGADTTRAYLSNVCVANELHRNGLGYALLEKSKLVAHDRGITDLYVHVAVDNEPAKKLYMKSGFVYESEEPAWQARFLDRPRRLLLWKGLSST >OIW19629 pep chromosome:LupAngTanjil_v1.0:LG01:716816:718054:-1 gene:TanjilG_18439 transcript:OIW19629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASASAATFTVGTATSLAQRGSSLPQSKSFGVRFNSKNHLKNFCGLKATSSLRRESELSFSGKETTAALRASFASKAQKEGQNMQYHLQPRASFKVAVLGAAGGIGQSLALLIKMSPLVSDLHLYDIANVKGVAADLSHCNTPSHVKDFTGASELGNCLKDVDVVVIPAGVPRKPGMTRDDLFNINAGIVRDLVTAVADNCPGAFIHIISNPVNSTVPIAAEILKQKGVYDPSKLFGVTTLDVVRANTFVAQKKNLKLIDVDVPVVGGHAGITILPLLSKTRPSVSFSDEEIEQLTVRIQNAGTEVVEAKAGAGSATLSMAYAAARFVESSLRALDGDGDVYECSYVQSDLTDLPFFASRVKLGRNGVEALIPSDLQGLTEYEQKALEALKPELKASIEKGIAFAQKQAVAA >OIW18204 pep chromosome:LupAngTanjil_v1.0:LG01:27139709:27141815:-1 gene:TanjilG_31324 transcript:OIW18204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKLKRANKANDEIETQESRYFTLLQLEQALQRQIDAIRVVRDVEIENLVTELHLIRSYFSKEQLQKPLLQVFDETFPNLSIVMSGEEDKIFYVKWKNKENVVVDSLLQILSIDAERRGFLGGVDFEVKHKKLSVGMSSKTFRQPKLGEMLLSVHGSPLCVFKENNMEVIHGLKIV >OIW17658 pep chromosome:LupAngTanjil_v1.0:LG01:35444737:35452688:-1 gene:TanjilG_29008 transcript:OIW17658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKRMPHLPVPDVLWRLFRNRARTLSHTIQSILPPPPPSPELCRCRGRCCLRCTADRSSFLIRSDDSSDYRRLLNKCFIVVARNAPSLAIFSPYSNFPQNQIVKKTIEQMLSTKEPGYSNVLYSGYDRKKSSSPIVELLSCASWCLLLSRVGDDLMVYLLRNTSIFLPAPRGKHHQVGGPPINHLCFNMLKCSSKSGNQNPSLDKCGGQKRKRDGIDDLTTERQKYHISYSTNDPGSFVSSLGLTGEKSSLQLISHHGRRNYDSSVSEVPKSTKTDSVVQKSESEGKQGSVCFTPRLGKRSRPFRWQRQRCKKQQLNFEEKSLNMLPINKDGLHASFQCDNISLSIHEKLQLPWQCSCCLILQSLPTVPKRTNIKRQSIFYNLEPSFSVLPKKHVNPNAQSMHCLHSSGSCLIGSACLSHSLVKWFKNLIQRTKCCQHTKLLVKHCDGPSLDQCTSGTSTSRLKDGFSRTSADKKSQDYGTKYCADTVEAINSQLEAVKAYCSKSQVVSFIWAVSRSLLPSELLGTPSNWRIMRRNISKFIHLRRFEKFPLKLCMHELKTSRFPFLSNKYFLNREKPWVRNYMEGHSKVLHKEFRNHNSDVHGIKRKLLEKWMLWYFSNLVVPLIQSNFYVTESEQGKQDIYYYQKSVWEKLTNSTIACFKDWRYCGLNDVAVHNILRGRPFGFSKLRLQPKENGVRMVANLKCSSRLPLHISSMGFQYCKTERKAKHLKTKKEYFQSVNSALREAHTILKSIQFKDPEYLGSSVFDYNDVYKKLCPFLVHQKKGLTSMPNLFILTSDVLKAFDFVDQDKLLGIIKDVLLEDEYCLRQYDQVVCTKKSFWVQKQFKLVDETINTGHRQFTPFVSFRSQHAVFVNQERWKHVKKKVLFSYVTEHVKHNVLLFDGKFYLQGVGIPQGGVLSSLLCSLYYGHLERHVIFPYLEKTLESDSHKENNAVHTKSDDKDLSPCYMLLRFIDDFLFISTSKKQAEGLFSRLQRGFRGYNCYMNEKKFGANFDVEQISGPPLNRVYAGEDGTTSFLRWSGLLINCSTMEIQADYTKLIFIRSFFL >OIW17699 pep chromosome:LupAngTanjil_v1.0:LG01:35098837:35104212:-1 gene:TanjilG_29049 transcript:OIW17699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTTKGGKVMNPTDAYRKELRKKELKRNKKERKKVREVGILKKDPEQLKKQIDNLEMMKADGALDKARKHKKRQLQDTLNLVVKKRKEYEEKMKEKGETPVMFSHLGPPRRTAEEDRVNHPEPEDSVYYHPTLNPTGAPPPGKPPMFKSSVGPRIPLSGSSSSTMDPEDDALAVPPLPPLPEAGSASLTDGTVLPASLPLPPPPPMPPNPATAVPSSLPLPPLPPPPPGPPPKELVANRTVPPPPPPLQQSQPPPPGTSGADERNQPALINELPSKEPGQVQLPPPPPPSRMPAQSGVIQSDNALVVSDNKNSLSSQEIPKMVPGPPPPRQQPPVPGAPSVPTLQSDVLPPGISRFPPPPPDMRPPLPVAGLLPGQAPPPGMMVPLMPRPPYGPPPGPPPMMRPPLPPGPPPTFQEVHLAMPPPPQKPSYIKSAASTVVKRPLAQHTPELTAMVPASVRVRRETAMAKTKPKPPVSTSRTVSGTPGPTTIVKPELVSSSSSAPKAPSIDDSYTAFLEDMKALGALDG >OIW18775 pep chromosome:LupAngTanjil_v1.0:LG01:22960137:22964383:-1 gene:TanjilG_13527 transcript:OIW18775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPTTFIFMLILFLGVFTPLGCSAQTTHSAGSAQLKVSPSEFVGLVRKVLDVLQQVSSILSKFSSGLGDSRLSHAIYDCLELLDLSSDELTWSQSATQAPKGKHNSTGDLSSDLRTWLSAVLTNPETCLEGFEGTSSIVKGLVSIGLGQVSSLVKQLLTQVHPTIEDQPFNKDHYPSWMKQRDRNLIQSNGVVADAVVALDGSGNYSNVMDAVEAAPDYSMKRYVIYIKKGVYNEYVEIKKKKWNIMIIGDGINATVISGNRSFIDGWTTFRSATFAVSGRGFLARDITFQNTAGPEKHQAVALRSDSDLSVFYKCGIFGYQDSLYTHTMRQFYRECKISGTVDFIFGDATAVFQNCQILAKKGLPNQKNTITAQGRKDPNESTGFSIQFSNITADYDLIPFINTTQTYLGRPWKTYSRTIFMQSYLSNVISPEGWLEWNGNLYLNTLYYAEYLNYGSGAGIVNRVNWTGYHVLNDSSMASNFTVTQFIEGNLWLPSTDKGEKDIESWCEGYDKFIGNKDYKEFITNSLAIVKRVGSFLATFQSTGGCLLYENSVSIVPSLDKEGYPEWISHEDTRILKAVDDKPTPNVTVAKDGSGDFRTISEALAKIPEKYEGRYVVFVKE >OIW19218 pep chromosome:LupAngTanjil_v1.0:LG01:7725465:7730938:-1 gene:TanjilG_20343 transcript:OIW19218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATIVSHSLLHSLFTVSSSSISSSSSSSFFGGTHLYSHATLLSTFSSPSSIFSPSKNSKFKTFLVSASSSRDYYSTLGIPKSASVKEIKAAYRKLARQYHPDVNKEPGATEKFKEVSAAYEVLSDDKKRALYDKYGEDGVKSTIRGGPSTYTTDPFDLFERFFRSRVDDFPGMDPTNFGTRQRSSSTKGQDIRYDISLEFSEAIFGAEKEFELTHMETCEVCNGTGGKTGSKRRVCSTCGGRGQVMRTEQTPFGMFSRVSVCPNCGGDGEVISEYCHKCRGEERIRVKKNIKVKIPPGVNSGSILRVAGEGDAGPRGAPRGDLYVYLDVEEIPGIQRDDINLCSTISIDYLDAILGTVVKVKTVEGTSELEIPHGTQHGDVLVLARKGIPKLKKPSTRGDHLFTVKITIPERISITEHQLLEELSLLGNKTSSCSKSRPQTRVPKGSTEATVAEQTEQSEDQHVLWKKVKNTARSMGNGALKWLKDKI >OIW18430 pep chromosome:LupAngTanjil_v1.0:LG01:20260331:20262000:1 gene:TanjilG_13182 transcript:OIW18430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLLLFLMSYIAKIYKQKTKVVHKLPPGPWKLPIIGNLHQLAWERSLPHHALRDLANKYGPLMHFQFGQISAVVVSSPHMAKQILKTHDLAFVQRPQILAGQILFYGSTNIALAPYSDYWRQMRKICILELLSVKRVQSFAFAREDEVAKLIHSIHLSQGSPFNLTKAVSSLTSTIISRVAFGKKSKHGDEVLFLIKQIPQVLGGFDLADLFPSLKPLHLITGLKAKLESVHKKLDKVLENIVHEHRLRMRSSNHEARDESEKEDLVDVLLRVQQNGSLEIPLTLKNIKAVILDIFAAGTDTSAIVIEWAVSELMKNPRVREKVQAEIREALKGKKTFYKSDLDEFRYLKSVIKETMRLHPPAPLLLPRECREPCKIGEYEIPMKTRVMVNVWAIGRDSNHWYDANSFIPERFDNCNVDFKGNNFEFIPFGSGRRMCPGISLALATVELILAALLYHFDWELPNGMKPQDFDMTEVFGAGVVKKNNLCLIPTCYDGSLQDNVIVN >OIW18531 pep chromosome:LupAngTanjil_v1.0:LG01:20861810:20864821:-1 gene:TanjilG_13283 transcript:OIW18531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPWSYVSEEKGYGSNDTLSLPNKNSILGWELKTPCTFSNEMLAMGYQNIENQCFQDLGYPEMLEKHLSGENDGRITSTTVMAATPNPFYARGDSNIRVSNSVLDSNGSDSLIDLKLGRLADNGDAIDLAFCKGAPMLSSSESSTPAKRVRASRLRSQTAYCQVYGCNKDLSSCKDYHKRHRVCEVHSKTATVTVNGIEQRFCQQCSRFHLLAEFDDGKRSCRKRLAGHNERRRKPQMGIHSVKAGRLLQPCGDSRFQGTMLTSASYICPEILPSRIMPSEKYGMNGFWRPNKSEEWTGFKHLSSMPISNGYSQSRSLFPSYNQKQFPFLHENGATSTTGSIFSDHNIQYPPPLLGAQNSASRSLFQNTTSLGSEDFNIFETTTTVQGLPGISDSCALSLLSSLSQNSSRQSSGVQLAHHFVIPSSHSHYYNMSRVSEKMGNSSHTSSNRVSDRFPSEMNPADGNHLNPVLIPDNDDDIVNFGMADGIFQGSDFMNVKDCLPCEDGATIDLLQLSSQLQRVEHQRQPLQVKQENDSFCTLRIT >OIW17632 pep chromosome:LupAngTanjil_v1.0:LG01:35760944:35761702:1 gene:TanjilG_28982 transcript:OIW17632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCKKHLHDLTSNIGVCASCLRERLEPIFQAQAQAQQHARVTPRSSDDLKNNHCDNSVGLNFPRSVSPYVPRRKTDHDRRFNRSFYSTPLVDPSFSESCDGGKTTSSKKIGKLWNLSNLFQSRSNKSCDDPSSSVAASTSSPSWLSTILSPHRHNKQRNCEESSRGNSTENKFYNDVDHSPLENHTAAVAARRSRLGSAGKKLSGMVICLSPLVRASPNRNTPMVMVSGTHTSYCANRSRKFADFGRVTHNR >OIW18831 pep chromosome:LupAngTanjil_v1.0:LG01:19996475:20005492:1 gene:TanjilG_25274 transcript:OIW18831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPTLVATVISTAIKIHWPVRMKKGSVGINPENLIQPDIPSTWRAMEALYDSGKARAIGVSNFSSKKLQDLLEIVRVPPAVNQVELHPVWQQPKLHAFCESKGIHLSGFSPLGSPAFLKTDILKHPIINIVAEKLEKMPAQVALRWGLQMGHSVLPKSTNEERIKGNFDIFDWSIPDDLINKLSEIKQIHGYGTLDYERRPIVKWNDVYKRISFMPNSELGSVDVLNQWENEGKNLTKWDLSRVVKELRKYKRHQRALEVYDWMNNRPERFRVSSSDAAIQLDLIAKVRGVSSAEEFFERLTNKLKDRRTHGSLLNVYVHFRLKEKAESLLEKMRSKGYAVHSLPFNVMMTMYMNLKEYEKVDTLVSEMIEKKVNLDIYSYNIWLSSCGSQGEIEKMEQAFEQMSQDPTIIPNWTTFSTMASMYMKMDQFEKAEECLRKVESRIKGRDRIPFHYLLSLYGNIGKKDEIFRIWKTYKSIFPTIPNLGYHAIISSLIRLGDIEGAETLYQEWVSMKSSYDPRIGNLLIGWYVKNGDTDKALEFFKQMKEAGGVPNSSTWEVLSELHIADKSISDALSCLKEAFVAEGSKSWRPKPPNVIAFFELCQEEDDTASADVLISLLRQSNFFDEVYASAIGLSDDTIIKGDLSSKVDTADTSDVINDDENIDDDSQKLFNQLESSF >OIW17757 pep chromosome:LupAngTanjil_v1.0:LG01:34669992:34677781:-1 gene:TanjilG_06442 transcript:OIW17757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPEVLPWLKSLPLAPEYRPTASEFQDPIAYIFKIEKEASRYGICKIIPPLPPSPKKTAISNLNRSQPSFTTRQQQIGFCPRRPHPVRRPVWQSGGHYTFKEFEAKAKAFEKTYLKKHCSSKSNNVVAPSALEVETLYWKATLDKPFSVEYANDMPGSAFGPASNGGESVGDSAWNMRVVSRANGSLLRFMKEEIPGVTSPMVYVAMLFSWFAWHVEDHDLHSLNYLHLGTSKTWYGVPRDAAFAFEEVVRVHGYGGEINPLDIIRHICTASDQDIVSDCLLGFPRLPGGISAEPRSSRLKDKRKGEGETVIKELFVHDVLQNNGLLHVLGKGSAIVLLPHSSSDFSACSKLRVGSQQLNPESMDVSNPKGINSSKGLISDDLAFVTNHGIRQVKGFYSVKEKFGTLWDSSLIVGGNICTSSSKTLQKDTERETNQGDGLSDQRLFSCVTCGILSFACVAIVQPREPAARSLMSADYSFFNDSIVGSGLTSNNFTVAHEGATIPKSCTRWTEQNAHEDLYDAPVQSIKQQTQIADQNYVEALNTEQRKGSTALSLLASAYGDSSDSEEDKGESDIAVEGDELNMINHPSAIRSKEISCLPSHTQDCHASPGVRLDRGGDIPSNSTESYEDYMHKRVEHIMSPSDYSVKSEDYDITSGVAFKNMAAVRHSMSNCSQDAETSLLGKAVVPIDKHVSLVPLSDEDSSRMHVFCLEHAVEAEKQLRPIGGAHILLLCHPDYPKIEAEAKFVAEELGIDYTWKNTAYRHANKDDEEKIQSALDSEEATPGNGDWAVKLGINLFYSANLSRSPLYSKQMPYNSVIYYAFGCSFPASSPTEPKVYRRRGNRQKKVVAGKWCGKVWMSTQVHPLLVKGDAEDVVDEKSLHGWPLHDEKMERSEGTYKSNTIINSGRKRKMAVESGGSRKGSFAKSDCLSDDSIEDKSNHPKRRILRSKRTRHIEKDDTVSEGDYSPLKHHRRPICKHTKGIESDAISDDSLDDNSHIQLRKNVNAKEAEFIENDVVSDDTVGDDFDCSPREELSSKQEAISEDSLGVGSLQLHRKTSKSKHGKYIDEDVISDDDRMEVCFQKQQRRKPKTKQRKCLAENITMASDDQLEHHMRKQQQKNPKSKQDKYLAGEDIISDDQLELHSHKYPRRTPKNKQAKCIVGKDVMSDGQLEKQRRSVPRSRQIKCCDREIMDDSAENNSHLLCRTPKRRQPKCINEDNINSDDQMEDESLQHRRTVRGKQSKSRTLQHMKQAKCKDEDSANSDDQMEDDSQQHSRTVRGKKSKPQTLQQMKQAKWKDEEDINSDDQIEDDSQQHRKTVRGKKSKSQILQKMKQAKWEAEDNINSEYQMEDDCQKNRKTVRSKQSKSQTLQQMKQANSVRVRRPASQPVKRGAQTRMKSKTPRKMKQLPRVQNNQSEEEEEEEDVEGEGDGEEEEVEVTGPSTRLRKRVPKFEESEGKSKEKETKRNSVKSATTAKISARHAKIKEEVAEYQCDIEGCTMSFGSKLELMQHKKNICPVKGCGKKFFSHKYLVQHRRVHEDDRPLKCPWKGCKMTFKWAWARTEHIRVHTGERPYVCAEPGCGQTFRFVSDFSRHKRKTGHTTKKSC >OIW18637 pep chromosome:LupAngTanjil_v1.0:LG01:21808930:21810900:1 gene:TanjilG_13389 transcript:OIW18637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNTSSMLTQYDIEEVQQNCKHAFTQQEIVSLYQRFCQLDRNKCGFISSDEFMSIPEFAVNPLSQSLLTMLDGFNFKEFVAFLSTFSSRASLQHKIEFIFKVYDSDCNGKVTFDDMLRVLRDLSGQFMSQQQREEVLAQVLEDAGYKKDSFLVLSDFMKIFGNSELKMEAEIPVD >OIW19007 pep chromosome:LupAngTanjil_v1.0:LG01:17613558:17617342:1 gene:TanjilG_20280 transcript:OIW19007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTSLTNKCSVLILRHCRIHIPRFTFASQSRSVLVSMNNNINNPNSSSYSHNPPKFGGSGRAPEMRNNRERTRGSSSGKDKIDALGRLMTRILRHMASELNLNVRNDGYVKVNDLLKLNLKTFANVPLRSHTIDDVREAVRKDNKQRFSLIEENGESLIRANQGHTITAVETESLLKPVLSAEEVPVCVHGTYKRNLESILGSGLKRMTRLHVHFSCGLPTDGEVISVSM >OIW18289 pep chromosome:LupAngTanjil_v1.0:LG01:24708370:24714035:1 gene:TanjilG_31429 transcript:OIW18289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSIYHVLLSLLCFMLQQSSLAIKQARSHSFGQNAESVANSHYDLLGPYVGGIEKAKEAIFYSYNRHVNGFAAILDEDEAAKIAGVWPESKSFSDEGIGPVPTKWHGTCQTDTKNLDKFQCNRKLIGARYFSQGYEASDEYKEVNNTTDLHLNTARDYESHGTHTLSTAGGNFVPGASVFGNGNGTASGGAPKSHVATYKVCWGPDTSCYDADILAAFDAAIGDGVDVLSISLGGGDGDPDYFQSGISIGSFHAVSKGIIVVAAAGNYGPDLKTVRNVEPWTLTVGATTIDREFNNYITLGDGQVFKGPSLSESGLPSNELYPLINSLDAIAKNATAQDAIQCQYGSLDPKKAHGKILFCIANHGEYTIDQGVEAVRVGAAGIILADYDFSWTSILLEPHVLPASHVNYTDGNNILTYIKHTKSPVATISRVKTELGIKPSPLIATFSSRGPNYMEPAILKPDITAPGNDIIAAYSEAAPPLSGTLDQRRTPFMILSGTSMACPHVSGIAALLKVVHPDWSPAAIKSAIMTTAIVEDNTGGPILDSSLKKATPFDYGAGQIRPNNAVNPGLVYDLDTTDYLNFLCGRGYNSSTIKLFYKEPYTCPESFNLADFNYPSITIINLDSEHPRSVTRTLTNVGPPSTYKVLINEHRQVETLVEPNILIFKEKGEKKKFKVTFKLRPPLKEDQSHYFFGRLDWTNGKHHVRSPFVVQNPNA >OIW17620 pep chromosome:LupAngTanjil_v1.0:LG01:35911072:35912967:1 gene:TanjilG_28970 transcript:OIW17620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSEVCCKLFTVLMPNMDDSYIDSYISTIGVDFKIRTVEQDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIIVYDVTDEESFNNVKQWLSEIDRYASDNVNKLLVGNKNDLTANRAVSYDTAKEFADEIGIPFMETSAKDSTNVEQAFMAMSASIKNRMASQPAGNNARPPTVQIKGQPVGQKSGCCSS >OIW17672 pep chromosome:LupAngTanjil_v1.0:LG01:35315059:35316447:-1 gene:TanjilG_29022 transcript:OIW17672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAMPLPFEEFQGKGVFDFCSGATSDSFSLLLRQQQQEQKWSIEKEDYCYVGTEPTSVLESRRSPNPSLSSSTMSSSLGSNNTVAATTLSENLPHTSLETSTEKCGMRVEDWEGQDQCIMRLIMGDVEDPSAGLSKLFQTDGFGSQNVDFNGGFGVVDQGLNMVSVVDPYVQGNYLGFPFIENIDGHNAKTGSSSVSESILVSANNPLLVLSPPGVFNSQQHQPVVGVADEKPQLINPRLMFNQSQVPFSENPSLFMPLTYPQMREQEAFSQHKAKRPLSDTVGHDTYQVPRLPRFDFGQELLARRQQTQHPFFPHQHLQQQLQSLVVPSAKQEKVNSTGDDASNQLQQSIFDQLYKTAELIEAGNPVLAQGILARLNHQLSPVGKPFQRATFYMKEALQLLLNSNISNFFAFSPISFIFKIGAYKSFSEISPFLHFVNFTCNQALIEAMERFDRINALQRS >OIW19056 pep chromosome:LupAngTanjil_v1.0:LG01:15796097:15797006:-1 gene:TanjilG_10617 transcript:OIW19056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGIVKLACAVLICMVVVSAPLTKAITCGQVTANLAQCLNYLRSGGAVPAPCCNGIKNILNLAKTTPDRRTACNCLKAAAANTPGLNPSNAGSLPGKCGVNIPYKISTSTNCASIK >OIW18054 pep chromosome:LupAngTanjil_v1.0:LG01:30381449:30383110:-1 gene:TanjilG_07545 transcript:OIW18054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKREHHHLHPNPGDSSSSMSAATTGKSNLWEEDISGGGGMDELLAVVGYKVRSSDMAEVAQKIEQLEEAMTNVETNISSLSSNTVHYNPSDISTWLESMISGLSPSQHQVQDDSFFTSTTESSTITSSSDYDLEAIPGKAIYTNINNNNIDKQPKRMRTLLSSSSSRPIVLANSQENGIRLVHTLLACGEAVSQNNMSIAEALLHQIGFLALSQPGAMRKVATYFAEALARRVYGLYPETPLLNQNSNHHLSEMLQIHFYETCPYLKFAHFTANQAILEAFQGKDRVHVIDFSMNQGMQWPALMQALALRPGGPPAFRLTGIGPPAMDNSDHLQEVGWKLAQLAETIHVEFEFRGLVANSLADLDASMLELRPSETESVAVNSVFEFHKLLARPGAVEKVLSVVKNVKPEIVTVVEQEANHNGPGFLDRFTESLHYYSTMFDSLEGSTPLESQDKVLSEVYLGKQICNVVACEGLDRVERHETLNQWRTRFGSAGFIPVHLGSNAFKQASMLLALFAGGDGYRVEENNGCLMLGWHTRPLIATSAWKLGDVTQ >OIW18547 pep chromosome:LupAngTanjil_v1.0:LG01:21003804:21007034:-1 gene:TanjilG_13299 transcript:OIW18547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVSTRSQFRNPHHGLKEKLKELTLLYEQQKQTSASLRNSHSNPQDNVEQNVIRVNTIPHLINSTVTTTFVLPEPTSEDAKENFAVGYGGMVELENVVGGESRILVFVRVRPVSKKEKEIGSRCCVKITNRRDVYLSEFANENDYLRLNRIRGRHFTFDASFTDSATQQEVYLTTTSELVEAVLQGRNGSVFCYGATGAGKTYTMLGTLENPGVMVLAIKDLFGKIRQRSCDGSHVVHLSYLEVYNETVRDLLSPGRPLVLREDKQGIVAAGLTQYRAYSTDEVMFCSVLVMALLQQGNQNRTTEPTRANETSSRSHAILQVVVEYRVRDSSMNVVNRVGKLSLIDLAGSERALATDQRTLRSIEGANINRSLLALSSCINALVEGKKHIPYRNSKLTQLLKDSLGGTCNTVMIANISPSILSFSETQNTIHWADRAKEIRLKVSDANEDLLPLPEIENDQAKLILELEKENRNLRIQLAKQQQKLLTLEAQSLAAHSSPTPPSANSLSTPPTSAQPTDKRRTRSSFLAGTFFTPESNKKGAELAVRTLQRTVKALESEIERMKKDHSLQLTQKDDLIHKLSHKGGKQAVDEVGKRVVTRGGLRPKETNNGELKSPCHRFRSPVASTAKKRSFWDITTTNSPSAATLNGRKTRSHAISEPTTAPPPRSSMLLQV >OIW19357 pep chromosome:LupAngTanjil_v1.0:LG01:3457817:3458332:-1 gene:TanjilG_03491 transcript:OIW19357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPAAMYNFLKQPSSDSKQGTATKPLHHPSSNSSIRTFPCNFCHRKFYTSQALGGHQNAHKLERAAARRTNNLSFTQNHVAASTLPPFPPSLDLNTSTFQPYAHFFGGHPYWLEMEQQPFQFQTHHQHVAPNNNVPVSYHVAASTSSTSTHNVSASDASDHVNLDLTLRL >OIW19322 pep chromosome:LupAngTanjil_v1.0:LG01:4135084:4154942:-1 gene:TanjilG_07290 transcript:OIW19322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYSQFILAKKGPLGTIWIAAHLERKLRKNQVADTDIGVSVDSILFPDVPIALRLSSHLLLGVVRIYSRKVNYLFDDCSEALLKVKQAFRSTAVDLPPEESTAPYHSITLPETFDLDDFELPDNEIFQGLVPDNSIVINAAVAVVHCSSIELVFNYVDHHVSTREQITLQDTMDGVVYTSSQFGLDERFGDGDASQIGLDLDEVLLTDKAATMEHDDLSASPQFSYQEDEMKEEIDDLPIAADAGECAQGPSTPGLEEPDLFGTQVDQVNNEIDDHNSADLVSVKSMQVSPSPQRDGDAIDCSLQNNVQPVGVDLHHEGDDSLPVELDRKREQKEHLACMVVTTDQDSLIPNDHCSTSSPLTISSNIECPTALIPECAGGMIRAPGVPEMMEDFNGLVLMNNEQSPSDQTITTCVVTGGVNVNETAVSHCCSHITSNQENISCKHLLNMDGSRGPKSDAHMEDDHTSPKHEVLNAIEMSHNEGLSCPIDEAQVSNVISPRGSIGEPQVVDEEVQVSQELIETESSNHISHEVAHPTESRLRPCTSQLSHPSLSSVEVPLLQPHLLQPKQNNCFHTLGSTHPTINSRNDEKKSQMRRMASMLQLSEEKAYPAIGHHETIEPFVCEGNPELGTSDVQIESQIFCDKVEIISKSAASDMPEPEKMLSLAYQHDGETNNNLPMESTPNNQGVSDDHTDPAGVKSISSKKRSFTESTLTMQSVDFVESYGGTQSKRTGESIPDDDDLLSSILDGRRSSVLKLKPSPVAPEIVLTKRSRSAPRTSALKRKVLMDDMMVLHGDTIRQQLTDTEDIRRIRKKAPCTRHEIFMIQRQSAEDEIFHEPIFTCLSADLIILRNDSFDLTGIKVCDNGLDTSFLEKTNDKESHSRTNTETHGVETNIETKALQLQGDAEAQPTGIPVLSESHQSEANLESHDLDVHGHANIVSHVGELDNSQNVEMNNAGRNIDVSVAKKNSVGAGLESSSLTVSENNHSVPNDCVASLPLMGKMNVLVGSMHTDIPSMPTDQNLNTSSILEDEFVEEKCNRSGVDAIEITEHSVEIRTKVQTDGLETNNLCASMVTGFKETDEYNDNRASFNGDLPLEENGSSMPGGLSEDQIVASDLGSMGCDDKDSRSGPIFSENTKVDCLDTVAPVLDEKETSLNEGNPVCEEAGQHSVALVLDEKETILNEGNPVCEEAGLESTSLFPEIPAIESPFVDRNDENLIHANAYDTGFLNVGDDEIIEDDDFMPSAEESSLENSGWSSRTRAVAKYLQISFEKEDLHGRQNLCLDNILAGKTRKEASRMFFETLVLKTKDYVHVEQTNPFSSINIKPRMKLMKSDF >OIW19449 pep chromosome:LupAngTanjil_v1.0:LG01:2600840:2604298:1 gene:TanjilG_09469 transcript:OIW19449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGIFISEANGLRLGITLTVTFAVISISTAISAYVFKRKSNALNSKIRELEASLKSSIDKCVSERQGRIRAQQALRKELTQPKSENLKLTYYPMSPIGTIHSCFSTRNGTPRQPLLVPLARASLVFNTTRVPPASLEGLAEYSHCWILYVFHLNTDLEKLWKNPSQSGFKAKVRVPRLKGGKMGVFATRSPHRPCPIGLTVAKVEAVHENMMLLSGVDLVDGTPVLDVKPYVPYCDSIKEAAVPNWLMVDNLLTVASVSFSGEFTSALENCWTIAEKKSLYASPGEFQSLIKQVLSWDIRSLSQRNRPHDALSKKENDEPLANTSDVDEHQDETAFVHESEQNSPNSMEVIYHLILDGLNISYKIDQDGNVIVENVSNSAVLDNSSNSCNYLTWKDKLQ >OIW18980 pep chromosome:LupAngTanjil_v1.0:LG01:18257021:18259255:-1 gene:TanjilG_23757 transcript:OIW18980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPSKLRKAIGAVKDQTSIGLAKVGGKASLADLDVAIVKATRHDEYPAEEKYIREILGLTSYSMAFIGACVNTLSRRLSKTSSWTVTLKTLMLIQRLLSDGDPAYEKEIFFSTRRGTRLLNMSDFRDNKKSNSWDFSAFVRTYALYLDERLEYRMQNRRGRSSKFGLDDNEDEPRKRERDRYGERERDTNIEREKDREIKATPLPEMKNEQLFSKMQHLQLLLERFLASRPTGGAKTHRLVIVALYPIVKESFQIYHEMTEILGNLIDRFTDMEVPECIKVYDIFCRVGKQYDELDLFYGWSKSTGIGRSSEYPEIERVTTKKLEIMDDYIKDKSMLAQTKKHQERKDDRVEAKEQGPEKDINAIKALPPPETFHEEPAEKVKEEIKVQEPKEVKVVVQTECDLLNLGDDMVIDQEHSDKLALALFDGAALVPTSVTKALPWHAFDDEGDWETTLIQSASNLPNQKPSLGGGFDTLLLDSMYKQASTNAAMQGPGYGVYGSASSVALGSDGRPAMLALPAPPTLGSGSNSAFADPFAASMAVVTTAYVQMSEIEKKQRLLQEEQVLWQQYARSGMQGQAAFTNLQPYNNTYMGGYPQNPQNYGNYYYH >OIW19639 pep chromosome:LupAngTanjil_v1.0:LG01:779626:782184:1 gene:TanjilG_18449 transcript:OIW19639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIEKHPFVSSLSLLFIFAYSACAIDSSFSPTVNYLIDCGSINKTKLKDGRTFKSDRETTSLLDTTEDIQASVNYINITNKVSSSSLPLYHTARLFTEESTYIFYISKTGRLWIRLYFLPLPHPSYNLTSAVFSVHTDHFVLLHEFSVTKNDTLVFKEYLVNVSDSRFSLKFKPMKNSLAFINAIEVVSAPDTLISDSATAVARLGEFKGLFQSALQVSYRVNIGGPAITPDSDTLSRTWVSGASYNTFPQGSENVVVSNKSIKYPQTGATPLIAPNFVYATAAEMKDPKIMQSNFNLSWMMKVEKSYSYLIRLHFCDIVSKALNELYFNVYINGMVGVSSLDLSSQTKALSTAYYQDFVLSESAIANGSILVQVGPANLQQGTANAILNGIEVMKMSNCAGSFDGLVSVDGKYKGPTNKAIKIAAVCGLGLAVMGMLLLAVTIIRWHKRPQGWEKRKTISSWIIPINSTCKTSLFSMKSSCRSSSTFSSHKSRNGHSPRGPARFFPFGELQQATHNFDERGVIGVGGFGKVYLGTLEDGKKVAIKRGNGSTEQGINEFRTELNMLSQLRHRHLVSLIGFCDENSEMIIVYEYMANGPFRSHIYGSNLPPLSWKQRLEICIGAARGLHYLHTGAAQSITHRDVKTTNILLDENYVAKVSDFGLSKVVPEKAQVSTAVKGSFGYLDPEYFRSQQLTQKSDVYSFGVVLIEVLCARPVIFPTLPREQVNLADWAIQQHRRGMLHKLIDPRIVDTISAESLKKYVEAADKCLADHGVDRPNMGDVLWHLEYALQLHEAASQINEPEDQSVNLIAFQHTKENDSIEADHSGAQASDVSDTTDVDSAFSQVVNMHGR >OIW18357 pep chromosome:LupAngTanjil_v1.0:LG01:23752510:23753629:-1 gene:TanjilG_31497 transcript:OIW18357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCQAIDAATLVIQQPNGKVGKLYWPVSASEVMKSNPGHYVALLISTTLCTSKGEKNCPNKSDNINNNTSQVRLTRIKLLKPTDTLVLGQVYRLISAQEVMKGMWAKKQAKMKKNLPESALKSDQVKEKSLLEMDKAARRIEPEDNQEPKIERHKSRTPASTNAASITAKSRTWQPSLHSISEASS >OIW19640 pep chromosome:LupAngTanjil_v1.0:LG01:784113:784613:1 gene:TanjilG_18450 transcript:OIW19640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLVFSSIEELGYSSPNFLFFMSACGAVLYSVILANALVICNMALALSGFEGHGGYLAILKSCVLIKEKNSMALFLELPMNLALAAIEALFNLRVVRTYHIAGKTWPYVALEGIFIAYLYSIFIILETIVCCMFYKSFKTGSSWIHKEDKILFRIEFHDEENFELP >OIW17842 pep chromosome:LupAngTanjil_v1.0:LG01:33715734:33716075:-1 gene:TanjilG_02470 transcript:OIW17842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNEYDHIHLITKSELEAAQQLMELSDEENNSNNNISASFMKRKRRRAWDYDEEERVNQRVSNDDIIMAKIQEIFGNDFEAFKPKKQRRYRSLVNIYMTTRPLNAGNGTRVRA >OIW18879 pep chromosome:LupAngTanjil_v1.0:LG01:19542239:19545345:1 gene:TanjilG_25322 transcript:OIW18879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTENQDSSNGELNNLPPSPSSSYAFKNRIFIPTLLAGVAGAGTGLISKHRKSLGLANVSANYAANFAVVVGCYCGAREFVTATRKTGPDDLVNSAIAGFGTGAVLGRLQGGQIGAVRYSIMFAVAGTMADYSIFKLKHVLSDRAKTIHQNDENSQKSGKRFTLPEWFPINVLDEEALAAKRAQEEEFLAHRERIRSLREEES >OIW18708 pep chromosome:LupAngTanjil_v1.0:LG01:22422098:22422451:1 gene:TanjilG_13460 transcript:OIW18708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLEKLDDFGPIMPIKTVATATKTFSHRTELLDLETKNEVKEEEQEECHTPTSPSQILKTPLVCPPPPKKPRVARRSDDGIAPSQGFIQVSHDLASVFFLQHKPNIRETSLLTTSFS >OIW18221 pep chromosome:LupAngTanjil_v1.0:LG01:27612448:27613656:1 gene:TanjilG_31341 transcript:OIW18221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSGNSSSSLQSSNGGGGDESSSFSAFLNNNPSPQTPTPFSISTNHNMFDTLSSYLDPTQPSSSSLLNLDMMWSKNHRSEPNPTHLPCSSPSLHNQEASLSREASFYAFHSNNTNLLTQGSGSSRGLVSSVSAAINNDQGHNMVRNPKKRSRASRRAPTTVLTTDTTNFRAMVQEFTGIPAPPFTSHFPRTRLNLFAPLHRASSTIPSSSTLLDPSFLLRPFAQKFQQHPFPPSTLLLGSNNNSTNSTSITYPHNFNNMHNNNLNILNFQNTNTLQQPPQQQPSLEIPQQSDGYLKMGVLEEIGLRHADVNNNNTNISGLHHQKIQNMVPSGNNNMSANASSMDQWPHKTDTVLTNGKVQHFSSASSLSDFHGEKLPRSTTTVAAAARSEGMVESWTNCSSH >OIW17902 pep chromosome:LupAngTanjil_v1.0:LG01:32839517:32840769:1 gene:TanjilG_19871 transcript:OIW17902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVFTFVLRHSNGEWKAKQHSGDIEASAASTFAIQRSLVNAVLAVDASGGVQSSFSTVSPTSAVFQVIVGGAAIVGGGVAAAPAGAAPAAEAAAPAKKEEKVEEEEDEEEFGLSLFD >OIW17785 pep chromosome:LupAngTanjil_v1.0:LG01:34333980:34335690:-1 gene:TanjilG_06470 transcript:OIW17785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKMNFVKNGISRLPPGFRFQPTDEELVFQYLKCKVFSYPLPASIIPEINVCKYDPWDLPGNCDEQERYFFSSKETKYPNGNRMNRTTNSGYWKATGTDKRISSSTSTCDGVVGTRKTLVFYEGKSPNGSRTHWVLHEYRLLTIENNYVNEIGDWVLCRLLMKKRSVIESDDSTSSMHKINTTRNLPRLFDFMMVSKSTHSPTSSSCSSSSNNVQVSLDHEETSGHAHF >OIW19483 pep chromosome:LupAngTanjil_v1.0:LG01:2268794:2273837:-1 gene:TanjilG_09503 transcript:OIW19483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFKFRAVDNTPPPAPTPTSFPNTVAYISHSSLRGGFPGMIPSSRNSSFRMPMSNGNEALWRELEKEQIRREIITGEIERRRELEEEVRRELAAERRWGMTMAATSHGFSFDERVSAMRLTPSPYLFDNNAQPQPQPQTQLPQLVPPAEFKPSPETNNDKVIKLGPVQIPPPSLYQLHSNAWPHPQLPQLVAPAEEFKPSPETNSDKVVKLGSNYFLSPFRYQFDNNAWPQPQLEQLMAPVEELKSSQETNSDKVVNLSSVKFPSPGRYRFDAWPLPKLPELLAPDEIKPSPETDKDKVIKLAKPDPDHFDAKRKAVTPPDADGSDHIPTGLKKKSKEEWICEVCQVSATSEKGLNDHLQGRKHKTKEIGLRKKKFVKSTKTSSKKSEKSVKPMETATSGLDAKAFRPPVQSSITWGGINQIMADKGSAKSNNEEQSVQKIVKSNEPKDTATSGLDAKADRSPPLQPSITWGDINQKMAGKGAVESITEEQLVQKFFKSNESGDTVTSGLDAKADRLPFESTFLTWGDINKTTANKGLAKYNEEQLVQKNVKSSEPIDPAALGLDVKTDTPSLQPNFIPRGDITKTTAKKIAVGSKYEEQSIKNENGTENVQGGMNALKRKRMKFWCEICEVGALSHVVMESHKKGKKHLARLKTSSVSVEASGLIKDTGAGNKEAMITVANNFADSD >OIW18231 pep chromosome:LupAngTanjil_v1.0:LG01:25541348:25544486:1 gene:TanjilG_06315 transcript:OIW18231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEGKRIPLWILAAFIFICTSSFQLLNASNDAIFYESFDKSFEDRWIVSQKEEYNGVWKHAKSEGHDDYGLLVSEKARKYAIVKELDAPVILKDESVVLQFETRFQDGLECGGAYLKYLRPQEAGWKSKEFDNESPYSIMFGPDKCGATNKVHFIFKHKNPKSGDYVEHHLKFPPSVPSDKLTHVYTAILKPNNEVQILVDGEEKKKANLLSSEDFEPALIPPKTIPDPEDKKPEDWDERAKIPDPAATKPEDWDEDAPLEIVDEEAEKPEGWLDDEPDEIDDPDATKPEDWDEEEDGEWEAPKIDNPKCEAAPGCGEWKKPVKKNPAYKGKWHAPLIDNPDYKGIWKPQEIPNPDYFELKKPNFEPIAAIGIEIWTMQDGILFDNILIAKDDKVAASYRQTTWKPKFTVEKEKQKEEEVETGSAGLEGYKKKVFDVLYQITDIPFLSAYKPKIVDVIEKGEKQPNLTIGILVAIVVGFLSIFFRIIFGGKKKPVRAEKKNTESAETSANKDGENKENKQKEETSGAAPRRRTRREN >OIW18625 pep chromosome:LupAngTanjil_v1.0:LG01:21729600:21730928:-1 gene:TanjilG_13377 transcript:OIW18625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDKGRINSEGQIQSTLVHGKYELGRLLGHGTFAKVYHARNIGTGKNVAMKVIGKEKVIKVGMMEQMKREISVMKMVKHPNIVQLHEVMASKSKIYIAMELVRGEELFNKVVKGRLGEDVARLYFQQLISAIDFCHSRGVYHRDLKPENLLLDEDGNLKVSDFGLSAFSEEHLRQDGLLHTTCGTPAYVSPEVIAKKGYDGAKADLWSCGVILYVLLAGFLPFQDENLMVMYKKIYKGDFKSPPWFSSEARRLITKLLDPNPNTRITISKIMDSSWFKKPIPQSLMRKKKEENELFDFEEKDNKCEISKTMNAFHIISLSEGFDLSPLFEEKKRVEREEMRFATAGTPSSVISRLEKVAKAVKFDVKSSDTKVRLQGQERGRKGKLAIAADIYAVTPSFMVVEVKKDNGDTLEYNQFCSNKLRPALRDILWTSPPENSSIPS >OIW17892 pep chromosome:LupAngTanjil_v1.0:LG01:33021222:33025289:1 gene:TanjilG_19861 transcript:OIW17892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEEEHEVYGGEIPDVEGDHDNPDVDMSAADDDAAAVKELDEMKRRLKQMEDEAAALREMQAKVDKEIGSVQDPAAAASQANKEESDTRSVFVGNVDYACTPEEVQQHFQPCGTVNRVTILTDKFGQPKGFAYVEFVEVEAVQEALLLNESELHGRQLKVLPKRTNVPGMKQHRARRYNPYMAYGFRRPYPPPYFYSPYGYGKAPRFTRATRYVPYY >OIW18457 pep chromosome:LupAngTanjil_v1.0:LG01:20412767:20416077:1 gene:TanjilG_13209 transcript:OIW18457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLRLFSSPPFLNHQLTSIPSLSSISHSALDLLTHRLHQVKKRVGGVYASLSERGEYYSQRPPTPLLDTINYPIHMKNLSTKELKQLADELRSDVIFSVSRTGGHLGSSLGVVELTVALHYVFNTPQDKILWDVGHQSYPHKILTGRRDKMHTMRQTNGLSGFTKRSESEYDCFGTGHSSTTISAGLGMAVGRDLKGRKNNVVAVIGDGAMTAGQAYEAMNNAGYLDSDMIVILNDNKQVSLPTANLDGPIPPVGALSSALSRLQSNRPLRELREVAKGLTKRIGGSMHELAAKVDEYARGMISGTGSTLFEELGLYYIGPVDGHNIDDLIAILNEVKSTKTTGPVLIHVVTEKGRGYPYAEKAADKYHGVTKFDPTTGKQFKAKANTQSYTTYFAEALIAEAEADKDIVAIHAAMGGGTGMNLFLRRFPTRCFDVGIAEQHAVTFAAGLACEGMKPFCAIYSSFMQRAYDQVVHDVDLQKLPVRFAMDRAGLVGADGPTHSGSFDVTFMACLPNMVVMAPSDEAELFHMVATAAAIDDRPSCFRYPRGNGIGVELPSGNKGIPLEIGKGRILIEGERVALLGYGSAVQNCLAAASLVERHGLRITVADARFCKPLDRSLIRSLAKSHEVLITVEEGSIGGFGSHVAQFMALDGLLDGKLKWRPVVLPDRYIDHGSPSDQLSLAGLTPSHIAATVFNILGQTREALEVMS >OIW18843 pep chromosome:LupAngTanjil_v1.0:LG01:19905316:19908313:1 gene:TanjilG_25286 transcript:OIW18843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTVVEAANKGVNGSITEKNPTVVFVLGGPGSGKGTQCANIVEHFGYTHLSAGDLLRAEIKSGSENGTMIQNMIKEGKIVPSEVTIKLLQRAMQESGNDKFLIDGFPRNEENRAAFENVTGIEPAFVLFFDCPEEEMERRLLSRNQGREDDNIETIRKRFNVFLESSLPVINYYDGKAKVRKIDAARPVQDIFETVKAIFAPKNEKAD >OIW18069 pep chromosome:LupAngTanjil_v1.0:LG01:29701546:29703109:1 gene:TanjilG_19301 transcript:OIW18069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGLENKDLPDCVDHDVENSRSKLPRHNFEPNKEYPDKKNVDFLRDSEMGVDKILQSKPTATKFIGASSGFQELTFSYLCENPKLSLAEREKRLLNSKEKVSHKGKEVVDFSENSNQDRKWVERDFLSLSESRENSSKRSIEEEVEKESYREKKPKIETLNLSLALPHVSFSLTASNALQNGAAADQQPLRPKATRPSTTTHTSFSNDFISPSLSSSYSHQFSHNPSCSLTHNSTEKFDYSVSKDDHIWNCGEGTNGSVHSRFKPIGDGVGLVVMFVPTSAMPLVAFREISSSPGLA >OIW17716 pep chromosome:LupAngTanjil_v1.0:LG01:34964094:34968221:-1 gene:TanjilG_29066 transcript:OIW17716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNKRGPEDAKSKSESGASSDDKRRKPPAFSSVVLEVMKLQSVRNLLEPILEPLVRRVDFFSSWFIMDRVHTLRAIEFIKLDDSSIVPMVDVGFYLRQYLHKFVPVQDLVMVREEVELALRKHLTSTKQTSGREIHNAETRSLQLQFENSISLPVFTGSRIEGEDGSNLKVDLVDALTGKVVSSGSESLAKVEIVVLEGDFEDESGHWVPEEFKSNIVREREGKKALLTGDVILYLKDGTGMVGEISFTDNSSWTRSRRFRLGARVVDNFDGTIIRGAKTESFIVRDHRGELYKKHHPPSLSDEVWRLEKIGKDGAFHKRLRREKIRTVKDFLTLLNMDPAKLRNILGTGMSTKMWEVTMEHAQNCVLDSTRHLYFSPQSQQKTGVVFNAVGQLTGLLFESEYIPVDKLSETEKAEAQSSVISALKQGENVTSFENEASLMDGPLNLTNVLHSPRSPRTEGSSANKLEGSSANKLLVPEKTGVGFNYEQASASSPDIMSSIYSVDGISGLDDYCLPNFDSMGLRYDQSLSFPIQLTNSLVCETDSLAPAFIEEDHMQFFNTDIHSQCHIPEDLLSAADGFMLPRTSNAIGKARKRWRKVFNVLKWFMVWKGVKYI >OIW19543 pep chromosome:LupAngTanjil_v1.0:LG01:1805339:1808138:1 gene:TanjilG_06998 transcript:OIW19543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFDSFKQDIDELIGEFAQEGLTTLADMKRVWLSKKFSYIYQASPSSNLAFFMQSLFAHCIGYMVGTASLSHRLGGLYSLYCLYEVQPYKPPFRVYLSLGELAKLKILVVDAKANNIQVVPALVKRMLDRNTFLYGAVDLRESFVTETVNQLQQVQNTRVEVAYEKLFKNTQLENYIHMDLGMEVDLNLLKKKSSEYAKAKGVAIKEASNIIDVENIKHITEDKEPIGEAVEKIAEEWVVQKQTFYNQTGLKEDDGYDRELEQLLLDNNQDDEESNEE >OIW19199 pep chromosome:LupAngTanjil_v1.0:LG01:8355049:8356985:1 gene:TanjilG_00558 transcript:OIW19199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSRNAAVGGNGTLVPQTNDSATSAAGADDSMQKLNQVSNSIQKTLGLIHQLYLTVSTFNAAFQMPLLQRINGLVVELDNMVKLAEKCNIQVPMEVVNLIDDGKNPDEFTKDVLNNCIAKNQITKGKTDAMKNFRKHLLEELEETFPAEVETFRESRAASAAGATLSICPFSSVTTFSKASHADEFSSHTLTHYLKF >OIW17943 pep chromosome:LupAngTanjil_v1.0:LG01:32339381:32344826:-1 gene:TanjilG_17779 transcript:OIW17943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDALRKHANKLRDQVSKQQQLQAVIKQFSSSGYEKSDVVVIDEVEMQRHQQLEKLYRATRTGRDFQKEIVKAAETFTAIGYKHIETGTKLSEECCQYGAENSIDNILAKAASVYGDARKHVEKEQEELNHLLSSQVLDPLRSMINSVPLEDARHLAQRYSRMRQEAETQREEIARRQARVRESPMAEQVAKLHAAEAKMQELKANMAILGKEAAAALAAVDAQQQRLTFQRLVAMVEGEKTFHLRVAAILGEIEAEMVSDRQKKESAPPVVTSENGSGKTMYFLAEAMHPYSAESEKELSFSKGDFIVVRKVNAMGWSEGECNGRAGWFPSAYVEKRQRIPTTNMAAEVY >OIW18173 pep chromosome:LupAngTanjil_v1.0:LG01:26420473:26427523:-1 gene:TanjilG_31293 transcript:OIW18173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSNEGDNDVFFDSIDSLSPQDCGLTKQEFSSDYEIWVNEPLSVKERRGRFLQAMGLYETSASKIGSQEKMMSLERIEECSRAVSNACNLATDQSSLVLSGCRAASETEAWFDGLKGRPQLKPDVSFEGKVHELSFTGHEQRHREAEAREECQDFEMGIKKKKKWWFKKGVEGKVRSKLNTGTNRTRRVKVRQNKKRWMEFSGLYIGQQIRAHKGLIWTMKFNPNGHYLASGGEDGVVRIWHVISLDTSSICFTTEDSTASKLKFENSYHQKKLSNQSFIFLPNKVFQIEESPLQEFYGHFSDVLDLAWSNSDILLSSSMDRTVRLWRIGCNQCLSIFHHNDYVTCIQFNPVDENYFISGCIDGKVRIWGIREERVVDWADIRDVISAISYQKDGKGFVVGSITGTCHFYVASGKYFQLEAHILVHGKKKASGTKITGIQFSQKNHQRIMITSEDSKIRIFDGIKLIHKYRGLPKSGSQMHGSFTSNGKHIISVGEDSHVYIWNHNDTKNASTKKKKSESSCEYFFSKGVTIAIPWSGMNAEQKGPCSNFKQHSSEMKNQLEAVSGRDSERFSLGSWFSIDGTCRGSTTWPEEKLPYWDLPHAKDEYEKQKLYHKDTSLDRGVPETWGLSIVAAGCDGTIKTFHNFGLPIREPEIPSMSSFQRSMNESSKPSSSRWRRVLLKVSGEALAGDQSQNIDPKIAMAIAREVASVTRLGIEVALVVGGGNIFRGSARAGCSGLDRSSADYIGMLATVMNAIFLQATMESIGIPTRVQTAFRMSEVAEPYIRRRAIRHLEKGRVVIFAAGIGNPFFTTDTAAALRCAEKGEPWYNCSCNGFKSFNAEVVLKATNVDGVFDDDPKRNPQARLLDTLTHQDVISKDLSVMDMTAITLCQENNIPVVVFNLNKPGNIEKAIKGERVGTLIGATWNSTMSRT >OIW19086 pep chromosome:LupAngTanjil_v1.0:LG01:13958878:13960148:-1 gene:TanjilG_06395 transcript:OIW19086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVDFCSLGHLPLTNFILLCIVLAAASTWEIRILNTPVASNSPKCVVTNTLEIHSASWHQWKNNERWKFERSIPYALLCEVAGLKEYIAANPALHQEYATIVVHSKISDGSMEDEFYDAIAGQSSSDDEESDDDLKLDKVSFFSTYNKLQK >OIW18949 pep chromosome:LupAngTanjil_v1.0:LG01:18358370:18361561:-1 gene:TanjilG_09143 transcript:OIW18949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKFTSQEVSALQEGGNQRAREIYFKEWDQQHQSLSDSSNVDRLRNLIKHVYVDRKFTGERAYDKPPRVKAGDKDDLYENRRMGTYQRGSKSPQYEDTYERRYSDRSSPGGRSPGGISLGGRSPSGTSPGGTSPSGRSPGGRSPDKFKDGRKFEDRRIFDGDIKMDNQSPERAKDLGSSSLRVVRPVRDILGENVRTSSSSSLASSNGNLAEVKLETTKSLIDFDDDPEPPVAPQPVVMLENFGDNNWASFDFALEEKAAQGSSNANPLEPVLSQLSIPAALPGHVSGAPGGPHHAAAIPMSSVPVTGSALIAAGAPTISSLSAFQPSGASVTYPGSVTVSPLNNAGQLAGLQYQQPSFPGTVSQPTIQQFTPPVGGAPNNQPWNIPSVLTVQGHASTPMPHAFHHANETNSNVVSQPSTVDIKPNGRTELPEAQTLAPAVAPGIFILLTFPQSVILVEVHFLYICILNCRVKFSGAYMGQQMPTNTSMPRYQGIESFGADGAAFGISNPDQQLNGRLSIPATPNPFPARGNPFG >OIW19642 pep chromosome:LupAngTanjil_v1.0:LG01:805242:809317:-1 gene:TanjilG_18452 transcript:OIW19642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVFVKQGVSVTPAIDNSVESDRNNSNNKKKKKNRSDVSESLSFRLGNLHKYVEGEQAAAGWPAWLSTVASEAIHGWVPLHADAYEKLEKVGQGTYSSVFRARELETGKIVALKKVRFDNFEPESVRFMAREIMILRRLDHPNIIKLEGLITSRLSCSIYLVFEYMQHDITGLLSRPEIKFSEPQIKCYMKQLLSGLEHCHSRGVMHRDIKGSNLLVNNEGILKVADFGLANYFNYGNKQPLTSRVVTLWYRPPELLLGSTDYGPPVDLWSVGCVFAELLLGKPILQGRTEVEQLHKIFKLCGSPPDEYWKKTRLPHATLFKPHLPYDSCLQETFKDLPAATVNLLQTLLSVEPNKRGTASSALSSEYFKTKPYACDPSSLPEYPPSKEIDAKPQEESKRKKIGGRVCGHETRKPSRKPLGAGLSKLAPAEDLTSQTQTSQKVDDRSIHILKDGKSNIGNEAPKPCNSKAEGASNLKNVSQVDIHNISFPAQLQVSKSSGFAWAKRHKDDNSVRSHRRTISRGHIFNSLETCTLNSRNDSDSRNHENKEFCGERTNSRGHDMLEISNLAMQNQWSKFDRPDSFDTSDEYHSQELSMALYRRDDSLSKRSSQSYQDQAEKVEYSGPLLSQMHTVDELLEKHEHHIRRIVRRSWFQKGITVRSMGSNWKCRDLEHHIKVTQKVLLRGSSPFSKHNAMSKKHEHQHENVQRVKHIVSTSMSCYLYAEKLNDLIIGGM >OIW19165 pep chromosome:LupAngTanjil_v1.0:LG01:9653056:9654399:1 gene:TanjilG_13947 transcript:OIW19165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVEMKKYITSEELEKHNKQGDLWISIQGKVYNVTDWAKEHPGGEVPILNLAGQDVTDAFIAYHPGTAWKHLDKFFTGYHLKDFKVSEVSRDYRKLVSEFSKLGLFEKKEHVTLFTLSSVAVMFGIVLYGVLRCTSVWAHLGSAMLLGLLWMQSTYVGHDSGHYEVMSSRGYNKLAQILCGNCMTGISIAWWKWTHNAHHIACNSLDYDPDLQHIPVFAVSSRFFSSMKSYFYGRQLKFDTLSRLLVSYQHWTFYPVLCFARVNLYLQTILLLFSRRKVPDRAFNIMGILVFWTWFPLLISCLPNWSERVMFVLASFCVCSIQHLQFCLNHFAANVYMGPPNGNDWFEKQTAGTLDISCSTWMDWFFGGLQFQLEHHLFPRLPRAQLRKVSPLVIDLCKKHNLPYRSLSFLEANKWTLRTLRTAALQARDLTNPASNLLWEAFNTHG >OIW17988 pep chromosome:LupAngTanjil_v1.0:LG01:31365616:31373589:-1 gene:TanjilG_31361 transcript:OIW17988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGGERKREEKLKKQQSLAKKKSGGFESLGLNPNVFKGVRRKGYKVPTPIQRKTMPTILSGSDVVAMARTGSGKTAAFLIPMLQRLDQHLPQGGVRALILSPTRDLALQTLKFTQELGHFTDLRVSLLVGGDSMEGQFQELSQNPDIIIATPGRLMHHLSEVDDMSLRTVEYVVFDEADSLFGMGFAEQLHQILTQLGDNRQTLLFSATLPSALAEFAKAGLREPQLVRLDLDTKISPDLKVVFFTLRQEEKYAALLYLIREHIGSDEQTLIFVSTKHHVEFLNLLCREEGIEASVCYGDMDHDARKIHVSRFRARRTMLLIVTDVAARGIDIPLLDNVINWDFPPKPKIFVHRVGRVARAGRTGTAYSFVTSEDMASLLDLHLFLSKPIKAAPTEEEVVKNMEGVQSKIDQAIANGETIYGRFPQTVIDLVSDRVREIIDTSAELESLQRTCTNAFRLYSKTKPLPSKESIRRVKDLPREGLHPIFKNVLETGELMALAFSEHLKKFRPKQTILEAEGEASKSKHLQGPNGQWVDVMKRKRAIHENIIKLVQEQHSKSNVEKEREDSESEIIPSTEKGRKARGSKRKPQTFKDDEYYISSIPKNSHMEAGLSVKASEEFGSNRLEAAVLDLVADDGSGMKKQKSVYHWDKRSKKYIKLNNGDRVAANGKIKTESGAKTKATKTGIYKRWKERSHSKISFNGTNDGDAQGSTSLSGSFRGGNRNLKGGRNNFKGGRNQNSNSHVRSEIKDMDQIRKERQQKANRVSYMKSKSPRGKKSGGKHNGKGRKGESGGKHSGGKGRKGGMGRKGK >OIW18486 pep chromosome:LupAngTanjil_v1.0:LG01:20601353:20605770:-1 gene:TanjilG_13238 transcript:OIW18486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEVVKEHSSSGNSAKPKLLRYALRSSTKSKVQNLDAPNSSQSNRFVYPLFPTIGPTEESDVCNGLNLKFEIRIEPAEQYVWPTGPTPPRQQPHTVVEGHLSKPDNLINALLPHFMFHSNSESSYKKHNLPHINRFCSTQKQKKMDEVVKEHSSSGNSAKPKLLRYALRSSTKSKVQNLDAPNSSQSNRGRTPPSSVSKSVGVLDFSGKDKSRSAKPPRRYSIPSPKLVGNNTPNSETRAKRSANGKGPQSRNQTPISDISRTSTRVRFNLLSSASYWLNQIKLSESAAKHSISLGFFKLALEAGCEPFQKMKDELKSYVHRNQLAAELGEQVRELFERYNIVENPEQPQVSETISQVPEDSNRSSDDEVHCSSPSTKGTRNLKPKSLNTADCTKQTPVTTESTKKEAYQKKKQSQSSLREKLRMKSANSRPAFDSGNRRTIKKPEKATKLESNKKSEVKKQGNKSVEFPLSPSSAEDNAPGNKENMDVVTTDAMTEVV >OIW19479 pep chromosome:LupAngTanjil_v1.0:LG01:2289557:2297944:-1 gene:TanjilG_09499 transcript:OIW19479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGDGGGSDESVKLAIALSVLRSKVLKTTNDASPSQSHSLRWKLKAKERKQEILRLREDLREALDATASSNSDLFPQTASCKCYFFHNLGQLNPNPNPSHTRFNDVLRRRFLRQVHFKERRRRIESSFSSQQRISFGLTEEDETEQLRASVDFLVEFCESSSHVDDSKFANLVHQAVDFILDSLKILLSMGKNLELVEGIINSLVTRLVRQMSSQEKESQHNDTNAQFYIQHLIRKIGSETYIGQRAMLSVSQRILMLAEHLLFSDPFDDSFPDMHECMFRMIQLIEFLVSDCLLEWLKAENFDNMLLEDWVASFIQARKAIELLESRNGLYMLYMDRITGELAKLVGRVREIPNDVLKIKRDLDVELFGEGQSWGCSVNNVRDSLHEGHEQVRYTRDQLLQLREVREILNDVLKIKRDLDAELFGEDQSWGRSENNAGDSLYERHERVQFTRHHLLRLREVNAKTITEIHSEAEKNLGLQPGATVNMRNTGVTFGVHGSTHPGIYPIARPRKGRVKGRDKKRRVKGRGKEMLGLDMTTGRCL >OIW18720 pep chromosome:LupAngTanjil_v1.0:LG01:22491412:22495147:1 gene:TanjilG_13472 transcript:OIW18720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPMDGIFSLSEATRTDFLSALVLHLSGCAYICLWRYDSCLTNRLLFLDGFYNVNNQPNSSLARNSVAEELFNLYQSLTFDVNVDSIPGLAFRNHNPYLELQQLDLLRLVSTEIQVQFFKEARIKTAVFMGCSKGEIELGFTNMSQVDIEAALRGLFPEDFFRQTQRINYQNPHSSSSSYSISLSTGSPECSSLLINNIPVTSMSHHFPETLGSMVPKMQPIEARPIHQQAIQALGQVIPCHFATPEVEHDDAIIRAMIHVISSSSTSDHKHRIPQQNLPYTSSSLVHPVNITAFKKYSADNKGPDPHIRSNPPRQSLLKKSFACSRSLNSLRIRERIQEAARFTSTQQQRMMSERRRREKLNENFQALRELLPPKTKKDKASILTAAKEKLKSLTAEIEKFNIRNQQLTTFLSTIEANASNSNFSNEQLKIRVSNVPQSSLSEERMVDLHVTVRGESSQVDISIRLLEFLKRIQNLSLICMEANTHVTEGTTINQLTFRIGIIEGSAWNECTFVEAVRRIVADLLHGHVGK >OIW18326 pep chromosome:LupAngTanjil_v1.0:LG01:24169508:24171004:1 gene:TanjilG_31466 transcript:OIW18326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRHSCCVKQKLRKGLWSPDEDEKLFNYITRFGVGCWSSVPKLAGLQRCGKSCRLRWINYLRPDLKRGMFSQQEEDFIISLHEVLGNRWAQIAAQIPGRTDNEIKNFWNSCLKKKLLKQGIDPTTHKPLNEANVKEEKTIIESPQSMQIPFSQVHSATISTLLPSSSQGSPLLISDSNYYDDGRLAETSREIFMSKEALDPLSYYDNFQMIVEQNSDYGLPESTNYQTSIITLFDENQFATNSNYCFPSMPSLTNSDRVSMTEFSSDNNSGSKISSLFMNDNNNQVKESSSNNSSNMCIYTSEGGCHQMMENAAGFSWDAENKLDPLFRFNAIKSEDSKTCNSWEEGQQHLQTHGSIDFSSYPLTSLSEDLTGTSFDVFQHI >OIW19436 pep chromosome:LupAngTanjil_v1.0:LG01:2712033:2713061:-1 gene:TanjilG_09456 transcript:OIW19436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAFAGNLNNDFEITWGDGRANIINNGELLTLSLDKASGSGFQSKNEYLFGKIDMQVKLVAGNSAGTVTAYYLSSKGSTWDEIDFEFLGNLSGDPYILHTNVFSQGKGNREQQFYLWFDPTADFHTYSITWNPQRIIFSVDGTPIREFKNSESIGVPFPKNQPMRIYSSLWNADNWATRGGLVKTDWTQAPFTASYRNFNAEACIWSSGASSCASASTSSASSSWLSQELDTTGQERLTWVQKNYMIYNYCTDTKRFPQGFPPECRTN >OIW18681 pep chromosome:LupAngTanjil_v1.0:LG01:22133631:22135020:1 gene:TanjilG_13433 transcript:OIW18681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATIPVNPKPFLNNLTGKHVIVKLKWGMEYKGYLVSVDSYMNLQLANTEEYIEGQFTGNLGEILIRCNNVLYLRGVPEDEEIEDAPED >OIW19160 pep chromosome:LupAngTanjil_v1.0:LG01:9555611:9564192:1 gene:TanjilG_13942 transcript:OIW19160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSMVRSSFLRTALRARNGASSSTVPKRNFASSSGHHDDAYETAKWEKITYLGIASCTALAFYILSKGHPHHEEPPAYPYLHVRNKEFPWGPDGLFEVKHHDH >OIW19583 pep chromosome:LupAngTanjil_v1.0:LG01:247193:248257:1 gene:TanjilG_18393 transcript:OIW19583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTSRTTSSASSFKGSYEPNKTEICSSLILQRNTCPSGERRGRRKQSEPGRFLGVRRRPWGRYAAEIRDPTTKERHWLGTFDTAQEAALAYDRAALSMKGNQARTNFVYSDNINFHTLVTSPMDLQVQPLLPPSQVLSTNAQTKQQTNQNTISHHTENPSLNNDYMCVAETGYGSVQDDNFFFSNDSKSGYLECIVPDNCFRPSSGSITNSSNSRNSISSAPCDDQKVSSFNNTSSIESDELLLDHMTSSFYQEASYSMSEFSYPSEVISQGSWDWNSSELSLIFDNNNNNNNNNTLRVDQDVCMDHTTLYNEYEYPITDTSPSYGLMNQTASSTTTCFPPFGDVDLGYHPLF >OIW18847 pep chromosome:LupAngTanjil_v1.0:LG01:19867089:19869936:1 gene:TanjilG_25290 transcript:OIW18847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLLIPNLQQQQLSFSKTLSQWHLFCNNNKISQSTLISKPTIIGMGGGPRTFPGGVSKWQWKRMQAKKAKQLLKARLSRERQIYEMRKRAELKAAVSELERPWELVQISPNLFSIAADEQVKVLADRFQKPGGFDMWSDKDGPQLFQTPDELPSARFFPKGVVHSIRPYRKVELDDDDDDDDGENGGSLGGEFSRIINERNFFTKGVDDGSDDGEFSSPLSYGRNEVSGDGRRSKSGYGRNEVNVDGGSDDGEFSSPLNYGRNEVSGDGIRSKNGYGRNEVNVDGRRSKNGNGRRFLPKGVKGPSGSDGGERSSPFNYERNGMSGDGRSRKNGNERRFMSEGVDDFNGEFSSPLNYERNRVNVNGRTRKNENGRRFMAKGVNDFDGEFSSPMNYGRNGNGRMRNNGDGRRFLSNDIDRPAGEFSSPMNYGGNGVNVNDRTTPNENRRRFMSKDDNRLDGSDNEKSSSPLKHRRNGMSGDGRMMRDENERRFMSKNIGGSNGLNTGKVGSGRKQRGSNSVRGKNYSRGTSGYASRRSRDADSEVYDMGLQQDGSYGFQ >OIW19501 pep chromosome:LupAngTanjil_v1.0:LG01:2162125:2165089:1 gene:TanjilG_06956 transcript:OIW19501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAGTGVFSETFDGDVYKYYADGEWKKSSSNKSVAIINPTTRKTQYKVQACSQEEVNKVIDSAKSAQKLWAKTPLWKRAELLHKAAAILKENKAPIAECLVKEIAKPAKDAVTEVVRSGDLISYCAEEGVRILGEGKFLVSDSFPGNERTKYCLTSKIPLGVVLAIPPFNYPVNLAVSKIAPALIAGNSIVLKPPTQGAVSALHMVHCFHLAGFPKGLISCVTGKGSEIGDFLTLHPGVNCISFTGGDTGIAISKKAGMIPLQMELGGKDACIVLEDADLDLVAANIIKGGFSYSGQRCTAVKVVLVMESVADALVEKVKAKVAKLSVGPPENDSDITPVVSESSANFIEGLVLDAKQKGATFCQEYKREGNLIWPLLLDNVRPDMRIAWEEPFGPVLPVIRISSVEEGIHHCNASNFGLQGCVFTKDINKAMLISDAMETGTVQINSAPARGPDHFPFQGIKDSGIGSQGITNSINMMTKIKTTVINLPSPSYTMG >OIW19001 pep chromosome:LupAngTanjil_v1.0:LG01:17692446:17695118:-1 gene:TanjilG_20274 transcript:OIW19001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKQHANWSPYDNNGGSCVAIAGADYCVIAADTRLSTGYNILTRDYSKISQLADKAVMASSGFQADVKALQKQLSARHLIYQHQHNKQMSCPAMAQLLSNTLYYKRFFPYYAFNVLGGLDSEGKGCVFTYDAVGSYERVGYSSQGSGSTLIMPFLDNQLKSPSPLLLPAQDAVTPLSEAEAIDLVKTVFASATERDIYTGDKLEVVTLNASGIHREYMDLRRD >OIW18746 pep chromosome:LupAngTanjil_v1.0:LG01:22661228:22664207:-1 gene:TanjilG_13498 transcript:OIW18746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQIAMSKKRKFVADGVFFAELNEVLTRELAEDGYSGVEVRVTPMRTEIIIRATRTQAVLGEKGRRIRELTSVVQKRFKFQENTVELYAEKVNNRGLCAIAQAESLRYKLLGGLAVRRACYGVLRFVMESGAKGCEVIVSGKLRAQRAKSMKFKDGYMISSGQPVKDYIDSAGVLGIKVKIMLDWDPKGKQGPKTPLPDIVTIHTPKEEEEYIRPAAVLANDVVEVPVA >OIW18449 pep chromosome:LupAngTanjil_v1.0:LG01:20363708:20370015:1 gene:TanjilG_13201 transcript:OIW18449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSSMDVDFFTEYGEGSRYKIEEVIGKGSYGVVCSAYDTHTGEKVAIKKINDIFEHVSDATRILREIKLLRLLHHPDIVEIKHILLPPSRREFKDIYVVFELMESDLHQVIKANDDLTPEHYQFFLYQLLRGLKYIHTANVFHRDLKPKNILANADCKLKICDFGLARVAFNDTPTAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAELLTGKPLFPGKNVVHQLDIMTDFLGTPSHEAISRIRNEKARRYLSSMRKKKPVPFSHKFPNADPLALRLLERMLAFEPKDRPTAEEALADPYFKGLAKVEREPSAQPVTKMEFEFERRRITKEDVRELIYREALEYHPKMLKEHLEGEEPTGFMYPSAVDHFKKQFAYLEEHYGKGGTVIPPERQHASLPRQCVLYSDNSRQNTSEVADDLSKCCIKELERPAIDRSGAIPITRFPLQGPQNIQGVAARHGKVAGSALHYSNCGVAVTAAETEQRRIVKNPSVSAQYAASSCSYPRRNPSCKNERAEDNGIEGSNGLQPKTQYVARKVAAAQGGAGGNWVTMASGGSKSVAFILLALNLALYFIVLVIASWAINHGIQRSRETASVLSIPARIFPIYFPMGNMATGFFIIFSLIAGVVGFTTSFTGLLNIFEWNASNLHAAAISSFTTWALTLLAMGFACKEIQLGWTDSNLRTLEVFTIIVSATQMLCTGVIHAGVSESIVQSGGRV >OIW17616 pep chromosome:LupAngTanjil_v1.0:LG01:35932314:35938685:-1 gene:TanjilG_28966 transcript:OIW17616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCTLSKLDNDDTVRRCKHRRRLIKDALYARHHLSTAHSDYCRSLRLTATALSTFASGEPLSVSLNTPAVFLNPNNHPPPPPPPPPPPPPPQHKKSPSPPPIIKNPKLPPSTTTTTAVNHHQRRRKKEIKLPHILSESSLSSYCSSPRNHSSNFPSNFFPIAHQTHSTTHSETSSVWNWDQFYPPPTPPGSDYFPSQPPPQQTPRHHPNEPGSEYNVFHSNPNTKPGSEYNKVQNRTGFGNEGYESESEKTENGEECDETEREEVQCSEWGDHYSTTSSSEEDGEDLRSETVAPAMSPAVAPAKEEKVREMKVMVRHKDLKEIVEAIRDNFEKAAVAGEKLSEILEIGKAQLDRSFTQLRKTVYHSSSLLSSLSSTWTSKPPLAVKYRFNTGSLHGPGGPKSLSSTLECLFAWEKKLYKDVKAREGVKIEHEKKLSALQSEEYKGGNEAKLEKTKACITRLQSLIIVTSQAVSTTSNAINGLRDSDLVPQLVELCHGIMYMWRSMHQYHEVQSNIVQQVRGLVNQSSKGHSTSELHRQATRDLESAVSAWHSSFCRLIKFQRDFIRSLHGWFKLNLIPVSNDSINNNNCKEQPSDAFSFCDEWKLALDRVPDTVASEAIKSFINVVHVISVKQSEELKIRKQTEAASKELEKKSSSVQNLERKFYNSYSTVGIGLPDSISDNGQSLDARDPLAEKKLELAARRRRVEDEMVRHSKAVEVTRAMTLNNLQTGLPGVFQALTSFSSLFTESLESVCTSSYSIK >OIW18785 pep chromosome:LupAngTanjil_v1.0:LG01:23044051:23051410:1 gene:TanjilG_13537 transcript:OIW18785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGKGKWGYIWWQWVTLILHLVAILLFTRGFLLTRTELPFYSHSSDLRQSPCLSPDSNSSSSWTKPSVNRIVIIVLDALRFDFLAPSTFFPESKAWMDKLQVLKNAASTHPSSARIFKAIADPPTTSLQRLKGLTTGGLPTFVDVGNSFGAPAIVEDNFLNQLVQNGKKVVMMGDDTWTQLFPHHFERSYPYPSFNVKDLHTVDNGCIDHLFPSLHEEDWDVLIAHFLGVDHAGHIFGVDSTPMIEKLEQYNKVLERVIEVLENQSGPGNLHENTLLVVMGDHGQTLNGDHGGGSSEEVETAIFAMSFKQPPSVVPPEFDTYSCQLDLDGKNVCISSMQQLDFAVTISALLGIPFPYGSIGQINPELYALGAGSQNLGASQEQSESDIWMQNYANAMCINSWQVKRYIDAYSASSAVGFSHDDVSRIASVYAQAEDQWSHSTKHLLIDRNNGSNTLVPVLERQINAYFNFLSTVAELARSKWTEFNLNLMGTGIGIMLISLIFQFLAIVRANKQHSLTSSSSRDSWNTSAVAFSIFLLGIRACSFLSNSYILEEGKVANFLLSTSGIVALRQSIIKDKLLMESVGFLLLSTFCRFVIEVGLSKQAATSAFMKGYTSWILNIVSGLPVWDYAAEVVPMLVLVLLAFWLYKATSGSFSVWPWKYVILGTILSYMLIIVQWITDSSNRFGVALLPESIGRNIIPRIVYAIALGQFIFMAFHQLFKDNYLDCKTSLVAKTTAMLSTWSSIVILLSGKQGPMIAFASISGGYCIMRLNNIEEDNKEHQRSFSVGPFPVMQWSLFATCLFFCSGHWCAFDGLRYGAAFIGFEEFMLVRQAILLTIDTFGFSIILPVFGLPLLIATKYQTNIGKHFLFTQLSQPNDHSMFYVDVFNIWVHNSSYDDFYDIMCNNSKAALDGKCALLYLNVSHCSISAYLRLVQYFNAYNLFLQVWGLFAPKFVFDVFGLILTDVLICFASIYYFVQGKDDPSLKSSGR >OIW18975 pep chromosome:LupAngTanjil_v1.0:LG01:18786999:18794692:-1 gene:TanjilG_09169 transcript:OIW18975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSELGDSHCFSRTLLAVNVGIALFHGVIAFLSFFQPNDDYDYEGSFCEEGLLEKTSNELASIDNNRKCFPVRFSRVGTRQKIVILVAMLVFITMMAFAVIIWIGLGQNPIDSEVAVKMLHDWHQYRLNDVHTSFLLILYYFVGSSIPSALILWVMRELPHAESASMQEESSTIAFVADSSVAIHHPQRWITAASMQNQVPISHNFFSG >OIW19394 pep chromosome:LupAngTanjil_v1.0:LG01:3161325:3170426:-1 gene:TanjilG_09414 transcript:OIW19394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWYSFWRPRDRFSLDQLRYLTDQLTNVQIVNDINKDFVIEALRSIAELITYGDQHDRSFFEFFMEEQVAGEFVRILKLSRTVSVPLQLLQTVSIMVQNLRSEHAIYYMFSNEHINYLITYSFDFRNEELLSYYISFLRAISGKLNKSTVSLLVKTHGDEVVSFPLYVEAIRFAFHEENMVRTAVRAVTLNVYHIGDDSVNRYITTPPHRDYFSNLVSFFRKQSMDLNRLVSNTLITEGPDSTSTITAAVDEIEDNLYYFSDVVSAGIPDVGRLVTDSILMVLIFPLLLPSLRAAADNDMQSGVVTSLYLLCCILRIVKIKDLANTIAASLFYPLEAFTKSCGDKFNGHISDNGLTSKSQVSDSSNLTESDAIHSMANVPYPFSSSGFHLQSVLTQNDCSSSNLSLREVLLSYITNGDDIQVLGSLSMLATLLQTKELDESVLDGLGILPQRDLLAVWNLSMDSSCALGREEEGCLVGGSEEGGELFAWVCGWGVSGRAYIEEGENAPQALVGEASGEEQLFSSKSSLPRDSIGSELDVYHEKIKEQYGVSFQPSDVGISPHVHRFQLIDALVRLFCRSNISAETLWDGGWLLHQLLPYSESDFNSNHLELLKASYSNCTSALVEEVEGVWADFLITILCSEWRKCKRAMESSCPRKDPNCMFLPQQKLSSEDDNPEGSSFTAGQKMHELVKAFVLLHQIQMFTLGKALPEQPPIFPPGDLPVNCLAQTSGLDVSGPKPGTEVSLVHDHRLESLHEPHLYKFLALSLTAVNAVPCRIAFERGKERHFCFLAISLRSSGWLVLSEELPLKKTHGVVRVAAPLAGCNPKIDEKHSKWLHLRIRPSSLPFLDPAKFSDHGKMKKKTLVDGRWTLAFRDEESCKSAFAMIVEETNFLRDEVHRRLKPLLNLETAIDL >OIW18103 pep chromosome:LupAngTanjil_v1.0:LG01:27954822:27957784:-1 gene:TanjilG_19369 transcript:OIW18103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGAGDEDADTVLSDVEVDTAGDPITTPNPPSPENVSIERLHDLLAELDREREARIAAENSKSELQTSFNRLKSLAHEAIKKRDEFGRQRDDAVREKDEALQSNDAVTAELTEARREKDEIAKQLEERENETDVLRSEIANSSHMLVTGMDKISAKVSSYKNFSANSLPRSNKYTGLAAVAFGVIKRANEIVEELLKQNEATGKSRDETREQMEQRNYEIAIEVSQLEATISGLREEVDNKSSVVEDLERKLSEVEREGEEKLSKEQSEGLQLKELVGEYEEKLSKLESKMELQRLLLIHQLSLVSKIHNQICDVVKIIDDKSNSELSESLFVAQEMDIEENMRASLAGMESIFELTRIVAQKAREVVDEKNRKIKSLDETVNRLVKEKDQIGSLLRSALSKRLVSGRSPRKSDVFRDAENGLREAGIDFKFSKLLGDGKVAATNDKSDDMAENEEDEMYSLAGALEDVVKTSQLEIIELQHSVDKLRAELNLLKQHIEAQAKDLNHRMHRIEELEEKERVANENIEGLMMDIAAAEEEINRWKVAAEQEAAAGSGVEQEFLAQLSALKQELQEAKQSMMESENKLKFKEETAAAAMAARDAAEKSLKLADLRASRLRDRVEELTHQLEEFENREDSRGRNRPRYVCWPWQWLGLDIIGVQHRPDTQQEASNEMELSEPLL >OIW19399 pep chromosome:LupAngTanjil_v1.0:LG01:3102870:3105180:-1 gene:TanjilG_09419 transcript:OIW19399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLLANYASSDEEQQQQELKQSKTTSFSNNTSLLFSSLPQPKSSFSSTLQSLPQPSMNPSSNSGKSFSLFSNLPKPKSQIQEQKPKKVVQFRPPVISLPKTTELDDGIQEEKERNRRRNSQNLIQTPSVKSFLSSIPAPRNSSTLGVQSSSGSGRRSIIETEAPESAPVSVSAVESNVAVGQNAGDYVNYENYPNYQYATDPNAGDYVNYPNYQYATDPNAGTGFSSYGNDDSGVNQSIGDDTASYGNRDVGVDQSAEASNAGEYVNYANYPGYGNHDSGVEPSVEAGCASYGSGGEGYASYSGYGDNVQYGNNWVDGLPEVSGVSDNAIEFPGKKRGRHEIPIEVIEVKQEELIKNRPREDQAKLTGLAFGPSYQGKPSKLHMRKHQISSLYFDMKQNEMQLAERRAKGMLTKAETQAKYGW >OIW17920 pep chromosome:LupAngTanjil_v1.0:LG01:32723262:32728848:1 gene:TanjilG_17756 transcript:OIW17920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDNARFEEKSFSNGDVYIGEIKAILPHGKGKYIWSDGTVYDGDWEDGKRTGKGVIIWPTGAKYEGEFSGGYLHGNGTFTRSTGCIYRGGWRMNVHHGIGKKEYSNSDSYEGLWREGIHEGCGSYRWSNGNSYIGNWRSGKLEGRGVMKWANSDIFDGFWVNGLRHGSGVHRFADGGLYIGTWSKGLKDGKGTFYPAGCKHPSLKRCSINSNDSGLSLNTEKHMSPKLRVKRSLSVKISGSIRSKSSRQISQRTSSMDAHRSLLEPAGDSICHDSSSTVSQSFDEGRSESSSTRTSVYEREYMQGVLIMERTRKSSESSHKNKRQNKPSVKPVMQSSFMDIFGGCQSYYLKLNLQLGIRYTVGKITPVPAREVRSSDFGERARIRMYFPKEGTQRTPPHYSIDFYWKDYCPMVFRDLREMFNLDAADYMMSICGDCGLRDISSPGKSGSIFYLSQDDRFVIKTLKKSELKVLLNMLPKYYSHVGQYENTLITKFFGIHRITLRGGNKVRFVVMGNMFCTELHIHRSYDLKGSSQGRFTDKDKLNSHATMKDLDLKYEFQMDIKLRESLLNQISLDSKFLESQHIIDYSLLLGLHFRAPENLKALAELPGSMQRQDSLPSEDDDKQGEQLLIPKGLLLVAHEPSFVNTAPGPHIRGNTLRAYSIGNKEVDLLLPGTARLRVQLGVNMPAQAISKVQEDKEEALELFEVYDVVLYMGMIDILQEYNMKKKIEHAYKSMKFDPFTISVTDPKIYAQRFINFLDKKVFPQNT >OIW19496 pep chromosome:LupAngTanjil_v1.0:LG01:2212686:2214726:1 gene:TanjilG_06951 transcript:OIW19496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFLHCFFCIFWIINFLASINQYHVAVCSASDFPNPINVTKHFSFPDFSFTNNPRLVHDVKLLGSAKFSNEKGALQIPNESQDTDIRHQAGRGIYSFPIRLLDPSTKTPASFETTFSFHFNNSTINQTDYDGGSSGLTFIIVPDEFTVGRSGPWLGMLNDACENDYKAVAIEFDTRMNPEFGDPNDNHVGINLGTIVSTKTINVSGVGVSLKDGFVHHAWITYDGPNKRLDIRLGLPNQEVYPSKPIFSESIDLFPYLNEYMFVGFSASTGNHTQIYNILSWNFTSTSQAFLSFPSSETCQGKVLLQNSRIEEEPAKKRNAAKSKNSLEVEIRRPRPPNKPRRFSFSEFSSATRSFSEIELLGGDSRGEYYRGKLSNGSNVAVKRFSAQFLNTHGSDRKRLLKEIKTICQARHPNLLPVKGWCQDNNEVMVAYEFVHNGSLDKWLFGAGVLPWTRRFKVIKDVADGLNFLHTKQLAHKNLKCSSVFLDVSFRAVLGDFGFVLLGAESKQFESLVCHGADVFEFGVLVLEVIAGRRRVEGEIEELKAEEKNLLDFAWNLHETNDKVKLVDRRMGALINLDQANRVLEIGLLCTLNENKGRPSMEEVVEFLLNMDKPIPKLSATRPVALFPYNSANTGLCNTYSCTFK >OIW19680 pep chromosome:LupAngTanjil_v1.0:LG01:1085966:1086847:-1 gene:TanjilG_18490 transcript:OIW19680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGTFFGGGDRRLRPHHHHHQALNCPRCDSLNTKFCYYNNYNLSQPRHFCKNCRRYWTKGGVLRNIPVGGGCRKSKRSNHNNNNDNSSETEITAPPEHNSNSHSSSESSSPTATTEAVVSAPNTFNSDSNNRNESKLLICSSNPALETVSLKQQQQHGTEDCNIFSEIGSFTCLITSTNDTLQFGFDATTIPDASSFQWQHQKGLTMNMTLTGDDVELKFPDNLNGCDASLLDHGTVPVDLSGREEFGSLDLHGDGDQGLFDLSNTVDHTYWTPHTTHWSDHDNSTLFHLP >OIW19400 pep chromosome:LupAngTanjil_v1.0:LG01:3095336:3099934:1 gene:TanjilG_09420 transcript:OIW19400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVQQIQNPNHRTDEPQSEPAPEKRWPGWPGHCVFRLIVPVVKVGSIIGRKGELVKKTCEETRARIRVLDGAAATSDRIVVISGKEEPEADLSPAMVAVIRIFKRVSGLSETDGENLSGAAGIAFCSVRLLVASTQAINLIGKQGSLIKSIQEKSGASARVLSGDEIPFYAAADERIVELQGEASKVLMALEAVVGHLRKFLVDQSVLPLFEKKTYNVAISQDRQADTMADKQPSLHSASQPRNVADIPLPTKRDYLFADRESQLDSLLPSSTMSLYGQESSLSGFRSSALSRAGPSIVTTVIQTMQIPLSYAEDIIGIQGTNIDYIRRTSGAILTVQESRVPDEIIVEIKGNSSQVQTAQQLIEETISNHREPVASGYSRLDAGLRSSYSQLGSSSYSSSSVAMQPYNGYGSSGLGGYSNFRL >OIW17712 pep chromosome:LupAngTanjil_v1.0:LG01:34986379:34993166:-1 gene:TanjilG_29062 transcript:OIW17712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSCPTNPSSSTTRPHTYNRKQKSLGLLCTKLGVERRRIYDIVNVLESIGVLARKAKNQYTWKGYAAIPGALHELKEEALREKLEGSQGVNAKVWDDEDDDETLSNSGSQNDKSIPNSDAPKSHKNDNRREKSLALLTQNFVKLFICSNMELISLDDAAKLLLGDAHSSSIMRTKVRRLYDIANVLSSMNLIEKTHTADTRKPAFRWLGLNENQSSNVINSRKRAFGTDISNIDFKRNKVGVFVNGEFSQKPMKQKELEHVSGLGQVDKSNLKHCTKQSSRSYQFGPFAPASVHKVGPSENNSVKQVHDWDSLATVHRPHYQNEALKELFSHYMEAWKSWYSEVAEKKPLHIL >OIW18796 pep chromosome:LupAngTanjil_v1.0:LG01:23156953:23157441:-1 gene:TanjilG_13548 transcript:OIW18796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNTSLVKEKEHEGAEIVYGSEECQKHSIELLQELGFPKGVLPLKDLVECGRVRETGFVWMKQKEPYEHFFERTNTKVSYAAEVTAYVEKFKMKKMSGIKSKQMLLWVPITEMSVEDPKGKKIFFKTPVGIGKSFPVTAFMTKEEEQKYLQLQKDEIKQNN >OIW18217 pep chromosome:LupAngTanjil_v1.0:LG01:27513761:27514699:1 gene:TanjilG_31337 transcript:OIW18217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAIDIYNNGTKIGENFLDLYDEELMKVLEPFMKSDVSLSACPSTISDTRLLSTLPNSYPNLLIPLNTIQTNSIGLNQLTPFQISQIQAQVHIQHQQYNCEHCQNRNLKPYLYFLEQHPNEHSFNLAPKHVPMKKQTKLYRGVRQRHWGKWVAEIRLPKNRTRLWLGTFDTAEEAALAYDKAAYKLRGEFARLNFPHLKHQGARVFGEFGDYNPLPSSVHAKLQAICENLARNQKPENSVEDIKPQQIDHVPAEEKFEDFKVDMSDESSAGSSSPESVVTFLDFSDSNKWNEIENFGLEKYPSLEIDWDAI >OIW17958 pep chromosome:LupAngTanjil_v1.0:LG01:31902534:31903283:-1 gene:TanjilG_17794 transcript:OIW17958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKLKTLTISHANLTGFLPKYVHSNLTHIDFSGNHLRGTIPTSITMLDSLESLNLSSNGFKGDIPISMGDLISLKNLSLASNSFSGSIPDSISAIPGLVHMDLSSNQLNGTIPKFLSEMRNLKYLNLANNNFHGVVPFNLTFMKRMQVLKVGGNSNLCYNHSILSSKLKLGIAPCDKHGMPMSPPPAKDSSSADDSSDSDYDDSADDDSSSHKKEHHHGPNKVVLGVAIALSSIVFLIVFLILCSKCCR >OIW19263 pep chromosome:LupAngTanjil_v1.0:LG01:6095379:6105327:1 gene:TanjilG_20388 transcript:OIW19263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIHGLLPQLKSIMVPIHIKDLNGSSVAIDTYSWLHKGALSCSTNLCKGIPTTRHIEYCMHRVNLLRHFGVKPILVFDGGLLPMKGDQENKRARARKENFERAVQHESDGNSTAAFECYQKAVDISPVIALDLIQVLKQENVQYIVAPYEADAQMTFLAITRQVDAVITEDSDLIPFGCPRIIFKMDKFGQGVQFQYSMLEKNKELSFEGFNRQMLLEMCILSGCDYLQSLPVPIAMYQVLKHLRYSGVSVPPFYEESFKKAILTFQYQRVYDPINEDIVHLSTIPDDSAQGIAKGDLDPFTKMPFEGQNLTAKLAIAGTFQFKTPESVKKKIDLPVQKNLLTNSFSLALKGFASVEAKRNFRAPRISPTTANESTFDFSSGSPLEHETLEATASGTKNSAISVVSSENWGSSPPANNHIENSLSSTVSEFAESPCHVYMVDEKKISTEHTILRQPRQPIHKPCLGSHKEDGHTNAEDTVEVKTREETKVIVRSAYFQHKQVEDNDPNEKQDHLSKAGNKVTVRSRYFQHKEVENNDCNEKQDHLSKSGIVTSAYFQHKQVQNSDCDERQDHLSNAGDKVIVRSRHFQHKEVENNVCDKKQDHHYKPVALKGEKRNAISDRCMENKDLKRKISSNDKIQIENLQPRQMRHASPHNNGNCDQPVDRSSTENNADEKFSANISHLGHYSEIAEKSVERFASVISSFRCSSGSRASGLRAPMKDVRNTCDNRPTTVDFRQYAYEPKQKKTRRIGRGS >OIW18374 pep chromosome:LupAngTanjil_v1.0:LG01:23556627:23565520:1 gene:TanjilG_31514 transcript:OIW18374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNKKRNPPRSKHSPAASPVTQSAIGGAADVSPDSDSCMVVSDLALHNPSNKVELPLRTEGSVYSSIKVECDKALNAFRRGNHNRALKMMKDLCSKYEGSVYAGFTQRVQGFVLYKVSSIMNDPAVKQRHLKNAVDSARKATELSPNSIEFSMFYGNLLLEAATESKDYEDVVQECERALAVENPNDPAKESLQDESEHKSSTEESRIAHVQNELRQLIQKSNIASLSTWMKTLGNGEERFRLIPIRRPAEDPMEMRLVQNRRPNEIKKVSKTPEERRKEIEVRVAAARLLQQKSESPQFPNEADREERELDLASVSGHRIGDRRGKYGNARKNGSTDERRNWVHSYWNSLSMEMKKELLRIRISDLKSHFGLSKDTLPIDILSEALSYVEFSKTWKVWLCSECDEKHSNPESHRQHVMQEHMRNLLPKMQRLLPQNVDNEWIEMILNSSWKPLDVSAAIRMLGNKSKFMVSPFTEDSYFGPHTHKYAHTQNFNDCFKDASDSYHEKENLRYDLDTGTIKVSDYCEITGGNVTEGLEDQGSITYPCADNWPVSDDSERAKLLEKVHALFEMLIRHKFLAATHLNKVIQFTMGEIQGLSAGSQLVNRGVDKTPMCICFLGASQLKKILQFLQELSQACGLGRYPDKTNGPVNDSHSISQVPEIKEQIVLNGDSSCLLLDECLLPTQVTPGTAQGFVLDDVTSPGSPDGISSNKDAFLSWIFSSSPIGDQLTSWIRTKEDKKNQGKEIVEMLEKEFLQLQGLCEKKFGRISYEEALQTVENLCLEEGKKREHGGEFVQRSYESLLRKRREELTETENDVAYVSNRFELDAISNVLQEAEAMNVNQFGYEETYAGVNSQLCDLESGEDEWRMKDYLHQMDGCIEIAIQKLKEHLSIELSKIDARIIKNVTDMQQMELRLGPISAYDYRAILLPLVKSYLRAVLEDLAEKDATEKSDAAREAFLAELALDSKKVKGGSENTKHLDKTKDKKKNKDHRKTRDFKATSGNEQLLLRDTIPYSNPVAPDSDFQDVVVTVNGDDLEQQEDEFKRKIELEEEEKKLEETLEFQRRVENEAKQKHLAEQQKKSSGIYLEEVVEKLQDAQLEAVADGTDVHEHLRPHTQEQLAKENGFPSNMDSVLITPANGSLGEAKSADSTSQKIGYLHPTEVKQDLPNGVVSENGLQLPDRRQGKKHRRHKNSSRTADGKVEPVSSEKENTENTDIDNHLREQIKSHNNQDASNVWENSGSNALKELKMKDEEEERFQADLKRAVRQSLDTYQARSKMPPVSGLRMFERASSQVDSSDFVPEGVPSKDVSGGATLLGSGLKNEVGEYNCFLNVIIQSLWHIRRFREEFLGRSRSEHDHVGNPCVVCALYEIFTALNLASKDSRREAVAPTSLRIALSNLYPDSNFFQEAQMNDASEVLAVIFDCLHRSFTRGSSVSDAESVESNCMGSWDCANRTCIAHSLFGMDIFEQMNCYHCGLESRHLKYTSFFHNINANALRTMKDMCSETESSFDELLNLVEMNHQLACDLEVGGCSKLNYIHHFLSARPHVFMTVLGWQNTCESADDIKGTLAALSTELDISVLYRGLDPKSTHSLVSMVCYYGQHYHCFAYSHDRQQWIMYDDKTVKIIGGWVDVLTMCERGHLQPQVLFYEAVN >OIW19577 pep chromosome:LupAngTanjil_v1.0:LG01:205837:219001:1 gene:TanjilG_18387 transcript:OIW19577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRTSKSEASSASDDESLSDSEEEQNHQINDQDDDGLEVVARSSSSGDDDNIPDDAADVNADTDDDNEQDEGNVDPEISKGEKARLREMQKMKQQKIQELLDAQNAAIDSDMNNKGKGRLKYLLQQTELFAHFAKCDSSSSQKKAKGRGRHASKVTEEEDDEEYLKEEEDGLASTRLLTQPSCIQGKMRDYQLAGLNWLIRLYENGINGILADEMGLGKTLQTISLLGYLHEFRGITGPHMVVAPKSTLGNWMNEIRRFCPVLRAVKFLGNPDERRHIRENLLVAGKFDVCVTSFEMVIKEKSALRRFSWRYIVIDEAHRIKNENSLLSKTMRLYNTNYRLLITGTPLQNNLHELWALLNFLLPEIFSSSETFDEWFQISGDNDQEEVVQQLHKVLRPFLLRRLKSDVEKGLPPKKETILKVGMSQMQKQYYRALLQKDLEVVNAGGERKRLLNVAMQLRKCCNHPYLFQGAEPGPPYTTGDHLITNAGKMVLLDKLLPKLKERDSRVLIFSQMTRLLDILQDYLMFRGYQYCRIDGNTGGEDRDASIEAYNKPGSEKFVFLLSTRAGGLGINLATADVVILYDSDWNPQVDLQAQDRAHRIGQKKEVQVFRFCTEYTIEEKVIERAYKKLALDALVIQQGRLAEQKTVNKDELLQMVRFGAEMVFSSKDNTITDEDIDRIIAKGEEATAELDAKMKKFTEDAIKFKMDDTAELYDFDDKKDENEFDFKKIVSDNWIEPPKRERKRNYSESEYFKQTLRPSGPTKPKEPRIPRMPQLHDFQFFNTQRLNELYEKEVRFLMFQQTHQKNQLKDSIDVDEPEEVGNPLTAEELEEKEQLLQQAGFSSWNRKDFNTFIRACEKYGRNDIKGLASEMEGKTEEEVERYAKVFKERYKELNDYDRIIKNIERWEARISRKDEIMKAIGKKLDRYKNPWQELKIQYGQNKGKLYNEECDRFMICMVHKLGYGNWDVLKAAFWMSPLFRFDWFVKSRTTQELTRRCDTLIRLVEKENQEYDERERQARKEKKLAKYDNPIKAIIDKTNRKFISAEAQAVNYLTSVAEAARKLGIFLISDEVYAHIAFGSNPFVPMAVFSSIVPVITIGSLSKRWFVPGWRFGWIATCDPNGILKQTGILTLIKSYLEISTDPPTIIQAAVPEILKRTKEEFYAENLNIMREGANIFYDRCKEIPCLKCPHKPEGAMSIMVQINISQLEGIIDDVDFCVKLAEEESVLLLPGVSVGLKNWLRISFAVERSALEDGLTRIKAFCLRHAKMS >OIW18798 pep chromosome:LupAngTanjil_v1.0:LG01:23173237:23178776:1 gene:TanjilG_13550 transcript:OIW18798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAEFVEKNQFLNGHVVPEIKGVGVDVGNGKKSLEWDLNDWKWDGDLFTAISLNSVPSDFRSHQFFPSHPENAANASYNSSQGKRELEKRTREVLIGEEGKEVLNDEGGSLNLKLGGQVYPIMEEGEEKSGKKTKVTIGSIPTTATTSNRTVCQVQDCRADLSNAKDYHRRHKVCDLHSKASKALVGNVMQQFDEGKRSCRRRLAGHNRRRRKTHPDVTAANGGSLNDERGSSYLLMSLIQILSNMHSNGSDQMKDQDILSHLLKNLASMAGTINRRSVASLLERSQGLVNAETPRTAHDVPDLNSNGRQASKPSGSSLKLDDNAFIHQDPPKSIAQCETVAAYSMTQKCIPSVNDGAGNSKSPSEPQPSNVLASRDNLPSQLVASETAAGRNGLSNIDLNNVYNDMQDHVENPREPCHPSWLQCDSLKSSPPQTSRNSDSTSTESPSSSSGEAQNRTDRIVFKLFGKDPNEFPILLRSQIFNWLSRSPTEIESYIRPGCIILTIYLRLEKSAWKELCCNLGSSLRKLLAASNDSFWKTGWVYTRVQHSVAFLYNGQVVLDVPLHLKSPQNCRILCIKPLAVPSSTSVQFTVKGFNLFQSNTRLLCALEGKYLVNDRCRDLIDVADAAIQHLSFSCHIPNVTGRGFIEVEDHGLSSCSFPFIVADQDVCSEICKLENVIEAAEATNDIQMNNKHTEEKTRALDFLQEMGWILHRRSVKVRLGANAPMQDLFHSDRFMWLVDFSMDHDWCAVMKMLLDIVFEGGVDAGEHNSIELALLDMCLLHKAVKRNYRPMVELLLKFVPVKTSDGADSKEKLVDRFLFKPDAVGPAGLTPLHVATSMNGSENVLDALTDDPGLVGIKAWKSARDNTGLTPNDYACLRGYYSYIQLVQRKTSKQSERQHVLDIPGTSTLEDNNTKRKHLDMHMTSKVFSSFETEKIGTTRMAQQCGLCQYKVAFYGGMRTRLVYRPAMLSIVAIAAVCVCVALLFKSSPKVYYVFQPFKWESLDYGFI >OIW17881 pep chromosome:LupAngTanjil_v1.0:LG01:33192533:33193342:1 gene:TanjilG_19850 transcript:OIW17881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGQTPQNGTTIKEVRDDQAVSSPVRLLPPPGHLDNHEMYIVQFPKDQIYRIPPRENALIVERHRNLPKEKKARSCCCSTRLLLTLCLILITIIAIVGITLAVLYFIFNPMGPTFSINDVMVNTIGKSKTPQYEISLGVKNPNNRLGLDYENNDNVVTLMSEGIMVATGKFPALEQGHDASSKVMVELTGTNVPLPKVMDMSMNDVKSNKPISLSLNMKLGLRVVTAGLKAWVMKSDVVCEFKVNALRNDTKILSQICETNFKIMFKII >OIW19525 pep chromosome:LupAngTanjil_v1.0:LG01:1941203:1942535:-1 gene:TanjilG_06980 transcript:OIW19525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCIIDLPYYFTISVHFMNIAEAIQCSSNMELLSDENPSRSANPGALALPRILDLTDGSMKLVSTHPTMGHHHQSLGRSLFLKRSRHYYGHQYSQRNSANHAYASSSRGKGASSYDDSRLSFKLASQPNSQSKQHTEYREKTFSRPERIRSNSIGIDAVPLDVVKLVCGICQKPLRRKFNFLSSSMTHCELSVVAVLVCGHVYHADCLEQRTSLEEQCDPPCPMCADLLLQAHDSRT >OIW18505 pep chromosome:LupAngTanjil_v1.0:LG01:20701215:20702588:1 gene:TanjilG_13257 transcript:OIW18505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSAKGIPDGFTYSFLLSACARGGLIREGEQVHGAILVKGYCSNVFLDTNLVNLYAGSGGVEKARKVFDNMSQRSVVSWNSMLAGYIRCCDFDGARRVFDEMPIRNVVSWTTMIAGYARNGQCKQALLLFGEMRRAHVELDQVALVAALSACAELGDLKLGRWIHWYVQQRFVVRNQLQPLVRLSNALIHMYASCGIIDEAYRVFTMMPQKSTVSWTSIITAFAKQGLGKEALGMFKTMISDGMRVDEVIRPDEITFLGVLCACSHAGFVDEGRQIFVSMNQTWGISPTIEHYGCMVDLLSRAGFLDEACGLIETMPLKPNDAVWGALLGGCRIHKNSELASGVANKLIAELNTDQAAGYLVLLSNIYAFAKRWQDVITVRQKMIVMGVKKPPGHSWIQINGVVHDFVAGDMTHKHSSFIYEILYEITKQTHQEGYEPDIADIMFFDMDTTVPTEPF >OIW19376 pep chromosome:LupAngTanjil_v1.0:LG01:3300966:3304318:-1 gene:TanjilG_03510 transcript:OIW19376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNNNDAFNVSDLTAALNQENRADLVNALKSKIQSLAGHHSDILESLSPVVRKRVDVLREIQGQHDEIEAKFFEERAALEAKYQKLYQPLYTKRYEIVNGVTEVEGAGNETKADTQEDEEKGVPGFWLTAMKNNEVLAEEISERDEAALNFLKDIKWIRIENPKGFKLEFFFDSNPYFSNSVLTKTYHMIDEDEPILEKAIGTEIQWLPGKCLTQKVLKKKPKKGSKNAKPITKTEDCESFFNFFNPPQVPDDDDDIDEDVAEELQNQMEQDYDIGSTIRDKIIPHAVSWFTGEAIQEEFDLEDEDEDDDVEEDDDEEEEEDDEDEDEDDDEDEEESKSKKKKSGRAQLGDGQQGERPPECKQQ >OIW17706 pep chromosome:LupAngTanjil_v1.0:LG01:35043703:35045671:-1 gene:TanjilG_29056 transcript:OIW17706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPPIINDSTFSSSSYSFSDIWPMPPPQILDASAENSTVTHHSPNHRKRKDTSSHTTPNNLNDSGNKNIKLTEADAISTACNKLDEQSTKPSEQAPKQDYIHVRARRGQATDSHSLAERARREKISERMKILQDIVPGCNKVIGKALVLDEIINYIQSLQQQVEFLSMKLEAVNSRLNMNPTVECFSSKDVGTQPLDIAGMIFGSQAGRGYAQGSQPGWLHMQIGGGFGRAT >OIW19109 pep chromosome:LupAngTanjil_v1.0:LG01:12535070:12537191:1 gene:TanjilG_08909 transcript:OIW19109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTATAAATSSFIGTRLREVQSNSGRVQARFGFGKKKPTPPKRTSNQGADRPLWFPGEKAPEWLDGTLVGDYGFDPFGFAKPAEYLQFELDSLDQNLAKNVAGDIIGTRTELADVKPTPFQPYTEVFGLQRFRECELIHGRWAMLATLGALSVEWLTGVTWLDAGKVELVDGSSYLGQPLPFSITSLILIEAVAIGYIEFQRNAELDPEKRLYPGGRFFDPLGLAANPDKKVNLQLAEIKHARLAMLAFLGLAVQAAATGKGPLDNWATHLSDPFHTTLFDTYTSSS >OIW18723 pep chromosome:LupAngTanjil_v1.0:LG01:22509074:22512118:-1 gene:TanjilG_13475 transcript:OIW18723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNAFNVSDLTAALNEENRADIVNALKSKIQSLAGQHSDILESLSPIVRKRVEFLREIQGQHDEIEAKFFEERAAIEAKYQKLYQPLYTKRCEIVNGVTEVEGAVNETKADAEEDTEKGVPGFWLTAMKNNEVLAEEISERDEAALNFLKDIKWSRIENPKGFKLEFFFDSNPYFSNSVLTKTYHMIDEDEPILEKAIGTEIQWLPGKCLTQKVLKKKPKKGSKNAKPITKTENCESFFNFFNPPEVPDEDDDDIDEEMAEELQNQMEQDYDIGSTIRDKIIPHAVSWFTGDAIQGEEFDLDDDEDDDVEEYDDEEEEEDEEDDDDNDEDEDEEESKSKKKKSGRAQVGDGQQGERPPECKQQ >OIW19302 pep chromosome:LupAngTanjil_v1.0:LG01:4898395:4906041:1 gene:TanjilG_24341 transcript:OIW19302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTLCYNSLNTSTTSFSRTHFSVPMNKELSLDISPFVSYGYHLGTRTRKQKKKVMLHVKAAAVTEAPPSKKSEAENGGNGITPQKKKLKILVAGGGIGGLVFALAARKKGFEVVVFERDLSAIRGEGQYRGPIQIQSNALAALEAIDSDVADEVMRVGCITGDRINGLVDGVSGSWYVKFDTFTPAVERGLPVTRVISRMALQEILAGAVGEDVIMNGSNVVNFVDDGNKVTVELDNGQKYEGDLLVGADGIRSKVRNQLFGPKEAVYSGYTCYTGIADFVPADIESVGYRVFLGHKQYFVSSDVGSGKMQWYAFHKEAPGGVDIPNGKKERLLRIFEGWCDNAIDLILATDEKEILRRDIYDRIPTLNWGKGRVTLLGDSVHAMQPNMGQGGCMAIEDSYQLALEVDNAWEQSIKSGSPIDIDSSLRSYERERRLRVAIIHGMARMAALMASTYKAYLGDGLGPLEFLTKFRIPHPGRVGGRFFVDMFMPSMLNWVLGGNSSKLEGRPLSCRLSDKANDQLRRWFEDDDALERAISGEWILLPHGEETGHSKPISLSRDEMKPCIIGSAHQDDFLGYSITIPSPQVSPRHARITYKDRAFFLTDLRSQHGTSIIDIEGRKYRVPPNYPAQVHPTDVIEFGSDKASFRIKVIRSAPRVSEKEEMTEVPVEV >OIW18020 pep chromosome:LupAngTanjil_v1.0:LG01:30721790:30723235:1 gene:TanjilG_07604 transcript:OIW18020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTNETKVVERKKNDEQKLKHLGFVKIVVIQTLVCVSYLYQFAKKNSGPLRSAVGTVEDTVINVLGPVYDKIKGVPVHLLVFVDNKVDEATHKIDEQAPPLIKQVANQSKVLINKVSQKAEKLVNLVHSGGPKAAAKYVATESKQFVLTGSVKLWASLNHYPLFYVVAKMAIPTAAHWSEKYNHVVKGIAEKGYNISEYLPLIPIDEIAKAFKQGQAKVNGNENDATSSDSD >OIW19223 pep chromosome:LupAngTanjil_v1.0:LG01:7428280:7433544:1 gene:TanjilG_20348 transcript:OIW19223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIRLSSRCFSTSASPHPWLFIGLGNPGDKYKGTRHNVGFEMIDAFAESVGIPMNTAHSKAVFGKGFVGEVPVFLAKPQTYMNLSGESTGPLAAYYKLPLTHVLVFHDDLNLPCGVLRLNDKGGHGSHKGLKSVIYHFRGNREFPRLRIGIGQPPGQMDPKAFLLQKFNVTARQRIDEALQEGVDALKLLLSKGLVQSARRFNQEQKYKHLRVQTLPVW >OIW18610 pep chromosome:LupAngTanjil_v1.0:LG01:21593352:21599741:-1 gene:TanjilG_13362 transcript:OIW18610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRFTLNSNPYFILIFFSLFLFSALSSPPLPIPGNSLYQLFIQSSNFHFLTMQFELHVLEIGDGMNPWKDWTTGGKVGNDNNGKTIVVLAKERTCRKDIFNHFQRYTGGWNISNTLYVTSVISTAVPFFGAAVVWFVIFVLFLTIICLCYCCCPGTSCGYSKSAYALSLIFLIIFTLAAIVGCVILYTGQGKLHGSTTNTVDFVVSQAQFTTESLKNVSGFFDSSEQIELGAAAALLPSDIQEGIEHVKAKIKTAITTLSKQTGENSKKIHQGIDGMGFALMIVAAAMLCLTFLGFFFSILGLRFFVYFLVVVGGILVAATFILCGAFLFLHNVIGDTCVAMDDWVLNPTAHTALDEILPCVDNATAQESLIKSKNVTYSLVQLVHLFIADVANGNTSLFVYNQSGPLMPLLCNPFTSDLKVHNCAAGEVTLENAINVWKNYTCKVSSSGSCITPGRMTPTLYDQMSAAVNVTYGLYHYGPFLEELVDCTFVRHTFTNISKNHCPGLRRFTQWIYIGLVVVSVAVMLSLIFWIIFEREKRLRRRTKKYSSVIIKNSYKHGI >OIW18197 pep chromosome:LupAngTanjil_v1.0:LG01:27001451:27010071:1 gene:TanjilG_31317 transcript:OIW18197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFWRERERENLEHNDRVLCGQVRVLVVGDSGVGKTSLVHLLVKGSPVARPPQTIGCTVSVKHTTYGNSGSSSSSLKGDSERDFFIELWDVSGHERYKDCRSLFYSQINGVIFVHDLSQRRTKASLQKWAAEIAATGTFSAPLGSGGPGGLPVPFIVIGNKADIAAKEGTRGSSGNLVDAARQWVEKQGLLPSSEELPLTESFPSTGGLISAAKEARHDKEAVMKFFRLLIRRRYFSDETPAPSAWAIPSVQIPSQRIDDNFIEDQSIYNTSLNLTYLDVHQSPLLNGVGKTSLVHLLVKGSPVARPPQTIGCTVSVKHTTYGNSGSSSSSLKGDSERDFFIELWDVSGHERYKDCRSLFYSQINGVIFVHDLSQRRTKASLQKWAAEIAATGTFSAPLGSGGPGGLPVPFIVIGNKADIAAKEGTRGSSGNLVDAARQWVEKQGLLPSSEELPLTESFPSTGGLISAAKEARHDKEAVMKFFRLLIRRRYFSDETPAPSAWAIPSVQIPSQRIDDNFIEDQSIYNTSRRSDPYNYNTLPPLPAQRNLTPPPTLYPQQPVSVSENYSFPRFSLSSSSEISAAARTKRSDINV >OIW17651 pep chromosome:LupAngTanjil_v1.0:LG01:35488728:35495992:-1 gene:TanjilG_29001 transcript:OIW17651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERYKILRELGDGSCGHVYKARDMSTYEIVAVKRLKRKFFFWEEYKNLREVKALCQMNHPNIITLKEVVQENNEIFFIFEYMDCNLYQLINERQRPFSEEEIRCLMKQILQGLSHMHKKRFFHRDLKPENLLVTNDVLKIADFGLAREVSSMPPYTQYVSTRWYRAPEVLLQSPCYTPAVDMWAVGAILAELFTLTPIFPGESEIDQLYKIYRILGTPDLTAFPIGANNFRLLDLVGHEVVQPMMLSDIIPNASLEAIDLITQLLSWDPSRRPDADQSLQHPFFYVSTCVPCPFRDPLELQLSSKRAKPNLELNLQDFGPDPDDCFLGLTLAVKPSDSNLTVGQNVSQTMRENMLFCSDFNDHPDKSVFWTLSSDQNGIHNSAETSLSLSFGSVQHQAIGVPQQSTGFSFQPLQPNILTTPFLALSSSPFQRGHCL >OIW17812 pep chromosome:LupAngTanjil_v1.0:LG01:34067733:34068116:1 gene:TanjilG_02440 transcript:OIW17812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVSDPSSGRTFIWIITCLLFISIIAGGACLVAYMVLPESEIASWLPMLGVSLVCLPWAFWFLTFLYRFFSRCCGFRVGGGGNGGSVNLARNHDANGACQSLKGGELNRASSVASHESQMPLSRSMA >OIW18891 pep chromosome:LupAngTanjil_v1.0:LG01:19436283:19450331:1 gene:TanjilG_25334 transcript:OIW18891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNKARNLLGTNTGKDHSLLPPFSNATGQCVHNDISVWEEEEFYVPFGNNGIASRRVPASPERYRKHEDRFGLNRENGHVKYEGLTKILGLRRMESESSEISDFISSMKEPTQEMENGAFVNSISKSNKEAGDSHTSRKVIGELISDISGHRPIVSPCAQYEYESTYSDDHSGSGVFDDYRPEKMKFLCSFGGKVLPRPSDGKLRYVGGQTHMISIRKDISWEALVKKTSGICNQPHTIKYQLPGEDLDALISVSSDEDLQNMIEEYYGLERHEGSQRHRIFLVPLGESEETSSFEASNIQQSDPGYQYVVAVNGITDPIHGKSIGGHCSTNEASQLDTSLNLAPSPISPYPLDAKGGGNALNPNGTFNDSLNLHRPHIQSPLISPIRIEGRGSSIGYTQLLANNSCQGSTESNTSFVTAQLQPENSSISTADRRYSQQVPVTLLSNSLLNQHNDVRKLEKHYEQHIGNYNPDKETVTPLYVNPSDGYSDKFFSKRPLHKERIPLSGNPPSRVDDPIRQHAESDGTTFSPFGMPHAFSDSQLHECETRSGYCSQEGTGLSFSLDQAKAPSSSMLHSSVSQRNILEIHNDSILLYPQIQSKITNNIHSSELHRRHDVASSFPYSESPGMNGLVHSDSILIEKKYPVAQTNLSGSSFVVKHAEENSLTSEMIKRIEEKNPTETKESKIYEGEASSDHRVHVTELNLLDSFPSNNLNAKVNMQKDRELHPKDRVAVSLGTMGVCMNNHADKIPYHLLDTSQKTSDGKKCAVAEGLNGEQGTDFSLTRNSDLNSSTFKCGETSSDKTSLGDMFELSINLDPCKAPHVHPSVNLKGAGLHENPTLSSASLYPAVLKDGPGPSSILSMNHQPIPKMNIDHDLITSSDQMVDRVTSGHFAEKSKAGDVMSEQSRRSEKHNDVNQVEPFSAIEDMISIAPPDIDSSLTITPKIVDENGSRVVSLSPTEEESIIRESEPEDFKDDESDRNEFLTDAMIAEMEASIYGLQIIRNDDLEELQELGSGTYGTVYHGKWRGSDIAIKRIKKSCFAGRYSEQERLTKDFWREAQILSNLHHPNVVAFYGIVPDGSGGTLATVTEYMVNGSLRHVLITKDRLLDHRKKLAIAMDAAFGMEYLHSKNIVHFDLKCDNLLVNLRDPQRPVCKVGDFGLSRIKRNTLVSGGVRGTLPWMAPELLNGSSSRVSEKASICAAGNFSEDDVVIVAAYRTAICKAKRGGFKDTLPDDLLASVLKAVIEKTNVNPIEVGDIVVGTVLGPGSERAIECRMAAFYAETVPLRTVNRQCSSGLQAVSDVAAYIKAGFYDIGIGAGLESMSQDNISTTRKVNPKVETCAQAGDCLLPMGITSENVATRYGVTRQEQDQAAVESHRRAAAATAAGKFKEEIVPVSTKIVDPKTGEEKQIIVSVDDGIRPQSNLVDLAKLKPAFKPDGSTTAGNASQVSDGAAAVLLMKRRVALQKGLPILGIFRSFTAVGVDPSVMGIGPAFAIPAAVKSAGLELGNIDLFEINEAFASQFVYSCKKLALDPSKVNVNGGAIALGHPLGATGARCVATLLNEMKRRGKDCRYGVISMCIGSGMGAAAVFERGEF >OIW18482 pep chromosome:LupAngTanjil_v1.0:LG01:20580899:20581523:-1 gene:TanjilG_13234 transcript:OIW18482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDFRKEEVNLQVDSSGSIIVRGDRKVNDWKSVHFQLIFPVPEDSDADKIAGKFDAGILYVTAPKQIARETKESEIQEIPNGNVERAEENENQELNAGNKVRDPSQDDNQRENEVPRNENADIGEFSEQLIRKWEQDPMLRNAVEVLRKNKGIVITAVIAFSLGILVSHKFQFSSAP >OIW19719 pep chromosome:LupAngTanjil_v1.0:LG01:1323034:1337874:1 gene:TanjilG_18529 transcript:OIW19719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSANSSSSLVVPKPAPPPIEEPEYLARYLVVKHSWRGRYKRILCISSVSITTLDPSTLSVTNSYDVVRDFEGAAPLLSRDENSNEFSLNLRTDGRSKFKGMKFSSRYRASILTELHRIRWNRLAPVAEFPVLHLRRRRSQWVPFKLKVTYVGVELIETRSGDLRWCLDFRDMDSPAIILLSDGFGNKNIDHGSGFVLCPLYGRKSKAFQAASGCTVSSIISNLMKTAKSTVGLSLSVETSQTLSISEYIKQRAKEGVGAEDTPLGAWSVTRLRSAAHGTLNVPGLSLGVGPKGGIGEHGDAVSRQLILTKVSIVERRPENYEAVTVRPLSSVSALVRFAEEPQMFAIEFSDGCPIHVYASTSRDNLLAAVRDMLETDGQCAIPVLPRLTMPGHRIDPPCGRVFLQYGQQKPVADAESSSMYLKHLAAAAKDAVAEGGSIPGSRAKLWRRIREFNACIPYSGVPPTIEVPEVTLMALITMLPAAPNLPPESPPLPPPSPKAAATVMGFIACLRRLLASRSAASHVMSFPAAVGRIMGLLRNGSEGVSSEAAGLVAVLVGGGPGYANLTDSKGEWHATIMHTKSVLFANHSYIIILVNRLKPMSVSPLLSMAVVEVLEAMICDPHGETTQYTVFVELLRQVAGLKRRLFALFGHPAESVRETVAVIMRSIAEEDAIAAESMRDASLRDGALLRHLLHALFLPAGERREVSRQLVALWADSYQPALELLSRILPPGLVAYLHTRSDGAPVEDTNEEESSIGKRKRRLLQQRKGRIGRGLASNEQPFHPDNNFDVSDPSRQTGIAAVRGPENYHKSDLDPTTRQPSSIQSSTVLTNENLTNGASTADSQNGYPTFVTSTVAPSTNSNEAPGSDFTNSADPDSNAVGLQSEGIPAPAQVVVENTPVGSGRLLCNWPEFWRAFGLDHNRADLIWNERTRQELRESLQAEVHKLDVEKERTEDIVPGGANLELVTGIESIPQISWNYPEFSVRYPSLSKEVCVGQYYLRLLLESGSGGRAQDFPLRDPVAFFRALYQRFLCDADTGLTVDGAVPDELGASDDWCDMGRLDGFGGGGGSSVRELCARAMAIVYEQHFKTIGPFEGTAHITVLLDRTDDRALRHRLLLLLKALMKILSNVEACVLVGGCVLAVDLLTVVHETSERTATPLQSNLIAASAFMEPLKEWMCIDKDGAQVGPMEKDAIRRLWSKKAIDWTTRCWASGMLDWKKLRDIRELRWALAIRVPVLTPPQVGDAALSILHSMVCAHSDLDDAGEIVTPTPRVKRILSSPRCLPHIAQAILSGEPSIVEAAAALLKAIVTRNPNAMIRLYSTGAFYFALAYPGSNLLSIGQLFAVTHVHQAFHGGEEAAVSSSLPLAKRSVLGGLLPASLLYVLERSGSAAFAAAMVSDSDTPEIIWTYKMRAENLIRQVLQHLGDFPQKLSQHCHVLYDYAPMPPVTYPELRDEMWCHRYYLRNLCDEIRFPNWPIVEHVEFLQSLLVMWREELTRKPMDLSEEEACKILEISLQDVSSDDVNKKHSSEVADDASSLSNQIENIDEEKLKRQYRKLAMKYHPDKNPEGREKFLAIQKAYERLQATMQGLQGPQPWRLLLLLKGQCILYRRYGNILEPFKYAGYPMLLSAVTVDKEDNNFLSSDRAPLLVAASELVGLTCASSSLNGEELVRDGGVQLLATLLSRCMCVVQPATPGNEPSAIIVTNIMRTFSVLSQFEAARAGILDFSGLVQDIVHCTEFELVPEAVNAALRTIANVSVSSELQDALLKAGVLWYLLPLLLQYDSTAEESGATESHGVGASVQIAKNMHAMQASLALSRLSGLCGDGSSIPYNGEAADALKALLTPKLSSMLKDQIPKDLLSKLNTNLESPEIIWNSSTRAELLKFVDQQRAAQGPDGSYEIKDSHGFVYKALSKELFIGNVYLRVYNDQPEFEISEPEAFCVALIDFVSSLVHNQFVDDADHNVEDTNKFVQTSEHPSDAVDGSANEQHVLDNSGTMSDEQFVGREEVSLVKNLRSALTSLQNLLTNNPNLGSIFSNKDTLLPLFECFSVPEASYSNIPQLCLAVLSLLTAHAPCLQAMVADGSNLLLLLQMLHSAPSCREGALHVLYALASTPELAWAVAKHGGVVYIIELLLPLTEEIPLQQRAMAVSLLGKLVGQPMHGPRVAITLARFLPDGLVSVIRDGPSEAVVVALDQTTETPELVWTPAMAASLSAQISTMASELYREQMKGRVVDWDVLEQASGQLEMRDEPQVGGIYVRLFLKDPKFPLRNPKRFLEGLLDQYLSSIAATHYEAQAVDPELPLLLSAALVSLLRVHPALADHVGYLGYVPKLVAAVAYEGRRETMSSGDVNNGEHADKTCDPVNGSTEQTQTPQERVRLSCLRVLHQLAASTTCAEAMAATSVGMPQVVPLLMKAIGWQGGSILALETLKRVVVAGNRARDALVGQGLKVGLVEVLLGLLDWRAGGRNGICSQMKWNESEASIGRVLAIEVLHAFATEGAHCTKVREILNNSDVWRAYKDQKHDLFLPSNAQSAAAGIAGLIENSSSSRLTYALTAPPLRSTTSRPPSSPSDFSGKQDQPL >OIW19743 pep chromosome:LupAngTanjil_v1.0:LG01:1539139:1542096:-1 gene:TanjilG_18553 transcript:OIW19743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKILTAINPNHIFNAEPSSSLLLFQFPSRRNRTFLISSIRKWNRSSLSHRFSFTSLRASCAPSSTVYGGWDDIAIGGNSNGPDEFDALRNFIVSLGIDDRKNVFVFILGLVCAMAISRVKVSSIVVLPASVLVFAVGFTVGFFRNGTFGDFRVSGIKRKEKEVSLKLSSEKLTSLLEFFDEIDLVVNKLKIDVQSAIKDNKIEANDLYGYVEVTDNIKFKALNARNVVKILIDNEENSSAVLVDTNKIGRKKKEVGVAANQMLQYIGSLFGKKLVSSNSIKVRENVKQEALDQSQGNGTVLPIDDGALNLVDNCKTNGKLDSSTDSSDPVLDVDRNARMETITEKGNVGLGDSHRRTNKFPDKKQYAHQNKGLRFTNNHSFSLKMDSSSITDMWESNDNLLDSESIKIRMKHVESESSFLQEQLLDREHETFRSSCDKRDSGSERSQYNEDKVNYDERRHPADDMSTRENEFNTPPSAKFSDDMMFDRYLAEATDLLKQAKMFINGRRDEEQAEIMLYRSSDLLSKAVDLKPMSLLAVGQLGNTYLLHGELKLKISRELRSFLSGRIRSSSEKYSRIMKGLQNKITSNEEVAPLLVDVCEECEQLLVEAGRKYRLALSIDANDVRALYNWGLALSFRGQLIADIGPGAAFEAERVFLAAIDKFDAMLLKGNVYAPDALFRWGIALQQRSRLRPGSSKEKVKLLQQARRLYEDALNMDSNNIQVKNALSTCVSELNFGQY >OIW18663 pep chromosome:LupAngTanjil_v1.0:LG01:22030464:22036327:1 gene:TanjilG_13415 transcript:OIW18663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSPTTDPNSNSKGKRSLEGGGEDDQPERKKPALASVIVEALKVDSLQKLCSSLEPILRRVVSEEVERALAKFGPARLSGRSSPKTIEGLDGRNLRLHFKSRLSLPLFTGGKVEGEQGAPVHVVLIDANTGYIVTSGPEAYMKLDVVVLEGGFNNEDDEDWTQEEFESHLVKEREGKRPLLTGELQVSLKEGIGTLGELTFTDNSSWIRSRKFRLGLKVAPGFCESLRIREAKTDAFTVKDHRGELYKKHYPPALSDEVWRLEKIGKDGSFHKKLNNAGVFTVQEFLRLVVKDSQKLRNILGSGMSNKMWEVLLDHAKTCVLTGKLYVYYPEDTRIVGVIFNNIYELRGLITGEQFFSADSLTDSQKVYVDSLVKKAYENWDRVIEYDGKSLTSVRENNVVADSELHIESVDYSSGLDHQLHLPLPPAPVPSEQQTNSGISIGGYNDHMVTRYPSQSPIENLNSCNQFDSSLYLSNDQLINSAQQTKTTRNSHGAALGPPQSSSSSSSSSSPGFHVGSSSIQSCTVNPFDDWPHNMDKGVNDFFSEDEIRLRSNEMLENEDMQHLLRLLSMGAHSSVNTEDSYSFPSFMPSPMPNFDEGRSRSGRAVVGWLKIKAAMRWGFFIRKIAAAKRAQIEELDE >OIW19227 pep chromosome:LupAngTanjil_v1.0:LG01:7322987:7324846:1 gene:TanjilG_20352 transcript:OIW19227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINLHRKVLESELVSILQGCTTLNQIKRVHAFIYRNNLNQSSYVITKLLRVLTTLRHVPIHTYPLLLFSQVHNPNPFLWTALIRAYAHKGDIFEAFRLYGSMRMKCTGPVSFTFSALFSACGAARDARLGAQVHAQTISIGGFASDLYVNNTMIDMYVKCGLLPSARNVFDEMPERDVVSWTALIVAYAKIGDMGSAGDLFDELPVKDMVAWSAMVNGYAQNAMPKKALEFFELLQNEGVEIDEVTLVGVISACAQLGASKYANWIRDIAESSRFRPENNVLVGSALIDMYSKCGNVEEAYNVFKGMKERNVFSYSSMIVGFAIHGNANEAMNLFYEMLETEIKPNHVTFVGVLTACSHAGMVDQGQQIFATMEKCYGVAPTADHYACMADLLGRAGHLEKAHRLIETMPMKPNGAVWGALLGASHIHGNPDVAETASKHLFELEPDNIGNYLLLSKTYASAGRWDDVSRVRKLMREKKLKKNPGYSWFEAKDGIVHEFFAGDVTHPEITDIKKELDDLMDRLKAIGYQPNLCSVSYDISDNEKRCILMTHSEKLALAYGLLRSDAGSTIKIMKNLRICEDCHIVMCGASKVTGRKIVVRDNMRFHHFLDGACSCGNFW >OIW19295 pep chromosome:LupAngTanjil_v1.0:LG01:5480082:5485628:1 gene:TanjilG_20420 transcript:OIW19295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTTVLIPTCLIPRNVSWPLLQPLSILSKPQNAAFLSYSHHHNHHKNKLIPCSISEFDLSPPPIDHDFLDTVKSSGAEVSGDGIIETFHNDDEALNAVHNGVAVVDLSHFGRIRVSGEDRVPFLHNQSTANFECLQAGQGCDTVFVTPTARTIDIAHAWVMKNAITLVVSPETCGPIIEMLNKYIFFADKVEIQDISKQTSLFVLVGPKSNQVMENLNLGDLVGKPYGTHQHFNVDKQPVTIGVGNILSEDGFSLLMSPAAAPSVWEAILTEGAIPMGFNAWNKLRVIRGRPAPGMELTKEFNVLEAYLWNSISLNKGCYKGQETISRLITYQGIKQRLWGLHLSAAAEPGSIITVDGKKVGKLTSYTSGMKQSEHYGLGYIKKQAASEGDTVIVGDNISGTLVQFPFLSQQRPPSSTTTS >OIW18584 pep chromosome:LupAngTanjil_v1.0:LG01:21314129:21315588:1 gene:TanjilG_13336 transcript:OIW18584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNTFVVLFIAIATLFNGSVAQTRHVVGDSIGWTIPSGGAATYTTWASNKTFTIGDTLVFNFANGQHDVAKVTKSDFDACNGGSAIFTITTSPAIVTLNETGEQYYICAFTGHCSAGQKLTIKVTQKASTNAPSLAPHLSPSASPSPKTSPVAAPAPAPQHRSAISPSAKVPESAPAPAPFTGPVTYTVGDTIGWTIPTNGASVYASWASAKNFKVGDILVFNYQINTHNVEEVTKENYDSCSSVSPIAAYNSAPPVRVTLNKSGPHYFICGYTGHCSAGQKLAINVTGTTTTTTTTATSPSSTATPSGSTTPSSPSPSGEGGASAPPQNSGAASLGSLGLFAMLVSVVAAFY >OIW19497 pep chromosome:LupAngTanjil_v1.0:LG01:2201486:2206562:1 gene:TanjilG_06952 transcript:OIW19497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENVTIQTETENFSNSSSISVSLHRRIEYLPAKIPSKPFSNDFQLETLNPTTNSVHSVTACSGKKHNASEVSEYGLDPELSFGITVGKIGAGLQNLGNTCFLNSVIQCLTYTEPLAAYLQSGKHKSSCHIAGFCALCAIQNHVSRALQSTGRILSPQHLVGNLRCISRNFRNARQEDAHEYMVNLLESMHKCCLPSGVPSESSGAYEKSLVHKIFGGRLCSQVKCKHCNYSSNKFDPFLDLSLEIFKADSLQKALANFTAAELLDGGERQYQCQKCKQKVKALKQLTIHKAPYVLMIHLKRFFAHDPGLKIKKKVHFGCTLDLKPYVSGSYDGDVKYSLYGVLVHSGSSTHSGHYYCYVRTSNNMWYTLDDNRVSHVSEREVLNQQAYMLFYVRDRKGIVPRKPVDIAKQENMKLNVNANRDSSTSNQVLKEVTNGPMENKSCESCLITEPQKNMSNVGSTRVPFMKDAIVQQKNNLILPESLVQSEKLVSGLSSQLQPQKGSQEGSSLSTVPANNPQTSTDKQITDGASQPQKVGSSATGSACDEAGSKVFDSAVGCQTLVLHKSNLNEKHLKKSRKKFMKYNVSSIYFRSTYLFLAYLGSRKKNNRRSKRHQLGMKNPNKEKLDKHAFSSGGPSTSGKADVFPSASCFESRTTKAGHRPDAKFKLNDKSLIENTAEGGFRKRVDMNCAVLATAMRVENISGCGSGVNQFETRQADSLKDGKRDQMHNNLMSMLTRSLKETVVASWDAIELPQRHSLESSNDKNGSIGYVGDEWDEDYDKGKRKKLRGDKQSFGGPNLFQEIATEKCRSKRAKLDRSNSGNAPFRI >OIW17721 pep chromosome:LupAngTanjil_v1.0:LG01:34929356:34929808:1 gene:TanjilG_29071 transcript:OIW17721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELESTPTNQDRSSNNIYSDTRFAFCCFAPRRSSWWERVRSTSFSPRSHESSLTGGDRWWTRGIRAFKKIRQWSEIVAGPKWKTFIRSFNRSGKRIPNYQYDPLSYALNFDEGQNGDSQHDGYRNFSTRYAAASVKTVTTERGNDVTVLV >OIW17722 pep chromosome:LupAngTanjil_v1.0:LG01:34920536:34922284:-1 gene:TanjilG_29072 transcript:OIW17722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGPGPHMMYAMGSGLGLSSISNGRFSPHHTLTYTVNAFFGPDIGSFSEWLGSLFGGPADYVGSSLADLIHHPFYYILILGFPLSFLYSWISSYLIHTHLLDSVSRVPLTRMQCFLLISAGSFTHFFLDHLFEENGKTTMYTWILSTGWWESRAPVNPDSVVLVGLCCVCLIGGFVYLNRANATNSIKKKSYQSMLLILSIASLYCLWCAIQIYWMKPRRPAVGEEADLGVLVFLAIYFFLPYSLCIMSMNTRDLDTNQIPL >OIW19231 pep chromosome:LupAngTanjil_v1.0:LG01:7148408:7148959:-1 gene:TanjilG_20356 transcript:OIW19231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNQMQNSSIHGSSSSSQAPSNQQQHEHQQPQPLSRYESQKKRDWNTFGQYLNNQSPPVPLSQCNFNHVLEFLRYLDQFGKTKVHLQSCIFFGQPAPPAPCACPLRQAWGSLDALIGRLRAAYEEHGGLPETNPFGSGAIRLYLREVKECQGKARGIPYKKKKKKRNQIKGSQSAKAFKQLAS >OIW17670 pep chromosome:LupAngTanjil_v1.0:LG01:35336834:35337199:-1 gene:TanjilG_29020 transcript:OIW17670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKISEELITMKKDKEYLTVQDCVNQINDSVDQLSQAIKELRRFNQLGSTINDNMLWHISNVETWVSTALTDASSCVYSFSGHRMSKRMASIKVKAQNVAEVTSNALALFHRYATRTSKKP >OIW18549 pep chromosome:LupAngTanjil_v1.0:LG01:21019023:21033488:1 gene:TanjilG_13301 transcript:OIW18549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPRKPKNEDPKTENSGALVHHQKLCLSIDMDKRILYGYTELEIAVPEIGIVGLHAENLGIESVWVDGEPTEFEYYPNHQQQSEDENRWSSVDSPSSAADAAGSLYLSALEKELVPNLLINCCKPFKIDTEQQDQPISENGFPSSANKANQNVRLVRIDYWIEKAETGIHFKDNLLHTDNQIRRARCWFPCVDDHSQRCCYDLEFTVAHNLVAVSTGRLLYQVLSKDNPPRKTYVYKLDVPVAARWISLAVAPFSILPDHQFSFISHMCSLPNLSKMQYTVDFFHSAFSCYKDYLSVDFPFDSYKQVFIEPEMAVSSLSLGASMSIFSSQVLFDEKLIDQTIDTRIKLAYALARQWFGVYITPEAPNDEWLLDGLAGFLTDFFIKKHLGNNEARYRRYKANCAVCKVDNSGATSLSCSASCKDLYGTQCIGLYGKIRSWKSVAVLQMLEKQMGPESFRRILQTIVSRAQDKSRSMKTISTKEFRHFANKVGNLERPFLKDFFPRWVGSCGCPVLRMGFSYNKRKNMVELAVLRGCTALQTSSTSVLDINPDTESRDGDTGWPGMMSIRVYELDGMYDHPILPMAGESWQLLEIQCHSKLAARRFQKPKKGFRIDGSDDNGDVPSMDMRSSTESPLLWVRADPDMEYLADIHFNQPVQMWINQLEKDKDVIAQVQAIATLEASPQLSFSVVNALNNFLTDSKAFWRVRVEAAFALASSASKETDFGGLLHLVKFYKSRRFDPDIGLPKPNDFYDFAEYFVLEAIPHAVAMVRSSDKKSPREAVEFVLQLLKYNDNNGNPYSDVFWLAALVQSVGELEFGQQSILLLSSLLKHIDRLLQFDSLMPSYNGILTISCIRTLAQIALKLSGFVSLDRVYELVKPFRDLKAMWQVRIEACRALLDLEFHCKGIDAALLLFIKYVEEEPSLRGQLKLATHVMRLCQMRDGLDSNDGITSQALVSLLCLLEGRMAFNNVFLRHYLFCILQILARRPPTLHGVPRENRTLHLTLPEACNYQRNIFVLDPESKPLELPSSTTQTFAQDLTLTNGLRGEIDEAPNDAPCEVAKQPHVEALKEAPFEAPNVVLTEVPLEAPIEAPNEISKEADTVSNSHERKRTIKIKVKQSSATSRADTDNQMVERSIGRRNEMDHGASSSVSVDAPQRNFAETLSVGNHNIEEVNSWHDRGSRMTASIGSAKFLSDGDELVKELQCTADSSLVYSQPQPEDPSSSSIVQDYSIDADARRYASLQTLSAARLDGESLGKEISVRGKEKQRGKDKKRKRDNHKGRQDDPEYLERKRLKKEKKRKEKEMAKLLSDVRKRPSVDLSNKKEEPTVNVARQVKAVESSGYNSKLETKKVDTKPEASEGTTPGAPKIRIRIKNHILNKS >OIW18488 pep chromosome:LupAngTanjil_v1.0:LG01:20616073:20618265:1 gene:TanjilG_13240 transcript:OIW18488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLNFTNYGATILSLRIPDKYGKIADVVLGYDSINAYKNDTSYFGALIGRVANRIGGAKFILGGKTYKLSANDHGNTLHGGTQGFSDVLWKVKSHKKHSHVTFTYHSFDGEQGFPGKLVVSVTYMFIGKNKLGVKMIAKPVDKATPVNLAQHTYWNLRGHNSGDILSHTVQIFASQITPVDNNLIPTGKLQSVKGTPYDFLEPKEVGSRIHKLPGLYDINYVLDKTPKLHFNKVAIVKDPVSGRKMELWSNQLGVQYYTSGMLNDTKGKDGAVYHKYAGIALETQGFPDSVNHLNFPSQIVNPGEVYKHYMIYRFTAS >OIW17896 pep chromosome:LupAngTanjil_v1.0:LG01:32941502:32941807:-1 gene:TanjilG_19865 transcript:OIW17896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGRHRVTSREHMSSYDKEENPTSVRLSIAKPAWQSSLSHVIVASLSSFLYGCHVGIVNETLESISIDLGFSGNTLDEGLVVSTCLVGAFSWIFVQRVDSR >OIW18693 pep chromosome:LupAngTanjil_v1.0:LG01:22260831:22262621:-1 gene:TanjilG_13445 transcript:OIW18693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDENRGLRQSGERVRIACVKKSQQFKNHDVEGEDPSYVDKTKAAIRDLHTQITVSLHSVEAISKRYNEDIMLDDSEVIETTATGWRGSGFYEPDASKLACSPGRSSGTHPLFGLCVQWSRRLDAIQEAAVLDGIDFFPTSIGSFHAQQLREDSQGNLVGSKENMEMVEVVHVEEVMNNEKLAEVAIKVLCAGMSAAMSSMAEFAVGSAEGYNELAKQWEN >OIW17786 pep chromosome:LupAngTanjil_v1.0:LG01:34330950:34332262:-1 gene:TanjilG_06471 transcript:OIW17786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGSSKINAGEGVVVPVKIRPLLLSRFEEFRKRRNRGTLKIEGTLSRKKLLKDGHEEEDGNSQYPHENEKERQDKNKEKEQAKDEIMVVRVISIEKMSRVVPLPNSECECETEEAEKEEDRDTNIEQDNQGKVFHVDDVVEEHEEENTRENEEEVHPKSDDEDDDDDKNEERGKLVYPKSPSFRIYCIETESKKDEQERSKNETIAVHKKSASANSIQNAASRNSNEVTQIVEIESTQKRKGNKMKKFGAVWTLLKVKSCYHPMSSCTGNNRTHVLVAKMN >OIW18013 pep chromosome:LupAngTanjil_v1.0:LG01:30630233:30630514:-1 gene:TanjilG_07597 transcript:OIW18013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWQGQKLAEQLMQIILLTFAVIAFATGYILASFQLMILTYAGGVVLTTLVTVPNWPFFNRNPLKWLDPSEAEKHPKPQPAVNITSKKKPAKK >OIW18597 pep chromosome:LupAngTanjil_v1.0:LG01:21496803:21500206:-1 gene:TanjilG_13349 transcript:OIW18597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGFRDWVFSQILSNSLISSTPLSGSSSYYSEGHQEGEHNEQGSAHSANSTASLVLSDTSNSSHGNQSDQHNATVQQVSDTENSEPQQYANGRRKDTLAKVEDLQVKFLRLLQRLGQSQHNVLVAKVLYRMHLATLIRAGESDLKRVNLSSSRARTIASQQETTGIPELDFSCRILVLGKTGVGKSATINSIFDQAKTITDAFRPATNSIQEVTGTINGLNITFIDTPGFLPSSASNVRRNKRIMLSVKRFIRKSPPDIVLYFERLDLISAGYIDVPLLKLMTEVFGAAIWFNTILVMTHSSSAIPEGPDGYTINYGSYISQCTYLMQQHVHQAVSDSRLENPVLLVENHPQCPKNIVGEKILPNGQVWRSQLLLFCICTKVLGDVNNLVKFENSLELGPASSARIPSLPHLLSSLLRHRRVPNLSGIDDEIEEILRSEDIEEDEYDQLPSIRILRKSEFEKLSKQQKKDYLDEIDYREALYLKKQLKEDYHRRKEKLLLKEQKIMNHDHSDDQQAPPEPVLLPDMAVPPSFDSDCPIHRYRCLVVDDQLIVRPVHDPQGWDHDVSFDGINLETATEVKENVYASVAGQMNKGKQDFNLQSECAAAYLDPHGPTYSIGVDVQTAGKDLVCTIHSNTILRNIKHNIADCGVSLTSFAKKYYVGAKVEDTVLVGNRLKFVMSAGLMEGPGQGAYGGSFEATLRGEDYPVRNDNLTLIMTVLSFNKEMVLSGNLQSEFRLSRSLRATVSANLNSRKMGQINIKMSSSEHLQIALVAFFSIFKALSRRRATKNSVKEVMD >OIW18789 pep chromosome:LupAngTanjil_v1.0:LG01:23088369:23088608:-1 gene:TanjilG_13541 transcript:OIW18789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGKKCSSFSFCGMFKSCFSSGSNKDSEYYYEDNSRRIFASDEDRGRWVAEPGIDNKASAFIANFYANHVTDSDHQFAS >OIW19167 pep chromosome:LupAngTanjil_v1.0:LG01:9666971:9670990:-1 gene:TanjilG_13949 transcript:OIW19167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHYRNNGELFIERRGIVVAVFNSLGKSCHGSRVLRRGRRFGRFTKHRLPHWVFFLTTLFSISLTVYGLKLFFQAKIESSFSMPDNLQQEQNLQENILVSDVGRSPKSKHRKQQYVDQEEKLPGNDLVEPRFGGHQTLKERKKSFYANNQTLHCGFVKGPLGYLSTGFDLNEKDTAYMFSCKVVVSSCIFGSSDFLRRPTSRLISQYSKDNVCLVMFLDDQTLSKLSSEGNNRDDRGFIGLWKVVTVKNLPYDDMRRTGKVPKFLSQCLFPNARYLIWLDSKMRLNSDRMLMIEYFLWLRNAEYAISNHYDRHCVWEEDFERRASVKLFRHKTVPSPPDTA >OIW18008 pep chromosome:LupAngTanjil_v1.0:LG01:30572780:30585652:1 gene:TanjilG_07592 transcript:OIW18008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSGRTTVRSRVRSSADADGGETKPNLKRRRERSKYGSTIPIFNSSVNLKVLLGISFVAFFIIFFQIRSLVNYSEQPQLPRAVTPFPAAKIMDLPQFQGEHKESLYWGTYRPQVYLGIRARTPQSLIAGLMWIGVKDGRYHLRHVCRHEDELDTYGWIKHDGRDFGHQVLDDHGLNLATTFLKSKGDGSGYGGDWAVRINVQIDKSKWNEEFGEGAQLFFYLADEGGNVLDLSRENLNIRGDSLLASGSRMDIGDWQLHLKSMDNLELHYSGFHTPHFHNLSDLVEENLASQIRKHARLQLSDSLDDSPNVLVFQIVGGFPFTADIALISGTDSESSGVEERISNLTGTSLTNLLKDKEQAFDEKFETIFKLTEKVDSESVSVGMAAVGNLLGGIGYFYGRSNIALPRIVNLNEHVSYIPYWPAELYTAVPSRSFFPRGFLWDEGFHQLLIWTPQSLIAGLMWIGVKDGRYHLRHVCRHEDELDTYGWIKHDGRDFGHQVLDDHGLNLATTFLKSKGDGSGYGGDWAVRINVQIDKSKWNEEFGEGAQLFFYLADEGGNVLDLSRENLNIRGDSLLASGSRMDIGDWQLHLKSMDNLELHYSGFHTPHFHNLSDLVEENLASQIRKHARLQLSDSLDDSPNVLVFQIVGGFPFTADIALISGTDSESSGVEERISNLTGTSLTNLLKDKEQAFDEKFETIFKLTEKVDSESVSVGMAAVGNLLGGIGYFYGRSNIALPRIVNLNEHVSYIPYWPAELYTAVPSRSFFPRGFLWDEGFHQLLIWRWDIHISLDIIGHWLDLMNIDGWIPREQILGAEALSRVPAEFVPQHPTNGNPPTLFLVLSDIVNGLKNNEFTAMDRSEISLFLDRAFVRLEAWFQWFNTTQSGNQMGSYYWHGRDNRTMLELNPKTLSSGLDDYPRASHPSGDEHHLDLRFWMLLAADCMRSIEELLDKETKPEKDYGFTAKLLSDLELLNQMHFDDAYGAYFDFGNHTEKVQLKWKEVEAVHGHASRKLVRDTLERPDLRLVPHIGYVSLFPFMARVIPPGSWILEKQLELISNRSLLWTDYGLRSLAKTSSMYMKRNTEHDAPYWRGPIWINMNYRILSALHYYSKENGPYQQRAKTIYEELRSNLIRNIVRNYQQTGFLWEQYDQIKGKGKGTHPFTGWTSLVVLIMAESYGQI >OIW17921 pep chromosome:LupAngTanjil_v1.0:LG01:32648058:32666394:-1 gene:TanjilG_17757 transcript:OIW17921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSGFFVLNLIIVLACLVIASSVSTTPKNVQTSLRAKWSGTPLLLEAGELLSKEHKDLFWDFIDIWLNAEKDAVSSQTAKDCLKKIVEHGRPLLREPLASLFEFSLMLRSASPRLVLYRQLAKESLSSFPLGEENYSNDGNVIELETKTKTETRKLDSQHVGVNLKGPEGKCCWVDTGEHLFTDISELLAWLQTPAELEGNSFQRPGTFDFDHVHYDSKFGSPVAVLYGALGTSCFKEFHVALVEASKKGKVTYVARPVLPAGCEANFAHCGSVGASEPVNLGGYGVELALKNMEYKAMDDSTIKKGVTLEDPRIEDLSQDVRGFIFSKILERKPELTAEVMAFRDHLLSSTVSDTLDVWELKDLGHQTVQRIVHASDPLQSMQEINQNFPSIVSSLSRMKLDDSVRDEIMANQRMIPPGKSLMAVNGALVSVEDIDLYLLIDLIHQDLLLADQFSKLKIPNSTVRKLLSTLPPSESSTFRVDFRSTHVHYLNNLEEDGKYERWRSNLNEAIDMIISLHEGNVPVRFGIVLYSSKYVTQLEEHSNDDNSNNKDEDISTTIISLFSYINENYGIQMAFQFLSNVNKLRKDSDGHGDDGLELHHVEGAFVDTILPKVKSPPQEILLKLHKEQKLKELSQESSKFVLKLGLSKLQCSLLMNGLVIDPTEESLFNALNDETPRIQEQVYYGQIDSNTDVLDKFLSEGGIPRYNPKIIADSKPKFISQSFTFGEGSVLKDIIYLHSPGTTDELKAVTHLLAVDITSRSGVKLLRQGLQYLIEGSENGRVGLLFSANKSANFFSLLLVKAFEITASSYSDKTNVLAFLDQLCSLYQQQYILTSAVEAEKSQTFIDKVCELGDANGLPSAVYKSALTEFTVDETRKHLTEVEKFLYRALGLESGFNVVFTNGRVTYPIDENTFFSADLHLLESIEFKQRTKHVVEIIEEVNWDDVDPDILTRIYDSSWMFPHVVCSKFLSDIIMALSSSLAKRERNSESARFEVLSDQYSAIILNNENSSIHIDAVLDPLSPTSQKLSGILRVLSKYIQPSMRIVLNPLSSLADLPLKSYYRYVVPTTDDFSNTDSTINGPKAFFPNMPLSKTLTMNLDVPEPWLVEPVVAFHDLDNILLENLGDTRTLQAVFELEALVLTGHCSEKGHESPRGLQMILGTKSKPHLVDTLVMANLGYWQMKVSPGVWHLQLARGRSSELYTLKEDGDGIQDKQSSKLITINDLRGKVVLMEVVKKKGKEREELLVPDDDDNQHDKKKGSGWDSGLLKWASGFISGKEGSKNAERSLQEKGKGGRRGKTINIFSIASGHLYERFLKIMILSVLKNTERPLKFWFIKNYLSPPFKDLIPHMAQEYGFEYELVTYKWPTWLHKQKEKQRIIWAYKILFLDVIFPLSLEKVIFVDADQIVRADMGELYDMDLKGKALAYTPFCDNNKEMDGYRFWRQGFWKDHLRGKPYHISALYVVDLKKFRDTAAGDNLRVIYETLSKDPNSLANLDQDLPNYAQHNVPIFSLPREWLWCESWCGNATKSKAKTIDLCNNPMTKEPKLQGARRIVSEWPDLDSEARRFTARILGDDQEPVQSPDQSKESDKKDPSNEDLESKAEL >OIW19765 pep chromosome:LupAngTanjil_v1.0:LG01:83535:86134:1 gene:TanjilG_27304 transcript:OIW19765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDKLCVKGEGSLNIQLSELQRLNQNGSIGTTMFEPGGVNSMEKLDSSSTDISISSTTTPPAAVEAPEKKLTLFALQLAVLEKAATGLGTLGFIWATVVLLGGFAITLDKTDFWAITIILVVEGTRIFSTSHELEFQHQATWSITDAGINSFQLLRCSSNSLFKSIKRLFNFRPIVAVKKDTKEANEVTPKTPTRMWSKSEVPLLPYAKWFFLSRHVSRLLYWLQLISATACMVLSSVKLIKHDYGEVEAGDTDKRNRQSALNIFYSLALAEALLVLMEKAYWKWQVSYCKLLEEVDKECELGPSGMVSIRRFFYDAYSRCVNGSIFDGLKMDMVCFSMELLASNSPDEQLIGARILRQFSIRERFSDDTLQKIGIAISIVDRLVEMLNWTEQKEEEIRLSAAEILSKLASRKHNSLRIAGIPGAMESISSLLQTNRDLIPAADEIGEKKLTFHHSNYRFWTFNQLGLLILKKLALNHDNCGKIGNTRGLLAKIIDFTHAEQELLNEENVNSSQILTLKRSLQLVKMLASTIGATGEHLRREISEIVFTISNIRDILRHGEKHPLLQKLSIEILTSLALEEDAKERIGGTGGVLKELFNIFFTHNIAENEKHVTVVAGEALAMLALESERNCERILKLKVLERLVEALKDRFLRVNAARILRNLCTYSGSECYNKLKGITTAAPIILQAIMSEENKIQEVMVGLAANVFKYMTSHESRIVFDEAGITEAELANKLTQILKKHQSPHTKVPRIRRFTIEMTILMMRDKAENKQTFKDLGMEEVLEVVIETTSELESFNVFSGTVGLNRHSLTIQSLVETALELLQDM >OIW19003 pep chromosome:LupAngTanjil_v1.0:LG01:17652971:17653414:-1 gene:TanjilG_20276 transcript:OIW19003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTNPPLEQVQCFGRKKTAIAVTYCKRGRGLIKINGSPIELVEPEILRFKAFEPILLLGRHRFAGVDMRIRVKGGGHTSQIYAIRQSIAKALVAFYQKYVDEQSKKEIKDILVRYDRTLLVADPRRCEPKKFGGRGARARFQKSYR >OIW18084 pep chromosome:LupAngTanjil_v1.0:LG01:29127429:29133904:-1 gene:TanjilG_08554 transcript:OIW18084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHAIMFQTPLTSRFSPKPSSLPANTAAKLRFRVLTVKSFSDTTGVDNENGSVSRLERCFESPSGIGAGESLSSPSSSSFGPVMKGQYGALGSVTLEKSKLDTSQKQTKSSPERLLATINGCSAATVGSVTVESESGENRRNFPPIVILVLAGLPTSQLNSLDIGGGSGGLGKNINHGGGDGGDDNGDDDDYFDDFDEGDEGDEGGLFRRRIILQELFDRKFVDAVLNEWQKTMMDLPAGFRQAYEMGLVSSAQMVKFLAINARPTTSRFISRAFPQGMSRTFIGRLLADPAFLYRFLLEGAASIGFSAWWEFENRKGRIKQEWDLALINVLTVAACNAVVVWSLAPCRSYGNTFRFDLQNTLQKLPNNIFENSYPLREFDLQKRALCFLFKAAELCMVGLSAGAVQGALSNTLARKKEGRLSVSVPSVTSNAFGYGAFLGIYANLRYQLLSGFDRAMINRFDVIGVALFCSTAFRLMNVQLGETSRRAWLGIEADPLAQTDDHLKVYTRPSENADNSSSKWFISKNTLVSGLGLLGIKQGKAGSGAESSAPKARRKMIVRKKVVAGSA >OIW18698 pep chromosome:LupAngTanjil_v1.0:LG01:22326036:22331780:-1 gene:TanjilG_13450 transcript:OIW18698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVFDFGCVLLIIVSTLVVAGKGEVYIVTVEGEPIISYAGGIDGFRATAVESDESIDTTSELVTSYGRHLEKKHDMLLGMVFEQGTYTKLYSYRHLLNGFAVHISPEQAETLRRTPGVKSVERDWKVRRLTTHTPQFLGLPTGVWPTGGGFDRAGEDIVIGLIDSGIYPHHPSFATHNTEPYGPAPKYRGKCEVDPDTKRNYCNGKIVGAQHFAQAAIAAGAFNPSVDFASPLDGDGHGSHTASIAAGNNGIPVRMRGHEFGKASGMAPRARIAVYKALYRLFGGFVADVVAAIDQAVYDGVDILSLSIGPNGPPAATKSTFLNPFDATLLGAVKAGVFVAQAAGNGGPFPKTLVSYSPWIASVAAAIDDRRYKNHLTLGNGKILAGIGLSPSTQLNEAFTLVAANDVLLDSSVMRYNPTDCQRPELLNKNLIKGNILLCGYSFNFFAGTASIKKVSETAKALGAVGFVLCVENTSSGKIFDPVPVGLPGILITDVSNSKELVDYYNITTARDWTGRVKSFKGTGKIGDGLMPILHKSAPQVALFSARGPNIKDFSFQEADLLKPDILAPGSLIWAAWCPNGTDEPNYVGEGFAMISGTSMAAPHIAGIAALIKQKHPHWSPAAIKSALMTTSTTLDRAGNPLLAQLTSETEVMKFVKATPFDYGSGHVDPTAALDPGLIFDAGYEDYLGFLCTTPGIDVHEIRNYTHTPCNNTMGKPSNLNTPSITISHLVGTQVVIRTVTNVAEEETYAITARMDPSIAIEVNPPAMTVKAGASSKFSVTLTVRSVTGTYNFGQVLMKGSRGHKVRIPVVVNGYPR >OIW18644 pep chromosome:LupAngTanjil_v1.0:LG01:21859863:21860204:-1 gene:TanjilG_13396 transcript:OIW18644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRALTLVVLLVAISAIVSESRVARKDLGLDLGGVGIGLGAGIGIGIGAGAGAGAGAGSGSGSSSSSSSSSSSRSGSSRAGAGSYARSHAGSGSSEAGSEAGSYAGSKAGGNGK >OIW19649 pep chromosome:LupAngTanjil_v1.0:LG01:844231:852947:-1 gene:TanjilG_18459 transcript:OIW19649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDTEVVDDQNSEWFQVKKKHRNVSKFSLQSWVGGLSGKNNSNPDRTQHSHNKQKTHLSRSGENFLPKPVPGSITISLSASNEEESTDDLNTGLVRHNSQSKTSARVLTMDCQGKPEEVRKLSQIDKADIAQKTRWGDLEDGVNGVGIKFGSIGDDSLLSCRKHENIPDVIPCDSSQAEEKGLTATTIDADIVSQQAPSLRCEDVIFGENDNDNDAMNISLEPPKNKEMNEEKTDPEDDRLCCDNKNDEVNKTANDSGLDKEFLSVNDAAVVADKEHVLINEESDIKISDVQEQNCSPSKAVIAQGTESQVAESEPEIAGDSAASVENIVSYSHNMSALEEGHSNESKERFRQRLWCFLFENLNRSVDELYLLCELECDLEQMKEAILVLEEAAFDFKELITRVEDFEKVKKSSQVNDGVPVTLKSDHRRPHALSWEVRRMTTSPHRADILSSSLEAFRKIQQDRGNNTENVMSKCLTSESGGKLKKSRSSDGTHNAKYSMTKPGKHIGPSDANQGNLNGKKHDIEGGKAGDAFAIQNGRSPPKSMSSSEVNISKLTPLENSASFATSKSKRDHLGSGDKMVSKKDKVPTEVFNEKNPRSIYNLRRQIPLPEKDREKRSTAPGKSMNAWKEKRNWEDILSSPLRVSSRMSYSPSMSRKSAERVRTLHDKLMSPEKKKKTTSDLKKEADEKHARAMRIRSELENERVQKLQRTSQKLNRVNEWHAVRHMKLREGMHARHQRSETRHEAFLAQVVKRAGDESSKVNEVRFITSLNEENKKLILRQKLHESELRRAEKLQVIKSKQKEDLAREEAVLERRRLIEAEKLQRLAEIQRKKEEAQVRREEERKASSAAREARAIEQLRRKEERVKAQQEEAELLAQKLAERLNESEQRRKIYLEQIRERANLRDQSSPLLRRSLNKEGQGRPTLTNISDDSQTNIAPSVGSCLGIGNITLQHSIKKRIKRTRQRLMALKYEYTEPPLGGESAGIGYRVAVGAARAKVGRWLQELQRLRQARKEGATSIGLIISEMIRYLEGKDPELQVSRQAGLLDFIASALPASHTSKPEACHVTLHLLKLLRVVLSTPANRTYFLAQNLLPPIIPMLSAALENYIKIAASLSTPGNFSVPSNKASVENFESISEILNNFLWIVTAILGHISSEERQLQMRDGLLELLISYQVIHRLRDLFALHDRPQMEGSAFPAPILLSIHLLVALTSRSGTFSYIDWEYSPLAMEQEIGSEGANFADSAHFIVNNSRGDYSPLPIINSNSVMHLPDVPEDRPLDGIITVNRSDESVANDFESEQIDSSVKLKNDDMEKIDGQGESMKNKNGDIANSYFPQKDEKHTVAIVRAQKNEKESVLAQPVVFLLSAVSETGLVSLPSLLTAVLLQANNRSSSEQVSFILPSNFEEVATGVLKVLNNVALLDLLSLQRMLARPDLKMEIFHLMSFLLSHCVSRWKSPNDQVGSLMLESLSLLGHFALFHPGNQAVLRWGKSPTILHKVCDLPFIFFSDPELMPIMAGTLVAACYGCEQNKFVVQQELSIDMLLSLLRSCKNAAPASQLNSTLDNSPTDESSECNQSGTEFRKPQVDSAIKYSRSNGKGTRASLGKNGAFGNSIKNGRIRSLRDGKAAKNSEEAAPKQNLSVPETSPLMLHSRFPQSFIDKVEQFFSVEIPNGVDTV >OIW19051 pep chromosome:LupAngTanjil_v1.0:LG01:16040204:16040938:-1 gene:TanjilG_10612 transcript:OIW19051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTLVDELMVVMNPSLSMLSKPAIHHRKIINKVAAFLPPLTLLIAINSHMTLSTPEIATSSAKISNPPFRHIVTSVTTALSLALSEPSLNTQPNFFIPTKAVATATNPPRLTTASSIIIVSYGSTAMASTAISTAPPSTKLATVSLSPAKFNITTNASLLAPSGPQLASWINPLMTRFIFPSLLLYATIPVKLNIVAAAFAFASHVAPDPSTSTTPFNAPSVTIIILLLNSYIDKLRIVVMALT >OIW18203 pep chromosome:LupAngTanjil_v1.0:LG01:27127284:27136630:1 gene:TanjilG_31323 transcript:OIW18203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSITLSDLKFLAPQITLKHHVSLIKFRSCNSISRKSRYYNVKLRTRIRALIEERVSDVKWSENGVIGSNGSMNGSSRLVVKESENNGNGSVVKYVNGNGVAASKEAVEVEDFVEGGKGKEDVRKKRVEEIGKEDAWFKQSGKSQVEVAAAPGGRWSRFKAYSTIQRTLEIWGFVITFIFKAWLNNQKFSYRGGITEEKKTLRRKTLAKWLKESILRLGPTFIKIGQQFSTRVDILPQEYVDQLSELQDQVPPFPSETAIAIVEEELGSPLHGIFDQFDYEPIAAASLGQVHRAKLKGQDVVVKVQRPGLKGLFDIDLKNLRVIAEYLQKVDPKSDGAKRDWVAIYDECAPVLYQEIDYTKEAANAELFKSNFKNMNYVKVPTILWDYTTPQILTMEYVPGIKINKIQALDQLGVDRTRLSRYAVESYLEQILSHGFFHADPHPGNIAVDDVNGGRLIFYDFGMMGSISQNIREGLLETFYGVYEKDPDKVLQAMIQMGVLVPTGDMTAVRRTAQFFLNTFEERLAAQRRERELAKAEIGFKKPLTKDEKIMKKKERLAAIGEDLLSIAADQPFRFPATFTFVVRAFSVLDGIGKGLDPRFDITEIAKPYALELLKFREAGVEDFIKRRDRQSQAFYNLFRQADRVEKLAEIIQRLEQGDLKLRVRTLESERAFQRVATVQKTIGNAVAAGSLINLATILYLNSISGPATIAYFFCAIFGLQVLIGIVKIKKLDERERLITGTA >OIW17862 pep chromosome:LupAngTanjil_v1.0:LG01:33470932:33476136:-1 gene:TanjilG_14108 transcript:OIW17862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAVASQTWLQFQPNNLFVGTRPSSSATLSRDPKNLRVIVSFKLHQHHHARASKVCRRTTTPLELSSSYHNLPASTLESGSCHAPLDEHLALKNKSQDIGPRLSGRSIYLVGMMGSGKTTVGKVLSQVLGYSFCDCDSLIEEEVGGKSVADIFKHHGEAFFRDKETEALHKLSLMHRLVISTGGGAVTRPANWKYMHKGVSVWLDVPVEALAQRIAAVGTNSRPLLHNEAGDAYTQTFMRLSALFEERSEAYANANTRVSLENIAAKLGQKDVSNLSPTTIAIEALEQIEGFVKGEDGCYAGS >OIW17773 pep chromosome:LupAngTanjil_v1.0:LG01:34514067:34514492:-1 gene:TanjilG_06458 transcript:OIW17773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDQHNRELDLLPSPHSRAAADSSLLRLRSSTTTTETGPSLDLQLSISVDPTAVVEAMKWEAAEEIRLAATEKAYAERVKELTRREMEMAQLEFSRAREMWEMAREEVERAERMREMATRKLDSTCMEITCHSCSQRFRPA >OIW18112 pep chromosome:LupAngTanjil_v1.0:LG01:28412027:28415526:-1 gene:TanjilG_19378 transcript:OIW18112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERLIGLLFLILLGAAWSCDARQLTIANTDQRSNDTEILALNRKTDVCALCEQYTADALDYLDDTNNQNEIIGTLHDTCYRMLSFKKQCIELMDYYAPLFFSQVASAQPRELCKKLNLCPYSAKISSQVQENNCDLCKDTITSLVAKLKDPDTECKKMVLQYGPLVFFNAENFLKPEEICTVLHACPATTEVNQEELPLVSDS >OIW19537 pep chromosome:LupAngTanjil_v1.0:LG01:1842580:1846963:-1 gene:TanjilG_06992 transcript:OIW19537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSSQNSLRKALGALKDTTTVSLAKVNSDYKELDIAIVRATNHVERPAKEKHIRAIFSAISATRPRADVAYCIHALARRLSRTHNWVVALKTLIVIHGALREVDPTFHEELINYGRSRSHMLNMSHFKDDSSPNAWDYSAWVRTYALFLEERLECFRVLKYDIEAERHRTKDLDTAELLEQLPALQQLLFRVLGCQPQGAAVHNFVTQLALSMVASESIKIYQAISGGIDNMVDKFFEMQRHDAVKALDIYRRVGQQAERLTEFYAICRNLDIGRGEKFIKVEQPPSSFLQAMEEYVKDAPYGLVVRKDQATENKIGSPKEVLAIEYNKPPEEEERPPSPTPSPPPEPVKVEAPPVQPPPDLLNLEDHVHAASELEERNALALAIISVDDQPTSAIPNQANVTTGWELALVTTPSSNESAATASKLAGGLDKLTLDSLYDDALRRNNQNVSYNPWEPAPMGVSMQTTMHDPFFASNIVAAPTYVQMAAISNQQQAFMFQQQQQQIMMAPQQQSLNPYGATVHPYGSDIQSNKLKEERYTDYRFGF >OIW19594 pep chromosome:LupAngTanjil_v1.0:LG01:315490:318108:-1 gene:TanjilG_18404 transcript:OIW19594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEKSPTKLEYYDHMWKLQSTATLISHIKGDDGRYGLILDRTILYPQGGGQPSDTGFIHIHGLENKFVVHDVRFKDGIVFHYGLFENVGGEFEPLLEIGKEVSVFVDEPRRKLNSRLHSAGHLLDICLPRIGLDHLEPGKAYHFPDGPWVEYKGTIPPNEMQNKQKDLELEANTLISVGGKVSVHIFPYDEAAKLCGGCLPDYIPKGSTPRIVSIGDNPGCPCGGTHVVDISDIIKIKV >OIW19037 pep chromosome:LupAngTanjil_v1.0:LG01:16546191:16546535:-1 gene:TanjilG_10598 transcript:OIW19037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIKSFMNFSATTEDDDTSVWSIQVNASTHGEDYEDDNMEEIAENGELDYIDISSCDEEEEGDVEYGGLLLDELCERGKQQRVKVNLNPSPCINHVSNSEDNIPHSGISSSQTY >OIW18851 pep chromosome:LupAngTanjil_v1.0:LG01:19828536:19839231:1 gene:TanjilG_25294 transcript:OIW18851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIQAFQTKPFFHGYSFSFHSSILPQTLQSLAPYPSRGSLKLKCTSNGNNGKDQDYLLDAPVSVGDGFSFSGGKYSDEPSPSDEWFKQGKMVRAYSTPGTGEKAKDPIFGLTMGASSQATGNRFRWFCVERGNADNPSVILVHGFPSQAYSYRKVLPILSKDYHAIAFDWLGFGFSDKPQPRYGFDYTLDEYVSSLESLINELAVSKVSLVVQGYFSPVVVKYASLHQEKINNLILLNPPLTARHAELPPTLSIFSNFLLGEIFSQDPLRASDKALTSCGPYKMKEEDAMVYRRPYLTSGSSGFALNALSRTMKKELKTYVKDMQSILRDKNWKVRTAICWGQRDRWLSYDGVQDFCKDSNHALIEVPMAGHHVQEDCGEEIEVKNKQVILTNYVTGFPKESDLNIVEGTIILNIPQGSNDVLLKNLYLSCDPFMRNLMNKKDGPKDTLSYTPGSPLGGFGVSKVLESGDPKYKKGDLVWGFTQWEEYSLVPSAKILFKIEHFDVPLTYYTGILGMPGVTAYGGLFEVGALKKGENVFVSAASGAVGQLVGQFAKLSDCYVVGSAGTKEKVDLLKNKFGFDDGFNYKEEPDLNAALKRYFPEGIDVYFENVGGKTLDAVLLNMRVHGRIPACGMISQYNLTEHEGVTNLASIIYKRIRIEGFNSTDYYHLYPKFLEFVLPHIREGKIVYVEDIAEGLENGPSALIGLFSGQNVGKQVLVVAHE >OIW19045 pep chromosome:LupAngTanjil_v1.0:LG01:16271192:16272298:-1 gene:TanjilG_10606 transcript:OIW19045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIHKLKYVVVVLMYMVVIIAPVAKADVDCGQVVSFIAPCINYLMNGGAVPGGCCDGVKNLVLLAQTTADKQTACNCLKAQAAAISTFKNANGEALPGKCGVNLPYKISNSANCDNIKF >OIW18043 pep chromosome:LupAngTanjil_v1.0:LG01:29855909:29856289:1 gene:TanjilG_07534 transcript:OIW18043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLGEVFTIIVSSAEYAKEVMKTQEIIFASRPIILASELLAYNSTDIAFSPYGDYWRHLRKICALELFTPKFINSFKPIREEVFTNLIEMIASEKGSPINLTEAVLSAIYTIISKSAFGKKDKDQE >OIW19308 pep chromosome:LupAngTanjil_v1.0:LG01:4253065:4254705:-1 gene:TanjilG_09092 transcript:OIW19308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPSSKPYANEQYSEAQPPPYGNRPIATGFTPATGARYAAPQALVPWSTGLFDCCSDRRNCCLTCCCPCVTFGQIAEIVDEGSMSGTASATIYALINATTGHACMYSCLYRQKMRSKYRLEESPCNDYCVHCCCESCALCQEYRELEKQGYKVVMGWQGNLQQNRGVAMNLTPPTNEPAMKR >OIW18144 pep chromosome:LupAngTanjil_v1.0:LG01:25868296:25876055:-1 gene:TanjilG_31264 transcript:OIW18144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLFYSKSVVYRPVNDINLGSDSTEIYLQANVKAPRMTGILVMIFAWFLESRIFGTLLMYILKRNNLIHKLITDAELEEPPLYVPLHHFEDPKEQETKNLDSALTPPEKVQLAVNCLPTHSEKALTETKDSFCRWTIMDYYKAYSSGDITPTMVAERFIAAVGESSKPPFRMGFFIEYNADDILRQANESTLRYQKGEPISVLDGVPVAIKDEIDCLPYPTTGGTKWLHKKRPCTDDACCVMRLRLCGAMLVGKTNMHELGTGTSGINPHYGAARNPYDTNRIAGGSSSGSAAVVAAGLCPVSLGVDGGGSVRIPAALCGVVGLKPTFSRIPHSGVLPLYWTVGMVGILAATVEDALISYAAISVEIPSYQHSSIPTKINLPLLPSTKSISNIKLAKYGKWFDDCSDDIRICCSHALNKLQDHYGLQIVDVTLPELEVMRLAHYLTIASESYTSLDSFLQEKNFAEFGWDARVALNIYGAFSSMEYIKAQKIRNRQLQFHKKIFAEADVIVSPTTGVTAYPIQDDTLKTGELDYINGAALVRYSISGNFLGLPAVTVAVGYDKLGLPIGLQFIGKPWAEATLIHLAFAMQAICVSDYRKPQIFYNLLRKD >OIW19648 pep chromosome:LupAngTanjil_v1.0:LG01:842137:843277:-1 gene:TanjilG_18458 transcript:OIW19648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENKIHQKSVSFSDTQTPKHHVSSGSRNDNAWNWLQRIAFHVDDMGDDEHVTSVAAAAFAIHSLEEAESRNLQKMREVPKSPRTQHMRRKEDNHSRRPSNGEVSMKMPLGQDPRTKVNALPVKRPSGTPFARCKKIKSKILSWECVKKIQAKHQMKRKKRALEYRRAMEKQSYRNKIGRENMKAQLEEKRRKQESEARVKENKIQKTGKVPVKCSCLNSY >OIW19241 pep chromosome:LupAngTanjil_v1.0:LG01:6797556:6797908:1 gene:TanjilG_20366 transcript:OIW19241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHNACISRYHVKVSIDFAIDDDALLPIPLDEDIITIGGAIGTFVAWPIDLIQVVPYKENVHSTPSRNPKTKPSMCDVSSKPSTGDTIYCR >OIW18791 pep chromosome:LupAngTanjil_v1.0:LG01:23108634:23112939:-1 gene:TanjilG_13543 transcript:OIW18791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQKTPPPQMTTSAATRSVLKQNQPPPQPLPPQPLPPRQRTSSKVPKSPPEVVNGVSMSSSTRAKSVPPELKKISKVKRGLVLNKAKSNEEVVGSQKGSREVEEAKVVVGVKKVFVLKKELQEKLEVSDNLIKDLQSEVLKLKAELDKVKSLNVKLESQNRKLSEDLAAAEAKVEKNVAIGEHQSHKFKDIQKLIADKLERSKVKKEATSETFFVQTPIPTHAAIHAISETSSTGRKSPPKPCLPPPPPPPPPVPSCPSAKLANTQNASSVVQLFHSLKNQDEKKDSKRHVNHSKLLPFSAHSSIVGEIQNRSAHLLAIRADIETKGEFINGLIKKVVDASYKDIEDVLKFVDWLDGELSSLADERAVLKHFKWPERKGDAMREAAIEYRELKLLEHEISSYKDDPDLPCGSTLKKIASLLDKSERNMQKLIKLRSSSMRSYQEYNIPTAWMLDSGMMSKIKQASMTLVKIYMKRVTTELESIRNSNRESSHDSLLLQGVHFAYRAHQFAGGLDSETLCAFEEIRRRVQGHLVGSRELLAERKMINHVQPMSISATMLINKVTSQTELKSDLNSVGSHLSNLDEKSLKALPAESSMCTGFTVLKVN >OIW19265 pep chromosome:LupAngTanjil_v1.0:LG01:6052146:6055389:-1 gene:TanjilG_20390 transcript:OIW19265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIATATVVPKKKFKIKLSSKRIEVVPGTKCEFRQNVSQVDENGFCNSKEKFSVPCSIKRGPQGSVESQKPKRQKMDRTASVQCATVLKILMSQTYSWVFNKPVDPIALKIPDYFRIISQPMDLGTIKTKLEKNVYFGIEEFAADVRLTFSNAMTYNPHSNDVHLMAKDLNKLFERKWKDLEKKWKCEDEHEKSMTETVRETVRKFCNEMHPLQKDTFPKKLRVPEQKGLQKISSLAARDAKVEVHKSSWVPCQAIEKDLHKGKDNHDRKYPSVSSKARPSSGTVTHKCTICGYLSCSCIIPGDLKYASSDISSEGSVGRDLNVKGADALRPGCQTHCKTPLQRKSYDDSNGDVSSLDSEHLCYGSQLSTPATDASSCKVWSTPDIDVQLSPKKALRAAMLKSRFADTILKAQQKTILDHGDKSDPLKMRLEKERLERIQREERARIEAQIKVAELSARMKAEEEFKKRREEEREAARVALQKVESSVEIENNLECLKELEMLSGCKLCYQPMSTKDGSGVAMRTLDKSPLEQLGLFMKDEYVTAGEDEEVLDGCEEGEILY >OIW18455 pep chromosome:LupAngTanjil_v1.0:LG01:20400749:20401234:-1 gene:TanjilG_13207 transcript:OIW18455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEILRPQDCLIQRIRVPPATFSRHRSYTNCSNYYNNHHTATSRSYRKPVTRPDQRKRVDVGSGQPVSASVLKRSCVDDSRMTKCSSSTMEKVMILRRGESLDSSSAVAVKSDIYAGSAFSVVAPSPSALPLPSFPAKKQASPVVDDSATRDLRRLLRLD >OIW18705 pep chromosome:LupAngTanjil_v1.0:LG01:22398891:22403426:1 gene:TanjilG_13457 transcript:OIW18705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWLLWVCKEEENELGREKAPGSCPYCRGKVEAIDVEGKWSLFCCHYDKLRLDLLPFFKTPPWFGRTCELVATTNSVEEIKADEEPKRRKVENVNESKGEEGSAKTNSNLETSKEQANGKTNGHLENVGDKSSVQKSQQKGSVEVMVFVANISEKGFQRIQADQVEFADERLQDNSYWAKDGAESGYGAKAQEILGQVRGRDFRHEKTKKKRGTYRGGQIDLYSHSVKFNYSDEE >OIW18553 pep chromosome:LupAngTanjil_v1.0:LG01:21068356:21071102:1 gene:TanjilG_13305 transcript:OIW18553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSPSFKVKTELSPTFDPESLQKWIIAFCAIRFDLEQGQLVEVCYPSDCLTHDEELEIAYNSFPDSVSQQHNRSSIHDCIFFFRFRKRFKSESGNATNSEITEVDKELSPKSIDKNNVSRRLSSTTSADASKYMYGYVFNRQRHDERLKRGGEQKSVVILSHSPYSSVFRPLLQIVGPLYFDMGKKALEHIAAYVSAWPAPVPGKLMDLPIGNATLKVNLPPAHSFSVENGLVSFDESASSVAPLPSNNQSTQQGLFHDSDLFGTFRGLLLQLWLLWELLLIGEPILIIAPTPPQCCEAVASLVSLVAPLICSVDFRPYFTIHDPDFAHLNSIQEGEAFPPMVLGVTNLFFLKALRNIPHIISVGSPPPNSNRVSLSTRSTTDRVSGKPGGFGLRQFSLNKFSPSSLLSAVKLRRDGPLCLMTEHKEAIWSTYSATTKPDTSVLNRLIDAGVTPRVEESMSVVNNEILRRHFLELTTNFLAPFGPYFRTTAPSEGSSPYVDPPPLPPFNADEFLASLSARGPGKFLLKRMKSNWLDLYRRFLNGPNFMPWFRRRRSVAEQEQDRLWKHARMKTDIQLLISKMSELEIVDSFNVMERLLLREVQLQQSGNGGIDSTATCQKLTTDLQAVFNVLSKDMQELMLSNPQRASLLQGTPE >OIW19367 pep chromosome:LupAngTanjil_v1.0:LG01:3380226:3384381:-1 gene:TanjilG_03501 transcript:OIW19367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFLRRRKAPVNPTPPGSDHDEEKKEKKKEKVKVKSEKTKKWSCVDNCCWFVGFICSVWWFLLFLYNAMPASFPQYVAEAISGGPSPDPPGVKLRKEGLTANHPVVFVPGIVTGGLELWEGRQCADGLFRKRLWGGTFGELYKRPLCWIDHMSLDNETGLDRPGIRVRPVSGLVAADYFAPGYFVWAVLIANLARIGYEEKNMYMAAYDWRIAFQNTEVRDRTLSRIKNNIELMVATNGGKKVVVIPHSMGVLYFLHFMKWVEAPTPMGGGGGSDWCAKHIKAVMNIGGPFLGVPKSVSGLFSIEARDIAIARAFAPGFLDKDVFGLQTLQHLMRMTRTWDSTMSMIPKGGDTIWGGLDWSPEGYYNCSAKKLKINDTYRASQNDKENLEFMKNVNYGRLISFGKDVAELHSSKLERLDFRGALKGRNLANTSTCDVWTEYREMGIEGIKAVTDHKTYTADSVLDLLHFVAPKLMKRGGAHFSYGIADNLDDEKYKHYKYWSNPLETTLPKAPDMEIYSMYGVGIPTERAYVYKFNPHSECNIPFQIDTSADGGNDSCLKGGVYSVDGDETIPVLSAGFMCAKAWRGKTRFNPSGIRTYNREYDHAPPANLLEGRGTQSGAHVDILGNFALIEDVIRVAAGASGEDLGGDRVHSDIFKWSEKINLKL >OIW17872 pep chromosome:LupAngTanjil_v1.0:LG01:33343875:33344417:-1 gene:TanjilG_14118 transcript:OIW17872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESHLCDELTKKFSIQPSSTTSEKKPEVNKRIDQVPYDADDKCKINIFADIIPILAHDNCKSTNFNDWRKQVEHVTNAFPLTCKSKGDAINFLNIERERLLELLWCEKEQFQKLLLKEVREERKWKVKEAKLEHELFWKRMQLEGCRKSLQDLQEQFDEYKGEVEPLEEPEWLDELLKDN >OIW17675 pep chromosome:LupAngTanjil_v1.0:LG01:35295083:35296504:-1 gene:TanjilG_29025 transcript:OIW17675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVLLQAQDYLFVRAFVPFVASILIKAWKESDDDGDLEIILGGMAALEDEINWFKREATNLGISLSEVVPHQANINYCRFLESLMSPEVEYTVAITAFWATEAVYQESFAHCLGEGSKTPPELKETCARWGNEGFGLYCQSLQRIADRSLQKASEDELKKAEVMFLSVLEHEVEFWNMSRAGLYAPFIFLNSETNKPMEKEIIKLFHHILSFHIRRRFYEFYTQGSFGIRHAISQKMFVNKVP >OIW18810 pep chromosome:LupAngTanjil_v1.0:LG01:20145717:20146997:-1 gene:TanjilG_25253 transcript:OIW18810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAQSSDHAQVIEISGPIPDVRNPEESKICGEGPCGFADAGSISNDSEERSTSMRKLLMAVVLCIIFMTVEIVGGIKANSLAILTDAAHLLSDVAAFAISLFSLWAAGWEATPRQSYGFFRIEILGALVSIQMIWLLAGILVYEAIDRIIAGPREVDGFLMFLVSAFGLVVNILMAFLLGHDHGHGGHDHHGHEHGHHGHNHGYSVSTHHDVKHTITEHRHTHDDHADHNDEKHGHEDHIHHHDHEDHIHHHDHEDHIHHHDHKEVNEPLLGESKDGHEKKQWNINVQGAYLHVLGDSVQSVGVMIGGAVIWYKPEWQIVDLICTLIFSVIVLGTTINMLRNILEVLMESTPREIDATKLERGLLEMEEVVAVHELHIWAITVGKVLLASHVKIRPEANADLVLDKVINYIKRVYNISHVTIQIER >OIW18066 pep chromosome:LupAngTanjil_v1.0:LG01:29641442:29644633:-1 gene:TanjilG_19298 transcript:OIW18066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIYGKKLDIDFVISTGDNFYNDGLTSTNDPAFTESFTKIYTAPSLQKQWYNVLGNHDYKGNVEAQLSLVLRQRDKKWLCLRSYIVKAEAVEFFFVDTNPFLDEYFKPGGKVYDWKGVLPRVEYISNLLKDVDLALKESRAKWKFVVGHHPIKSAGENGSTKELEKQLLPILEKHNVDVYLNGHDHCLQHIYSKIQFMTSGGGSKAWKGIVRKWDPKELKLYYDGQGFMSVQITNAKSCLTGCFGPRQSKLHITFYDVYGKVLHKWIKSK >OIW19695 pep chromosome:LupAngTanjil_v1.0:LG01:1180917:1181399:1 gene:TanjilG_18505 transcript:OIW19695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKLQVHQLNQLKEIFSRFDMDSDGSLTMLELAALLRSLGLKPSGDQVHVLLSNMDSNGNGCVEFDELVNAILPDINAQVLVNQEQILGVFRSFDCDGNGYISAAELAGAMAKMGQPLTYRELTDMIKEADTNGDGVISFNEFATIMARSASDFLGRGFL >OIW19517 pep chromosome:LupAngTanjil_v1.0:LG01:2006533:2013693:-1 gene:TanjilG_06972 transcript:OIW19517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DSSTQQVQTIEEDIFACPICYEPLIRKGPSGFNLSAIYRSGFQCKRCKKSYSSKDMYLDLTITTGLRDYTEIQPVRTELFRSPLVSFLYERGWRQNFSRSGFPGPDEEFNMAQEYFTSAKGGRLVDVSCGSGLFSRKFAKSGTYSGVVALDFSENMLRQCYDFIKKDDILLNTNLALVRADVSRLPFSSGSVDAVHAGAALHCWPSPSNAVAEIARVLRSGGVFVGTTFLRYTSSTPWFIRPFRENCNENRLAKPKYQFLLTPLATIPESKVEQSNLVTMISLSQELKPFQ >OIW17798 pep chromosome:LupAngTanjil_v1.0:LG01:34218344:34221137:-1 gene:TanjilG_02426 transcript:OIW17798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSNSSNEKGMNRTILHDLYENHRQSPYYDNLCRPVSHLVPFIAKGIRGVTSNPSIFEIAISSSNAYNEQLRELIEAGKDIENAYWELVVKDIKDTCKLLEPIYNEKDGVDGYVSVPISPKLANDTQGTIHAAKWLHKKVGFPNAYIKIPATQESIPSIKEVISQGISVNVTLIFCLSRYEAVIDAYLDGLEASRIIDLSKVASAAAFYISRVDVTVDKKLDQIGTAEALDLRGKAAIAQAVLAYQLYQKKFCGPRWESLEKRGAKKQRLMWASTNVKNPANPDTLYVGSLIGPDTISTIPHQALEAFLDHGTLSRTIDANISEAEGIYNAIEKLGVDWNSVGSQLEHEVLGSFTKSFDSVLECMKNKAKLS >OIW18982 pep chromosome:LupAngTanjil_v1.0:LG01:18235993:18240080:-1 gene:TanjilG_23759 transcript:OIW18982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDLSKNQNFAVHGIAAAGSVALATGFTYPLDTIKVLTQVGSSCGKELGAAQLVLRVLSLSGNSGLFHGFGWFSVGRIFGVGARFGVYEILTAFNKDGREDNYVSVSEALLAGMAAGAIETFITSPFEIVKVRAQVASASYIPSSTFALEKGARPPLVARLLNGCNPDMRSLNQYGGLMSTLTTRSTNMTSALLEYPWTMTGSGKPPPVYTVRRSSDIISLEGWSTLWRGLRSGIVRDSVFGGVFFSSWQFLHQAMLDWKAVGMNPPPRSDEEIGPLSPLGVSLAAGFSASVAAAASHGFDTARSRSQCTVLPTYIAMERKFLKWKQPGNKFERYTGIHPTDRNLLFRGIGLRMARSGFASFMIVGSYFFIVDHLASTLS >OIW18376 pep chromosome:LupAngTanjil_v1.0:LG01:23533005:23533493:-1 gene:TanjilG_31516 transcript:OIW18376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNDDPNNKASEFEYRFSPKMIIDFILILSIIIMMMFSFYIYVCRYFLHVRRHQTRRSHLVFYNEPEFTEVSCGLEASMIALLLVFIFSSNSDPTECVVCLSKFKDGKTGQVLPRCKHSFHIECIDMWFMSHSTCPLCRVHVECPPQSEVVVNAPELEPGSS >OIW18870 pep chromosome:LupAngTanjil_v1.0:LG01:19617356:19619024:-1 gene:TanjilG_25313 transcript:OIW18870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKRSHVPKFGNWEGEDVPYTAYFDKARQGRTGTKMINPNDPEENPDLTIDSEDLPAKGSVRSANELHKIKEDGDPKNFTDSPARHYNAGNRSSGNGSHGVGSADNQRRPLRQSIGSEYSVERSPLHRQTKTSGKDSPSLEVKNSYDSSHGAPGRSRLKSVNRGDETPDKGAAVPKFGEWDMNNPASADGFTHIFNQVREERQGGAGNIPGTYNGRPHAIRKHPTHDKTQCSCFAWGKK >OIW18722 pep chromosome:LupAngTanjil_v1.0:LG01:22503825:22506399:-1 gene:TanjilG_13474 transcript:OIW18722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNNKDSFNISDLNAALNADNKEELVNALKSKIQSLAGQHTDFLESLSPNVRKRVEVLKEIQGEYDELEAKFFEERAALEAKYQILYQPLYTKRYEIVNGVTEVEGAADETKAETEKGKVEKGVPGFWLNALKNNDILAEEISERDEDALNFLKDIKWSRVEDPKGFKLEFYFDSNPYFTNSVLTKTYHMIDEDEPILEKAIGTEIQWHPGKTLTEKVLKKKPKKGSKNAKPITKTEKCESFFNFFNPPEVPEDDEEIDEDLKSGRAKKLGDGKQGERPPECKQQ >OIW19418 pep chromosome:LupAngTanjil_v1.0:LG01:2925897:2926601:-1 gene:TanjilG_09438 transcript:OIW19418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNETLFYRIGRNSNNSKGLAKDQHELSTRPHHTMSERDRDRDRVRDRERERRRDKDERDRDRDRDRDRARSKRSRSRSIDRVRSRHTRSRTRSPDRRRSPTRSRSPGDRSKRHRHRQHRTPSPDKKRRRRDSSDDDHKETKKVVSEFVDGIAKKQQKQKENESGDGEGDVEMNEDEMEMMKMLGIPTGFDSTKGKPVPGADVSGVRAVTKRQPRQYMNRRGGFNRPLPAERNR >OIW19467 pep chromosome:LupAngTanjil_v1.0:LG01:2405342:2407127:-1 gene:TanjilG_09487 transcript:OIW19467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANQGAKKRKEENARHITKLRYVIIFCNVIYVLIRMLIFHSTFTWKNWIGLVVTSLSYYVPYQQLAKMASPSYAEDGELLDGGFDMSTGGVCGYLHDVVYITCFVQVMSIISGKFWYTYLVIPAFGAYQSFGFIKGFLPGGGSEEPYEDEKTRKKREKMEKKASRPKFLKSRTR >OIW19531 pep chromosome:LupAngTanjil_v1.0:LG01:1904995:1909393:-1 gene:TanjilG_06986 transcript:OIW19531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAVLRHLVLASFVLFSSIKDGSAGITSTFIRSEFPSTDIPLDHEAFAVPKGYNAPQQVHITQGDYDGKAVIISWVTTDEPGPSKVQFGTSENKFQVSAEGKVSNYTFYKYKSGYVHHCLIEGLEYKTKYYYRIGSGDSSREFWFETPPEVGPDVPYKFGIIGDLGQTFNSLSTLEHYLQSGAQTVLFVGDLSYADRYQYNDVGLRWDTWGRFAERSTAYQPWIWSTGNHEIDYMPYMGEVTPFKNFLNRYTTPYLASQSSSPLWYAIRRASAHIIVLSSYSPFVKYTPQWTWLKEELTRVDREKTPWLIVLMHVPLYNSNEAHYMEGESMRAAFESWFIHYKVDVIFAGHVHAYERSYRFSNVDYNITSGHRFPIADKSAPVYITVGDGGNQEGLASRFTDPQPEYSAFREASYGHSTLEIKNRTHAIYHWNRNDDGKKVPIDSFILYNQYWYVHFTIFVS >OIW19424 pep chromosome:LupAngTanjil_v1.0:LG01:2860050:2862105:1 gene:TanjilG_09444 transcript:OIW19424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATIPVNPKPFLNNLTGKHVIVKLKWGMEYKGYLVSVDSYMNLQLANTEEYIEGQFTGNLGEILIRCNNVLYLRGVPEDEEIEDAPED >OIW18959 pep chromosome:LupAngTanjil_v1.0:LG01:18553641:18556969:1 gene:TanjilG_09153 transcript:OIW18959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAVAAAAAAAISGYHNSSNRDHTDQLPLTPQGVQVCVLPTLNRYENQKRGDWNSFREYLKNHNPPLTLSLCNGEHVLEFLHYLDQFGKTKVHAQTCAYFGNSYPPGPCPCPLKQSWGSLDGLIGRLHAAFEENIGSSEMNPFGVGAVTLYLREVRDVQAKVRELLFPARFPHEYLYGE >OIW18295 pep chromosome:LupAngTanjil_v1.0:LG01:24669990:24672611:-1 gene:TanjilG_31435 transcript:OIW18295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFLLHESASGYALFEAHGLDEIGQNTEAVRNSVSDLNRFGKVVKLRSFNPFTSALDALTQCNAISEGLLTDELRTVLETNLPKVKEGKKSKFSLGVVDPKIGNQISELTKIPCQSNEFVLELIRGVRLHFDKFVGDLKTGDLEKAQLGLGHSYSRAKVKFNVNRVDNMVIQAIFLLDTLDKDINSFSMRVREWYSWHFPELVKIVNDNYLYAKLTKFIEDKSKLTGDNISDLTDIVGDEDKAKEIVDAAKSSMGQDLSPVDLINVQQFAQRVMDLSEYRRKLYDYLVTKMSDIAPNLASLIGEVVGARLISHAGSLTNLAKCPSSTLQILGAEKALFRALKTKGNTPKYGLIFHSSFIGRASAKNKGRMARYLANKCSIASRIDCFSERETGSTAFGEKLREQVEERLDFYDKGVAPRKNIDVMRSAIESADNKDTEMETEEVPVEPSSKKTKKKQKGGDDIAVEKPTENTNGDASEDHKSEKKKKKKEKRKLDQEVELDDQVVDDDAKKKKKKKDKKVDNAEVLEAAVETKKKKKKSKTKDDE >OIW17740 pep chromosome:LupAngTanjil_v1.0:LG01:34792684:34794516:1 gene:TanjilG_29090 transcript:OIW17740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNPNPNLTHEDEKSLQDELLIPILLGDRVIKLAQGADSSKLDYSELANKVQLLCESLRSVLRLVTATPSVNLNDRPIRRIAADVTKNLERALALVRKCRKHGGVLRHVFSITSAADFRKVWNLLENSNGDMLWLLSVFDSKGTNLSLPPIASIDPILAWVWTYIYTLQLGQVRDRAEAATALGSIAVDNDRNKVIIMEQGGIVPLLKLLKEVASPEAQVEAANTLVIVATKQERVVRFIVDCLGVPTIVQVLGDTSASMRVRVAVANLVSTMAAQDDVAKEELVRANVHRPLVSLLSMDTVLPDPMLQGGRTSIHSLVLNLSSSGESSSHLVNSDGSGRGGSNRREREREREVENAGLRNEIKVSCAKALWKISIGCLLSCKKVTETKGLLCLAKIIESESGELQFNCLMAVMEIAAVAESNADLRRVAFKPNTTAAKAVLDQLLRVVREGSDPALQIPAIKSIGSLARNFSGNIPHILSPLVARLGNRDADVAIEAAIALGKFVCPDNYNRVDHSKAILERNGLPKLMTMLHLNDRAQMHGLKLLCYLALNVGNSKVLEDERALNTLEKLARPVLAQHPDLRELFAKAIHHLTLYQPGAQLHRQPLGL >OIW18274 pep chromosome:LupAngTanjil_v1.0:LG01:25024985:25026581:1 gene:TanjilG_31414 transcript:OIW18274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKLNFVKNGISKLPPGFRFQPTDEELVFQYLKCKVFSYPLPATIIPEINVCKYDPWDLPGNCEERYFFSSKDNKYRNGNRMNRTTNSGYWKASGTDKRISTSTCDGIVGIRKSLVFYEGKSPNGSRTNWVLHEYRLVTIENNYVNEIGDWVLCRLLMKKRSIIESDGSTSHMHKRNTARGFPRLFDFMTVSNGEHSSTSSSSCSSSSNNIEVSSNALEHEETSGYADF >OIW19300 pep chromosome:LupAngTanjil_v1.0:LG01:4664147:4664863:-1 gene:TanjilG_24339 transcript:OIW19300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPCQYFLPEKVVNPSADEAVDTYMYASLASGFEAVLPPSVRQIPTFERTKVQPSVDCPKNFIIFDQTAQQSRIMFHPGMTYKLNSLGFIVHASTQDNEKKELNKMERELSSPFKEDYDDIDALLSLEGDELEDLDEEEVSTARTDEIYESISDTCSRYYSKSSKKRSSSSVQESSGIQGQGYWNNKTKHREMKKMVRMMRRIVPGGGNQMDTVTVLDEAVKYLKSLKAEVEQLGVGP >OIW18594 pep chromosome:LupAngTanjil_v1.0:LG01:21463944:21477239:-1 gene:TanjilG_13346 transcript:OIW18594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRLDRVEEGLAEARASIREAIRSMNYTSEKPEYFIPKGSIYRNPHAFHQSHIEMVKRFKVWVYEEGEKPIVHDGPLNNIYAIEGQFIDEMENSIGNNPFKARHPNEAHVFYLPISVANVVRYVYEPIMSKKDYYRDRLQCLVEDYVGVIAHKYPYWNKTNDLQEGELIHGDNFNLFAAMSAVEIMDPKMDSGIVSTYYSLDEAIENGAAPVPISADKTTDVLCTIDIMDHLLACEATWHTGHSLAQTVYSCLYLLQPERTSSHALLHSYCKVTRATCNAVLSVVSDTRTHEEEDLFTMTYDLPLSEDRDERCLSMLNAVEETISRQLRACKVSSSKRRVSEDIETLQSNPDLEEGYCKALLCRLRFRKHFYHLLMSMKRPQGRGLELARKHVASCIVEIDHIRKSSEFLRASAQEMSKQNIDNTTASGCQPIGFDASLNSRLSAPTPPRAIKILCWEKALDYYMKLLQDLDIICSYSLDPSLEAAFLFVVKFQKSQPDLVSRAHLQLLLVQDGKLYGRDPIFSVITKAAGLPEGTKNHDIQKNQFMVQLGQLVINLLKILCTNAAWQRRKLGKMLQDWRVTSVQLELAFKEFIEASNSPGTENVCFKIFQHTLAWVEEQTYWIASRFLILGFELELYTAHDYCMVYWYIYVVLIKLCEKKNLRMAMSIGAAKKKAKKKKDSSKDAGMDYQIPAAVLFLQSQMYLAEGLSMMFAALRNEHKVVPPQSPFSTEHEIFIQQFELLQKACLPDRVSYMSFKESTVHANFSTLAMCDYFKEAQRIAKEVKSSFANDPDKMAELRRIEQVAEHNSIALNVICRVGALDPSLNISFTFCHHPFFATAIVKRS >OIW18490 pep chromosome:LupAngTanjil_v1.0:LG01:20621387:20622593:-1 gene:TanjilG_13242 transcript:OIW18490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHVSYFRKYGKTFKKPRRPYEKERLDAELKLVGEYGLRCKRELWRVQYALSRIRNNARNLLTLDEKNPRRIFEGEALLRRMFRYGLLDDTQNKLDYVLALTVENFLERRLQTLVFKSGMAKSIHHARVLIRQRHIRVGRQVVNIPSFMVRVDSQKHIDFSLTSPLGGGRPGRVKRRNQKSAAKKAAGGDGDEEDED >OIW18917 pep chromosome:LupAngTanjil_v1.0:LG01:19111185:19116365:-1 gene:TanjilG_25360 transcript:OIW18917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMPSGNVVVQDKMQFPSGVAGNGGGGEMHHHHYSQQWVVDERDELIGWLRSEFAAANAIIDALCNHLCVVGDPGEYDMVIGAIQQRRCNWNQVLLMQQYFSVAEVGYALQQVAWRRQQRYKDPVKVGATDSRKFRPGYRQGQRFDVAKVGFNSSMESSSHEANAVVKGGAEKETPLSEKSEELKEGGKVGKMDDNNLASAEDKKDDSTKHQTDGNLKSSGSSQGSLSNSESEAVVVIDRTISDSKGNDSHSVQHKLQSQSLSTVAKTFIGNEMFDGKMVNAVDGLKLYEGLFDGTEVSKLVSLVDDLRVSGRRGQFQGTQTFVVSRRPIKGHGREMIQLGVAVADAPLDGENMAGASIDKNVEPIPSLFQDIIERMVSSQVMTVKPDACIVDFYNEGDYSQPYNWPHWIGRPVYILFLTDCEITFGRSIASDHYGDYRGTLKLSLAPGSLLSLQGKSTDFAKYAVPSIRKERIFVIFTKSQPRKYMPNDAQRLASPAAPFHWGPPPNRPPNHIRQNLGPRQYGGPVPTTGVLPAPPIRPQIPPPNGMQPLFVPTPVISPMPFPAAVPIPAVPGSTGWTAVPPRHPPPRVAAPGTGVFLPPPGSGNSPQQLPGSPSTEVNNPSVETPTIPNKENGKSNHDTTSASPEGKTERQECSNGHVNGAEVEQAVDADRDSNNNDVASH >OIW17628 pep chromosome:LupAngTanjil_v1.0:LG01:35803872:35806481:-1 gene:TanjilG_28978 transcript:OIW17628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCFYYFKDKSKSNRQISAPELKEGHKKLSQFSGAEPERVTKSSCSSSTSYRGIPELYEEKARNLRAFSFTELKHATNDFSRLLKIGEGGFGSVYKGSIKHVEGNGDPVLVAIKRLNKDASQGHKQWLAEVQFLGVVEHPNLVKLIGYCASDDERGIQRLLVYEYMPNKSLESHLFEKAYDPLPWKTRLEIALGAAQGLAYLHEELEVQVIYRDFKSSNVLLDANLKPKLSDFGLAREGPAAGDTHVSTAVMGTYGYAAPDYVETGHLTAKSDVWSYGVVLYEILTGRRSLERNRPKMEQKLLEWIKQYPPDSKRFESIMDSAFVGQYSISGARKVAKLADSCLRKSAKDRPTMRQVVERLKQIIQDYDEENTAEKNVDAAENDVVEHEEKMNESDSSVLWKKRMEHLEKLGEHVESASRRRFMILQRINVP >OIW18768 pep chromosome:LupAngTanjil_v1.0:LG01:22898199:22900427:1 gene:TanjilG_13520 transcript:OIW18768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSQLTASMISSRNLASFEGFRLSSLQFPSISHLRMDTLTQKSFPRFVVKASTVSALKYTSIKPLGDRVLVKINEADEKSEGGIILPTTAQTKPQGGEVVAVGEGKSVGKSKVEISVKTGARVVYSKYIGTEVDFNGSKHLILKDDDIIGILETDEVKDLKPLNDRILIQVAQAEEKTAGGLFLTDATKDKPSIGTVIAVGPGSVDEKGNKRPLSVTPGNTVLYSKYAGNDFKAKDGFDYITLRASDVIAVLSQ >OIW18726 pep chromosome:LupAngTanjil_v1.0:LG01:22529526:22533943:1 gene:TanjilG_13478 transcript:OIW18726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEARVGTKFRLGRKIGSGSFGEIYLGTNSQTNEEVAIKLEAVKTKHPQLLYESKLYRILQGGTGIPNVRWFGVEGDYNVLVMDLLGPSLEDLFNFCSRKLSLKTVLMLADQMINRVEFIHSKSFLHRDIKPDNFLMGLGRRANQVYAIDFGLAKKYRDSSTHQHIPYRENKNLTGTARYASMNTHLGIEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYEKISEKKVSTSIEALCRSYPTEFASYFHYCRSLRFDDKPDYAYLKRIFRDLFIREGFQFDYVFDWTILKYQQSQLATPPARAIGPGAGTSSGIPPVVSNADRQTGGEEGRPPPGLVSADSSRRRLSGPVLNSLSSANVMGQSSGSSRRVAASSSRDAFIGAESDIRTRTASPGAAQRILSGQRSSPIGSDPKRVSAARNTSHHVKNYDTALRGMESLQLENDGRPHH >OIW19172 pep chromosome:LupAngTanjil_v1.0:LG01:9988766:9994070:1 gene:TanjilG_13954 transcript:OIW19172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNQLDQRRTHTTGHENHGVQVCNKCGWSYPNPHPSAKHRRAHKKICGTIEGLVVSAPNNLDTGKIEKGNDGIRERLVRLRSEDEVFSDAVEDFSDIGLSPGTKEPLKQDCLDSDVGLLIVKSSDDCQNENHSILQFESVEVGNTLDLQGELPDSIVDPLPSSIANSGTEESTFVHSNDFFDLSSDSPPYKAETLADVLRENKINAGENVTDCSLISVAKDTNLGAKDEIKSDVDVAENVDSSDNVVDETCGVSEVAVSGAISSDHQMAEEAVMLMEKNSAEFLSMQAHDDFPLALNSDEVTNALTNDVQVESAHVKQFSTSSDVNILQEKGEGNADADMPPTCDNSLELVIPQSEHEGFNDLEGVVSQGPLSQPSESLKHDEDEQKSSATEENTFVFIPNQLTKKSVLSPDVHVHTAEETNAEENIEVSPVKVAVESCDRLDEIGESMNAIETEINESHIIPFSEEQEIIDGCKVSQQISLPEGSLVASSNENPKDASFDSATSEKYGVISIDNASHHDKNSTAINNVVVGGNNVRAGVESDTGTIIEDLQLNGLPQWEDTQSSDIIKSDDAGEMSKVEKCDITESLVISEAVVDATTRKATGIECTNISPISAPQEDIKKDEFNSNIIVHEEYNRPVDPSADSNPAQDSELIGKAAENLARKYAPLSLNTGPSAQHDSAVEDNQDGEQGRKVSRIPAVPFQDRTVNSLVKHSSSGFDASVDSSSRCDSLEGNWGSVSVISLQFDAPAVIDTENLPSEMFEPPSKAAASEVQKGSNSQQQDSISQAGWFPTLTQAINESPERKKNEEIIAKVTNWSTCKEHTPLKSLLGEAAHSSKAKPPKFGGHSLNQKIGKLPENSSSGLTTLNSILSPELPAAEAAKGQAAKEWNSPARYPADIKREKGKVKNRPFWIQLVCCSSVDHQPQKR >OIW17681 pep chromosome:LupAngTanjil_v1.0:LG01:35253504:35262767:1 gene:TanjilG_29031 transcript:OIW17681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMMMANKIEGQLMGSEIHGFYTLKGGTVVLFDRKKLRNFRKDGHNWKKKKDGKTIKEAHEHLKVGNEERIHVYYAHGQDNTDFVRRCYWLLDKSVEHIVLVHYRETQEVQASPVSHVNSNSSSSDPAALLITSEEIDSRTNIAYAGEINHNSAVKSHELRLLEINTLEWDDLVLANDLNSSTVPNEGTVQDFDQQNQVLLNNSFNNPVASNRSAEIPSFDYLTQPIAVSDSVPYNFSESVNLQTVHNQVNPIEHRNHPVSASNNLVNDKLQSQNSTGMWVNIISDSPCSVDESALESSVSSVHESYSSPVVDNQQSSLPEQVFNLTDLSPTWVSSTEKSKVLVTGFFHKDYQHLSKSNLLCVCGDVSVAVETVQVGVYRCWLSPHSPGFVNLYLSFDGHKPISQVVNFEYRTTVLHDPAVSMEQNDNWDEFLLQTRLAHLLFAKQKSLDVFSSSVSPNALKEARQFALKASYISNNWQYLIKSTEDKKIPFLQAKEALLGTALKNRLKDWLLERIVLGCRTTEFDAQGQSVIHLCAILEYTWAVSLFLWSGLSLDFRDKFGWTALHWAAYYGREKMVATLLSAGAKPNLVTDPTPQNPGGCTAADIAYMKGYDGLAGYLSEKSLVEQFNDMSLAGNIRGSLKSSTTEPVGSDNLTEEQLYLNDTLAAYRTAAEAAARIQAAFREHSLKLQMEAVEFCSPEAEARVIVAAMKIQHAYRNYETRKMMAAAVRIQHTYRTWKTRKEFLKMRRQAIKIQAAFRQFQARKNYRQILWSVGVVEKAVLRWRLKRRGLRGLQVNPVEATGDQKPESDVEEDFFRIGRKQASERVERSVVRVQAMFRSKKAQEEYRRMKLTLTQAKVTNF >OIW19465 pep chromosome:LupAngTanjil_v1.0:LG01:2416208:2422819:-1 gene:TanjilG_09485 transcript:OIW19465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFRIRNLLQLRFSVHTTPFSSPGTSRTYSVLESSQQSVLKPDAVNHSLHDEEIAALRREFEAAKHSFLNIPDAIKDMPKMNPKGIYVNKNLRLDKLEVYGFDYDYTLAHYSSHLQTLIYDLAKEYMVNELRYPEGCMNFKYDPTFPIRGLYYDKLKGCLMKLDFFGSIETDGCYFGRHKLSSMGIRKIYGTRHIGRDHARSLVGLMDFFCFSEACLLADIVQYFVDAKLEFDASYIYEDVFRAIEHVHRSGLVHRTILSNPHIYLMKNVKILQFLKLLRERGKKLFLLTNSPYYFVNEGMRFMLEDSPDYSGSWTELFDVVIAKARKPQFYTSEHPFRCYDTEKDTLTFTKVDAFLPNKIYYHGCLKSFLQITKWKGPEVIYFGDHLFSDLRGPSKAGWRTAAIIHELEAKFHIIQDLLGKLHATTANSLRTAACTSLLEELNEERQNARNKMKMMFNRSFGATFLTSTGQESAFAYNIHQYADVYTSKPENFLLHSPEAWLHVPFDIKIMPHHVKVPSSLFRT >OIW18385 pep chromosome:LupAngTanjil_v1.0:LG01:23438370:23439396:1 gene:TanjilG_31525 transcript:OIW18385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASTFTHEFSSLVAPSRMFKALIIDSRTLLPKLLPQFVKEIILIQGDGEAGSIEQVNFNEASPFKYLKQKIEVLDKDNFVCKYTLIEGDPLGDKLESIAYDVKFEAASNGGCLCKMISNYNTIGDFDVKEEEVKEGKETTIGIYRVVESYLLENPQVYA >OIW18572 pep chromosome:LupAngTanjil_v1.0:LG01:21213217:21213840:1 gene:TanjilG_13324 transcript:OIW18572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGTSIGVGGLIRQRHSPGGYGSSGDEVDLEDDACSRPRPFPPSTPAPPRRTWIELLGNFLWLASAAFILYFGDHHSNFIYILCHDNRIIRRWRPSSPQHCLDKNIGGGVRICSTAMYLELSFPIQLPWQYLGHNVSSG >OIW18533 pep chromosome:LupAngTanjil_v1.0:LG01:20877158:20878513:1 gene:TanjilG_13285 transcript:OIW18533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLAKAYVVIAKEHNNLHLAWQLSKKIRGCQLLLSKTAMTGEPVTQEEAEPIIKSLSALIFKAQDIHYDIATTIVTMKSHIQALEERANAATVQSTVFGQVAAEALPKSLHCLIVKLMTDWLKKPSLQELSYERKNSLRLVDNNLYHFCIFSDNVLATSVVVNSSVSSADHPKQLVFHIVTDGVNYGAMQAWFLSNDFKGATIEVQDIDEFRWLNASYSPIVKQLLNPDSRAFYFGAYQDVNVQPKMQNPKYLSLLNHLRFYIPEIYPQLEKVVFLDDDIVVQKDLTPLFSLDLHGNVNGAVETCLEAFHRYYKYLNFSNPIISSKFDPQACAWAFGMNIFDLVAWRKANVTGRYHYWQEQNADGTLWKLGTLPPALLCFYGLTEPLDRRWHALGLGYDLNIDNRLIESAAVVHFNGNMKPWLKLAIARYKPLWDRYINQSHPYLQDCVTS >OIW18631 pep chromosome:LupAngTanjil_v1.0:LG01:21773463:21774218:-1 gene:TanjilG_13383 transcript:OIW18631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLPIVSPIFFIMLSLLLLISLCEGFDFVVGGNKDSWKVPLQSPDSLNHWAETQRFRIGDTLVFKYNNSTESVHLVNEEDYLACKTLGNHTIFNDGHSKFHLMESGSFHFISGSQGHCQMGLKLVVVVMSPRPAVNGTVHSPPISLALPSPGPSALSPSINQAVPRTSGNEFISVIMGLGSFIGHMIIFELM >OIW19477 pep chromosome:LupAngTanjil_v1.0:LG01:2308631:2310580:1 gene:TanjilG_09497 transcript:OIW19477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKEGKAIGIDLGTTYSCVGVWQNDRVEIIPNDQGNRTTPSYVAFTDTERLIGDAAKNQVAMNPHNTVFDAKRLIGRRVSDHSVQNDMKLWPFKVIPGAGDKPMIVVNYKGEEKTFSAEEISSMVLTKMREIAEAFLGQPVKNAVVTVPAYFNDSQRQATKDAGAISGLNVLRIINEPTAAAIAYGLDKKASRKGEQNVLIFDLGGGTFDVSILTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVSEFKRKNKKDISGNARALRRLRTACERAKRTLSSTAQTTIEIDSLYEGIDFYATITRARFEEMNMDLFRKCMEPVEKSLRDAKIDKSQIHEVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILTGEGDEKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQIFSTYSDNQPGVLIQVFEGERARTRDNNLLGKFELTGIPPAPRGVPQINVCFDIDANGILNVSAEDKTAGVKNKITITNDKGRLSKEEIEKMVRDAEKYKAEDEEVKKKVEAKNSLENYAYNMRNTIKDEKIGGKLSADEKQKIEKAVEEAIQWLEGNQLAEVDEFQDKQKELEGICNPIIAKMYQGGAGGDVPMGDYDIPSGGSGSAGAGPKIEEVD >OIW18512 pep chromosome:LupAngTanjil_v1.0:LG01:20744957:20746354:-1 gene:TanjilG_13264 transcript:OIW18512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKICGIGAGYVGGPTMAVIALKCPSIEVAVVDISHSRISAWNSEKLPIYEPGLEEVVKQCRGKNLLFSTDVEKHIFEADIIFVSVNTPTKSSGLGAGKAADLTYWESAARMVADMSKSDKIIVEKSTVPVRTAEAIEKILTHNSSKGVKFQILSNPEFLSEGTAIQDLINPDRVLIGGREGPEGHEAVLKLKGIYDHWVPEDKIITTNLWSAELSKLADNAFLAQRISSINAMSALCEVTGAEISQLSDALSKNTKIGPKFLNASVGFGGSCFQKDILNLVYICESNGLIEVANYWKQVIKVNDYQKSRFVNRVVSSMFNTVSGKKIAVLGFAFKKDTSDTRKTPAIDVCKGLLGDNACLSIYDPRVSKDQIHKDLSMDSEVKHVSIVSDAYEATKDAHGICILTEWDEFKTLDYQRVFENMQKPAFVFDGRNILNVDKLTKIGFIVYSIGRPLHQWMNNIHQP >OIW17668 pep chromosome:LupAngTanjil_v1.0:LG01:35350192:35351868:1 gene:TanjilG_29018 transcript:OIW17668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKGVVITVPVLVLSASLAAVFLLFLLSSFSSCSCPQPSDTSVSSTSSIDVGVPESSHGNIPLSTRKEDVEWVIDQIHANGLHMHDNVLRKGINPRTRAQQLVDLREFKGISHYEGPQLNNHTALPCPGELLVEEHHSNYGEPWAGGRDVFEFLAQSTQLRPESQVLEIGCGTLRVGLHFIRYLNPEHFHCLERDELSLMAAFRYELPAQGLLNKRPLIVKGDDMDFSKFGSGIMYDLIYASAVFLHMPDNLVWTGVERLTSKLKPYDGRIFVSHNIKFCSRLGGEECTKRLMSLGLEYIGKKTHDSLLFNHYEIWFEFRRVKA >OIW19296 pep chromosome:LupAngTanjil_v1.0:LG01:5472155:5478512:1 gene:TanjilG_20421 transcript:OIW19296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKFEYPRLSRSDIVAILVQSQIANVSEHDLINPNSEFISELYTRILIHLQFFLQDDNEQLDFDALEQLENPDLHLESVRVMKLYNRIKEVLAALECPKKFTLNDLIAPEPNRAEFFLGAILNFCLDREVRMNSVSEIVNEFNLLEEQRAELEEVKILQLKSEISECNEAREREMPLVQEVDAKVKELRQTIATLNNQQMSLRTTLRKLKEKSAEMDEKISNAEFTLVQNVQENANLRSKIAQSPDKVQRALEEKKLVREEARNTERLAMQNFHEKTALVEVYSKVYKKMSKHHKQMQAIQEQVNSVKSVEKELKALKAKLSNEEVLEKSLEAKLAERQSKVEQMEELRKQVEKECNTMCEEATKHVNSIKLEVESKGSAMEARQKNVESVLAEVEAVNSKIIAVKESGAAKVAQLGRKYEEVAEEYRKYADSIARLMESGTNSQDH >OIW17908 pep chromosome:LupAngTanjil_v1.0:LG01:32803991:32807761:1 gene:TanjilG_19877 transcript:OIW17908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLFSHLLLHSALLIILFPFIFHNLIVGVSSTTLSINTDKEALISLKSELNDETSNQLSSWSQNSSPCNWTGVLCNNLRERVTGLDLSGLGLSGQLSPYIGNLSYLESLQLQGNQFIGVVPDQIGNLLRLRVLNMNSNRIEGMLPSNITNLVELQILDLSLNMIVSRIPEDISNLSKLQVLKLGGNSFYGAIPETIGNISSLKNISFGTNSLSGLIPSELGRLSNLIELDLTINNLTGTIPQAIYNLSSLVNLAVASNSLWGEIPYDVGLKLPKLLVFNFCINKFTGRIPGSLHNLTNIRVIRMANNLLEGTVPPGLGNLPSLHMYNIGKNRIVSSGDRGLDFITSLTNSTFLNFLAIDENRLEGVIPESIGNLSKDLSNLYMGGNRFNGSIPTSIGNLSGLKLLNLSYNSITGDIPHELAQLEELRELSLAGNQLSGSIPNSLGNLINLINIDLSRNELVGEIPTSFGNFQNLIFMDLSRNKLNGSIPKEILNLPTLSKVLNLSVNLLSGPIPQVGNLIGVATIDFSNNQLSGGIPSSFSNCRSLENLFLSRNMLSGSIPKTLGYLRGLVNLDLSSNQLTGSIPTELQNLHVLHLLNLSYNDLEGAIPSGGVFQNPSYVHLEGNGKLCLDLSCVPHGSGRRNVKLYIIIATVVTLILCLTIGLVLYIIKNRKVKVTAAQSSDLLNSRIPMVSYDELRRATEEFSQENLLGVGSFGSVYIGHLSQLNTVAVKVLDTLRTGSLKSFFAECEALKNTRHRNLVKLITSCSSVDIKNNEFLALVYEYLSNGSLEDWIKGKRKHANGNGLNLMERLNIAIDAACAMDYLHNESQVPIVHCDLKPSNILLDEDMTAKVGDFGLARLLIQSSANQVSISSAHVLRGSIGYIPPEYGWGEKPSAAGDVYSFGVVLLELFSGKSPTDESFTGGLSLTRFVQSAFKDNTVQVIDPQLLSLIFHDDDDPSNAKGPNPQLHCVDAILRVALSCTADNPDERIDIKDVVHQLKATRDSLMKQYEIKSPSPTSEHYLEVSIK >OIW19578 pep chromosome:LupAngTanjil_v1.0:LG01:223470:223778:1 gene:TanjilG_18388 transcript:OIW19578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRVTKMASERPVVIFSKSSCCMCYTIKSLFGDFGVNPAVHELDEIPRGRDIEQALSRLGCNPAVPAIFIGGEFVGGTNEVMTLHLNRSLIPMLKKAGALWL >OIW18893 pep chromosome:LupAngTanjil_v1.0:LG01:19405523:19410678:-1 gene:TanjilG_25336 transcript:OIW18893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFDDVLRNRINAGMESLQRSENSSMAERTIHNYTARRNLNFFSCGTGNPIDDCWRCDKLWYARRKHLANCAIGFGRNAIGGRDGRYYVVSDPGDDDPVNPRPGTLRHAVIQDRPLWIVFKRDMVITLKQELIMNSFKTIDARGVNVHIAYGACITIQFITNVIIHGLHIHDCKSTGNAMVRSSPSHYGWRTMADGDGISIFGSSHIWIDHNSLSNCADGLIDAIMGSTAITISNNFFTHHNEVMLLGHSDTYVRDKQMQVTIAYNHFGEGLIQRMPRCRHGYFHVVNNDYTHWEMYAIGGSANPTINSQGNRYLAPQNPFAKEVTHRVDGGGQWKGWNWRSEGDLLLNGAFFTASGVRTAASYARASSLGAKSSSLVGTLTSGAGVLNCRRGNMC >OIW18623 pep chromosome:LupAngTanjil_v1.0:LG01:21703658:21706648:1 gene:TanjilG_13375 transcript:OIW18623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGVGKMKQYGNVLDKPLNKGKQEVSLSAFAFLFSEMVQYNQTQVDNIGELERRLEDAGYAVGARVLELLCHRDKGNRRETRLLGILSFVHSTVWKVLFGKVADSLEKGTEHEDEYMISEKELLVNRFISIPKDMGTFNCGAFVAGIVRGVLDGAGFPAVVTAHFVPMEGQQRPRTTILIKFAEEVLLRETRLG >OIW19660 pep chromosome:LupAngTanjil_v1.0:LG01:922080:926729:-1 gene:TanjilG_18470 transcript:OIW19660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRHLKSQKAHDHSLIALFNSTPSSSSSSSSSSSLSSSSSSWYAESIRLSSNPVQTGSKVSHEAEQAEVTENDSIQCMGFMNDIGGGSIMEWKDVENRCNRNMDGKITETDIKQTILLTASTNKLSLTHEEVEDYTALIMKSVDIKNNGYIEISQMESLMKATLSSKTLLSPTKQVSAALGKNNVHNNEFCEVVEEEPMSKAEVMFRNYWRRCWIVLLWVVACIGLFTWKFVQYKNRSGFEVMGYCLPTAKGAAETLKLNMALILLPVCRNTITWLRNHRSIGYLIPFNDSINFHKVIAGGIVVGVILHGGTHLACDFPRISESDRSIFRQTIAADFGYHQPTYVEILLTPEVGSGIVMVVLMGIAFSLASKWPRRGSPVLPVSLRRVTGYDTFWYSHHLFVLVYALLIFHSVFLFLTKNWLEKSTWMYIIFPVLLYTGERIFRAVRSGSYEVDILKASLYSGKVLHLKMQKPEGFNYRSGMYIFLQCPQLSPFQWHPFSLTSGPQDDYLSVHIRTLGDWSYHIYSLFQEAVLSGLQECPKLYIDGPYGSAAQDHVKYDILVLVGLGIGATPFISILKDVAKGAQIAQRDNSGLRECSLTKGPSKAYLYWITRDQNSFDWFRDAMKEISNSAEKQSVVEMHNFLTSVYPEGDVRSALLSVIQSLHHAKNGIDIVSRTPIHTHFARPNWFNIFSRLARKHGGAKIGVFYCGPSNLARELMKLCTKFSTTTTTRFSFHKENY >OIW19375 pep chromosome:LupAngTanjil_v1.0:LG01:3315147:3317479:1 gene:TanjilG_03509 transcript:OIW19375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLNSPASKNDEFDKKPVPKLSLPKAVVFSSPRPVSELDAAATKLQKVYKSYRTRRNLADCAVVVEELWWKALDFAALKRSSVSFFDVQKQETAVSRWARARTRAAKIDPRHRYGHNLHMYYDIWFESQSTQPFFYWLDIGDGKEINLEKCPRSTLQRQCIKYLAPNEREEYEVIVENGKLVFREDGRFVDTDDKSKWIFVLSTTRALYVGRKQKGKFQHSSFLSGGATTAAGRLVAHQGALEAIWPYSGHYHPTEENFKEFISFLEEQEVDLSNVKKYAIDDDTPSLIGTNSFTATNEPQQTTTNAINVNDSNVVTTIHKEVDGNKVEVPQAFNLSKKLSRKWCSGAGPRIGCVRDYPEHLQSMALEQVNLSPRPNSSRLTNYGPIPSPRPSPKVRMSPKIAYMGLPSPRNLIPASS >OIW19138 pep chromosome:LupAngTanjil_v1.0:LG01:11093161:11101783:1 gene:TanjilG_03628 transcript:OIW19138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKPHNFLHSLINHFKFGSTGEQNNEADLQRMAAQEQKIFSYETLVAATKNFNPIHKLGEGGFGPVYKGRLNDGREIAVKKLSQTSNQGKKEFKNEAKLLARVQHRNVVNLLGYCVHGKENILVYEYVPHESLDKLLFKSERKEELDWKRRYGIITGMAKGLLYLHEDSHDCIIHRDIKASNILLDDKWSPKIADFGMARLFPEDQSQVNTRVAGTNGYMAPEYVMHGNLSVKADVFSYGVVVLELITGQRNSAFNLDVDAQSLLDWAYKQYKKGKSLDIVDSTLASSMEPEQVTMCIQLGLLCTQGDPQLRPNMRRVVVMLSKKPGHMDEPTRPGMPGSRYRRHRRQSAMSSIADTSVTSDSSNNYTTTVTTTATRTNSATGRNSATDTLEIDRRGKRPMSG >OIW18394 pep chromosome:LupAngTanjil_v1.0:LG01:23350426:23351157:1 gene:TanjilG_31534 transcript:OIW18394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVFTFENESTSTVAPARLYKALVTDADTIIPKAVEAIQSVETVEGNGGPGTIKKLTLIEGGETKYVLHKIETIDEANLGYNYSIVGGVGLPDTVEKISIETKLVEGANGGSIGKVTIKIETKGDAQPNEEEGKAAKARGDAFFKAIESYLSANPDYN >OIW18656 pep chromosome:LupAngTanjil_v1.0:LG01:21946029:21949761:-1 gene:TanjilG_13408 transcript:OIW18656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAGLSTIQQTLTPDAATVLNHSIAEAGRRNHGQTTPLHVAATLLSSPSGYLRQACIKSHPNSSHPLQCRALELCFSVALERLPTSQNTSPSTEPPISNALMAALKRAQAHQRRGYPEQQQQPLLAVKVELEQLIISILDDPSVSRVMREASFSSPAVKTTIEQSLNLAPANSNSIGLGFRPAAMTMPAAQPPGRSLYLNPRLQQGSGGAGAGAGQFGQVQRGEEVKKVVGILMRRNKRNPILVGESEPEAAVKEALRKIENKEFVEASFGFSNAYVIHLEKEIPSDRSQIPARLKELGVLIESRIGNSGVFVDLGDLKWLVEQPVGFGVGGLGNKQQLSGVEAGRAAVAEMAGLVAKFGEGGAGKLWLLGTATCETYLRCQVYHPSMENDWDLQAVPITSRTPMTGMFPRLGNNGILGSLESLSSLKALQTTTITPPRRASENIAPSGVSTCCPQCMRNCEQEVEEMLKENEKSDSESKSEAASPPLPQWLQHARTNNDSAKVKDQSQSKIEGDVKKRRQELQTKWHETCLNLHPKFHQQNVSTERITPTPFNMTGLYNVSLMGRQFQPKIPMNKNFGTSLQLSSNSMPIQPPSEPVVSLQQNPVTTELVLGQTKPADNVLDETQEQPMNDLLGGLSQKQDKFDEFQNKKLLDADFFKKLLKGLTEKLWWQHDAASAVATTVTQRKVGNGKRRQLGTKGDMWLLFLGPDRVGKKKMATAVAELVNGSNPTIISLAQRTRDGDSDVSHLRGKTVLDRIAETIRRNPHSIIMLEDIDEANMLIRGSIKRAMEQGRFPDSYGREISLGNVLFILTANWFPEDLRYMSNGNSLDEEKLANLAKGGWQLRLSVAKKASKRRPSWLSSEDRSVKPREETNSGLSFDLNEAADADEEDKADGSLNSSDLTVDHEDNHVIHNEALPSIIPHELLDSVDDAIVFKPLNFDLIRRNFATTITKRFSTLIGNGISIEVKEEALEKIASGVWLGQTSIDEWMEKVLVPSFHQLQKNFNSSNNDNESSMVVRLEDDGYSDSRNRNSEQWLPSTVRVVAEEY >OIW19264 pep chromosome:LupAngTanjil_v1.0:LG01:6064565:6070885:-1 gene:TanjilG_20389 transcript:OIW19264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSCDICGIVRRPLADTGTFNSNKSVEGISTTSGVSKLARSLFASLPQQVPKEVVLFPKQGDDVFQFDGSNYYMLWNAQGEFCEFHKAFNAQSRPHLNIAPFKFDVPSPDDKVYTGLQSSKMDLKDQVTNSKTSRVSSNIKEKNEHTVPPNVESLKNLSSLMQKGTWDSSAENKHSKNMATDVETSGNTSTSLSVSLAKGKGNNVKKINSSKNGTVDTQSSKEKSGSSSALPKIRDSDEISSSSIKDGKTESLSSSFNNTVLDVRSGNSDNTNAKGARPQVSYKPEKWMLSQQADDTLTQLNLAIVGHVDSGKSTLSGRLLHLLGRISQKQMHKYEKESKLQGKGSFAYAWALDESSEERERGITMTVAVAYFDTKKYHVVVLDSPGHKDFIPNMISGATQADAAILVIDASLGSFEAGMDGSKGQTREHAQLIRSFGVDQVIVAVNKMDVVAYSKDRFDFIRQQLGMFLRTCGFKDSSLSWVPISAMENQNLVASPSNTNFKNWYNGPYLLDAIDSVQPPTRELSKPLLMPICDVIKSPTLGQVSACGKLEAGALRSGSKVLVMPSAVMGTVRSLERDSNACTVARAGDNVAVTLQGVDGSLVTAGGVLCHPDFPVAVAKHLELKLLVLDGANPILMGSQLEFHVHHVKEPARVSKILSVLDPKTGKVTKKSPRCLTAKQSAVIEVILEEPICVLEFSSCKALGRVSLRLLGRTIAVGLVTRIIEEQN >OIW18960 pep chromosome:LupAngTanjil_v1.0:LG01:18564732:18572319:-1 gene:TanjilG_09154 transcript:OIW18960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQYEKVEKIGEGTYGVVYKARDRVTNATFALKKIRLEQEDEGVPSTAIREISLLKEMQHRNIVRLQDVVHSERRLYLVFEYLDLDLKKHMDSSPDFAKDHQQVKMFLYQILCGIAYCHSHRVLHRDLKPQNLLIDRSNNALKLADFGLARAFGIPVRTFTHEVVTLWYRAPEILLGSRHYSTPVDVWSVGCIFAEMINQQPLFPGDSEIDELFKIFRIMGTPNEETWPGVTSLPDFKSAFPKWSAKDLATLVPDLEPAGLDLLKSMLCLDPSKRITARNALDHEYFKGIKTLPS >OIW17836 pep chromosome:LupAngTanjil_v1.0:LG01:33806864:33809901:1 gene:TanjilG_02464 transcript:OIW17836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFRTVSVPTRDPFLPKFAFLLSHVLLPVLDDIDAILFALKIGNGERPWKTSDARLVLADGSIWKAKSFGASGTQVGEVVFNTSLTGYQEILTDPSYAGQFVLMTNPHIGNTGINFDDEESTQCFLAGLVIRSLSISTSNWRCAETLGDYLAERNIMGIYDVDTRAITRRLRQDGSLVGVLSTDNSKSDGELLQLSRSWDIVGIDLISGVSCKSPHEWVDKTKQDWEFNSKGPGDNFHIVAYDFGIKHNILRRLASYGCKITVVPSTWPASETLKLNPDGVLFSNGPGDPSAVPYAVETVKNILGKVPVFGICMGHQLLGQALGGKTFKMKFGHHGGNHPVRNLRTGHVEISAQNHNYAVDPATLPEGVEVTHINLNDGSCAGLAFPAQRIMSLQYHPEASPGPHDSDYAFREFVQLMKREIAHSI >OIW19401 pep chromosome:LupAngTanjil_v1.0:LG01:3081189:3084366:-1 gene:TanjilG_09421 transcript:OIW19401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPCLSGGGGRTYGLDLEFLKSASFSVYSSSSSPSSTISESSNSPLTISTKKPRTQRKRPNQTYNEASALLSTAYPNLFPSKTLKTQPPQSLFFEEPPSSEILLPFRVFDNSSSFLLHQHEPSFSMEPKVLTLQEKPWQSPGEINSASAMVNSTELEEDCGDDFDAESILDEEIEEGIDSIMGTVVQQEDSNGAVSCFGIPAIGFGGKLDCRLGLRGALRHVDDGGSLWNLPAVDILQILPKIVNKPPPTAALPEKKKKKKKVEVKNLEPVKENAVAKSNLGLMLKLNYEEVKNAWSDKGSPFADDSPVSDVSGNDATARLSQIELLWDNGGVREASVLRYKEKRRTRLFSKKIRYQVRKVNADQRPRMKGRFVTRSNSSRSAHR >OIW17752 pep chromosome:LupAngTanjil_v1.0:LG01:34744074:34744688:-1 gene:TanjilG_00346 transcript:OIW17752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHKPKPNSNAKFLCSYGGKILPRATDGELRYSGGHTRVLAVDPSVSFSELIVKVSDLCGYSVTLKCPLPNGNLETLISITSNEDLANIIEEYDRASLSLTHPLKIRTILSPLKKLSPSPSSSSSGSPPYAVAGQIIRRNCLPAGYQNGFGYGSVNFGSPRFLNRGLHYCNYCH >OIW18216 pep chromosome:LupAngTanjil_v1.0:LG01:27492426:27497330:-1 gene:TanjilG_31336 transcript:OIW18216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTPTPNPEAERRIRNLTQHLNPLPRQLLSATNHHLAIEPCAAKTKLNVDTSSLSSYMSGKHKDIQEKVINYFNANPHLQTPIEISKDDHRELCMKQLVGLVKDAGFRPLNYVLHDPSTYFAILEAVGSYDMSLSVKMGVQYSLWGGSVLNLGTQKHKDKYFDGINNLDYPGCFAMTELHHGSNVQGLQTVATFDPITDEFIIDTPNDGAIKWWIGNAALHGKFATVFARLKLPNYDTKGVSDMGVHAFIVPIRDMETHQPLPGIEIQDCGHKVGLNGVDNGALRFRSVRIPRDNLLNRFGDVSRDGKYTSTLPSENKRFAATLGELVGGRVGLAYSSVSVLKVAATIAIRYSLLRQQFGPLNQPEVRILDYQSQQHKLMPMLASTYAFHFATTNLLDKYSEMKKTHNEELVADVHALSAGLKAYVTSYTAKSLSICREACGGHGYAAVNRFGSLRNDHDIFQTFEGDNTVLLQQVAGDLLKQYHGKFKGGTLAVTWSYLRESMNSYLSQPNPVTARWEGEDHLRNPKFQLDAFRYRTSRLLHSVALRFRKHAKSLGTFGAWNRCLNHLLTLAESHIESVILAKFIEAVQSCPDPSSQAALKLVCDLYALDRIWKDIGTYRNVDYVAPNKAKAIHKLSEYLSFQVRNIAGELVDAFDLPEHVTQAPIAMQSEAYSKYTQYVGFQ >OIW18314 pep chromosome:LupAngTanjil_v1.0:LG01:24399511:24403823:-1 gene:TanjilG_31454 transcript:OIW18314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVEGRATNNKSSTLIFEQNQQKGAQSDHVDLPSAHTIDHGWKWGIMCLIIVGLYTAYVNWLLAAFHVIGDQRFIRYRDLMGFAYGKNMYRITWTLQFLTLLLGNMGFILMGGKALKEINSEFHDTPLRLQYYIVITGAVYFLFSFSIPTISAMRNWLGASALLTISYIIMVLIVLVKDGKSNLNKDFDISGSQVSKVFNAFGAISAVIVSNTSGLLPEIQSTLCKPPVKNMRKALYSQYTLGLLFYYGVTIMGYWAYGSMVSAYLPENLSGPRWINVLINAVVFLQSVTSQHMFVAPIHEALDTKFLDINKGMHSGENLKRLFLLRAVFFSGNTLVTAAFPFMGDFVNLLGSFSLVPLTFMFPSMVFIKVKGKTARLEKKAWHWFTIIFSSLLTIATTISAFRLIVDNIKKYHIFADA >OIW19093 pep chromosome:LupAngTanjil_v1.0:LG01:14349241:14349465:-1 gene:TanjilG_06402 transcript:OIW19093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSDKDHLVGESLIHKMGLYKYGNTWQYQEDYNTTMDLDLSRDEDQAGMDQPNAGTQGESSRTTPQVPPFALA >OIW18092 pep chromosome:LupAngTanjil_v1.0:LG01:28541284:28549339:1 gene:TanjilG_01181 transcript:OIW18092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTRVPVQHYNLNSPTSFIDTPLHVLDAATTAASTTIILHDNHHDCMNELHSVEVDEDQSSLETNESSRVNYDILTMNDISPIESARARFLQIVVDHFIDDHVVEMADSEGDYSGQDKMNSKRRTKEIQYEGDPNFALPLMYVANMYESLVNDVNIRLASLNGIREKTIGVALEAAGGLYRRLAKKFPKKGPCTYKRRELATSVETRTRFPELVIQEEKRVRFVVVNGLKIVERPNNMPIDDAEWFKRLTGRNEVAISANDYKFYSPRHKYRRSASISFPNMPDIPSFPGADNSSTLTQGYRTPQNQQQTLSKHHVQSLPHQPQFHPVLQNNQTMHQSQQHAGPYSHNHQSGSPSHLSEISHADQPTSMSQHMTCLQPLTGGHVGGRVHVMPPTPAKYCDECGAQYLRETSKFCSECGSKRLGI >OIW19130 pep chromosome:LupAngTanjil_v1.0:LG01:11571681:11571974:-1 gene:TanjilG_03620 transcript:OIW19130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPIVITQLATGLSVLAGAVLVKSVMDRNPMAGPFPRCPTCNGTGRVTCLCSRWSDGDIGCRTCAGSGRMGCSNCGGTGTGRPMPVTIAVRPPNRPS >OIW19319 pep chromosome:LupAngTanjil_v1.0:LG01:4086448:4086932:1 gene:TanjilG_07287 transcript:OIW19319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRIAVFNNKVVNLQAELNYVHAHLATMHSLQIAHLSHPQISSSPTSFPSSSNAHDNISMHFDPHQQLQSTSLELCNILNPSHQQHKDGELEAMALEFVSRYLPGVRFKPPHSH >OIW18763 pep chromosome:LupAngTanjil_v1.0:LG01:22843905:22846076:-1 gene:TanjilG_13515 transcript:OIW18763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAVRFHFYLFILSTVLSFYPLLSINPEYEALIKLKQSFSTSNSLSSWVPNQNPCSSKWEGVICVNNAITSIHLPDRGLSGKIDIEALMQIHSLRSLSFVRNNFSGPIPEFNKLGALKAIYLTKNKFSGPIPSDFFSQLGSLKKIWISNNKFSGNIPDSLTKLKLLNELHLENNEFSGPIPEFNNSIKSLDMSNNKLQGAIPASMVNFGANSFSGNEGLCGKPLDKECDGTLAAGKPESGISWAVKVIIILAIAAVVALAFIFMKTKRRNVDDVSVRSTQNNHSGQNQVDNVVQVHVPSSNRSRGSEHSSKKGSESKKGSSRSGMGDLIMLNDEKGTFGLPDLMKAAAEVLGNGGLGSAYKAAMANGMSVVVKRMREMNKVSRDIFDAEMRRFGRLRHPNILTPLAYHYRREEKLFVTDYKPKGSLLFVLHGDRGINHAELNWPTRLNIVKGIARGLGFLYSEFSLEDLPHGNLKSSNVLLTDNYEPLLSDFAFHALINPSYAIQTMFAYKTPDYVHYQTISQKTDVYCLGIIILEMITGKFPSQYHSNGKGGTDVVQWVFTAICDRREEELIDPELKGNTNSLNQMLQLLQVGASCTESNPEQRLNMKEAITRIEEVQV >OIW18458 pep chromosome:LupAngTanjil_v1.0:LG01:20418025:20418273:-1 gene:TanjilG_13210 transcript:OIW18458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKHMAMVTGRFDPNKMLKKLKKKSKKVEIVSIKEEEKPKDESHECDKLILMQPIIVENDCCIKTETLMMFSDENPNACALM >OIW18068 pep chromosome:LupAngTanjil_v1.0:LG01:29660168:29670277:-1 gene:TanjilG_19300 transcript:OIW18068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMPSGNVAVKDKMQFPSGYAGNAGGGEIHPHHYSQQWAVDERDGLIGWLRSEFAAANAMIDTLCAHLRVVGDPGEYDMVIGAIQQRRCNWNQVLLMQQYFSVAEVGYALQQVTWRRQQMHMDPVKAGSTDGRKFRPGYRQGQRFETAKVGYKSSVESYSLEANTVVTRGTEKGTPVSEKSEELKSGGKAGNIEEKKDDTTKHQTDGNLKSSGTSQGSLPNSESEVVVINDAIISNSKGNDSHSMQNQHKSQCLSTIAKTFIGNEMFDGKMVNAVDGLKLYEDLFDDTEVSKLVSLVSDLRISGRRGQLQGSQSYIVSRRPIKGHGREMIQFGVPIADAPPDGENITGFSKGMYKNIEPIPSLFQDIIRHMVSLQVMTVKPDACIVDFYNVGDHSQPYNWPHWVGRPVYILFLTDCEMTFGGLIASEHPGDYRGTLKLSLAPGSLLSLQGKSTDFSKYAIPSIRKPRILVTFTKSQPRKPLLSDAHRLATPAAPFHWGPPPSRLPNHTRHNLGPKHHGTVPTTGVLSAPLPVRPHIPPPNGMQMQPLFVPPPVISPMTFPAPMTIPAIPSSTGWTAAPPRHPTPRIAALGTGVFLPPPGSGNSSQQLPDTTLTEVNNPSVETPAILNKENEKSNHDSTSASPGGKAQRQECSNGHVDVTEDEQAVDTEQDSNDNDVASPEVNLT >OIW18600 pep chromosome:LupAngTanjil_v1.0:LG01:21520784:21525207:1 gene:TanjilG_13352 transcript:OIW18600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVILPDLGTEILIPVCAVIGIAFALFQWFLVAKVKLTASRDASPNAAGKNGYNDYLIEEEEGMNDHNVVLKCAEIQNAISEGATSFLFTEYKYVGIFMVFFAVLIFLFLGSVEGFSTSHQPCTYDQTKICKPALATALFSTISFLLGGITSLISGFLGMKIATYANARTTLEARKGVGKAFIVAFRSGAVMGFLLAANGLLVLYITINLFKIYYGDDWGGLFEAITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALVVASISSFGVNHELTAMLYPLIISSVGILVCLLTTLFATDFFEIKAVKEIEPALKKQLIISTVFMTVGIAIVSWIALPSSFTIFNFGAQKAVKNWQLFLCVAVGLWAGLIIGFVTEYYTSNAYSPVQDVADSCRTGAATNVIFGLALGYKSVIIPIFAIAVSIFVSFSFAAMYGIAVAALGMLSTIATGLAIDAYGPISDNAGGIAEMAGMSHRIRERTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAFVSRAAITTVDVLTPKVFIGLIVGAMLPYWFSAMTMKSVGKAALKMVEEVRRQFNTIPGLLEGTTKPDYATCVKISTDASIKEMVPPGALVMLTPLIVGILFGVETLSGVLAGSLVSGVQIAISASNTGGAWDNAKKYIEAGASEHARSLGPKGSDPHKAAVIGDTIGDPLKDTSGPSLNILIKLMAVESLVFAPFFATHGGLLFKI >OIW19006 pep chromosome:LupAngTanjil_v1.0:LG01:17620106:17620866:1 gene:TanjilG_20279 transcript:OIW19006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRRQPNHHKFQPSSCGLQDRCKAHMHSPGPMVNQTLDKGHGRDRGVTATRSGSRSGVATTNAHGRWITHIDQQFGEEHLFRK >OIW18632 pep chromosome:LupAngTanjil_v1.0:LG01:21775373:21778472:-1 gene:TanjilG_13384 transcript:OIW18632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLCKLNSSANVVDMKIFSGRGCRKLGLDHKCFRVHALFGGKKGNNDKSDDTPSKAGMLGNMQNLFETVKKAQMVVQVEAVRVQKELAVAEFDGYCEGELIKVTLSGNQQPVRTEITEAAMELGPEKLSLLITEAYKDAHQKSVQAMKGRMNDLAKSLGMPPGLSEGLK >OIW19744 pep chromosome:LupAngTanjil_v1.0:LG01:1547001:1547750:1 gene:TanjilG_18554 transcript:OIW19744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKENVAANSPFERVSLASLDQKLALARRCSHEGVIAGAKAAIAATVATAIPTLASVRMLPWARANLNPTAQALIVSTMAGAAYFIVADKTILASARKNSFKNA >OIW18762 pep chromosome:LupAngTanjil_v1.0:LG01:22830645:22831446:-1 gene:TanjilG_13514 transcript:OIW18762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSASEIVEKLNLEAHHQGGFYTETFQDDSILLHKSQLPSEYKGDRHVSSSINFLIPSGSVSRAHRIPGAETWHYYLGESLTIVELNGKDGDVKLTRLGPNLSEGEIPQYTVPYGSDVWVGAFPTHDFSIFSEKEFIKAPERDGESHYSLVGLTFAPAFHLEDYELAKPSDLIPHFPHLEPLLDALIYIDSE >OIW17737 pep chromosome:LupAngTanjil_v1.0:LG01:34823157:34825310:-1 gene:TanjilG_29087 transcript:OIW17737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIPKSTFKDKRFSKHKWLLKIGASILITTLLLLGFHLESNYKAVNTSSYYTVVVDCGSTGTRVNVYEWMVEGKTVIVTNRDLPILLHSYPGNETRGSSLWKNSCQYHCLQTEPGLDKFVNDSFGVRQKLEPLIVWAENVVPNERHGETPVFVLATAGLRRLAIRDSDRVLDDVEAVLKDHSFMYRKSWVRVLSGREEAYYGWVALNYKMGSFGNFPNSSPTLGVLDLGGSSLQIMAEVDDAVDDVHEMRSNIGSVENQIVAYSFPAFGLNEAFDRTVLMLKNNQSMEKTGNNAEVRHPCLISTSVQNYTVTKKTEVHSLRLIGEPDWEQCKKVATAAAMNSSNFNVLLPTIGKNCRAGSFSDIGTGILNLTAIGHQIKRYHALSGFFFVYNKLNLSPKANLTTIWESGKQICSNLWTGSSNISDNPNYAGKFCFQVAYMASLIEYGLCLGDVEIVFGPGDVSWTLGAALIEGKFLWLNTSSHKAQSFFSTLKNVKVMSSPTLLFAVLLFLLFIVYCSQVKLPMPGRRASSPGLSLPSHVRHRSI >OIW19192 pep chromosome:LupAngTanjil_v1.0:LG01:8567745:8574972:-1 gene:TanjilG_04935 transcript:OIW19192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDLVTEKHVRYILSVEKRKDDFESVVMEHLRMNGAYWGLTALDLMGKLNTVDVDEVVSWVLSCQHESGGFGGNVGHDPHLLYTLSAVQVLALFHKLDIIDVDKVTNWGFGGNVGHDPHLLYTLSAVQVLALFHKLDIIDVDKVTNYIVGLQNEDGSFSGDIWGEIDTRFSYIAICCLSILHHLDKINVEKAVKYIISCKNMDGGFGCTPGGESHAGQIFCCVGALAITGSLDLVDKDLLGWWLCERQVKSGGLNGRPEKLADVCYSWWVLSSLIMIDRVHWISKEKLIKFILDCQDTENGGISDRPDDAVDVFHTYFGVAGLSLLEYPGVKPIDPAYALPVDVVNGIFFSK >OIW19683 pep chromosome:LupAngTanjil_v1.0:LG01:1121002:1122192:1 gene:TanjilG_18493 transcript:OIW19683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADVTTYLRHHKPDEDFDSITLPYFSQSSPDFNFDFYSSEPEFPPTPEPDSLSLFDRHNQINFAIDIFQQCVDQSQVSGHGYFVTEPRNDDDFGVIDVYSALDMDDNLGLDLGFGVERDGVSISESNEAPFHNCVCVMGLGSDSDEEEEENEVLENCVNCDEEYNDVNDDVLIIPLCWDSLQLDEDNKERIEDFEWEEVNVDGRVDEREVLSMLTIDDDRSVSVSGIPVIEEEEEDVSVVRMGGMENLEWEVLLNANNLNTITSPDLDNDDDLEEPYFSDHDEYIYATDYEMMFGQFVENEYTLRPPASVSVVRNLPSVVVTKEDVDNNNALCAVCKDDFAVAEQAKQLPCSHRYHGDCIVPWLAIRNTCPVCRYEFPTDDADYERMRTNRSALRA >OIW19562 pep chromosome:LupAngTanjil_v1.0:LG01:1626039:1627592:-1 gene:TanjilG_07017 transcript:OIW19562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTILNSLMILLMSLVVKAQLKTGFYSASCPNVETIVRSTVGSYFNKDHTIAPGLLRLHFHDCFVQGCDGSILIAGSSAERNALPNLGLRGFEVIDDAKSQLEAICPGVVSCADILALAARDAVDLSDGPSWAVPTGRRDGRISLSSQASNMPSPLDSVSVQKQKFAAKGLDDHDLVTLVGAHTIGQTECRFFSYRLYNFTTSGNADPTINLSLLAQLEALCPKNGDGLKRVVLDKDSGAKFDVSFFKNVGDGNGVLESDQRLWEDSSTRRLVQNYGGKIRGLLGLRFDFEFPKAMIKLSSVEVKSGVEGEIRKLCSKFN >OIW18809 pep chromosome:LupAngTanjil_v1.0:LG01:20150681:20151942:-1 gene:TanjilG_25252 transcript:OIW18809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGICTAKPLARDNDSDHDVELVSGNVQLITTKESWDQKLEEARHDGKIVIANFSATWCDPCKMIAPCYCELSKKHPSILFLLVDVDELADFSSSWDIKATPTFFFLRDGKEFDKLVGANKPELEKKIAALTKSLP >OIW18148 pep chromosome:LupAngTanjil_v1.0:LG01:25968599:25973129:1 gene:TanjilG_31268 transcript:OIW18148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKIRKVGWVVVVVTVMVLNLSTCSAWFGSSKNKNGGRKSMFFHGDEEAMPSTSSWVMNLAGSSSIVFPLYGNVYPVGFYNVTVNIGQPPRPYFLDVDTGSDLTWLQCDAPCSHCSETPHPLYRPSNDLVPCKDPICASLHQTGDYNCDDPNQCDYELEYADHYSSLGVLVKDVFLLNFTNEIQLKVRMALGCGYDQIFPASSYHPLDGILGLGRGKSSFISQLSSQGLMKNVVGHCLSAQGGGYIFFGNAYHSSQVSWIPMSSINSKHYSAGPGELVFGGKRTGVGNLLAVFDTGSSYTYFNSKAYQALISWLKKELTGKPIKAAHDDHTVPLCWQGKRSFRSIREVKKYFKPLVLSFASGGRVKTQFEIPPEAYLIISNMGNVCLGILNGSEVGMEELNLIGDISMQDKVMIFDNEKQLIGWAPADCRRIPRSRDFSI >OIW19679 pep chromosome:LupAngTanjil_v1.0:LG01:1078568:1082166:-1 gene:TanjilG_18489 transcript:OIW19679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSQHNSDFVDPNNSDTAPSSLPNSNLDRDLFNDLVEIVPLVQSLIDRKASRSFTRRGSMIYTKTPTIGSLSKRGTDLKGRNIGQSIPIKKKVDHGEKEQGKNGSQNSDADFSMFSSRTLTSDKDTEDLIILKEQVEELQKKLWEKDELLKSAENSREQMFNAKLEELKHQTLEKDSLLKYTQQQLIDAKIKLADKQAALEKIQWEAMTSTKKVENLQNELDSVQTDISSFTLLLEGLAKINTAGCTEDYDTKPYDFTHLPSIDDLDEMDLQKMEEARKTYIAAVAVAKERRDEESIAAATSARLHLQSFIFKSTNVNL >OIW19706 pep chromosome:LupAngTanjil_v1.0:LG01:1241655:1244557:1 gene:TanjilG_18516 transcript:OIW19706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTRVGQKPLQKKLRSGLSVTLLSLGTGGIRNNRRPLQNENPTDYANRCSIQWHRVSIYPERLGTLLVKHVVPGSLLYVEGNLETKVFADPVTGLVRRIREIAVRRHGRVVFLNQGGDDEQQTQQNDLRSVGYY >OIW18423 pep chromosome:LupAngTanjil_v1.0:LG01:20211713:20214385:1 gene:TanjilG_13175 transcript:OIW18423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMEKMGPKSGKDSSSKDARVLVRETLRMSANLASSPASLSKLICWEEMDGNRWNYVAEIENNKISFRPLSLQTQESQKHNIPLPIHEVMSFVKSYLVPEGFPQSVSPSYVPYMSWRALKHLFGGAMGVFTTQTLLASVGVSKNRVAPGAVAINWILKDGAGRVGKMLFARQGKKFDYDLKQLRFAGDLLMEFGAGIELATATFPHLFLPLACAANVAKNVAAVTSTSTRTPIYKAFAKGENIGDVTAKGECVGNIADLLGTGLSIFISKRNPSLVTTFSLLSCGYILSSYKEVKSVVLQTLNCARFSVAVESFLKTGRVPTLQEGNMKENIFSFPWKERPVVLGSRIKDAFQDPSIYVAIEPLFDKERYIVTYNPSKCKVYAVLKDQAKPDDILKAAFHAHVLLSFLNSANESKVSSWKQGEDFNSNVTHTVSDFEARIADSCKIVANSYGLFKNKANEQGWTMSESLLNPGRARLHQLDNR >OIW18507 pep chromosome:LupAngTanjil_v1.0:LG01:20706885:20720804:-1 gene:TanjilG_13259 transcript:OIW18507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLLTKLFYRKHPNHLLQISHKIYVFDCCFSNVVLDEDEYRAYMGGIVAQLQDHFPDVSFTVFNFKEGNKRSQISDILSQYDMTVVEYPRQYEGCPLLPLEVIHHFLRSSESRLSMEGQQNMLLMHCERGGWSMLAFMLAGLLLYRKQYTVEQKTLEMIYKQAPRELFHLLTPLNPQPSQLRYLRYISRRHLGSEWPPSDTPLYLDCMILKNLPSFDEGKGCRPVVRVYGPDPSKPANRRSSKLIFSTPKSKKHIHHYSQAECMLVKIDIRCHVQGDVVLECIHLNEDFNREVTMVRVMFHTAFVQSNILMLNRDDIDILWYAKDQFPKDFKAEVLFLDNDAVIHDLTTVDVSKDANETESVSSEKLYQVADIFSNVIDAREGKEEYDSLAFHDNAVGDGNYNEAWKEKVDHHALDEGNNKQVDKMDTGIKVKDIFVDDVKYRINESVDPNNDAVKDIAVDEGENKSNSTALASSKILETTEVTFDVHGELTLEQNKYDEDDKATEMEFDPKAAKQMSALSRLKSGKLRLSSTKKQLPSNSRPLGDTVSAKPKIKQQESQSFQVKQAKPNAVTRWVPSNKGSYTSSMHVYYPPSRINNAPAALPKCIASKEKMEDFKGRSLFAPAVSIDMTNYLKSRKVATSKSSGHIVPEADANCPPSSLLSIKETSLQSASKTQEVSSEKVLRPPPPPPPPPPSPARNSSFDAFEHLSLQDDASPLALASPLVSPSSSLGGKVSTAPQPPPTLISFTEQKVGANLQSKTSRPPPPSSFFGQNKGSGLPSPSSWKSVYSSIAIIEESRGSLPLSSSFSENLSKLSEVLTVTATPPPPPPPLPPPRYEVSSIPPSPTPTSAATPPKRGIPALHTFSTHRTSPPPSPPLPTASHKAPSAPPPPPPPPPPPPYSSTLPPPFPPFSKAPPPPPPPPPSPPYSTTPPPPCPPFSKAPSPPPPPPYRIAAPLAPPPPFSKAPLPPPPPPPPYSSAPPPSPFSKAPPPPPPPPYSSVLSPPPPPFSKAPPPPLPPPPLSGAPSPLPPPPLSRAPPPPPPPPPMSRAPPPPPPMSRAPPPPPSPGGRGPPPPRHPGGRGPPPPPGGAPPAKGANVGGDLKLRGRGGYSRSAGAGAMSPKRSLFKPLHWSKVTRALQGSLWEELQRHAEPYIAPEFDESELEKLFSANVAKPAHSKTTARRRSLESKTDKVRLIDLKRANNTEIMLAKVKMPLPDMMAAVLAMDESVLDVDQVDNLIKFCPSKEEMELLKGYTGDKENLGKCEQVTEFKKSLNTVNSACEEVRNSVKLKEIMKKILVLGNTLNQGTARGSAVGFKLDSLLKLIDTRATNNTMTLMHYLCKVLAEKSPRLLDFRLDLVSLEPSTKIQLKSLTEERQAIIKGLEKVKQELAASVNDGPVSDVFRKTLKGFIDVAESELASLTNLFSVVGRNADELALYFGEDPARCPFEQVTVTLLNFVRLFRKAHEENCKLAESEKKKAENEANMEKAKESTSSPSSSSSFSSFSPSDSDSLSPFNSQLRRGADFVAWMSLGIHILRSKLYAIVSSFRNCDSERRVVSSIGVPAGVVVMLCWIMMRFWWWVRKWRKKRNFKRNEIRLRNIIKEKDEIIAKLLHQIAQMNEILIARHKALAAKIVD >OIW19658 pep chromosome:LupAngTanjil_v1.0:LG01:914051:916401:1 gene:TanjilG_18468 transcript:OIW19658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFPLSLLLLALITPYTSGSWCVCKDGSDTTLQKTLDYACGAGADCNPLHQNGPCFQPNTVKSHCSYAVNSYYQKKGQAPLSCDFAGTATVTASDPSSSGCAYPSSASTAGTSTTPVSTTPTMGTKPTTGTPSTTTGSTTTIGTTPYGATPGVLGGIGTGMGPSGSGMNTDESDGGVTLVHTSFLSLFSIAIFSGFIMVWWG >OIW19559 pep chromosome:LupAngTanjil_v1.0:LG01:1651184:1652608:1 gene:TanjilG_07014 transcript:OIW19559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPTPIIAVDEPAKGLKIQGQTVRKPTISDGFWSSSTCDLDNSTIQSQRSISSLSTLNQNFYHSNGTSTASTNSEFVNQGLILWNESRLHWTENSRSRKPSQQKREPVLNWNATYESLLGTRQPFRKSLPLSEMVKFLVDVWEHEGMHD >OIW19544 pep chromosome:LupAngTanjil_v1.0:LG01:1784846:1785460:-1 gene:TanjilG_06999 transcript:OIW19544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFYIPYSNKICFWLRIFLPNNGNFKTNFTLGSATTSQENKVERDALKKDELRKVFSTFDKNGDGFITKQELMEALRNFMTDSEIDDTFVKFDSNEDGLIDFDEFCLLTSESIMSNEKEGIIGNEGEEEVNLKEAFDVFDKDKDGLISVEELALVLTSLGLREGMNIEECKEMIRKVDMDGDDMVNFNEFKRMMMNREKLFFVA >OIW19716 pep chromosome:LupAngTanjil_v1.0:LG01:1303454:1310857:-1 gene:TanjilG_18526 transcript:OIW19716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDDDDDEDDSDWDPYELEKCVEPIKWFCINCTMLNLNDSVHCYVCGEHKNSKILTHGFFASPSAEDCLIEVQPKTKGLTDSQDSATNSSTAIGFDERMLLHAEVEMKPHPHPERPDRLRAIAASLATAGIFPGRCYSIPAREITTEELITVHTSEHIESVEATSGALCSYFTPDTYANEHSAHAARLAAGLCADLASAVVSGRAKNGFALVRPPGHHAGVRDSMGFCLHNNAAVAALAAQAAGARKVLILDWDVHHGNGTQEIFDQNKSVLYISLHRHERGNFYPGTGAVYEVGSKGAEGYCVNIPWNQGGVGDNDYIFAFQHVVLPIAFEFAPDLTIISAGFDAARGDPLGCCDVTPAGYAQMTQMLSVLSGGKLLVILEGGYNLRSISSSATSVIKVLLGESPGYELENSSPSKSGLQTILEVLKIQKNFWPSLGPIFINLLSHWKTYYLEKKSKELFVFELHSLVWWGHFALPFPALLKYYVLDARM >OIW18742 pep chromosome:LupAngTanjil_v1.0:LG01:22646475:22650744:-1 gene:TanjilG_13494 transcript:OIW18742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFLRRRKAPVNPTPPGSDHEEEEKNKKKEKKIDKVKTKSGEGEKQKKWSCVGNCFWFIGFICSVWWFLLFLYNAMPASFPQYVAEAISGGPLPDPPGVKLKKEGLIANHPVVFVPGIVTGGLELWEGRQCADGLFRKRLWGGTFGELYKRPLCWIEHMSLDNETGLDRPGIRVRPVSGLVAADYFAPGYFVWAVLIANLARIGYEEKNMYMAAYDWRISFQNTEVRDQTLSRIKNNIELMVSTNGGKKVVVVPHSMGVLYFLHFMKWVEAPAPMGGGGGSDWCAKHIKSVMNIGGPFLGVPKSVSGLFSIEARDIAIARGFAPGFLDKDVFRFQTLQHLMRMTRTWDSTMSMIPKGGDTIWGGLDWSPEGYYNCSARKLKSNDTFRASQNDKENLDLIKNVNYGRLISFGKDVAESHSSKLEKLDFRGALKGRNLANTSTCDVWTEYHEMGIEGIKAVTDYKTYTTGSVLDLLHFVAPKMMERGGAHFSYGIADNLDDEKYKHYKYWSNPLETTLPNAPDMEIYSMYGVGIPTERAYVYKFNPKSECNIPFQIDTSADGGNDSCLKGGVYSADGDETVPVLSAGFMCAKAWRGKTRFNPTGIRTYIREYDHAPPANLLEGRGTQSGAHVDILGNFALIEDVIRVAAGASGDDLGGDKVHSDIFKWSEKINLKL >OIW18025 pep chromosome:LupAngTanjil_v1.0:LG01:30782393:30791640:-1 gene:TanjilG_07609 transcript:OIW18025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSIKHRMKAKRKNKMSKKGEWPSSSLGPQVLVKVWQPGVDKLEEGKQTYYCAKVHRLLKGDPKHEAPTYFDIVRDTLGLVRTEFPHMVYFMAETQAEKASWNSTGIFKVTNISGKRHEPLPKLKGDEAGMDDDESDDKNQCKFMSYRCVQYDKERDSMVAYFEYHKHPITSIEWSPHEASSLVVSSSDNQLIIWDLSLEKDEEEDAEFKAKTQEVNAPQDLPPQLLFIHQRQKDLKELHWHTKIPGMIMSTASDGFNILMPSNIQSTLPSDGGI >OIW18936 pep chromosome:LupAngTanjil_v1.0:LG01:18919709:18920560:-1 gene:TanjilG_25379 transcript:OIW18936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPVVTRQVWAYNVVPEFYLISKLIGRYSFVAMDTEFPGSIFQRPTAKSYNHRNLSPFDNYSLLKANVNALKLIQVGLTLSDAAGNLPDLGTKFCYIWQFNFRDFNLARDAYAPDSIALLQRQGIDFAYNATYGIHSAHFGRLMISSRLLYNYNLTWVTFHGSYDFGYLVKIITRSTLPTRLEEFLWFVEVMFSDRVYDVKHMMLSYPSLYGSLDQVARALNLDRVGRSHQAGSDSLLTWHVFQKIRDTCFLDDEHKKHVGVLFGLEVERCTKLLDFGDSMDM >OIW18911 pep chromosome:LupAngTanjil_v1.0:LG01:19183916:19188737:-1 gene:TanjilG_25354 transcript:OIW18911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSCSKFSFCWFHSHLKPSVLESSDQENGGKSEINMWGTISEFSLEQLKGATNGFSSENIVSEHGEKAPNVVYKGKIEDGKWIAIKRFNKFAWPDSRQFLVWEAQPMKWAMRLRVAFYLAQALEYCTSKGRGLYHDLNAYRVLFDQDGNPRLSCFGLMKNSRDGKSYSTNLAFTPPEYLRTGRVTQESVVYSFGTLLLDLLSGKHIPPSHALDLIRGKNFLMLMDSALEGHFSKDDGTELVRLASRCLQYEARERPNAKSLVASLMSLQKETEVPSFILLGLRHETAPSAEPLSLTPFGEACLRLDLTAIYGILEKIGYKDDEGIANELSFQLWTSQMQETLNLKKHGDTAFRAKDFVTATECYTQFIDGGTMVSPTVYARRCLSYLMNEMPKEALRDAMQAQVVSPEWPTSLYLQAACLFSLGMENDAQETLYDGINMEAKKNRK >OIW18850 pep chromosome:LupAngTanjil_v1.0:LG01:19840590:19849708:-1 gene:TanjilG_25293 transcript:OIW18850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIARENCALQCLSPPCYELIYETDPLEEGEKDANRSQEYKYCMHKSNGGKFVLRIEDTDLERSTRESEEAVLRDLAWLGLDWDEGPGVGGDYGPYRQTERTSLYKQYADKLHESGHVYRCFCSNEELEKMKEIAKLKNLPPVYTGKWASATNEEVEEELAKGTPYTYRFRVPEGSLKINDIIRGEVSWNLDTLGDFVIMRSNGLPVYNFCVTVDDATMAISHVIRAEEHLPNTLRQALIYKALGFPMPHFAHVSLILAPDRSKLSKRHGATSVGQFREMGYLPQAMTNYLALLGWGDGTENEFFTLDQLVDKFTIERVNKSGAVFDLTKLRWMNGHYLRARPSEELTNLIGEQWKTSGLLTVSAGPFIDEATQLLKDGIDLKTDADKALANLLSYPLNLTLESQEAESVLQDNLSEFSTSLLAAYDNGDLIAALESQDSWKKWVKDFGKSLKRKGKSLFMPLRLLLTGKLHGPDMGASVLLLHKAGKSGIVAPEVGFVTLEERFEMLRQVNWETLSKDHPVKETATSVN >OIW17956 pep chromosome:LupAngTanjil_v1.0:LG01:31937345:31939623:-1 gene:TanjilG_17792 transcript:OIW17956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAIAFALTIVNILLKKVLEEGMNHLVFITYRLSISSIFLAPMSYFWERNGRPKLTFRICCYLFFSAIVGASITQYFFLMGIQYTSATYACAFVNMVPVITFIMALPFRLETINIKCNSGKAKILGTFVCIGGALLLTFYKGKPLFNNYSHYEYEAPASPATKSTEKWTIGVITLILGTLFISSWYILQSNIVKRYPCQYSSTAIMNFFGAIQSAILGFSIDKKLSMWVIKGKIQIITILYSGIVGSGLCFVGMSWCVKKRGPVFAAAFSPIVQILSAMIDIPILHEQLYLGSVIGSVLVMIGLYILLWGKSKDMQNVEEMKEQEPHQIQHITVSCDSRSH >OIW19697 pep chromosome:LupAngTanjil_v1.0:LG01:1185156:1186726:1 gene:TanjilG_18507 transcript:OIW19697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTTTTTPSSILEPEQQQQATSEAVSSSSAWNSSGSVGPFFAVISVLIVFALISCYLGRRCRNTSRAPTPLESITAALLRSLGLKPSGDQVHVLLSNMDSNGNGCVEFDELVNAILPDINAQVLVNQEQILGVFRSFDCDGNGYISAAELAGAMAKMGQPLTYRELTDMIKEADTNGDGVISFNEFATIMARSASDFLGRGFL >OIW19362 pep chromosome:LupAngTanjil_v1.0:LG01:3414221:3415511:1 gene:TanjilG_03496 transcript:OIW19362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLQFYINENGDKVYTTKKETPLGLATQSAHPARFSPDDKYSRQRVLLKKRFGLLPTQQPPQKY >OIW19526 pep chromosome:LupAngTanjil_v1.0:LG01:1934415:1937101:-1 gene:TanjilG_06981 transcript:OIW19526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVSVPSPSKSFVEKVFGGYQYGSSSSQKKNNGNEANKSHDEDSDGGMELMEIGAERTKNVLILMSDTGGGHRASAEAIRDAFQIEFGDEYRIFVKDVWKEYTGWPLNDMEGQYKFMVKHVQLWNVAFHSTSPRWIHSVYLAAIAAYYAREVEAGLMEYKPDIIISVHPLMQHIPLWVLKWQGLQKKVIFVTVITDLSTCHPTWFHPWVNRLYCPSEAVAKKASQEGGLEESQVRVYGLPIRPSFARAVLVKDQLREELEMDPDLPAVLLMGGGEGMGPVKKTAKALGEVLFDKETEKPIGQIVIICGRNKSLVSTLESLEWKVPVKVRGFETIMAKWMGACDCIITKAGPGTIAEALIRGLPIILNDYIPGQEKGNVPYVVDNGAGVFTRSSKETAKIVAEWFSTKSEDLKRMSENALKLAQPEAVFDIVKDIHELALQREPSNFPYMLTSSFTSLI >OIW19442 pep chromosome:LupAngTanjil_v1.0:LG01:2675000:2677363:1 gene:TanjilG_09462 transcript:OIW19442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIAIPFDSKHVAILAFPFGTHAGPLLSMVLRIAAEYPDVRFSFFSTRASNAKTLALNKGNIHVYNVHDGLPEGHVLRHPMEAIGKFIHVLPGNFRNAIDEVVAETGMNITCLITDAFYWFGAEMAKEFDCKWVPLWTAGPHSVLVHIETDLLRERISSKSNDVCDDPSIDFLTGLSGVKVSDLPEGVVRDIENPMATMLHRMGTTLSQATVVAMNSFTDVHPVIADVLKSKFKMLLNVGPFTLTTPQHHVPDEEHCLEWLKQHKKESVIYVSFGSLITPPPHELFALAEALEECKFPFIWAFSGNPEKQLPKGFLERTKNQGKVVAWAPQNEILQHSSVGVCVTHCGWNSILECMVGGVPMICRPFFGDQKLNNKMLEHEWGIGVGIENGAFTKENVLRALELTMSSEKGRVMRQKVLGLRECALKAIEPQGSSIQDFTTLINIVTS >OIW19415 pep chromosome:LupAngTanjil_v1.0:LG01:2954222:2954964:-1 gene:TanjilG_09435 transcript:OIW19415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVNGESTPPPVIGKIGPYTVFITPPSTPKPLSLSPSPTKVLPPPLHPPPPQIHNPTILPAAASSSVLGFLKNAVIKVQTAHSSLDDHLARWFGLNQSKYQWALDDYYETKGILDAMFPSRF >OIW18444 pep chromosome:LupAngTanjil_v1.0:LG01:20324793:20326769:-1 gene:TanjilG_13196 transcript:OIW18444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSSKQEEEVEAMMMSPQLEAFTRVKRISLFEPSLSVLIFVVVTAFIVCCFFYLDNREFGFRCSENGRHDLFYTKWRWQPKHCNLPRLNATMMLEKLRNKRLVFAGDSIGRNQWESLLCILSSGIHNKDSIYEVNGNPITKHKGFLVFKFRDFNCTVEYYRSPFLVLQSRPPKGAPEKIKTTLKVDKMDWYASKWRGAHVLVLNTGHWWNYEKTIRSRCYFQQGMEIKMEMVVKDAYKRSIETVLKWIEETVNPRKTQVFFRTLAPVHFRSGGKCDLETLPELDSSFSSSVPKDNWSQFNIANSVLSSSAAHTNISEIMKFKVLNVTLMSGQRKDGHSSIYHLAPTLPPAPPRRQDCSHWCLPGVPDTWNELLYALFLKHA >OIW19324 pep chromosome:LupAngTanjil_v1.0:LG01:3798648:3814210:-1 gene:TanjilG_26023 transcript:OIW19324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHQRQKAPQQQQQQNDAEEIQHGPFPVEQLQASGIAAVDVKKLKDAGICTVESVAYTPRKDLLQIKGISEAKVDKIIEAASKLVPMGFTSASELHAQRAEIIQITTGSIELDKVLEGGIETGSITELYGEFRSGKTQLCHTLCVTCQLPLDQGGGEGKAMYIDAEGTFRPQRLLQIADRFGLNGADVLENVAYARAYNTDHQSRLLLEAASMMVETRFALMIVDSATALYRTDFSGRGELSARQMHLAKFLRSLQKLADEFGVAIVITNQVVSQVDGSAVFAGPQVKPIGGNIMAHATTTRLALRKGRGEERICKVISSPCLAEAEARFQICAEGRKQSEVKVKKMGSRGPPQSIPNSGAISRGYNFASTWEQNAPLTDHQQAAIISLSHAVSDRPLPLNLAKENASVQDIALSIKTKDNSLHDSGAIETVMVNTNQFYTWFTDLESAMKSETEEKYQHYVNTLTERIQTCDDILQQVDDTLDLFNELQLQHQAVATKTKTLHDACDRLVQEKQRLIDFAEALRSKLNYFDELENVATNFYSPNMNVGNENFLPLLKRLDECISYVESNPQYAESSVYLVKFRQLQEAIRGSGGGKAVSEGVEASVIYVRFKAAASELKPLLEEIESRSSRKEYGQILVECHRLYCEQRLSLIRGIVQRRISEFAKKESLPSLTRSGCAYLIQVCQLEHQLFDHFFPASSKDISSLAPLMDPLSTYLYDTLRPKLVHETNIDFLCELVDILKVEVLEEQHSRRGESLVGLRPTFERILADVHERLTFRARTHIRDEIANYIPFNDDLDYPEKLKRSAESTSENNAADDNPDIFKTWYPPLEKTISCLSKLYRCLESEVFTGLAQEAVEVCSTSIQIAPFNIEFSVTQKELDFSHLLDHLRRLLRGQASLFEWSRTTSLARTLSPRILENQIDTKKELEKSLKATCEEYIMSVTKLVVDPLLSFVTKVTAVKVALYSGGQNQKLDSAMAKPLKDQAFATPDKVAELVQKVRTAIQEQLPVVISKMKLYLQNSSTRTILFKPIKTNIVEAHTQLQSLLQSEYTSEEIQIINMKSILDLQTELDNLL >OIW18744 pep chromosome:LupAngTanjil_v1.0:LG01:22654298:22658048:1 gene:TanjilG_13496 transcript:OIW18744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTCITRSNKPDNDSVSKLDESDGNTAKNEAVKSLTSQIKDMALKAPGAYKHCAPFTGPPAQGGGLRSNAESDRFRWSYWRTGSSTRTRRKEMETRLKGISSREGTPDSASARRMVLFVEEKEPKEWVAQVEPGVLITFVSLPRGGNDLKSIRFSRDMFNKWQAQKWWSDNYDKVMELYNVQRLNRDAFPLPMPPRSEDESSKLESAEASPVIPLTKERLPCTLHRPTGIGMGYSSSDSLNHQSIHSPHFTDSTTGMSSTPEASTISTAKTELSSTDASIISSPSRDADHSCDLSNSNASDLETEWVEQDEPGVYITIRALPGGKRELRRVRFSREKFGEVHATLWWEENRARIHEQYL >OIW18403 pep chromosome:LupAngTanjil_v1.0:LG01:23247460:23255839:-1 gene:TanjilG_31543 transcript:OIW18403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTNNLAEELVGSEIHGFHTMQELDVGSIMKEARTRWLRPNEIHAILCNPKYFTIHVKPVNLPKSGTIVLFDRKMLRNFRKDGHNWKKKKDGKTVKEAHEHLKARTFFLGSVEHIVLVHYRETQEVQGSPVTPVNSNSSSSDPVAPWIILEEIDSGTNTSYAGEINHNSAAKSHELRLHEINTLEWDDLVLANDLNTSTVPNEGKVQFFDQQNQALLNNSFNNVASDRSAEISSFDNLTQPIAGSNTVPYNFSESVNLQTIENQVNPKEHRNDPVSASGVGSFNNVVNDKLQSQDSFGMWVNIMSDSPCSADESALESSISSVHDSYSPLVVNSHLSSLPEQVFNLTDVSPAWVSSTEKSKVLLTGFFHKDYEHLSKSNLLCVCGDVSVPAEIVQVGVYRCWVSPHSPGFVNLYLSFDGHKPISQLVNFEYRTTVLHDPAVSMEQKDNWDEFQIQMKLAHLLFAKQKILDVFSSNVSPNALKEARQFAFKTSYISNSWQYLMKSTEDNKIPFSQAKDALFGIALKNRLKDWLLERIVSGCLSLDFRDKFGWTALHWAAYYGREKMVATLLSAGAKPNLVTDPNPQNPGGCTAADIAYMKGYDGLAAYLSEKSLVEHFNDMSIAGNIRGSLETSTTEPVGYENLTEDQAYLKDTLTAYRTAAEAAARIQAAFREHSLKLRTEAVEFFSPEGEARAIVAAMKIQHAFRNFETRKIMAAAARIQHTYRTWKTRKEFLNMRRQAIKIQAAFRCFKLRKHYRKILWSVGVVEKAVLRWRLKRRGFRGLQVNHVEAAEDQKQESDVEEDFFRTGRKQASERVERSVVRVQAMFRSKKAQQEYRRMKLALNQAKLEREYEELLNTEVEMQCK >OIW18900 pep chromosome:LupAngTanjil_v1.0:LG01:19311936:19314975:-1 gene:TanjilG_25343 transcript:OIW18900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKEGPNWDGLLKWSIAHSDGTQPNRNLSEEDRRWFMEAMQAQTIDVVKRMKEISLVMQTPEQDLEAQGVTPEDIEDMLDELQEHVESIDMANDLHSIGGLVPLLGYLKSSHANIRAKAADVVTTIVQNNPRSQQLVMEANGFEPLISNFSSDPDVNVRAKALGALSSLIRHNKPGIAAFRLANGYAALRDALASENVRFQRKALNLIHYLLHENRSDCNIVNELGFPRTLMHLASSEDSDVREAALRGLLELARDRKDESLEDAEKMKQLLQERINGISLMSAEDLGAAKEERHLVDSLWSTCFNEPSSLREKGLLVLPEDDTAPPDVASIHFEPPLRSASVNRTSEKDSNNEKKETPLLLGPGPSSANT >OIW19252 pep chromosome:LupAngTanjil_v1.0:LG01:6367725:6368792:-1 gene:TanjilG_20377 transcript:OIW19252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKWGGKKPSSASPPSSYKSFFLSHFSWLSKFKHMRIISEPKPPKLKQNSPSNGRFYGGDDEPFWRLSFSEDKEHHNKTEEDITNPSTVLYNLEDELKMERFNAKRNRTRQCREEKDRKFPNEIEVSLEIDECDREKELRYLKRRYERKVQNVLEEKLLKFERTEEKGEKGTLQNDSPRTICTPRTHFRRSEEAYEKKQSVELNAKVNKQKLSVNLSREIHRRKTKPSFKVRVNSPRMASKVEICKIKAIEDMKKARLKMKKEEETLKGKSSLDHSFAVVKCSVDPGRDFRDSMIEMITEKQINKPEEMEELLACYLTLNSSEYHDLIIKVFKQVWLYMNQASWCVKSNKPMKQCC >OIW18207 pep chromosome:LupAngTanjil_v1.0:LG01:27342566:27342787:1 gene:TanjilG_31327 transcript:OIW18207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDIAMLVAEEYERRTKNLRSQDGAVRASEIDMVFWAASELSWRVKEKSKIVEWVLEPKSQIAAAASNNFFSA >OIW17704 pep chromosome:LupAngTanjil_v1.0:LG01:35078299:35079813:1 gene:TanjilG_29054 transcript:OIW17704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEMWTTMGSTLASFMFMWAIIRQYCPYEVTRFLDMYTHNIMGYFYPYITISFHEFLGDRLKRSDAYAAVEAYLSANTSKSAKRLKAEMGKDSCNLVLTMDEYERVTDEYKGVKVWWVCSKVMSPTRSMSYYQEQEKRFYKLNFHKKYRGIVTGSYLEHVMKEGKEIRLRNRQRKLYTNSPGYKWPSYKQTMWSHIVFEHPATFETMAMESEKKREIIEDLVTFSKSKEFYARIGKAWKRGYLLYGPPGTGKSTMIAAMANLLAYDVYDLELTAVKDNTELRKLLIETTTKSIIVIEDIDCSLDLTGQRKKKGDKFSDDENDKSNSEVSLRKEVKEEGSSGSKVTLSGLLNFIDGIWSACGGERLIVFTTNYVEKLDPALIRRGRMDKHVELSYCTFEGFKVLANNCFKIETHPMFDTIKRLLSEIKITPADVAENLMPKSPMDDADKCLSNFIEALETTKDEDLLSLRQSSLIKEDENVKHGSHIKENGELHEDNRIMKVTKQ >OIW19261 pep chromosome:LupAngTanjil_v1.0:LG01:6117867:6140736:-1 gene:TanjilG_20386 transcript:OIW19261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKLVLKVGMLIVYDQPNKLWSTRSNKGVGNGFHTYDYARHYFIVCTRILGLEGTPNGVEDQGKLTCVVAFPFGIDSERFIQTLELPEVQDHMKELKERFAGRKEPLKQLSDDPKTTLVVLSGSARDVLDKHVFEYFAERTPRFHFELRETSVIWNYKYAGFKQEIYYSIYGQGQYQMHLLILSKALDLLRSGQLVSQRIYNIDCCLNVNLDEDVYNFFKPELPSESAPTAGTLLSSSHRPSSLPKSSSTRSVSGSKAFRYKKQLYYPVIYFNGGTGLFQGSWFIG >OIW17873 pep chromosome:LupAngTanjil_v1.0:LG01:33314381:33318960:-1 gene:TanjilG_14119 transcript:OIW17873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLLCNAIPSHLSSRKEFSSASKPSRAPAPGSTGKPPESNGSLSKFFIGSVAVGAAFLAAYQTGYLDQYLKKEPHSVPQEPQVIASNGDSESVQHSVDQLVTPSIEIINNEIPVVEEAEVKIDTHFTLPVNATDDQGDKVIQVKDESNIVEEVTAAAKENQLPEYPQVSLTSDGPSKESIVQSEEVVSIKSTETNNDPIPEVETQHISAPTQTSAVPDDNGLKNIQPTQQEIDDRRENLLGEDIEQPTLLESYNLENKSEGSPATYLYGDGFTENSDSIQEKEPLSGVLEELNDGYISKDGKLVLDFLQAIHAAEQRQADLDVHAFNEEKKLLKEKYEKKLKDAAARELMLSEETAMLDKELKRERAKAALAIKALQEKMEEKLKTELEQKESEAETKLKTVQELAKAELNATIANEKTAQIEKMAEANVNINALCMAFYARSEEARQSNAAQNFALGALALDNALSIGLPIQKEIASLQSNLEGIDKDSVLNLVLESLPEETRTNGTDTQLQLKHKASCFLFDSLKATLRHFIFFPPGGGGILAHSLAHIASWLKVREDDQSGDGVESVINKVESYLAEGKLAEAANFLEESVRGTGAAEGVADWVRQARNRAISEQAVVLLQSYAISISLT >OIW18302 pep chromosome:LupAngTanjil_v1.0:LG01:24588242:24591817:-1 gene:TanjilG_31442 transcript:OIW18302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERTLCLFLLLCTVASVAAVGGTNSNFTPGGMSWSSATEEPDLASPDESDSDGGFSSMDSMLQWAISHSDPEQLKESAQAQQQISPIELQKRQMEIKEIMDKMKVPSDAELMKISISDLNNISLSLEDRYRALHELLELVEPIDNANDLNKLGGLLAVTRELNHSDSGIRTLAAWILGKASQNNPVVQQQVLELRVLSRLMDMVKSNSVEEANKALHAISALIRNNLASHELFYAEAGGLMLQGILKDAKLDIRLRRKAVLLLTDLAEYQLENVDRDEPPFFNDKNLLKSVVDLTASTYLDLQEKALVAIKSLLQLRTTEALLFKDFCALDDALNRMKQLLLDLMVEESQRDYVVDVESLRIEVVNIFHRKLAKQ >OIW18395 pep chromosome:LupAngTanjil_v1.0:LG01:23345460:23346274:1 gene:TanjilG_31535 transcript:OIW18395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVFTFENESISSVAPARLYKALVTDADTIIPKAVEAIQSVETVEGNGGPGTIKKLTLIEGGETKYVLHKIESIDEANLGYNYSIVGGVGLPDTVEKISIETKLFEGPNGGSIGKVTINIQTKGDAQPNEEEGKAAKARGDAFFKAIESYLSAHPEYN >OIW18589 pep chromosome:LupAngTanjil_v1.0:LG01:21368571:21382106:-1 gene:TanjilG_13341 transcript:OIW18589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIESDLHDLSDDADYAASQQQGSASVMVTSDSSKQGSSSELDAAEIIFLKDNVAIHPTQFASERISGRMKLIKQSSSLFMTWIPYKAHSSDARISDKDRSLYTIRAVPFTDIRSIRRHTPALGWQYIIVVLSTGLAYPPLYFYSGGVKEFLATVKQHVLLVRSSEDANVFLVNDFQNTLQRTLSSLELPRAVTVACGPSNLSGDESAMNENEEKADGGVNNGIVSASQFHGKPRHKGHDPARDISIQVLEKFSLVTKFARETTSQLFGENQNNEFSSTKRRTHIQTNLDHPKKSPNVEGNVPDESHVIADPLEELMQIFIIIEQNVSCLRHEHDEEAATSVGTFELINCKRRFQIILFLGSHSSVLPPLMSMVFDKLSLVWGKPRQPPLSSKEWITFLDSEGRVTDSEALRKRIFYGGLDHNLRKESISLAQAKRFTKFRERKCLIEKDVVRTDRSLAFYDGDDNPNVNVLRDILLTYSFYNFDLGYCQSISLAQAKRFTKFRERKCLIEKDVVRTDRSLAFYDGDDNPNVNVLRDILLTYSFYNFDLGYCQGMSDLLSPILFVMGDESEAFWCFVALMERLGPNFNRDQNGMHSQLFALSKLVELLDSPLHNYFKQGDCLNYFFCFRWILIQFKREFEYENTMRLWEVLWTYYLSEHMHLYICVAVLKRYRNKIMGEEMDFDTLLKFINELSGHIELDATLRDAEALCICAGENGAANIPPGTPPSLPVDDGSFYVQQDDEVL >OIW19444 pep chromosome:LupAngTanjil_v1.0:LG01:2658812:2661685:-1 gene:TanjilG_09464 transcript:OIW19444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLVFTRLFSSLFGNKEARILVLGLDNAGKTTILYRLQMGEVVSTIPTIGFNVETVQYNNIKFQVWDLGGQTSIRPYWRCYFPNTQAIIYVVDSSDTDRLVIAKEEFHAILEEEELKGAVALIFANKQDLPGAIDDAAVTEALELHKIKNRQWAIFKTSAIKGEGLFEGLDW >OIW18478 pep chromosome:LupAngTanjil_v1.0:LG01:20552838:20557016:1 gene:TanjilG_13230 transcript:OIW18478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDLLPMGKIVDVPLAQTGEGIAECELLKWHVQEGDYIEDFQPICEVQSDKANIEITSRYRGKVASILYVPGDIVKVGETLVKILVDESAAFPSATSGDSGNEKSLDSDQIVVDESTFTAVTFDDSENVKSLDSDLEKEKQAGVLSTPAVRSLAKQHGIDINDVCGSGKDGRVLKEDVLSFVVNKGIIKNPSAVLHADYEEEPRGEEGYNSFAATKYESPSEDRILPLRGYQRAMVKAMSLAAKVPHFHYVDEIKCDAILELKTSFQKNNPYKDVKYTFLPILIKSLSMALTKYPFVNSCFKEDSLEVVLKGSHNIGIAMATPFGLVVPNIKNVQSLSILEITKELARLQQMASDNKLTSEDICGGTITLSNIGAIGGKFGSPLLNLPEVSIIAIGRTQKVAQFADDGTVYPISIMTVNIGADHRVLDGATVARFCNEWKQLIENPELLLLHLR >OIW19689 pep chromosome:LupAngTanjil_v1.0:LG01:1158535:1159912:1 gene:TanjilG_18499 transcript:OIW19689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNITMMMVVYAIFIFFLLCSPSSIAVTVLRRLQLPSPLTGPDSLAFDRNGAGPYTGASDGRILKYFPNDGFKEYAYTSPTRNKTCDGLADFSALQATCGRPLGLSFNHQTGELYAADAYFGLVKIGPNGGTPTQLVGTVQGTPLKFAAALDIDPDTGIVYFTEASSNYQIRDYQTMIDKRDSSGSLFKYDPSTNHTTVLLRGLAVASGVAISRDGSFLLVSELVANRVRRFWLKGPRTNQSELFWQLAGRPENIKRNSRGQFWVAVNSYIGPSPPQRVLIIPAAIRVNENGLIVQVVNLMQEYGSEGISEVQEFNGTLYAGSLKVSYASIFTQP >OIW18396 pep chromosome:LupAngTanjil_v1.0:LG01:23341714:23342490:1 gene:TanjilG_31536 transcript:OIW18396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVFTFENESTSTVAPTRLYKALVVDADTIIPKAVEAIQSVETVEGDGGPGTIKKLTLIEGGETKYVLHKIESIDEANLGYNYSIVGGVGLPDTVEKISIETKLFEGPNGGSIGKVTITIQTKGDAQPNEEEGKAAKARGDAFFKAIESYLSANPDYN >OIW18351 pep chromosome:LupAngTanjil_v1.0:LG01:23804387:23807733:1 gene:TanjilG_31491 transcript:OIW18351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVMKLMMSDYTVETINDGLSEFNVEFHGPKESLYEGGVWKVRVELPDAYPYKSPSIGFVNKIFHPNVDELSGSVCLDVINQSWSPMFDLLNVFEVFLPQLLLYPNASDPLNGDAASLMMKDKKLYDQKVREYCERYAKKENITNSTADEDSDEEDMSEEESGSSDDDIAGHADP >OIW18257 pep chromosome:LupAngTanjil_v1.0:LG01:25196264:25196790:1 gene:TanjilG_20312 transcript:OIW18257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDDPELIEMKRKANTQSLKEMAMLLRGGSRLIWIAPSGGRDRPDPTNGEWFLAPFGPYSVDNIKGLLTILAHQVEKEMGEKRVISFHGTNLSVAPEISFSETTAACESPEKAKDAHSKAVYISVNEQYNVPKFAIHDKKGLEASTHKVSLSQPWN >OIW19368 pep chromosome:LupAngTanjil_v1.0:LG01:3369060:3371628:-1 gene:TanjilG_03502 transcript:OIW19368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGTHYNPRTVEQVFKDFKGRRTAIIKALTTDVEEFFHQCDPEKDNLCLYGLPTEQWEVNLPAEEVPPELPEPALGINFARDGMQEKDWLSLVAVHSDAWLLAVAFYFGERFGFDRADRKRLFTMVNDLPTTFEVVTGTAKKQSIEKSSISNITGNRTKSGSKGQGSESGKYSKVAEEEDDGLVEEYEGLVEEDEEHGETLCGACGENYASDEFWICCDICEKWFHGKCVKITPARADHIRQYKCPSCSNKRARPYHWVG >OIW19379 pep chromosome:LupAngTanjil_v1.0:LG01:3276237:3278738:-1 gene:TanjilG_03513 transcript:OIW19379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPTQNPAVEQHRLIIPNKHGEKLVGILQDSGTKEIVILCHGFRSSKESNTNVNLAAALGSAGISSFRFDFPGNGESDGSFQYGSYWREADDLYAITQHFHESNHVVSAIVGHSKGGGVVLLYASKYRDVKTVVNLSGRYDLKGGVEERLGKDYMERIRNEGFIDVQKKSGGFNYRVTLESLLDRLDTNMHEASLQIDNECRVLTVHGSSDTTVPVEDAYEFAKIIPNHKLHIIEGANHSFSEHQDVLASVVVNFIKESLLPDTRTAS >OIW18835 pep chromosome:LupAngTanjil_v1.0:LG01:19962912:19972532:1 gene:TanjilG_25278 transcript:OIW18835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGGDEVSAMVLDLGSHTCKAGYAGEDAPKAVFPSVAGAIDEMDIDESDNAEKNSVSADKAKGKRKLYVGSQSLGYRRDHMEVLSPYKDGIVADWDIVDSIWDHAFRECLSIDPKEHPMLLAEPSSNTQQQRERAAELMFEKYKAPALFLAKNAVLTSFASGRATSLVVDSGGGSTTVVPVHDGYVLQKAVATSPIGGELLTDCLIKSLESKGIVIKPRYSFRRKEIRPGEFQTVDLDFPNTSESYKLYSQRVIASDIKECVCRAPDTSYDERAYSNIPTTPYELPDGQIIEIGADRFKIPDILFTPTLVQTIPGMESFAEIAPSVRGLPQMVIESINKCDVDIRRELFSSILLAGGTASMQQLKERLEKDLVEDSPQAARVKVLASGNATERRFRWVIYFSFKQSL >OIW18741 pep chromosome:LupAngTanjil_v1.0:LG01:22639204:22640327:-1 gene:TanjilG_13493 transcript:OIW18741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLLLNHTDTVFNCSQVDCSHSMAYAPYPYDGDPSFGGSLVAYGPHAINQSQMFPQMLGLGLASARIALPPDFAEDGPIYVNAKQYHGILRRRQSRAKLEAQNKLIKSRKPYLHESRHRHALKRVRGTGGRFLSAKQLQQSNAEVVSSAHSGSDPVNLYKNKDASEVESHPSRMRENAELTTLSSNSVIFRQHELQFLGNSPNIELRASQCSGGFTFSGGGTEQN >OIW17781 pep chromosome:LupAngTanjil_v1.0:LG01:34394170:34394478:-1 gene:TanjilG_06466 transcript:OIW17781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DPLCSKSNIIISQSPTTKLPSGIPSYLVEITSTCQNGECSNIHVDCGQFSYANLVNPKIFRRLAYNDCIVNNGKPLAKGDTISFQCANTFSYPLSVTSATCN >OIW17900 pep chromosome:LupAngTanjil_v1.0:LG01:32865378:32866281:1 gene:TanjilG_19869 transcript:OIW17900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCKIAAQFGLIDYIMLYLPETEEEKLLHEEIDQLKKELEKEYTTNSNKESVGGAGVDHTSAILLKKERELELLIHDLDDKVRFGQKAVDRPDSSAGKSAGFPDRPPSQSGLFEDTRSVEFNDRPRSRGTGDTSMRPSDDRRPYQGSRERGWFSGSRDLNSSRSRDRW >OIW18842 pep chromosome:LupAngTanjil_v1.0:LG01:19910762:19912580:1 gene:TanjilG_25285 transcript:OIW18842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLLIPNLQQQQLSFSKTLSQCHFFCNNNKISQTTLVAKPTIIRMGGGPRTFPGGVSKWQWKRMQAKKAKQLLKARLSRERQIYEMRKRAELKAAVSELERPWEVVEKSPNLFSIGADEQVKVLADRFQKPGGFDMWSDKDGPQLFQTPDELPSARFFPKGVVHSIRPYRKVELDDDDDDDDGENGGSLGGEFSRIINERNFFTKGVDDGSDDGEFSSPLSYGRNEVSGDGRRSKSGYGRNEVNVDGRRSKNGNGRRFLSKGVKGPSGSDGGERSSPFNYERNGMSGDGRSRKNGNERRFMSEGVDDFNGEFSSPLNYQRNGVNVNGRTRKNENGRRFMAKGINDFDGEFSSPMNYGRNGNGRMRNNGDGRRFLSKDIDGPDGEFSSPMNYGRNGVNVNDRTASNENRRRFMSKDDNRLGGSDERRSPPPLKHTRNEVSDDGRMRRDENEKRFMSEDIAGSNRLNTERVGSGRKQRGSNSVRGKNYSRGASGYASRRSRDADSEIYDMGLQQDGSYGFH >OIW18446 pep chromosome:LupAngTanjil_v1.0:LG01:20338826:20340642:-1 gene:TanjilG_13198 transcript:OIW18446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKEEFLKIQKCVLKVNIHCDGCKQKVKKILQKIDGVFTTEIDAEQGKVTVSGNVDPNVLIKKLSKSGKHAELWGAPNKPNNNNNNNNLINQLKNMQNEHGKGGVGNNNKGQQNNQKGGGNNNNQPKQQQGGPNPQQQQQQLQQLQQLQQMKGFQDLKMMPPQFKDMKMMPPGQNHHSNMKAVKFDMPEDDDDFSDEEFDDDDDDFDEEFDDNEMDDLPLNKMKQPHMAMGNGAHMMNKNHPQFMNPHNDGEDGGGNGGAKGKKGGGGGGGGGGPVPVQMHGMLGSNNGNGGKKGGGGGGGGGGGGNNQTHEGGGNKNNGGGVAEGKNGKKNGGNNNSNVNGGKKGNPMMGEVNGAQLQQAMKNGFNPNMGGGMPMQMNQMGGGMAAVQGLPAVAMNGGGGGGPDMMAGNQQQQQQYMAAMMNQQRAMENERFQAMMYARPPPAVNYMYPPYAYPPPDPYTHFFSDENTSSCNVM >OIW19461 pep chromosome:LupAngTanjil_v1.0:LG01:2467634:2470444:1 gene:TanjilG_09481 transcript:OIW19461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKCSNSLIGILNVVTTLLSIPIIIVGIWLSKQSGTECERWLEKPVIAVGVFLLLVSIAGLVGACYRVTWLLWVYLLVMFLLIVILFAFTVFSFVITNKGAGKALSGKGYKEYRLGDYSNWLQKRVSGSTWKRISSCLYAGQLCSTFESKYANDTVYEFYLRDLSPLQSGCCKPSNDCSYMYKSPTNWAKLGNATLTNPDCITWSNDPKLLCYNCQSCKAGLLENLKTSWKKVSVVNVVFLVFLIIVYAVGCCAFRNNRMDNYFVKY >OIW19555 pep chromosome:LupAngTanjil_v1.0:LG01:1687586:1689417:-1 gene:TanjilG_07010 transcript:OIW19555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGKVSNDVNEARDTYRIAYIIHFLLGVGNLLPWNAFITAIDYFAYLYPTNHIEKVFSVAYMISSVMVLLVMMSWGGWSKTTMRMRMNLGFSMFVMSLTITSVVDWTSGSTKLKERSCGLTVAAVVICGLADGLVAGSLIGSAGKLPKQYMQAVFAGTASSVCLHGPKWLKTEVPMMVLTFLLGFTNGYFTSVLMILTPKSVPFSESELSAIVMTVFLGFGLVGGSVLGWFWIL >OIW19070 pep chromosome:LupAngTanjil_v1.0:LG01:14944869:14947291:-1 gene:TanjilG_10631 transcript:OIW19070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGCCSCHVTLGGRVYDMESESDDHHNKYNAYENGYGGARIMLGESSKFVSMYCKKGGKRINQDALTVWEDFMGEKGTIFCGVFDGHGPLGHKFSQCIRDNLPSKLSEAIKMSQQNSSSSSQHKQNMSFVSWNESFLKSFIEMDEELAMKVDTDGFCGGSTAVTGDQLIIGNLGDSRAILCTRADDNHCVPIPLTVDLKPDVPSEASRIANCGGRVFSAKEDPGVKRIWMPEEDCPGLAMSRAFGDFCLKNCGLTSVPDVFSRKLSKQDQFVVLASDGVWDVLTNNEVISIVASAPKRSMAAKILVKHAVHAWRHKYPTSEIDDCAVICLFLNE >OIW18085 pep chromosome:LupAngTanjil_v1.0:LG01:29188603:29188977:-1 gene:TanjilG_08555 transcript:OIW18085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSPSKNSTLPKETRPSSGPSDKTGILAPNLISPSIQTVWQDSWPPLPAPQLMPTRKAHAPLDQAPLLDPQTIQPATSHEGPSTINHYNLEDKVGLAEDGNDRNPPRPNRIKQRPKWLVDYNSN >OIW19189 pep chromosome:LupAngTanjil_v1.0:LG01:8646271:8646882:1 gene:TanjilG_04932 transcript:OIW19189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDTCWRDIQNFPEFVVARTRGQVRSDGVCISNNLNWIVVSKCNSFRQFVIASLDLVEETFTQLSMPCGFDEVYLEVPIEELYVFLGVLMDCLCISYDDFNKGTNFVVWQMKEFGVQKSWTKLLNVSYHDLQLDCKLGKRGHRLSLFPLRMYKNGDFVITVVHYHHFEAIMYNQRDNRVKHFKTKSMNWIFETDYIESLVSPL >OIW18305 pep chromosome:LupAngTanjil_v1.0:LG01:24573561:24576688:1 gene:TanjilG_31445 transcript:OIW18305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGRRHSVDIPISKTLVALRRVKSLRDPSTNCISKLSPLIDNVHCEYGSGNGISSWFLDASHACGSDHDAFLRSKDSDFKGKREHYSTDFRLDNYCRGSCKERPRDDEPVYYNPSPQGIFGTKLSNESCCSNHGGGGLDLACILSPINHLRNEESCHSSTVLSSQLGRTEYSKSNTKSLFKNQVKKSEEMGDIASHVGSPCLSLGDALSTCSAAAHIIQGVNVLDNHNGCRISCWSKSPSFGESNHYSEMEDLPLTLQHVSETGLNEHRSMRHIGGGTSTNLETPRSLCMKFRPKSFSDLVGHNVVGRSLLGAISRGRITSFYLFHGPRGTGKTSASRIFAAALNCLSFEEQRPCGLCRECVLFFNGRSNDIKEVDFLRINHADKAKSLVKNACIPPVSLRFKVFIIDECQFLHRETWVILLNSLENVPQHVVFVMITPELDKLPRSAVSRAQRYYFPKIKDTDIARRLEQICVVEAIDYEQVALDFIAAKSCGSLRDAEMMLDQLSLLDKKITISLAYELTGVVSDEELFELLDLALSPDTSNTVIKARELMSSRIDPLQLMSQLENLIMDILAGKCEVGGAEIGRRFSSRHTSEADLQKLNHAVRILSETEKQLRISKNQTTWFTAALLQLNSVEYSSVDANDSKSCLRVASTRDGDFCSTSSEGESVKHAATGKCDDKSYRLELHEDRRGTLDSIWYRATKICQSSKLKNFLRKHGKLSSLWVNQGLAVAELEFHHRDFVARAEKSWKLIASSLQFILGYNIELRINYVPCTSDSKFAKFKRSYFNFFNCSRRILRKSVSYNEQGSESDYADCTSEKPIMKDQILTCSSDAISIVR >OIW17743 pep chromosome:LupAngTanjil_v1.0:LG01:34767406:34772780:1 gene:TanjilG_29093 transcript:OIW17743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHFDNRYSDATSYRNRRSDLVGSMPPPAATYGRGAPAPYGGPPVSYSSGRGAPNGYGSGPAPPVSPFIPPSGGFNIGRGGGFGDRFGNGHLGDRRDDIGRHGGGGGRGRGGFSSGHGGGRGGNYGFRGSGRGGMGGRSYGSGGGRGGRHGGSSKGDLDNISLPRQDFRNLVPFDKNFYVESHSVSAMSEQEVMHYRATREITVQGNDVPRPIRTFQDANFPGYCMDVIANLGFVEPTAIQAQGWPMALKGRDLIGIAETGSGKTLSYLLPAFVHISAQPRLSPGDGPVALVLAPTRELAVQIQEEALKFGARTHIRSTCIYGGAPKGPQIRDLRRGVEIVIATPGRLIDMLEAQHTNLKRVTYLVLDEADRMLDMGFEPQIRKIVGQIRPDRQTLYWSATWPREVEKLARQFLRNPYKVIIGSAELKANQSINQIVEIITDMEKYNRLIKLLKEMMDGSRILIFMETKKGCDQVTRQLRVDGWAALSIHGDKNQSERDWVLAEFKSGRSPIMTATDVAARGLDVKDIKCVINYDFPTNLEDYVHRIGRTGRAGAKGTAYTFFTHSNAKFAKELIKILQDAGQVVNPALIALARTAGSGQFGSGGGFRSRGRGGYGNRSGSNAVPVGFKRHW >OIW19224 pep chromosome:LupAngTanjil_v1.0:LG01:7406960:7415990:-1 gene:TanjilG_20349 transcript:OIW19224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPESTQLQQSQLASILGPDPTPFETLISHLMSSSNDERSHAESLFNLCKQNDPNTLSLKLAHLLHSSPHHEARAMSAILLRKQLTRLHSEIDDSNYLWPRLNGNTQSTVKSLLLSSLQAEQSKSISKKLCDTVSELAAGIVPDNGWPELLPFMFQCVSSSDSPKLQESAFLIFAQLSQYIGDSLVPHIKHLHAIFLQCLTSPAVDSDVRIAALNAVINFIQCLSGSGDRDRFQDLLPAMMRTLTEALNSGQEATAQEALELLIELAETEPRFLRRQLVEVVGAMLQIAEAESLEEGTRHLAIEFVITLAEARERAPGMMRKLPQFISRLFAILMKMLLDIEDDPAWHSAETEDEDAGETSNYGMGQECLDRLAISLGGNTVVPVASEQLPAYLAAPEWQKRHAALIALAQIAEGCSKVMIKNLEQVVAMILNSFPDQHPRVRWAAINAIGQLSTDLGPDLQIHYHQGVLPALAAAMDDFQNPRVQAHAASAVLNFSENCTPDILTPYLDGIVSKLLVILQNGKQMVQEGALTALASVADSSQEHFKKYYDAVMPYLKAILINANDKSNRMLRAKSMECISLVGMAVGKEKFRDDAKQAWARLCKCLGQDFLPYMTFVMPPLLWSASLKPDVTITSADSDNDIDDSDDESMETITLGDKRIGIKTSVLEEKATACNMLCCYADELKEGFFPWIDQVAGILVPLLKFYFHEEVRKAAVSAMPELLRSAKLAIEKGQSQGRDGTYLKFLTDSIVPALVEALHKEPDTEICASLLDSLNESLQISGTLLDENQVRSIVDVLKQVITASSSRKREREERAKAEDFDAEEGELIKEENEQEEEVFDQVGEILGTLIKTFKASFLPFFDELSSYLTPMWGRDKTPEERRIAICIFDDVAEQCREAALKYYETYLPFLLEACNDEIPDVRQAAVYGLGVCAEFGGSVFKPLVGEGLSRLNAVIQHPNALQGDNVMAYDNAVSALGKICQFHRDSIDSAQVVPAWLNCLPIKGDLIEAKVVHDQLCSMVERSDGDLLGPNSQYLPKIVSVFAEVLCAGKELATEQTVGRMINLLRQLQQTLPPATLASTWSSLQPQQQLALQSILSS >OIW19382 pep chromosome:LupAngTanjil_v1.0:LG01:3246658:3251485:-1 gene:TanjilG_03516 transcript:OIW19382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTPPQQQGSVVKKKETRGRKPKPKTNEQSQQSKTPKEGKKSQQHHHQQQHHHLTSEDKYNHWKSVVPVLYDWLANHNLVWPSLSCRWGPQLDNATQKNRQRLYLSEQTDGSVPNTLVIANCEVVKPRVAAAEHISQFNEEARSPFVKKYKTIIHPGEVNRIRELPQNSKIVATHTDSPDVLIWDVEAQPNRHAVLGATSSRPDLILTGHQDNAEFALAMCPTEPYVLSGGKDKSVVLWSIEDHITSAATGSKSGGSIIKQNAKSGEGNDKTANGPSVGPRGIYHGHEDTVEDVTFCPSSAQEFCSVGDDSCLILWDARIGTTPAVKVEKAHNADLHCVDWSPHDVNLILTGSADNSVRMFDRRKLTSNGVGSPIHKFEGHKAAWSPDKSSVFGSSAEDGLLNIWDFEKVGNNTEQSSAPPGLFFQHAGHRDKVVDFHWNAYDPWTIVSVSDDCQSTGGGGTLQIWRMSDFLYRPEEEVLAELESFKSHVETCASISEN >OIW18778 pep chromosome:LupAngTanjil_v1.0:LG01:22991138:22993310:-1 gene:TanjilG_13530 transcript:OIW18778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFQRFTMFFLLALIILSQGLVKGTHGFACNWGLRSTHLLPPKIVVKLMKDNGFKQVKLFEADAGALKALGNSGIQVMVGIPNDMLESLASNVNAAIAWVNQNVSNYISKNGVDIRYVAVGNEAFLKTYNGRFVNATFPAIQNIQAALIKAGLDRKVKVTTPLNADVYQSDSGLPSGGNFRPDIQDQMISIIKFLYQNAAPLTFNIYPFLSLDADPNFPKEFAFFDGSADPVVDGSISYTNVLDANFDTLISALEKNGFGSIPVIIGEVGWPTDGTANANKKNAQRFNQGLIDRIIKRQGTPKRSTPPDIYVFGFIDEAAKSIEPGPFERHWGIFNFDGSIKYPLNLGGGKSLVAAKGVNYLPKKWCVMSDQANVMDPGLADSLSKACTYADCTSLSPGSSCSGLDTKGNASYAFNMYYQNMDQKKDACQFNGLSVITSTNPSPPQSTCHFEIMIDLSKHENKSTTTTTTTTTTTTSLAAPKMKMHSMVMPLSTFMFTIMLSLCM >OIW18878 pep chromosome:LupAngTanjil_v1.0:LG01:19548132:19551017:1 gene:TanjilG_25321 transcript:OIW18878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFPRGGGGSGGGFRGRGGGDRGRGRGFGGRGGDRGGTPFKARGGGRGGGRGGRGGGRGGRGGGMKGGNKVVVEPHRHEGIFIAKGKEDALVTKNLVPGEAVYNEKRITVQKEDGSKDEYRIWNPFRSKLAAAILGGVDNIWIKPGARVLYLGAASGTTVSHVSDIVGPTGVVYAVEFSHRSGRDLVNMAKKRTNIIPIIEDARHPAKYRMLVGMVDVIFSDVAQPDQARILGLNASYYLKSGGHFVISIKANCIDSTVPAETVFASEVNKLKADQFKPFEQVTLEPFERDHACVVGGYRVPKKKKDAE >OIW18563 pep chromosome:LupAngTanjil_v1.0:LG01:21154211:21158556:1 gene:TanjilG_13315 transcript:OIW18563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVLLSWLNFFSFSLVLLLLVLKVTVVLWWRPRKIEGHFSKQGIRGPPYRFFIGNVKELVGMMLKASSQPMPFSHNILPRVLSFYHHWKKIYGTTFLVWFGPTVRLTVSDPDLIREIFTSKSEFYEKNEAPPLVKQLEGDGLLSLKGQKWAHHRRIISPTFHMENLKLLIPVMETSVVEMLDKWWVMLDENGEVEIEVSEWFQNLTEDVITRTAFGSSYEDGKAIFRLQAHQMLLAADAFQKVFIPGYRFFPTRRNIKSWKLDKEIKKSLAKLIARRKENCCGNEMSVKNGPKDLLGLMIEASKANSSTNVTVDDIVEECKSFFFAGKQTTSNLLTWTTILLAMHPQWQDQARDEVLKMCGARDLPTKDHIVKLKTLSMIVNESLRLYPPTIATIRRTKTDVDLGNYKIPRGTELLIPILAVHHDQAIWGNDVNEFNPGRFSKGVAHATKHPVAFIPFGLGVRTCIGQNLALLQTKLTLAIMLQRFSFTLAPTYQHAPTVLMLLYPQYGAPIIFKRLLKLNDDLHQGS >OIW17741 pep chromosome:LupAngTanjil_v1.0:LG01:34785692:34791730:1 gene:TanjilG_29091 transcript:OIW17741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTPQKTTPIPDWNLDHPPKNLSVPITQQQSSINAPPPSLPQGITALTRPQSSHPLDPLSAAEITVAVATVRAAGATPELRDSMRFIEVVLLEPDKNVVALADAYFFPPFQPSLLPRTKGGPVIPSKLPPRCARLVVYNKRTNETSIWVVELSEVHAVTRGGHHRGKVISSHVVPDVQPPMDAVEYAECEAVVKDFPPFREAMKKRGIEDMDLVMVDAWCVGNYSEADAPSRRLAKPLIFCRAESDCPMENGYARPVDGIYVLVDMQNMVVIEFEDRKLVPLPPVDPLRNYTPGGTRGGVDRSDVKPLQIIQPEGPSFRVNGHYIEWQKWNFRIGFTPREGLVIYSVAYVDGSRGRRPVAHRLSFVEMVVPYGDPNEPHYRKNAFDAGEDGLGKNAHSLKKGCDCLGYIKYFDAHFTNFTGGVETIENCVCLHEEDHGILWKHQDWRTGLAEDGRIEAEVKLTGILSLGALLPGEFRKYGTMIAPGLYAPVHQHFFVARMDMAVDSKPGDALNQVVEINVKVEEPGENNVHNNAFYAEETLLRSELEAMRDCNPLTARHWIVRNTRTGNRTGQLTGYKLVPGSNCLPLAGSEAKFLRRAAFLKHNLWVTSYSRDEMFPGGEFPNQNPRVGEGLATWVKQNRPLEESDIVLWYVFGITHVPRLEDWPVMPVEHIGFVLVPHGFFNCSPAVDVPPSQCEMDSKYNNGVKDNNGGPKQIQNGLVAKL >OIW18364 pep chromosome:LupAngTanjil_v1.0:LG01:23659836:23661678:-1 gene:TanjilG_31504 transcript:OIW18364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIAGEVFRQNLPEYLEESPSGAGELHVLAVDDSFVDRKVIERLLKVSSCKVTVVESGTRALQYLGLDGDKNSFGFDQESSVFREIPVVIMSSENIITRIDRCLEEGAEEFLLKPVKLADVRRLTDFITRGEVKEEVKTSKKRKLSDGCSPSLSTTFSSVSQPRDPSLSGLSPLSPSSLSSKKSRLCSEI >OIW18029 pep chromosome:LupAngTanjil_v1.0:LG01:30909796:30920835:-1 gene:TanjilG_07613 transcript:OIW18029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVFFAVFVMNLSLIVNYFLLELSSQQEYLKLKARYEALQRSQRNLMGEDLGPLSSKELESLERQLDSSLKQIRSTRTQFMLDQLSDLQRKLEGYQINQLQMNPSVEDMGYGRHPCHQDQGDHLFHQMECEPTLQIGYVLFLELKY >OIW19441 pep chromosome:LupAngTanjil_v1.0:LG01:2678176:2680112:-1 gene:TanjilG_09461 transcript:OIW19441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAPCCDKANVKKGPWSPEEDAALKDYIEKNGTGGNWIALPQKIGLKRCGKSCRLRWLNYLRPNIKHGGFTEEEDNIICSLYISIGSRWSIIAAQLSGRTDNDIKNYWNTRLKKKLLGRHKQSNFNTKETNGTDDNSSNSLSSSALERLQLHMQLQSLQNPFSFYSNPSLWPKWHPSQEKMIQQSLKDASNSNTVVMQGQKYEFDKPTNIVSGFLQQDIGANMNTLKVENPLNNNSVPFANSGNNLPMHSSSIVDQTNSMGFQQVCALQITEHDNILNNKITMGNYLPQEQQNMAGFDCFREMNGSNDNSNLIWWSNDSDSKSASTSSWDSSTTPVLMPEGMFHDYELGYSF >OIW19270 pep chromosome:LupAngTanjil_v1.0:LG01:5878754:5880697:1 gene:TanjilG_20395 transcript:OIW19270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYWLTTLTRSNLVVCLCHLIILLPRTQQAMRAMKELTRREAVSGIITMSPILRFQNQIKNDERVLPGPRKNTGYFFLVWRSMEKVIGEVYLGTVVTRTPTQVASHAQKYFIRLNSMNKDRRRSSIHDITSVNNGDVSAALGPITSQTNVASRNSTGKLTKQGPPTPTGASVVGLYGPPTVGQAIGGGPLVSAVGTPVNLPPPHMAYGVRAPVHGAVVPGAVMNFGHMPYHMPPPHR >OIW18014 pep chromosome:LupAngTanjil_v1.0:LG01:30638639:30640663:-1 gene:TanjilG_07598 transcript:OIW18014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKYSREPDNPTKSCKARGADLRVHFKNTRETAFSIRKLPLVKAKRYLEDVLAHKQAIPFRRFCRGVGRTAQAKNRHSNGQGRWPVKSAKFILDLLKNAESNAEVKGLDVDALYISHIQVNQAQRQRRRTYRAHGRINPYMSSPCHIELTLSEKEEPVKKEPESQLAPSKKKSQALRSGASS >OIW17682 pep chromosome:LupAngTanjil_v1.0:LG01:35246350:35249669:-1 gene:TanjilG_29032 transcript:OIW17682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVARDIDDLPKNAANYTALTPLWFVERAAQVHPTRKSIIHGSRHYTWRQTYHRCRRLASALSIRSIGLGSTVAVIAPNIPALYEAHFGIPMAGAVVNTVNTRLNASTVAFLLGHSSAAMVIVDQDFFSLAEEALKIWSEKTKSFKAPILIVIGDENCDPKTLQYALGKGAIEYEEFLQSGDPEYAWKPPEDEWQSIALGYTSGTTASPKGVVLHHRGAYLMSLSGALIWGMNEGAVYLWTLPMFHCNGWCYTWTLAALCGTNICLRQVTARAVYEAIAKYKVTHFSAAPVVLNTICNAPANEVILPLPHVVNVTTAGAAPPPSVLSSMSQRGFRVTHTYGLSETFGPSTVCAWKPEWESLPLKTQAQLHARQGVRYIGLEGLEVLNTKTLQPVPADGKTVGEIVMRGNVVMKGYLKNPKANEESFANGWFHTGDLAVKHSDGYMEIKDRSKDIIISGAENISSVEIENTLYSHPAILEASVVARPDEKWGESPCAFVTLKQGVDTSNEQLLIKDIIKFCRAKMPAYWVPKSVIFGPLPKTATGKIQKNLLRARTKEMGPVKISKL >OIW19675 pep chromosome:LupAngTanjil_v1.0:LG01:1047437:1051546:1 gene:TanjilG_18485 transcript:OIW19675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERILERYERYSYTERQVDANNDQTSNENWILEHAKLKARVEVLQRNQRNFMGEDLDSLSLKELQNLEQQLDSALKHIRTRKNQLMYESISGLQKKDKALQEQNNILAKKIKEKEKALAQQEQQRVQNGVDVSSVLVNQPLESLNVGGGSGDNEGTATQTRTTPLLPPWMLRPINE >OIW17691 pep chromosome:LupAngTanjil_v1.0:LG01:35180352:35187007:1 gene:TanjilG_29041 transcript:OIW17691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGVGYVQIQTEPSLRQSGGPEPCRIFEELPEATIVSVSRPDAADISPLLLTYTIQLRYKQFKWQLVKKASQVFYLHFKLKKRAFMEEMQERQEQVKEWLQNIGIGELTPSMQDDEEADGEAVSLHHQDESAKDRDVPSSAALPIIRPALGRLQSISGRAKIAMQGYLNHFLGNISIVNSPEVCKFLEVSKLSFSPEYGSKLKEEYVRVMHLPKIPKDDDGGSRRCCMSDCFSCCNDNLQKVWAVLKPGFLALLADPFDVQPLDIIVFDVLPPSDGKGDGHLSLAKEIKERNPLRHSLKVTCGNRSIELRVKSSRKVADWLAAINDAGLRTRERWCQHHRYDSFAPPRGLIEDGSQAQWFVDGQAAFEAIASSIKNAKSERPFQTKASSRLDNLLEEKAKQGVQVYILLYKEVALALKINSFYSKRKLLCIHENVRVLRYPDHFSAGVYLWSHHEKIVIIDHQICFIGGLDLCFGRYDTPEHKVGDSPPLIWPGKDYYNPRESEPNSWEDTMKDELDRGKYPRMPWHDVHCALWGPPCRDIARHFVERWNYAKRNKAPNQQAIPLLMPRHHMVIPHYMGRCIDDTAIERGDSFSLSSQDIPLLLPQESGGLNISVRESKLNRLSSFSHLHDQSNRVSSSLAFSLPKAKMEPSDIAMKGLSGVNYHDKMSLDRVSHVDIQSTATEWWKTPEHPDESRQVGPRASCRCQVIRSVSQWSAGTRKNEESIHNAYCSLIEKAEHFIYIENQFFISGLSGDDIIRNRVSEALYRRIIRAYEDNKLFRVIIVIPLLPGFQGGLDDSGAASVRAIMHWQYRTICRGQNSILHNLFQLLGPRIQDYISFYGLRAYGRLSDNGPVATNQVYVHSKIMIVDDCTCLIGSANINDRSLLGSRDSEIGVIIEDGELIGSYMDGKPWKAGKFSLTLRLSLWSEHLGLRAGEVSRIMDPVVESTYKDIWMATAKTNTTIYHDVFSCVPNDEIHTRFAFRQSVASWKEKNGHTTIDLGIAPKNVEYYHNGGIKKVEALERLASVKGHLVSFPLEFMSLENLRPAFIESEYYATQVFH >OIW19759 pep chromosome:LupAngTanjil_v1.0:LG01:121519:124058:1 gene:TanjilG_27298 transcript:OIW19759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSNRVWCLLLLMLLIFELDEVLSSYAGSATSIINPSQVKQISWNPRAFVYQSFLTHLECDHLVSIAKSELKRSAVADNLSGESKLSEVRTSSGMFISKNKDPIVSAIEDKISAWTFLPKENGEDMQVLRYEHGQKYDPHYDYFADKVNIVRGGHRVATVLMYLTDVTKGGETVFPLAEEAPRRRGSETSNNDLSECAKKGIAAKPHKGDALLFFNLHTNSTPDTSSLHAGCPVIEGEKWSATKWIHVDSFDKTVGAGGGCSDQHESCERWASLGECTKNPEYMVGSSDLPGYCRRSCKAC >OIW19158 pep chromosome:LupAngTanjil_v1.0:LG01:9471618:9474058:1 gene:TanjilG_13940 transcript:OIW19158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQISSTKYMPEFFQGIEDPTFFHQYAMDSFAYQVDDVDFKPFSAATESNNSSHNYFHYETTENSFPEDHCVVSPARPSKQLKTNWNDYNNDLKASNFSSSSQIISFEHSNTSSVASQHYHNHDYENKSFQNYDKQANKTANSTMTMRNTNQAQDHVLSERKRREKLNQRFVALSAIVPGLKKMDKATVLGDAIKYLKQLQERVKTLEKQASEERVESAVVVKKSIIFADEDNSSPLDENSNQTIPEMEARVCGIEVLIRIHCNKHNGRSATILNELEKYHLTIQSTSFVPFGNNTIDITIVAQMNKEHSVTAKDLIKYLRKSLKKLI >OIW18018 pep chromosome:LupAngTanjil_v1.0:LG01:30656336:30658191:-1 gene:TanjilG_07602 transcript:OIW18018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVAGVLGAALLCVIHGATVENTLFEDGDGANTLCTFNPTQAEETYSMVTANRFWSQIFGVAFSNKRWLHFFMLFVPVTGLWMSALGVVGLALNLQPLKGPNCLDLSRLKKDIQPWQERRSAKYMTHAPLGALNSVGGIATEINTVNYVSPRSWLAASHFVLGFFLFVGHLWHAGRARAAAAGFEKGIDRDFEPVLSMTPLN >OIW18998 pep chromosome:LupAngTanjil_v1.0:LG01:17746753:17750575:-1 gene:TanjilG_20271 transcript:OIW18998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESREGISSGVTVIGAEAPSAYHVAPRSEAPNQAHVPDAAVTAAAAATAVVVSPVSVGLDGTAVKKKRGRPRKYGPDGSVNTMALSAMPISSSGPHSNDFSSGKRGKLCGMEYKQSKKFGLDRLGDFNGCSDGTNFMPHFITVNAGEDITMKVISFSQQGPRAICILSANGAISNVTLRQPDSSGGTLTYEGRFEILSLSGSFMPTDSQGTRSRSGGMSVSLRSPDGRVIGGGVAGLLVAAGPVQVVVGSFLPSNQQDQKPKKPKSDYAPTTVTQVIAVSSAPSPANTEKEDVMGGHVLQNPTTLNSSLTPPSAFRRENWVNMQTISDSRKSATDINVSLPDS >OIW19620 pep chromosome:LupAngTanjil_v1.0:LG01:654148:659729:-1 gene:TanjilG_18430 transcript:OIW19620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNRRSRSSNMITEDQINDLVSKLQQLLPEIRHSHRHSDKVSSAKILQETCNYIRSLHREVDDLNSTKVPCRCSQLLAHSGPSVHRYSGGGKPEVLPTPRSEGDILSSPHLKAFRFNELKNATRNFLSDSVIGQGGFGNVYKGWIDGLTLGAARPGGSEMVVAVKKLKAEGFQGHKEWLSELNYLGQLHHPNLVKLIGYCLEGDNRLLVYEYMPNGSLENHLFRKGAEPLPWATRIKVAIGAARGLTFLHDSKQQVIYRDFKASNILLDSEFNAKLSDFGFAKAGPTGENSHVSTQVLGTHGYAAPEYIATGWLTAKCDVYSFGVVLLEMLSGRNAVDKTKSGVEHNLVDWARPYLNDRRKLFRIMDTKLEGQYPQRGAYAVAILAFQCISEAKIRPQMSEVLAILEQLPVTRHSSRSSPARAEEIPNSISTREGL >OIW19541 pep chromosome:LupAngTanjil_v1.0:LG01:1815432:1821824:-1 gene:TanjilG_06996 transcript:OIW19541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDTPNDIDEIRRFIFSDDKSNKCYGYSTLFNFQQQSSLNPSSLQSLTLFSNSIIFSILIDISHEDEEIAAQALKCLGFMIYHPSIASTLQVDDSNLVLDSLAKLIITTKLKSACNLGVWCISVQQLDVSSLATHFDSLLRAIVHALDNPMGSLSTTFEATQAIMKLAGQLSEKMRDSSHIWAPPIYRRLLSTDKREKDAMERCLLKIRSTVIPPSLDLSKVIAKDMKIKLLNGMKDLLDKGMKVQAIQAWGWFVRMLGSHALKTRQLVNDMLKIPECTFTDHDPQVQIATQVAWEGLIDALVPCPIPASEKNTPAEGRNSCDVQANGFSKSIKLIMTPLIGIMSSKCDISVQSSCLNTWCYLLHKLDTSINEPPLIKMVLEPILKVIFQNGPDSKSIWSWNLGLDLLSDSISQKCRDVLYQSTDRVTHRISGTGPSLSGKCSLKQQHLIRWLPWDISQLEFYLSMIFVIIRQASGPTVPCDHRRLVYDVVLKLFIYIVKGVKMDLNSPATNYGGIMWCLDSLLTFMKKVCQDLYSEGRENYDLYCTSIQFIDAMTKHLDPSMLGSPLYKFSLDLKYIGDMQSVDHNNHLKLPSISCISYMDKVSPLVYLIALYFHMMVQLTMDSTQSDCNSEGMCDYFKFIFSSSDPLENFCTCIGFLYKHVQPMYLNLWITVAQALNYCLCDPNCKFLQEVMSDSTGRSSICHLLIYPIMAYSEVSRLAFSNDSASLEKCPVSPERKLRLEIIFQTWKSLYGTLSASGFECSTTTSFSGELCTLLSRCLDEKAGLLEDGTDSSLTCNDMGLMVLHLSGNFLICILDQICTSELVSETYRSKTCLDGKMHCGIRNCLKFAARYMNFLRIDMIIDPMPGFVGTSRVSSALACFVGCLRWKQDILRFLEIVSCPLVQWLSNMGMQDERTNDQIQLLWTEILNCLRRSQPPINFGSALLKLHEALFNKTLDHSYPSISEPTIKFWNSTFGQEIISDFPQSLLCVLDKLSRKGKLKLQKRSLPPLPSLKKCNSYEEFNDALVGYRVSAKHNRTSKRVELVLDTQKEVPPISFKKKRLELTEHQKEVRRAQQGRERDTGGHGPGIRTYTNADFSQGHDDSQESQEEIKDAEAILQMLRKTI >OIW18604 pep chromosome:LupAngTanjil_v1.0:LG01:21545218:21552026:1 gene:TanjilG_13356 transcript:OIW18604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSEIEVVDEVQSRDQQLPTASSSSSTAGEVSEESLRNDVYTAAAYGDLEKLHRLVEQEGCTVTEPDGLGYYALQWAALNNRTAAAQYIIEHGGDVNAADHTGQTALHWSAVRGAIQVAELLLQEGARVNAADMNGYQTTHVAAQYGQTAFLYHVVSKWNADPDVPDNDGRSPLHWAAYKGFADSIRLLLFLDAHRGRQDKEGCTPLHWAAIRGNMEACTVLVQAGKKEDLMVTDNTGLTPAQLASDKNHRQVAFFLGNARRLLDKRFDGNSRLGKISKLGLAPVLWCIIFVLLVTYIHSVILATNLPKLTAAAALLAWFGVLLATVGLAMFYKCSSKDPGYIKMNMHDTENMKDDEPLLKIEINNPALLAGNWSQLCATCKIVRPLRAKHCSTCDRCVEQFDHHCPWVSNCIGKKNKWDFFVFLVLEVSAMLVTGGVSLTRVLTDPLAPSSFGAWIQYVGKNHVGAISFLIADFFLFFGVFALTVVQASQISRNITTNEMANAMRYSYLRGPGGRFRNPYDHGIKKNCSDFLINGYNEDVECIEEVGNSEEGIGMEPIARSSNLTNGDSHSHFDHTKDNRNGHVVIDVNSNTTNSKTHHGHINGHIHSSHCSHDKNNNNNNSSQGKTKNENIPKGLGLGLGRNARSVTPS >OIW18738 pep chromosome:LupAngTanjil_v1.0:LG01:22624315:22628103:1 gene:TanjilG_13490 transcript:OIW18738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNFDVGKNSSEGSDNQNKLLKDVQTISEVVYLDKSSLKKATSAVISRSKSTDKFPVPDPKSKPKANKEDKLDKDKKSIWNWKPLKALNINRSQKFNCCFSLQVHLIEGLPSTFNDANLCVYWKRRDEVMMTPPAKVIQCTAEFEERLTYTCSISGSKSGPHGSAKYEAKHVLLYASMVGAPELDLGKHRVDLSRLLPLTLKELEEEKSSGKWTTSFRLSGAATGAAMNVSFGYVVVSDNTSATRDNHIAPGTLTLRQNSLASLMKPDQSDRSVRRTGSLPSIINQYSCQNIDVVKDLHEVLPLSKSELAISIDTLYKKIEEKNTFSPLKNEPELDVFTKTLEMIKPDACISSETGKEKPKEHPGNEGKTCSPVHDKPEFDVFQENVKTVKSHCYPLPDSGKENHEECEVKDGKTCNPVHGKPEFVVFQENMETVKPDDYPSPDSGNENCEKCEGDGFFVVDQGIELSSNEHVKREEPVTKALADAHTVDRISTIDAAAIQVSFEDSYNNDSLYEVDDSSKEKTVVHEFSYEEGTICTTELLLQELESALNSVSDLESVALDSPDIMEAKSEYKMRKSHSLDDVTESVASEFLSMLGIDHNPMSSSSESETESPRERLLRQFEKDTLSEGFSLFNFEMGNDNEADDNYDDSFGFEQWEFSDSINSSSMLEDLKEEHLIESRDVKSKMKPHMLEDMETEALMRQWGFNENAFQHSPPKDITGFGSPIHLPPEESHVLPPLAEGLGPFLQTKDGGFLRSMNPALFRNGKCGGNLIMQVSNPVVVPAEMGSGIMEILQCLASVGIEKLSMQAKKLMPLEDITGKNMQQIAWESMPVSQGTERQCHLQHDLVTVQDATCVQRDSKGTSSGLKSIKFSPSSVGNQTGKEFVSLDDLAPLAMDKIEALSVEGLRIQSGMSEEDAPSNIITQSFGEISAIQGKGVTTSGSLDLDGAAALQLLDLKYHSDGDDGIIGLSLTLDEWMRLDSGEIDDDIDNISEHTSKVLAAHHANNSFELICSSSKGERKRGKGTARKCGLLGNNFTAALMVQLRDPMRNYEPVGTPMLALIQVERVFFPPKQKISRSVSEVGNNDNVGDECEIVAKVEMKQSKKEEKNSEEEGIPQFRITEVHVAGLKNEPYKKKLWGTSNQQQSGSRWLLANGMGKSNKHPLMKSKAVSKSTNSAPVTTKVQPGDTLWSISSRIYGTGTKWKELVALNPHIRNPNIIIPNETIRVG >OIW19116 pep chromosome:LupAngTanjil_v1.0:LG01:12969775:12971814:1 gene:TanjilG_08916 transcript:OIW19116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEAFSTAVYLINRLPSSALNFETPNLEPCADSRIAAPTSPCLSPSPSILMNFPTTQSSPTPFSPSTKSPLPSHNQLVQPSQLQQEPLEPSTQPSQHELECEPSTTAIGNELDSYTTPQPSEATIPHPMVTRSQRGIAKPNPKYALMSTSSFNIPRNPHNIRSALGHPGWKSAMDEELDALYKNQTWDLVHRTPDMHVIGSKWVFKSKLNPEGSLDRLKARLVAKGYHQVDGVDYTETFSPVIKPGTIRLIITTALVQKWPIRQLDVKNAFLHGVISETIFMEQPPGLVDPRFPNHVCKLKKALYGLKQAPRAWFDRFSSFLLKNGFVCSMADPSLFTFHSEFGTLILLLYVDDILLTGSTMTLLSKFIQILQSEFAMKDLGPLHHFLGIEISPTPDGLNLSQSHYALTILERANMVDCKPISTPLEAKTKITQDATLLNDPSYFRGPVGALQYLTFTRPDLSFIVNYVSQFLHAPNTMHLKMVKRILRYVKGTIDIGLHFTSNATLDLFAFFDADWAGCPTTWRSTSGYCTFLGGNLISWCAKKQLTVSRSSTEAEYRAMAHTAAELTWLTFILKDLCLSLASAPILYCDNLSALHMTINPVFLARSKHIELDYHFVRELVSLGLLVTQYVSTNDQVANLFTKLMSKASLSYFRNKLCVQPRPRLREDIKYTQLSSNT >OIW19206 pep chromosome:LupAngTanjil_v1.0:LG01:7984965:7985582:1 gene:TanjilG_20331 transcript:OIW19206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMNPILEVEIFDVWGVDYMGTFPTSNNNKFIFVAVDYVSMWVEAISSPHNDAKMIIKLFTKIIFLMFGVPRLVISDRGSHFIERHFENLLKKYGVSHRVGTSYYPQTSGQVKVSNREIKSILEKTVSRSRKDCSSKFDDALWADRTAYKTPIDMSQFRLIYGKPYHLPMELHHKAYWVVKLLNFDLKLAREKRKFQLQELEELP >OIW17947 pep chromosome:LupAngTanjil_v1.0:LG01:32288265:32298042:1 gene:TanjilG_17783 transcript:OIW17947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGATYWKFDSDSCEIQMVGLTPEPPNESESIIRCDCSSENDSFCHVGYNLPGLLPPQLVNLPYIREVDFALNYLYGTIPKEWASLNLTSISVLVNRLSGEIPKELGNITNLIYLNLEANQFSGPVPSQLGSLSNLQTLILSSNKLSGNIPATFSQLQNLIDFRINDNSLNGKIPSFIQNWKLLQRLRISDINGPSQTFPILNNMKGMIRLILRSCNITGKLPSYMWTMNKLEMFDVSFNNLVGEIPATSIEGHLRFLFLTGNMLSGNVPDSILIAGSNVDLSYNNFSWQGPEQSTCQDNLNLNLNLFRSTSGVNGSQGVLPCSKTFNCPRYSTCLHVNSGGKDVTVMENDKNILYIGDGGVLGGAANYFNDNKNHWGLSSTGDFMDDSDFQNTRYTKFLTSSNMSELYETARVAPLSLTYFHYCLENGKYTVYLHFAEIQFTNDKTYKSLGKRLFDIYVQEKLVWKDFNIEDEGHAAQTPHTISIYNVSVTDNVLEIRFYWASKGTTRIPRSGVYGPLISGFSIVSDSKPCSDRKTGKHSKAVGVGLGVAALFLVLIIVGVFWWKGCFKGIMKREKGIEGQDSMTGTYTLKQIRDATDDFNPTNKIGEGGFGPVYKGQLSDGTWIAVKQLSSKSQQGNREFLNEIGMISCLQHPNLVKLHGCCIQSDQLMLVYEYMEHNSLARALFSSKYQLKLDWPTRLRICIGIAKGLAFLHEESRLKIVHRDIKATNVLLDGNLNPKISDFGLAKLDEEEKTHISTRVAGTMGYMAPEYALWGYLTYKADVYSYGVLVLEVVSGQNNNDYMPSDNCVCLLEKVCRLQHTANLMKLVDERLGSEFNPTEAENMMKVALLCTNTSPSLRPTMSGVVNMLEGRITIPDVIQESGSFSEDLRFKAMRDIRQHRESHSLSTSRTDNSTGARTLSSPSTYGNDIHEISSEL >OIW17803 pep chromosome:LupAngTanjil_v1.0:LG01:34187696:34190456:1 gene:TanjilG_02431 transcript:OIW17803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEETETSNKRKKLDHLDGSTQEEENCQHIDEPVKYPIDDLLVKPGPDDPVFTARPSPLRDFNVSMDCVGDLLKIWDFCTSFAKLLNLCPYSLEDFENAIRHRESNVVLLVESHAALFRVLINDDGEYSTFLRKRRPKHKITMINWSEYLSDFMEMINIPGLRQFEATIKRGRYGFLDVNAKLEILSVLVDRVLETGIFRKRMDELIEQRQALGASRREEALEDGRKRRKEKEQLKAEPESNGVVDGHHLNSASVSTNNNHIMQNGDMGEIKPSRNDTPGRSGIKHSNPASKKIQKKLTSELEEPAENGKEVSSKKLQQQLKDDKDPSEKNSVEQRRAYYEREMEKRLISRSPLGKDRDYNRYWWFPRDWRIFVESSDSKEWGYYSSKEEVDALMGSLNCKGERERALQKQLGICYNSLCSELQKKSKDLMHKVEADESVVRRSTRVRAPPQQNPANAFLRYVNKWKEE >OIW17968 pep chromosome:LupAngTanjil_v1.0:LG01:31750540:31757065:1 gene:TanjilG_17804 transcript:OIW17968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKSIRELGAENFVNAGLTVEEANEFHGVLNNILSISSSPTDTWHHLVTNKLLKPFYPHSFHQLVYYTIYQYSQSHAAAAADNDFHDPPLYWFPSLNSSYMVRYTGFGVRYLVLYGSSFLYGTREQAKCTNLGRLMETNGPKLLGDSYKDPITMQVYWSLILKELSVSFVEPPKCILDTSDPSKHGGTWLPGSVLNVADCCLQPSAHPNKQDDSIAIIWRDEGFDDAEVNRISLKQLRQQVMFVASAIDATFSRGDAIAIDMQMTANAVIIYLAIVLAGCVVVSIADSFAPKEIEARLRISKAKGIFTQDFISRGGRKFPLYSRVIEAASCKVIVLPVIGDDLGVQIREQDLSWKNFLSSVRSDNFSPIYQSVDSVTNILFSSGTTGDPKAIPWTQLAPIRSAADGWALIDIQPGDVYCWPTNLGWVMGPTLIYHCLLTGATLALYHGSPQGHGFGKFVQDAGVTILGTVPSLVKTWKSTQCMEGLDWTKIKLFCSTGETSSIDDDLWLSSKAYYKPIIELCGGTELASSYIAGSPLQPQAFGAFSTASMTTGIVILDENGVPYPEDVSCVGEVGLFPLFLGASDRLLNADHEKVYFKGMPIFEGKVLRRHGDIIKRTVGGYIIVQGRADDTMNLGGIKTSSIEIERVCDRADECILETAAVSVAPENGGPEQLVIFVVLKKGYNSNAETLKKIFSKAIQTNLNPLFKVSFVKIVPEFPRTASNKILRRVLRDQMKHGVSAQSRL >OIW18702 pep chromosome:LupAngTanjil_v1.0:LG01:22368279:22370918:-1 gene:TanjilG_13454 transcript:OIW18702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLLANYASSDEEEQQQPPNPIPSKTTSSSSSNTTSSFFSSLPKPKSSESPSTLQSLSQPNQSSINPSSITHKSSSLFSSLPNPKSQIQQQPKRVVQFRPPVIPLPKPTDLDDDDDVEEEKERIRRRNSESSIQTPSVKSFLSSIPAPRNATTLGVQSSSGSGRRSIIETEASEPAPAPAPVSVSAAESDVAVDQNAGEYATYENYPNYQYVTDPNSEDHVSYENYPNYQYATDPNAGSGFSSYGNYDSGVDHSIGAGTTSYGNSDSSVVQSVEAGSASYASVNDAYGSYSGYGDNVQYGNNWVDGLPEASGASDNAIKFPGKKRGRNEIPIEVIEVKQEELIKNRPREDQVKLTGVAFGPSYQPVSAKGKPSKLHKRKHQISSLYFDMKQNEMQLAERRSKGMLTKAETQAKYGW >OIW17948 pep chromosome:LupAngTanjil_v1.0:LG01:32239071:32240344:-1 gene:TanjilG_17784 transcript:OIW17948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEAPGSSSSLPPFLAKTYEMVDDPSSDSVVSWSANSKSFVVWNPLEFARDLLPKFFKHNNFSSFIRQLNTYGFRKIDPEQWEFANDDFVRGKPHLMKNIHRRKPVHSHSLQNIQSQGPVMESERQSLRDEVEKLKHDKELLLMELRRYEHEWQTYEIQMHCSKDRLEKLEQAQQKMVSSISEVLQKPGSALNLWSLTESVDRKRRLPRSGYFSDDASMEDAVETSGTRENAESTLGLTLNMERLDQLESSLVVWENIANLGGCTFFHVLPNLDFDESTSCAVSPSISCEKLDVEVQPTSSGIDMNSEPAAAAAPEPDASKEQPTRKATVATGVNDVFWEQFLTENPGSSEAQEVQSERKDYDGRKDEGKFWRITNSLPEQMGHVGQAEKT >OIW17863 pep chromosome:LupAngTanjil_v1.0:LG01:33465995:33467325:1 gene:TanjilG_14109 transcript:OIW17863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAARVVHCDLRLGKPAVQSREPGGSGSGSDQVSILKTKPVQGEGSNIVLQPRLCTLRSYASDRIGVIKTRKDEAMHHDVSSFFATLSQYIDSSKKSHDFEIISGRLAMMVFAATVTVELVTGNSVFRKLDIEGITEAGAVCLGAVTCAALFAWFSSARNKVGRIFTISCNSFIDSVIDQIVDGLFYESEPSDWSDEL >OIW18939 pep chromosome:LupAngTanjil_v1.0:LG01:18873427:18883212:-1 gene:TanjilG_25382 transcript:OIW18939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREPSPAVFEANGVAFPPPPPPPPQSLLERLKDYGQEDAFALWYELSPEERDLLVKDIQSLDLSRIDRIIRCSLRSQGVPAAAIEAVPESSVSTVEERSIEERERWWKMGLKAISHGNLAVLLLSGGQGTRLGSSDPKGCFNIGLPSGKSLFQLQAERILCVQRLAAHAANESSASVQIHWYIMTSPFTDDATRKFFENHKYFGLEAEQVIFFQQGTIPCVSKNGRYIMETPCRVAKAPDGNGGVYSALKSTKLLEDMASKGIKYIDCYGVDNALVRVADPTFLGYFIDKGVAAAAKVVRKAYPQEKVGVFVRRGKGGPLTVVEYSELDPSLASAVNQSTGRLRFCWSNVCLHMFTLDFLNQVANGLEKDSVYHLAEKKIPSIHGYEMGLKLEQFIFDAFPYAPTTALFEVLREEEFAPVKNANGSNYDTPDSAKLLVLRLHTRWVVAAGVEVSPLCSYAGENLEPICRGRTFHAPCEITF >OIW18179 pep chromosome:LupAngTanjil_v1.0:LG01:26619176:26621938:-1 gene:TanjilG_31299 transcript:OIW18179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPASNAFGKGERPWKTSDARLVLADGSIWNAKSFGASGTQVGEVVFNTSLTGYQEIITDPSYAGQFVLMTNPHIGNTGINFDDEESRQCFLAGLVIRSLSISTSNWRCVETLGDYLAERNVMGIYDVDTRAITRRLRQDGSLVGVLSTDNSKTDEELLQMSHSWDIVGIDLISGVSCKSPHEWVDKTKQNWEFSSKEPGENFHVVAYDFGIKHNILRRLASYGCKITVVPSTWPASDTLKLKPDGVLFSNGPGDPSAVPYAVETVKNILGKVPVFGICMGHQLLGQALGGKTFKMKFGHHGGNHPVRNLRTGNVEISAQNHNYAVDPATLPEGVEVTHINLNDGSCAGLATHRTMSLQYHPEASPGPHDSDYAFRDFVELMKREKANKREPQLSAVSASNA >OIW18134 pep chromosome:LupAngTanjil_v1.0:LG01:27662842:27663147:1 gene:TanjilG_22332 transcript:OIW18134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNGSLGELLHGSANNLEWPIWFMIGLGAAEGLSYLHHDFKPKIIDRDIKSNNILLDENNEAHVGDFGLAKVIDMPQSKSMSAVAGSYGYIAPGKLLFLHI >OIW19457 pep chromosome:LupAngTanjil_v1.0:LG01:2513170:2518369:-1 gene:TanjilG_09477 transcript:OIW19457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFLSLVGNSFGCSASGERLVSAARDGDVQEAKALLEYNPRLARYSTFGVRNSPLHYSAAHGHHEIVYLLLESGVDINLRNYRGQTALMQACQHGHWEVVQTLIIFNANIYKADYLNGGTALHLAALNGHTRCIRLLLADCIPSIPNFWNVLQIDDYESIADFDQSGLREVINGTADGGTTALHMAALNGHVETVQLLLELGASVSEVTVEDGTTIDLIGFGSTPLHYAACGGNAQCCQLLIAKGASLTSRNANGSTPLMVARSWNKNGLEDILKAPPTEHLQVLPSPYLSLPLMSIVKIARECGWRTSELAPTCLDPCVVCLERKCMVAVEGCDHEFCTQCALYLCSTNSTSTTVNGPPGSIACPLCRHSIVSFVKLPDTRPLPKGTQRTTNLSLTFCSCSSDVLEDSSDMTTPFCKSQSSRGTKTPSSRSFRSLSCQKFPSFRINPSLCLGADVSSSLVPCGSSKNLRSRVARSSAPGFRRSSSDSEKRKSSWFCSLNQSVSTGSGC >OIW19406 pep chromosome:LupAngTanjil_v1.0:LG01:3046942:3055596:1 gene:TanjilG_09426 transcript:OIW19406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMFDFECVLMIITIIVFTLVVAGKCEVYIVTVEGEPIISYAGGIDGFHATAVESDESIDTTSELVTSYGRHLEKKHDMLLDMVFEQGTYRKLYSYRHLINGFAVHISPEQAETLRHTPGVKAVERDWKVRRLTTHTPQFLGLPTGVWPTGGGFDRAGEDIVIGLIDSGIYPHHPSFATHNTEPYGPAPKYRGKCELDPDTKRSYCNGKIVGAQHFAHAAIAAGAFNPSIDFASPLDGDGHGSHTASIAAGNNGIPVRMHGHEFGKASGMAPRARIAVYKALYRLFGGFVADVVAAIDQAVYDGVDILSLSIGPNGPPAATKSTFLNPFDATLLGAVKAGVFVAQAAGNGGPFPKTLLSYSPWIASVAAAIDDRRYKNHLILGNGKILAGIGLSSSTHLNETYTLVASNDVLLDSSVTRYNPTDCQRPELLNKNLIKGNILLCGYSFNFVAHTASIKKVSETAKALGAVGFVICVENVSSGKIFDPVPVGLPGILITDVRNSKELVDYYNITTTRDWTGRVKSFKGTGKIGDGLMPILHKSAPQVALFSARGPNTKDYSFQEADLLKPDILAPGSLIWAAWSPNGTDEPNYVGEGFAMISGTSMAAPHIAGIAALIKQKHPHWSPAAIKSALMTTATTLDRAGNPLLAQQTSETEAMKFVKATPFDYGSGHVDPTAALNPGLIFDAGYEDYLGFLCTTPGIDVHEIRRYTHRPCNNTMGKPSNLNTPSITISHLVRTQTVTRTVTNVAEEEETYAITARMDPTIAIEVNPPAMTIKAGVSRKFTVTLTVRSVTGTYSFGQVLMKGSRGHKALQYPMILETIGTLLQQCSKTKAFRPGLPLHAAVLKKGLQSHVFISNQVLNMYAKCGHITLARKLFDQMCHRDLVSWSAMLSGYDQCGEHLMALSLFSQMKLLPNEYIFASVVSACASLAALAQGQQIHAQSLKSGYASISFVSNSLISMYMKCGRCSDALSVHANSVRPNSVSYNALISGFIWNCEHEKAFEVFKLMFQQGYVPDRFSFVALFAFCTKSDYLRTGMSLHCQAIKLELDSTPLIGNVIMAMYAKFNLVAEVEKVFSLIKDKDVISWNTLIYAYSHFDDGAKSLEFFKEMMNESSIRPDHFTFASILAVCACHATIRHGKQIHGYLIRTKLCQDIGVNNALVSMYAKCGSIRYAHYGFNTMPCRNLVSWNTMIAAFGNHGLCERAIDIFEQMKATGVKPDSVTLIGLLIACNHSGLVRKGELYFNEMEEVYGITPKVEHFSCLIDMLGRAGRISDAEEYLKKFHHWNDPVVLGSLLSACRLHGNTVAGERLAKQLLEVQPVTTSPYVLLSNLYASDGMWNDVTNARKMLKGSGLRKEPGYSLVEVKGNHEKFTIGNTPLND >OIW17639 pep chromosome:LupAngTanjil_v1.0:LG01:35616429:35619949:1 gene:TanjilG_28989 transcript:OIW17639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDTDSVATLMDSTSSKIQQLQKAFAELESYRAVTLNLKWKELEEHFHGLEKSLKRRFHELEDQEKEFENKTMKAQEIVKKREAAVFAKEQATLQKLQEKRDAAVLAIVNAREKHRKVSSNGTGIVSDGGHWTPEVQEKQVDSVSVAAEGNLEDVKTSPGSGNVELMSYPKLVKLCEEMDAGGLHKFISDNRKNLAALREELLLALRDAPDAACLVLDSLEGFYSMDVPHQDAKKDANLLGLRRTCIMLMECLSVLLTNLDSVSDVISEDTKVKAKTVAEEWKPRLDALDIDASNGNSLEAHAFLQLIASFGIASDFNEEELSRLIPMVSRRRQTADLCRSLGLSEKMPGVIEILVNSGRQIDAVNLAFAFDLTGQFSPVPLLKSYLNDARKASPVKSVNSSPTAQIEVNERELIALKVVIKCIEEHKLDEEYPLDPLQKRMIQLEKAKADKKRETEATKPQPKRPRANGAGYGPRVTNIPSDKLCYARAADRYPQQYVYDRPYMYAGPTDNHCPPLLGSATYNFSQSHGNYFGNSYHYQATYLH >OIW19315 pep chromosome:LupAngTanjil_v1.0:LG01:3863311:3866507:-1 gene:TanjilG_07283 transcript:OIW19315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAKPLSSAPSSHNTTYGEGSGPHKKKKSVVIAVGDEEKKRVVDFVVKEENGVEVDAINNNTLIQTPCYYHKCCVFSEAQRGYRSQSFDFGGMMDPEPYRCRRTDGKKWRCSRNVVPNQKYCERHMHRGCNRSRKHVEASQVNSQLTTKPSSGKIQTKITSSNIESSISNPNLLGTQLFDKSSCTPSMSHCVVNTSSTNTRLKNIMSSADYHSSFSTATAKAPKVTAFRNTTIVASGNRSTENIYKKDNQSKSCIGFNVGVKSGGKGSINCDDNSISTGIGFSPRSVLQVSGCNNLHLNDRNNVDLESGRCRRTDGKKWRCKSAVVPGQKYCATHMHRGSKRRFTEHKPAATDSAVTIAQLPCSTAATDIPKVHCSIPNTNLSMPIPASTAPLIKCDEKSPCSSDTETTITDTMNEYSYASS >OIW17791 pep chromosome:LupAngTanjil_v1.0:LG01:34275202:34278383:1 gene:TanjilG_06476 transcript:OIW17791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSDQYSLVPSFLYSSKALSHLDTTSINNTNHVLPSSSNDRNKNPMMIPAPKESIPLFSPTYYAACSFGGIFSCGLTHMAVTPLDLVKCNMQIDPKKYKSITSGFGVLLKEQGAKGFFRGWVPTLLGYSAQGACKFGFYEFFKKYYSDLAGAENAVKYKTFIYLAGSASAEVIADIALCPMEAVKVRVQTQPGFARGLADGFPKFVKAEGAGGLYKGLVPLWGRQIPYTMMKFASFETIVEMIYKYAIPTPKDQCSKNKQLGVSFAAGYAAGVLCAIVSHPADNLVSFLNNAKGATVGDAVKKIGLLGLFTRGLPLRIVMIGTLTGAQWGLYDSFKVYVGLPTTGGSAPAPTK >OIW19389 pep chromosome:LupAngTanjil_v1.0:LG01:3219197:3220249:1 gene:TanjilG_09409 transcript:OIW19389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLVERIDLEEAASAGMKGMHHLLQLMSSSDDQTNVKSNHIDCTEITEFTVSKFKQVINLLNANLSKPQTSPPPSGHARFRRTPFIPSPSPSETEELNHINHQPQTESVTQGLSSHHSTNPVIVESNPNPCTELVTVPQISSPNDSSPNISMAGDRSVPDTNVDLSIIVAAQPISAAKPLPLSSSRGKRGPRDTHSEDCPCSKTRVQPGVVRTTRVRIGDIADRYSWRKYGQKYIKGAPYPRCSSVKGCPARKRVERDEEDFNILVISYLGDHCHPNA >OIW18525 pep chromosome:LupAngTanjil_v1.0:LG01:20814562:20815262:-1 gene:TanjilG_13277 transcript:OIW18525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDSVISIQYLKNLFNSQIHHDENWAFNAKLLRALGLFGGSIVLMRNYGDLMAI >OIW19619 pep chromosome:LupAngTanjil_v1.0:LG01:646661:653525:1 gene:TanjilG_18429 transcript:OIW19619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPAPASFWTQANALFRKNITYQKRNVKTNILLILFPVLLCVLLVVLQRALDRVQSKDNDGNNCVNKSNNEGGANWYTTGDVSKVPVCAVPNPPQWPPFFQLPNLQFSAFQTNILPFSDLQQNKCRAHDKCALIMLFTASNFSFAQSVYGNMFTSPFHIDPTDTMGSLATNVLGTETVQVENIYLEPAFAVDVPIYYLQNKCKLNSTFSITFEEGGVTFDDKEVRCIQCLRLWRNSSSDINSELYQGYYNGNTKGQINEIAAAFDLLNSNENGFNVIIWHTSTKRSDARSINLISNAYLQSVVGPSAKMLFDFVKEMPKHWITSKIDIGSLLGSLLYIWVIMQLFPVILASLVYEKEQKLRLMMQMHGLSHGPYWVISYGYFLTISVIYMLCFVVFGSLLGLSFFRLNDYSVQFVFYFIYINLQISVAFLVSSMFSKVKTATVSAFIVVFSTGLLAGFLSESSVSSSSLPTWWTIVMELYPGFALSRGLYEFADSASNGDGVQWENMTDSTSGMIQVLIIMSVEWIVVLYVAYYIDQGRSPLSFFKRSRKQHSSSTQKLSIGQQLGYNAMVHLQKPDIMQEREKVEQLLLQPTSNHAIVCDELKKVYPGQDGNPEKVAVRGLSLALPQGECFGMLGPNGAGKTSFINMMIGLTKPTAGTAYVQGLDIRNQINEIYTTTGVCPQHDLLWESLTGREHLLFYGRLKNLKGSALTQAVEESLKNLNIFHGGVADKRAGTYSGGMKRRLSVAISLIGDPKVVYMDEPSTGLDPASRNSLWNVIKVAKQDRAIILTTHSMEEAEALCDRLGIFVNGSLQCIGNPTELKSRYGGSYVFTITTHLYHEKNVENMVLQFSPNAKKIYHISGTQKFELPKGEVRISDVFRAVENAKRHFTVSAWGLADTTMEDVFIKVARGAQESIDLS >OIW18580 pep chromosome:LupAngTanjil_v1.0:LG01:21267049:21271371:1 gene:TanjilG_13332 transcript:OIW18580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVRSSVKKMCEFCRTVKRRGRVFVLCTANPKHKQRQGMSTFANEGSSNPLSSEISSAKQEIVPTHSSRTGPASLFPQRHSLSMLYGWRSSGCFGCCMKTTPVIAVDEPAKGLKIQGQTVRKPTISEGFWSSSTCDLDNSTIQSQRSISSVSTLNQILYHSNGTSIAGTNSEFVNQGLLLWNESRLNWTGSSRTMKQSQQKREPVLNWTATYESLLGTRQSFHKSLPLSEMVKFLVDVWEHEGMYD >OIW18230 pep chromosome:LupAngTanjil_v1.0:LG01:25528571:25530811:1 gene:TanjilG_06314 transcript:OIW18230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGAIDMDHVGFSKTKSTAAPAAGHGKKTVPVSMDHVLLALRETKEERGIRIRSLFNFFDAANNGYLDYAQIEAGLSALQIPPEYKYAKELFKVCDADRDGRIDYEDFRRYMDDKELELYRIFQAIDVEHSGCILPEELWDALVKAGIEMDEEELARFVEHVDKDNNGIITFEEWRDFLLLYPHEATIENIYHHWERVCLVDIGEQAVIPEGISKHVHRSRYFIAGGIAGAASRTATAPLDRLKVVLQVQTGRASIMPAVMKIWKQDGLLGFFRGNGLNVVKVAPESAIKFYAYEMLKNVIGEGQGNKSADIGTAGRLFAGGMAGAIAQMTIYPMDLIKTRLQTCDSDGGRVPKLGRLTKDIWVQEGPRAFYRGLVPSLLGIIPYAGIDLTAYDTLKDMSKRYILDSEPGPLVQLGCGTISGAVGATCVYPLQVIRTRLQAQPCNSSTAYKGMSDVFWKTLKDEGFRGFYKGLFPNLLKVVPAASITYMVYENMKKKLDLD >OIW17978 pep chromosome:LupAngTanjil_v1.0:LG01:31609202:31612476:-1 gene:TanjilG_31351 transcript:OIW17978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELKKCLASSLMLTIAMLTTALGAFVGVNIGTDVSDLPSASKLVDILKANQITHVRLYDANAQFLRALSNSGIDVTVGVTNEEVLKIGESPSVAAAWVNKNVVAYLPSTNITAIAVGSEVLSTIPNVAPVLIPALNSIHKALVAANLHSRVKVSTPQSMDVIPKPFPPSTATFDSSWNSTMYQLLQFLKNTNSSYMLNAYPYYGYTKGDGLFPIEYALFKPLPSVKQIVDPNTLFHYNSMLDAMVDATYYSIDALHFSGIPVVVTETGWPWIGGANEPDATVENAETYNNNLLQRVLNDSGPPSQPNIPINTYIYELFNEDKRNGPISEKNWGIFYPNGSTVYPLSLSTSDSLTGNSASVFCVAKDGASTDKLEDGLNWACGQGQANCAALQPGKQCYLPNNLKSHASYAFNDYYQKSHGAGGTCDFNGTATTTTRDPSYGSCLYTGSSSISGLTFPPTALGPSSPDHGASWNLQVSTLQYILSAIVVFVAMLVL >OIW17730 pep chromosome:LupAngTanjil_v1.0:LG01:34864900:34873155:-1 gene:TanjilG_29080 transcript:OIW17730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPLRLKANYRCVPSLQQFYTGGPYAVSSDGSFIASACADSIKIVDSANSSIRSTLDGDSESVTALALSPDDKLLFSSSHSRQIRVWDLSTLKCVRSWKGHDGPVMSMACHPSGGLLATGGADRKVLVWDVDGGFCTHFFKGHGGVVSCVMFHPDPKKQLLFSGSDDGGDNATIRVWDVSKTKKKNCIATLDNHRSAVTSIAVVTLWDLHDYSNKKTVITNEAVEAVCVVGAGSPFASSLDSYRQRAKKLAGSQSFYFVTVGERGIVRIWSSESSVCMFEQEASDVTANIDEEGSRRGFTSAVTIASDQGLICVTADQQFLFYSLNLTEELLKLNLTKRLVGYNEEVVDMKFIGDDEKFLALATNLEQIRVYDLASMSCSYVLAGHTEIVLCLDTCVSSSGKSLIVTGSKDNSVRLWESESKSCIGIGIGHMGAVGAVAFSKRKQDFFVSGSSDHTLKVWSLDGLSDNLTVPINLKAKAVVAAHDKDINSVAVAPNDSLVCSGSQDRTACVWRLPDLVSVVVFKGHKRGIWSVEFSPVDQCVITASGDKTIRIWAISDGSCLKTFEGHTSSVLRALFVTRGTQIVSSGADGLVKLWTVKTNECVATYDNHEDKVWALAVSRKTEMLATGGSDALVNLWFDSTAADKEEAFHKEEIGVLKGQELENAVADADYSKAIRIAFELRKPHRLYALLSELCRKQGAEDHVDKALEALGDEELRTLFSYVREWNTKPKFCYVSQFVLFRVFNIFPPTEIVQMKGIGELLEGLIPYSQRHFGRIDRLVRSTFLLDYILSGMSVIDPETQQPEPKAEFLLQSEIDNPDEEQGINENDHTQENAPSKKRKSNKPRQGSHKKVKDVAYNKVESVKLKA >OIW19586 pep chromosome:LupAngTanjil_v1.0:LG01:276116:276499:-1 gene:TanjilG_18396 transcript:OIW19586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDNVGGSCSNEDGGGMKEQDRLLPIANVGRIMKQILPQNAKISKEAKETMQECVSEFIGFVTSEASERCRKERRKTVNGDDICWALGTLGFDDYAEPMRRYLHRYRELEVDRTINQERGNSPQRQG >OIW18235 pep chromosome:LupAngTanjil_v1.0:LG01:25602651:25605437:1 gene:TanjilG_06319 transcript:OIW18235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRRGAHGRPFPGPKHDGSSSKGKEIAEPRPKIEQLSQGLVDVNIGPEHDDGEWEVQARKPKNRAGSGSARQWGPPVHNSNPRMAGNVGMAQRQGTWNHGGVGRASGNPWQTQNGDFRRPAGRGNGRGQFTTNGPQGSNVSATPLIRRPLEHGWDWQSSTGYQPSDNISQVTDETTSESPGKNSDLDDEVEEGFDDMEDTDDDLMSDDYDSDSSQKSHETRKKSKWFTKFFAILDTLTVEQINEPERQWHCPACQGGPGSIDWYKGLQPLLNHAKTKGSKRVKIHREFAVILDEELRRRGTSAIASGEVFGKWKGLKDEEKDHAIVWPPMVVIQNTQLEQDENEKWIGMGNQELLDYFSTYAAAKARHSYGPQGHRGMSLLLFEPSATGYFEAERLHKHFVDQGTGRDAWFGRRKLFLPGGQRQLYGYMAVKEDLDLFNRHSQGKSQLKYEMKSFHEMVVKEIRKMGEDNQQLHYWVNKAVKGEKHKKILEESLGIMAERLRQTSEESHIVRLRTKKQHEENKEEMYLQEQFFKDQIRIIHDSRNAKEEYFERLQQEKREEVKQSSTSPLNATQQRIKVDEYGKFVEVQDKEMEIYVAEEEKLGRAHEDNIAAMKLRHWAEQVQLEKKFNEELAKLMEKFSPYRA >OIW18211 pep chromosome:LupAngTanjil_v1.0:LG01:27438739:27440522:-1 gene:TanjilG_31331 transcript:OIW18211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSLSSSITVSTSNRKVKFGFHHSSPTSCVWKPNANNCKTRNLSSSALNSNNNNKNPLVGIGIGVIAACVMSLTPLDADATRIEYYATVAEPPCELNYAKSGLGYCGIVEGFGDEAPLGAWFRQDK >OIW19670 pep chromosome:LupAngTanjil_v1.0:LG01:984621:989766:-1 gene:TanjilG_18480 transcript:OIW19670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRESRTEKAPLLEAERVEGGKRDDAPAGQVSDLEHGDAVPAANVGFFRVFSLAKPEAGKLVIGTVALLIAATTSILVQKFGGKIIDIVSRDLRTPEEKDKALNAVKGTILEIFLIVIVGSVCSALRAWLFSSASERVVARLRKNLFSHLVNQEIAFFDVTRTGELLSRLSEDTQIIKNAATTNLSEALRNLSTALIGLSFMFATSWELTLLALAVVPVISVAVRKFGRFLRELSHKTQAAAAVASSIAEESFGAIRTVRSFAQEDYEISRYSEKVDETLKLGLKQAKVVGLFSGGLNAASTLSVIVVVIYGANLTIKGSMTSGDLTSFILYSLSVGSSISGLSGLYTVVMKAAGASRRVFQIMDRISSMPKSGNKCPLGDQDGEVELDDVWFSYPSRPNHMVLKGITMKLRPGSKVALVGPSGGGKTTIANLIERFYDPTKGNILVNGVPLVEISHKHLHIKISIVSQEPTLFNCSIEENIAYGFDGKVNTVDIENAAKMANAHEFISKFPEKYQTFVGERGVRLSGGQKQRIAIARALLMDPKILLLDEATSALDAESEYLDAMDSLMKGRTVLVIAHRLSTVKTADTVAVVSDGQVVEKGTHDELLSKDGVYTALVRRQLQTAKSESI >OIW17663 pep chromosome:LupAngTanjil_v1.0:LG01:35400139:35416543:1 gene:TanjilG_29013 transcript:OIW17663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGKLSMAASSRENLASLVNSSNLAIDIPSKLQSLRHLKRDLPQQDPVLLTEFLPSLFDFLSDRFSPVRKFVTQMVGEIGLENTEFLPDIVPVLIDVLDDDTPAVVRQAILCGIELFRSTLEKITIQGLYSSDLDSALESAWAWMVKFKEKVYSIAFQHGSGGAKLLALKFVEAIIHLYTPDPNGSSEPTSHQGRPVKFNISWLRRGHPVLNIRDLSIEASHSLGLLLDQLRIPNVKSLNHSVVIVLIKSLSAIAIDRPAFFGRILPVLLSLEPSSSVVNGYRVTAAHLSLKNAFLTCSKCTHPSAAPWRERLEDALKEMQSEGKADQVFHIIISPSKGSMEIEKDDQPIVKKEEPTVNSCDSVHSDFGRKRPGSQSGSDLAEDEDVAGKRAKTTIIGLKEPKKEADRSTTNSQGGSPSTVPTSSKGDTDNGPVHQLVAMFGTLVAQGEKAVASLEILISSISADLLAEVVMANMRYLPANYPYADGDDEQVHDISIIGSDDKAKYPPSFIAGVMSLSSTFPPIALLLDAHQTVSNEKSQGEDEILATGEDNVVVHSGMNLSSENVSSPTEFPSLDAGIPGVENVFATVPPSDVHDVGNLETGIPGLESVGCSVDLSETLDAPSLASPEIKSEEGSQEQIMSLDERSPLNLVPSISTDRSEELSPKAIVTDVNSLVSSTATSIVLPARLILPKMIAPVVDLADEQKDNIQKLCFMRIIDAYKQVAVAGGSKVRFSILAYLGVEFPLELDPWKLLQKHILVDYTGHEGHELTLCVLYRLFGEAEEEPDFFSSTTAAAVYETFLQTVAEALRDSFPPSDKSLSKLLGESPYLPKSVLRILEDMCSPGNGDKVEKELQTVNADRVTQGLSAVWSLILLRPPIRDTCLKIALQSAVHHLEEVRMKAIRLVANKLYPLSSISLQIEDFAKEKLCSVMSVDAPEVTNAEGSIADSQKGLDIEKLANEPSSLSGSTKDISDNCQSHTSESTSSVSVSEAQRCMSLYFALCTKKHTLFRQIFVIYRSTSKAVKQAVHHQIPILVRTMGSSPHLLDIISDPPYGSENLLMQVLQTLTDGTTPSKELVFTVWKLHGSKLKDAAVLIPILPFLTKDEVMPVFPNIVNLPLEKFQAALGRILQGSAQSGPVLSPAEVLIAIHGIDPDRDGIPLKKVTDACNICFEQRQTFTQEVLAKVLNQLVEQIPLPLLFMRTVLQAIGAFPTLVDFIMGILSRLVTKQIWKYPKLWVGFLKCLQLTKPQSFGVLLQLPPAQLEIALNRAAALKAPLIAHASQADIQSSLPRSVLVVLGIASDSQVPSQAQTSQTQADSETQTVETSNSAKDSVTEKSKESSTAT >OIW19678 pep chromosome:LupAngTanjil_v1.0:LG01:1072570:1074426:-1 gene:TanjilG_18488 transcript:OIW19678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGWSIALHGGAGDIPFSLPPERRQPREEGLRHCLQIGVEALKSQKPPLDVVELVVRELENIQHFNAGIGSVLTNSGTVEMEASIMDGKTMKCGAVSGLSTVLNPISLARLVMDKTPHIYLAFQGAQDFAKQQGVETVDSSHFITAENVERLKLAIEANRVQVDYSQYNYPQPAQDDAEKELPLANGDSQIGTVGCVAVDSHGNLASATSTGGLVNKMVGRIGDTPLIGAGTYANELCAVSATGKGEAIISATVARDVAALMEFKGLSLKEAADYVVHERTPKGTVGLIAVSAAGEIAMPFNTTGMFRASATEDGYSEIAIWPTT >OIW17728 pep chromosome:LupAngTanjil_v1.0:LG01:34885746:34890994:-1 gene:TanjilG_29078 transcript:OIW17728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQSPPPSTASDDNNGLGAETVQPTNVDQQNAKEDPAKQTSTTSVFVNSQPLREEQVQNAVKFLSHPKVKGSPVIYRRSFLEKKGLTKEEIDEAFQRVPDSPPSVQTAGINQDEQLKTSLNIPQQTLQPGLPASTGVNTSSQTLSRSRFHWYHALIAVGVLAASGAGTAIIIKNSILPRLKSWVRKVVLEEDDKQLKKTDKKLTLAEETAQAAKSAAVAAADVARASQEMLASTVEERKYFVEIVGLLGNQIQEMKLMTNAIRRLEGQEDLRVTQTSSKQFITNGKAAYDLHSVRSLSPPASIEPSNGLHPKSYMEDINDLPPNPIQQPSNPRLLPRSKPWEVSQVQNTSTQVLQSQVNGEGLNIKVQDTTQIINGDDPVPWWQRKNVRIQEIDSKNEYNRAPYAATSSQQPVQRVWVPPQPPPIAMPEAAEAIRRPKPVVQEEQASDNQSVAQSSEVSDEVNRISKPSESEGAVEGSTISSLLNSGEIQEDREVTPAE >OIW18839 pep chromosome:LupAngTanjil_v1.0:LG01:19932451:19935316:-1 gene:TanjilG_25282 transcript:OIW18839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRRATTLLRRPLNAAASKRFSTDLPVESAADASFVEAWKKVSPHIDPPKTPLSYLKPRPSIPSSLPTKLTVNFVLPYSSELASKEVDMVIVPATTGQMGVLPGHVATIAELKPGVLSVHEGNDITKYFVSSGFAFIHANSVADVIAVEAVPLDQIDANLVQKGLQDFTQKLNSATTDLEKAEAQIGVDVHSALNSALTG >OIW19522 pep chromosome:LupAngTanjil_v1.0:LG01:1976412:1982877:1 gene:TanjilG_06977 transcript:OIW19522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSEIEEVQSRDQQLPSASASSSAGGEVEDESLRNDVYTAAAYGDLEKLHRLVEQEGCSVTEPDGLGYYALQWAALNNRSAAAQYIIEHGGDVNVTDHTGQTALHWSAVRGAIQVAELLLQEGARVNAADMNGYQTTHVAAQYGQTAFLYHVVSKWNADPDVPDNDGRSPLHWAAYKGFADSIRLLLFLDAHRGRQDKEGCTPLHWAAIRGNLEACTVLVQAGKKEDLMVTDNTGLTPAQLASDKNHRQAAFFLGNARRLLDKRYDRNSRLGKISKLGLAPVLWCIIFVLLITYIHSVILATNLPKLTAAAALLAWLGVLLATVGLAILYKCSSKDPGYVKMNVHDTENMKDDEPLLKIEINNPALLAGNWSQLCATCKIVRPLRAKHCSTCDHCVEQFDHHCPWVSNCIGKKNKWDFFVFLVLEVSAMLVTGGVSLTRLLTNPLAPSSFGAWIQYIGKDHVGAISFLIADFFLFFGVFALTVVQASQIARNITTNEMANSMRYSYLKGPGGRFKNPYDHGTKKNCSDFLINGYNEDVECIEIGNSEEGIGMLPMSRSSNLKNGDSHSHFDHTKGNENGHVVIDVNSNNTTNSKTHHGHINGHVHSSHCSHNNNNGKTKDDSIPEGLGLGLGRNTRSVASS >OIW18912 pep chromosome:LupAngTanjil_v1.0:LG01:19169609:19170370:-1 gene:TanjilG_25355 transcript:OIW18912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTQNLNLEPENNSEECSQEASNIPMHETPYDLNLTKESTTSSSCLTNLTNAEANQASMTLNLTLNFNSNDVKFKGTSDTSTNIGASASASASETPRVFSCNYCRRKFFSSQALGGHQNAHKRERTMAKRAMRMGMFNERYTNLASLPLKGSPFRSLGIEAHSAMHQRYMQMPSSLVRAHDMKAGAKFERNHFASPIFMADDDVNLFWPGSFRRVDQGTCVNLGHAQTSNTSFVPMTLPPPQTSSSPDLTLKL >OIW19781 pep chromosome:LupAngTanjil_v1.0:LG01:881:3961:1 gene:TanjilG_27320 transcript:OIW19781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGHKAASKNEFTECWKRANGSPYIMRLALSAGLGGLLFGYDTGVISGALLYIREDFEQVDNKTWLQETIVSMAVAGAIFGAGIGGWMNDKVGRKKSILLADVLFFIGAIVMAIAPAPWVIIIGRVLVGLGVGMASMTSPLYISEASPTRIRGALVCINGLLITGGQFLSYLINLAFTKAPGTWRWMLGVAGVPALLQFALMLTLPESPRWLFNQGMEEQARDILSRIHNPGEVEEEMRAMQESVEIEKKENELAGHSLGEKIKGAFANKVVRRGLYAGITVQVAQQFVGINTVMYYSPTIVQYAGIASNSTALALSLVTSGLNAVGSIISMICIDRYGRRKLMLISMIGIIVCLIVLSGTFLYAAQHAPAISNQDTLAFGANSTCKAYTTTPNLSSWSCTQCLQAECAFCANGQKQFQPGACLVADKSIRGECRGKNRVWFSSGCPSRIGIIAVIILGLYILAYAPGMGTVPWVLNSEIYPLRYRGVGGGIAAVSNWCANLIVSESFLTLTKALGSAGTFLLFAAFSFIGLIFIYTFVPETKGLQFEEVEKMLEKGFRPFPFNKNKNKNKNDDVNNKLEGDQHASH >OIW19098 pep chromosome:LupAngTanjil_v1.0:LG01:13420245:13423162:-1 gene:TanjilG_21832 transcript:OIW19098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATIFQGLVAVTPSSISNSIGSFLSYRRSLSERKASIFVVRSDARVSQARSTGARKNELLITNAVSTKENSSAASSSSKPGHELLLFEALREGVEEEMDRDPNVCVMGEDVGHYGGSYKVTKGLATKFGDLRVLDTPIAENSFTGMGIGAAMTGLRPIVEGMNMGFLLLAFNQISNNCGMLHYTSGGQFKIPIVIRGPGGVGRQLGAEHSQRLESYFQSIPGIQMVACSTPYNAKGLMKAAIRSDNPVILFEHVLLYNLKERIPDEEYVLSLEEAEMVRPGEHVTILTYSRMRYHVMQAAKTLVNKGYDPEVIDIRSLKPFDLYTIGNSVKKTHRVLIVEECMRTGGIGASLTASINENFHDYLDAPIVCLSSQDVPTPYAGTLEEWTVVQPAQIVTAVEQLCQ >OIW18923 pep chromosome:LupAngTanjil_v1.0:LG01:19057442:19062637:1 gene:TanjilG_25366 transcript:OIW18923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSNMFSTFLFVFTISLFSFNVLSDSSNHRYNDGDNVPLYANKVGPFHNPSETYRYFDLPFCVTGKEKDKTEALGEVLNGDRLVSAPYALDFKKDRDSKSVCKRKLTKEKVAQFREAVRKDYYFQMYYDDLPIWGFIGTVDKEGKADPSEYKYFLYKHIQFDVLYNKDRVIEISARMDPHSLVDLTEDKEIDTEFLYTVKWKETDIPFEKRMEKYSQSSSLPHHLEIHWFSIINSCVTVLLLTGFLATILMRVLKNDFMKYAQDEEAADDQEETGWKYIHGDVFRFPKYKSLFAAALGSGTQLFALTIFIFMLALVGVFYPYNRGALFTALVVIYALTSGIAGYTATSFYIQLEGSNWVRNLLLTGCLFCAPLFLMFCFLNTVAIAYNATAALPFGTILVIVLIWTLVTSPLLVLGGIAGKNSGAEFQAPVRTTKYPREIPPLPWYRSTIPQMAMAGFLPFSAIYIELYYIFASVWGHRIYTIYSILFIVFIILLIVTAFITVALTYFQLAAEDHEWWWRSFLCGGSTGLFIYGYCLYYYYARSDMSGFMQTSFFFGYMACICYGFFLMLGSVGFRASLFFVRHIYKSIKCE >OIW18718 pep chromosome:LupAngTanjil_v1.0:LG01:22472482:22473180:1 gene:TanjilG_13470 transcript:OIW18718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMYKEGVIAATTISSIIYSWLIPSSLFIFINLVIATIFIISRFTSSSPKALIHDSLQILRSNPLLDRLSSFNNHQYTQTTESTQPQPVSPPSILERVKSFNLGLLHKDHETVHTTPESENLDDNPQEPKLDLPPLLLQQLQEIKEPEPGSEMEGLESKVSKSGNGDDDNDGGDNDDDNDGGDNDDDDDDDEGKWLKEEKEIGVKADDFINMFKNQLRLQRVDSFNAYRDMLN >OIW19755 pep chromosome:LupAngTanjil_v1.0:LG01:1606005:1608053:-1 gene:TanjilG_18565 transcript:OIW19755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTLLSTHCILPSQRRERIECFSALRTSFCGQVLFNPYPNPIFCGRQIARSHGTSFVVNSLLGFGRKVKKTRETVIPEPDYRIPIVLLGIAGGLAYTDNLVPAVPVGLLGLLLLFQTTRVRFVFDDESLEVKVGDQLQESGENVFVGGKNRWKYSTFVNWEFWWPNFPILVYFKETQTKPEGQIHFFPIIFNGKQLYDTMVERAGPSKTSGPKES >OIW19738 pep chromosome:LupAngTanjil_v1.0:LG01:1519883:1521462:-1 gene:TanjilG_18548 transcript:OIW19738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFKEGAKVEVLSKAEVPSGSWLCAEIICGNGQYCTIRYDGYQGADGEVIVEKVSKKAIRPCPPAIELVGIWCPGDVVEIFHNFSWKMATVLKVLGKKYILVRLLGSSLEFQVSKFDIRVRQSWQDGRWIVVGEGPASCENGKRDSALNLRQNVFSTAEIQKTAAKTKLSVSNVCNPQRKELTVLESRPVSFKTLKRGSYSQVEAYAEPPPKFRAIENKGRCQRAVVRNLPTPHGVIFPRDVLAEECIPASLNNTKNGISDIDIERRKQTGVIGFLLRENFDSNDADSVTCSVGSCSIPGRNSYKLPFSVSACHFNDVNSSSSDAESYCHVGYDEGSCSPPTQQELAAEIHRLELHAYRCTIEALHASGPLSWEQEALMTNLRLSLHISNDEHLKELRNLISSENIIPFR >OIW19409 pep chromosome:LupAngTanjil_v1.0:LG01:3016363:3019467:1 gene:TanjilG_09429 transcript:OIW19409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRPEVIAPPEIFYDDVEARKYTSSSRIVQIQAELSDRALELLALPEDGVPKLLLDIGCGSGLSGETLSEDGHHWIGLDISPSMLNVALEREVEGDLLLADMGQGLGVRPGVIDGAISISAVQWLCNADKSSHNPRLRLKAFFTSLYKCLSSGGRAVFQVYPENIDQRELILNAAMRAGFAGGLVVDFPHSSKKRKEFLVLTCGQRSMNASMPKGKDEDDESCSDDSIEDEENQTVCMSDRHRPRKKVKGNKSGKGREWIMRKKDQMRRRGNVVPPNTKYTGRKRKDRF >OIW19335 pep chromosome:LupAngTanjil_v1.0:LG01:3713992:3714360:-1 gene:TanjilG_03469 transcript:OIW19335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKGGKLTKLKSVLKKWNSFGTKHSHHSVSSVANHDDSPSSRSDLHPVYVGKSRRLYRVTSDVVDHPLFRELVERSRDEQQHQHDNINVACEVVLFEHLLWMLENTDPQPESLDELVDFYAC >OIW18841 pep chromosome:LupAngTanjil_v1.0:LG01:19917875:19918561:1 gene:TanjilG_25284 transcript:OIW18841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCKKHLQDLTSTVGVCASCLRHRLQPLFEAQAQQARVYPRASAVDVVVAAVAASDDIGKHGNVTRRKTDRRNDRSFYSTPQGGPIFSGEGKTPPPSSSSKKKKLGKLWILSNIFNSRSNKSEISSRESCDPSSSSAVAAPSPSWFSTILPGRRKNRRRECEQSDHGNPREENVSGGCIGEKSPCRREKTAAIEKRWRLGLSGKRVSGMVICLSPLVGGNQKRKCAQN >OIW18969 pep chromosome:LupAngTanjil_v1.0:LG01:18699702:18702008:-1 gene:TanjilG_09163 transcript:OIW18969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPKLTQVSQFFDRFKAAFVRNDYSTCSNHLSELKVLLTEFRSLPPLFEDTPNAVHELTIARDIYEHAVVLSVKLEDQDAFERDFFQLKPYYTDAFNRLPPSPQEYPILGLNLLRLLVQNRIAEFHTELELLSSTALDNPCIKHAVELEQSFMEGAYNRVLSARQTVPHETYVYFMDLLAKTVSRLPKPKFKPWIKQLFGGSPSALGVSLMAMLAGISLSKI >OIW18994 pep chromosome:LupAngTanjil_v1.0:LG01:17928073:17934681:1 gene:TanjilG_20267 transcript:OIW18994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNVIRKKGESSKKRELKQNGRIVRLVDLKDQTLEVIGWNQVIRRSVIALGGGALYVDLTISSEYLNANDCNMGIRDSGKDIQSQSGSTVGQGDPNHTPPSSVPYDMCDQKKRKPKNKRQGSRLRRNSDVDKNVGKHTVLCWMIDMGIIQPNDRVYYMEESKSVLLDGIITRGGIRCKCCHAIVRISKFEAHFGSKHSDPLRNICLEGGASLLHCMLEAWNKKEGSKLQVSNLISVSDEDLNDNTCIVCVDYGNLLCCDSCPSNFHQSCLEMDVVPLGYWHCSFCCCKICSIYKLHRI >OIW17619 pep chromosome:LupAngTanjil_v1.0:LG01:35915487:35918123:-1 gene:TanjilG_28969 transcript:OIW17619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VREARNETSNGSRGGGRGGHFYGGGRGGRGYGRDSPNGENSLPASRTPANQGAFEGDAGNPSERRGYGAPRGPYRGGRRGGFSNGEVDEEGRPRRAFERRSGTGRGNEVKREGSGRGNWGSQTDESAQVTEEGVNETEKNLSDEKPASDEDAAAGKKENLANENEEKEPEDKEMTLEEYEKVLEEKRKTLEALKTEERKVDVKEFESLKPLPSKKDNDEIFAKLGSDKDKHKDAIEKEKSKKSVSINEFLKPAEGERYYNPGGRGRGGRGRGGFRGGYNGNTSSKGPAPAIEDPGQFPTLGGGK >OIW19046 pep chromosome:LupAngTanjil_v1.0:LG01:16232954:16234041:1 gene:TanjilG_10607 transcript:OIW19046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMKFACIVIMCFAVVGAPIAQAISCGQVGNNLKPCLRYIMSFWGSVPGLCCDGVKTVMAHVQNTADKRATCNCLKSMVAKIGFVPWRAKSIPRECGVVLPYEISTSINCDKLEL >OIW19366 pep chromosome:LupAngTanjil_v1.0:LG01:3390637:3397034:1 gene:TanjilG_03500 transcript:OIW19366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMCITRPKKLGNDSVSQPDDSSGNAAKNHGVKSLASQIKDMALKASGAYKYCATCTGPPAHGGGISSNTESDVESERFGRSYGRTGSSSSTRTWGKEMEARLKGISSGEGTPNSGSEQRREPVVLFVEENEPKEWVAQVEPGVLITLVSLPRGGNDLKRIRFSREMFNKWEAQKWWSENCDKVMELYNVQRLNHHAFPLPTPPRSEDKNSKLESAEASPITRPLTKEQMPRTMSHPTGTGMGYSSLDSFDHQSMQSQHFIDSATGMSSTPKVSTISTAKTELSSVDASIISSSSRDADRSSDLSMSNASDLETEWVEKDGPGVYITIRALQGGKREFRRVRFSREKFGEVHARLWWKENRARIHEQYL >OIW18325 pep chromosome:LupAngTanjil_v1.0:LG01:24177223:24179432:-1 gene:TanjilG_31465 transcript:OIW18325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFPRFSLQCRAESVCPMENGYARPVEGIYVPVDMQNMVVIEFEDRKLVPLPPVDPPRNYTHGETRGGVDRSDLKPLQIIQPEGPIFRVYGHYIEWQKWNFRIGFTPREGLVIYSVAYVDGSRGRRPVAHRLSFVEMVVPCGDPNESHYRKNAFDAGEDGLGKNAHSLKKLRKYGTMIAPGLYAPVHQHFFVARMDMAVDSKPGEALNQVVEINVKVEEPGENNVYNNAFYAEETLLRSELEAMRDCKPLTAQHWIVRNTRTGNRTGQLTDYKLVPGSNCLPLAGSKAKFLRRAAFLKHNLWVTSYSCDELFPGGEFPNQNPRVGKGLATWVKQNRFLEESDVVLWSSLFHTHSYIL >OIW18252 pep chromosome:LupAngTanjil_v1.0:LG01:25040754:25043885:-1 gene:TanjilG_20307 transcript:OIW18252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPKPERGKLVDPFYRLEHEEKDLKKKKEAEPVLVRLQRLSDAKHSDYYAINRSLRAQLRNQKKRVAEEEASSRKRGLGIRLLPNSEQDAATAAKVKFSSKFDKNRKDKRALINASSIFPGLAVSSTSNKRRLELESKRRKIAATKASTLLAGAYKPSSWSQNAVSSSRQKVASVNVRR >OIW18869 pep chromosome:LupAngTanjil_v1.0:LG01:19623862:19630655:1 gene:TanjilG_25312 transcript:OIW18869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYADRVESGARRSVKERLHGNGSDSTRQQRQITGKRQRQDDKWEHDLFHNDEPQNTNRKVTAQDLRLKLQKKGLQSAVQSGKSSAPIVRDLRERLSGTVTPQPINSDPPKTKVVVKPSSRSVGVEAPAVQIKIPKPAPKKLSQKADTSVDEFLQSLGLEKYLITFQAEEVDMTALNHMTDEDLKAMGIPMGPRKKILLALESKV >OIW18692 pep chromosome:LupAngTanjil_v1.0:LG01:22257148:22260130:1 gene:TanjilG_13444 transcript:OIW18692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVNGNNNVLVVRKPCFDLPTGCPQCLSSYIYLRFAQFPFNLDFHLNYPDSDQIPYLEVGEDYVAYNNDKEGMIKCLKRDVGLADLDSGLLSIPDWISVKAMLTTWVADALSYELWVGFEEGSSSPVHTIYYSDLPWPIRKVLFWKKAHWIKQKHGITNENAVLKKEEIYRRANSAYEALSKHLGQQNYLFENRPSSLDAIFLAHGLVVLQALPESSVLRAKFSEHANLVRYVEHCKTELLGAAPPPSSGPQVHTYASSSASRSRSSSKPKSKPKREKTQEEKTFKRRSKYFVIAQMVAVVVFITLMTEVELEVDDGDGGYGYND >OIW19712 pep chromosome:LupAngTanjil_v1.0:LG01:1278307:1279731:-1 gene:TanjilG_18522 transcript:OIW19712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSSNSSINGNDLIPYPIQFYSSRPFSIESNPTSTQLETTNSCDLLLSPHPPLSYFQFPFPFEDHEIFLEQQHHHDLLHHQHSLAVEIVNDVNMENTGIIPDHKAGLQGTDPQVPIRKSSKRDRHSKINTAKGLRDRRMRLSLLVAKRFFSLQDMLGFDKASKTVDWLLNQAKVEIKQLAREKNMHHHHHVNSASSTSECTEAMSSLDEIAVSGNQEQVKGATKRRRIKVCRKSAFKHVGKESREKARQRARERTRDKMMKTRLLVEAHESKKQYKEGAINNNLNCLSSWNPFEIVKECAGTQSQSVDPSLGVMNEAEERSSQGKEHFGTEDNIEHEDSLVIMSKWSPTMIFNYSLSNTGILQEHQFSEFQSMGKPWGAYNNHNISSL >OIW19048 pep chromosome:LupAngTanjil_v1.0:LG01:16136098:16136277:1 gene:TanjilG_10609 transcript:OIW19048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYDLKHFKHGFLECMILKHFRHEILESMTFEILQARGLGKYDLEILHARGPIKYDFETL >OIW19412 pep chromosome:LupAngTanjil_v1.0:LG01:2992354:2993249:1 gene:TanjilG_09432 transcript:OIW19412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTKIEYSINLLATSADHSNNLTLGEVDVWEHYQNKELKDKHHKRMVDRNIIESIKKTMKMHDDIFKHQVRELHRVYSVQRMLMDEQKKGYRQQKFQTPLNSIDPHFIEQQHQSTQISQGPNVHGQRGFNLEGSAKEGIFTRTSGFDEGEAGPSSYNSFQSCKVSTSGYDEEMEVDLTLSIGTSKVKKSHVSQLACLESPNGKTRKGECSEPTTPMSSSSMTFTLEGRGHFGFPKG >OIW18345 pep chromosome:LupAngTanjil_v1.0:LG01:23875984:23876805:1 gene:TanjilG_31485 transcript:OIW18345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTCSKPMIDEKNLRPPQDQALKCPRCDSTHTKFCYYNNYSLTQPRYFCKTCKRYWTKGGTLRNIPVGGGCRKNKKVSNSKKPNNDQAPIITQNQAQPGLVVSNSYHHYPKDIQLPFQADVQFSHLNNLLGVSGEALGNPSFMENHPSPNISRNFEFFGNSDMGVVGLGDNVNGYNGLLPTNYQGLCFEGMTLDHGNNGGNYNLIDMCQRLILPYDTSDHSQNGSIHDVKLDPKLLSLEWKNQVYSDPEYTNSSGSWSGMMNGYGSSTTNPLV >OIW18061 pep chromosome:LupAngTanjil_v1.0:LG01:29411385:29416084:-1 gene:TanjilG_19293 transcript:OIW18061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVVGFLCPWVGLMPQNMIFSSVSKPYSVVSSKWGHYTLDKALNFVAKNGTVIVCIVSQPYLPFLNNWLISVTRQKRQDIVLVIAEDYVSLDKVNEHWPGHAVLIPPVLDAENAHKFGSKMPLGNAKTCLLFEVVVEGYVCCARRPSHLLKILDLGYNVMYNDVDMVWLADPLAKLEGNHDVYFTDDMTAIKPLNHSHDLPPPGKKGRPYICSCMIFLRHTDGAKLVLKKWLEELQLQPWSRAKKSNDQPAFNWALMKTTKEVDMYLLPQAAFPTGGLYFKNKTWVKETKGMHVIIHNNYIVGFEKKIKRFRDYGFWLVDDHAEESPLGRL >OIW19253 pep chromosome:LupAngTanjil_v1.0:LG01:6357196:6358471:1 gene:TanjilG_20378 transcript:OIW19253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEAQGIPGSLPPFLAKTYEMVDDPSSDSIVSWSANSKSFIVWNPLEFSRDLLPKFFKHNNFSSFIRQLNTYGFRKVDPEQWEFANDYFVRGQPHHMKNIHRRKPVHSHSLQNLQTHVPLMESERQSLKNEIDKLKQDKELLLMELRRYEHELQTYEIQLHCSKDHLGKLEQAQQKMVSFVSEVLQKPGNALNLLSLTESVDRKRRVPRSSYFSDEASMEDAVETSRIRENAESTSSLALNMERLDQLESSLVVWENIAHVVGDTFVHVHSNMDIDESTICAVSPSISSEKLDVEVQPKPSGIDMNSEPVALKEQSARTTIVTTGVNDVFWEQFLTENPGSSEAQEVQSERKDYDGRKNEGKFWWNTRITNSLPEQIGHVGQAEKT >OIW19592 pep chromosome:LupAngTanjil_v1.0:LG01:306692:307808:1 gene:TanjilG_18402 transcript:OIW19592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAKSSEPLKYQTWFLKVSIHCEGCRRKVKKILRSIDGVFTTTIDPQQQKVTVTGSVGVETLIRKLARAGKHAEIWPENISGKGKPMKKKNEKRDQESIENHSADNAESNRNKKEIESAHKCNNKNKNSDSKTGGKPPEKSPAGNQSPEKDHKGGQSEGGSGKKKKKGQNVCNGNNGLSAAPSNNAPAHTGSQFQMNLSPTRQQSYPYADSIYYPPLVYFSTYNNLYPMVTMDGPSYYVPSLPYMGAGLDYDPYYQVQSAPLVSFEIFSDENANWCSIM >OIW18315 pep chromosome:LupAngTanjil_v1.0:LG01:24387692:24390862:-1 gene:TanjilG_31455 transcript:OIW18315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMERVFEFPHTHMDRRPRKRARLGWDIPEVPKAQVGLFFGQEVENISSYAPSLGSSEYTTSSLFVKGVARNGSPPWREDDKDGHYMFAIGDNLTSRYKIHSKMGEGTFGQVLECWDREKKEMVAIKIVRGIKKYREAAMIEIEVLQQLGKHDKGGNRCVQLRNWFDYRNHICIVFEKLGPSLYDFLRKNNYRSFPIDVVREIGRQLLECVAFMHDLSMIHTDLKPENILLVSPEYVKVPDYKSSSRSPSSYFKRVPQSSAIKVIDFGSTTYERKDQNYIVSTRHYRAPEVILGLGWSYPCDVWSVGCILVELCTGEALFQTHENLEHLAMMERVLGALPQHMLKRIDRHAEKYVRKGRLDWPEGATSRDSIKAVMKLPRLQNLIMLHVDHSAGDLIHLLQGLLRFDPSERLTAKEALRHSFFRDHLRR >OIW18888 pep chromosome:LupAngTanjil_v1.0:LG01:19464483:19467051:-1 gene:TanjilG_25331 transcript:OIW18888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRTHKHQHHQHQFSLANSAHQRCNEWIFRDVPSDITIEVNGVTFSLHKASTYLFPLVSRSGRIRRLVAEHRDSDISRVELLNLPGGAECFELAAKFCYGINFEITPANVAQLCCVSEYLEMTEDFSKDNLGSRAEEYLDSIVCKNLEMCVEVLKQCESLLSLADELKVVSRCIDAIASKTCAEQIASSFSRLEYSSSGRLHMSRQAKCDGDWWIEDLSVIRIDMYQRVISAMKCRGVHPESIGASLVSYAEKELTKKSTLWNPSSQTKLDSNSTSHEKLVVETIVSLLPVEKHVVPISFLFGLLRSAVMLDCTIASRHDLERRIGSQLDIATLDDILIPSFKHVGDTLFDVDTVHRILVNFCQQEDSDDDLDDASVFESSSPHSPSQTALVKVARLVDNYLAEIAPDANLKLSKFLIIAETLPTHARTVHDGLYRAIDIYLKAHTSLSDLDKKKLSKLIDFQKLSQEAGAHAAQNERLPLQSIVQVLYFEQLRLRNSLSSSYGEDEHKPTMHQSWRISSGALSAAMSPRDNYASLRRENRELKLELARLRMRLNDLERDHVCMKRDMAKSGSRKFMSSFSKRIGKLSLFGHSSSRGSSSPSKNSQRTDSKVIDRTCASTE >OIW19068 pep chromosome:LupAngTanjil_v1.0:LG01:15024605:15029651:-1 gene:TanjilG_10629 transcript:OIW19068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKKQKLLKTQQHNKNTAFEILSEELIFTILDFLEWDPLAKKSFSLVCKSFYFIESKHRRTLTPLRTDHLPALLNRYPSVTDLDFTLCPRVTDNSLALVAGAYGDALRRVDLSRSKFFGGSGLLRLAMNCCNLVELDLSNATGLRDGAVAVVARARNLEKLWLGRCKMVTDMGIGCVAVGCRKLRLICLKWCVGVGDLGVELIAIKCKELRTLDLSYLPITEKCLSSIFKLQHLEDLVLEGCYGIGDESLDDDVFKQGCKTLKKLDISGCQNISHIGLSKLTSISGSVEQLILADGSPVTLSLADGLNKLSMLQSIVLGGCLVTSSGLKAIGNLCISLKELSLSKCMGVTDEALSFLVSKHKDLRKLDITCCRKITDVSIASIANSCINLTSLRMESCTLVPREAFVLIGQKCQYLMELDLTDNEVDDEGLKSISRCSRLSSLKVGICLNITDRGLAYIGKCCSKLKELDLYRSTGITDLGIAAVCRGCPDLEMLNTAYCTSITDSSLFSLSKCSNLKTLEIRGCLLLTSIGLAAIAMNCKQLSRLDIKKCYNIDDSGMIPLAHFSQNLRQINLSYSSVTEVGLLSLAGISCLQSFTMLHLQGLVPGGLAATLLACGGLTKVKLHLSIRSQLPEPLIRHVEARGCVFEWRDKVFQTHKGGSNGYGLVQFQEFETVEKHLHGGEIFYFLSEKQGQSSV >OIW18586 pep chromosome:LupAngTanjil_v1.0:LG01:21330731:21343782:1 gene:TanjilG_13338 transcript:OIW18586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLLSSFSIPSSSSSSSSLSPFVTALRWTPLSLNRQFLSTEPSSSHLIIAAGDRQGRIVLLDFRLKSPIMWFDTDSNFGVQDLCWVQARPDLYLIAAIHGSSTLSLYNASNGRCVWKYDASPEFFSCVRRDPFDSRRVCVIGLKGFLLSLVVVGEESIVIKELQIRTDSSDFIKVERDVSAVGSATALPASAAFPLYAARFAFSQQWRHILFVTFPRELIVFDLQYEKVIFATTLPRGCSKFLDVLPDPNNEWIYCAHIDGKLSTWRRKPGEQVHIMCSMEELMPSIGTSVPSPSILSVLLCQSDSTIQNIGKSYSDVPSSPYLREDFDNPFDFCDESTIVSKIHLISISDDGKIWNWLLTTEGNAADTQKDDKRLGLVNDDGKVSFPEVNSNTTISSAGGRDPEVHRHQERLKDSKSCMPSSIFNQEEMLIKISLVGQLQLLSSTVTVLAVPTPSLTATLARGGNCPAAAVPLVALGTQSGTIEVVDVSANAVTSSLSVHNGTVRGLRWLGNSRLVSFSYTQANEKSGGYINKLVVTCLRSGLNKIFRVLQKPERAPIRALRASSSGRYLIILFRDAPVEVWAMTKNPIMLRSLALPFIVLEWTLPTVPRPAQNAASKDSSLSSKGQISGASDEASTSSKILSSNAKGSSTEGSQEDTSESFAFALVNGALGVFEVQGRRIRDFRPKWPSASFVSSDGSITALAYRLPHVVMGDRIGNIRWWDVTTGQSSSFNTHREGIRRIKFSPFVPGDHSRGRIAVLFYDNTFSVFDLDSPDPLAHSLLQPQFPGTLVLELDWLPLRTGENDPLVLCIAGADSSFRLVQLTANDKRSGHVPRIRKIKERFRSMPICCPIILPTPHALAVRMILQLGVKPSWFNTCSTTIKKRPHLIPGTPSSVGDLRTYMIDVPPLGDSVVPEMLLKVLEPYRKEGCILDDERAKLYASIVDKGCAARFAFAATIFGESSEALFWLQLPRAFKYVMNKLLRKPPLKGPSEEYVSEVDETSLLSRISSKGKPTEEMGGGVLSQGQLRVMNFDREELWKSASERISWHEKLEDEESIQKRVHGLVSVGNLEVAVSLLLSTPPESSYFYVNALRAVALSSAVSRSLHELAVKVVAANMVRADRSLSGTHLLCAVGRYQEACSQLQDAGCWTDAATLAASHLQGSDYARVLQRWAGYVLYTEHNIWRALILYVAAGALQEALTALRKAQLPDTAAMFILACREIHEEIVSNLGIINDESSSSVMDNLLNLRDLDPENEDVIAVGEYFGEYQRKLVHLCMDSEPFSD >OIW18593 pep chromosome:LupAngTanjil_v1.0:LG01:21455973:21460326:-1 gene:TanjilG_13345 transcript:OIW18593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGSQNSLRKALGALKDTTTVSLAKVNSDYKELDIAIVRATNHVERPAKEKHIRAIFSAISATRPRADVAYCIHALARRLSRTHNWAVALKTLIVIHRALREVDPTFHEELINYGRSRSHMLNMSHFKDDSSPNAWDYSAWVRTYALFLEERLECFRVLKHDIEAERLRTKDLDTAELLTQLPALQQLLLRVLRCQPQGAAVHNFIIHLSLSMVASESIKIYQAISDGTVNLVDKFFEMQRHDALKALDIYRTVGQQAERLSEFYEICRNLDIGSEEKFIKVEEPPSSFLQAMEEYVKDAPQGLVVRKDQAAENKICSPKEVLAIEYKHTSEEEELPPSPTPPPPPEPVKVEAPPVQSPPDLLNLEDSVPAALKLEEKNAMALAIVPVADQPLPAVPIQANGTTGWELALVTAPSSNESAATASKLAGGLDKLTLDSLYDDALRRNNQNVSYNPWEQASMGGMMQPTMHDPFFASNTMAAPPSVQMAAISNQQQAFMFHQQQQHMMMAPHQSSGNPFGNPYGATVHPYGSGMPVQSYNPYTGHI >OIW17644 pep chromosome:LupAngTanjil_v1.0:LG01:35560308:35563565:1 gene:TanjilG_28994 transcript:OIW17644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMPLLLFLIFSLKLIPISILCQSISNITIGASLSAGTNNNNNSSWMSSPNGDFAFGFIKLEQDTNLFLLSIWYAKIPDKTIVWYANTDTPASNGSILELTSNGLVLTASNGEQIWKTEGLNARVSRAMLNDSGNFVLMDDNFANVWETFHNPRDTLLPTQVMQKGGKLSSRLKENDFKKGKFEFFLQDDGNLVMYSVNLPSGYVNDNYYTSGTVNSSASSAGTKLVFDMYGDMYILRENNEKHNLSEEGRVSTTQYYLRASLNFDGVFTLYQHPKNSNSSDGWSTVWSIPDNICTYGVLNQGSGVCGYNSICTLKNDKRPSCECPKWYSLIDPNDPYGSCKPDFVQGCSEDELSNNKKDLYDFEVLIDTDWPYSDYVLQKPFTEENCKQSCMEDCMCSVAIFRLGDSCWKKKMPLSNGRVDSGLNGSKAFMKVRKDSSFLLPPPTIVIKKRKTLILVGSVLFGSSAFLNLVLIGLIWLSTCYVFVYKKKLRRVNQRDYAVKTNLRCFAYEELKEATNGFDKELGRGGFGVVYEGVINIGSTTRVAVKKLNNFLLQEVEKEFKNELNVIGLTHHKNLVRVIGFCEAESERLLVFEYMSNGTLASLLFNGEKPSWKLRLQIAFGIARGLLYLHEECSTQIIHCDIKPQNILLDDYHNARISDFGLSKLLNMNQSKTNTVIRGTKGYVAPEWFKNMPITSKVDVYSFGVLLLEIISCRRNVELETENEEKEILTDWAYDCYKDGNLDALVENDKEALEDKKNFVKLVIIGIWCVQEDPSLRPSIKKVTQMLEGVVEVQVPPCPSPISIQYSLD >OIW18895 pep chromosome:LupAngTanjil_v1.0:LG01:19382648:19384651:-1 gene:TanjilG_25338 transcript:OIW18895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMATLDSNVTEAMVNNNQKEDPPSQDLANILKKSVLVNQIVLIVLSIFYKAITFVATNCMYLINIKQESYQQFCAIRETLIAEYVVVSPTSEPQKKGKRVKNPTVAEQDVAFSLPKDCDLASFKGIEEVLSKLEGWKIQTTQRSTGTFDTHYIHNGSIKKLRSTNEVVNYILPEGYKKLPKRKRSKGQRVKKAKKLKKTHTSKEQKENPEPSSASNEKVQDVQQTEEKKENLQPSNGSEAIIDLTLICK >OIW18552 pep chromosome:LupAngTanjil_v1.0:LG01:21060175:21064834:1 gene:TanjilG_13304 transcript:OIW18552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWVGRNRGGAKLIVNISHSLEWKRVRFVIVNNTWIIFLPLWVFHGVVARGRFSLPAPSAPRNRHWAPCHAVVATPLIIAFELLLCIYLESLYVDGYAAVNLKLVFLPLLTFEILILIDNFRALMPGDGENMSDEAIWETLPHFWVAISMVFFIAATVFTLLKLSGDVGALGWWDLFINFAIAQCFAFLVCTKWSNPVIHRNSRESSSSSTTIRYLDWNSGLVVSPEENEHSNRVCSLQDIGGHFMKVPVIVFQVLLCMYLEGTPASAVYIPLPVLFSPIFILQGAGVLLSASKLVEKLVLLLRSGAGGGMYFRFSSRVHDCLGFLHHGSRLLGWWSIDEGSREEQARLYQEGASGYNTFSGYPPEIVKKMPKKDLAEEVWRLQAALGEQTEITKYSQQEYERLQNVKLLTFMSAL >OIW17665 pep chromosome:LupAngTanjil_v1.0:LG01:35374529:35378534:-1 gene:TanjilG_29015 transcript:OIW17665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTISNDSLLEVVNEQEQEEDGPSESALLELENNSKPRRIALFVEPSPFAYVSGYKNRFQNFIKYLREMGDEVLVVTTHEGVPDEFYGAKLIGSRSFPLPWYQKVPLSLALSPRIISAVAEFKPDIIHASSPGIMVFGALIIAKLLSVPIVMSYHTHVPVYIPRYTFSWLVQPMWWVIKFLHRAADLTLVPSAAIAKDLEEARAAAANQIRLWNKGVDSESFHPRYKSHEMRLRLSNGEPEKPLIVHVGRLGVEKSLDFLKSLMDRLPEARIAFIGDGPYREELEKLFEGMPVVFTGMLGGEELSQAYASGDVFVMPSESETLGLVVLEAMSSGIPVVGARAGGVPDIIPEDQDGKIGYLYTPGDLEDCLTKLKPLLDDKALRETMGNAARLEMEKYDWRAATKKIRNENYDAAIWFWRKKRTELLGPLQWLAKLILPSPKAKLIGDANAPSKV >OIW18361 pep chromosome:LupAngTanjil_v1.0:LG01:23715509:23715838:1 gene:TanjilG_31501 transcript:OIW18361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMARTTLDFSSTFQRHYPTAIIMPSPFSLTFLHNHHHYPLKSSTTSYYSFITNIIIIIHLLFSHYYYLLHHKNHFSSHTKQAQEEDNKEKEDKYVGVLMLKRKPYFLI >OIW17797 pep chromosome:LupAngTanjil_v1.0:LG01:34223480:34231274:-1 gene:TanjilG_02425 transcript:OIW17797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCCSDVAGGRTAIGGTAVDLRNPGDAGNDAVDNFLRSRGYHGLYSQIELSFSASGLRDRDVLSKSDPIMVLYAKGKNGVLEELGRTEVVLNSLNPAWITKHTIIYHFEIVQVLLFRVYDVDTQFHNVNVKMLKLEEQQFLGEATCALSEIITKVDRSLTLDLHGENSMRPPNSQNCGKLSVHAEECITSKTTIEMIFRCSDLEYRVLFSRSDPFLLISKVVEGGAHIPICKTEVIKNDLNPAWKPVFLNIQQVGSKESPLVIECYNFNSNGKHDLMGKVQKSLVDLERVHSGGQGENLFLSTAVGHNSQNKVLKSRLFVDKFSESVQYTFLDYLAGGFELNFMVAIDFTASNGNPRLPDSLHYIDHSGRPNAYQRAIVEVGEVIQFYDSDKRFPTWGFGARPIDGPVSHCFNLNGSSHYCEVEGIQGIMMAYASALLNVSLAGPTLFGPVISNAALIASQSVAAGGRKYFVLLIITDGVVTDLQETRDAIVKASDLPLSILIVGVGGADFKEMEILDGDRGEKLESLTGRVASRDIVQFVPFRDVQSGEVSVVQALLAELPTQFLTYMRSRNIQPSL >OIW17631 pep chromosome:LupAngTanjil_v1.0:LG01:35769725:35770777:-1 gene:TanjilG_28981 transcript:OIW17631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPPPLSLNVVGSDTFIPLSSSTSRRSSSSLKNLSPTILIIVTVLAVTVIVSLSICFLIRHLNRRCLRRLSHSSSAVPSSAAATPIFTSSRRISPEITPSSIIDSLPLFTFSSVTRRSATGAADCAVCLSKFQDHDLLRLLPLCCHAFHAECIDTWLQSNLSCPLCRSAIVAADNDLAKILRSSSSAGSDSFRVEIGNVSRRGTAAATAPEDSVSSTADDARSGGAGTRSYSIGSFEYLVNEESEVPFSNAHRRSVSDQKDIPIPAEYSVTQNEAFLAGDVAGVRNWLKDYVDRISASISSRTVSFRSSGRFGGSSRRSDVVPVSVDYDIEGNRIGEEISEMFRWISGV >OIW19229 pep chromosome:LupAngTanjil_v1.0:LG01:7260439:7262560:-1 gene:TanjilG_20354 transcript:OIW19229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTKERETFVYTAKLAEQAERYEEMVEAMKNVAKLNVELTVEERNLLSVGYKNVVGARRASWRILSSIEQKEESKGNDVNVKRIREYRQKVESELDKICSDIMIVIDEHLIPSGPGGEPSVFFYKMKGDYYRYLAEFKSGDDRKEAADQSMKAYQTAFTTAEAELPSTHPIRLGLALNFSVFYYEILNSPERACHLAKQAFDEAISELDSLSEESYKDSTLIMQLLRDNLTLWTSDIPEDGGNL >OIW17597 pep chromosome:LupAngTanjil_v1.0:LG01:36434401:36437509:1 gene:TanjilG_11161 transcript:OIW17597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGRGPRKSDSTKYYEVLGVSKSANEDEIKKAYRKAAMKNHPDKGGDPEKFKELAQAYEVLSDPEKRDVYDQYGEDALKEGMGGGGGGGHNPFDIFESFFGASFGGGGSSRGRRQMQGEDVVQSLKVSLEDVYNGTTKKLSLSRNVLCSKCKGKGSKSGNAGKCFGCQGTGMKITTRQIGLGMIQQMQHVCSDCRGSGEVISDRDRCPPCKGKKVTQEKKVLEVHVEKGMQHGQKITFEGQADEAPDTITGDIVFVLQVKDHPRFKRDGDDLHIDHNLSLTEALCGFQFAITHLDGRQLLIKSNPGEVIKPGQHKAINDEGMPHHNRPFMKGSLYIKFNVDFPESRFLSPDKCRLLETILPHKTKKQLTAMELDDCEETTLRDVNMKEEDMRRKRQHRGHPSSRTHEHDYDDDDDDEPSGPQVQCAQQ >OIW19358 pep chromosome:LupAngTanjil_v1.0:LG01:3453470:3455859:1 gene:TanjilG_03492 transcript:OIW19358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKENSKQDGGDNTLFSPYKMGKFNLSHRIVLAPMTRCRALNGVPNAALGDYYSQRSTQGGFLIAEGTAISPTAAGFPHVPGIYSEEQVEAWRNVVDVVHAKGSLFFCQLWHVGRASHTVYQPGGAAPISSTGKPVSARSRVLMPDGSYVVYPEPRALNTSEIPEIVEDYRQSAINAIRAGFDGIEIHSAHGYLIDQFLKDGINDRTDEYGGSIANRCRFLMQVVQAVVSAVGAERVAVRISPAIDHLDAMDSDPIGLGLAVVERLNNFQKELGRKLTYLHVTQPRFTAHGQTESGRPGTDVEEAKFMRTLRNAYQGTFMSSGGFTKKLGMKAVAEGDADLVSYGRLFIANPDLVRRFKLDAPLNKYNRATFYTHDPVIGYTDYPFLSEATENFEVAISRL >OIW18713 pep chromosome:LupAngTanjil_v1.0:LG01:22447706:22450600:-1 gene:TanjilG_13465 transcript:OIW18713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSATAIQAIPPAFFTNRQQLLPNPTLHFHSPVTPFQRRRRSCAAHVSRQNPPVPTSNTDDRVNCLNMWWRLLSRLISLYLLSLNLVASASDYSSPSTYLCEDISYYYSPVKHLRGEALKKKLNSIIYPHYSLSYKKVWNALKILDAADIDKPEDSSEIIEIYSSRVVPKELSGKPLGWNREHLWPRSYGLTNGPSLTDLHNIRPADVNVNSSRGNKYYGECNTSLTRCLRPANKEAALDTETDKESWAPPMQVRGDIARALMYMAVCYGFQQPGGSLGLRLSDTPNVEKREMGLLSTLLKWNEIDPPSKEEKLRNERICKIYQHNRNPFVDHPEYANLIWKDVVSKRSPQQNSVS >OIW19219 pep chromosome:LupAngTanjil_v1.0:LG01:7717067:7721600:-1 gene:TanjilG_20344 transcript:OIW19219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLQQLGGSISRALQQMSNATIIDEKVLNECLNEITRALLQSDVQFKLVRDMQTNIKKIVNLDDLAAGHNKRRIIQQAVFNELCKILDPGKPSFTLKKGKTSVVMFVGLQGSGKTTTCTKYAFHHQKKGWKPALVCADTFRAGAFDQLKQNATKAKIPFYGSYMESDPMKIAVEGVERFKKENCDLIIVDTSGRHKQETALFEEMRQVSEATKPDLVIFVMDSSIGQAAFDQAQAFKQSVAVGAVIITKMDGHAKGGGALSAVAATKSPIIFIGTGEHMDEFEVFDVKPFVSRLLGMGDLSGFMDKIQEVVPMDQQPELLQKLSEGTFTLRIMYEQFQNILKMGPIGQVFSMLPGFSSELMPKGREKDGQAKIKQLDSSNPKIMNESRMMRIARGSGRLVKEVMEMMEEYKRLAKIWSKMKGLKIPKKGDMSALSRNMNAQHMSKVLPPQMLKQIGGMGGLQSLMKQMGSTKDMMGMFGGGDN >OIW17949 pep chromosome:LupAngTanjil_v1.0:LG01:32236211:32237653:1 gene:TanjilG_17785 transcript:OIW17949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQETLFSTSILLLLTLITIYTTTTLAQLSPIQSPTTSPPASPLSSPPSPPLPQPTLSSPLASQPPATTAPAPGFNTNPLVPVTPSSAPTATIIPKSPTIDIINILTKAKKFSVLIRLLKTTQLINQLNSQLLTSGSGGLTLFAPDDSGFSKLKAGFLNSLSDRQKVELLQFHTLSSFISISNFDTLTNPVQTQAGDDAQRLQLNVTTFGGNQVSMATGAVNATITSTVYTDSKLAIYQVDKVLLPLDIVLPSKAPALAPAAAKKGGLSKTNSSSTDDSSSNVGGGDESDSALPAETSAGYLSYKVGLMWVNFVVGTGLVGIAII >OIW18246 pep chromosome:LupAngTanjil_v1.0:LG01:25752228:25758541:-1 gene:TanjilG_06330 transcript:OIW18246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLLRRKPSALAEPPKDLKPDELVYQIRFTKEIFRDYQIWMCKVSGKTGLTYEEALVSEQRATEKVQQFPKELTAPALQIIQYSMLPLKDLADSINEKLLGHLFVGAELFVKKDDGVYPCKILKVILEGVEKCRYEVVWLNKDKNITETTYVCAEDLVQKKPLFSRNILKSFIRESTYRNAPWVLHEELAQKHGISTDIPEVLRGRVFFKNGILTCSKKRKNEESMEETEISNKRKNVDCSAQKEGNDQHIDEPIKYPIDDLLVKPGPDDPVFTTRPSPSRDFNIPMDCVGDLLMIWDFCTSFAKLLHLWPYSPEDFENAIRHRESNVVLLVESHAALFRVLINDDGEYSTAIKKRRSKYKITMINWSEYLSEFIEMINIPGLHQYEATIKRGQYGLVDVNAKLEILSELVNRVLETGIFRGEMDKLIEERQVLGASRREEALEDGRKRRKKKEQLKAGPESNGVVDGNHLNNASVSTNNNHGMQNGDVGEKRNGEIKPSRNDTPCRSGIKHLNPASKKTQKKVSSEVEEPIEHGKVVSSKKLQKQLKGDKDPSEKNCSEQRKKLNLELKETTENGKELSGKKLPKQLNGETDPSEQNSVEQRREYFEREMDKRLIRRSPLGKDRDYNRYWWFPRDGRLFVESSDSKEWGYYSSKEEVDALMGSLNCKGERERALQKQLGKYYKRICSELQKRSKDLMHKDEVDESVVRRSTRVRAPPRQNPANAFLRYVNKWKEE >OIW18972 pep chromosome:LupAngTanjil_v1.0:LG01:18735605:18737421:-1 gene:TanjilG_09166 transcript:OIW18972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKEPLLPHLSLLSAKSDEINISVNPMEFKDRLIFGPSSKDSSSSPPLVEAKTVSFKPSYPNQEILFDPQQKNISSCLSNPDHGCQKPSLHRSKTAPARTILNDLNQHQSEERPHFGTQSIVLQAFVFLVLYLSLGVVIYWFNRHNFSSSETHPIVDGLYFCIVTMCTIGYGDITPNTTTTKLFAILFVLIGFGFIDILLSGMVSFVLDLQENYLLQTVKGRGQKNGGSYLVDVKKGRMRIRLKVALALGVVVLCIGFGVGVLHFVERLDWLDSFYLSVMSVTTVGYGDKAFKTIHGRIFAAIWLLVSTLAVARAFLYLAEARIDKRHRMMAKWILGQDMTVSEFLAADIDNDGFVSKSEYVVYKLKELGKVSDKDIMEVSETFDRLDSGNFGKIKLMDLMETHHS >OIW18554 pep chromosome:LupAngTanjil_v1.0:LG01:21074208:21076802:1 gene:TanjilG_13306 transcript:OIW18554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRGGGFRREDAPVVNSSNVFAALSNLKKKKKKPEDKQASSNTTNQDSDKKELFWAPAPLTVKSWADVDDEDDDDYYATTAPLHSVWTAPSTAAFDSDAGIQKEPVLEESESELEGLDDVEDDAEDEHEDDSEVPAEAEPVLEKAPEHSLATKETERQLSKKELKKKGLEELEAVLAELGYTQKEPTGQDESHGAEKKEEDHNGEVEKKKNAASGESKNAKKKKKKDKSVKEQKESQDQPNNTDVGNTASETAGVEKAEDASATDVKERLKKVASIKKKKSSKEMDGAARAAASEAAARNAKLAAAKKKEKAHYNQQPVR >OIW18938 pep chromosome:LupAngTanjil_v1.0:LG01:18896376:18897931:-1 gene:TanjilG_25381 transcript:OIW18938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKGRQGERVRLYVRGTILGYKRSKSNQYPNTSLLQVEGVNTKEEVAWYAGKRLAYIYKAKVKTNGSHYRCIWGKITRSHGNSGIVRAKFKSNLPPKSMGSRVRVFLYPSNI >OIW18356 pep chromosome:LupAngTanjil_v1.0:LG01:23763553:23769724:-1 gene:TanjilG_31496 transcript:OIW18356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGAPAPKADEPQPHPPKDQLPNVSYCITSPPPWPEAILLGFQHYLVMLGTTVLIPTALVPQMGGGNEEKAKVIQTLLFVAGINTLLQSLFGTRLPAVIGGSYTFVPTTISIILSGRFSDEADPIEKFKRIMRAIQGALIVASTLQIVLGFSGLWRNVARFLSPLSAVPLVSLVGFGLYELGFPGVAKCVEIGLPELILLVFISQFVPNVLHSGKHVFDRFAVLFTIAIVWLYAYILTVGGAYKNAAPKTQATCRTDRAGLIDAAPWISIPYPFQWGPPSFDAGEAFAMMMASFVALVESSGAFIAVYRFASATPLPPSILSRGVGWQGVGILLSGLFGTVNASSVSVENAGLLALTRVGSRRVVQISAGFMIFFSILGKFGAVFASIPPPIIAALYCLFFAYVGAGGLSFLQFCNLNSFRTKFVLGFSIFLGLSVAQYFNEYQAINGFGPVHTGARWFNDIINVPFQSKAFVAGIVAYFLDNTLHKKEGAIRKDRGKHWWDKYKSFKGDTRSEEFYSLPFNLNKYFPAV >OIW18833 pep chromosome:LupAngTanjil_v1.0:LG01:19984893:19988830:1 gene:TanjilG_25276 transcript:OIW18833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPRTVKRGGSASGGKRGGRGSKVTPTKSAQKEQQQPEEVVKVEEEKLIIEEESKVEDKSIVVEDKSIDMNQKASEDDEEIKATHVSNELHVVKNDEEEVKESIDEYEKDERLDLEDNDPEYEHEEYGGVDYDEKEIEPDEVHEMEEGEEEEPEENVGEEEGDTGEEEVEDVHDEIEGEEDDEHVGEEREHQEMADVEEEHREVVKERRKRKEFEVFVGGLDKDATEDDLKKVFSVVGVVTEVRLMMNPQTKKNKGFAFLRFETVEQAKRAVAELKNPVINGKQCGVTPSQDSDTLYLGNICKTWTKEALKEKLKHYGVTNVEDVTLVEDNNDKGMNRGFAFLEFSSRSEAMDAFKRLQKRDIVFGVDKPVKVSFADSFIDPGDEIMAQVKTVFIDALPPSWDEDYVRDLLKKYGEIEKIELARNMPAARRMDYGFITFGTHDAAVRCAESITGTELGEGDKKAKVRARLSRPLQRGRGRYISRGDYRPSRGSGLMSRPSWSRPAPRSFPPPRGVRGIGSRLPPVRPISMRARSRPIDPPARSYDRRQAAPAYPKSSMKRDYGRREDLPPPRRVAADYGSRVVSERRPSYRDYPARGPSYSDLPRSTYRAAPRRGYVDDGYGQRFERPPPPPPPPHPSYREGRPRDYDSLSGSKRSYAAIDDVPPRYADTGARQSRARLDYEYGGSASQYEDAYGDSRLGRSSLGYGSSSRNSISSQDSHGMYSSRHGVSYSGGSFGGSDGTGMYSSSYGGDYISRGSDVGGSSYSSMYSGRGTGSGSSYMSGGGSGSYY >OIW18617 pep chromosome:LupAngTanjil_v1.0:LG01:21634111:21646727:1 gene:TanjilG_13369 transcript:OIW18617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSPLERGKFEYFGWVYHLGVNSIGHQYCHLRFLFIRGKYVAMYKRDPHEHPSIDPIRKGIIGPTLMVEELGRRKVNSGDLYVLRFYNRLDETKKGEIACATAGEVQGWIEAFDNAKQRAEYELSRRGGARDKLNMEDEIDLEGHRPRVRRYAQELKKLIRIGQGPETLLRQSSKVASRADGFGGDSGDAFESHQWKCVLTMGGIRIFEDISDCGNSKDVLAKSVGVIDATADTVFEVLLNTERQKRYEWDMLMCDLELVDSYDGHYDVVYGTYDPKYLSRWHSKQDFIFSRQWFRGQDGTYTILQVPALHMKKPQRSGYRRTKTNPSTWEIRNLNTPVASNCPKCLVTHTLEIQSASWHQWKNNKYSKFERSIPYALLCQVAGLKEYIAANPALHQEYATTIVDSKISDGSVSSSEYEDEVQDQFYDAIAGESSSDEESDDDEKPDQKGSIVKLKNVSWALSTLALKRTAASDLSKELDPLVTPIIIQLSDFHGSLHKCKDDNDTNCWATPSGKGFMIRGKNYLKDNHKVVGGDPLLRLIAVDWFTVNKSADRIALHPKCLVQSEVGKKLPFILVINLQVPAKPNYSLVLYYAADRPINKDSLLAKFVDGSDMFRDSRFKLIPSIVEGYWMVKRAVGTKACLLGKAVTCKYYRQDNFLEIDVDIGSSSVARGVIGLVLGYVTSLVVDLAILIEAKEETELPEYILGTVRLNRLKLESAVALEV >OIW18280 pep chromosome:LupAngTanjil_v1.0:LG01:24866117:24870598:1 gene:TanjilG_31420 transcript:OIW18280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSETITPDQPLHESPHKDSTAKVESTEPNSHNVVSVEVVNSESEMKIAEDNGGESEHVEEKKEEETDHEVEEKNDEETDHEGEDEEGSDEEDESESKKLEKDNSPSTPVSGSGKRPVRERKSVDRYTVSSPSKFPRSSATKPLSVEQGNGTQLKDIPNVAFKLSKRKPDDSLRTLHNILFGKKAKAHDLKKNIGLFSGYVWAENEQKQRAKIKEKIEKCVKDKLVEFCDILNVPINKTGLKKEEISAKLLEFLESPHATTDVLLAEKKGKKRTKKATPSKSSREASAKKQKQTSSTGKKRKQSSDVEEDNDAESSDAKDDSQEDGEDVSAFRSESDNEESKSEEEEDQQKPHKHSSKRTVKEVQTTPVTKATAVKAAKSNQKTTKQSSSEKNATDSSSASLSKSKQSASKKQRTVKETQDNEGKVANKKQTDESSKDLVKDEGKGKGSKKAKAEPSRDDLYAAAVDTLKKVDFNTATLSDILKLLGTHFDLDLMHRKAEVKDVITDVINNMSDEEDGEEAENVDA >OIW18118 pep chromosome:LupAngTanjil_v1.0:LG01:27869676:27870110:-1 gene:TanjilG_22316 transcript:OIW18118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLELEAVGVGCKHHSINNKQQQGVCSYCLSDKLSKLNNKPIATHPFLPSPSHELFSSSSSNYVSSANRRRHRRHASHVMDSASYMINFNVGLKKSKSIAFAPTNKLKEREVNRDNKGSKKDGFWSKLLKLTKKDKASCIQGP >OIW17907 pep chromosome:LupAngTanjil_v1.0:LG01:32809712:32810995:1 gene:TanjilG_19876 transcript:OIW17907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRWLFGTARNYGFLHDCQKGYIYQQRFSLVNIKLKWVKDRTLDATVSGQRDLKAAGILVSIIYSSSGCCLPIYHLSRHRGQLGLPDDLKLSTFIRRYPNIFIQSYISDSGGTPVPCFSLSPEALKFHHEELNILQQNQMELRDRLCKLLMLTRDMILPLQTIDQLKWDLGLPYDYQHSFVLNHPEKFSFLRLPDDRIGLKLLSWDDKLAVSELQKNASLQQKEEDIKNGSLAFPIRFTRGFGLKRKCMEWLKEWQKLPYTSPYTNASHLDPCTDVSEKRVVGVFHELLHLTLQKQTERKNVSNLRKALALPQKFTKAFERHPGIFYISMKNDTQTVVLREAYNGHELVQNHPLVKIREEFASLLKKGLLDRSRGVYKKNKGANLVEGLRKEVGIADQLSSENESDFTFSEYNSNGSLYRTLDGDEY >OIW19427 pep chromosome:LupAngTanjil_v1.0:LG01:2830250:2831342:1 gene:TanjilG_09447 transcript:OIW19427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECAYRKWSREKRERSASGGLNELCRELQTALGTAKWQLGEFEKEVRLSYRHHGDDNTAARHRQFISAIRSQTFQVEEALRGSFTEEGKQPLRWVNLNEEEREDLAAFLSGGTCQVKQSSKDECVEINPFLKSSAPEKLVNKSNRDISSNEKVSEDYISVNKDKDRVIETKAESGSRNSNEVVSQTDRTSTRKTWNPPNYSSLKIVIADKDEQINKPKRTLDATHKEKGSRLFLWKQKCEEYPPGTRAVCMFNQVR >OIW17593 pep chromosome:LupAngTanjil_v1.0:LG01:36420105:36421790:-1 gene:TanjilG_11157 transcript:OIW17593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRWLLARQAFATLRSYVVSSPRIIPNNPNTLLHPPSPLFPHSRFFSQITDLPLASVIDDVTTADVESDEIEVEVEGEEEKLHEINAEELEKVESLLQSNDADLESSLDSIGFTLDQDFVIKLLQTSNVSGYNLIRFIKWALDKNESFDVTVPVVESLVSNVCSGGGVLREKLIYSLWDFVKDIAGDGVRALNTDILNEVIVSFSKLGKGRTAHEVFDKFELFQCVPNADSYYFTIEALCRRKDFDQAWSLCQKMIDAEIIPDDEKVGKIISWFSKGGRVKQAHLVYLAAKEKGKQVPMSSVSFLIAKLCQQSKTVRLNGKPVARKLKPVGESFEENENVRLALEILNGIPGDEKKHSMKSFSAVIQALCRIKDVDTAKELILKMTVDGPPPGNGVFNFVITEYAKAGKMVQAIEMLRLLESRGLKPDLYTYAVLMSGYSNGGELDEAKKILEEAKKKHVKLTPVMYHTIIRGYCKLEQFDEALKLLTEMKDFGVRPTADEYEKLIQSLCLKALDWETAEKLQEEMKENGLHLKGISRALIRAVKEMEKEVADDGNISSAA >OIW18619 pep chromosome:LupAngTanjil_v1.0:LG01:21667533:21672757:1 gene:TanjilG_13371 transcript:OIW18619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSAYLHAPSVFYFFDKLLPRWKRNLSRTSMAASSVRIAIVGDIHDFWNLEEDSRALEFLKVPFSPFFLSISDFGDENVEVVQSVANLEFAKAVILGNHDSWFTKQFSGREKDKVQLQLECLGKEHAAYKRLDFPMIKVSVVGGRPFSSGGKPLSRKSLLSARYGIKDMDESAKRIQKAALGTPEDHFLILLAHNGPTGLGSDLSDICGKDWEFVGGGDHGDPDLEHAISLLKENNQVSIPLVVFGHMHKELAFGNGFRKMIVVGTDNTIYLNGAVVPRVKRLVDEDNRNFDDKSALSSLETKSTTRAFTLVEISEGRVAKIAESLGSDLSDICGKDWEFVGGGDHGDPDLEHAISLLKENNQVSIPLVVFGHMHKELAFGNGFRKMIVVGTDNTIYLNGAVVPRVKRLVDEDNRNFDDKSALSSLETKSTTRAFTLVEISEGRVAKIAESWVSVEKDRTTLEEEHILFEL >OIW19242 pep chromosome:LupAngTanjil_v1.0:LG01:6776916:6777482:1 gene:TanjilG_20367 transcript:OIW19242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRVKLVNSIIHNILIYSFHVYARSSQLLKHIDNYIRNFIWYGDINVRKMVIVAWQKVCKPKKEGGLGVRSIKLLNKVAMLKLAWEMLTLNLDWVVSGIKRSLNNNTISTRYFKSSIWVGVKFSMEEAMSNSIWMVGNGNSINFWTDNWIGYLIVTDMGLPRNIQLSLSALVADFMHFFIRVIPSYFS >OIW18046 pep chromosome:LupAngTanjil_v1.0:LG01:30144744:30146659:-1 gene:TanjilG_07537 transcript:OIW18046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLKEMFKGMDTDNSGTITIEELKQGLATQGTKLSEQEVKQLMEAADADGNGTIDYDEFITATMHMNRMNREEHLYTAFQYFDKDNSGFITTEELEQALHDYNMHDGRDIKEILQEVDGDNDGRINYDEFVAMMGKGNPEAHTKKRRDSFASY >OIW18967 pep chromosome:LupAngTanjil_v1.0:LG01:18676588:18688425:-1 gene:TanjilG_09161 transcript:OIW18967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCFVFQDLIFSLAILVLVLATPCSCTENNIKSAVFLSPKIELEPGSVSNKFYYDLDFPRGHIAIKSFDAEVVDESGISVPLYETYLHHWVVARYYIHKNSSQNVVRNSGLCQGDVLGQYFGLGSETRGTSTYIQDPFGIEIGNPKEIPEGYEEKWLANIHAIDTRGVEDKKGCTECRCNLYNVTTDENGEPLSPDYKGGLNCCYDLTQCRLKEGFKGRKRSIYLRYTIKWVDWDSFIVPVKIYIFDVTDTLQISHDSKGINPIHNCQIEYEVEACSTSNKDENDCIIVNRTSVPFQNGGYVIYGVAHQHSGGIGSTLYGQKELSVILRDYVGRESPLYFAERLTEHYRRGNGEGPDVYLKREDLNHTGAHKINNAVAQALLAQRLGKKRVIAETGAGQHGVATATVCARFGLECVVYMGAQDMERQSLNVFRMRLLGAEHIDLILIVEVNDLVRAVHSGSATLKDATSEAIRDWVSNVETTHYILGSVCGPHPYPMMVREFHAVIGKETRKQALEKWGSKPDVLVACVGGGSNAMGLFHEFVDDKDVRLIGVEAAGCGLESGKHAATLTKGEIGVLHGSMSYLLQDDDGQIIEPHSISAGLDYPGVGPEHSFFKDIGRAEYYSVTDEEALEAFKKLSQLEGIIPALETSHALAYLEKLCPTLPNGTKVVVNCSGRGDKDVQTAIKYLKL >OIW18346 pep chromosome:LupAngTanjil_v1.0:LG01:23844151:23845621:-1 gene:TanjilG_31486 transcript:OIW18346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRHNNRSVNRSSKKSVNYHVYGDVDGDATSDSESCASSGGGEIVSTVQPRRTVFDERFAKMEEGEKVSNVMESKFVRWLESLGVKPEVDVVRRNIRSNVMLQAKVQCFQIFMRAMAKLREGDPNVKYAWYGASSKGEIDDIVEHGFGGKNLNNGLRLSPEDSPLESVKRSVADKHGLRHMLLCRVILGRTELVQSGSDQWGPSSEEFDSGVIESVSAPKEYVVWCSKINTHVLPEFVLSFKLPSSLSAGRVRIEQPLRPTSPWMPFPALIALLSRTLPSPDIIMINKYHKDYAVGDSDLLLEFRECPSFFSTEIIVVTY >OIW18283 pep chromosome:LupAngTanjil_v1.0:LG01:24786876:24787847:-1 gene:TanjilG_31423 transcript:OIW18283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKHELVLFPSSSTPSYASEIDFEVAQNSKVHVKTEGFLRTEKKKISSQKGCKKEQHRYVFETRSKIDILDDGYKWRKYGEKMVKNNKFSRSYYRCSYEGCNVKKQIQRHSKDEQVVVTTYDGMHMHPVEKLTESFEQILRNFNIYNQFYNLQR >OIW19495 pep chromosome:LupAngTanjil_v1.0:LG01:2215741:2216073:1 gene:TanjilG_06950 transcript:OIW19495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMQAEAGRKKRAQILESEEQAYINIADGKKSSVVLPSEPANRHINSLIWLCCANPLYDLQL >OIW19298 pep chromosome:LupAngTanjil_v1.0:LG01:5253288:5253470:-1 gene:TanjilG_20423 transcript:OIW19298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAQARSKVDEDKVDASEVELFEVYDLVLYMGIIDILQEYNMKKKIEHACKSLIFDTMTI >OIW18033 pep chromosome:LupAngTanjil_v1.0:LG01:31034182:31037451:-1 gene:TanjilG_07617 transcript:OIW18033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHHFAPSHSNLQSQISADWKVAKAYKDRGVIYNGIVEGFNGGGLIVRFYSLMGFLPYPRLSPSHSCKDPEKTIREIAEGLLYSLISVKVIEADEDQRNLLFSEKEASWSRYSEQVKVGDIFEARVSSIEDYGAFVDLRFPDGLYHLHGLVHISELSWDRVDSVRDILTECDEVRVKVVGVDRNQLLAYLSSCREKSRISLSIKQLEDPPLENLDIVLPQVCNFV >OIW19775 pep chromosome:LupAngTanjil_v1.0:LG01:31382:31576:1 gene:TanjilG_27314 transcript:OIW19775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEAPSWAEQWGAGGIGAMEDDDTKNKNSGAKSGLTKAKASASNCLKLCVHFCFSTMVDTSFN >OIW19166 pep chromosome:LupAngTanjil_v1.0:LG01:9658628:9660652:-1 gene:TanjilG_13948 transcript:OIW19166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLHKFGEKLYSGLVVTMTGHLKDIAESVEAAHGGSFLEELNRKWNDHNKALQMIRDILMYMDRTYIPSTQKTPVHELGLNLWRENVIYSSQIRTRLLNTLLELVHSERTGEVVDRGIMRNITKMLMDLGPSVYGQEFENHFLQVSAEFYRVESQKFMECCDCGDYLKKSERRLNEEIDRVSHYLDPRTEKKITNVVEKEMIENQMLILIHMENSGLVHMLCDDKYEDLGRMYNLFRRVTDGLLKIREVMTSHIRESGKQLVTDPERLKDPVEFVQRLLDEKDKYDKIINMPFNNDRSFQNALNSSFEYFINLNPRSPEFISLFVDDKLRKGLKGVTEDDVEVTLDKVMMLFRYLQEKDVFEKYYKQHLAKRLLSGKTVSDDAERSLIVKLKTECGYQFTSKLEGMFTDMKTSQDTMQGFYGSHPELGDGPTLTVQVLTTGSWPTQSNVTCNLPAETSALCEKFRSYYLGTHTGRRLSWQTNMGTADLKATFGKGQKHELNVSTYQMCVLMLFNNADRLSYKEIEQAAEIPAPDLKRCLQSLALVKGRNVLRKEPMSKDVGEDDAFFVNDKFSSKLYKVKIGTVVAQKESEPEKQETRQRVEEDRKPQIEAAIVRIMKSRKQLDHNNLIAEVTKQLQSRFLANPTEVKKRIESLIERDFLERDDTDRKLYRYLA >OIW18902 pep chromosome:LupAngTanjil_v1.0:LG01:19297029:19302592:1 gene:TanjilG_25345 transcript:OIW18902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDVFRLFKLNTGAHIPSVALGTWRAEPGVVAHAVATAVKIGDGLKKLFADGVVKREDMWITSKLWCTDHLPEDVPKAFDRTLRDLQLDYLDLYLIHWPVSMKNGFLTKPDIPSTWRAMEALYNSGKARAIGVSNFSVKKLQDLLDVAHVPPAVNQVELHPSLQQPNLHVFCKSKGVHLSGYSPLGKGPGRTNILKNPVLHEAAEKLGKTPAQIALRWGLQMGHSVLPKSTNVTRIEENFDLFDWSIPEDLLDKFSEIKQASHFMQFFGYLICYNSMSFSLCSYTNLIAKRERLVTGESFVSETSDGYKTIEELWDGEF >OIW18032 pep chromosome:LupAngTanjil_v1.0:LG01:31022353:31023975:-1 gene:TanjilG_07616 transcript:OIW18032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSEKEASWSRYSKQVKVGDIFEAKVSCIEDYGAFVDLRFPDGLYHLHGLIHISEMSWDLVDNVRDILTECDVVRAKVVRVDREKSRISLSIKRLEEDPLLQNLGTVVPQNGLACPNSPNFRGGGNRSRILPLPGLERILEELLQEDGIDDARIRRHGFEKRAVSKDLQIWLSDERPANRRINLLARAGKQMQEIQLITSLDQEGIQRALQRVFERIP >OIW17604 pep chromosome:LupAngTanjil_v1.0:LG01:36095146:36096261:1 gene:TanjilG_28954 transcript:OIW17604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDSVSNAETTSITQPISPKPEPQPQLQPSSMILSGKEESLSSILSQPLNNPNPNHRTLCDYGLSVGPGGFRLVDSSLNPVPSPNHRTLRDYGLSVGPGGLRLVDSSLNPVPSPNHRTLRDYGLSVGPGGLRLVDSSLNPVPSPNHRTLRDYGLSVGPGGLRLVDSSLNPVLSFGSLSLDPNPTQIVFSHGLGFSKLDDVLTEKPVELVEGSEKKEKAKVTFQLLEGTKGSVSGSDVSAEGEALGLCGEESNLKTKEDEGEGKKTWNLRPRKEKKVAKSGTTNGSGGRRSVVQDSSQTKMPTRTRTPRSANSTQGSGPSVFSLTLTKSEIEEDFLKMTGQLPPKKPQRRSRNVQKHIDVTIQFNLDFINF >OIW19405 pep chromosome:LupAngTanjil_v1.0:LG01:3056770:3059487:-1 gene:TanjilG_09425 transcript:OIW19405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDDGKPSPETASSTSQTASSESLQYSQVPIFSNSVAPFPPAYYYQMFPAAMYPYPGLNPSQNHESENRRGAGVYAVPTYPYNCHVTGIPYNTLIPLTYTTPTRPSSEGATIGENQGQASQQQQPQQQLPAPQRQVVRRFEVVIRIDLFLMLKLAAMIFMFSDGSWERLVVLVLFASLVYLYQTGSLTPIIRRLSQAMQRAAAPPQPPRPAPRVQNIPAARPEVENAAPAERQPEAGIGNQPTNDADGAIDNENVAEPGDGNGGNQWWGIVKEIQMIVFGFITSLLPGFHNHMD >OIW18258 pep chromosome:LupAngTanjil_v1.0:LG01:25198225:25198674:-1 gene:TanjilG_20313 transcript:OIW18258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSFQPRGGGPRAACGSAPASTSAEEEVIHDRVLSSSLLPFDNEGFLGEIAVLKGFLRSPNARVTISVKKEAIGAIGRMVEARRTSKI >OIW17642 pep chromosome:LupAngTanjil_v1.0:LG01:35585568:35589503:1 gene:TanjilG_28992 transcript:OIW17642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDFEIRKMMLEANKNVPVAVDDEFLEKENTQMEKEKEDEEHVKNSEEEEEDGDDDDDLVGNGKGPGPVSDDSLMFPVQSIRRKRVRKGQVQYLVKWLGWPESANTWEPPENLSNVPDIIEAFEQSSGSGKQRKRRQTNVFHNAQLKKRQERSNTPYSLRCVTDTTADNHTPSAPLNDLNLTDHCAFPQPVLFADVSENKDDGSSIGKAKVCNGSGSSNLTELSKGNDESDYDPKLSELKAATTNGHGADKPVVQCQEGKVAADSDQIDGQSKGVSVEQVQSDQSRGVRRKKSCSAKKLKEDSHAGDPVTAEKPIGTSASTFEPARTGTADHEGNNSKKKTVPVQPLCNIIKILRPLGCSPTASAENLCVTFMALRSDGTEVIVDNRYLKSHYPQLLIQYYEQRIRYNP >OIW18128 pep chromosome:LupAngTanjil_v1.0:LG01:27706154:27709424:1 gene:TanjilG_22326 transcript:OIW18128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHFIKQNDPSDLFFPGGLFLNSDTFPTSFFSLFPSSSSSSKASTVSCFSWGPTSRTKVVSVFSVIGGGGGGDFLSLSLSFNSRSNEDHQKHGRESRENVEQHEKKKVCEEEEKENNNVGLVSHGSGAINMTKHLWAGAVAAMVSRTFVAPLERLKLEYIVRGEQRNLFELIQAIATSQGLKGFWKGNFVNILRTAPFKAINFYAYDTYRNKLTRMMGNEESTNFERFVAGAAAGITATLLCLPMDTIRTVMVAPGGEALGGIVGALRHVIKTEGFFSLYKGLVPSIISMAPSGAVFYGVYDILKTAYLHSPEGMKRIEHMKEEDQGLNALEQLELGPFRTLLYGAIAGCCSEAATYPFEVIRRQLQMQVSTTRMNAMATCVKIVEQGGVPALYAGLTPSLLQVLPSAAISYLVYEFMKIVLKVESS >OIW18099 pep chromosome:LupAngTanjil_v1.0:LG01:28854134:28855581:-1 gene:TanjilG_01188 transcript:OIW18099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGNTDCHGGLRQGAEHFPTTWLLSRIPSLLFFGSVSSGGDCDSSVMPPQGGGSRWFGFLFFPTLLAVMVDRGGSDIPPNLPMQRLCSYDWWVKYYESLAFGVHIVEAYNIMLNCSSFMVIIQVKCLFSLGTHAKIG >OIW18983 pep chromosome:LupAngTanjil_v1.0:LG01:18216360:18219236:1 gene:TanjilG_23760 transcript:OIW18983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSARSNKVKLRISNENVSPLKIEMNDYQRGRYLQRYAENIDTGGSPMTRYFFAGSPIFGKVSPGGDTFTSPTFGTSKYSRSTKSSGNNSSGNSSFGFRPRFSQSPLSAVKNLEIAPMPAMYGTPVKVDEEVLVMDDIQVRRSSSSSSSRGLSSSTSSWSPPFVKSVTNTESGNCRCNSKLQVSQGRGQLHPTSPSMMLKPELSKSPTSAGSSIHGRFSRLFTDVVIPSKLPSGDWSPLDDDEIEIFLPNGSDKAPTREEVHAYIWSTLNQPTTKKRLPVFEALCKEQEELDFLNHHTWLPPSPEQYSKKSVRGY >OIW18916 pep chromosome:LupAngTanjil_v1.0:LG01:19122551:19124478:1 gene:TanjilG_25359 transcript:OIW18916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGELGFHFEVYRNDEFTVEELKGKNPRGILISPGPGAPQDSGISLQTVLELGPTVPLFGVCMGLQCIGEAFGGKIVRSPYGVMHGKSSLVYYDEKGEDGLFAGLPNPFVAGRYHSLVIEKESFPHEELEVTAWTEDGLIMAARHKKYKHIQGVQFHPESIISTEGKTIVHNFVKLIEKKEADDS >OIW17940 pep chromosome:LupAngTanjil_v1.0:LG01:32380629:32384456:-1 gene:TanjilG_17776 transcript:OIW17940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLKMKSKVSMGFCLTGKKDLHVSTKSKGISKKACSKIVFPDQVAEKNSTIQNWQDVSSRTLVCPKDVASDEPINRKELLNKENSDSQQPQSFSLDSSAVGEVESTNPCTEDIERIVSPDFEPHHVDSQHYTQGDAGRIADTDMLGLSTDENDERRSICDYETCDVSDFFISDVIMASLPFGEDYFDDDNFEIINFLSDYKSYEPTLFDVPDPGMVLPALEDDVNVGSTKDSIKCEETMMVQENGSLYSALCQMKKACNQDSDVKNDCDKTECFDPQLFIENFLELLDVESNGLLGQIPKQSQRRKSVTLVLDLDETLIHSTEEPCDDADFTFNVFYNMKENTVYVKKRPNLHTFLERVSEMFEVVIFTASQSIYAKQLLDILDPDGRLISRRVYRESCIFSHGNYLKDLTVLGVDLTKVAIIDNSPQVFQLQVNNGIPIKSWYDDPLDCALMSLLPFLEILADADDVRPIIAQRFGNKA >OIW19042 pep chromosome:LupAngTanjil_v1.0:LG01:16418469:16421987:-1 gene:TanjilG_10603 transcript:OIW19042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKSARFSSFGLTTAIEFQEPTWSYEHFDIARPQLQGDDLTFPRVCQWDNIKCNQKQQVTSRFNFKELHGDQVIWTLEPTSAELQMEIVKEALKLQGDSTELQLAETCSTNPSTNVCGVDSESQFCISSNDELQRENNANFENQVVEDTPTSLSTYNEENRELEIDLKNLIVEDTPPNLSSYDEVQSEQELNLENLIVEDTPTNSSTADEVGRIVDHTLPKLSFCDDDLRKKNVMLEEEIVELKMKVGHVMEENRHLRRQIQINTELEDQNAELKKEVDLLREENRNLLQSIGSFGDRLERHILDFETNATEETCSPGMSPLNLPS >OIW18100 pep chromosome:LupAngTanjil_v1.0:LG01:28943584:28944855:1 gene:TanjilG_01189 transcript:OIW18100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLAVPNSPLKVDEIQGRGRGMVASRPLKAGQIILRDSPILLYAALPFSTQSLSSSSSALASSSCFCYHCFRTLPPSLQGDSSSPTVLCPSCPHHHFCSVACLSKAQISTHSLWLCQALSHLQANSQLLEQSLERQVQARFLIAAYNLANVSPSDFQILLSLQGSSDDDTIATAQFLHPLISSLCSLSSVNPHNGFSLELTSVLLAKDKLNAFGLMQPFSEDDDQRSVRAYGIYPYASFFNHDCLPNACRFDYVDGGLPGDIHNTDFIIRMIHDVPEGREICLSYFPVNENYSSRQKRLLEDYGFNCNCDRCNVESNWSDNDSVVEDNAEFQEEVMDEDQYENEAMVASDSDNNPQGDSNDFPHAYFFLKYMCDRTNCGGTLAPLPPHGDTPPNVMECNVCGKLKSDDDLDTDEGQDEVPMED >OIW17660 pep chromosome:LupAngTanjil_v1.0:LG01:35434446:35436138:1 gene:TanjilG_29010 transcript:OIW17660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNNLFTLAQVSHHNHSKDCWLVIHGKVYNVTKFLDDHPGGDDVLLSSTGKDATNDFDDIGHSTSAVAMMDEFYVGDIDTSTIPSKVQYTPPKQPHYNQDKTPEFIIKVLQFLIPLFILGVAFGIRFYTKSA >OIW19635 pep chromosome:LupAngTanjil_v1.0:LG01:743979:745384:-1 gene:TanjilG_18445 transcript:OIW19635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVLGIVLVGFVLSVGSCVYANGDGWVEAHATFYGGGDASGTMGGACGYGNLYSQGYGTETAAISRALFGNGESCGACFEIKCVNDQRWCLPGSIMVTATNFCPPNNALPNNAGGWCNPPLQHFDLSQPIFQQIAHYSAGIVPIAYKRVPCQKIGGIRFTINGHSYFNLVLITNVGGAGDVRAVSIKGSRTNWQPMSRNWGQNWQSNSYLNGQSLSFKVTTSDGQTSVSYNVVPASWSFGQTFTGKQFP >OIW18177 pep chromosome:LupAngTanjil_v1.0:LG01:26600125:26600304:1 gene:TanjilG_31297 transcript:OIW18177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATMNSSVLACSYTISSAGCNDLNAKLTSIPSVVSIPLSGKKLPMIKAQKARVPQCKES >OIW18472 pep chromosome:LupAngTanjil_v1.0:LG01:20510673:20514447:1 gene:TanjilG_13224 transcript:OIW18472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMEEPSQVKRALIDSSAGAISGGISRTVTSPLDVIKIRFQVQLEPTSTWALLRRDLVTPSKYTGMFQASRDILREEGVRGFWRGNVPALLMVMPYTAIQFTVLHKIKTLASGSSNTEDHVGLSPYLSYVSGALAGCAATLGSYPFDLIRTILASQGEPKVYPNMRSALIDIIQTRGFQGLYAGLSPTLVEIVPYAGLQFGTYDTFKRWAMAWNHFRYSNTTADDSLSSFQLFICGLAAGTCAKLVCHPLDVVKKRFQIEGLQRHPRYGARVEHRAYRNMFDAMQRILQFEGWAGLYKGILPSTVKAAPAGAVTFVAYELTSDWLETLS >OIW17868 pep chromosome:LupAngTanjil_v1.0:LG01:33389693:33395571:1 gene:TanjilG_14114 transcript:OIW17868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGEEKRHQMMQNLFGDQSEEEDEEEDEEIAPNPEPNSSGDGEGEGEGEGEGEGEGESHGGEVEMENENEGGRYQSSEEVEAGDDQREESEEARGEDTDSDGKDDGYSQRGVTSKHRGVVESESERDNDDEENGEVRSASGSPRDDKDQARDLDSVPEIRDVFGDFDDEEGEDGYAVQHDIEHDSNRSPMEEEGSYGKNLRPEDVLADEDRQYMSEEENIEIKLKEKPLGPPLELEIPLQPPPAPPENMNMIKVSNIMGVEPKPFDPKTYVEEDTFVTDESGAKRRIRLENNIVRWRTVRNPDGTTSCESNARFVRWSDGSLQLLIGNEVLDISVQDAQHDQAHLFRRHGKGILQSQGRLLKKMRFMPSSLSSNSHRQLTALVDSRHKKVYKVKNCITDIDPEREKEEKEKAESQSIRASVLLNRKREKVSRKYPPTVDRRRQLSPGFLDDEDDETNYHDSRRSQHRFEDDLELEALAEKRIMNAKKSQGLRDIPRKSSFTPAKSSRRPMEYSDDDREESEYETDGEEDERPISRKRNEDTEPEYEDDEEEEEHYEEEAQVNDASDEEEEPKRKNKEIRGSVKRKGIESDEDSPPRKTLTRRKVVVYDSDEE >OIW19535 pep chromosome:LupAngTanjil_v1.0:LG01:1858188:1861571:-1 gene:TanjilG_06990 transcript:OIW19535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLSTLNLSSVKENLMFPNNTASPHFLTLKRQLSSLAATSNNIKQKRNSSIARIEADLAEARAAIRKAIQMRNFTSDKKEIYVPKGNVYKNSYAFHQSHIEMLKRFKVWTYKEGEPPLVHDGPMVSIYSIEGHVMSEIENGLFSAHHPDEAHAFMIPISVAHIVHYLYNPLTTYSRDELMRVIVDYTNIIANRYPYWNRSIGADHFLASCHDWAPDVSRHESGKVLFKNMIRALCNANTSEEFNPEKDISIPEVHLKHFKLRAPLKNLNKRTQLAFFAGGSHGIIREILLQHWKDKDEEIKVHEYLPKGVDYDALMEKSMFCLCPSGYEVASPRLVEAITKGCVPVIISDHYYLPFSDVLDWSKFSLYIPSEKISEIKTILKNVPHEKYLKLQKGVMKVQRHFVLNRPAKEFDVIHMILHSIWLRRLNIKIPTID >OIW19532 pep chromosome:LupAngTanjil_v1.0:LG01:1894472:1903258:1 gene:TanjilG_06987 transcript:OIW19532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFVFGMVMGIVVGLAIIVVFIRSEISRSIQRSQLATTVAAFARMTVEDSRTILPSQFYPSWVVFSQSQKLNWFNSHLTKIWPYVNEAASELIKTSVEPILEQYRPFILSSLKFSKLTLGTVAPQFTGVSIIEDGGEGVTLELEMNWDGNPSIILDIKTLIGVALPVQVKNIGFTGVFRLIFKPLVDEFPGFGAVSYSLRQKKKLDFTLKVIGGDMSTIPGLSNAIEAASELIKTSVEPILEQYRPFILSSLKFSKLTLGTVAPQFTGVSIIEDGGEGVTLELEMNWDGNPSIILDIKTLIGVALPVQVKNIGFTGVFRLIFKPLVDEFPGFGAVSYSLRQKKKLDFTLKVIGGDMSTIPGLSNAIEEAIRDAVEDSITWPVRKVIPILPGDYSDLELKPVGILEVKLVQAKDLTNKDFIGKSDPYAVLFVRPIRDRTETSKTIDNDLNPIWNEHFEFIVEDVSTQHLTVKVHDSEGLGLSDLIGCAHIRLSELQPGKVKDVWLKLVKDLEIQRDNKNRGKVHLELLYYPFGMENFTNPFAPSYSMTSLEKVLKSSNNGIKSNGNENRTTQKKKKAIIRGVLSVTVISGEDLPATDFMGKSDPFVVLTLKKAGTKNHTRVVNDSLNPVWNQTFDFVVEDGLHDMLLVDVYDHDTFGKDYMGRVILTLTRVILEGEYKERFELDSAKSGFLNMHLKWMPQTIYRDS >OIW19612 pep chromosome:LupAngTanjil_v1.0:LG01:602669:606275:1 gene:TanjilG_18422 transcript:OIW19612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKMGTVACVFFVILTSVFCPYSLALTLDGVTLLEIKSTLNDTRNVLSNWQELDESPCTWTGITCHLGEQRVREINLPYMYLGGIISPSIGKLSRLQRLALHQNGLHGIIPNEITNCTELRALYLRANYFQGGIPSSIGNLSFLNILDLSSNLLKGAIPSTIGGLSHLQLLNLSTNFFSGEIPDIGVLSTFGNNSFIGNSALCGRQVQKPCRTTLGFPVVLPHTESDEAEGKSSPYTKVVIIGAMSLMGLALIVILSFLWIRLLSKKERAVRKYAEVKKRLDPKTSTKLITFHGDLPYTSSVIIEKLESLDEEDVVGSGGFGTVYRMVMNDCGTFAVKRIDKSREGCDQVFERELEILGSIKHINLVNLRGYCRLPTSRLLIYDYLAMGSLDDLLHENTEQVLTWSDRLKIALGSSRGLAYLHHECCPKIVHRDIKSSNILLDENMEPHISDFGLAKLLVDEDAHVTTVVAGTFGYLAPEYLQSGKANEKSDVYSFGVLLLELITGKRPTDPSFVKRGLNVVGWMNTLLKENRLEVVVDKRCIDADVGSLEVLLDVAARCTDANADDRPSMNQVLQILEQEIMSPCPTHIQIILK >OIW18861 pep chromosome:LupAngTanjil_v1.0:LG01:19713204:19721798:1 gene:TanjilG_25304 transcript:OIW18861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTLTKRLHALTPFLFRGPNHLRSLRTDTTAGGSGGHRRRHKSPPLPMKKLDDRSEWWIVDGEMHEIGEQVPLRERFVIPRENIPNKRRKQLREQFMRRTRLVIKESEHDPWCKKYMELYNELRENWERLYWEEGYSKKLGQDHANYESAEDDNEDFSPYRSRRSPMEHSKYDPAEFSVFTPVGSTSSYMIISSALQLQFKGQNGFAGPSSKTQAYFAAPDSYLSQARYPDSGARNHVTVDPPKHNPEDSSTGFAKRKLDDSVFLGNRLQVSYAPQFESHSDTKDKLEGRRREVLARLNPRRSKETTTSSSRPPIKSEAVAISSQTSCLSGNLGPNERSDPVASILKLDLHRRKHESIIGEGFNKSVKFEGGM >OIW18739 pep chromosome:LupAngTanjil_v1.0:LG01:22629287:22631686:-1 gene:TanjilG_13491 transcript:OIW18739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGAQYNPRTVEEVFKDFKGRRVAIIKALTTDVDQFFQQCDPEKDNLCLYGLPTEQWEVNLPAEEVPPELPEPALGINFARDGMQEKDWLSLVAVHSDAWLLAVAFYFGARFGFDRADRKRLFTMVNDLPTIFEVVTGTAKKQTMEKSSISNVTGNKSKSGSKGRGSESGKYSKLAEEEEEVLVEDEEEVLVEQDEEHGETLCGACGENYATDEFWICCDICEKWFHGKCVKITPARADHIKQYKCPSCSNKRARP >OIW19293 pep chromosome:LupAngTanjil_v1.0:LG01:5549572:5551203:1 gene:TanjilG_20418 transcript:OIW19293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIAPALSETMVIAPSGTQEFNCPDDVYILDQAEEEGIDLPYSCRTGSCSSCVGKVKQGKVDQTDGSFLDDEQVDEGFVLTYVAYPQSDVVIETHKEEELTGTQKFNCPDDVYILDQAEEEWIDLPYSCRASSCSSCAGKVKHGKVKQGKVDQTDGSFLDDEQVDEGFVLTYVAYPQSDVVIETHKEE >OIW18348 pep chromosome:LupAngTanjil_v1.0:LG01:23831212:23833216:1 gene:TanjilG_31488 transcript:OIW18348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNSLSKNTESLTQLNSPHTPLQVGSSPRSDAGDPHSPPLTFHSPENSPVNHHTDNSRAITILGNSPQRFPPQSPSPSPLPVSNHHTEPENALPPEFVVNRAMRQEPQPNATNFDRPAGRGRQGGEDGGGGRGRGRATVVSPVRTKSVGTGITSKAALGFRLSEVVLCLISFSVMAADKTQGWSGDSFDRYKEYRYCLSMNVIAFAYAGFQACDLVYQLVTGKHMINHHLRYHFDFFMDQASQEYYIYNQWATFVVLAYLLISASSSAATRVDDWQSNWGKDEFTEMASASIGLSFLAFIAFAISSLISGYNLSTVYP >OIW18867 pep chromosome:LupAngTanjil_v1.0:LG01:19643133:19643726:-1 gene:TanjilG_25310 transcript:OIW18867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDRGRRITLYQHMTAGETTRRHSLSSLFSHDVVLPQTTTSLSDIIKQDQDNANNVKDRKYWKAFKHKFRLKRTEPVQSSTIHNNTTIPIPIPIPEQQNDVVEVDSNNVEGTDDVAVGGTGNMLVMDLLEERDIEEEEEESEVENSNGCCVCMVRDKGAAFIPCGHVFCTMCCNQIWVSSRICPLCNHFIFQILHLF >OIW19471 pep chromosome:LupAngTanjil_v1.0:LG01:2362877:2364974:-1 gene:TanjilG_09491 transcript:OIW19471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVFSDRLGIYRLPQHKYVDAVRWLPLLSAFDRFAVLALFDSDSDSYSIEIHSFKPNPLPLQPQYSWVSPSRISSLKASQFLQKTIIAASTFSGSLHVLFSDSTDASLESEISIPEGALHSVPVSCIDLMDSGGECVTVGEDGKVNLVSIGNSNLNYRRLFDSSGLVSYTAAKWASPVEFATGGYGFSLQWWDQRKPGGPVSQFKGDWGQKLTSGIVHSIDIHPSRKHTCLAGGSLGTVFAWDLRWQKQPITLSGAGAGAGNTAVQSISESEVWEVQYDRCMKSNTSSTHILPSMICSEDGILAVIEQGEEPIELLAEPCAINSFDIDRHNPSDVICSLEWEAVAILTRQ >OIW18429 pep chromosome:LupAngTanjil_v1.0:LG01:20254311:20255133:1 gene:TanjilG_13181 transcript:OIW18429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVVLKTLKARTIYILFLASFVAFVSALLLVRDSSIYKVGERDANIKEMLWYKGNRRVMTTRKLLQSSGDGVGRGCSKEDIRIYEGQVDPLPSGIPSYTVDIVNMCGNDENNNDCNIADIRVHCGWFSSARLINPKVFRRIGYDDCLVNDGEALAPGTAISFQYANTYSYPLSVSSVQCL >OIW17686 pep chromosome:LupAngTanjil_v1.0:LG01:35218290:35220639:-1 gene:TanjilG_29036 transcript:OIW17686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWHYYFIFTVITILIATTSFSYAQECNGVLLSYVYTTGERLPPKVSDAAKQPYRFESTVTLLNNGLDELKSWKVFVEFQHNEFLVSATGVVLADGTTLPAAVGNGTVFAGYPKTDLKTAVETAGDLTQMQVQIDLVGTVFGVAPPDTPLPASLKLANDGFKCDKTDGQGDSGINVCCTKDPKFKTNVTTNDKFMQRQNGDLTIMYDVIRTYESNYWAEVTIANHNRLGRLDNWKLSWDWMNDEFINAMRGAYPSVIDVSDCVFGKKGMQYKDLDFSNVLNCQKRPTIIDLPATKYNDTDLGKIPFCCRNGTILPPSMDISKSVSRFQMQVYKMPPNLNRSELSPPQNWQINGTLNPTYKCGPPVRVSPTANPDLSGIPSYKTVMASWQVVCNITKAIGTASKCCVSFSAFYNESAIPCKTCACGCPSNRGKTCSATAPAMWLPPDALLVPFANRSTKATAWADLKHLRVPNPMPCGDNCGVSINWHLNTDYAKGWSARITLFNWGDTNFADWFAAVQMDKAAQGFEKMYSFNGSSLKGVNNTIFMQGLPGLNYLVAEVDGANPLKDPRVPGKQQSVISFTKKPTPGINVAHGDGFPTKVFFNGEECSLPSVIPSSGSRKVFSWATLMLLTLVLIILKQQ >OIW19760 pep chromosome:LupAngTanjil_v1.0:LG01:116847:120278:1 gene:TanjilG_27299 transcript:OIW19760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAETGSSKFDLPEEIVQLLPLDPFQQLDVARKITSIALSTRVNDLESESCELRAEIAEKDNLIADLQAQVESLDASLSQIADNLVRIDQQKESLVKENASLSNTVKKLNRDVSKLGAFRRTLMQSLQEDEDNSGGARDIVAKVQSQSSLSSTSQIGDDDASLPPSKSSSVRAYISDTGNSLTEDHEYDAIRPRVPYNLLLASQTTTPRLTPPGSPPSLSTSVSPMRTSKPVSPRRHSISFSTSRGMYDDRSSVFSSVSSSHGSISTSEIGTGSQGGRSRVDGKEFFRQVRSRLSYEQFGAFLANVKELNSHKQTKEETLQKADEIFGPENKDLFAIFEGLITRTVH >OIW18052 pep chromosome:LupAngTanjil_v1.0:LG01:30307513:30308399:1 gene:TanjilG_07543 transcript:OIW18052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSKQKKNRNVNSNRFLIRINVLGSAGPIRFVVNETELVTEVIDTTLKLYAREGRLPILGNDINGFYLYSTHVESDALIPWDAIGSHGVRDFMLCKKPQSSTPTSENGTSSLSRRGSWSLRGWLNKSLKLKISSH >OIW19499 pep chromosome:LupAngTanjil_v1.0:LG01:2184384:2191764:-1 gene:TanjilG_06954 transcript:OIW19499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVVFDCCFVTEAWNEDKYKVYMDGIVGQLRENLTDASILVFNFREENSKSQLANIMSDYDITIMDYPRHYEGCPILKMELIHHFLRSGESWLSLGEHNVLLMHCERGGWPVLAFMLAALLIYRKVCTGERRTLEMVYRQAPHELLHLLTPMNPVPSQLRYLQYVSRRNVTLDWPPLDRALMLDCIILRFIPNFDAQGGCHPVLRIYGQDPFSADKKTKMMYSTPKRTRNVRAYKQGECKLIKIDINCHIQGDVVIESINLSGDMEREIMMFRVMFNTAFVRSNILMLNRDEVDILWDTEDHFPKDFRAEILFSELDAAAAVTADGTSCFDEKDGLPVEAFAKVQEFFSHVDWLSPKADAALNVLQQLSVAVIMNNKLDRVSDQSSSSSKQSPDKDIMSRKKDKINKVDATRQQPSTSDVVCQETPSASGRTSASSKCLTCSTNIDKKPQACDTALSGFVDTALSPETPPLQPPSTTCAKDFDDSPRHTESLPHHILSSKTRQHPVTEEAKAQPQDRSQSSISSSISGTQSSSTCHSKLPADAISHSSTSAITSIQGTRSLSPKTPAKKIPPVRTRPEPSPSQPPPPPPPPPPTPLKDHKPVRARPPPPPPPPLLSGKASGVALAQPPPSSNCFNPSFQQFSPAPAAPAVPPPPVPFGKGGLKSGSACPGSISVGGNGNNVSATTGPQSNLPSGSKGRILSRTISSKNNTKKLKPLHWQKLPRAVQGSLWDETQKSGKASNAPEIDMSELENLFSASVPSSDPAKKSNIRSSVGHKYEKVQLIEHRRAYNCEIMLSKVKVPLHDLMSSVLALEQSALDTDQVENLIKFCPTKEEMKLLKGYTGEKEKLGRCEQFFLELMQIPRVETKLRVFSFKIQFNSQIRNSVKLKRIMQTILSLGNALNQGTVRGSAIGFRLDSLLKLTETRARNNKMTLMHYLCKVLADQLPEVLDFSKDLANLEPAAKIQIKFLAEEMQANLKGFLSSAEAEVRSLASLYSGVGRNVDALILYFGEDPFRCTFEQVVTTLLNFTRMFNKAHEENCKQLELEMKKTKESEKKKCESERILPTTVSTGNVK >OIW18386 pep chromosome:LupAngTanjil_v1.0:LG01:23432208:23433483:1 gene:TanjilG_31526 transcript:OIW18386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVFTFNEENISPIAPAKLYKAFTKDSDTIIPKVVEPIQSIEIVEGNGGPGTVKKLNIVEGGKTGYVLHKIDSIDEANFGYNYSIIGGTGLEEILEKVSFETKLLPGPNGGSVGKVTVTYHTKGDAPLSDELREGSKAKGTALFKAVEGFVLANPDY >OIW19233 pep chromosome:LupAngTanjil_v1.0:LG01:7048123:7051536:1 gene:TanjilG_20358 transcript:OIW19233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSNEYSILHNDQLSKFSSSGEEVLQLFQLWQKEHGREYGNPEEESSRFKIFQRNLLYINEKNAKRKSLLQYHLGLNKFADMSPEEFKKTYLHEIEKPSKWDNKKVHDDEDDESCENLPSSVDWREKGAVTEVRDQGNCQSHWAFSVTGAIEGLHKIITNKLVPLSVQELVDCDPASKGCAGGYYFNAFGYVINNGGIDTEADYPYIAKNGTCKENANKAVSIDNLLVLDGSEEALLCRASKQPVSVSLDATGLQSYTGGIYDGEFCSKDSRNTNLVVLIVGYGSEDGEDYWIVKNSWGKGWGDKGYLLLKRNVSSEWPYGVCGINGLAGYPIKEVSSFSST >OIW19556 pep chromosome:LupAngTanjil_v1.0:LG01:1685459:1686629:1 gene:TanjilG_07011 transcript:OIW19556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILRSASTPILNSCIPHSKDSSPESETLHIPRTRSITLSASSTSLLSPIEGSVNRMTRALSETDLSSVPNRKIFNEEESKGFSACSRTVSFGNALFSFSEVEEEEGEVEGGGGDRGGWNNNDGGSSGFWDSNNGNDSTDLYYRTMIEANPGNPLFLSNYARYLKEVRADYVKAEEYCGRAILINPNDANVLSMYADLLWQIHKDAPRAETYFDQAVQAAPDDCYVLASYAHFLWDAEEEDEVEDSSVKSPSLFHGAAVAAS >OIW19753 pep chromosome:LupAngTanjil_v1.0:LG01:1598912:1599940:-1 gene:TanjilG_18563 transcript:OIW19753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISDGVLKKIIISYTYVAIWIFLSFTVIVYNKYILDRKMYNWPYPISLTMIHMAFCSSLAYLLVRVFKLVEPVSMSRDLYLRSVVPIGLLYSLSLWFSNSAYIFLSVSFIQMLKALMPVAVYSIGVVFKKETFKSEVMANMVSISLGVAVAAYGEAQFDAWGVTLQLLAVAFEATRLVLIQILLNSKGISLNPITSLYYIAPCCLVFLSIPWLIMEYPSLRDNSSFHLDFVIFGTNSTCAFALNLAVFLLVGKTSALTMNVAGVVKDWLLIAFSWSVIKDTVTPLNLIGYGLAFLGVAYYNHSKLQALKASETQKKAQQADEEAGRLLEERDEERKNENQN >OIW19518 pep chromosome:LupAngTanjil_v1.0:LG01:2002158:2003449:-1 gene:TanjilG_06973 transcript:OIW19518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRTLLQVRRYVYHDVVRLEDLQKLIDCSNVQAYTINSAKVVFIKKRPQNRQFKGSGNYCISCDRSLQEPFIHCSLGCKVDFVLKHYKDLSPFLKTCNSLQLGPDFLIPQDMIGEDEMTRSSSTIVDCDEPMSSYSGSSGSENMSTMTCTTEVMRKRRSGWSVCARLMTNNNKVSDEDMATSMSRRKGIPHRSPMC >OIW18875 pep chromosome:LupAngTanjil_v1.0:LG01:19569999:19573505:-1 gene:TanjilG_25318 transcript:OIW18875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVIVDQWNHAENEVEELVLQRKGGIQSCSHTIDTDVEGVGLKQEINIILPASDSPVVEDYTSLNIVRSEIERVGIIEENDDERQEGYMERVFDIAPTHAFYCPNCNSCIRKVYIQREEWEQALTETIRCPSCFSFVIPFLAPIPSGVQSLLDQEVASDNALEILKSIVYGGLTQSLTSLILVTSASSADATILGIVGLALANLIGGLFIFVTNLLELKGEDPNRGKNQIEAPVDRYKELLGERKDFYVHAFIAIISFIVFGLMPPLVYGFSFHENGDKDFKLAAVVSVSLLCIILLSIAKAYTQKSNTFVEYFKTVIYYVSSGVVGSVLSYLAGYIVKKLLEKVPWFELSSNFGLHVSGMSMQKTEWSSY >OIW18239 pep chromosome:LupAngTanjil_v1.0:LG01:25654572:25660997:1 gene:TanjilG_06323 transcript:OIW18239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEKGECSGIKPSHDEIWAKLVPSDSRYSDVEIRSDEKVICSEISAAFSDKHSWCKIIRNSDLCSATVENKSPNTILVDGAEVQNENTVVIKDGSEIIPGPDREGFELGLAKAVKLILQYVKYLRFVSYRFDILSSPEISQRQLQICVDVENAKCSICLNIWHDVVTVAPCLHNFWQGSYPFLKWLRRSQENHSNVLCPHCRGVVQFVGKNHFLRTIAEDMLKADSSLRRSDEEVALLDTYSSVRSNLVIGSGKKNRRKRAHTPVDDQSDGTYLPCPQCVNEVGGFHCNNNTVHLQCQACGGMMPSRTDFNIPQYCSGCDRSFCGAYWHALGVTGSNSYPICSRDTLKPISEHSISRIPLLAHENNLHEQNITDSCIRHMERTLQDVVSEWIVKLNNKEIDVTRLVLNHAETINASTSVCCDCFQKLVSFLLYWFRISIPKYKHQQEKIAGMDMHVGHSIIVKNMLVKGIMCVVQQEAPICDHRENNICTDSSRAF >OIW17839 pep chromosome:LupAngTanjil_v1.0:LG01:33782772:33784010:-1 gene:TanjilG_02467 transcript:OIW17839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLDNYRSILDNASVDIWLLIDAALAVASVDYTDEFKRRREGIVERIYTTTSASPPCRNCVANNNAETEKIVEEELNPHGGLFNDDENKKKILEIKQQLEYTNQSEDTLVELLHNLDDIDITFQALKGTHIGSHVTYDEDSPQQKTPHNGHHQSLDFVCSTNPRCEYSI >OIW19154 pep chromosome:LupAngTanjil_v1.0:LG01:10307581:10311112:1 gene:TanjilG_21010 transcript:OIW19154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEDFEFPAANNIEDEEMEIPEDEDTVSSMMKVGEEKGIGNNGLKKKLVKEGEGWDNPGSGDEVEVHYTGTLLDGTQFDSSRSRDTPFKFKLGQGQVIKGWDEGIKTMKKGENAIFTIPPELAYGESGSPPTIPPNATLQFDVELLSWTSEKDICKDGGILKKIVTEGEKWDNPKDMDEVFVKYVARLEDGTVISKSDGVEFTVEQGYFCSAMAKAVKTMKKGEKAFLTVKPQYAFGENGRPASGDEGAVPPSASLQIELELVSWKTVSDITKDKKVLKKTLKEGEGYERPNDGAVAQVKLIGKLTDGTIFLKKGYDDEQPLEFKIDEEQVIDGLDRAVKNMKKGEIALVIIQPEYAFGPSGSPQELATVPPNATVYYEVELLSFVKEKESWDLNTQEKIEAAGKKKEEGNALFKSGKYERASKRYEKAIKLIEYDSSFSDEEKQKSKVLKITCNLNNAACKLKLKNYKDAEKLCSKVLELDSRNVKALYRRAQAYIHLVDLDLAEMDIKKALEIEPDNRDVKMEYKILKEKVREYNKKDAQFYGSIFAKMTKVEQPKAAATKQESVPMTIDSKA >OIW19766 pep chromosome:LupAngTanjil_v1.0:LG01:76872:80680:1 gene:TanjilG_27305 transcript:OIW19766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPDQRKKSSVDVDFFTEYGEGSRYKIEEVIGKGSYGVVCSAYDTHTGEKVAIKKINDIFEHVSDATRILREIKLLRLLRHPDIVEIKHILLPPSRREFKDIYVVFELMESDLHQVIKANDDLTPEHYQFFLYQLLRGLKYIHTANVFHRDLKPKNILANADCKLKICDFGLARVAFNDTPTAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAELLTGKPLFPGKNVVHQLDIMTDFLGIPSQEAIARIRNEKARRYLSSMRKKKPVPFSQKFLNADPLALRLLERMLAFEPKDRPSAEEALADPYFKGLAKVEREPSAQPVTKMEFEFERHRVTKEDVRELIYREALEYHPKMLKEHLEGEEPTGFMYPSAVDHFKKQFAYLEEHYGKGGTVTAPERQHASLPRQCVLYSDNSRQNTSEVADDLSKCSIKEVERPAIDRSGAIPFTRIPSQAPQNIQGVAARHGKVVGSALGYNNCGVAMTSEADQRRTVQNSSVSAQYAASSCSYPRRNPSCKNERAENNGIEGSTGLQPKPQYIARKVAAAQGGAGGNWY >OIW18198 pep chromosome:LupAngTanjil_v1.0:LG01:27019360:27022475:1 gene:TanjilG_31318 transcript:OIW18198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSRKEKNSSWLSVPQFGDWDQKGRVPDYSMDFSKIRETRKQNKTNISRASIGNEEEFINPTATVVNTARTPEHHHPHHYDQTHSPATRRSFFSYFNCCVKA >OIW18647 pep chromosome:LupAngTanjil_v1.0:LG01:21872232:21873835:-1 gene:TanjilG_13399 transcript:OIW18647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGLSDHLPVIGMIGLQIHYAALAIFTRAALLDGLSPTVFVVYRQGIATLTLVPMAFSSKRRQSLKISMGLRSFFLMFATSLIGVTANQNAYFKGLYYASSTAATAMSNLIPALTFIMAAIVGFEKIGLRSLRNIAKILGTVCCVSGALTMALLKGQKLLHMEFVPSTYLTASESDNWQLVSFFIQTWCISERGPLYCAMFNPLATVITALITVTFMHEELYVGSLVGAIGVIAGLYIVLWGKAKEIEEIKQEKQSNLQDDKIISSSIDLEEPLLSENSENVAEAKIEP >OIW19641 pep chromosome:LupAngTanjil_v1.0:LG01:786888:798027:-1 gene:TanjilG_18451 transcript:OIW19641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFRRFFYRKPPDRLLEISERVYVFDCCFSTDVLEEDEYRVYMGGIVAQLQDHFPDASFMVFNFKEGERRSQISDIFSQYDMTVMEYPRQYEGCPLLPLEMVHHFLRSSESWLSLEGQQNVLLMHCERGGWPVLAFMLAGLLLYRKQYSGEQKTLEMVYKQAPRELLHLLSSLNPQPSQFRYLQYISRRHLGSEWPPSDTPLYLDCMILRDIPLFDDGKGCRPVVRVYGPDPSKPANRSSELLFSTSKSKKHIRHYSQAECMLVKIDIHCHVQGDVVLECIHLNKDFTHEEMIFRVMFHTAFVRSNILMLSRDDIDILWDVKDQFPKDFKAEVLFLDADAVIPDLTTVTVSEDANETESAETESASPEEFYEVEEIFSNVIDAQEGKGEYDSFAFLNNAVDDENNKEVWKDEVDPHSFLDYPPDEGSNKQAGKLDSVINEVKDISVDDVKYRTNESMDPDNDAVKDIAVDEGENKSTSTALASDKILEATEFTLDVHGELTLQQNKYDEDDEATEKELDFKAGQQMPDLSRPESGKLLPFAVKKQLPSNSKPLGDTVSTKPKIKQESPGFQVKQAKPNAVTRWIPSNKGSYTSSMHVYYPPSRINSAPAALSKFTASKEKLEDSKARSLSAPHVSAAVVSVDMTNDLKSRKVDTSKSSGHIGAEVDANCLPSSVLSVKETCLQSAVETQVSSEQQFPPLPPPPPPPPPPPSHARNSSLDVFEPLSLQDDASPQVPPPPPVSPSSSLGGNVSTVPPPPPPPPTLTSFTGQNVGVNLQTATSLPPPPPSPWKSLYSSIAIVEETSGSLPLPSYISDNLSKVSEVVTVVAPPPPPSSTPPKHGIPPPPPPPPLPTFSTHRAPPPPPPPPIASHKAPSTPLPLAPSLPLPPFSNVPKFPPPPPPPPPPPPYSSAPPPPPPPPPPYSSATPPPPPPYSSATPPPPPPPPPTYSSATPPPPPPPPFNKAPLPPPPPPPPYNSAPPPPPPPPFSSAPPPPPPPPYSSAPPPPPSPPFGRAPPPPPPPPFSTAPPPPPPPPFGRASPPPPPPPFGRAPPPPPPPPFGRAPPPPPHTSAPPPPPPPPFGRAPPPPPPPPYSNAPPPPPPPPFGRAPPSPPPPPPSSRAPPPPPPPPMSGAPPPPPPPARGAPPPPPPPGGRGPPPPPPPGGRGPPPPPPPGGRGPPPPPPPGAPGAPPPPRLPGGAPPPPPPKGANVGADPRGRGRGGYARPGGPGAMTAPKRSSLKPLHWSKVTRALQGSLWEELQRHGEPQIAPEFDVSELEKLFSANVPKPAESKTARRKSAGSKPEKVHLVDLRRANNTEIMLTKVKMPLPDMMAAVLAMDESVLDVDQVDNLIKFCPTKEEMELLKGYTGDKENLGRCEQVTEFKKSLNTVNSACEEVRNSVKLKEIMKKILFLGNTLNQGTARGSAVGFKLDSLSKLTDTRATNNKMTLMHYLCKVLAEKSPGLLDFHLDLVSLEPSTKIQLKSLAEEMQAISKGLEKVKQELAASVNDGPVSDIFRKTLKGFIDVAESEVASLTSLYSVVGRNADALALYFGEDPARCPFEQVTVTLLNFVRLFRKAHEENFKQAELERKKAEKEAEMEKAKGVNLTKKSAKNS >OIW19715 pep chromosome:LupAngTanjil_v1.0:LG01:1300009:1301260:1 gene:TanjilG_18525 transcript:OIW19715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSGTIWSYEEEKTFENAIAMHWTEEESKEQWEKIASEVPSKSMEEVKQHYKVLVEDVSAIEAGHIPFPNYAADETKSSSKDFHGSSKASSSDKRSNCNFGSGFSGLGHDSASHSSGKGGLSRSSEQERRKGIPWTEEEHRLFLLGLDKFGKGDWRSISRNFVISRTPTQVASHAQKYFIRLNSMNRDRRRSSIHDITSINNRDVASNQVPITGQHSSTMPSSTVAVGQSVKHRAHQPHHMHAGLGMYGAPVGHPVAAPHGHMASAVGTPVMLPPGPHHPHPHAHPHPSYVVPLAYPMAPPTMHQ >OIW18557 pep chromosome:LupAngTanjil_v1.0:LG01:21106166:21108164:1 gene:TanjilG_13309 transcript:OIW18557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKQILSKLPKKVTKSESPDSSRGDSGNTTSFGNVFQCTNVGSTISSKLNVVKRVSSVVFPSSMNVGVEAVDPCLSFKDVSNTTQKQSLFISKLNLCCKVYDMSDLDKNCTEQDFKRQTLVDLVDFVSSGSVKFTEPAVMALCKMCATNLFRVFPPKFRTSTTGGETEDEEPMFDPSWYHLQIVYELLLQFINYNSLDLKVAKMHMDHAFVLRLLDLFESEDPRERDFLKTIMHRIYGKFMVHRPFIRKSVSNIIYRFVFETERHNGITELLEIFGSVISGFALPLKEEHKMFLCKALIPLHKPKSVGIYHQQLTYCVVQFIDKDQRLASPVIKGLLKYWPLTNSQKELMFISELEEVLEMTSMAEFQKIMVPLFRRISCCLNSSHHQVAERAHLLWNNEHILNLITQNRQVILPLVFSALVNNTKSHWNQAVLNLTQNIRKMLSQMDEELVLACQRKFDEEDSGSSAAAERRRVTWERLEAAAKSDQPVVSGDVIVPVGSSATCSVAC >OIW18814 pep chromosome:LupAngTanjil_v1.0:LG01:20118807:20123749:-1 gene:TanjilG_25257 transcript:OIW18814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRRNPHTDESTTPLLPQQQGNGDDGFIPQIFSSVPALNEAASYLAQTTSYLTGCFSDYSVEHSPRDSSASSIHAQELVPVSSGEAEASSSTEIHHISSNINHLAIAESFNTATSTPPPRHDDIARTSSRDSLLNGSALVESSRSGQSVISIFQSLVNRARRTVLGSADDIGWLQRAPGMPPVEDGTERFLEILDNIKHGVHRLPNSVVYLLIPGLFSNHGPLYFVNTKVSFSKLGLTCHIAKIHSEASVEKNARELKDYIEEIYWGSNKRVLLLGHSKGGVDAAAALSLYWSDLKDKVAGLALAQSPYGGTPIASDLLREGQLGDYVNVRKLTEILICKVIKGDMRSLEDLTYERRREFLKEHHLPGEVPVVSFHTEAGISPAVLATLSHVAHAELPLVAPAGEPTKFPVVMPLGAAMAACAQLLQVRYGEKSDGLVTCRDAEVPGSVVVRPKRKLDHAWMVYSSLNDDPKEGDASQVCEALLTLLVEVGQKKMHEQARKDE >OIW18897 pep chromosome:LupAngTanjil_v1.0:LG01:19340503:19348632:-1 gene:TanjilG_25340 transcript:OIW18897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPLQNGVNHFMMSNSNTDALNSSENKDWTNVDPSRAFVTKVKRLIVKVGTAVVTRSDGRLALGRLGALCEQLKELNSEGYEVILVTSGAVGLGKQRLRYRRLANSSFTDLQKPQTEFHGKACAAVGQSSLMALYDTMFSQLDVTSSQLLVNDGFFRDAGFRKQLSDTVTSLLGLRVIPIFNENDAVSTRKAPYEDSSGIFWDNDSLAGLLALELKADLLVLLSDVEGLYSGPPSDPNSKLIHTYMKEKHQGEITFGDKSRLGRGGMTAKVNAAVCAAYAGIPVIITSGYATNNIIRVLQGERIGTIFHKDAHLWINIKEVSAREMAVAARDGSRQLQVLSSDERKKTLLAMADALEKNISTIRLENEADVADAEAAGYGKSLISRLTLKPEKARLNCLHTGISCLVKSVRMLADMEEPVGQILKRTELADKLILEKISCPLGVLLVIFESRPDALVQIAALAIRSGNGLLLKGGKEARRSNAVLHKVITSVMPDTVGDRIIGLVTSREEIPDLLKLDDVIDLVIPRGSNELVSQIKDSTKIPVLGHADGICHVYVDKAANVDMAKQIVRDSKTDYPAACNAMETLLVHKDLSSNSGLDELIVELQREGVHLFGGPRASALLKIRELSSFHHEYSSLACTVEIVEDVFAAIDHINQHGRHALCMILIFILQIISIIVSKFSQLPCGFCSAHTECIVTEDSEVAETFLRRVDSAAVFHNASTRFCDGARFGLGAEVGISTSRIHARGPVGVEGLLTNRWILRGDGHMVDGDQGVNYTHKELPSKP >OIW19061 pep chromosome:LupAngTanjil_v1.0:LG01:15473398:15473694:1 gene:TanjilG_10622 transcript:OIW19061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGTLIDRAVVLGRWLLVVSSDSSLGFDVFSGCRSSMARTYTIKVDQLVILVGLDKPGGWYGWMDREILGLSSHFSTDDRPNMNILERLANTIALKSP >OIW19372 pep chromosome:LupAngTanjil_v1.0:LG01:3330608:3336875:-1 gene:TanjilG_03506 transcript:OIW19372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIPDAVESGSDLKQCGLRRRNGGLHDSPTEEESSCSEEEVVKESSSDECNGKSDNLNGGDKKEQVSDVPGVEFIYRASFPAHRRNKESPLSSDTIFRQSHAGLFNLCVVVLVAVNSRLIIENLMKYGWLIEFGFWFSLTSLRDWPLFMCCLTLAIFPLAAFFVEKLAQRKYISETVVVLLHIIITTATLLYPVLVILRCDSAFVFGVALMLFACIVWLKLVSYAHTNYDLRAISKSTEKGEAVTITLNIDDTYDVSFKSLVYFTVAPTLCYQPSYPRTPSIRKGWLLRQIVKLIIFTGVMGFIIEQYINPIVQNSQHPLKGNLLYAIERILKLSVPNLYVWFCMFYCFFHLWLNILAELLRFGDREFYKDWWNAKNVGDVGSLSCLISEGVALLIAFLVSALFHELCIAIPCHIFKWWAFIGIMFQVPVVLITNLVQNKFQNSMVGNMTFWFTFCILGQPMCVLLYYHDLMNREGKVR >OIW19078 pep chromosome:LupAngTanjil_v1.0:LG01:13572193:13577294:1 gene:TanjilG_06387 transcript:OIW19078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKLKWSRKKVPLFTLLVLFLLILLAFSTLHSEHSITQFHENPHHVLNHQQASPIYVKPNLSGPDVLDRFSRCNKTVEYSGRKIASNGRGSQRSGHWRVSSERCDVFSGKWVFDNVSYPLYNESNCPYMSDQLACHKHGRSDLGYQHWRWQPHNCNLKRWNVKEIWEKLRNKRLMFVGDSLNRGQWISMVCLLQSVIPADKRSMSPNAPLTIFRAEEYNATVEFLWAPLLVESNSDDPVNHRLDERIIRPDSVLKHASKWEHADILVFNTYLWWRQGPVKLLWSSEANGACEELDGQEAMELAMGAWADWVSSKVDPLKKRVFFVTMSPTHLWSGEWKPGSEGNCYGEKGPIDIEGYWGSGSDLPTMRSVEKILSSLNSKVSVLNITQLSEYRKDGHPSIFRKFWEPLRPEQLLNPPSYSDCIHWCLPGVPDVWNELLFHFL >OIW18963 pep chromosome:LupAngTanjil_v1.0:LG01:18632163:18634661:1 gene:TanjilG_09157 transcript:OIW18963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKISVGRYDDGEGPSNPTQKRRRLHQDETDEEEEEENQQQQQQEEEQEQQPQQEQQQPQQEQQQQQQQQGFGIETTEEDRTTEEDNEIGESNTRDRSISITLMDPDVLDCCICYEPFSAPIFQCENGHIACSNCCGKLGNKCPMCSMPIGYNRCRAIEKVLESIKMSCLNTKYGCKEKFNYSKKNEHEKECIYIPCLCPHPGCDFIAASKELSLHFSHRHVGSAIPFVYDKFLPVVLNIDHKEIILQEKNDGSLFVVRNSREHLGNIVHLSCIGPKPIRGFHYEILARSQGSSLILTSLTKIIQGFLPDSPSTGRLLIPSDFFGSGTLKLDIRIRSRQ >OIW18104 pep chromosome:LupAngTanjil_v1.0:LG01:27984279:27984629:1 gene:TanjilG_19370 transcript:OIW18104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKVSTYFAMTLGAFVFWQSMDKVHVWIALHQDEKQERIEKEAEIRRVREELWKQQQQANHND >OIW19749 pep chromosome:LupAngTanjil_v1.0:LG01:1566706:1567020:1 gene:TanjilG_18559 transcript:OIW19749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTGLQRSITSFRRQGSSGLVWDDKFIQNLNQNQNEENQRVEREGNSEGPSDTLMEARPYRTVNVAEPSIDPPSPKVATCGLCGFFWKKKSVHESKPKSRKRR >OIW19004 pep chromosome:LupAngTanjil_v1.0:LG01:17640384:17645141:-1 gene:TanjilG_20277 transcript:OIW19004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRSGATMAWNVFKFCTALRALGSIMILLVLGVVGVTYYAVVLNTYGPALYAGGFDFLVAFVVLILFHSLFCTALRALGSIMILLVLGVVGVTYYAVVLNTYGPALYAGGFDFLVAFVVLILFHSLLVMLLWSYFAAVFTDPGSVPPNWRPAIDEEIGEANPLVGSDLNNDVPSDSSHQRIRYCRKCNQVKPPRCHHCSLCGRCVLKMDHHCVWVVNCVGALNYKYFLLFLFYTFLETTLVTASLLPLFLAFFSDGEIPGSPGSLATTFLAFVLDLAFALSVLGFLIMHISLVASNTTTIEAYEKKTTPKWPYDLGRRKNFEQVFGMDKRYWFIPAYSEEDIRRMPALQGLDYPSKPDFNSQ >OIW18922 pep chromosome:LupAngTanjil_v1.0:LG01:19072412:19075819:1 gene:TanjilG_25365 transcript:OIW18922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKNPFHLFPHLTSTLILVMITIMFPIAEKVAAVSSIKTDAESLLMFKKVLKDPNKILSGWQINKNPCSWYGVSCTQGRVTQLDINGNSNLIGTTISLDPLSSLDMLYVLRLSLNGFSVNSTSLLQLPYSLTQLDLSFGGVSGPVPENFFYKCPKLVVVNLSYNNLTGIIPEKLLTSCDKLQVLDMSSNNLTGSISALKIECNSLLQLDLSGNRLSDSIPISLLNCTSLKTLNLANNLISGEIPKGFGQLNKLETLDLSHNQITGWIPSELGNACASLLEVKLFFNNISGSIPSGFSSCNLMQLLDISNNNMSGQLPASIFQNLGSLQELRLGYNAFFGPFPSSISSCKKLRIVDLSSNKISGSIPRDICPGAASLEELRMPDNLITGGIPAELSKCSQLKTLDFSLNYLNGSIPDELGELENLEQLIAWFNGLEGKVPPKLGQCRNLKDLILNNNQLDGRIPIELFNCSNLEWISLTSNGLTGEVPREFGLLTRLAVLQLGNNNFTGEIPGELGNCSSLVWLDLNSNKLTGEIPPRLGRQQGAKSLFGILSGNTLVFLRNVGNSCKGVGGLLEFSGIRPERLLQVPTLRTCDLARLYSGPVLSLFTKYQTLEYLDLSYNELRGKIPDEFGDMVALQVLELSHNQLSGEIPSSLGQLKNMGVFDASHNRLQGEIPDSFSKLSFLVQIDLSSNDLTGQIPQRGQLSTLPATQYANNPGLCGVPLPECQNDNSQATPNPSDDAGKGRQRSAASSWANSIVMGVLIFVASLCLLIVWAIAIRVRRREADEVKMLNSLQASHAATTWKIDKEKEPLSINVATFQRQLRKLKFSQLIEATNGFSAASLIGCGGFGEVFKATLKDGSNVAIKKLIRLSCQGNREFMAEMETLGKIKHRNLVPLLGYCKVGEERLLVYEYMEYGSLEEMLHGRIKTQDRRILTWEERKKIARGAAKGLCFLHHNCIPHIIHRDMKSSNVLLDHELESRVSDFGMARLISALDTHLSVSTLAGTPGYVPPEYYQSFRCTSKGDVYSFGVVLLELITGKRPTDKDDFGDTNLVGWAKIKVREGKQMEVVDPDLLSVIQGTDEAEVEGVKEMIRSLEVSLRCVDDLPSRRPNMLQVVAMLRELMPASTDGSNNSA >OIW18317 pep chromosome:LupAngTanjil_v1.0:LG01:24301193:24302651:-1 gene:TanjilG_31457 transcript:OIW18317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRVKSFQPIREALVAEMLDTIRDVCMGSKSSTANLREMLIAASNNSNSTCTFGQKFDMEDGSGGFGDLGRKMMTHFAAFCVGDFWPSLSWIDRVSGQIPDFKATLSAFDVFFDKVIAKHKAKMKRNDDQSDKKNFMDILIQLQEDDRLDFELTQDSLKAILVDMFIGGSDTSSTTMEWVFAELMRNPSVMKKVQEEVRRVVRYKTVVDENDMKQMNYLKCVIKETLRLHPPAPLLVPRETTSSVKLRGYDIPTKTRVIMNAFAIQRDPKVWDKPDEFFPERFKNSEVDFKTQDVEFIAFGNGRRGCPGISFGVNFSTYVLSNLLYWFDWNLPESVGHVEDIDMSERYGLTVNKKVPLHLEPVPYFL >OIW19631 pep chromosome:LupAngTanjil_v1.0:LG01:724407:726554:1 gene:TanjilG_18441 transcript:OIW19631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFNVALVIIVATILGIELQGFHCKTVQFIFGDSLSDVGNNKYLPRSLAQASLPWYGIDMGNGLPNGRFSNGRTVSDIIGDKLGLPRPPAFLDPSLSADVILENGVNYASGGGGILNETGTYFIQRLSLYRQIELFQGTQELVRTNIGKEKADTFFKGANYVVALGSNDFINNYLMPLYSDSWTYNDETFIEYLTGTLEKQLKLLHSLGARQVTVFGLGPMGCIPLQRVLTTSGNCQEKTNNLALSFNNATSKLIDNLMKQLPNSSYKFGDAYDVVYDVINYPSKYGFQNADSPCCSFGRIRPSLTCLPASTLCKDRSKYVFWDEYHPTDSANELIANELIKKFGLGVDHSSAPSQAPTIVPSQPPIIAPSQSPVIAPSPDN >OIW17937 pep chromosome:LupAngTanjil_v1.0:LG01:32413442:32415250:1 gene:TanjilG_17773 transcript:OIW17937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSARSWHLTLFSLFLFFSSGTCTAKILVDEENKGTFSSSELGTQLDDIPIINPTTPDTGTPDTGNTYQGNNPTTPDTQNPYQGNPTTPQSPDTLGQNPTTPTTTTPNTNPTTPNTNPTTPTTSSSGSGQWCVANQGASDTALQVALDYACGWGGADCSPIQPGSTCYNPNTLRDHASYAFNDYYQKNPAPTSCAFGGTASLTSNDPSSGSCHYASAKTLSLGPPTPYVSPPSPPAPVSPTPPSTTMPGGDSTVYGSEPTESPDAATSTSCSLLLLFICGLASFHLANYI >OIW19215 pep chromosome:LupAngTanjil_v1.0:LG01:7749160:7751405:1 gene:TanjilG_20340 transcript:OIW19215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALITSHPKNPLLHHFTTIHHAIPRLNNSVIGLGSFSNNARTIKVCKFNSNLTKLSIKNNPFTYNLQVIAKSAFSETLEPEQDRPKLKYPRRILLSDVEVKREKEVFFGRKWNSLDIGTSVVVLSMHVLSFFAPFHFNWPAFWVAVTLYVVTGLFGITLSFHRNLSHKSFKVPKWLEYSFAYCGVLALQGNPIDWVSTHRYHHQFCDSDRDPHSPTEGFWFSHMNWLFDTNSMIEKCGEQNNVGDLENQSFYRFLRSSYIVHPLALGALLYAIGGFPFLVWGMGVRVVWVYHITWLVNSACHVWGNQAWNTKDLSRNNWWVALLAFGEGWHNNHHAFEYSARHGLEWWQLDMTWYLVRFLQAIGLATQVKVPTETQKQRMALSNDAIAT >OIW18771 pep chromosome:LupAngTanjil_v1.0:LG01:22922268:22922633:-1 gene:TanjilG_13523 transcript:OIW18771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKAVKLMNLKSLLKKWNSFGTSHNRHSVSAVADDESSSSTSDLHPVYVGKSRRLYRVTSDVVDHPLFRELVERSRDDDEHQHNNINVACEVVLFEHLIWMLQNVDPQPESLHELVDFYAC >OIW19573 pep chromosome:LupAngTanjil_v1.0:LG01:189286:191493:1 gene:TanjilG_18383 transcript:OIW19573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTYYCMMNSLPEDVAIKIVSLLHVRDLCSFSCCSTFSRQLSFSDSIWESLFTNRWPLFSSSTSNDFPNYKNWRKLYLKRHIELGVRARSVEKFVEASSRSESLEVRDYLSAVESLIGAKFGFEDVQKFLFNPKMNVLLNLVGVHYCLTCLGTQGDNLIEVLRACQISDRHVCVKWWKVGRWFYGYRMRDESHSRFVSLADLATEDDENILGVLRRGTVHEVLRVQISAVGHTSTPWYCQRQSE >OIW18431 pep chromosome:LupAngTanjil_v1.0:LG01:20262919:20264902:-1 gene:TanjilG_13183 transcript:OIW18431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDETISTFWGPVTSTKECCEINYAHSPYIAEFYNTISNIPAILLAFIGLINALRQRFEKRFSVLHLSNMTLAIGSMLYHATLQNVQQQSDETPMVWEVLLYMYILYSPDWHYRTTMPIFLFVYGVVFAVAHSVFHFGVSFKVHYIILCLLCTPRMYKYYIHTQDVSAKRLAKVFVATLVLGSLFGVCDRVFCKEISGWPINPQGHALWHVFMGFNSYFANTFLMFCRAQQRGWSPKVVHLMVGLPYVKIEKPKSQ >OIW18343 pep chromosome:LupAngTanjil_v1.0:LG01:23889487:23890997:-1 gene:TanjilG_31483 transcript:OIW18343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRVAAKKFLGTPAASSAVRVPPRFYHERVVDHYNNPRNVGSFDKSDPTVGTGLVGAPACGDVMKLQIKVDENTGKIVDARFKTFGCGSAIASSSVATEWIKGKQMEEVLSIKNTEIAKHLSLPPVKLHCSMLAEDAIKAAVKDYEAKRAKTTMTEKVANA >OIW19454 pep chromosome:LupAngTanjil_v1.0:LG01:2536152:2537287:-1 gene:TanjilG_09474 transcript:OIW19454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRGKSYLAEQDFEFNDRQSNQIVVKCLWRCTASWARRYLHQPHVNATAVKEVAATGKLSAPLAVTEAIEADNATGFTCGFGSPRDAEAWEVLKIMVGIEAETVESAAEEEEVEEEEGGETD >OIW18715 pep chromosome:LupAngTanjil_v1.0:LG01:22453455:22455346:-1 gene:TanjilG_13467 transcript:OIW18715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDTPPPVPPTDSALKRTKFIWRLLLVSNFALGAYMFASAKRRDSTESNRRREQKSQKGKATVEVPAKPITVLDDFNYDDFLVTDTTPVQVRDPIPEEQQREIFKWMLEEKRKVKPKDPVEKKQNDQEKATLKKFLSAESIPKF >OIW18748 pep chromosome:LupAngTanjil_v1.0:LG01:22674074:22675309:1 gene:TanjilG_13500 transcript:OIW18748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERSPCCSKDGLNKGAWTPNEDKILIEFIKVHGEGRWRSMPKRAGLKRCGKSCRLRWLNYLRPDIKRGNISLDEEDLIIRLHSLLGNRWSLIAGRLPGRTDNEIKNYWNNHLGKKVKDGHQITTNSKSIENPKSKPSPKVKVKVTASPSHLKLDTHVVLKKTNKCSSMLIKNPLPQPSMQLHNMSKTEDAISNNDSRSDQIEPNDKSGFLSFINEEEKELSTDLLKDFMVRGDICSSDILNSDFSNMCDFSYSDNSNENILSSLSDEILMDWTQSSLAHETNVSNRE >OIW17969 pep chromosome:LupAngTanjil_v1.0:LG01:31737969:31738460:1 gene:TanjilG_17805 transcript:OIW17969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSPSGGYSGGSSSLHNSSPGSEEDHQHHVMDQKKRKRMVSNRESARRSRMKKQQHLDGLIAQIDQLNKENNQIRTSVEITTQHYLNIEAENAIIRAQVEELSNRLQSLNEIIDYINSPCNYIFDEVVDQDTLFNDCGFMMDPWNFVPVNQPIMASADMLMY >OIW19260 pep chromosome:LupAngTanjil_v1.0:LG01:6188707:6192041:1 gene:TanjilG_20385 transcript:OIW19260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESALDLIRKWLMNIVAVVFVLGLWSGGVGAAPQVPCYFIFGDSLVDNGNNNQLQSLARADYLPYGIDFPGGPSGRFSNGKTTVDAIAELLGFDDYIPPYASASGEDILKGVNYASAAAGIREETGQQLGGRITFRGQVQNYQNTVSQVVNILGTEDQAANYLSKCIYSIGLGSNDYLNNYFMPQFYSSSRQYTTDEYADALIQEYTQQLQTLYNYGARKMVLFGVGQIGCSPNELAQNSPDGSTCVERINTANQIFNNKLKSLVDQLNNQLPDARFIYINSFGIFQDIISNPTAYGFSNINSGCCGVGRNNGQITCLPMQTPCSNRREYLFWDAFHPTEAGNVVVAQRAYSSESADHAYPIDIRRLAQI >OIW19054 pep chromosome:LupAngTanjil_v1.0:LG01:15862718:15863158:-1 gene:TanjilG_10615 transcript:OIW19054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNAKADLHQNSVLQIKQDDKFFCRLLSKESSLSNPSFRVPVSVPFVWESQPGTPKYTFSEDTLPPLTPPPSYHLNTYKKPAKKRSRTNLLLALLPKLNLKKMILSSSSSLSSPSAPSLPSSSSSSSLSSSDSSKAVPVGKIGRRF >OIW18576 pep chromosome:LupAngTanjil_v1.0:LG01:21231795:21234901:-1 gene:TanjilG_13328 transcript:OIW18576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELVETSQWPELSEDLLHEIGKLLHSYDDYYRLRMVCKEWNKVLPQIPNHPWLVLPFDDKAIETRVAEEKIYYVKLPELHTSKLRGSCFGWLMVVEFDGTLHDDYKQEDFMAIAIYGQYNRLAFCKRGDNKWTDIPKSQKDDVDADYDYEDVIFHQGKIYVIDSFTKIFEYDIKTSSTPLGRIIEIPKPHDLSFLATNWKFAYLIGCLDGGLLMVVRHINFYRVLVQNVGFNSPKFDIYKLEKGAKQWSRVFDLKNYALLIGFNLSVLMSANIFPNGWENRVHYTDNVLPSQFFKSVGGYDIGVFNLEDGKSTLLFPDITVLSSPPICNLCSVLQHQISECQMYKNPQGKKGRRSRKNKRHH >OIW18627 pep chromosome:LupAngTanjil_v1.0:LG01:21746209:21751719:1 gene:TanjilG_13379 transcript:OIW18627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESVLIQTETQINSSDPSSSISVLLHRKIEFLPAKKPFKGFSNDFHIETLNPTTTSETTLTLSASVKKNDGSEFSEFGLDPDLCFGITVRKIGAGLRNLGNTCFLNSVIQCLTYTEPLAAYLQSGKHKSSCRIAGFCALCAIQNHVSRALQSTGRILSPEHLVGNLRCISRNFRNARQEDAHEYMVNLLESMHKCCLPSGIPSESNGAYEKSLVHKIFGGRLRSQVKCQQCNFSSNKFDPFLDLSLEIFKADSLQKALANFTAAELLDGGDRQYQCQKCKQKVRALKQLTIHKAPYVLTIHLKRFFAHDPGLKIKKKVNFGCALDLKPFVSGSYDGDVKYSLYGVLVHSGSSTHSGHYYCYVRTSNNMWYTLDDNRVSHVSEREVLNQQAYMLFYVRDRKSIVPRKPVDIAKKENMKVNVNLNVNANRDSSTSHHELKAVTNGPVDNKSCLTPVAQKKLPNGPLNENANKDSSNQVFKGVTNGPVENKSSSESCLIAEPPKNTSNVDSSRVPCIKDSVVQQKSNLILAESLVNSKKLVSEPSSQLQLKKGSSEGSYVANEGSNVSDQNKISKEGSKNSFSTVLINNPQTSTDKQISDITSKTQKVGASAPGSVYNETGSKVCEGAVGCQELVLHESANRLLNMENHNEKQLKKSKKKFLKYNISSMYFRSTYLFLAHLGPRKKNLKRSKCRRLGLKNPNIEKLDKHALSSDVGPSTPGKAEVFPSVSNSFEHSTTKAGDTPDAKFKLNDKSLLETTIAGGEFRKRTEMNCAVLATAVQVENISGGDSGLNQFEARQADSLQDCKRDQMLNTSISMPARSLEETVVATWDDRELPQSHALDSSNVKTATIGYVGDEWDEDYDKGKRKKLRGIKQSFGGPNLFQEIASEKSRSKRAKLDRSNSGNAPFRI >OIW19190 pep chromosome:LupAngTanjil_v1.0:LG01:8599474:8620704:-1 gene:TanjilG_04933 transcript:OIW19190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSNSNIRNFSFFIWLCVFITVTVTVTKAEKNEKKKEDLYFKPFNVTYDHRALLIDGHRRFLISAGIHYPRATPEMWPDLIAKSKEGGADVIETYVFWNGHEPLRGQYNFEGRYDIVKFAKLVGSSGLYFFLRIGPYACAEWNFGGFPVWLRDIPGIEFRTDNAPFKEEMKRFVSKVVNLMREEKLFSWQGGPIILLQIENEYGNIESSYGNGGKQYVKWAARMALSLGAGVPWVMCRQQDAPSDIIDTCNAYYCDGFKPNSNHKPIIWTENWDGWYADWGERLPHRPVEDLAFAVARFFQRGGSFVNYYMFFGGTNFGRTAGGPLQITSYDYDAPIDEYGQLSEPKWGHLKDLHAALKLCEPALVAADSPKYIKLGPKQEAHVYYASVHPEGFNLSLSEYPSTCSAFLANIDERKTANVTFHGQTYTIPPWSVSILPDCRNTVFNTAKVGAQTSVKLVEFDLLLASKVLPAQQLARHNGISQISKSWVTTKEPINIWSKNSFTALDGVRDILRVFVNGELIGNVVGKWVKVIQPVQFLPGYNELILLSQTVGLQNYGAFLEKDGGGIRGPIKLTGFQNGDIDLSKSSWTYQVGLQGEFLKFYSEENDKAEWVELTPDALPSIFTWYKAYFDAPGGTDPVALDMESMGKGQAWVNGHHIGRYWTRVSPKNGCEVCDYRGSYGSEKCLTNCGKPTQTLYHVPRSWLRASDNLLVILEETGGNPFRISVKLHSARAICAQVSESHYPPLQNTMNADFIGQEGSVNSMTPEMHLRCQDGQIISSITFASFGSPGGSCQNFSRGNCHAPRSMSIVSKECQGKRSCSIKISNNVFGGDPCQGVVKTLSVEARCTSSSSDYFFQNSEAVSSF >OIW18602 pep chromosome:LupAngTanjil_v1.0:LG01:21527902:21534502:-1 gene:TanjilG_13354 transcript:OIW18602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFQIRLVGRSPWLYYLLCFFFFFCFSFSFIVVNVEGDATENGSVFIHGKSFIEQIDDDFVCATLDWWPPHKCDYGRCTWALASLLNLDLNNKILLNAVKAFTPLKIRLGGTLQDKVIYGTEDYHHPCTPFVQNTSQMFDFTQGCLPLHRAKIIFGLNALAGKSFQSSFAVGPWNYTNAESFIRYTVEKNYIIHGWELGNELSGKGIGKSISADQYASDVVALQNIIQNVYRGVEPKPLVIAPGGFFDANWFKEFVTKAGKSVDVVTHHIYNLGPGVDEHLTEKILDPSYLDGVASTFNSLRNILRTSATSATAWVGEGGGAYNSGHHLVSDAFVYSFWYLDQLGMSAIYDTRTYCRQTLIGGNYGLLDTSTFMPNPDYYSALLWHRLMGRRVLATSFIGTKKIRAYAHCAKQSQGITVLLLNLGNQTTVQAKLAITFVKLPYDRKKLREEYHLTAQKEKSLPRSIQEMSIDGDEPPPQFIVNKNSIWSKDTSTNIQIPIIDLSLLASSEAELDKLRSALSSVGCFQAIGHGMSSSYLEKVREVAKQFFALPVEEKQKYTRPVGESEGYGSDRIVSEKQVLDWSYRLSLRVLPTEKRRLSLWPKTPSDFSDTLEEFTMKVKSMMDFLSRSMARSLNLEEGSFLDQFGEKSLMLARFNFYPCCSRPDSVLGVKPHTDRSGITVLLQDTEVEGLQILVDDTWVNVPTIPDALVVNLGDQMQIMSNGIFKSPMHRVVTNTEKLRMSVAMFNEPEPENEIGPVEGLIDETRPRLYTNIKNYGDINYKCYQEGKIALDMVRVVDKSDQK >OIW18953 pep chromosome:LupAngTanjil_v1.0:LG01:18451607:18453853:1 gene:TanjilG_09147 transcript:OIW18953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLGIHNTLALTFGILGNIISFLVYLTPMPTFIRIYKKKSTESFQSLPYLVALFSSMLWLYYAYLKTDAILLVTINSIGCAIEIIYITMYIVYAPKTSRSLTIKLFMAMNVGTFTLIPLISYFALPSSSLRVKVVGWICVSVSVSVFAAPLSIVAHVIRTKSVEFMPFWLSFFLTLSAIMWFAYGAFLKDICIAIPNVLGFTLGLLQMLLYVIYKKGPAIKNDGIEEREQELDPMRDIVVGIPLSTREVILISINDHVNEPGKVDAKKMENSVEINNESQM >OIW19083 pep chromosome:LupAngTanjil_v1.0:LG01:13780595:13782132:-1 gene:TanjilG_06392 transcript:OIW19083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCLNISTNVTLDGVDTSSILSEATSTVAKLIGKPEAYVMIVLKGSVPVSFGGTEQPAAYGELVSIGGLNPDVNKKLSAEIASILETKLSVPKSRFFLKFYDSKAHKNLEYAQCFHALHQH >OIW17829 pep chromosome:LupAngTanjil_v1.0:LG01:33908773:33909547:-1 gene:TanjilG_02457 transcript:OIW17829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYASTSFTSHFVHELLGHSHSRKLLLQNPLNQTNAPEASINSYNSTNLYLEGHNFDANVVMILSILLCAVICSLGLNSIIRCALRCSNLVINIDSSSSITSNPSPKLANIGIKKKTLKTFPIVTYSAEMNLPGLDTECVICLSEFTNDDKVRLLPKCNHGFHVPCIDKWLSSHSSCPKCRQCLIETSHKIVRSQPGRVVPLLVPVPETIETIIRIDPLEPESLLHDTRY >OIW19459 pep chromosome:LupAngTanjil_v1.0:LG01:2494404:2494973:1 gene:TanjilG_09479 transcript:OIW19459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWNSRSANKEFLRTWITGLRKYSSQKKNMNMVERKKAIKLSADLAMASTRDKTTRWSKALISNASRDDNNKILTENILDSSPKHTEKVVRKNLNNLSPFCSRRIKSRKILRRSRTMMDRSKDRVMPSSIAKRLKVQKRTQKLKNLLPGGEFMDDVCLVEETLDYIQSLRAQVEVMRCLVTASELFINPL >OIW19474 pep chromosome:LupAngTanjil_v1.0:LG01:2331319:2335267:-1 gene:TanjilG_09494 transcript:OIW19474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQTWWCFVHYLVWVISTALVLTATPPSSHQIHDKVGTLPGQNFNINFSHYSGYVTVNEEAGRALFYWFIEADQYPQTKPLLLWFNGGPGCSSIAYGEAEEIGPFHIQPDGKTLYLNPYSWNQVANILFVDSPVGVGFSYSNTSSDILNNGDKRTAEDSLIFLLRWFERFPQYKGRDVFIAGESYAGHYVPQLSQAIVKYNFENKENAINLKGYLVGNALTDDSHDHLGIAQFMWSSGLISDQTYNLLNLLCGNQSFVHPSEACDKIQEVADRELGNIDPYSIFTPPCPANLSQSTQLLRRKRRIGKLNEAYDPCTEGHSTTYFNLPEVQKALHVDPAHKPAKWDTCSDEVNTNWKDSPRTVLDIYRELIPLGLRIWVFSGDTDAVIPVASTRYSIDALRLPTVRSWRPWYHDGQVGGWTQEYAGLTFVAVRGAGHEVPLHRPKLALALIKAYLAGTSLPAIELVSVY >OIW19134 pep chromosome:LupAngTanjil_v1.0:LG01:11193633:11193860:1 gene:TanjilG_03624 transcript:OIW19134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAKVGGGLSASKRHHMGLLMMDPPPCNYSATNRRYPNISQLCCRASSQPRVAANGGCLSSQHLKDCHGGNPNPN >OIW18294 pep chromosome:LupAngTanjil_v1.0:LG01:24675140:24677987:-1 gene:TanjilG_31434 transcript:OIW18294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLDTSGLESFSTQLGIQSNIIGEISSSTSFDLPNSNDFDGFVKEAIQMVKPAKGTTTLAFIFKDGVMVAADSRASMGGYISSQSVKKIIEINPYMLGTMAGGAADCQFWHRNLGIKCRLHELANKRRISVTGASKLLANILYSYRGMGLSVGTMIAGWDETGPGLYYVDSEGGRLKGTRFSVGSGSPYAYGVLDSGYKYDMSIEEASELARRAIYHATFRDGASGGVASVYYVGPNGWKKLSGDDVGELHYHYYPVIPSTVEQEMTEAPGV >OIW18320 pep chromosome:LupAngTanjil_v1.0:LG01:24274025:24274993:1 gene:TanjilG_31460 transcript:OIW18320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDSVVIPACFSSTDKLSDEHGAVTRLGQSVYMSVYRTKIADHCRLITITWCKNLLLHGLSISVEGSEGETQYTCKVELKPWYFWRKQGSKRFVVDGKALDIFWDLKGAKFNGETEPSSEYYVAVVCDEEVVLLLGDLKKEAYRRTGCRPALIDPILVSKKEHIFGKKKFSTRAKFHEKGSWHEISIECKINKWNNGGDFHLASGVQPEMEIKIDGHLVIHVKHLQWKFRGNESIHLRKMRVEVYWDVHDWLFSPGLKHALFIFKPNLSYAPSMSSLSSSSSSSSPSLLSTQATKNFSESVEGFSISGSSEFCLFLHAWKVE >OIW19339 pep chromosome:LupAngTanjil_v1.0:LG01:3689343:3690596:-1 gene:TanjilG_03473 transcript:OIW19339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFTFSNHSLPTSLILNTQTPRYPSSQLLSTPSNSHFFGLKLSHSSTFSIPSTPASFSLTPSIFAKVNKGSKPPAFTLKDQDGKVVSLTKFKGKPLVVYFYPADETPGCTKQACAFRDSYEKFKKAGAEVVGISGDDASSHKAFAKKYRLPFTLLSDEGNKVRKEWGVPGDFFGALPGRETYVLDKNGVVQLVYNNQFQPEKHIDETLKLLQSR >OIW19515 pep chromosome:LupAngTanjil_v1.0:LG01:2031628:2043537:1 gene:TanjilG_06970 transcript:OIW19515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKATEKIHSHSGTESSEPTIEIKIKTLDSQTYTLRVDKQMPVPALKEQIASVTGVLSEQQRLICQGKVLKDDQLLSAYHVEDGHTLHLVVRQPGLPPPGSLLNHSVPDPNSSTSHGHSNQVAPGVFVETFNVPVQGDEISPEFHRVVSAVLGSIGIPMSGGDGIDVREQDSQGLGRTSGSSGTTDSAHPQSEQSGMHILYDRLQNVFGPPSAGSLQPPVIPDSLTTLSEYLSHISHEFHAIVREGVNNGQAAEAHRTEEMGSVSSRLGSTIEGLPSPASLAEVLCSSRQMIVEQVGECLLQLASQLENQANVADSLLRSSIQSRTMRTGVLFYNLGAFLLELGRTTMTTRLGQTASEAVVNGGPAVFISPSGPNHIMVQPLPFQPGASFGAVPVGTTQSNSSLGSGLGSSFIPRRIDIQIRRGTSTTTPNANQEERSDTQSASVQLHQGGSSVNQSTSRRPNASIAGEPGIRVVPIRTMVAALPGPIGRFPLEQSGNSMGFYYPVLGRMQPVSSGHANSEPRSQPPSQHRAAQQSTLESTLQRQSVLDSASNGYLYGFTYFNNLYEILLYIKEEYLQDSNLIICSLSLDLAVGSVSMPSTRQEPSNSRVVNINILSAGGPQNNQESESQTPSSALQFLRALFPGGEIHVEDSSIQGTAAGSTSEHAATSGGSSHVPEAEPRASDEGIFLSNVLREIMPLISQRAGSGGNPSVDQMAQDSSTLVENDAGTSSGESGSEQGLPNPKRQKFGNFAEFDSSKKSGKGKRPGKGGNRFWKSIGLGFKTPREAIDGTYIDKKCPFTGNVSIRGRILSGTCHSAKMNRTIIVRRNYLHFIKKYQRYEKRHSNIPAHVSPCFRVKEGDHVIIGQCRPISKTVRFNVLKVIPAGSSGGAKKAFTGI >OIW19237 pep chromosome:LupAngTanjil_v1.0:LG01:6928833:6930950:1 gene:TanjilG_20362 transcript:OIW19237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDTNETTTTKSFNKKEPTNILLGRFEIGKLLGHGNFAKVHLARNIKTDECVAIKIIDKEKILKGGLMGHIKREISILRRVRHPNIVQLFEVMATKTKIYFVMEYVRGGELFNKVAKGRLKEEIARKYFQQMVSAVGFCHARGVFHRDIKPENLLLDENGELKVSDFGLSAVSDQIRQDGLFHTFCGTPAYVAPEVLGRKGYDGAKVDIWSCGVVLFVLMAGYLPFQDQNVVAMYRKIYKGSFRCPRWFTPEITKLLARLLDINPQTRISIPEIMENKWFKKGFNPIKFYVEDDIVCSLDDKLKMSDDDDGAVSESDFEIVTRRRNNNVSLPRPASLNAFDIISFSSGFNLSGLFEEKGDEARFVSGAPASKILSKLEEVAHLFSFTVRKKDCRVSLEGSREGVKGPLTIAAEIFELTPSLVVVEVKKKGGDSEEYEKFCNIELKPALENLMVEEESASSSCPPIPTEPFKFRALSFSPLGRPSDAKSLFIDT >OIW19763 pep chromosome:LupAngTanjil_v1.0:LG01:98397:99437:1 gene:TanjilG_27302 transcript:OIW19763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVSGFEGFEKRLELHFFGDDPEIFQVGLRKIDFESIQQVLEAVQCTVVSAVGNSYFDAYVLSESSLFVYPTKIIIKTCGTTQLLKSIHHMLHFAHHFGLTLCSCSYTRGTFIFPKSQPFPYTSFKDEVTYLEDTIPSNLCHRKASIMPSKSSSHSWHVFTANDNSVSHSHSHMPYDNDIFTMEICMTELDPILARKFFRRAGDRKTGDMAGKEMTELTRINEINPNALICDFAFDPCGYSMNGIDGNWYSTIHVTPEDGFSYASFECAGSINDDIVHMLMKVVQIFRPETMSISTTWNVYNNEMLTKMAKAVESLGLKCMSSAMDQFPATCDVVFQMFTARRKSV >OIW18166 pep chromosome:LupAngTanjil_v1.0:LG01:26279796:26290594:-1 gene:TanjilG_31286 transcript:OIW18166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRILGLTIGHADEPREISSRSNITSESSENGWLIRFFDSSFFCEWIAVSYLYKHDHAGVRDYLCNRMYTLPLHGIESYLFQICYMMIHKPSPSLDKFVIDVCSKSHKIALKVHWFLLAELEDSDDNEGISRLQDKCQIAATLMGEWPPLIQPQKEPTSAGGKNQVLNRLLSSKNRFLSLTTSPPAQKSLSFSPSSSGNNFQEDGNPQSPDENKIFKKFMPSPKVRDALLFRKSVDKNDDTSEKDGFFKRLLRDSKGDDESGLKIRDAFSFRNSSEKDDEDSEKDNFFKRFLRDSRDSRDSKGDSEDLEKDGFFRKFLRDSRGEDEDLASSSEGFFKKLFRDSKNDSEDKTHIKTMGDEDRDGFFRKFFREKVEDKKDGSDKNDDRDISDSKEKCAKSADECEKEGFFRKFFKDKFEDKKETNDKIEDSNANGEEEPSEFSLFKRLFRVHPEDAKSSPAIESNNNVASFESSPGTENFFRKLFKDRDRSIEDSELVGSKREKEMHPGSPIQQSEKSSTDPPLPTSQSQFRKGAYHNSLEFVQSLCETSFGLVDVFPIEDRKSALCESLAEINLHVTEALTTGGVCFPMGKGMYRVLHIPEDEAVLLNSREKAPYLICVEVLRCEIPSNTKETSSSQKLSRGGIPLANGDAFLQKPPLWAYPLRTAQEVYRNSNDRLSSPTAQAIDQAMTHISGTKTKFVSVNLSVETQLHGQPEKAALADPRRGRQRPGSIYKKAVHEVVEAGHDRDLEWVRVVLTADPGVRLEEIEDQAPPRKKEHRRVPSTVAIEEAKAAAAKGEAPPGLPLKGAGQDSSDAQPRANGITPKASDALSGELWEAKKERIRKASIYGKLPGWDLRSVIVKSGDDCRQEHLAVQLISHFYDIFQEAGLPLWLRPYEVLCTSSYTALIETISDTASLHSIKSRYPNISSLREFFNAKYEENSPSFNLAQVKDRHNGNLLLDEEGHIIHIDFGFMLSNSPGGVNFESAPFKLTRELLEVMDSDAEGVPSEFFDYFKVLCIQGFLTCRKHAERIILLVEMLQDSDFPCFNGGPRTIQNLRKRFHLSLTEEQCVSLVLSLISSSLDAWRTRQYDYYQRVLNGIL >OIW18381 pep chromosome:LupAngTanjil_v1.0:LG01:23486164:23487468:-1 gene:TanjilG_31521 transcript:OIW18381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKMGQEPESSKTQLVLEICSISTRSVVCVHRLVSSNPAKATFVDWYCILGVEENAGVNTIRKRYHKLALQLHPDKNKHPKAEVAFKLVSEAYICLSNATKRKKFDLERHNNFCIECKRIPYPPHNGVTPGNSSGSGFKAWNIISRSRSSKLWRNIRDMRDRFKEEAKVIEKCLQTNSMILRKEESPLYNPVEYLQRSKSLHRFEKETPIFNPSDYLHQGYPHLRSHVYKNSATFWFLQTETMLHNDKGGAKYASPIFEVKSRRMFTGKFAYVPSQC >OIW17734 pep chromosome:LupAngTanjil_v1.0:LG01:34844512:34848430:1 gene:TanjilG_29084 transcript:OIW17734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSSTFTLSPSLSVSHHKPRTRFHPSRTNVNVASVSSLPLRPWSLASSSSSSSFKLRPWTSLNRFEARATSSVPERDGGAASESGALFRTVELGALFGMWFIFNIYFNIYNKQVLKVYPFPLTITAIQFALGTVIVAIMWGFNLYKRPNISRAQLAAILPLAVVHTLGNLFTNMSLGKVAVSFTHTIKASEPFFSVILSAMFLGEMPTTWVVASLVPIVGGVALASATEASFNCKQKITFCSCQESIDNITLFSIITVMSFLLSAPVTIFMEGVKFTPTYLQAAGLNINEVYIRSLLAALCFHAYQQFLYEWTMQVSYMILQRVSPVSHSVGNCVKRVVVIASSVLFFKTPVSPVNAFGTAIALAGVFLYSRVKRIKAKTA >OIW17859 pep chromosome:LupAngTanjil_v1.0:LG01:33505499:33507297:-1 gene:TanjilG_14105 transcript:OIW17859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRIAFRTRSELEIMDDGYKWRKYGKKSVKNSPNLRNYYKCSFEGCNVKKTVERDRDDSSYVITTYDSVHNHESPFSTSYTQISSFLSSDS >OIW17613 pep chromosome:LupAngTanjil_v1.0:LG01:36001783:36002628:-1 gene:TanjilG_28963 transcript:OIW17613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEHGNQFSLYQLSNTSEDEPSDHNAVTINGDHGASGWSCPHHHKPPPSSADNDDDNDNHYHLLPPPQKKPRGRPVGSKNKPKEPIIITQDHEELLKPVVIKIAIGLDVMESLKEFARKRNVGISVLSGSGSIASVTLHHPLLSSPSFTLHGPFTLLSLTGTYFSGPSHPSFPSFTLVDATSSSALNPNYSFSITTSSFGISLLGSQGEIFGGVVAGKIVAGSTVTISATMFKNPEFYKVGFDENDEGGVGEKDHHNLNARSSNFVNQWGHIHSSTRPRNY >OIW18035 pep chromosome:LupAngTanjil_v1.0:LG01:30475865:30476224:-1 gene:TanjilG_31617 transcript:OIW18035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQIQVQMQQAQEQTQARLKAIETEQNFQNQQQIACYRGITGVYECLQHVYDGHPYFAGRSFADFITHTQWPEGRPYDRQGESSSHAARAGDGVTARAEPGDGATDDTDDFMRTDDPEV >OIW18735 pep chromosome:LupAngTanjil_v1.0:LG01:22600072:22602783:-1 gene:TanjilG_13487 transcript:OIW18735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVWFQCEDCGDNLKKPKLVGHFRSCSAYKLSCIDCGEIFGQDTVQNHTQCITEAEKYGPKGQGKTSNVTPSKPNDKQKPEVDINVGLSQRPPWFCSLCNTKATSQQTLLLHADGKKHRARARAVHASKQQSAQTDKSTTDAKVTVETATNGEVRGDQNADQAKLQESSKQDNLKPENEISSANKKRKFDESEGGLVKKSKNGNSADNENGQVIQGEGAEVAKGDKKKIKWKKFIKSALKSHPDGILKMKKLRKAVHRALQESDIVVDESELSETLQQKIDSSSGFAVEGKYVRLVVKD >OIW18502 pep chromosome:LupAngTanjil_v1.0:LG01:20684459:20686092:1 gene:TanjilG_13254 transcript:OIW18502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKFSGIYKEENKMDLPPGFRFHPTDEELISQYLYKKVTDTKFIARAIGEVDLNKCEPWDLPWKAKMGEKEWYFFCVKDRKYPTGLRTNRATEQGYWKATGKDKEIFKGKSLVGMKKTLVFYKGRAPKGEKSNWVMHEYRLEGKFTANYLLETAKNEWVICRVFDKSLVAKKIHISGIMKLDSYGNEFDSYVLSPKPMNDSVYVPCFSNPIDNVQRNNNNNNEVGIFDPFTNTTYGVLSNPLQFSSLKVPPSTVTASFYTSTQGFHQVPANLPLHGSVYNMQDHTMMRNLYNNNNNNNGFKSDGEMVSVSQETCLTTDMNAETSSVLSSFDMCRLPFHNQHYSPAPAPMDLDGLWNY >OIW18124 pep chromosome:LupAngTanjil_v1.0:LG01:27731594:27737643:-1 gene:TanjilG_22322 transcript:OIW18124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIVVSVVDASKNGLNICAFAIGLLMFFCVSRSTSSLAFMGFTGTLTPRIGVLKYLKTLSLQGNGITGGIPKELGNLTNLNMLDLENNRLTGEIPSSLGNLKKLQFFLLDSNDLSGQIPDQLFEVSKYNFTGNKLNCGVNQRHPCAFDSADQGSSHKPKTGLIIGVIVGLVVIIFLCCLLFFWCKGSHKIYKPEVFVDVAGEVDRRIAFGQLKRFAWRELQIATDNFSEKNVLGQGGFGKVYKGVLADNTKVAVKRLTDYESPGGDAAFQREVEMISVAVHRNLLRLIGFCTTPTERLLVYPFMQNLSVAYRLREIKPGEAVLDWPTRKRVALGTARGLEYLHEHCNPKIIHRDVKAANVLLDEDFEAVVGDFGLAKLVDVRKTDVTTQVRGTMGHIAPEYLSTGKSSERTDVFGYGIMLLEIVTGQRAIDFSRLEEEDDVLLLDHVKKLEREKKLDAIVDRNLIKNYNMQEVEMMIQVALLCTQGTPEDRPLMSEVVRMLEGEGLAERWEEWQHVEVNRRQEYERLKRRFDWGEDSVYNQDAIELSGGR >OIW18630 pep chromosome:LupAngTanjil_v1.0:LG01:21770424:21772890:1 gene:TanjilG_13382 transcript:OIW18630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVSLTVMTFNLHDDEPQESPNSWEKRRDLCISVITSYSPIILCTQQGVKTQLDFLQQGLPGYDQFGISRKGPQDTTDEHCTIFYDKEKVELLEGGTFWLSESPSVPGSMSWGVEPPGFSFQIVNTNMDAFTPRARRRSALLTWQHIASLPPSLPVVYCGGFNTQKESTTGRFLLGRSREHGVVGDMRDAWPSARVRKNVSLIRTYHGFKGDKQGALEYLKLIFRALCLCWDRQTQDLHIDWILFRGRSLIPVSCEVVNDNIDGYYPSSHYPIFAEFMLPRTVRMVESLVQDDS >OIW19432 pep chromosome:LupAngTanjil_v1.0:LG01:2764849:2774399:-1 gene:TanjilG_09452 transcript:OIW19432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPTHDEHEEFIFRSKLPDIYIPTHLPLHTYLFENLSQFKDRPCLIDGNTGETFTYADVELTARKVAAGLNNIGIKQYDVILILLHNCPQFVFAFLGASYRGATITFANPSYTTAEVVKQATASNSKLIITQSSYVEKVKDFVRENDIKVVCIDTPPEGDYLNFSELITSDESDIPTVEISPDDVVLLPFSSGTTGKPKGVMLTHKGLVTIVAQQVDGENPNIYLHSKDVILCVLPLYHVFAINSALLCGLRVGAAILIMPRFEIIKVLELVQKHKVTFAPFVPPILLAIAKNPEVEQYDLSSIRMIMSGAAPLEKELQETVSATLPNVIFGQGYGMSESIVSVSLAFSKEPFEVKSGSFGTIVRNAEMKIVDLDTGASLPRNKAGEICIRGNQIMKGYLNDPEATRISIDEGRWLHTGDIGYITDNDEFVVVDRLKDVIKYKGYQVAPAELEDLLITHPDILEAVVVSMKDKDAGEVPVAFVVRSNGSTILEDEIKRYISNQVVFYKRFKRVFFVDSIPKAPSGKILRKELRARLAAGPIV >OIW18015 pep chromosome:LupAngTanjil_v1.0:LG01:30646546:30647734:1 gene:TanjilG_07599 transcript:OIW18015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFASWFHCHKAAPKLAWFQDVESMLNHHFAGLLGLGPLSWVGHQVSWAGHQVHVSLPINQFLNAGVDPKEIPLPHEFILNRDLLAQLYPSFAEGATPFFTLNWSKYAEFLTFRGGLDPVTGGLWLTDIAHHHLAIAILFLIAGHMYRTNWGIGHGIKDILEAHKGPFTGQGHKGLYEILTTSWHAQLSINLAMLGSLTIVVAHHMYSMPPYPYLATDYGTQLSLFTHHMWIDGFLIVGAAAHATIFMVRDYDPTTRYNDLLDCVLRHRDTIISHLNWGPQDMFSDTAIQLQPVFAQWIQNTHALAPGTTTPGASISTSLTWGGGDLVAVGGKVALLPIPLGTADFLVHHIHAFTIHVTILILLKGVLFARSS >OIW19113 pep chromosome:LupAngTanjil_v1.0:LG01:12758714:12762389:-1 gene:TanjilG_08913 transcript:OIW19113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVERKWLFTLFTVAFLSLTFLLLSSFSIFTTPKPLPSYVHHGSHYPPAFAYFITGGNGDKNRIFRLLLAVYHPRNRYLLHLGMDASDEERQGLAAAVMSVPAIQAFGNADVVGKADYVTHLGSSNVAITLRAAAIMMKLDSGWNWFVTLSARDYPLITQDGSQWVILNRSFLDFCLFGWDNLPRTLLMYFTNVKLSQEGYFHSVICNAPKFKNTTVNGDLRYMIWDNPPKMEPHALNVSVYGQMVESGAAFARQFDADNSVLDMVDKRILHRGHNQVVPGAWCSGRRSWWVDPCSQWGDVNVLKPGPQAKKLEESVSALLDDWNSQTNQCQTSSE >OIW19590 pep chromosome:LupAngTanjil_v1.0:LG01:295226:295789:1 gene:TanjilG_18400 transcript:OIW19590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPLDEKETTAVFEKLFKFVGNNLKNIVDNPSHEGPDSTPGRYCFRLHKNKIYYTSDSIVKRATNISRSNLVAVGTCIGKYTHGGSFHLTVQALSLLASNAKHKVWLKPQSEMSFLYGNHVLKSAIGRITENIAPGDGVVVFSMSDVPLGFGIAAKSTQDCRKLDPNAIVVLHQGDLGEYLRMEDEL >OIW19276 pep chromosome:LupAngTanjil_v1.0:LG01:5745315:5748742:-1 gene:TanjilG_20401 transcript:OIW19276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVQVSSTTFSLYKNIQIQSRIKKPSPNLLRLPSLSRSSSSSSSSSSSSSSSSSYTFNPINERMMKSRIGTRGSGFSAVCYAAPFNNHNLQLISTISSVVLILARGTPVQKSFIVPLFALQAPQSVISWIKGRYGLWSAFLALLVRLFFYIPGELELPFLAFLLVIVAPYEATNLRGTKEGAIVSSLIAVYLAYQHFSRTSLQKSVDQDTGILNGRESNTAEKLPTRTEVKPSNMGITSARNKKQMSRHRDFQMIDLPPVIGAGGHGLIRDIVAANSHTGAYADA >OIW17738 pep chromosome:LupAngTanjil_v1.0:LG01:34816540:34816978:1 gene:TanjilG_29088 transcript:OIW17738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRFQFQAKLMVALLVTFLFVALEDPTLVCSYGPGKTLAIEFDMRFKWSRKINAGREGDNDHASLDSDNKGDVLEGFGFTTIRLSSRRRPLPPPPAPMGNRHKVTAIAFIAAARP >OIW17717 pep chromosome:LupAngTanjil_v1.0:LG01:34960219:34961180:1 gene:TanjilG_29067 transcript:OIW17717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCQAIDTATLVIEQPNGKVEKLYWPVTATQVMNTNPGHYVALLISTTLCTSKDKQNCPNNTHNNSNNNNNNNSVRLTRIKLLKPTDTLVLGHVYRLVSAQEVMKGIWAKKQAKMNKNLPESARNSDQVKGKPVLEMYKASRRSEPEDKQETKNGSSTASKSRTWQPSLHSILEASS >OIW18731 pep chromosome:LupAngTanjil_v1.0:LG01:22557958:22561502:1 gene:TanjilG_13483 transcript:OIW18731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGVKKEEDDEHALECEEDEGDEVSSMTMERVAAAKKFIENHYKSEKKLLHERKQRRSVLEEKLASSHVPEEEQMNLLKDLERKETEYIRLKRHKICAEDFDLLTIIGRGAFGEVTLCREKKSGNIYAMKKLKKSEMLRRGQVEHVRAERNLLAEVASDCIVKLYYSFQDIEHLYLIMEYLPGGDIMTLLMREETLTETVARFYIAQSVLAIESIHKHNYIHRDIKPDNLLLDQNGHMKLSDFGLCKPLDYVTLSSINEDEFLDDENLNETMVVRNGRRWESPQEQLQHWQMNRRKLAFSTVGTPDYIAPEVLLKRGYGVECDWWSLGAIMYEMLVGYPPFYSDDPLTTCRKIVYWKNHLNFPEEARLTPEAKDLICGLLCDAEHRLGTRGAEEIKAHPWFKDVAWDKLYEMDAAFKPQMTPQDLNFVGYTYKNFAAVKGKHSSNGIRLC >OIW19445 pep chromosome:LupAngTanjil_v1.0:LG01:2648045:2649227:-1 gene:TanjilG_09465 transcript:OIW19445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIEEGGINLKGLRSGWSVPPKLCDCCKLASAALFCHSDSAFLCIACDSKIHSVNKLSSRHERVWMCEVCEQAPAAVTCKADAAALCVTCDSDIHSANPLAQRHDRVAVEPFFDSAESIVKASAANFMFAVPTDDAVASNGYNQDDSWVIPNPNYGSKIIDAPDIKSREMFFSEMDPFIDFDNSNNFFHNGNDSVVPVQNKPVPVMNHNPSEACFDIDFCRSKLSSFNYPSHSINQSVSSSDVGVVPDKNTVSEISYSFGINSSDSSGMVSGGNSQNQATQLCGMDREARVLRYREKRKNRKFEKTIRYASRKAYAETRPRIKGRFAKRAELESDVDHLYSSSNDVVSVPATFMLDTHYGVVPSF >OIW17609 pep chromosome:LupAngTanjil_v1.0:LG01:36036720:36038117:-1 gene:TanjilG_28959 transcript:OIW17609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKTCIAMVPSPGLSHLIPLVEFAKQLVQQHHNIYVTFLIPTLDSPSSSMLSILNNLPSNINFTILPQINIQDLPQNAHFATKMSLTVKHSLPFLHEALKSLSSSTNLAALVFGMFSADALDVAKQFNLLSYVFYATGAIFLSFIVSLPNIDDGVFTDLTNTVNIPGYVVPYKVKDLPDPIPNERSSKSIVGTSKLLSLVDGVIVNSFTDLEGDAIRALEEKRLNGKSAPCVYPIGPIIQSKSTNNENQFECIEWLNKQPPKSVLYVSFGSGGTLSQDQLNELAFGLELSNKKFLWVLRAPSNSSSSAYLTRQKENPLDYLPLGFLERTKAQGLVVPSWAPQIQVLSHGSIAAFLSHCGWNSTLESVVHGVPVIAWPLFAEQRMNAVTLTQVLKVAIRVKVDDESGIVKRDEIAKVIKRIMEGNEGLEISKRIKNLSYAASATLSQNGSSYNVLSDLALKWQNI >OIW18281 pep chromosome:LupAngTanjil_v1.0:LG01:24847273:24847920:1 gene:TanjilG_31421 transcript:OIW18281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKFWLLMTQFHSLAGPLLTLLYPLYASVVAIESTSKLDDEQWLAYWIIYSFLTLVEMVLQPILEWIPIWYTAKLLLVAWLVLPQTKGAAFLYERFARPHIRKYLPAGTVHHSNSPTSS >OIW18042 pep chromosome:LupAngTanjil_v1.0:LG01:29799113:29800243:-1 gene:TanjilG_07533 transcript:OIW18042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNVINEHKEAKSIAKEDQAEVQEDLVDVLLKFEDGNGINQDICLTKNNIKAILQIYCLHMPATKTLRFIAPCCTTQIEGLIQCFKFLTSLVLDWAMSEMRDVFNEKESSIDYKENKFEYIPFGAGRRICPRSTFGLMSVEMALAFLSYHSDWKLPNGMKNEDLDATEVFGVTIRRKEDLYLIPIAFRPSLAT >OIW19567 pep chromosome:LupAngTanjil_v1.0:LG01:138081:140947:1 gene:TanjilG_18377 transcript:OIW19567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARYRSRSRSYSPRRRSPTPPRRDSRSHRDRRSPLPSGLLVRNLPLDARPEDLWGPFERFGPVKDVYLPKNYYTGEPRGFGFVKYRYGEDAAEAKQQLDHTIIGGREIRIVFAEENRKTPQEMRVTTRGSDRHGGGRKRHRSRSRTPRRRYHSYSRSPSPARESSRDGRGRNDYYSPKRGRSYSRSQSPRGGKDYKRSPHPGENGRSPSDKRDRAPSRSRSYSRSPSPSPGKEHRRSPHPMENGRSPNDKRDHAPSRSPSPRGNGRSPSRSRSRSYSPH >OIW18919 pep chromosome:LupAngTanjil_v1.0:LG01:19094453:19094707:1 gene:TanjilG_25362 transcript:OIW18919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDEANKADCELIFKSIDKNGDGKISLDEFSDAVKASGTSSNVDVPSKMKEIDKDGDGFISIDELWEFFSAHPQMLKEAVSKVA >OIW18079 pep chromosome:LupAngTanjil_v1.0:LG01:28988721:28994413:-1 gene:TanjilG_08549 transcript:OIW18079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEKEMREEERKQKQIAMEDLSKNLKEGERIVGPTRRPDGTFRKPFRIRAGYVPQDEVAIYQSKGALWKKEMASQVRPPGYGGDGPALDGKPKTKSVKRNERKKEKRIQAALGKEKDLESTAAEDSAKQEVVSIINSGHTSESVNSLTSRINELAVSGNSSIVTPTSNSVDGSAQICSIQDVDKRIRALKKKIRLTEELQQKTAAKDLKPEQLDKLAKLEDWQNELKVVYYLQLHQKQKKQPLLWLLNASKPPPPPEKGARKPPPVGATSPNPPPADAIVENSLPVDAAAAAKPQPYEAMPAKRPPVLKYFLFYQHL >OIW19180 pep chromosome:LupAngTanjil_v1.0:LG01:9062659:9065022:1 gene:TanjilG_01213 transcript:OIW19180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQVEKTKSGREYKVKDLSQADFGRLELDLAEVEMPGLIASRAEFGPSQPFKGARITGSLHMTIQTAVLIETLTALGAEVRWCSCNIFSTQDHAAAAIARDSAAVFAWKGETLQEYWWCTERALDWGPGGGPDLIVDDGGDVTLLIHEGVKAEELFEKTGELPDPNSTDNAEFQIVLSIIKEGLKTDPTRYRKIKDRLVGVSEETTTGVKRLYQMQANGTLLFPAINVNDSVTKSKFDNLYGCRHSLPDGLMRATDVMIAGKVAVVAGYGDVGKGCASALKQAGARVIVTEIDPICALQALMEGLQVLTLEDVVADADIFVTTTGNKDIIMVDHMRKMKNNAIVCNIGHFDNEIDINGLETYPGIKRTTIKPQTDRWVFPETNTGIIVLAEGRLMNLGCATGHPSFVMSCSFTNQVIAQLELWKEKGTGKYEKKVYVLPKHLDEKVAALHLGKLGAKLTKLSQSQADYISVPVEGPYKPAHYRY >OIW17687 pep chromosome:LupAngTanjil_v1.0:LG01:35212239:35215724:1 gene:TanjilG_29037 transcript:OIW17687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSATGGASISGENRSRNRHIGENRFYSPPPLRKHKEKQEQQQQQQQQRSSLSRTSSENRPCSSSDCSISSRATSDVSNLDRFLECITPLVRAQYLPKRNSKRWKTREAELHPYFELGDLWESFKEWSAYGAGVPLVYGNESVTQYYNVSLSAIQLYIDPSKPLARLRKPSQESDSESAFETSSDSSNGYCHERGAKSVHGSRNHLNIVDACNHDLERLSLSKPPIGLSSDLTESCNPPGQLIFQYFEHESPYNRPPLADKARCYPLISDLARQFPELNTYWSCDLSPASWVSLAWYPIYRIPTGPTLQSLSACFLTYHSLSTALQSPNTDALHIHYARGRDISSKLSLPIFGLAFHKFKVSVWDPDGVSECQKANSLLQAAGNWLRLLGVDHHDYNYFISHSPHLR >OIW17669 pep chromosome:LupAngTanjil_v1.0:LG01:35342757:35344013:-1 gene:TanjilG_29019 transcript:OIW17669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPTGAKEAQLRESNSQKVHPQPMEEAMNQNPEAVETLISQVFTNISSLKSAYIQLQAAHTPYDPAKIQSADKLVIGELKTLSELKHFYRENNPKPVCVSPQDSHLAAEIQEQQSLLKTYEVMVKKFQSEIQNKDSEVHQLQQLIEEAKQKRSKLEKNLKLRGLSGKESEDGNGFFPVDLTPDLFTSTVEAAAKAIHDFSKPLINMMKAAGWDLDSAANSIEPDVVYAKRAHKKYAFESYICQRMFSGFEQESFSVKSDNITVSKESFFHQFLALSEIDPLDMLGQNPDSVFGKFCRSKYLVVVHPKMEASFFGNLDQRNFVTSGGHPRTPFYQAFLKLAKSIWLLHRLAYSFEPNAKVFQVKGGSEFSDVYMESAVNLVMDDNDEKPKVGLMVMPGFWIGGSVIQSKVYLSGMKVSE >OIW19725 pep chromosome:LupAngTanjil_v1.0:LG01:1392543:1395929:1 gene:TanjilG_18535 transcript:OIW19725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALIIFLFLSLLELSFRVNSDPTQDKQALLAFISQTPHASRLKWNASDSVCNWVGVQCDSTTSYVYSLRLPAVGLVGPVPPNTIGRLTHLRILSLRSNAVTGQIPSDFSNLTFLRSLYLQNNEFSGEFPSSLTRLTRLTRLDLSSNNFTGTIPFSVNNLTQLTGLFLENNTFSGKLPSITTKLVNFDVSNNRLNGSIPETLAKFPSSSFAGNLDLCGGPLQPCKSFFPAPAPSPDSDTVPSNAIQKKSKKLSTGAIIGIVVGCVAGALLLLLLLLFCLRKRQRRKSPKPPKSVTTAARSVPTAEAGTSSSKDDITGGSGEGERNKLVFLEGGIYSFDLEDLLRASAEVLGKGSVGTSYKAVLEEGTTVVVKRLKDVVVTKKEFEMQMEMLGKIKHQNVVPLRAFYFSKDEKLLVYDYITAGSFSALLHGSRGSGRTPLDWENRMRIALGAARGLACLHVSGKVVHGNIKSSNILLQGPDNHASVSDFGLNPLFGTGAPANRVAGYRAPEVLETRKVTFKSDVYSLGVLLLELLTGKAPNQASLGEEGIDLPRWVQSVVREEWTAEVFDAELMRFHNIEEEMVQLLQIAMACVSVVPDQRPNMEEVVRMIEDMNRGDTDEGLRQSSDDPSKGSEGHTPPTESRTPPRSTP >OIW19060 pep chromosome:LupAngTanjil_v1.0:LG01:15505028:15505588:-1 gene:TanjilG_10621 transcript:OIW19060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIKVTCVILMCMVVVGAPIAQATITCGQVVSGIAPCLTYLQSGGAVPGTCCNGVKGLVALAQSTADKQTACNCLKSVAASTQFNPENAASLPGKCGVNLPYKISTSTNCNTYL >OIW17817 pep chromosome:LupAngTanjil_v1.0:LG01:34024172:34025981:1 gene:TanjilG_02445 transcript:OIW17817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSAATLLHSPLPKELIDEKGGMIFDKHVLQQLEHIPKEYHWPSSDLVETSQEELNEPLIDIGVMMNGDEVSIAKAAELVRDACMKHGFFQVINHGVDQNLITEAYEGTKHIFNLPLSMKMVARNVRGELEGYSGAHVEKFSSKLPWKETFTWRYHHDDESESQVVEYFKSALGEEFQHIGLVFERYCKAMKELCLMIMELLAISLGVDRLHYRNYFLDGEQTMRLNSYPPCKENHLTLGNGPHTDPISLTLLHQDHVGGLEAFLDNKWLPVRPRPDAFVINLGDTFVALSNGRYKSCMHRTRINKENERFSMTCFVNSRVDKIVRPPETLFGEDEPRKYPDYVWSDLENFTQLHHRVDAANLESFFQWIRSSKSSN >OIW17623 pep chromosome:LupAngTanjil_v1.0:LG01:35855283:35873822:-1 gene:TanjilG_28973 transcript:OIW17623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVQRVWNLTSYPLRLLFRHSSSYAFRQTPRTLLIRSSSSSTTTSSADKPAARASARNRRNPSTTSTSDREAIRAIRLKKVEELRSKGLDPYAYEWDKTHSATQLQDIYRDLGNGEESNSENDHVSVAGRIVARRAFGKLAFLTLRDDSGTIQLYCEKERLIGDQFEDLKAHVDIGDILGARGSIKRTEKGELSVCVLSFAILTKSLLPLPDKYHGLTDIDKRYRQRYVDMIANPEVADVFRKRAKVVSEIRKTMDSLDFVEVETPVLQGAAGGAEARPFVTYHNSLGRDLYLRIATELHLKRMLVGGFEKVYEIGRIFRNEGISTRHNPEFTTIEMYEAYSDYQSMMNLSEEIVTRCALAINGKLTIDYQGVEICLERPWRRETMHNLVKEASGIDFNDLGNDLEGAKKTTLSTLGKDLDNKDKASIEACQSVGHLLNEVFEIFVEPKLIQPTFVLDYPVEISPLAKLHRRSAGLTERFELFICGRELGNAFSELTDPIDQRGRLEDQIRQHEKKRAEAASRTSDKKEGTENEDDSYEVTLDDDFLTALEYGMPPASGMGLGIDRLVMLLTNSPSIRDVIAFPVSEFECCDWKIGVLRELGNKAQKRVHALNRDLPPPNEQFILDFEKIQSQFPDQDQLRSVTESILILLVGQCSGHGPRSDFLLFVLQSLSSIGYINWDTFLQSLLSSVSSAEVQGQLNQAMPTVSSSSLSQSGILPPPGTIANTSNFQSSNPASPLPSVHPIGSPAQSIIEPLSCATLSPVKLSDISSTGQQSKLRGTPSVRNNDISSLRQLCCRIILAGLEASLKPTTYTEIFHHMLNWLVNWDQRQQGVDESDMLKSWRPDKALSAWLHSCLDVIWLLVDEGKCRVPFYELLRSDLQFIENIPDDEALFTLILEIHRRRDMMAMHMQMLDQHLHCPTFGTPRILNQTTPNISGDAVAQLRLSPITYLSVLGEPLHGEDIASFIQKGSLDWERAVRCIRHALRTTPSPDWWRRVLLLAACYRPPSQGPTPGAVFSSDMIFLAVFWSVVGDVQLYVQGDFFAGFTPKFDLGWSYDLASL >OIW19469 pep chromosome:LupAngTanjil_v1.0:LG01:2389807:2393852:1 gene:TanjilG_09489 transcript:OIW19469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALILNSVTLILFFLSRVALSSSSSSSSEPRNHEGKQKSKKLAIALGISLSCASLMLLFFGLIWYRKKQQYQATLYISGYKEDGVVNLGNLKKFTFRELQHATDNFSSKSILGAGGFGNVYRGKFGDGTMVAVKRLKDVTGSAGESQFQTELEMISLAVHRHLLRLIGYCATPCEKLLVYPYMSNGSVAARLRGKPALDWNTRKSIAIGAARGLLYLHEQCDPKIIHRDVKAANVLLDDYCEAVVGDFGLAKLLDHADSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELITGMTALEFGKTVNQKGAMLEWVRKIQHEKKVEVLMDRELGSNYDRIEVGEMLQVALLCTQNLPAHRPKMSEVVRMLEGDGLAEKWAASHNHSSQSITPCHSNSSSSSSHPTSSASKHDDTAHDRSSIFGMTMDDDDDRSLDSYAMELSGPR >OIW17922 pep chromosome:LupAngTanjil_v1.0:LG01:32639815:32645382:-1 gene:TanjilG_17758 transcript:OIW17922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFESRSIFFLFFFITLAFASESDHKYQQDDPVTLWVNKVGPYNNPQETYNYYSLPFCHPSSSAEAAHKWGGLGEVLGGNELIDSQIEIKFLRNVDKTVFCQVALDEAKVKQFKDAIENNYWFEFFMGYVGELHPDKNSDSGKHVLYTHKNIIVKYNKDQIIHVNLTQDIPKPLEAGKHLDLTYSIKWVPTNVTFRHRFDVYLDYPFFEHQIHWFSIFNSFMMVIFLTGLVSMILMRTLRNDYAKYAREDDDLETLERDVSEESGWKLVHGDVFRPPRYLVVLSAVVGTGAQLALLVLLVILLAIIGMLYVGRGAIITTFIVCYALTSFISGYVSGGMYSRNGGKSWIKSMILTASLFPFLCFGIGFVLNTIAIFYGSLAAIPFGTIVVVFVIWAFISFPLALLGTVVGRNWSGAPNNPCRVKTIPRPIPEKKWYLTPSVVSLMGGLLPFGSIFIEMYFVFTSFWNYKVYYVYGFMLLVFLILTIVTVCVTIVGTYFLLNAENYHWQWTSFFSAASTSVYVYLYSIYYYYVKTKMSGFFQTSFYFGYTLMFSLGLGILCGAVGFLGSNLFVRKIYRNIKCD >OIW18476 pep chromosome:LupAngTanjil_v1.0:LG01:20531581:20532957:1 gene:TanjilG_13228 transcript:OIW18476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDRFLTKHRRRQIQRACRRGSLTFLCLFLTVVVLRGTIGAGKFGTPEQDLNKIRNHLSSRGGRRVEPRRVLEEVKSDTTTTTTADSNNYATFDISKILVDEGGEDEFKRDPNIPYTLGPKISDWDQQRSNWLQSNPDYPNFVGPTKPRVLLVTGSSPKPCENPVGDHYLLKSIKNKIDYCRLHGIEIFYNMALLDAEMAGFWAKLPLIRKLLLSHPEVEFLWWMDSDAMFTDMAFEVPWERYKDHNFVMHGWNEMVYDEKNWIGLNTGSFLLRNCQWSLDLLDAWAPMGPKGKIRDEAGKILTRELKNRPVFEADDQSAMVYLLATGKEQWGGKVYLENHYYLHGYWGILVDKYEEYIENYHPGLGDHRWPLVTHFVGCKPCGKFGDYPVERCLKQMDRAYNFGDNQILQMYGFTHKSLASRRVKRVRNESSNPLEVKDELGLLHPAFKAIKLPTTS >OIW19732 pep chromosome:LupAngTanjil_v1.0:LG01:1460755:1461416:1 gene:TanjilG_18542 transcript:OIW19732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSITLRGLSSSFFITFIVVFHFSFPCHGDVGTASRYSPPYIPSGCYGSDATEFPSSNLFAAAGDGIWDNGAACGREYIVRCISAEEPRTCIPNQSIQIKIVDYVDSAVSLPSINGTTMVLSDMTFGTIANTSATFINIEFQQL >OIW18772 pep chromosome:LupAngTanjil_v1.0:LG01:22933549:22937673:-1 gene:TanjilG_13524 transcript:OIW18772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTDRLTRVHSLRERIDETLSTNRNEILTLLSRIESKGKGLLQHHQIIAEFEEIPEENRKKLSNGPFGEVLRSTQEAIVLPPWIALAVRPRPGVWEYLKVNVHALVAEELQAAEYLKFKEELVDGSSNGNFVLELDFEPFNASFPRPTLNKSIGNGVQFLNRHLSAKLFHDKESLLPLLEFLRSHSYNGKTLMLNDRIQTPDSLQNVLRKAEEYLSTLAPETPYSAFEHRFQEIGLERGWGDTAERVLEMIQLLLDLLEAPDPFTLETFIGRIPMVFNVVILSPHGYFAQDNVLGYPDTGGQVVYILDQVRALESEMLKRIKQQGLDIVPRILIITRLLPEAVGTTCGQRLEKVYDTEHCHILRVPFRTEKGIVRKWISRFEVWPYLETYTEDVVQELAKELQGKPDLIVGNYSDGNIVASLLAHKLGVTQCTIAHALEKTKYPESDIYWKKFDEKYHFSSQFTADLFAMNHTDFIITSTFQEIAGNKDTVGQYESHTAFTLPGLYRVVHGINVFDPKFNIVSPGADQTIYFPYTETSRRLTSFHPEIEELLYSSVENEEHICVLKDRNKPIIFTMARLDRVKNITGLVEWYGKNARLRELVNLVVVAGDRRKESKDLEEKAEMKKMYSLIETYKLNGQFRWISSQMNRVRNGELYRVICDTKGAFVQPAVYEAFGLTVVEAMTCGLPTFATCNGGPAEIIVHGKSGYHIDPYHGDRAADLLVEFFEKSKADPSHWDKISGGGLQRIEEKYTWQIYSERLLTLTGVYGFWKHVSNLDRRESRRYLEMFYALKYRKLAESVPLAVEE >OIW19290 pep chromosome:LupAngTanjil_v1.0:LG01:5592129:5597624:1 gene:TanjilG_20415 transcript:OIW19290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESIAAQLNCGISRQFSTGGGASMRRNLTRQFTRQSSMDPRRNNLRFSFGRQSSLDPIRRGSPTPDHIELTVPENLDLTMQLLFMACRGDMKGVEDLLNEGIDVNSIDLDGRTALHVAACEGYVEVVRLLLSRKANIDARDRWGSTAAADAKHYGNSEIYYMLKARGAKVPKVNKTPMTVANPREVPEYELNPLELQVRKSDGISKGTYQVAKWNGTKVSVKILDKDSYSDIDSIDAFKHELTLLERVRHPNVVQFVGAVTQNIPMMIVVEYHAKGDLASYLQKKGRLSPSKALRFALDIARQVTILAMLGMNYLHECKPDPVMHCDLTPKNILLDNGGQLKIAGFGNVRLSQMSSDKVRMVRPEADIDLSNLYLAPEIYKDEEFDRTVDAYSFGLIVYEMIEGTQPFQPKSAEEAAKLLCLEGRRPAFKIKTKHYPPDLKELIEECWDSEPLVRPTFSQVIVRLDRIVAHCAKQGWWKDAFKLPWYVS >OIW18113 pep chromosome:LupAngTanjil_v1.0:LG01:28421917:28423407:-1 gene:TanjilG_19379 transcript:OIW18113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDEGTQPYNITYSTIITCAKKCNIFNKVVHWFKRMYKTGLMPDEVTYSAILDVYVMLAKVEEVINLYEKARATGWKPDNITFSVLGKLHHFLVQDQVPPFPLETATTIVEEELGSSSHGIFDQFDYEPIAATSLGQAHRAKLKGQNVVVKVQRPGLKGLFDIDIKNLRVIAEYLQKVDPKSDGAKRDWIAIYDECASVLYQAGSVLWSCTCENQGSSLINKEITKDVTSVVLTGGIGWPTIYRDR >OIW18575 pep chromosome:LupAngTanjil_v1.0:LG01:21226786:21227877:1 gene:TanjilG_13327 transcript:OIW18575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGNKDDALKCFNIGKKALESGDRTRALKFLTKARRLDPALPLDHLFSSLENDDSTFQTASATPNTRSGASSPKQSDQSSIRRRASATTDSSSSSVTYTEEQVAVVREIRRKKNYYEILGLEKSCSVEDVRKAYRKLSLKVHPDKNKAPGAEEAFKSVSKAFQCLSDEESRKKYGLSGEDESVFEARATRTRGHHHHHHHHGFYQGDVDAEEIFRNFFGGMAPAATNFGGFSFGHNMGPRQGAAEHGSGGFNVRALIQLLPVLLVLLLNFLPSSEPIYSLSRSYPYEHRFTTPQGVNYYVKSSKFDEDYPPHRPERTSIEDRVEREYIGILRQNCRLEVQRRQWGYIQETPHCDMLRKFSSVN >OIW17598 pep chromosome:LupAngTanjil_v1.0:LG01:36438358:36450574:-1 gene:TanjilG_11162 transcript:OIW17598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDYEWGNQSYMMLTPNEDGSSSSSATTTTTASDQAHRQIFDHYASHNFLPDYFLHGPGPTNTTTTTNIDFSHHQQHFNPQAHPTFFDPRAYHAASSTYYPPLQPSILSLDPMSHANSGPEPRPGYIVVPKSEDMVRTMDFAGSRLGLNLGGRTYFSSSEDNFVSQLYHRSRPAELSSTVSSNSPRCQAEGCNADLSQAKHYHRRHKVCEFHSKASTVIAAGLTQRFCQQCSRFHLVSEFDNGKRSCRKRLADHNRRRRKTQQPTQQVEAHCFSQVLVDEGNGYSRMAILNRPSALNAINTNMATRLHKLYRTWEDNPHIGFLMIKGSGRAFAAGGDIVALYHLINQGNMEACKQFFRTIYTFIYLVVMILMQVALLNGITMGGGAGVSIPGTFRVATDKTIFATPEVLIGFHPDAGASFYLSHLPGHLGEYLALTGEKLNGVEMVTCGLATHYSLTARLPLIEEQLGRLVTDDPSVIETTLEQYGDLVHPDSRSVLQRIEIVDKCFGHDTVEEIVDALEVAASETKDAWCISTLNRLKEACPLSLKVSLRSIREGRFQTLDQCLSREYRMTLQGISKQISGDYCEGVRARVIDKDMAPKWDPPTLEKVSQDMVDQYFLPLSESEPDLELPTKNREAFF >OIW19722 pep chromosome:LupAngTanjil_v1.0:LG01:1357828:1360921:-1 gene:TanjilG_18532 transcript:OIW19722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSSLQWTQFLIAVTVAFFIAFRAHKRKSLNTSGAIAGFIVMSLHIFVGSRFGAMLLAFFFTSSKLTKIGEDKKRKIDPEFKEGGQRNWLQVLANSGVASVLVVIIWVLTKGQDKCLNSNDSALVTFFIGGVIGHYSCCNGDTWSSELGILSDDQPRLITTFKPVRRGTNGGVTKAGLLAAAAAGSVIGVSFVLLGLLTTKCGFDIALKQLLVIPIATFAGLCGSIIDSLLGATLQFTGFCSVRQKVVGKPGPTVKKISGVSILDNNAVNLVSILLTTILTSIACLYIF >OIW18410 pep chromosome:LupAngTanjil_v1.0:LG01:23207164:23209680:1 gene:TanjilG_31550 transcript:OIW18410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPFIIFSLLLLTTTTNSAPASDSFIISCGSSSDETDSNGRTWSSDSKYLTPSSHDSTISIAKYQDPSLPSQIPYMTARIFTSISTYQFNVSSNQRFFLRLHFYPSTYSPLDPKNAYFNVVANEFTLLHNFSAFITAKALTQAYFISEFCIVTSQSSKLNITFIPYSPTSSYAFINGIEIIPMPDHMFQTATLIDTDQSFEVGNNSLQTMFRLNVGGQSIAPNKDSGLSRTWYDDSRYLFGAAAGVTFTSDKNVKIEYPSSMNEKIAPLDVYSTARTMGPNATVNLNYNLSWVFQVDANFTYVVRFHFCELELTKINQRVFDIYINNQTAQESADVIAWSGSEGVPFYKDFATYVMDGPGDEELWVELHPSVSSKPEYYDAILNGLEIFKVNDSDGNLAGPNPTPSHLLLDAERREGKKLSTSKHDCDKAIGGAVGAGFVVIVAVCIFVVYRKKKRNGFANDSVLGNWIPLCGSSHKPTTVSDKSSGGSSNLSSLTQGLCQHFSLAGIKHVTQNFDESQVIGVGGFGKVYKGCIDGGTKVAIKRSNPSSNQGVTEFQTEIVMLSKLRHQHLVSLIGFCEENGEMILVYDYMANGTLREHLYKSDNPDLSWKQRLKICIGAAKGLHYLHTGAKNTIIHRDVKTTNILLDESWVAKVSDFGLSKSGTNLNQTHVTTMVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLFEVLCARPALDPSLPKEQVSLADWALLNLRKGTLEDIIDPFLKGKINHESLKKFVETAEKCIADNGLERPSMGDVLWKLDFTLQLQEAPDGAKHASPKATWDTEEGTIYSGSELDDSNSSAIFSQMESQSGR >OIW18309 pep chromosome:LupAngTanjil_v1.0:LG01:24481135:24484202:1 gene:TanjilG_31449 transcript:OIW18309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKASMSHEEIANEAIKHALKALKKRHLLEEAAHAPAFEALSRPFISQGSEWKEKAENLQVELQQCYKVQSRLSEQLVVEVADSRVSKALVQEKETAIADMQKELTEVREESSQLNLDLEQKIKELEVVVSENSELKAQLGKMTSIAKNAEAENKMLTDRWMLEKMKDAERLNEANALYEDMIQRLRASGLEQLAKQQVDGIVRRSEEGAELFLESNIPSTCKYRLNAHEGGCAAISFEYNSSRLITGGQDRLVKVWDTNTGTLSSNLRGCLGSVLDLIITHDNRSVIAASSSNNLYVWDLSSGRVRHTLTGHTDKVCAVDVSKVSSRHVVSASYDRTIKVWDLVKGYCTNTIISYSNCNALSFSMDGQTIFSGHVDGNLRLWDIQTGKLLSEVAAHSLAVTSISLSRNGNVVLTSGRDNLHNLFDVRSLEVCGTLRAAGNRVASNWSRSCISPDDNHVAAGSADGSIYIWSISTGDIVSTLKEHTSSVLSCRWSGIGKTLASADKNGIVCLWT >OIW18643 pep chromosome:LupAngTanjil_v1.0:LG01:21856211:21857506:-1 gene:TanjilG_13395 transcript:OIW18643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLEEELFPSTPGKFKIERTHHMNRQFHRCFSSSSTMFLWTLFLIALTASYFSFQSFVSSGSRYLTASWGGIQWEKQVRTSAQIHRQGGMSVLVTGAAGFVGSHVSLALKRRGDGVVGLDNFNDYYDPSLKKARKALLSTHGVFIVEADVNDAKLLAKLFDVVAFTHVMHLAAQAGVRYAMENPQSYVHSNIAGLVTLMEACKSANPQPAIVWASSSSVYGLNEKVPFSELDQTDQPASLYAATKKAGEEITHTYNHIYGLSITGLRFFTVYGPWGRPDMAYFSFTRNILQGKPITVYRGKNRVDLARDFTYIDDIVKGCLGSLDTSGKSTGSGGKKRGPAPFRIFNLGNTSPVTVPTLVSILEGHLKVKAKKNVVDMPGNGDVPFTHANISSARIELGYKPTTDLQTGLKKFVKWYLSYYGYNHGKPVN >OIW19490 pep chromosome:LupAngTanjil_v1.0:LG01:2229871:2232490:-1 gene:TanjilG_09510 transcript:OIW19490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGLYVLASESVQIPWFDSVFADIGDEQSLSQSLSTFSGHLKQIGHFQNIYTSIHHRDPMKLSDLYTFQFRLISILNVDYFLNIKLNSTSQSILMVLRVRNCQVGAGRSNAINIAERLGLTSVVVDGARKLYGSASAEIDEVITDMEKLKQDYQELLDEGHHHLMLSRELYNSLLSTRRKIMKHSSNLRYKKMRDVSEAAAMARSILHKKVRQLDASPKKPSQPNKTIKSSQSSATNNRHTAADSKEPTTIADGSASAVKKVNQLSPDRSKLPKVGDMVNVTSLGRKAAVLKVDSSKGEIVVQAGSMKLKLKVTDIQIS >OIW17695 pep chromosome:LupAngTanjil_v1.0:LG01:35152485:35155838:1 gene:TanjilG_29045 transcript:OIW17695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCNACVRRGSDVEVTVEENHSHSNRATTTTTAATKKKRNKPNPFAEEPLNSPAPIRVLKDVISLSHLTRISDKYVLGRELGRGEFGITYLCTDRETKQQLACKSISKRKLRTAIDVEDVRREVAIMSMLPEHQNLVKLKATYEDEENVHLVMELCEGGELFDRIVARGHYSERAAAHVARIIAEVVRMCHANGVMHRDLKPENFLFANKKENSVLKAIDFGLSVFFKPGERFSEIVGSPYYMAPEVLKRNYGPEVDIWSAGVILYILLCGVPPFWADSEQGVALAILRGVIDFKREPWPQISENAKSLVRQMLEPDPKKRLTAQQVLEHSWLQNAKKASNVPLGDIVRTRLKQFSVMNRFKKRALRVIAEHLSVEEVEIIKDMFTLMDTDKDGKVTYEELKAGLRKVGSQLAEPEMKMLMEVADVDGNGVLDYGEFVAVTIHLQRMENDEHIHKAFKYFDKDGSGYIELCELEEALADESGETETDILNDIMREVDTDKDGRISYDEFVAMMKTGTDWRKASRQYSRERFKSLSINLMKDGSLQLHDGMSGEAVVV >OIW18399 pep chromosome:LupAngTanjil_v1.0:LG01:23308576:23311570:-1 gene:TanjilG_31539 transcript:OIW18399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILSNGQRSSSGDDDHFPAGLRVMVVDDDPTCLMILEKMLRTCLYQVTKCNQAEIALTLLRENRNGFDIVISDVHMPDMDGFKLLEHIGLEMDLPVIMMSADDSKNAVMKGVTHGACDYLIKPVQIDSVKNVWQHVVPKKKNEWRDGEQSGSAEEGSWRSSKKKRDEDEDADERDDTSALKKPRVVWSVELHQQFVAAVEQLGIDKAVPKKILELMNVPGLTRENVASHLQKYRLYLRRVSGVSQHPNNSFLNQQNAAFGSISSINDLQTLAVSGQLPAQSLATLQAAGLGRSTAKAGVPMPLMDQRNLFNFENQRLRIGEGQQHHLSSSKSIDLLHGIPTNMEPKQLADLHQSTLSIRNLNMGVNASTTQSNPLLMQMVQSQPRGQVLSENTGLYPRLSSSLAQPSRNGIFNGPISINGTANSNRAPAQKSPMLSFPMNQTHEMSVISFPLVSTPGISSVTTKGSFQEEVTSGIRRDGGFVPSYDIFNELHHYKSNEWGITTPGLTNTSSQPSNPFQGEGLEQGNLYNADQHFNKLADNSERVKVERIPDESSQTNLFAENYAQEDLLSALLKQQEGIGPVENEYDFDGYSLDNVPV >OIW19663 pep chromosome:LupAngTanjil_v1.0:LG01:940141:942018:1 gene:TanjilG_18473 transcript:OIW19663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESARENSSSGDLRTSPDATNLGEFQQTSPLTEQAHGSTNINHLGNNTTPPLSLSSPQNPFLDSPNDHAYQPSPGNPFQDQTSTNNKANNVAAEFSDDDIPISSSGSNFEPNTNKGPEIQNPPMQVMERQGDSSTNPSYTFPSHVFARNNTNNALVEWSTASNESLFSIYMGNMSFSNEIPNFSGGNNKSTELDKPYEMPMPDQPPNVETSPSPQPPNAATSQSPQPPTAPVITTPVNKFNDISQRTAEMHVECSKAKATEAKAAETMREVIMETSKTNEDVGKGGDDKNSNARRQSDGSTQSFAFQSVSSKGEEKQKQQKQSSERKETANAVDEPSKSNTNPPSKGWLSCFPCCS >OIW18868 pep chromosome:LupAngTanjil_v1.0:LG01:19632200:19640187:1 gene:TanjilG_25311 transcript:OIW18868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWNKKEREIKLVCPSLSSKVVNFIAWDEQKIDLGSIAEAFGLDPSTLKLNGYFISRGVDFISSSVTWNSLLTFFSSKALSTAKDDCDDALVVTGKLCKVGNKRGHESRDFQNGIGKVMEGEIACSSRGTQLEAINLLKNKKPRVSNSEIPEELWNVVPQTEEDLSYMFEDVTTPVKACGDLAYTIGNSDNMQKELEEYRETSQVKRRRMLQFNSQDSDHSLSSEEATSAYLKLNDKEDTMKDIFPDVSQWMSGAEVCFEKIVPPVELNDTTPSSEQNVVQQPVTRTRGNIIFKGRKYFIRTPTKLASYVAYPFAFIKPSGAHGDITLKEINRRIQTPPPSKSKQSSEDPSAYPKSAFTGKPVVGKTKIRTEGGKGSITIMRTKG >OIW19520 pep chromosome:LupAngTanjil_v1.0:LG01:1992007:1992789:1 gene:TanjilG_06975 transcript:OIW19520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWFSWLSRTKLDPSLIEDYGLTFARNELQLEDASYFNHEFLQSMGISIAKHRLEILKLVKKEEAEAEAATRPNKKLSKVMNKYIKKFMSKFGFHENHNNIKDIKGIPQPQEGTHVIIKQQHGTEELKHEKLPRVPMFRSRTIALSGPLDHSRMNEKMVCNKTLRLSGPIDGKMHERMMMYTNRSPLISRPVVERFTATAKSPRFSAPKLIITRPSGATRAEIESPICGYSPYRKPNADFDYDDDDRTLWPTLFQDLKPT >OIW18908 pep chromosome:LupAngTanjil_v1.0:LG01:19210812:19214969:-1 gene:TanjilG_25351 transcript:OIW18908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSYSSSPSPSPSPVHASFKRVGTHNGSFHCDEALGCFMIRLTHKFSNAEIIRTRDSQVLEGLDAVLDVGGVYDPSRDRYDHHQKGFGEVFGHGFSTKLSSAGLVYKHFGKEIIANELRVNEEHPDVHHIFLAVYRSFMEAIDAIDNGINQYDTDQSPKYVNNTHLSSRVGRLNLDWTDLDQSPEKENESFQCAMALAGSEFLDSVRFHVGSWLPARSIVKETLLARHTVDPSGEVLVLNKFCPWKLHLFELEGELKIDPPIKYVLYQDERSKQWRVQAVSVSPDRFESRKALPAQWRGLRDDELSKEAGIPGCVFVHMSGFIGGNQNFDGALAMAKAALKI >OIW19312 pep chromosome:LupAngTanjil_v1.0:LG01:4598826:4602842:-1 gene:TanjilG_09096 transcript:OIW19312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAREGISSGVTVIGAEAPSAYHVAPRSEAPNQVHATDAAAAAAATVPTTALVVSPLSVGLDGTAVKKKRGRPRKYGPDGSVNGTALSPMPISSSGLHYNDFSSGKRGKPRGTEYKQSNKNGLDHPGDFNAYSGSTNFMTHIITVNAGKDITMEVISFSQRGPRAICILSANGFISNVTLRQPDSSGGTLTYELMLKKRMSLGTMGRFEILSLSGSFMPTGNQETRSRSGGMSVSLVSPDGRVVGGGVAGLLVAAGPVQVVVGSFLPSNWQDQKPKKSKPDYAPTAVTQAIAVSSAPPPTNAEKEDAMVGHVLQNSGNLNSSLNPPSTFRRENWVNTLAMPDSGKSATDINISLPDS >OIW19727 pep chromosome:LupAngTanjil_v1.0:LG01:1428279:1433908:1 gene:TanjilG_18537 transcript:OIW19727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDPMEDQVKSRRPQKNSKDHRRRGRRSYLNKQEKLQERGFDSQLVPSASCKSVVFATRPGYGHLGTKCVVKANHFLADISASDLSHYNVIITPEVTCRKRSKAIISELVKLHRNTELGKRLPVYDGRRNLYTAGLLPFRYKEFSILLSVEDEGSGSTREREFKVGIKFAARVSMHQLRELLSGKQVDTPQEALTVIDIVLREVAAQSYVSVGRFLYSPDLRKPQQLGGGLESWRGFYQSIRPTQMGLSLNIGMSSMAFIEPLPVIDFVAQILGKDVTSKPLSDSDRVKIKKALRGVKVEVTHRGSFRRKYRISGLTSQPTRELNFPLDEKMNMKSVVDYFQEVYGFTIKYSHLPCLQVGSQKKLNYLPMEACKIVSGQRYTKGLNEKQITSLLKVSCQRPREQEIDILKTIQQNDYECNPYAKEFGISIDNKLSSVEARVLPAPWLKYNDTGREKEYLPQVGLWNMMNKEFSQDPVIPIYSARSDLVKKALKHVHAAALDKLGGKELELLIAILPDSNGSLYGDLKRICETDLGLISQCCLTKYVFKINRQYLANVALKINVKLGGRNTVLLDALSWRIPLVSDIPTIIFGADVTHPESGEDSSPSIAAVVASQDWPEVTKYAGLVCAQPHREELIQDLFKCWKDPHHGIVYGGMIRELLLSFKKATGQKPCRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEPGYQPPVTFVVVQKRHHTRLFTSNHDDRSSTDRSGNVLPGTVVDTKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENNFSADEIQSLTNNLCYTYARCTRSVSVVPPAYYAHLAAYRARFYMEPNVSDIAKPLSARSKDGSVRPLPALKEKVKNVMFYC >OIW17618 pep chromosome:LupAngTanjil_v1.0:LG01:35919663:35919857:-1 gene:TanjilG_28968 transcript:OIW17618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVNPFDLLDDDVDDPSLLIAAEQLKAAAAAAAAAPKKEKAGGRGALTAAQLPSKPLPPSQAG >OIW19468 pep chromosome:LupAngTanjil_v1.0:LG01:2396242:2403256:1 gene:TanjilG_09488 transcript:OIW19468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANQSSAPCPIICASFNQDNSCFAIGTRDGFRIFDTITGKLCYEKTIGAFGIVEMLFSSSLLAIVGAGEQPSLSPRRLCLFNTTTGASLRELNFLTSILAVRMNRQRKKSQLSKNVNSMANQSSAPCPIICASFNQDNSCFAIGTRDGFRIFDTITGKLCYEKTIGAFGIVEMLFSSSLLAIVGAGEQPSLSPRRLCLFNTTTGASLRELNFLTSILAVRMNRQRLIVILQDKAYVYELNSLTILDTIDTVPNTKGLCAFSPISEACYLALPASITKGSALLYNVMDLHLHCEIEAHRSPLAAMVLSSNGMYIATASEQGTIIRVYLVSDATESYSFRRGTYPSTIFSLSFGPSKQIPDILASSSSSGSVHLFNLGLASHPRIKRSSGFLGSIIPDAVSDVLDPAHHHILHNAVPAGVKSYAVISKVENVTDTATSELLACRATMCVITYNGYFLEYNLSIDAKNELSWALGREFNLLTVTLDKPPSS >OIW18332 pep chromosome:LupAngTanjil_v1.0:LG01:24078299:24082162:1 gene:TanjilG_31472 transcript:OIW18332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLLHPNSPFSIKLEASTFLANDHHILTQIPPNITATPPPHDPSNTAGCFIGFHATAPSSRQVVPIGKLRGIRFMSIFRFKLWWSTHWNGSNGRDVENETQFMMLENNSSIGRPYVLLLPLIEGGFRGCLQHGLDDYVDICMESGSTRVIESNFRSCLYIHVDDDPYSLMKEAMKVMKEHLGTFKLLEEKTPPGIVDKFGWCTWDAFYLKVNPQGVWEGVNGLVEGGCPPGLVIIDDGWQTFCRDDEPVTDGGSLNCSIPGEQMLNRLTKFEENCKFREYKSQRVPNNKGMGAFVRDLKDEFKSLKYVYVWHAYLGYWGGIRPNVVGMPQSKIIDLKVSEGAEKMMVDLAVVKVIESRVGLVAPEELYEGLHSHLESVGIDGVKIDVTNILEMISEEYGGRVELAKAYFKALTASVRKHFKGNGVIASMQQSNEFMFLGTETISLGRVGDDFWSKDPAGDPTGTYWLQGCHMVHCAYNSLWIGNFIHPDWDMFETNHVCAEFHAASRAISGGPIYISDSIGNHNFKLLKMLVLPDGSILRCQHYALPTRDCLFEDPLHDGKTMLKIWNLNKYTGVLGLFNCQGGGWCPESRRNKSAPDCSNTVTCFASPKDIEWSKGKEPICIKEVALFAVYTFKDDKLKFLKWEESLEFSLAPFSFELMNVSPVLALPKKAVQFAPIGLVNMLNSGGSIQSLEFDEHENVARIGLRGHGEMRVFASERPQTCKIDEVHVEFDYEDNMVRVHVPWPNSSRLSMVEYLF >OIW19582 pep chromosome:LupAngTanjil_v1.0:LG01:234252:239396:-1 gene:TanjilG_18392 transcript:OIW19582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSGGWSDGESYDRQQRKIPPASSMLWVRNLRRFIGSGAGLGSEALMELETKRILLDIFKEKQKKSAEAGTIPSFYKKKPEDGSISHRVQRLAKYRFLKKQSDLLLNADDLDAMWVCLRENCVIDDATGAEKMNYEDFCHIASVCTEQIGPKCRRFFSPSNFMKFEKDEQGRIAILPFYLYVMRTVSLTQARIDMSELDEDSDGFLQPHEMEAYIRGLIPNLAQLRDMPAAFVQMYCRIAAHKFFFFCDPHRRGKACIKKVLLSNCLQELMELHQESEEEVTDTEQAENWFSLTSAQRICDMFLALDKDANGTLSKQELQEYADGTLTEIFIERVYDEHVRRGKTGGGNSREMDFESFLDFVLALENKDTPEGLTYLFRCLDLQGRGFLTTADIHSLFRDVHHKWIEGGNYELCIEDVRDEVWDMVKPTDPLQITLADLLACKQGGTVASMLIDVRGFWAHDNRENLLQEEEPEEE >OIW18247 pep chromosome:LupAngTanjil_v1.0:LG01:25768858:25775499:1 gene:TanjilG_06331 transcript:OIW18247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCEESPPPLTSVTAPSSSSSCKSSFREIDDAFLQTKTRIWLGEVLQIRLNDQPIISELLADGELLFQVSKVVWKLLLAKHTELGHKKAFKTQPFASKKSGGRYRPYSNVDSFLKICEIVGLTGIDLFTPSDVVERKNTRKVCMCIRSFSKKSRLMNIDVPDFDIVTRMVTMSKDLVGCIRRNIELSHGILTYSSSFDLQKHAGGKSRQGYSVTHSTRDFEAYSNESDDTEIKHTIFQFDGVDDAMSDYTSEENYNIMSPMVEGVLISEDLDHLDIQNKPRNEVPKAEYELFSSKEMLQNHCSENIDHKYELNWSSSPSCGDLHIDYSDLKSDLDTGVEQAKQSRIMDLDYFEHDISYPDVFLEENSTPTVQQSASSQGSTSTPQSIENGTSGMNFLSREAWNLRDQFDAGNHFQNNKSFNLRNDKNDQQDKIKEEYESQDITKCNETACGISSDVRNSYFIKEFKETEHSLNSPDCYSCKINSPDSAESHSTATSSTQPNKFLVYEDKNSQNDLKCLDNDKNDQRDKIKEEYESQDETKCNEAACGITSDARNSYFVKELEETEHSLNSPDCYSCKINSPDSAESHSTATSSTQPNKFLVYKDKNSQNDLKCLDSASCDQSEEFLPNQVNSLPQFCKLDPKGKCAMTSSRAKDNQSSSCVFEGSSQKETTYQDVISAAVVNIGTDDKELKNDCLALASNAQGVDDCEKCPTNSDDANDFCSGVITTQDIGDKGEGVLHVITNDVVIPTNCDEDVLTNQSSKLECNGHQQECQINTDHMDTVHLSEHTCEVHIQEESKPEDESVHSLENLVETESEGSREIPKGKPNTNLVIKSVLGGAATVGLFLVFMNRRKNVGEKGAEPSEVSSKKGKEKIQKYSAQKVNRRNTTEGVYAAAKLKLNKDKNSQNDLKCLDSASCDQSEEFLPNQVNSLPQFCKLDPKGKCAMTSSRAKDNQSSSCVFEGSSQKETTYQDVISAAVVNIGTDDKELKNDCLALASNAQGVDDCEKCPTNSDDANDFCSGVITTQDIGDKGEGVLHVITNDVVIPTNCDEDVLTNQSSKLECNGHQQECQINTDHMDTVHLSEHTCEVHIQEESKPEDESVHSLENLVETESEGSREIPKGKPNTNLVIKSVLGGAATVGLFLVFMNRRKNVGEKGAEPSEVSSKKGKEKIQKYSAQKVNRRNTTEGVYAAAKLKLK >OIW17657 pep chromosome:LupAngTanjil_v1.0:LG01:35459171:35461339:1 gene:TanjilG_29007 transcript:OIW17657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSGSGICAKRVVVDARHHMLGRLASIVAKELLNGQKVVVVRAEEICISGGLVRQKMKYLRFLRKRMNTKPSHGPIHFRAPSKIFWRTVRGMIPHKTKRGEHALARLKVYEGIPPPFDKQKRLVVPDALKVLRLQKGHKYCLLGQLSSEVGWNYYDTIKELEKKRKERSQLVYERKKQLNKLRVKAEQVAQEKLGSQLDILAPVKY >OIW19751 pep chromosome:LupAngTanjil_v1.0:LG01:1588609:1589706:1 gene:TanjilG_18561 transcript:OIW19751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGNKDDALKCFKIGKQALESGDRNRALKFLTKARRLDPTLPLDDLFSTLENDVSPFQTASPTPHTRSGNSSPKHSDQPSIRRRASTTTESSSSSSVTYTEEQVAVVRDIRRKKNYYEILGLEKSCSVEDVRKAYRKLSLKVHPDKNKAPGAEEAFKAVSKAFQCLSDEESRKKYGISGEDESVFERGATRTRGHHHHHQHHGFYAGDVDAEEIFRNFFFGGMAPAATTNFGGFSFGHGVGARQGSEQGSGGFNVRALIQLLPVLLVLLLNFLPSSEPVYSLSLSYPYEHRFTTPQGVNYYVKSSKFDEDYPLHGPKRRSIENSVEREYFSILRQNCRLEMQRRQWGYIQETPHCDMLRKFNSVT >OIW18989 pep chromosome:LupAngTanjil_v1.0:LG01:18012234:18014847:-1 gene:TanjilG_31047 transcript:OIW18989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGKGRVCVTGGTGFIASMMIKRLLSNGFYVNTTTRYGPGKDVSFLTSLPDASEKLRIFNADLNNPESFCPAIEGCKWVFHTATPMNWEEEVDILSKTTVNGTLGVLKASLSSKTVKRVVFTASCTDVIYSGEEVDELDESYWSDIDFIYNTKPTNWSYTVSQLLAEKAVLEFGEKHGLDVVTLVLPFVIGPFISPKLPLSIQMVLPWLFGMLCNVSLLHISNFN >OIW17800 pep chromosome:LupAngTanjil_v1.0:LG01:34209358:34211612:-1 gene:TanjilG_02428 transcript:OIW17800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGAIDMDHVGFSKSTAAPAASHGKKTGPVSMDHVLLALRETKEERDIRIRSLFNFFDAVNNGYLDYAQIEAGLSALQIPPEYKYAKELFKVCDADRDGRIDYGDFRRYMDDKELELYRIFQAIDVEHNGCILPEELWDALVKAGIEMDEEELARFVEHVDKDNNGIITFEEWRDFLLLYPHEATIENIYHHWERVCLVDIGEQAVIPEGISKHVHRSRYFIAGGIAGAASRTATAPLDRLKVVLQVQSERAFIMPAVMKIWKQDGLLGFFRGNGLNVVKVAPESAIKFYAYEMLKNVIGEAQGNKSADIGTAGRLVAGGMAGAVAQMAIYPMDLIKTRLQTSACDGGRVPKLGRLTKDIWVQEGPRAFYRGLVPSLLGMIPYAGIDLTAYDTLKDMSKRYILDREPGPLVQLGCGTISGAVGATCVYPLQVIRTRLQAQPRNSSNAYKGMSDVFWKTLKDEGFRGFYKGLIPNLLKVVPAASITYMVYENMKKNLDLD >OIW18570 pep chromosome:LupAngTanjil_v1.0:LG01:21201839:21206687:1 gene:TanjilG_13322 transcript:OIW18570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIALLKRFSRATPIAFSNTSSGSGGHSSTFRLPFTAIAAVSGGLSSLYYFSSPTLVHSEQIQEEETKNIALVPDKWIEFKLQDTARVSHNTQLFRFSFDPTKKLGLDIASCVVTRAPLGQDAEGKPKYVIRPYTPISDPESQGYFDLLIKVYPEGKMSQYFASLKPGDVVEVKGPIEKLRYTPNLKKHIGMIAGGTGITPMLQVIEAVLKNPDDKTQISLLYANVSPDDILLKQKLDVLAASHPNLKVFYTVDNPTKNWRGGAGYISKDVVVKGLPSPSDDTLILVCGPPGMMKHISGEKAKDWTQGELSGVLKEAGYTEDMVYKF >OIW18539 pep chromosome:LupAngTanjil_v1.0:LG01:20931922:20934780:-1 gene:TanjilG_13291 transcript:OIW18539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNSPKKRLKSELINNDDEEQVCCGICYAERGGGSIAGEIDCCNHFFCFVCIMEWAKHESRCPICRQRFSNVRRPSKLGLFSSSRDVKVPLRDQVYHLYGNMSTGPVVSNAQGECSICHGVTDESLLLLCDLCDTASHTYCVGLGYTVPEGDWFCPDCAISIETRAKQELDQQNVVLTDEPTVAAFDIARELSSHRIIRSRESPVQQNLSSPFVIPLPDRIRRFKGKNPVSGPQHVQRNIQALRENWSALRSGSLRFRSFQSSESGSHEQASSSSSCGKSNKSHSTASTSLQKSTVQGGPSSSMLNERGLHDVDMAWKMLDRAKTMQKTHQRTSSVDRPSCSGVARKISNARCNYLEMKNQRSRTSGLTCATMEKQGAQSSLNQNFENHWSPRSGKKNRSEAICEDMIQHTREHTHAERYYEPPMSGKVHASIQNVPHHDNAERNVANEQSSPAGLATSVGSALSHGKFDSAFSSIRNEHVFNEEKWLAKSFVDGNTRNSEHAKTEIQSLVKQNLKLLTRDKLLDVDTFKAVARKATHTILAACTSELQNSDVYSTSLVCSHTEQTQQFQKSTLISNYCQQCFHHFVNNVVKSTMLEKVGGRV >OIW18011 pep chromosome:LupAngTanjil_v1.0:LG01:30621456:30621701:-1 gene:TanjilG_07595 transcript:OIW18011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIILLTFAVIAFATGYILASFQLMILTYAGGVVLTTLVTVPNWPFFNRNPLKWLDPSEAEKHPKPQPAVNITSKKKPAKK >OIW19503 pep chromosome:LupAngTanjil_v1.0:LG01:2128998:2154198:-1 gene:TanjilG_06958 transcript:OIW19503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDDDDEFGDLYSDVLPPFASSSPPPISAAVELPKPAQQQHMQLTDVDLNPTKETDADFIDNDVKFDIEEDEENEENNEIPSLFTDAGGGGGHGDGVEASRRIDDRGEGDDDDWDSDSEDDLQIVLNDNNSLMTTVDDGGGGEDGGLNIMAGGDPNQDAAGEEKEWGENTTQLVDGERKDLIGESSKAIGVPLKIGFSHQGYHPFKYVRPGATPMPVATTTPGVPPGQIRPLANMNPMAGRGRGEWRPTGIKGAAAMQTSFHAGRGFGGGLEFTLPSHKTIFEVDIDSFEDKPWKYPGVDLSVFFNFDLNEDSWKDYCKQLEQLRLESTMQSKIRVYESGRREQDYDPDLPPELAAATGTHDAPVENANSVRSDVGQSDLIKCSARMRPPTFPTGRAIQVESGHGDRLPSIDTRPPRSRDSDAIIEIVLQDTPDDDSSAEIDVQDQPEDGKPQKEDSTDDDHVVGDETPRLEPEYFDGFPQDYKGRKGELADRRMPLMNSSPAHMPDVDENSPFPQEETIDSRCRTARQTEGRVHGQSPSQGMAIVDNQKESVERMDSKHNSLLSSPVIMGARESSVENKDAELEETEAADGSSILEKEDIDLNTVGKRDTLKDEVEKREKLTSQVEHPLLDEGNGWENSKAACSGNSEARPASSQDYQKQLEGFEVVQDPRSVHLISTRKQHDENEQVFHRRDHDRRQEPERNHMVRKSREESYPYKDWHPSSAHQLHTKVDGFDRNKDRDSSNMDWARREDDLISKRVRNDDPRKRDKGKVRGNERIDKDDDLHSRKESDNGSYRVPYDMEPGVLKNREKGDGLKGKHEAVEDFHSKRRKDDGYLRREHIDKEKILHGYRQNANRHRRGERNEVDLHDHQRSRVDDQYAAKQKDEAWLLRERSSRQRDREEWHRGKQSHEEQPSKRETEGWSSVRSGRGAEEKTWVGHVRAKDEQKVSGKDYQSREAIQHSDQLKRRDRIQGESSHHKGSDEANVHGNQYNSEERIPHHKGRYDAFAHGNQYISEERRSRQERSSSRSGRVAIASDNQVVNERKRKEGSRKSKEHVGSTLSSIYMSKRSQENRSGGQIDEKGLKGAGDEKHLEDEIQGHHLSRKHRKDISTDDEQQDFQGGHSKLERWTSHKERDFSIGSKSSSSLKIKDVDKDNNDGSFEDGKPTDEYAKIVDADNQQLSSVEGKEFADMESKNDDTKGFGDQHLDTVEKLKKRSERFKLPMPSEKEVLVIKKLEREPLPSAAKSENQADSEVKQERPPRKRRTLLVQPLVFIDENGIRRVYDDLYFFSSNAICNHVTKTFIINYVFSSITTMEATATALSFTLPSTKTQSFQILHRPINPFNKPISTFKTHRRHHFPTITAAISRGRKEETVDTVREQLENCYLLAGINYKGFTVKQFQELRKTLPETTKLIVAKNTLVYKALEGTQWETMKPCMKGMNVWLFVHTEEIPSAIKPYRDFQKEKKLEDNDFTGAVFEGKFYGPDEFKKLETLPTRAEIYATLLGSLKSPASALVGTLQAPARELVMVLKAYVKKLEEEEAAGAAQ >OIW18439 pep chromosome:LupAngTanjil_v1.0:LG01:20299486:20302180:-1 gene:TanjilG_13191 transcript:OIW18439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVNEMYTPAMIGLAISGATDWLDGYVARKMNIDSVVGSYLDPLADKVLMACVAVAMLHNHLLHPGLVGLVVFRDVFLVGGAVYLRGSSLGWKWKSWHDFFNLDGKVRQKVEPLFISKVNTVFQLVLVAAALLQPEFGTPETQIYVTYLSYLVASTTMVSSAAYGAQYSRRFAIAAN >OIW19072 pep chromosome:LupAngTanjil_v1.0:LG01:14893942:14896387:1 gene:TanjilG_10633 transcript:OIW19072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTALEASSPMLDQNTPFHHTPQASPKPYKKGFVATLMEAATIKSTPSFKEDNYFVSLLRSSEKKALQELKDKILASFSSSDNAPSSEVVPSIWGIPLLGGDDRVDVVLLKFLRARDFRVCDALNMLLNCLAWRKEFGAETLLEEDLGFKDLEGVIAYMQGYDREGHPVCYNAYGVFKDKDMYERIFGDEDKLKKFLRWRVQVLERGIKLLHFKPGGINSLIQVTDLKDMPKRELRVASNQILSLFQDNYPEMVARKIFINVPWYFSMLYSMFSPFLTQRTKSKFVISKEGNAAETLYKFIRPEDIPVQYGGLNRPSDLQNGPPKPASEFTVKGGERVNIQIEGIEAGATIIWDIVVGGWELEYSAEFVPNAEGSYTIAVEKARKILAHEEAISNSFTSKEAGKMVLSVDNTASRRKKVAAYRYVVRKCNTM >OIW19044 pep chromosome:LupAngTanjil_v1.0:LG01:16322868:16323676:1 gene:TanjilG_10605 transcript:OIW19044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIKVACLVLLCMIVVGAPIAQATITCGQVVSGLTPCLSYLRTGGAVPGTCCNGVKGLVASAQSTADKQIACNCLKSLAASTTFNPEYAASLPGKLSSSEEKMSLAQGRKENFYDYYYAS >OIW19318 pep chromosome:LupAngTanjil_v1.0:LG01:4081023:4081397:-1 gene:TanjilG_07286 transcript:OIW19318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGETPLHMATKNGFNEAAQLLLSHDASVFLEAAKIPTKRHYMYLLYGPRYLLLLTPCSSSLPISNANNNCSCNKVSPLMAMTSCPSSSESAAPSLESTFKERLHHSQKKEKRIICFGKSDIDG >OIW18474 pep chromosome:LupAngTanjil_v1.0:LG01:20523701:20525047:1 gene:TanjilG_13226 transcript:OIW18474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPMKSSITTFASYISIILLLLNLVACETEIEGRENECKKRWIYIRKLPPRFNLDLLGKCSEYPLLEDFCPYLANHGLGQKTHNRSHSWYRTDPLMLELIFHRRMLEYPCLTQDPFSADAVYLPYYATLDALRYLYGPEVNSSADHGLELFDFLQDDEPSIWNRRNGHDHFLVMARPAWDFSQPLDNDPPLWGTSFLELPELFNLTALTLESRAWPWQEHAVPYPTSFHPPNLGLLESWVQRVRRSKRSALALFVGGGGVSATPNIRRSIRSECDNSTSNSTDVGGGYEKLCEVVDCSNGVCEHDPLRFMRPMLQASFCLQPPGDTPTRRSTFDSIIAGCIPVFFEDLSARSQYGWHLPDNEFDGFSVFIAKEDVVFKGLRILDVLRRIPRSRVRRMREKVMELIPRVVYRKHNSSPGLRAKKDAFDIAVDGTLDKIQSRLKELVSPL >OIW18140 pep chromosome:LupAngTanjil_v1.0:LG01:25817206:25823954:-1 gene:TanjilG_31260 transcript:OIW18140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMFTMIDLGIQLLRLLTSPDSSTVSIFGWLVTGLFGLMAIVYAILKWQKRSSLNWIKAAARAKKKVWKKFKVPLSYHLWIEDYTYREQPSTCCFCLTSLWPSQNLGSTATPRTPLHRCSVCGVAAHFYCSQYASKDCKCVAQAGFSRIRHHWSERWVNVDENHEMSAFCFYCDEPCGVPFVKASPTWHCQWCQRLIHVKCHNKLTRDSGDVCDLGPLRRVILSPLCVKEVDEDQKGVRLSSLITSSVRGQIRKRSIHYKNGGSCRSNGKVHDSSVNDAVLLEYVLNGLADLKKSNDELFDHMNSGRVLRNDETATPCLVKRFTLVDLPQDARPLLVFINARSGGQLGPSLHRRLNMLLNPVQIFELSASQGPEVGLEFFKSIHYFRVLVCGGDGTVAWVLDAIERYNFESPPPVSILPLGTGNDLSRTLNWGRGLSALDGQGGLTMLLRDISNAAVTMLDRWDVKIAEENSEGKPSNVKTKSMMNYLGIGCDAKVAYEFHVSREIYPEKFSSQFLNKLRYAKEGAKDIMDRTCADLPWQVWLEVDGIDIEIPKDSEGLIVINIGSYMGGVDLWQNDYDHDDDFSRQSMHDKMLEVVGLSQARRLAQGKAIKIHSSSPFPVQIDGEPFILQPGYLEMTHRGQVFMLRRTSEDEPKGPAAAIMTEVLLDAECKGIINASQKKVLLQEMAINLS >OIW18991 pep chromosome:LupAngTanjil_v1.0:LG01:18052144:18054922:-1 gene:TanjilG_31049 transcript:OIW18991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVERNHQWSLNDFEIGKPLGRGKFGRVYVAREHKSKFVVALKVIFKQQLEKYRIQNQLRREMEIQKSLNHPNVLRLYGWFHDADRVFLILEYAHNGELYKELSKRGHLTEKHAATYILSLTKALAYCHEKHVIHRDIKPENLLLDHEGRLKIADFGWSVQSRNKRNTMCGTLDYLAPEMVENKAHDYAVDNWTLGILCYEFLYGVPPFEAESQADTFKRIIKIDLRFPSNPLVSSDAKNLISRLLVKDSSQRLSLQKIMEHPWIIKNANPTGICN >OIW18349 pep chromosome:LupAngTanjil_v1.0:LG01:23819398:23822808:-1 gene:TanjilG_31489 transcript:OIW18349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKENSDGFVRADKIDLKSIDEQLERHLNKVLTLEKKKHIEEEDGNRVQIHSGSASNSPIAATKFRSPFKKQRQEWEIDPSKLIIKSVIARGTFGTVHRGIYDTQDVAVKLLDWGEEGQRTEAEVASLRAAFIQEVAVWHKLDHPNVTKFIGATMGSAELQIQTDNGLIGMPSNVCCVVVEYLPGGTLKNFLIKNRKRKLAFKVVIQLALDLARGLSYLHSQKIVHRDVKTENMLLDKSRTVKIADFGVARVEASNPNDMTGETGTLGYMAPEVLNGNPYNRKCDVYSFGICLWETYCCDMPYPDLSFAEVSSAVVRQNLRPEMPRCCPSSLANVMKKCWDASPDKRPEMDEVVSMLEAIDTSKGGGMIPPDQQEGCLCFRKRRGP >OIW19317 pep chromosome:LupAngTanjil_v1.0:LG01:3940962:3941891:-1 gene:TanjilG_07285 transcript:OIW19317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPVTAQGRPLPPPFLSRDLHLHSHHQFQHHNNQNSEDEQSGNGNLGRGQKRDRDENAGATTPTNASAVAAAEGKEPGSGTSGGEGSEMGRRPRGRPAGSKNKPKPPIIITRDSANALRSHVMEIANGCDIMESVTNFARRRQRGICILSASGTVTNVTLRQPASPGAVVTLHGRFEILSLSGSFLPPPAPPAASGLAIYLAGGQGQVVGGSVVGPLQASGPVVIMAASFGNAAYERLPLEEEETPVAVPGSGGLGSPGIVGQQQQQQQQQLVLDPNNSLFHGVPQNLMNSCQLPAEGYWGGSARPPFQ >OIW19748 pep chromosome:LupAngTanjil_v1.0:LG01:1561682:1563792:1 gene:TanjilG_18558 transcript:OIW19748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFFDALPPFVTSSPPYASDSLTDAIVLSAPPQFPVNNEPFIQHSPSDDHDQSPLFKRTRTTSSESNNNNHSNALPYPPPMMMMHPAKNNNNNPISNKLGTGMGHIFYKTRMCINFRLGSCTNGENCNFAHGVEDMRKPPPNWQELIGLRNNNEEQKLAAWNWDDDQTIIHKMKLCKKYCNGEECPYGERCSFLHEDPSKFRDDSGRIRESSAIKIGTNESPKSYGDGYDYNNSKTNKAVVMNVGLNAARGKIRSTFWKTKLCIKWETRGQCPFGEGCNFAHGESELQVLGGRTDTEAAISIPIATYAPTPSLPKVASAPVIDVAPPLVNIARAPLANEVEPGKKSLLKWKGPKKINRIYGDWLDDDSLEENMPSEMDI >OIW17713 pep chromosome:LupAngTanjil_v1.0:LG01:34980976:34985377:1 gene:TanjilG_29063 transcript:OIW17713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIPLPNRELFIDGEWKAPLLNKRIPIINPSTQQIIGDIPAATKEDVDLAVAAAKSALSRNKGADWSSASGSVRARYLRAIAAKITEKKTELGKLEALDCGKPLDEALWDLDDVAGCFDYYADLAEKLDEKQKTPVSVPMETFRSYVLKEPIGVVGLITPWNYPLLMATWKVAPALAAGCAAILKPSELASVTCLELAEICREVGLPRGVLNILTGLGPEAGAPLASHPDVDKIAFTGSSATGSKIMTAAAQLIKPVSLELGGKSPIVVFEDVDLDKAAEWTLFGCFWTNGQICSATSRLIVHESIASEFLNRLVKWAKNIKISDPFEEGCRLGPVVSEGQYEKILKFISNAKSEGATILTGGSRPEHLKKGFFIEPTIITDVTTSMQIWREEVFGPVLCVKTFSTEEEAIDLANDTIYGLGSAVISNDLERCDRLTKAFKAGITWINCSQPCFTQAPWGGNKRSGFGRELGEWGLDNYLSVKQVTQYISDEAWGWYKNPT >OIW18237 pep chromosome:LupAngTanjil_v1.0:LG01:25622174:25630558:1 gene:TanjilG_06321 transcript:OIW18237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPAVAAGQGGQQPQQQGGGGFGKTITGIIRMAVFWYFASKFFSPKRAPTDPSGAPSPLISNLFQQGQPLDMWLYLSEHEKFNDFSSDRDLVWHETNLPYASWGPGSTRTLALKYDPSEALKHNGSLYAHVFFAQSGYSPDPTDPEYQPQAAFGRTHAVVKYLPKSRADKRRSLLGTSPDSSEDQVASKVAGDTQNDSEDDGPLEWISYLKPNVTINLVADFTKYSKNGIPPNIAPYLNVDPITGNYYPTVFFNEFWLLRDKLIPMNETVTELLLNLEVGPISMTKWQLFMQIDQSFQIHRSYGSMVDGEGDELKRVFLEGNPYLLGVTMAVSLLHSVFDFFAFKNDIQFWNKNKSMEGLSAKSVVVSFISQLIVFLYLLDNDTSWMILGSSGVGCIIEFWKIGKAMHIEIDRTGRIPMLRFRDRESYAQNKTKEYDDIAMKYLSYVLFLLVAGSSVYSLMYERHRSWYSWILSSLTSCVYMFGFIMMCPQLFINYKLKSVAHMPWRQMTYKFLNTIIDDLFAFVIKMPTLHRLSVFRDDIIFLIYLYQKWAYPVDKKRVNEFGFGGEDDATVASTAVDAAAKEEEKKTN >OIW18427 pep chromosome:LupAngTanjil_v1.0:LG01:20238117:20240813:1 gene:TanjilG_13179 transcript:OIW18427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEETQLQQIQLLKSTNDDHGGVIVELDDDHNPMDSTTFLSILRPSISHWKHLGKRGVWVKLPIHLVNLVETLVKEGFWYHHAEPKYLMLVHWIPESGSTIPANASHRVGVGALVVNEKREILVVQEKSGRYQGTGFWKFPTGVVDQGEDICVAAIREVKEETGVDSKFVEILAFSQSHKTFFEKSDLYFVCLMRPLSLDIQIQETEIEAAQWMPFDEYAAQPLIDKHELLKVIKDIYLAKVDKQYSGFTPISTRSDLSEEKTHLYVNVGDMKRCNSI >OIW17837 pep chromosome:LupAngTanjil_v1.0:LG01:33803244:33803585:-1 gene:TanjilG_02465 transcript:OIW17837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQEVVDTSGGCGASFVVEIVSEQFEGKRLLERHRMVNAALEEEMKEIHALSIKKAVTPEQWKQQQESNLSNSAA >OIW18433 pep chromosome:LupAngTanjil_v1.0:LG01:20275411:20275782:1 gene:TanjilG_13185 transcript:OIW18433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKALLLVAMLMALVAITLSATSSEPNRFVSQKYGRIMVTSSCDKYPKICHIKGSVGSDCCNNKCVNLRTDGINCGKCGKKCSYGKICCEGKCVNPRTNHKHCGKCGNKCNTASSCIYGMCSYA >OIW18322 pep chromosome:LupAngTanjil_v1.0:LG01:24213584:24214027:-1 gene:TanjilG_31462 transcript:OIW18322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKKPELEQEHQQDMEILKAVAQAWYSHSGNSRPISVFDAYRRGFKAKPSRFKVEATRKSSSSRDTSLAATHWDFKQSLWDSYELVTISRRLEVGLTLDDNPFDDLTGSVRVDRRSKKESKNSLRNLFNNLSSRRFNSTKVPRENDT >OIW18642 pep chromosome:LupAngTanjil_v1.0:LG01:21849999:21854466:1 gene:TanjilG_13394 transcript:OIW18642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNVVIRSLRQFAGAFQSQTYILISGRVPITPHHFENCYHHFHTSAYRVVDHAEANHNKNPSFTITTRTLSLDYAKLTNGETNRAGPLVEYERRIANGELVEGDVCQVETLTELQRLYDELVENADACQLDQTTEKPVRTGWLWSRLLSHPSYSPVKGLYLYGGVGTGKTMLMDLFFHQLPSNWRKKRIHFHDFMLNVHSLLQKHKGLSDPLDVVAGEISEEAILLCLDEFMVTDVADALILNRLFRHLFSKGIILVSTSNRAPDNLYEGGLQRDLFLPFIAALKERCVVHEIGSSVDYRKMTSGEEGYYLVGRNLSGILRQKFQQMIGEVEATPQEVEVVMGRTLQVPLGANGCAYFTFEELCDRPLGAADYFGLFKKFHTVALEGIPIFGLSNKSAAHRFVTLVDVMYENRVRLLCTAEGSPHEIMEKIVTISEAKQMAPRTSSRSRKSDESDLCVDNELGFAKDRTISRLTEINSKEYLEHHAALLEEKKLKLGQNVVHG >OIW19771 pep chromosome:LupAngTanjil_v1.0:LG01:49867:52023:-1 gene:TanjilG_27310 transcript:OIW19771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISWSNNNSRRRNNHHPYPLLPPPPPPNPYYYPPPPPPPPPHGYYYNSTNPQSYYYSSSSSSSSSDHPFYPNQHHQGGWSSFTPPICTGTVSAPPPPPPYVDHQTAKKIKNNVNLHKDTLTLQLDPLNPNHHLLSFVFDALFHGSITIFYLAKEEEQCRFIPLYPDAFEPITFPFEKGAGQKFCQPSGTGIDLGFFELDDLSKPSPREDVFPLVICAETRAGTPSPDENPSGSMLDSSPRMQITQAVLEKSNGSGPFQVKVVKQILWIDNVRYELRELYGIGGSAATDFDDTDPGKECVICMTEPKDTAVLPCRHMCMCSECAKALRLQSNKCPICRQAIEELIEIKISKGDQ >OIW19538 pep chromosome:LupAngTanjil_v1.0:LG01:1838635:1840801:-1 gene:TanjilG_06993 transcript:OIW19538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWLFNSNNSHSDINSSPPYSHGGSAVKDDITIIFHGVANFLAPSPSSSSSSSSSVADSLPLSSSQTLTGIKNDLVEIGGSLKSSLSLLSANKAVTGISKFASQLLQFDGDHRRVDAVPGITEEVVRFVKDISTRPEYWTQFPLPLRNVDFSMSNSQREHALTMEQLVPEFVALRLNLCSYMNVEKFWIIYFLLILPRLDKRHFELLSTPKIVEARDMLLLKLGERKHLQPEECEKPRTVDTYEEIREDSERDNISSEQNHILTDITNAVEGLEVDDMSGTEKWLEDTDIDAASLISCSKLQQEEDVSFSDLDDDGNYSSDRLSGFREAQDKRGSSPDCSSDWVQLHESSEKSGRHKANLKGKDSEDESNDWFTVDEFN >OIW19512 pep chromosome:LupAngTanjil_v1.0:LG01:2055304:2059581:1 gene:TanjilG_06967 transcript:OIW19512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGSSRLGSPQSSTPRDRFNHTPRFTPSSFLRGSSTYQVEEHPSEPQVDSAIEFENEMYKVTEESSLLCTEARISRSTCAETATSSDTRTEFYGNATVEGSSRNVPATSQTTCLSEFEELALPYQVSAGHNHHESYRDISNTDSTSFVEQPSSDPVSVNVSANEGVVNDVDDPVVSGGSQISRETLHPRNSTLQEHGNSSSGEISVENHTAAFLATHDSSSPVAQASNLPENSQLPEEESRQETIPSGLGILVSNRERGQGNDGVLQVDVVAISSSMLSGSNADVNDHDSRRSRRRLFWDAFSGRSSRGLGDSTTMIFSAGGADDPESRDRWLVDFGGDFLNNRVRGSPGYMGSRIHRLNGRMRHSRSEVWERLRGGLDEIGQLNSSCPLGLHADGMCSCESSPIAEESSTRASIYRIVMLAEALFEVLDEIHRQPVSLSLSMVSLPAPESIVDSFPLKSHKKVDVANGSNDTEQCYICLAEYEEGDQIRVLPCNHEYHMMCVDKWLKEIHGVCPLCRSNVCGGLAESAADSEMPLH >OIW18426 pep chromosome:LupAngTanjil_v1.0:LG01:20229849:20234696:-1 gene:TanjilG_13178 transcript:OIW18426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQCLDGLKHLCAAVVNCCHHDSSKQPRGLENPEVLARETVFSVSEIEALYELFKKISSAVIDDGLINKEEFQLALFKTNKKESLFADRVFDLFDTKHKGILDFEEFARALSVFHPNASVDDKIELMHMLVGNSQLSLLAVSFQLYDLKQQGFIERQEVKLMVVATLDESGMNLSDDVIESIIDKTFEEADTKHDGKIDKEEWRNLVLRHLSLLKNMTLQYLKDITTTFPSFVFHSQVDDT >OIW17755 pep chromosome:LupAngTanjil_v1.0:LG01:34685712:34687705:1 gene:TanjilG_06440 transcript:OIW17755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKINCKIGEGTFGQVLECWDRQRKEMVAIKIVRALKKYREAAMIEIEILQQPGKHDKGGNRYVQFRDWLDYRNHICIVFENLGPSLYDSLQENNCRSFPIDLVLMHDLSMIHTDLKPENILPLSLEYVEVPDCKSPSRSPSTDFKTLPKSSAMKVIDFGSTTHKKEDLNYIVSTHHYRAPEVILGHGWSYPCNIWSVGCILIELCKGKALFQTRKNLEHLAMMERVLGTLPEHMLKKLELVWPEGASSKESINAVMKRPTLQNLIMQHVDHSTSDVIHLLQGLLRFDPSERLTAKEALRHSFFRE >OIW19682 pep chromosome:LupAngTanjil_v1.0:LG01:1108062:1112912:-1 gene:TanjilG_18492 transcript:OIW19682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIENIWKFLKTHNFLQPLERAEAKARDEISLLSVSTKPNSPSVEHLLPGGIGTYSISHVSYFDNNNERVPKPEASLFTVNQGISTDRNDENSNCSSYTSSGFTLWEDSAVKKGKTGKENNVSDKTILGVTESVAKAGTWTLSDRTSQSFSNIHYNSFNSQITEKKNQSFLEMMKSAKDGAQDEDLKNEEAFFLKKESQTVPNDRRVYFLLFELSFLFQMLRELIPHSDQKRDKASFLLEVIEYIHFLQEKVHKYEGSFQGWNHDPEKLMPWQRNNDKPSESFEHGGTNSGSVPSPSFLFASKMDEKNITISPKIRGSTQNVEPGLSTATNFKTMDHHPRIANNAFPIPSSPQPNFFTPTQCGGQGGVVSQLTRRLASDAENAIYQPFEECQTMMATSEKLKDKELTIEGGAISISSVYSKGLLDTLTHALQSSGVDLSQSSISVQIELGKQAKIRPTIPMSMCGAKGDEVPSNNQRMMRSRVASSEHSDQPVKKLKTCRT >OIW19112 pep chromosome:LupAngTanjil_v1.0:LG01:12673360:12681981:1 gene:TanjilG_08912 transcript:OIW19112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMMEITNVNEYEAIAKEKLPKMIYDYYASGAEDQWSLKENRNAFARILFRPRILIDVSNIDLTTTVLGFKLSMPIMIAPTAMLKMAHPEGEHAVARAASAAGTIMTLSSWATSSVEEVASTGPGIRFFQLYVYKDRNVVTQLVRRAEKAGFKAIALTVDTPLLGRREADIKNRFTLPSHLTLKNFEGLDLGKLDKTSDSGLASYVAGQVDRSLSWKDVKWLQTITSLPILVKGVLTSEDVDLFSDCFLSLECNPISYANNVARIAVEVGAAGIIVSNHGARQLDYVPSTIMALEEVVKGAQGRLPVFLDGGVRRGTDVFKALALGASGIFIGRPVVFSLAADGEAGVRKALQMLHDEFELTMALSGCRSLKEITRDHVVTEWDHARIAPKL >OIW18660 pep chromosome:LupAngTanjil_v1.0:LG01:22006095:22010162:-1 gene:TanjilG_13412 transcript:OIW18660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLVFTRLFSSIFGDKEARILVLGLDNAGKTTILYRLQMGEVVSTIPTIGFNVETVQYNNIKFQVWDLGGQTSIRPYWRCYFPNTQAIIYVVDSSDTDRLVIAKEEFHAILEEEELKGAVVLIYANKQDLPGALDDAAVTEALELHKIKSRQWAIFKTSAIKGEGLFEGLDWLSNTLKSGGG >OIW17927 pep chromosome:LupAngTanjil_v1.0:LG01:32584249:32588899:-1 gene:TanjilG_17763 transcript:OIW17927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEEYDVIVLGTGLKECILSGLLSVDGLKVLHMDRNDYYGGESTSLNLIQLWKRFRGDDKPPPHLGSSRDYNVDMVPKFMMANGTLVRVLIHTDVTKYLNFKAVDGSFVFNKGKVHKVPATDMEALKSPLMGIFEKRRARKFFIYVQDYDESNPKTHEGMDLTRVTTRELIAKYGLDDNTVDFIGHAIALHRDDRYLNEPALDTVKRMKLYAESLARFQGGSSYIYPLYGLGELPQGFARLSAVYGGTYMLNKPECKVEFDEEGKVVGVTSEGETAKCKKVVCDPSYLPNKVRKVGRVARAIAIMSHPIPNTNDSHSAQVILPQKQLGRKSDMYLFCCSYSHNVAPKGKFIAFVSAEAETDHPESELKPGIDLLGPVDEIFYDIYDRYEPVNEPTLDNCFISTSYDATTHFESTVLDVLNMYTLITGKVLDLSVDLSAASAAEE >OIW19136 pep chromosome:LupAngTanjil_v1.0:LG01:11148615:11149226:1 gene:TanjilG_03626 transcript:OIW19136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMVFGKIGVETPKYEVMKSTNEYEIRKYPPSVLAEVTYEPSQFNGNKDGGFMVLANYIGAIGNPQNTKPEKIAMTAPVITKDSGEKIAMTAPVVTKADSEENENRKTVTMQFILPAVYGKAEEAPKPTDERVVIREEGERKYGVVKFGGVANEELVKEKVEKLRKSLEIDGFKVIGDFLLARYNPPWTIPAFRTNEVLIPIE >OIW18887 pep chromosome:LupAngTanjil_v1.0:LG01:19470066:19472245:1 gene:TanjilG_25330 transcript:OIW18887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWLRRNLSRTSTISKTLNPQSQSQSKSKSKSKSKQNEEEEEECIYGITQQLINHVKSFTLETFKNFPLQDEYEESESTSTKVLKDLSQWQEKHAILILSRVKEIAQLRFVLCPRHLKEKQFWKIYFKLARSHVFEYELRAIQQEKLKRMATEDEKSSDNNPNEIEMAEAKPGCFIESLPPS >OIW18716 pep chromosome:LupAngTanjil_v1.0:LG01:22458511:22460130:-1 gene:TanjilG_13468 transcript:OIW18716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLTAANKLHTMTASKDMFELCVVEGGTRIGGRINTSDFGGDKIEMGATWIHGIGGSPIHEIAQQIHSLESDQPWECMDGNLDEPTTIAEGGFILNPSIVDPITKLFNSLMDHAQGKNLIDSVSDTEKSEHCSYYHKVAAKASNISAATSVGSFLRQGLDAYWSSGSSKEQEEDLKGYGKWSRKLLEEGIFGVHENIQRTYTSAGDLLSLDYRAESEYQMFPGEEITIAKGYLSIIESLASVLPPGTVQLGRKVTKIEWQPEKNHEPMNVENGYCSRPVKLHFYDGSVMYADHVIVTVSLGVLKAAIHQDSGMFYPPLPFFKAEAISKLGFGVVNKLFMQLSPTYERKDEHSRGFFPSLQMVFHSPHSEMRHKEIPWWMRRTATLCPLHNNSSVLLSWFAGEEALALESLKDEEIIQGVSTTLSSFLTHELYNVNVSSLDKSHAYDEVKISKVLKTQWGTDPLFLGSYSYVAMGSSGDDLDIMAEPLPKDESLASPPLQILFAGEATHRTHYSTTHGAYFSGLREANRLLQYYHCLEI >OIW18562 pep chromosome:LupAngTanjil_v1.0:LG01:21138072:21141315:-1 gene:TanjilG_13314 transcript:OIW18562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKKVSVPLVCHGHSRPVVDLFYSPVTPDGFFLISASKDSSPMLRNGETGDWIGTFEGHKGAVWSCCLDTNALRAASASADFSTKVWDALTGDELHSFEHKHIVRACAFSEDTHLLLTGGVEKILRIYDMNQPDAPPREVDKSPGSIRTVAWLHSDQTILSSCSDMGGVRLWDVRSGKIVQTLETKSSVTSAEVSQDGRYITTVDGSTVKFWDANHYGLVKSYDMPCTMESASLEPKYGNKFVAGGEDMWVHVFDFHTGNEIACNKGHHGPVHCVRFSPGGESYASGSEDGTIRIWQTGPLSDDASSEALPANESIEKAMKVTADEVSHKIQGFHITDEGKSKEKEEAVE >OIW18990 pep chromosome:LupAngTanjil_v1.0:LG01:18040053:18043174:1 gene:TanjilG_31048 transcript:OIW18990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAKPLRSVPFSHYNNSGEGSAPHKKKNGAVIVVNDEEKKRVVDVMVKEENSVIVGAVHTEPKAEPINKNTLIKAPPCYNKCCIFTEAQRSELYHQVLIFNHFACNLFHNHHHHLVSAFQSYMSGYSNQGYDYGSMMMDLEPHRCRRTDGKKWRCSRNVVPNQKYCERHMHRGCNRSRKHVEPSQRNSIINPSSEIKLTSSNAESTASNPNPLGTRHIRMSLCPQSRNQCVANTSSINNRLKNVVGSADYLNSFLPATAIAPMVSTFSNSTSVASDSRRGLLICKKDNQTKSCVSDNVGVKSGGKGSIVSAGIGFSPRSVLQDNIVVSGSNNSYLNDRNSVELEPGRCRRTDGKKWRCKSPVIPGQKYCDNHMHRGSKRRFAEHEPAATDSAVTIAQLPCSTATTNIQKAHCSIPNTSLSMSIPAGTVPLIKCNENSPCRSDTNTMNNYSCASL >OIW18899 pep chromosome:LupAngTanjil_v1.0:LG01:19318305:19320871:-1 gene:TanjilG_25342 transcript:OIW18899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYEDHFRQQVQRPKYDCLLFDLDDTLYPLSSGIAKACLQNIKDYMVEKLGIEPSKVDDLSNLLYKNYGTTMAGLRAIGYDFDYDEYHSFVHGRLPYEKLKADSLLRNMLLSLPYRKLVFTNADKVHAAKALSRLGLEDCFEGIICFETLNPIHKSIVSDDEDDIEFLGSRSTNLNTSNGASSSKIFDIIEHFAKPNPSVVLPKTPIICKPSQNAIELALNIANLIPQRTLFFDDSVRNIQAGKHVGLHTVLVGTYQRVKGADYALESIHNLREAVPELWEVDIKSQVVYPSNNNLAVETTVTA >OIW18898 pep chromosome:LupAngTanjil_v1.0:LG01:19335023:19338645:1 gene:TanjilG_25341 transcript:OIW18898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSSPITVLVTGAGGRTGKLVYKKLKENPNQYVARGLVRTEESKQKIGGADDVFVGDIRDAESIVPAIQGIDSLIILTSAVPLIKPGFDPTKGGRPEFYFDDGAYPEQVDWIGQKNQIDAAKSAGVKHIVLVGSMGGTNPNHPLNSLAYDSFQSRMRESVWKRKAEQYLADSGVPYTIIRAGGLLDKDGGLRELLIGKDDDLLQTDTKTIPRADVAEVSIQALNIEEAKLKAFDLASRAEGVGAPTKDFKSFFSQITSQF >OIW18023 pep chromosome:LupAngTanjil_v1.0:LG01:30744295:30749673:1 gene:TanjilG_07607 transcript:OIW18023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQIDNRNSSASKRARTDGSRREDDWTCPSCGNVNFSFRTTCNMRNCTQPRPADHNSKSFIKLLPAPQGYSTSVPYLGSNVPSSMYLGVPPYGSSLFSGSSILPYDVQFSGRIAYHHDYGSHLPAGSPHRPLLLSGPAPYSSGLMMGNNGMYGLPPLVDRFGMGIPIPPGSLGLRPGFFPEESSPKRGTDTTRDSDWKCPKCGNINFSFRTVCNMRKCNTPKPGSQVSKSDKNSKQNMLEGSWKCEKCNNVNYPFRTKCNRQNCGAEKPVLSSKSPSPASDQNDQK >OIW18170 pep chromosome:LupAngTanjil_v1.0:LG01:26368039:26371683:1 gene:TanjilG_31290 transcript:OIW18170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSFFFLSFLFILTLSSAAKPPPSLTTTAATSVIQQACKATNFSQQCESTLSQSNLPPNPTSLQLIQAAMAASSSNLTTALTMAKSLLDASTDNKNRTVAAAICLEVLNNSKYRISLANSSLPSGKTKDARAWLSAALAYTYDCSNVLKYVNDTKQVAETISFVDSIYTLNSNTLSLAFNYDAFGDDIGSWTLPKTERDGFWDKPCSNSGSGAVTGLPDKSEADVTVCKGGKSGCLGTIQEAVNKAPDNGGKRKKFVIYIKEGVYKETVKVPLEKRNVVFLGDGIGKTVITGSANAGQVGVSTYTSATVAVVGDGFVAKDLTIQNTAASHQAVAFKSDSDLSIIQNCEFLGNQDTLLPQSLRQFYKSCYIQGNIDFIFGNSATIFQDCEILTRPRQENPEKGASNTITAHGRTDPAQATGFVFQNCLINGTKEYLALYHSNPKVHKNFLGRPWKLYSRTVFINSRMDEIVTPQGWSPWTEAPLKTLYYGEFENSGPGSDLSQRVPWSSKIPSKHVLVYSVENFIQGKSWIPPSLLSSKVGKSN >OIW18090 pep chromosome:LupAngTanjil_v1.0:LG01:28509284:28511256:-1 gene:TanjilG_01179 transcript:OIW18090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVRKEGILQVIVVRGVTKFGSLIHYFVLSTSSEEGVSTHSWYVTAHNHALHAELFRLPLSLGVSFYMFWLTHNTNKDEENADHRGRTFLRSGSGGALFQSVDSIVKHWKKNVLWVEFHRENDLAFKPDGTAQFPFAWNGEHHQRNYRRYSFNENVLTNVDLILVERIKGLIEGRVMFPYACLVGGDLAFHNVRKWVTRRCPTVEANRLVFNTALLIGAIGGSRQSKNILHCKHCRGPTMLSIISDKVVGGSRQASLTPTTSDLSVGVIHSPQSEPPISPPPPTSPSIVQSSLPEFSSNKGKFENSSFDVRRPNLDKYRIRQGIDELLVSPMAKFVDDHISLESIIKHIKKSSVMVASMLKYLDMDEVLEARDVGKVS >OIW18434 pep chromosome:LupAngTanjil_v1.0:LG01:20276851:20279413:-1 gene:TanjilG_13186 transcript:OIW18434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSWVCKKCTFLNPHSQKSQCQICLTPPLPPSSHFSSSSSSSSSSSSSSLPKWSCKACTFLNLYKNSVCEICSTRCPVLSLSHFHDLNDTVSDADVDSSVGSVFFPLRSCKRKAIADSDESERFHAVKAKPSNMAVDFDQTDMSDKAINLTADNVDSGKAASSFKILTYNVWFREDLELHNRMKAIGDLVQLHSPDFICFQLSKLAVKSFSNKPFHNSIMGRELCIAEAEIASGMPLVVATSHLESPCPAPPKFDQMFSKERVEQANVALNLLEKHPNVVFGGDMNWCDKLDGHYPSQDGWIDAWAQLRPKENGFTYDTKSNQMLTGNRSLRKRLDRFICRLRDLKISSIEMIGVDAIAGLVYIKEKKVRNEIKQLPLPVLPSDHFGLLLTISSKE >OIW18680 pep chromosome:LupAngTanjil_v1.0:LG01:22124726:22131929:1 gene:TanjilG_13432 transcript:OIW18680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSSAAVTIRKPITHVIFDMDGLLLDTENFYTQVQEIVLARYNKTFDWNLKAKMMGKKAIEAARVFVHETGISDSLTAEQFLIEREDMLRDLFPTSDLMPGASRLIRHLHAKGVPFGLATGSHRRHFELKTQRHGEIFSLMHHVVLGDDPDVKQGKPSPDIFLAAANRFEGGRVDPSNILVFEDAPSGVLAAKNAGMSVVMVPDPRLDKSFHDAADQVLKSLLDFNPSEWGLPPFEDNGS >OIW18406 pep chromosome:LupAngTanjil_v1.0:LG01:23239206:23239512:-1 gene:TanjilG_31546 transcript:OIW18406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSKNHTAHNQSYKAHKNGIKKPKRHRHTSTKGMDPKFLRNQRYARKHNKKDGEIGTDEE >OIW18158 pep chromosome:LupAngTanjil_v1.0:LG01:26093478:26096630:1 gene:TanjilG_31278 transcript:OIW18158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESIVATPLHTNPPQELKYESGIMAFDKDILQNLQQLPKQFQWPSSDLVATSDELLNEPLIDLDVMTKGDEAAISKAAELVRNACMKHGFFQVTNHGVDQDLIDAAYKEMQTIFNLPLSKKLSANRKPGTLEGYSSAHGDRFTAKLPWKETYTFIYNNKQESESSEVVDFIKNAFGEELHHTGLVYQKYCEAMEKLSHVVLELLAISLGVDRLHYRNDFEDGHSLMRCNSYPSCKESSLTLGTGPHNDPTGITLLHQDQIGGLEALVDQKWLAVRPNPDAFVINIGDTFMAMTNGKFKSCLHRVLVNNENERMSLSCFVNPRGDKIVRPPNNLFGKEEPRKYPDFTWNEYRQFTLKYHRADAETLDDFVSWIRSSKPSNF >OIW19740 pep chromosome:LupAngTanjil_v1.0:LG01:1528867:1529310:1 gene:TanjilG_18550 transcript:OIW19740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDRKVITFAVISVIILIIVIIIARVSLKLSRAFFLILGADVAIILAVFSCVLIRQRYKSRRNLMESRLRSEGRELRIEYSFLRKVAGVPIKFRYKELEEATDGFQALIGKGSSASVFKRELMEKREEKRSSDQKLQPLLVFNMLTF >OIW19207 pep chromosome:LupAngTanjil_v1.0:LG01:7859530:7861577:1 gene:TanjilG_20332 transcript:OIW19207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGLRLLVIKGPGHVHLSRALVSLPSSLRVLDWEGYPLKTLPSIQLDELVYLILMNSKLTQLWNTKLVTGKGLMFFKKIFSYPCFDQFIKLKVINLSHSKDLTRIQDFDGIPNLESLILAGCENLLEVHESFGKLEKLVKVDFTGCKKLKTLPSKLSTNSLQAFILSGCLKLQKLPEFGKNMQSLSMLRLEETAIEGLPPSLGFLTGLSILNLKGCKNLVSFPNTMCNLKSLRILDVSFCSKMYDLPENLGENVQLEELHADASGIRELPLCIVHLKNLKSLTLHSCKGTKLIISSLRPLPLPRSFSGLSLLRELALCYCDLSDESIPSDFSCLSSLWRLNLAGNYFVNIPIGCISKLPKLEQLNIYGCPKLRSLPVLPPNLAFVDATGCYSMEPLLDPQQLWNLFASHDFQNWHTFCLAGDFSMLIDGDEISSWFHNKNYHYEDMAQSRFDKGISIILDIPHGYLDSVEWCGIVVCLALEELSYDEDCLSSIHWSFKSPESEGIMGATSKWVGIDQKYDCPKLFIAFFPFTNSNCLQHIEDKINQQLQLTICTKRDSMLNGAPIRMHGVIGIRECGWRLLCNKDLETKGGFNASSGSAHNDRRVTKDDKCQNEFKGQQDQSQASLIVAEPPKSFFKTYS >OIW18319 pep chromosome:LupAngTanjil_v1.0:LG01:24285554:24290614:-1 gene:TanjilG_31459 transcript:OIW18319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNVPSDSDLDSCKDRDSCWGDHFLLEEEDDEVADSLANESILERKVNQKVSNSGSLSNMLVDVSVDSPNLSASGASLAPSCPPLLPFKEGPLSPSKGEQVLVPYLNCCDKNVSICVGPKGDTPKEVSGPWVMDSDKDRRDPLFSYSNVPLLGSHVVGASSCRNKGQKIKIQRKKKFYPPLVLKPSSHRYKDQASNNSVKKKAIQKEVESNDNLHSSISNSIDDSHVRCVNRLLLKEAGNTAAVPEGTNAAVPAGNTDAVPAGNTAAVPAGSFCITDALSG >OIW19539 pep chromosome:LupAngTanjil_v1.0:LG01:1834659:1835240:-1 gene:TanjilG_06994 transcript:OIW19539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSKIHAFLFISMFFISSSAPILGCGYCGNPNHKKHKPNKKPKLPITVPPIVKPPKVLPPIIVKPPVTLPAPIPPVTVPPVTVPPVLNPPTTPGNKPCPPPKSPTHATCPIDTLKLGACVDLLGGLVHIGLGDPAVNQCCPVLQGLVEVEAAACLCTTLKLKLLNLNIFVPIALQLLVACGKSPPPGYTCSL >OIW19280 pep chromosome:LupAngTanjil_v1.0:LG01:5718299:5725886:1 gene:TanjilG_20405 transcript:OIW19280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVDISGDRQSGQDVRTQNVVACQAVANIVKTSLGPVGLDKMLVDDIGDVTITNDGATILRMLEVEHPAAKVLVELAELQDREVGDGTTSVVIVAAELLKRANDLVRNKIHPTSIISGYRLAMREACRYVEEKLSEKVDKLGREPLINCAKTSMSSKLIAGDSDFFANLVVDAVLAVKMTNARGEVKYPIKGINILKAHGKSARDSFLMNGYALNTGRAAQGMPLRVSPAKIACLDFNLQKTKMQLGIQVLVNDPRELEKIRQREADMTKERIEKLLKAGANVVLTTKGIDDMALKYFVEAGAIAVRRVRKEDMRHVAKATGATMVSTFADMEGEETFEPSFLGTAEEVVEERISDDAVVMIKGTKNTSAVSLILRGANDYMLDEMDRALHDALSIVKRTLESNTVVAGGGAVEAALSVYLEYLATTLGSREQLAIAEFAESLLIIPKVLAVNAAKDATELVAKLRAYHHTAQTKADKKKLSRMGLDLYEGKVRDNVEAGVIEPAMSKIKIIQFATEAAITILRIDDMIKLVKDESQGEE >OIW17997 pep chromosome:LupAngTanjil_v1.0:LG01:31159644:31161883:-1 gene:TanjilG_31370 transcript:OIW17997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLVEKTTSGREYKVKDLSQADFGRLELELAEVEMPGLIACRAEFGPSQPFKGARITGSLHMTIQTAVLIETLTALGAEVRWCSCNIFSTQDHAAAAIARDSAAVFAWKGETLQEYWWCTERALDWGPDGGPDLIVDDGGDATLLIHEGVKAEELFEATGELPDPNSTDNAEFQIVLTIIKDGLKSDPRRYRKMKERLVGVSEETTTGVKRLYQMQANGTLLFPAINVNDSVTKSKFDNLYGCRHSLPDGLMRATDVMIAGKVAVVAGYGDVGKGCAAALKQAGARVVVTEIDPICALQALMEGLQVLTLEDVVSDADIFVTTTGNKDIIMVDHMRKMKNNAIVCNIGHFDNEIDMHGLESYPGVKRITIKPQTDRWVFPETNTGIIVLAEGRLMNLGCATGHPSFVMSCSFTNQVIAQLELWKEKDTGKYEKKVYVLPKHLDEKVAALHLGKLGAKLTKLSQSQADYISVPVEGPYKPAHYRY >OIW19327 pep chromosome:LupAngTanjil_v1.0:LG01:3773243:3777610:-1 gene:TanjilG_26026 transcript:OIW19327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATDRLTRVHSLRERIDETLSINRNEILSLLARVEGKGKGLLQHHQIIAEFEEIPKENRQKLSDGPFGEVLRSTQEAIVLSPWVALAVRPRPGVWEYLKVNVHALVVEELQAAEYLKFKEEIVDGSSNGNFVLELDFEPFNASFPRPTLNKSIGNGVQFLNRHLSAKLFHDKESLHPLLEFLRSHSYNGKTLMLNDKIQTPDSLQHVLRKAEEYLGTLAPETPYSEFEYKFQEIGLERGWGDTAERVLEMIQLLLDLLESPDPCTLESFLGRIPMVFNVVILSPHGYFAQDNVLGYPDTGGQVVYILDQVRALENEMLKRIKQQGLDIVPRILIITRLLPEAVGTTCGQRLEKVYDTEHCHILRVPFRTEKGIVRKWISRFEVWPYLETFTEDVAHELAKELQGKPDLIVGNYSDGNIVASLLAHKLGVTQCTIAHALEKTKYPESDLYWKKFDEKYHFSSQFTADLFAMNHTDFIITSTFQEIAGNKDTVGQYESHTAFTLPGLYRVVHGINVFDPKFNIVSPGADQTIYFPYTETSRRLTSFHPEIEELLYSTVENEEHICVLKDRNKPIIFTMARLDRVKNITGLVEWYGKNARLRELVNLVVVAGDRRKESKDLEEKAEMKKMYGLIETYKLNGQFRWISSQMNRIRNGELYRVICDTKGAFVQPAVYEAFGLTVVEAMTCGLPTFATSNGGPAEIIVHGKSGYHIDPYHGDRAADLLVEFFEKSKADPSLWDKISQGGLQRIEEKYTWQIYSERLLTLTGVYGFWKHVSNLDRRESRRYLEMFYALKYRKLAESVPLAVEE >OIW18096 pep chromosome:LupAngTanjil_v1.0:LG01:28702409:28708297:-1 gene:TanjilG_01185 transcript:OIW18096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVARSVPAETAAALAAVLFSETQSSNWWHDINDSPFWQDRIFLVLAALYGIVSAVALVQLFRIQLRVPEYGWTTQKVFHFLNFLVNGVRCSVFIFRRDVQKLQPEIVQHILLDMPSLAFFTTYALLVLFWAEIYYQARAVSTDGLRPCFYTINAVAYIVQIALWLILWWKPVSVLVIMSKMFFAGVSLFAALGFLLYGGRLFLMLQRFPVESKGRRKKLQEVGYVTTICFSCFLVRCVMMCFNAFDKAADLDVLDHPILNFIYYLLVEILPSSLVLFILRKLPPKRGITQYHPIR >OIW19773 pep chromosome:LupAngTanjil_v1.0:LG01:34865:37053:-1 gene:TanjilG_27312 transcript:OIW19773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCFTCSHPDTLSFRTSPTQNSKDTGKRTLKSFVRDMSLKFKSDRSRQRQIIAAEILKYGTAKNHVEVFTYQELAGATDNFNPECLIGEGGFGSVYKGYIKSIKQSVAVKQQNRNGLQGPREFLAEVLMLSLVKHPNLVKLIGYCAEGDQRILVYEFMPNGSLEDHLLDIGGDEEGLDWERRMKIAEGAARGLEYLHETADPPVIYRDFKASNILLDEDFNPKLSDFGLARMGPTGGKDHVSTRVMGTYGYCAPEYASTGQLTTKSDVYSFGVVLLETITGRRVIDYQRPTEEQNLIDWAHPLFKDRSKFILMADPLLKDKFHVKSLFQALAVAAMCLQEEADTRPHMSDVVTALHHLANQKIRQKEIPKEPFIKSAGHVESLGGLNSYIHP >OIW19058 pep chromosome:LupAngTanjil_v1.0:LG01:15726329:15727702:-1 gene:TanjilG_10619 transcript:OIW19058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIKVTCMVLMCMVVVGAPIAHATITCGQVVSGIAPCLTYLQSGGTVPGTCCNGVKGLVALAQSTADKQTACNCLKSAASSTRFDPANAASLPDKCGVNLPYKISTSTNCNTERDEGSTYESSLVMVSHTFIS >OIW18436 pep chromosome:LupAngTanjil_v1.0:LG01:20283873:20285223:-1 gene:TanjilG_13188 transcript:OIW18436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSERRQAESARIREKYPDRIPAIVEKADRSDIPDIDKKKYLVPADLTVGQFVYVVRKRIKLSAEKAIFVFINNTLPPTAELMSAIYEEHKDDDGFLYMTYSGENTFGSN >OIW19694 pep chromosome:LupAngTanjil_v1.0:LG01:1179829:1180197:1 gene:TanjilG_18504 transcript:OIW19694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTTTTTPSSILEPEQQQQATSEAVSSSSAWNSSGSVGPFFAVISVLIVFALISCYLGRRCRNTSRAPTPLESITGRGCFGWVKRVSRKGLCTKDVEVGAKGMVCDHEENDCKVIHGEVAP >OIW18574 pep chromosome:LupAngTanjil_v1.0:LG01:21222337:21225028:-1 gene:TanjilG_13326 transcript:OIW18574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEEEKQFQFDIDLGNLMAFDPHHNFSSYGSTSRVELVKQCLIKGTELVQSIADSLFSLPSIEDIDGPLLKLPPPITKLPRQKHLPVPKPPTKWEAFAQKKGIQIRKKDKVVYDEQSGTWKRRFGYDRANDEEAIPIIEAKPTDDPAEDPFAKRRDDKKKRVEKNERNQLQNLKEAAKFGALPSHIQLAATALPITGTQAAPKKFTKDELGNVAGMAATATASGGKFDRKLPGEKAPQHKGKYRKFLPVVGQGTGIGSLEKEQTEKVLNKIMSKNSHDILNVSKAVTMHNVKREKKRKYDNKRNNSVTEGKLKTQKKSFKKGDSKKGSNKVKETK >OIW18097 pep chromosome:LupAngTanjil_v1.0:LG01:28732118:28748066:-1 gene:TanjilG_01186 transcript:OIW18097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNPFGAGISNIESSTMKEEGSSSSPLMLLDRASRSTRGKRLTKLLDDEAQEDDVFWNQDALKEDENDDNYEEEGEVADEFDSDFDQDEPEPDEEPQNDADERLPKKKRLIFPGKTLAKKKKKKVLSKLESSPKEDKQPTGEEQQDVPDDTEERIVRKSTRTSVIVRQAERDAIRAALQATMKPIKRKKEGEEKRMTQEEMLLEAAQTEIMNLRNLERVLAREEEVKRRAIVHKTVYNGPQIRYISKDGCSYLEFTRGASFHSDIATTSVQYPEKSVCAVTGLPAKYRDPKTGLPYATKEAFKIIRERFMNESGNSRKEMNMGELYDSVSGSGFSIRRKRSRMPDRNVHPDYRSLARFRRIPASEDESSD >OIW17936 pep chromosome:LupAngTanjil_v1.0:LG01:32417460:32418727:1 gene:TanjilG_17772 transcript:OIW17936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANNNNRNGTQARKVMVVADPTRESAGALQYALSHAVLEQDELILFHVENPSSWRNTISTFLKMPSLGSSTTASLDLGGGGAAAATGEGEVDFLEEMKHACRVSQPKMKVRVLRVDMDGKDRASTILLYSNNEGVDVIVIGQKRSFSSALLGYKRSGGGGSMKGAKMIDTAEYLIQNSSCVCVGVQRKGQSGGYVLNTKTQRNFWLLA >OIW18461 pep chromosome:LupAngTanjil_v1.0:LG01:20451530:20453863:1 gene:TanjilG_13213 transcript:OIW18461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNELLQIHPPQLSFVFTMQAQQTALPDLNCKDKFLIQCTLVPFGATEDDITSDMFAKDSGKYIEEKKLKVVLISPPSSPVLFPVNGDAKKDSSNEIHVQKDRVPDGFENIPSPLIVSEEVKGFETAQDMEEDRIDEDIVARHADNVSDMKPAKDAVQLNLAKDVAELNSRLGIMDSKLREAEVTIMKLNEEKCTNTQEKGLLKKELEVLKRKMDTKSVQDGFPLLFVCMVVLVSVLVGYYIHP >OIW18510 pep chromosome:LupAngTanjil_v1.0:LG01:20732754:20734415:-1 gene:TanjilG_13262 transcript:OIW18510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDQESVKQQYMCKFCSKCFPCGRSLGGHVRAHITNLSSSADTEDKEKEKVVGSEAGTTINTGYGLRENPKKTWRIADSTSEDTLLTLTTEKFCRECGKGFQSWKALFGHMKCHTDKERVSNNNNNSLVEDQDSVTNNNASSSHNQKLISDSQSDNEATAPSRRRRSKRRRTRYIVGSANPSFSSPVSEAEQEQEDVAMSLIMLSRDLSPWSGFNSVADHFSDYNSAYFKPSSSVQTGIEKMKKPVLNCSYEIATMIKQSEKKLEFGNSASGNLNSKGKNSEPLAAEFIKNEKGKKCELDYVSALEDSEVELGKDRVNGSESVLSKSTITNKYNSIKTDFFCSELKSKSLQNWAEKASEAAEFSNNTHKRGKFECATCNKIFHSYQALGGHRASHKRSKSCFVTRKESSENSIENELSPDPITESKFMKNIDNEYLVEPEVNAAGYDNEVADTVTESKKSKVHECPICFKVFQSGQALGGHKRSHLAIGSESTKNFQKVVVEEPVQEIREFLDLNLPAATEEGSTSYIDSHRTCWLVENNHKQEAPIGVMTS >OIW19692 pep chromosome:LupAngTanjil_v1.0:LG01:1168950:1170166:1 gene:TanjilG_18502 transcript:OIW19692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRLSNTLVSALNILSLLIGLAAIATSIHIHVHEGAATDCQKVLQYPLFIGGIFVVIVSTLAIIGSIWRVNVALYMYLFVTFLLIVWLVFFTFFALIVSNKNVGRNVYGKGNGEYRVNDFSHWLQRYVVNDKNWDEIKSCLMDGHVCQNLALNGGHNNDALIFKHLSTTQSGCCKPPAYCGYTMKNATYWEVPKTGAPTNNSDCTIWNNKQDKLCYDCNSCKGGVLANIRNQWKHLTIFNACVLVLVTTIYVLGCFAIRNNRLELLSNHPRAPNP >OIW18365 pep chromosome:LupAngTanjil_v1.0:LG01:23654877:23656102:1 gene:TanjilG_31505 transcript:OIW18365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDSITTTTPISSETGAKSPCKKKKLSRSAKLKQNKIDARREQWLSQGTMKNKGCKDGVDGDSHAPPLPAGKHGKHLLKQLETGRDRDGSMICQDSDLESLSNSPNSDVSGTNFTGSSSSGSSRSSSSSSAGCCSGNITEDEDEDECLDDWEAVADALVADENHQHQNPCYDSPPQPIVQTVLPDGLNLGATKLDCAGLVPLASGNNRAWRADDAFRPQTLPNLSKQLSMPNPDLYCGGGSPWSRPTMPSSCPICCEDLDLTDSSFLPCLCGFRLCLFCHKRILEEDGRCPGCRKPYECEPAEMETEVVGGSLTLRLIERS >OIW19668 pep chromosome:LupAngTanjil_v1.0:LG01:965458:966609:1 gene:TanjilG_18478 transcript:OIW19668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIENSSKGYEAKREGDTTNNEKLSMAPSTTSTSSRQWAAFRNPRIVRVSRALGGKDRHSKVCTIRGLRDRRIRLSVPTAIQLYDLQDRLGLSQPSKVIDWLIEASKLDIDKLPPLQIPHGFPQFHHQQTLLPYQHHESTPYHHHHHFSLGGFYDANLSTIMKDGGNHQNLLPKSRLNWDLDSEHSRMKGKEAESVMSNISQKGKWIKTGSEQENQDGIDGYNRQKVFPGLLNNAMAYDSYHSEPSSLSLSQFGGHGSLFPSHVDPHQNSGSGSVQFSSSNVAVPSGSQLLFSPSSATPSLFTPYAPFMANSSVDNDPRQFNHVQILSSSSNNSQVMQPHPLIQSFHSLSSPLRRLPLPFNSKLLDSDNNNNRSQPNKGSGSPS >OIW17679 pep chromosome:LupAngTanjil_v1.0:LG01:35267235:35267505:1 gene:TanjilG_29029 transcript:OIW17679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSKNHTAHNQSYKAHKNGIKKPKRHRHTSTKGMDPKFLRNQRYARKHNNKNGESATEEE >OIW19038 pep chromosome:LupAngTanjil_v1.0:LG01:16540766:16542330:-1 gene:TanjilG_10599 transcript:OIW19038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHLMRDKLYAKLVSCIQLPKIIRISSGVKKAASKPSHEIFVAFKGCPNFDPRNILGASVEVCENINYGTTYKATMEDGKIMLVNKLKDVMLEKKELKQLVDSSQRIPQHPNVMPLLAYYYSEGYMLQIYDNMNGTTGSGGRHLSWDSRLKISLGVARGIAHIHYNSPKGRKIVHGNITSSNVLVGRHLQTCILHPLLTPLANLSKNKGYQAPEVIETGKITGKSDVYSFGILLLEMLTGKSALEYGNLPGYVEDDKWDRLDGIPFHGFFDATIVRGMSDKGYYYMMTLAMDCVERVPTSRPLMDAVVSRIEDMITSN >OIW19769 pep chromosome:LupAngTanjil_v1.0:LG01:61130:62812:-1 gene:TanjilG_27308 transcript:OIW19769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREKVIISPLLSKWRVWFIPLLHWHVGLLSAFVLVGMVVVWSIDGCTVKSSHVTLLHQNQTNNKTILVSQNHVKPLVLKGNYSSWISSELEPNLTSNFLARWLAHGGEPCKDSKAVNISIPGLDGGNVIELSAGDVHEFVFQALDDLGKPHCSGGDYFETDLSGESWKSRPLVKDFGNGSYSISLQVHPDFDGVYNLTVILLYRHFEGLKLTPSKFVYDRVLCDIVIRFYKNSSAQLLELRACEASDFERDVWCGRWTRHAKNDDCPIGNNGRYRCLAPNFPCKTPWCYGSLGILESNGWVYSTHCSFKMYSAKSAWDCLKNRWIFFWGDSNHVDTIRNLLNFILDLPEIHSVPRRFDMNFSNPKDPSQTVRITSIFNGHWNETKNYLGLDSLRDEGFQNLLKKYFSEDTVPDTVIMNSGLHDGVHWRSIRAFSGGANYAASFWADVMKTVKQRGLAWPRVFYRHTIATGGYARSLAFNPNKMEVFNGVLLEKLKEVGVISGVIDNFDMTFPWHFDNRCNDGVHYGRAPIKMKWRDGQIGHQYFVDIMLAHVLLNALCAR >OIW18964 pep chromosome:LupAngTanjil_v1.0:LG01:18648517:18649696:1 gene:TanjilG_09158 transcript:OIW18964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVKVLGQPHYACPRRVILALLEKEIEYEIVLVDGKVGEDKGPEYLKLQPFGLYPAIQDGDLTLFESRAIIRYYAEKYKNQGTNLLGKTLEEKGIVEQWVEVEGQNFNPPLMQLVIHILVNPVLGLPSDPKMIEESEKKLVKVLNIYEEKLSKTKYLAGDFFSLADLTHLPLTYYILEPLKKPYLVRDRKHVSAWWDDITSRSSWKKSVELYPFPF >OIW19698 pep chromosome:LupAngTanjil_v1.0:LG01:1187785:1192841:-1 gene:TanjilG_18508 transcript:OIW19698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDGRKRRRFHLGKIHAFSRGKAVKEEQSLIGGPGFSRKLYINDPERVESNLVNYGDNYVRTTKYTLATFIPKSLFEQFRRVANFYFLVVAILSFLPVSPYSAVSNVVPLVFVVAVSMGKELLEDWKRKTQDIEMNNRKVKVHRGGGVFDLSKWRDLRVGDIVKVEKDEFFPADLILLSSSYDYAVCYVETMNLDGETNLKLKQALEGTSKFQEDSSFGNFKAVIRCEDPNANLYAFVGSLDHEDQQSPLTPQQLLLRDSKLRNTDFIYGVVIFTGHDTKVMQNSTEPPSKRSKIEKRMDKVIYCLFFLLVLISFIGSIFFGISTKDDLENGRMKRWYLRPDDSTIYYDPKNAVVAALLHFLTAVMLYGYFIPISLYVSIEIVKVLQSIFINQDIHMYYVETDQPAHARTSNLNEELGQVETILSDKTGTLTCNSMEFIKCSIAGVAYGRVPTEVERALSSRKDSPIGQKLEQGNVVAKSTVKGFNFQDERIMNGNWVREPNANVIQNFLRLLAVCHTAVPEVDEKTGKVSYEAESPDEVAFVVAARELGFEFYERTHTTTSLRELNPKSANKTQRSYKLLNTIEFSSARKRMSVIVRDEEGKILLLSKGADNVMFERLAKNGREFEEKTKQHISEYADSGLRTLILAYRELDDVEYNKFNKEFTEAKNLVSADQEHILEEISQNIEKDLILLGATAVEDKLQNGVPECIDKLAQAGIKLWVLTGDKMETAINIGFACSLLRQGMKQIIISSDTPEIKSLENMEDKSAAEKAIKASVLREIKNGKALISTPDENSDAFALIIDGKSLTYALEDDVKDLFLELAVGCASVICCRSSPKQKALVTRLVKIKTGKTTLAIGDGANDVGMLQEADIGIGISGVEGMQAVMSSDIAIAQFRFLERLLLVHGHWCYRRISSMICYFFYKNIAFGFTLFFYEMYASFSGQPAYNDWCMSLYNVFFTSLPVIALGVFDQDVSSKLCHKFPLLYEEGLRNVLFSWKRIIGWAFNGAVSAAIIFFFCIRAMEHQAFRKGGEVVGLEVLGTTMYTCLVWVVNCQMALSISYFTYIQHIFIWGSIIFWYIFLMIYGAIDPSFSTTAYEVFIEALAPAPSFWVITLLVTIASVLPYFAYASIQSRFFPVYHQMIQWMKKDGQINDPEFCNMVRQKSIRNTTVGFTARLQASRRLEASRRLEASRRMEVSFEVTSESR >OIW19268 pep chromosome:LupAngTanjil_v1.0:LG01:5974781:5975014:-1 gene:TanjilG_20393 transcript:OIW19268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLFLASMKVNSSPSSLFVFLFHWFWSCWYWFVLFFLGFSVGESRESEDGVVRWFAVVSDLGVHKTNNSGVAKLLEW >OIW18078 pep chromosome:LupAngTanjil_v1.0:LG01:28973727:28977193:-1 gene:TanjilG_08548 transcript:OIW18078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNFVRSRSQPRVTRPITMGGMDYADPKRKGNFVGKVLLAAALTSLCIIMLKQSPTLNSPSPFSLREPGVTHVLVTGGAGYIGSHAALRLLKENYRVTIVDNLSRGNLGAVRVLQNLFPEPGRLQFIYADLGDAKSVNKIFLENKFDAVMHFAAVAYVGESTVDPLKYYHNITSNTLLVLESMAKHDVKTLIYSSTCATYGEPEVMPITEETKQNPINPYGRAKKMAEDIILDFSRNSDMAVMILRYFNVIGSDPEGRLGEAPRPELREQGRISGACFDAARGIIPGLKVRGTDYKTTDGTCVRDYIDVTDLVDAHVKALQKAQPAKVGIYNVGTGKGSSVKEFVDACKKATGVNIKVDFLERRPGDYAEVYSDPTKIKRELNWTAKHTDLQESLQAAWRWQKSHRDGYGVSNDIY >OIW19615 pep chromosome:LupAngTanjil_v1.0:LG01:617624:620973:1 gene:TanjilG_18425 transcript:OIW19615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEAHVHVWEGYVDWRNRPAIKGHHGGMIAASFVLGVEVLENLAYLATASNLVLYMSKFIHFSPSSSANIVTNFMGTAFLLAILGGFLADAFFTTYSIFLISAAIEFMGLLMLTIQAHIPTLRPPSCVAVTGNGRCQKVHDGEAVMLFAGLYLVALGVGGIKGSLPAHGAEQFDESTQEGRKMRSSFFNYFVFSLSCGALIAVTFVVWIEDNKGWQWGFGVSTASILLSFPVFLLGSQTYRTKIPEGSPITTMLKVIVAAIINNCKGGNSTNAIMSMVTSPSYTTEMVEGEVNDTEKEVEGTQTPTESLKFLNKAVMGPVHHPMLQCTVKEVEEVKIVLKILPIFISTIMLNCCLAQLSTFSIQQSATMNTKLGHFKIPPASLPVFPVIFIMILAPLYNHIIAPFARKVTKTEMGITHLQRIGTGLFMSIVAMAVAALVETKRKKTAQKYNLLDTTKPLPITFLWVALQYLFLGSADLFTLAGTMEFFFTEAPWSMRSLATALSWASLAMGYFLSTALVSIINKVTSAFGHKPWLFGNNLNHYHLERFYWLMCVLSGINFIHYLFWAHSYKYRCLTRLG >OIW19560 pep chromosome:LupAngTanjil_v1.0:LG01:1640935:1641432:1 gene:TanjilG_07015 transcript:OIW19560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSMSAQGVVFATAMAVSGTVILLALRLQKSFPVHEIPPSPSPSPILRSCLFSDERKREKKKKKKKVQFAKDVVDSCKDGEEFRRQHNCLKSKSESKVQKNCSNNGGTDKREMPANRAALYNGILRDRGSQRLAYSF >OIW19135 pep chromosome:LupAngTanjil_v1.0:LG01:11169201:11173903:-1 gene:TanjilG_03625 transcript:OIW19135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGGPTTSSSNTSPRVAAVPATTRRRVADNIDAAEKQPTFSDFSDVEPEDPTSVSALPGGPHFHHHHARHLHYPITRYLLLPTRILYVPESFFLRVEKLFLWLALVAHFLRSGKYVGRKILAVLIVMVVMSVFVEVSLMGGEVNGKSIENGHLILQRFKEDWASAQRVVTETETEIETETFMPKRILERLATPEIWMKPNRDNYYQCITRSRNQIRTKSKTNGYLLVHANGGLNQMRTGICDMVAVAKIMNATLVLPSLDHDSFWTDPSDFKDIFDWRHFMKVLKEDIEIVEYLPVQYASVKPVLKAPVSWSKVSYYRGEILPLLKRHKVMKFTHTDSRLANNGLASSIQKLRCRANYEALKYTPEIEELSKTLVDRLTNNNEPYIALHLRYEKDMLAFTGCTHNLTVEEAEELRVMRYGVKHWKEKEINSVDRRLQGGCPMSPREAAVFLKAMGYPSTTTIYIVAGPIYGSNSMLVFRSEYPNTFTHSTLATEEELEPFKPYQNRLAALDHVVALESDVFVYTYDGNMAKTVQGHRRFEGFRKTINPDRLNFVRLIDQLDEGAISWDKFSSEVKKLHSDRLGGPYLRQAGESPRIEENFFANPFPGCVCNKSQELITSLKLDQRYGIESHR >OIW19746 pep chromosome:LupAngTanjil_v1.0:LG01:1552093:1553290:-1 gene:TanjilG_18556 transcript:OIW19746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVSSLVTSSSTTSIFHVLPSNSDPPYKLLQNHPSLNLLSQCNSINTFKQIHSHFIKTGLHNTLFAQSKLIQFCALSPFGEFSYALSLFHSIPQQQQQLNHFIWNTIIRGHYLTLSPISSLEAYTRMLESGVVPNSYTFPFLFKSCAKTKATHEGKQLHAHVLKLRLHSDAHVHTSIITMYARVGELDDARLVFDKSTLRDAVSFTALITGYISMGYVDDARQLFDEIPTKDVVSWNAMVAGYVQSSRFEEALACFKEMQKANVPPNQSTMVTVLSACGHLGELELGNWISSWVRDHGLGSNIQITNALIDMYSKCGEVEKARQLFDGVKDRDVISWNTMISVFFQLVPALEPLNLASGFMPILIKT >OIW19438 pep chromosome:LupAngTanjil_v1.0:LG01:2701849:2704066:1 gene:TanjilG_09458 transcript:OIW19438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRKTMDTISNSMGKRSLEGGGEDDQPERKRPTLANVIVEALKVDSLQKLCSSLEPILRRVVSEEVERALAKLGPARLSGRPSKYRTGSVKVTDISSLSTFEF >OIW17880 pep chromosome:LupAngTanjil_v1.0:LG01:33203198:33205902:-1 gene:TanjilG_19849 transcript:OIW17880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQQNVVVSDTKPRIKRVSQKPLAVPGRYIQYSYPMSRRVLKNLEINGEQRIDTWVDSMRASSPTHAKSNLSLAEEQYTSWSLRHPSALDMFEQIVEASQGKQIVMFLDYDGTLSPIVNDPDCAFMSDSMRNTVRNLARCFPTSIVTGRCIEKVYNFVQLAELYYAGCHGMDIKGPSTSFKFNKDKKSEEILFQPASEFLPLIAEVYQELVEKTKSTAGARVENNKFCASVHFRCVDQKKWSELAQQVRSVLKEYPKLRLTQGKKVLEVRPTIKWDKGKALEFLLESLGFANSTDVFPIYIGDDKTDEDAFEVMDFLQRLVEWKQVRTRSRV >OIW18737 pep chromosome:LupAngTanjil_v1.0:LG01:22610673:22622339:1 gene:TanjilG_13489 transcript:OIW18737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFALNSMCLDLQSASVNIIVGSHVWVEDPVQAWVGGEVSKINGDEVHVYTTDGKTVVTNISKVFPKDNEAPPGGVDDMTKLSYLHEPGVLHNLATRYELNEIYTYTGNILIAINPFQRLPHLYDTHMMEQYKGAGFGELSPHVFAIADVAYRAMINEGKSNSILVSGESGAGKTETTKMLMRYLAYLGGRSGVEGRTVEQQVLESNPVLEAFGNAKTIRNNNSSRFGKFVEIQFDNKGRISGAAIRTYLLERSRVCQISDPERNYHCFYLLCSAPAEEKEKYKLGSPSSFHYLNQSKCYQLDGVDDAHEYHATRRAMDIVGISEEEQEAIFRVVAAILHLGNIEFSKGEEIDSSVIKDEKSRFHLNVTAELLKCNATSLEDALIKRVMVTPEEVITRTLDPDAALGSRDALAKTIYSRLFDWLVEKINNSIGQDPNSKSIIGVLDIYGFESFKFNSFEQFCINFTNEKLQQHFNQHVFKMEQEEYTKEKINWSYIEFIDNQDVLDLIEKKPGGIISLLDEACMFPKSTHETFAQKLYQTFKNNKRFIKPKLSRTSFTISHYAGEVTYLADLFLDKNKDYVVAEHQDLLTASKCSFAASLFPPSPEESSKSSKFSSIGSRFKLQLQSLMETLSSTEPHYIRCVKPNNVLKPAIFENVNIMQQLRCGGVLEAIRISCAGYPTRRTFYEFLNRFGVLAPEVLDGNSDDKVACQMILEKMGMKGYQIGKTKVFLRAGQMAELDARRAEVLGNAARTIQRHMCTYMARKEFIQLRQAAVRLQSILRGLLSRKLYEQLRREAAAVKIEKNFKRYISMKSYLTERSSAIILQTGLRAMKARDEFRFRKQTKAAICIQAHSRRQFAYSYYKRLQKAAIVTQCGWRRRVAKRELRNLKMAARETGALKEAKDKLEKRVEELTWRLQIEKRLRADLEEDKTQEIAKLQDTLHTMQKQVEEANARVIKEREEAQKAIEEAPPVIKETPVIIQDTEKINSLTVEVNSLKESLLLEREAHEEARKAQAEAEGRNKELLKKVEDSDRRVEQLQELVQRLEDKISNSESENQVLRQQALAVSPTGKALPARPRSVIIQRIPENGNTPNGETTNGSVTDMTLAVSNVREPESEGKPQKTLNEKQQENQDLLIKCITQDLGFSGGKPVAACVIYKCLLHWRSFEVERTSVFDRIIQTIASAVEAQDNTDVLAYWLSNTSTLLLLLQRTLKATGAASLTPQRRRTSSSSLFGRMSQGLRGSTQSAGLSFINGRGLSRMDDLRQVEAKYPALLFKQHLTAFLEKIYGMIRDSLKKEISPLLGLCIQAPRTSRQSLVKGRSQANAVAQQALIAHWQSIVKILNNYLKIMKANYAPAFLVRKVFTQIFSFINVQLFNSLLLRRECCSFSNGEYVKTGLAELEQWGVEATNDYIGSAWEELKHIRQAVGFLVIHQKPKKSLNEITKELCPVLSIQQLYRISTMYWDDKYGTHSVSTDVIASMRAMMSEDSNNAVSTSFLLDDDSSIPFSVDDISKSMQEVEVAEVDPPPLMRDNSGFGFLLARSE >OIW17838 pep chromosome:LupAngTanjil_v1.0:LG01:33788127:33788333:-1 gene:TanjilG_02466 transcript:OIW17838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQEYQARRGNTDQAGHGTCKARQGIDQARQGTSQARQGIDQARHGMGQARHIPSKTGHDSGEAWHGA >OIW17994 pep chromosome:LupAngTanjil_v1.0:LG01:31245017:31252343:1 gene:TanjilG_31367 transcript:OIW17994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFPQESLVYDVRLSSVGPGRVSGSNVFHNLSGLDLAMKLHYLKIVYFFESEPAQGLTTPKVKESLFYLLNHYFILCGRFRRLESGRPIIKCNDCGVRFIEAKCKITLDEWLATKDWPSYKLLVSQQVIGPELSFSPPVLMQITHFKCGGASMGLSWAHVLGDPLSASDFINTWGQAMVNLQLNKPINVPRLPFELNESIFENDIVCVKRVDSVGDHWIPPNNRKIDTFSFQVTNSQINYLQTNIGGQSFDRTPPFESLCAVIWQCVARIKEGFEPNIVTVCKTNPHRLGNEMMSNNQIIKRVEVGKRSIVDTHVRVLASLLANQGIDERNEIEKLVDKDEGVTDFFVYGANLTFVDLEEINMYDLQLMGHKPRFVYWTLQGVGDEGVVLVMPWKNSSINNGNDGKFVTIILPEEQMVKLKIELKNNGLVLEGDLE >OIW19731 pep chromosome:LupAngTanjil_v1.0:LG01:1456640:1459719:-1 gene:TanjilG_18541 transcript:OIW19731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKKIAVPLVCHGHSRPVVDLFYSPVTPDGFFLISASKDSSPMLRNGETGDWIGTFQGHKGAVWSCCLDTNALRAASASADFSTKVWDALTGDELHSFEHKHIVRACAFSEDTHLLLTGGVEKILRIYDLNRPDAPPREVDKSPGSVRTVAWLHSDQTILSSCSDMGGVRLWDVRSGKIVQTLETKSSVTSAEVSQDGRYITTVDGSTVKFWDANHYGLVKSYDMSSTMESASLEPKYGNKFIAGGEDMWVHVFDFHTGNEIACNKGHHGPVHCVRFSPGGESYASGSEDGTIRIWQTGPLSHDASLDALSANESIERAMKVTADEVSSKIEDFHISDEGKSKEKEEAVE >OIW19050 pep chromosome:LupAngTanjil_v1.0:LG01:16095296:16096462:1 gene:TanjilG_10611 transcript:OIW19050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNYKFKLSDMIPNAWFYKLRDIGKTRKQNTTPSRKKKQSPLTSTTQQSSKPNQPHQCNNPRKSYYFTRELDPTSNKIYTSPSNTPKFSPDPPRKSSKQRRTKKRTITKSYSPKVVNSSVSSGCNFHTTLESVWTNKLDSPPHEYSYSSSQFDSSTESEFPDPEFRTDRVLLPVNTTKNLSYTYRHKQDNYNSSSNNEDIVIDVDKNSLAKRKDGYEFDSFSEVELPPIITKPVINDDVSQKETKPREQQHNKKGSMKVKIVKENTSTIKEQRNSPVRRFSMSSSKVKVHVNSPRIERRKVHSHGRKIALSSSSRRSLSDSFAIVKSSFNPQKDFRESMMEMIVENNLRGSKDLEDLLACYLSLNSDEYHDIIIKVFKQIWFDFTEKR >OIW18380 pep chromosome:LupAngTanjil_v1.0:LG01:23499175:23502355:1 gene:TanjilG_31520 transcript:OIW18380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAWTMATAAGAAMMLYLVMCRKPERKEDDDDDLDSSRSVRRRRVGRRPAQPPANLLESIVTLSETLRFTYSETLGKWPIGDLAFGINYFMRKQGNLSVASVYGGSECVQLKGPEIIAELHELLRLLTFCIFFSKKPFPVFLDAAGFTLQDVLLQKPKAGLLKPAFTIIRDVQSKCFLLLIRGTHSIKDTLTAATGAVVPFHHSVLSDGGISNLVLGYAHCGMVAAARWIAKLCTPTLLKALGECPDFKVKVVGHSLGGAACMTWELAESGKHFITTIINGSDLVPTFSTSSIDDLRSEVTASSWLNDLRDQVQHIKVLNVVYRSATALGSRLPSISSAKARVASAGAILRPVTSGTQVVMNRAQSVAEAVVRTRSSLSSWSCMSARRRNTGSLPNSKNENLAEDSLVPERTNESLLIEEVVREPMLDKDEPSFSSGASGHDDTDEEEQLIPANEYVTTSTVDDIAEGVLWYQLEKELQDRDNTLTEYAREEEAVAAKEITEEENQLVETAESSNSITSDNLDNHQFYPPGRIMHIVPVPLTNNSDSNSDDPIEEHVSLYETPRELYSKLRLSRTMINDHYMPMYKKMMELLILELEKECSFNLIL >OIW19416 pep chromosome:LupAngTanjil_v1.0:LG01:2944882:2951986:-1 gene:TanjilG_09436 transcript:OIW19416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKGGQSYVSSPPAFSNDGTRLLICTGNTVSIFSTSTSLQISSLEGHTETVTSVIVVPSSTKLLCNCWTASKDGTIRYWDFSIPECIKTLDLQSPITSMVIPSLLRPAEENNAKSPNVFAYVSVQPSKAQESLHKIKKCNLTNYRAVSKLIIKETERSESLNVSPSGNFLGIKDKHKLHIWKVPNMDSDSAVPKKITLHHTKTFTVLAFHPTDRIVAAGDVTGRILIWRGFGTQKFLDSSVVINGRQMNDGEDKPGVRENDDAESCSTWHWHSSGVKLLSFSSDGAYLYSGGKEGVLVLWQLDTGNKKFLPRIGSPLLSFIDSLDPSLSLISCADNQIHMLKVPSMEIMMSISGIKPPLSSEEVCENFSSRAAFDYTSGLVAVQTENYGIQFYSLFANRGLYEVQVCERNHHPVDDITVVVTLVELSVDGSIMGTVEVKLPEEGIGGLVCLKFWDLDTESRRFSVSTLIYEPHRDAHISAVAFHPTRHMAVSSSYGGDFKIWRKDEVQQKNQLLQSSGWICHAVGSYKNKAMRAAAFSADGSVLAVAADTVITLWDPDKNVLVAVVGETPTPIVSLNFAGKSEYLLSVSHGSEPQLSVWSMSKLGASWSYRLHVEAVSCALDLSYFAILVLLPESNKHAIKGDGIIVLFNITDPIPAAYWSVTKAKGGGLGFLKGDLSEQATIDGKPPHALLAYINGDREFVIFDPYVKKGHELSMIRPDSLVALEETEQFGYTSIYGELPKFDIKSNKPISLLSAASERPWETIFRGESHMLPPLTKLCSEFLESLLEKRTAIVGLALAIHWNFVKLADAGRYRTTQDFTFEYS >OIW18218 pep chromosome:LupAngTanjil_v1.0:LG01:27553056:27555918:1 gene:TanjilG_31338 transcript:OIW18218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQQNVVVSDTKSGINRAVTVAQKPPTVPGGYISYPRSRRVLKNLENNGEQRINAWVDSMRASSPTHAKSNPSLAEEQYKSWILRHPSALDMFEQIMDASKGKQIVMFLDYDGTLSPIVDDPERAFMSNSMRKTVRKLARCFPTAIVTGRCRDKVYNFVRLAELYYAGSHGMDIKGPSKNSKFFKGKKAEEVLFQPASEFLPMIDEVYQQLVEKTKSTPGASVENNKFCVSVHFRCVDEKKWGELAQHVKSVLKEYPKLRLTQGRKVLEIRPTIKWDKGKALEFLLASLGFANCAEVFPIYIGDDKTDEDAFKKLRDRGQGFGILVSKFPKDTSASYSLQEPNEASDGLSSAFGGVETS >OIW18191 pep chromosome:LupAngTanjil_v1.0:LG01:26902064:26910160:1 gene:TanjilG_31311 transcript:OIW18191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSLYYESLTFILDAGDWVMVRFTVYGLYGFAYSGFRKVDPDRWEFAHEGFLRGQKQFLKSISRQKPAHVNGCNKETCQVQNSPVGKCVEVGNFGLEEQVERLKRDKNVIMQEIVRLRQQQQTTDNQLQTVGQHVQVMEQRQQQMMSFLAKAMHSPGFLPQFVQQQNESNRQFTEINRKRRSHMQEEDSVATKNLHSVAKYQPFIDEAAKALFLQISQMNNSTRMESTIKNPDAFIANDFPSAVALDNCSSSTLVSGLTLSEVLPTSVRSYTAVESQLPVNCMSKSRTEVQSSPAVLTDCVRASEIPQLTAHNCQDNVLDFGEVQGMGTESSFINSGLNFAGLDIGNVEEINMMPTVLYETLSVEADAFLPDADGISMLPGMNDGLWDEPVLNHERVEEYIFKVKGSSLRCLKALNQAEEEYSSVEGKFHACIEESAQGKRSSEQFNFDGAAAIDGDAAVDSSIEEE >OIW18389 pep chromosome:LupAngTanjil_v1.0:LG01:23407467:23408364:1 gene:TanjilG_31529 transcript:OIW18389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVFTFENESTSTVAPARLYKALVIDADIIIPKAIEAIQSVEIVEGEETKYVLHKIEAIDEANLGYNYSIVGGVGLPDTLETLSIKTRLVEGANGGSIGKVTITIESKGDAQPNEKKRARLPSQEEMLFSRPLRVTFLPILITTKLLNSGIEEKLSYIKVSFMLYIMFVLLYLLSM >OIW19133 pep chromosome:LupAngTanjil_v1.0:LG01:11257565:11258257:1 gene:TanjilG_03623 transcript:OIW19133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNPKRRLEKRSKVELEMGSDEIPDLTKKVPANVLSHILTFLPLDEAIRSGILSKKWKDLWRNTTHIELNEKKLIKPLSQLLISRKFVPTKDVTKGANRYALLVYRIMFHHYGDLPSFRILHLWKSLLLGEVQSWVEYVLKTREGVQKLSLECELDNGEMGEWFLFKDDIPKLNFSKGIFQSLGSLEMINYNINCSNAFVGCKNLKTLKLEKINLADRIINDILNNCVVL >OIW18707 pep chromosome:LupAngTanjil_v1.0:LG01:22412201:22415075:-1 gene:TanjilG_13459 transcript:OIW18707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITGKDIYDVFAAIVPLYVAMMLAYGSVRWWKIFTPDQCSGINRFVAVFAVPLLSFHFISTNDPYAMNYHFIAADSLQKVVILSALFLWNTFTKRGSLDWTITLFSLSTLPNTLVMGIPLLKAMYGDFSGTLMVQIVVLQSVIWYTLMLFMFEYRGAKLLITEQFPETAGSITSFRVDSDVVSLNGREQLQTDADIGEDGKLHVVVKRSAASSMISSFNKSHLTSMTPRASNLTGVEIYSVQSSREPTPRASSFNQTDFYAMFNSRAPSPKHGYTNSFQSNGFGGDVYSLQSSKGATPRTSNFEEETLKMHKKRGGRSMSGELFNNGGGSVSSYPPPNPMFSGSTSGATKKKDSNGNAPNKELHMFVWSSTASPVSEGNLRHAVNRAASTDFGNIDPSKPIPQETVASKAVHELIENMSPGRRGSEERELEIEEGTKFPTSGSPYTCQKKVDMEEGDANKKQNMPPASVMTRLILIMVWRKLIRNPNTYSSLLGLIWSLISYRWHIEMPTIVKGSISILSDAGLGMAMFSLGLFMALQPKIIACGKSVATFSMAVRFLTGPAVIAATSIGIGLRGVLLHVAIVQAALPQGIVPFVFAKEYNLHADILSTAVIFGMLVALPITILYYVLLGV >OIW18133 pep chromosome:LupAngTanjil_v1.0:LG01:27679129:27679489:1 gene:TanjilG_22331 transcript:OIW18133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGACVPQDAVEQGGAAPPMAPSMSNEGHHHMAMVQLHGSLVLMDDGLT >OIW19350 pep chromosome:LupAngTanjil_v1.0:LG01:3519943:3520419:-1 gene:TanjilG_03484 transcript:OIW19350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISGSETQSPNSVTGSKTVTPSSQVSIEVESVKCDSCGFTEECTLAYISRLRQRYQGRWLCGLCVEAVKDEVLRSERLISTEEALNRHIRFCREFRSSSTPLDEIEHPIFVMGRVLRRSLDSPRPLRSNSSGSLPSVHAISATPLLRSESCFSSISG >OIW18925 pep chromosome:LupAngTanjil_v1.0:LG01:19035902:19039865:1 gene:TanjilG_25368 transcript:OIW18925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSIEDDASVLERSLLQDEERKRYTGDGSVDFKGRPVLKENTGNWRACPFILGNECCERLAYYGIATNLVTYLTRKLHEGNVSAARNVTTWQGTCYLTPLIGAVLADAYWGRYWTIAGFSTIYFIGMCTLTLSASAPALKPAECLGSVCPPATPAQYAVFFVGLYLIALGTGGIKPCVSSFGADQFDDTDSQERIKKGSFFNWFYFSINIGALVSSSFIVWIQENTGWGLGFGIPALFMGLAIGSFFLGTPLYRFRKPGGSPITRMCQVVVASVRKRDLVVPDDSSLLYETPDKSSAIEGSRKLEHSDELRCLDRAAVLSEAENEHSDYSNPWRLCTVTQVEELKILIRMFPVWATGIVFSAVYAQMSTLFVEQGTMMNTSVGSFRIPPASLSSFDVISVIFWVPVYDRIIVPIARKFTGKERGFSELQRMGIGLFLSVLCMSAAAILEIIRLQLAKELDLVDKPIAVPLNILWQIPQYFLLGAAEVFTFVGQLEFFYDQSPDAMRSLCSALSLLTTSLGNYLSSFILTLVTYFTTQGGKPGWIPDNLNGGHLDYFFWLLAGLSFLNMLLYVIAANRYKKKTVS >OIW18618 pep chromosome:LupAngTanjil_v1.0:LG01:21648623:21666009:1 gene:TanjilG_13370 transcript:OIW18618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDGESSTHGSTITNQLESLTLSEPRNHGQENWVKKDPRKIARKYQLELCKKALEENIIVYLGTGCGKTHISVLLMHEMGNLIRKPQKDICVFLAPTVALVHQQAKVIEESTDFKVGIYCGSSKRLKRHHDWEQEIEQYEVLVMTPQILLHNLSHCFMKMEMIALLIFDECHHAQVKSNHPYASVMKVFYKNNSTKVPRIFGMTASPVVGKGSSNEANLAKSINSLEHMLDAKVYSVEDQELQSFITTPVITIHHYGSTENRETNLYTTYYAKLEEIKRQCTAILGRNVEDHQKRMNTKKLLNRMHENVLFCLGSLGIWGALQASHILLSGDHSERHELVEAEGYSSDDSLFDKYLTQAAELFTSQCMIGGSLSELSSVETLTEPFFSSKLLRLIGILSNFRIVTARSLSYILQKLKLLRQWKSDFLVGVHAGLRSMSRKTMNIIVEKFRSGELNLLVATKVGEEGLDIQTCCLVIRFDLPETVASFIQSRGRARMPQSEYAFLVDSGSKKELDLIEGFEKDEYRMNMEVSSRTSNDIHSIPNERIFQVDSSGASVSSGYSISLLHQYCSKLPHDEYFDPKPSFFYFDDLGGTVCHITLPANAPIHQIVSTPQLSTEDAKRDACLKAIEELYKLGALSDFLLPNQNDSKPEEQVLSSSNSDGCEDEVSRGELYEMLVPAAFRQSWVNKEKIVHLNSYYLKFCPCPEDRVYKKFGLFIVTRLPVEAEKLELDLHLAHGRSVISKFVPFGVVEFDKDEIKMAENFQEMFLKIVLDRLEFISEFVPLGKGESHSSTSTFYLLLPVILQDYENIMTVDWKTVRRCLSSPIFKHPADTVDQKVFHLDIHLQLANGYRSVKDIENSLVYVPYKKSFYFVTNVIYVKNGSSPYEDSGTSSYVDHFIEKYSIHLKFPEQPLLHAKPLFNLHNLLHNRRLEDTEADELKEYFIDLPPELCELKIVGFSKDIGSSISLLPSIMHRLGNLLVAIELKQMLSSFFPEAAEIKALTVLEALTTERCLERLSLERFEVLGDSFLKFAVARHFFLMNDNFHEGDLTGKRSNAVNNSNLFKLAVKHNLQVYIRDQALDPSLFYALGRPCPIACDKETEESIHLCLNSIKEQGSPTEVRCNKNHHWLHRKTISDVVEALVGAFLVDSGFKAAVAFLTWIGIPINFEASQVVNACLGSAGYVPLSADVDIRSLENKLGYHFVHKGLLIQAFVHPSYNKHGGGCYQRLEFLGDAVLDYLISSYLYSAYPKLKPGQLTDLRSLSVNNKAFACVAVDHNFNEFLLSDSSGLSEAIKKYVDYIRRPESHSGVNQGPKCPKALGDLVESCVGAILLDSGFNLNKVWDVMTSFLDPIMKFSSTLQLSPVRDLQELCQSHNLDLEFQTTKLTKMFSVEAKVTRDGVSKSASATGQSIKEASKMASQLLFSYFKDQGWKPKSKSLEEVLKTTYKMEPKLIGYDETPIDVTAANAIENIMVNGDPYRKSNPVIRPIRDVINIPTPSAKPVGQRLQSSPKERLSETIETNGCDNDSLRTDSLNKGTARSRLYEFCAGNCWKPPLFECCKEEGPDHLKLFTFKATLEIEEAPDVVFLFIGEPKSKKKDAAESAAEGAFWYLHKEGYIPKGN >OIW19118 pep chromosome:LupAngTanjil_v1.0:LG01:13138592:13139602:1 gene:TanjilG_08918 transcript:OIW19118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRTTTKRIVIYHPEKNATSKRQQQPRSSEKKYSPTTGNVGYLCRHELTDEWLTYTPPYSDTPSPQRVILTRWISSGGPPRDDDRPWWPIRRRRRRKNRSQTRTHLPHHRSLSRIHNRGRSSWMAASLQPLTLPRSAIISVCRRWKEEEERKRDLGISYILFDVFRELKTVCSWGYGYENEIKIMDDPCSLSPLPE >OIW18012 pep chromosome:LupAngTanjil_v1.0:LG01:30622920:30626063:1 gene:TanjilG_07596 transcript:OIW18012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDENMKTWVSDKLMSILGYSQPTVVQYMIGLSKQATSPSDLVSKLQEFGFSSSSETNAFASEIFSKVSRKSSGLSQYQKQEREAALLAKKQKTYKLLDDDDDDDDVRGVEEGKVSETKSASRKGDSNKRQFRKKIEVDDVVDVEEEGILTRERVVRRRTSRDDDDDSESEEERLKDQREKEELEKHLRERDEAGTRKLTEHKLTQKEKEEAIRRSNALEKDDSLAFRKVSRQEYLKKREEKKLDELRGDIEDEQYLFEGVKLTEAEKRDLQYKKEIYELVKKRTEDADNANEYRMPDAYDQDGGVNQEKRFSVAMQRYRDTTAEEKMNPFAEQEAWEEHQIGKARLKYGSKNKKQTSDDYQFVFEDQIDFIKASVMDGDNVDYEEMEDSLEKSKAKSALEALLEERKKLPIYPYRDELLKAVDEHQVLVIVGETGSGKTTQIPQYLHEAGYTKRGMIACTQPRRVAAMSVAARVSQEMGVKLGHEVGYSIRFEDCTSEKTIVKYMTDGMLLREFLGEPDLASYSVVMVDEAHERTLSTDILFGLVKDISRFRPDLKLLISSATLDAEKFSDYFDSAPIFKIPGRRYPVEINFTKAPEADYLDAAIVTSLQIHVTQPPGDILVFLTGQEEIETAEEILKHRTRGLGTKIAELMICPIYANLPTELQAKIFEPTPEGARKVVLATNIAETSLTIDGIKYVIDPGFCKMKSYNPRTGMESLLITPISKASAMQRAGRSGRTGPGKCFRLYTAYNFHNDLDDNTVPEIQRTNLANVVLMLKSLGIHDLLHFDFMDPPPAEALLKALELLFALSALNKLGELTKVGRRMAEFPLDPMLSKMIVASEKYTCSEDIISIAAMLSVGNSIFYRPKDKQVHADNARLNFHTGNVGDHIALLKVYNSWKETNYSTQWCYENYIQVRSMKRARDIRDQLAGLLERVEIELTSNSSDVDGIKKSITSGFFPHSARLQKNGSYRTVKHPQTVHIHPSSGLAQVLPRWVVYHELVLTTKEYMRQITELKPDWLVEIAPHYYQLKDVEDSVSKKMPRGEGRA >OIW18782 pep chromosome:LupAngTanjil_v1.0:LG01:23026531:23028051:-1 gene:TanjilG_13534 transcript:OIW18782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSNSQKFTLGYAALLGAGGVIGYVKSGSQKSILAGGLSAAVLYYVSTELPVRPVLASSVGLGISAALLGVMGSRFKKSGKIFPAGVVSLVSLIMTGGYLHGIMRSLHKQQI >OIW18422 pep chromosome:LupAngTanjil_v1.0:LG01:20197838:20206043:-1 gene:TanjilG_13174 transcript:OIW18422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVRTPPPSKRPRPDGHGQVVIYEDDPVPESEENPPVMEHMLCTYQCRQMVKSDFIDALTTAEDQLSDYRSKYDTLDSNFHKLEFDKKKVVDQLLYTEQELAAAKGHKQALQQQLLKEVSDSQQRLSKQLQINSQLQVKLQNEINLRIKAENDAASAEHNAKSLEVKLRTLSETIERDKNQLYFENSQLKSDSDLSVARLTANLEQMECRATNAETELQLLKQQLEHLKDQLNQCLHQKTEVEKKLSTFSTQNVASLNSNALVKYMQEELHNYESEVREARKLRSSHENVELLKEKLLEEKSRRERAESELSKFLEVQLNLKRLEDEISSWRSMIKDIPGVSCFEDIPIKFAALQKEVIDSTRKVGEGTARLKQLEVALDAAEIGKKNAETEAVLAKERVEVLKSDITQIELMLAVTTEERNKLRNLSNLKNGEAGDGPKSGNPIQELETSLARNDVCIKELQSTLHEQRTTNNRQHVEINLLNEKLHNEARRVKSLERESDRLRSEISLLEAKLGHGDFSAANTKVLRMVNTLTVDNEAKQTIEALQTELQKTKEKLKAVEELKLQSGEAVNLVDSYISDKILQLKEQIATLEKREERNIETSTDTRQCLQTAFQSSGGHAIVMDEHQRSNGIPVTRFTLQSIYAQSDDEKLEFEYESGNTTILVNHYTSQPEVSRQVRKFKYCFNIFQ >OIW17831 pep chromosome:LupAngTanjil_v1.0:LG01:33865168:33875386:1 gene:TanjilG_02459 transcript:OIW17831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFEKRKAATIASLRSSESDKSPKGTLDTPIISLINTINHNPLYFTTSSCSGRISVLSQPLSTLSSNPKKKARGGTWLFVSHEPAHPDSLISLLFPSPDSTQFTESELVFRFEPLIVAVECKHLASAQSLVSLAISCGFRESGITNTNKRFIIAIRCSIRMEVPLGHTRNIMVTPEYVSFLVRVANEKMEANRKRTDRFHQMLLSLSQSNDNSNHLSPKHDAIGIVHDHSQVEDDSQHNVDNYSGSTVGFPGSSLLIAHIEIVGEPVEKLFLWGHSACALNNGNHRKVVAFGGFGGMGRHARRNDLLLLDPYSGNLETISHFGEVAPSPCLGHTASLVGDHMFVIGGRTGPDKILNDVWVLDTTKNCWKLLQCGGSVFPPRHRHAAAVMGSNIYVFGGLDNDTIFSSLYILDTISLHWKELPLSGDWPCARHSHAMVASDSHIFMFGGYNGEKALGDLYSFDVQKGQWQKEKTAGSNPHARFSHSIFVYKNYLGVLGGCPVRQHCQELSLLDLKLRLWKHITLNSIGKDLFVRSTAIVVGDDVVIVGGGASCYAFGTKFSEPAKLSLLHLMHSSHDDLMPGKNPRKHMFGQNDGTNQNKIESSLGSQLEHAPNISEDESLYSNNGLPCMNDQNQIILSHYILQLEKKYAKLGKDMLKKFGWLDLGRKAYCEEGGVHICFPVIKDFFSLFHERKHHLEDVIDKNNGAPFSKPLKGDGKLLHEISCSEALTLLHGYGAILLEDEVVEVRKTAKSPLKVMTEAVTSLIEHKGLPARLLDELPTRWDRLGDIVILPATSFKDSTWDSITEELWPIVAKSLKAHRLARQGPVAATGTRDSTLQILVGDNGWVNHQENGILYSFDSTKCMFSWGNLSEKLRMAKLDCKDEVIVDLFAGIGYFVLPFLVRAQAKLVYACEWNPHALEALQHNLQANSVADRCILLGGDNRIMAPKNVADRVCLGLIPSSESSWVTAVRALRIEGGILHVHGNTKDSEERQWIDHVSNSIYEIARSEGYCWEVSVEHVERVKWYAPHIRHVVADILNESYLSTIGQCKCRAWISEDEEKDPGLVMNYYKETCPQAEEIITEQVKLLYKRHKNTAFSWLRNIFHDCAVQRCDASLLLDSTRRSLSEKETDRSFGLRNFRYIETIKEAVERECPGVVSCADILVLSARDAIVSLGGPHIGLKTGRRDGRRSRAEVVEHYLPDHNESISSVLHKFGAMGIETPGVVALLGAHSVGRTHCVKLVHRLYPEVDPVLNPDHVPHMLKKCPDSIPDPKAVQYVRNDRGTPMVLDNNYYRNILDNKGLLIVDHQLATDKRTKPYVKKMAKSQEYFFKEFSKAITLLSENNPLTGTKGEIRKQCNVANKMHHEEDP >OIW17905 pep chromosome:LupAngTanjil_v1.0:LG01:32821132:32821813:-1 gene:TanjilG_19874 transcript:OIW17905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLYQLIKLNLQPDAKGSYVEGLERYVNLGPIVDFCIVDLERQGQGQVVTCSGAYKNGSLCVVRNGTGINEQATFPSTTDAYVELQGIKGMWSLRSSTDDPFDTFLVVSFISETRILAMNLEDELEETETEGFCS >OIW17693 pep chromosome:LupAngTanjil_v1.0:LG01:35163922:35165967:1 gene:TanjilG_29043 transcript:OIW17693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSNYTKSNQSKTPSSSSVSLNNFNFDFDLGIGSNRSKPLNDHKKPNPNNSSYSHSTPSPQPWQPNKPSWTHQPAPVHTNPIGLPGRPASMAGDIFGKTWGSTQTQPTIGIINNKNPNLFGDLVSSALGQGPNKTSSSSSSSSFNVPLKNATPPSNKNSSFSMGNLGDSLPNFVGLSGNSGNVNKSSNLGGSSMSSGIGINSNKNDNNNKDPFSSLGSGIGSKPSGSVNSFVNKPQKVDYEDDGFGDFQNASKSTPIASFPSVGSAGIDIDFSGFTASNQSPPVKPYGGGGGDPIDMLFSASTTSAGGVSGGQPASEMDDWGLESDFGGGSHDVGGSTTELEGLPPPPAGISGSTAKGKGMDSYKHGQFADAIKWLSWAVVLLEKAGDSAATFEALSSRASCYKEVGEYKKAVADCTKVLENEKANVSVLVQRALLYESMEKYKLGAEDLRTVLKIDPSNRIAKSTVHRLAKMAE >OIW18588 pep chromosome:LupAngTanjil_v1.0:LG01:21351667:21360075:-1 gene:TanjilG_13340 transcript:OIW18588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRYFEDMVTIGEWDEVEKYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDKQDRSKAVDILVKDLKVFAAFNEELFKEITQLLTLDNFRHNEQLSKYGDTKTARGIMLAELKKLIEANPLFRDKLQFPTLKNSRLRTLINQSLNWQHQLCKSPRPNPDIKTLFVDHSCGQQNGARAPSPVTNPLLGAVPKAGGFPALGAHGPFQPTQAALPTSLAGWMANTSSVPHPSASAGPIGLATANNAANILKRPRTPTNNSAMDYQTADSDHVLKRTRPFGILDEGNNLAVNLLPVGYSNQNHGQSSYSSDDLPKTVVMNLSQGSTVKSMDFHPLQQILLLVGTNMGEVMVWDIGSRERIAHRNFKVWELGACSMALQGSLSNDYSASVNRVVWSPDGTLCSVAYSKHIVHIYSYHGGGDLRNHLEIEAHAGSVNDLAFSYPNKQLCVVTCGEDRAIKVWDAVTGTKQYTFEGHEAPVYSVCPHHKESIQFIFSTATDGKIKAWLYDNVGSRVDYDAPGHSSTTMAYNADGTRLFSCGTNKEGESFLVEWNESEGAVKRTYHGLGKRSVSIVQFDTTKNRFLAAGDEFMIKFWDMDNANLLTTVDGDGGLPASPCIRFNKEGILLAVSTNDNGVKILANAEGIRLLRTVDNRNFDASRVASAAVVKTPTIGAFPSTNVTVGTSLVDRAPPVPVMVGANNDTRSLADVKPRIVDEAVEKSRIWKLTEINEPAQCRSLKLPDSLSSMRVSRLIYTNQGVAILALAANAVHKLWKWQRNDRNPSGKASASIHPQLWQPSTGILMTNDIGDTNPEEAVSCFALSKNDSYVMSASGGKISLFNMMTFKTMTTFMPPPPAATFLAFHPQDNNIIAIGMEDSSIQIYNVRVDEVKTKLKGHQKRITGLAFSNVLNVLVSSGADSQLCVWSTDGWEKQTSKFLQMPSGRAPAPLADTRVQFHLNQTHLLAVHETQIAMYEAPKLECLKQWVPREASGPITHATYSCDSQSIYVSFEDGSVGVLTASNLRLRCRINQTAYLHPNPSLRVHPLVIAAHPSEPNQFALGLTDGGIHVLEPLDSEGRWGSLPPSENGAAGPSTTSGANASEQTQR >OIW18750 pep chromosome:LupAngTanjil_v1.0:LG01:22689232:22702336:-1 gene:TanjilG_13502 transcript:OIW18750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYATIHTPHFHTLSFKGCPTRCKCQRIHATFSMTIVLKFSTTVKHAKKKKEFLLQLPTPSTHKVETSRFCDLHFDRLQPSNQEFDQQNKIEFGQFVARQALLDEEYWTAAWIRAESFWEYGPYERYTDQNKREFADQEYRAIKRRYSKVRNRENYSCIITVRKEQKNVKCSILKSVLGTLDLSTRYLLQGETFPGERENAPPFCSINRTSSSRYGYIANLCVTKSARRHGIASNMLHFAVESAKSFGVTRLYVHVDRNNEPAQILYKKIGFELYESLMIEDEMGHNHNHNHNHNHNNNTSDGVSHRVNSPRFSGPMTRRAHTFRRTNDGNNGGAPVTPRNDTELQINSPRSETGTNSLPFCEGVLLETVPESKQHVIHNRAHVRSLLKKPIESIVVDLGLREKKKLGHFMFLVFCGACLFLGVLKICATGWLGSAIDKAQFQSNQDLSNSLASLKLMDKSLLHYSYREEGTGDIERTLKMVVASGVVSSQTVVVEESGIWSKPNSDNFTQCIELSRSHRTELDAKSNGYILVNANGGLNQMRFGICDMVAVARIMKATLVLPSLDHTSYWADESDFKQLFDWKHFIDTLKDDIHIVETLPPAYARIEPFSKTPVSWSKVSYYKTEVRPLLKKHKVMYFTHTDSRLANNDIPTSIQRLRCRVNYRALKYSAPIEEFGNTLSFLPYLFCYRYEKDMLAFTGCSHNLTAEEDEELRQMRYGVSHWKEKEINGTERRLLGGCPLTPRETSLLLKALGFLSDTRIYLVAGEAYGKGSMKYLKDDFPNIFSHSSLSSEVELNTFKNHQNMLAGIDYVVALQSDVFLYTYDGNMAKAVQGHRRFENFKKTINPDKMNFVKLVDRLDEGKISWKKFSSKVKALHADRSGAPYPREPGEFPKLEESFYANPLPGCICDAR >OIW18947 pep chromosome:LupAngTanjil_v1.0:LG01:18335769:18338803:-1 gene:TanjilG_09141 transcript:OIW18947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNELNICYQRISNIYILNYNLFLAFDTNAVPNCFSKGLSCSSTPGCNGSDEKNNDDDNDTWFLDDYQLISDCDKLIEAFVLDKSALIDWRRVLVLNKKWNNIRHHFFKHCQDRADNEKDPMMKNKLLWLGMKLKEIDEDVQRYSELMKMIKGTPSDISEVVSRCHKDFTKEFLVHLHTVAESFDDPKVQNDLVKLRGACVTAVKSYDVAAESTGALKTAELNSPHIISSHLDAVCRNIDNLDGRSQCFNPDSVAHLLRSCYNVKEIGMIHAVVLKRFRDSIIYVDNNLICSYLRLGKLAQARRVFDGMSRRNTVTWTAMIDGYLNFNLVDEAFMLFQDSTKHGVQENSTMFVCFMNLCPKRMDLKLGKQIHARVLKSNWRNLIVNNIVIHFYAKCGEISSAFQTFDCMAERDLVCWTTMITACSQHGLGHEALLMLSQMLGDGFLPNEYTICSALKACGENKALKFGTQLHGALVKKICKIDVFIGTSLLGMYAKCGETVNSKKVFDRMRIRNTATWTSIISGYARNGLGEEAISVFRLMMRRKVHVNKLTIVSVMMACGSIKALLFGREVHAQVIKSINHTNLHIGSTLVWFYCKCKEYSHAIKVLQHMPFRDVVSWTAIISGCAKLGLKSEALEWLQEMMEEGVLPNSYTSLISMYVKCGNVADAFQVFDNMPKRNLVSWKAMILGYVRNGQYKEALKLMYRMEVAGFVVDDYIHANVFTACGGIDPVDID >OIW19701 pep chromosome:LupAngTanjil_v1.0:LG01:1216126:1219630:-1 gene:TanjilG_18511 transcript:OIW19701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVSTRSQFRNPHHGLKEKLKALTLLYEQQKQTSTSLRNSYSKPQQNEQKNVMRENRVPHLTNSTVTRTFVLIEPPSNVAKENFAVGSDKIVGFSCVRKPSTVLSSNIVARKLSLGNGGMVESEKMETVLEKQGKVVGVGESRILVFVRVRPMNKKEKEVGSRCCVKIANRRDVYLSEFANENDYLRLNRVRGRHFTFDASFPDSATQHEVYSTTTSELVEAVMQGRNGSVFCYGATGAGKTYTMLGTLENPGVMVLAIKDLFSKIRQRSCDGSHVVHLSYLEVYNETVRDLLSPGRPLVLREDKQGIVAAGLTQYRAYSTDEVMALLQQGNQNRTTEPTRANETSSRSHAILQVVVEYRDRDSSMNIVNRVGKLSLIDLAGSERAHATDQRTLRSLEGANINRSLLALSSCINALVEGKKHIPYRNSKLTQLLKDSLGGTCNTVMIANISPSNLSFGETQNTVHWADRAKEIRLKVSDANEDLLPIPETDRDQAKLVLELQKENHELRVQLAKQQQKLLTLEAQSLAAHSSPTPPSATSLFTPPTSAQPTEKRRTRSSFLAGTCITPESNKKGAELVVRTLQRTVKALEAQIERMKKDHSLQLKQKDDLIHELSQKSGKQAVDEVGKRVLTRASLRPKEPNNDELKSPSHRFRSPVVPTAKKRSYWDITTTNSPSIATSNGRKTRSHVISEPTTAPPPRSSMLLQV >OIW18375 pep chromosome:LupAngTanjil_v1.0:LG01:23540906:23542795:1 gene:TanjilG_31515 transcript:OIW18375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGIVHKTVNVNGINIHIAEKGEGPLIFFIHGFPNLWYSWRNQITYLASHGYHCVAPDLRGFGDTDVPAIAISYTTLHVVGDLIGLLDTIASDEEKVFVVGHDWGAMIAWSLCLYRPDRVKAVVNLSVAFTPRNPKTKPLDVLRAVYGNDYYICRFQELGDIESEFAQIGTERVLKEFLTYTKPGPLYLPKGKAFGNPIDSPITLPSWLSEEEFNYYVTKYTKTGFTGALNYYRNLDLNWELTAPWTGAEIKVPVKFIVGDLDLTYNAPGSKDYINNGGFKRFVPLLEDVVVIDGAGHFLNEERADEINQHIYAFFSKF >OIW17963 pep chromosome:LupAngTanjil_v1.0:LG01:31797938:31798141:1 gene:TanjilG_17799 transcript:OIW17963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFKLDEWDEGSSILTNKWVRTQSSFFFVRPHAFLVMLTASVVVGYGGYVWPSSIRSGREQPLNGLG >OIW17732 pep chromosome:LupAngTanjil_v1.0:LG01:34853764:34857150:-1 gene:TanjilG_29082 transcript:OIW17732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSHGIRPEIVLLGDSITEQSFKDGGWGASLANAYSRKADVLVRGYGGYNTKWALFLLNHIFPLGSPKPPIATTIFFGANDAALLGRTSERQHVPIEDYKQNLRKIVLHLKEYSPSMQIVLITPPPVSEEGRQRYAESLYGENAMKLPERTNEVAGQYAKACVEIAKEMSVWYVNLWPKMQETDGWQDKLLSDGLHLTPEGNTVVYEEVIKVFNEAGLSADKMPLDFPHHSQIDGKNPEIAFQQEVVTRAGACTIDKHVFV >OIW19108 pep chromosome:LupAngTanjil_v1.0:LG01:12530644:12531130:-1 gene:TanjilG_08908 transcript:OIW19108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLKMRAAGKNFLAVERVKGSWWRNPHLAKRKTKRAEERQVVPHHAPVLFALTQSHSLTLDFTKPNNVLSSNTKSIELE >OIW18985 pep chromosome:LupAngTanjil_v1.0:LG01:18124105:18127744:-1 gene:TanjilG_23762 transcript:OIW18985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVFPKVLVLGLPTCYETLQSIYSHKFNFINPNSSNLPLHQFIISNHPPSIRAILCSVSFPLTADFLRLFPSLGLVVTTSAGTDHIDLNECRRLRINVAGAGGLFSEDVADKAVALLIDTMRKISAADRYVQTRNHGGEWDFPLEMEVFPKVLVLGLPTCYETLQSIYSHKFNFINPNSSNLPLHQFIISNHPPSIRAILCSVSFPLTADFLRLFPSLGLVVTTSAGTDHIDLNECRRLRINVAGAGGLFSEDVADKAVALLIDTMRKISAADRYVQTRNHGGEWDFPLGYKISGKRVGIVGLGNIGMEVAKRMESFGCIILYHSRYQKSSVSYPFYSNIVDLATNSNALVVCCALNEQTKHIINREVLLALGKEGFIVNVGRGGLIDENQLVKCLIEGEIGGAGLDVFENEPHVPQELLALDNVVLSPHCAAFTSESVMSLCELVGGNLESFFSNMPLITPLKLD >OIW18200 pep chromosome:LupAngTanjil_v1.0:LG01:27048088:27052049:1 gene:TanjilG_31320 transcript:OIW18200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFISLPKPLSNHYIHHNLCFNTNLPKWKTTTTRASSSAAPGVDLNTLQSAIDKKDSSAVKEALNQLNEVGWAKQWSSQPYVSRRTTSTRELTTLGIKNAENLAIPSVRNDAAFLFTVVGTTGFLGVLTGQLPGDWGFFVPYLIGSISLVVLAVGSISPGLLQAAITSFSSVFPDYQERIARHEAAHFLIAYLLGIPILGYSLDIGKEHVNLIDEKIEKLIYSGQLDAKELDRLAVVSMAGLAAEGLTYDKVVGQSADLFTLQRLINRSKPQLSKDQQQNLTRWAVLFSASLLKNNKVIHEALMESMSKKASVLECIQAIEGAA >OIW18518 pep chromosome:LupAngTanjil_v1.0:LG01:20787619:20795263:1 gene:TanjilG_13270 transcript:OIW18518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVVTNNGNEVNGQRRVGLIYNDRMCDHFSLQDHHHPEAPDRIRVIWNKLNKTGICDRCVILDAKEAEDKYIQLVHSKSHVNQIKHISTKQYDSRRHKIALKLNSIYFNEGSSKAAYLAAGSAVEVVEQVASRQLHSAVAIVRPPGHHAEHNEAMGFCLFNNVAISASYLLDERPELGIKKILIVDWDVHHGNGTQKTFWNDSRVLFFSVHRHEFGTFYPCGDDGFYTMVGEGAGAGYNINVPWENGRCGDADYFAVWDHILLPVAKEFNPDIIIVSAGFDAAVGDPLGGCLITPFGYSILLEKLMNFAEGRIALVLEGGYNLDSIANSMHACVEVLLDGKPVTESSKAYPFESTWRVIKAVRQELSPFWPCLASELPKDLICLVAPPPHTLISSSDSEAEDDMDPLNSDNLGELLQDLIIPLSNLKVDTDQVIGTSNTWRSELSNTYVWYASYGSNMWKSRFLCYVAGGQVEGMQKHSSGSVDKAPPKEILWKTFPCRIFFGRNSSKSWGPGGVTFLNPERTFQHNTYLCLYKISLEQFNDVLLQENGLSLNVGSPLFDITALNAISEKEFNSSEAVKGSWYGDVVYLGKEQNIPILTMTCSFLDIERFKAGELPLNAPNKAYANTLIKGLVEGEQLSEVEAIAYIEEAAAKPL >OIW17714 pep chromosome:LupAngTanjil_v1.0:LG01:34975298:34977385:-1 gene:TanjilG_29064 transcript:OIW17714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLKLPPPSPALCSLQLLLKLLKISADSKWLRFGKTIHTQLLIRNQTSKHTDTTQLNSLINLYVKCDQLHLARKLFDEMPVRNVVSWNALMAGYLHTGDHLEVLMLFKNLVSLENACPNEYIFSTVLSCCSDSGRILEGKQCHGYLLKCGLVFHQYVKSALVHMYSRCFHVDLAMQVLDTVSGHDVFSYNSVLSAFVESGRSREGLQVLRRLLDERVVWDSVTYVNVMSLCGQIRDLQLGLGIHAQLLKGGFIFDVFVSSMLIDMYGKCGKAFDAMKVFDGLQKRNVVVWTALMTAYLQNGYFEETLNIFTRMDREDTLPNEYTFAVMLNACAGMATMGRGDLLHAHVEKLGFKNHIIVRNALINMYSKCGSIDSSHDVFSDMINRDVITWNAMICGYSHHGLGKQALLVFHDMISAGQCPNYVTFIGVLSACAHLALVQEGFYYLNQLMTKFKIEPGLEHYTCIVALLCRAGLLDEAENFMKTTPMKWDVVAWRTLLNACHVHRNYGLGKRIAESVLEMDPHDVGTYTLLSNMHAKARRWDGVVTIRKLMRERHIKKEPGVSWLEIRNDIHVFLSEGSNHPESIQIYDKVQQLLAMIKPLGYVPNISAVLHDVEDEQKEGYLSYHSEKLAIAYGLMKIPSPAPIRIIKNLRMCDDCHSAVKLISKITNRLISVRDANRFHHFQDGFCSCADQW >OIW19380 pep chromosome:LupAngTanjil_v1.0:LG01:3270175:3274836:-1 gene:TanjilG_03514 transcript:OIW19380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQAPQNLPPNQQKVIITNKHGNKLVGILHESGTREIVILCHGLGASKEDNIIVNLAAGFDNARISSFRFDFSGNGESEGTFEFGDYWREVDDLHAVAQHFHEANRKVSAIIGHSKGGDVVLLYASKYHDIKTVVNLSGRYDLKGGLEDRLGKDFMEKIRKEGFIDVKTKSGSVEYRVTEESLKERLSINVHEQCLQIDKECRVFTVHGSSDTTIPVEDAYEFAKILPNHKLHIIEGANHVYTHHQAELTSVVVNYIKETLQLD >OIW18067 pep chromosome:LupAngTanjil_v1.0:LG01:29653021:29658652:-1 gene:TanjilG_19299 transcript:OIW18067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGEVFLFEEQHQQHPRVIIRNFLSLHECKELEFIHKSSSTVGYRPNVFSTTLSHLIATNSSHFIIPFIPIRDRLKDKVEEFFKCEFELFIEFTGLISWSRGASIGWHSDDNRPYLKQRHFASNSVMSGDVTSGVLSSILHFSYWIPVMLYTLAVADSLCIPFGYTMKAVCYLNNYGKDFNGGLFHFQDGEPTSIIPMAGDAVMYTSDHRNIHSVDEITDGERLTLTLWFSRDDSHNEDVKLVSLLSQNLLHKNMADSYLPLPASSNMYWFSQDQASNNQFGFNICWARLHVLGYAIYICQDSSCESDVSELLLKPVCLVRGNELLDQKFVNILHALQIVHFYCWKGSALQTNVSITDTKVVKLSDVQRKRINGLKSVLLNDDDLALRVFSSKPSEENERICFDWTGIVAAFTAWEDYVSKLTKQIRLQLPSWRMHESIYDVQLGEQYHP >OIW18845 pep chromosome:LupAngTanjil_v1.0:LG01:19883415:19891104:1 gene:TanjilG_25288 transcript:OIW18845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKNSDELHESALKREEDTPNVIHLTHFTTPESIYHLRLGFPSLASKPYMQKWYISLMWPITAWSMILTWFYGHTFIVERNTFHKLKIQTWAIPKYSFQYFLEWQKGPINRIIEEAILDADRKGIKVVSLGLMNQDEELNMNGGLYVSKNPKLKVKVVDGSTLAVAIVLNTIPKGKTQVLLRGKLTKVAYAIAYTLCQQGVQVATMYEDDYVKLNKSFNGSETNLIMTKSFTQTTWLVGEDLSEEEQKKAPKGTLFIPYSQFPPKKYRKDCSFHCTPAMLTPTSLENVHSCEGWLPRRVMSAWRIAGIVHSLEGWNENECGYKMHNIDKYFLEWQKGPINRIIEEAILDADRKGIKVVSLGLMNQDEELNMNGGLYVSKNPKLKVKVVDGSTLAVAIVLNTIPKGKTQVLLRGKLTKVAYAIAYTLCQQGVQVATMYEDDYVKLNKSFNGSETNLIMTKSFTQTTWLVGEDLSEEEQKKAPKGTLFIPYSQFPPKKYRKDCSFHCTPAMLTPTSLENVHSCEGWLPRRVMSAWRIAGIVHSLEGWNENECGYKMHNIDKVWNTTIQHGFIPIPIPVPSYPKN >OIW18064 pep chromosome:LupAngTanjil_v1.0:LG01:29536561:29556346:-1 gene:TanjilG_19296 transcript:OIW18064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGDIKTPVVASKDYCDSIMTNELPVINKSINCQPSGETSNGSILNSSADRLGLRISVFQQSRRTLLVKGSLQDRNSPLSDLPYSTGETTLQKEFSNFGKIAEVKVVKDVITKRSKGFAFIQYTSQDDAMLALETMDQKVFHGRTICVEIATLGRDDFGAHPKTSGPPKKWNLPQQEETVDCWY >OIW18465 pep chromosome:LupAngTanjil_v1.0:LG01:20467497:20470762:-1 gene:TanjilG_13217 transcript:OIW18465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGERLDFGKMGYGCKHYRRRCRIRAPCCNEIYSCRHCHNDATSMLKNPFDHHDLVRQDVKQIICSVCDTEHEVAQVCTNCGVKMGEYFCNICKFFDDDTEKQQFHCDDCGICRVGGRENYFHCKKCGSCYSVNLRDNHLCVENSMRHHCPICYEYLFDSLKDTIVMKCGHTMHSECYQEMVKRDKYCCPICSKSVIDMSRMWKRIDEEIEATVMPDDYRLRKVWILCNDCNDTTEAYFHILGQKCGHCRSYNTRAIAPPVLPQ >OIW19734 pep chromosome:LupAngTanjil_v1.0:LG01:1466803:1471903:-1 gene:TanjilG_18544 transcript:OIW19734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVPISMCGDPELEQLSRDGSQYSLSTGILPALGARSSRRLQLRRFIISPYNNHYRVWETFLITLVVYTAWVSPFEFGFLKKPKAPLSITDNIVNGFFAVDIILTFFVAYLDKTTYLFVDNPKQIAWKYTRTWFAFDVISSIPSELIEKISPKPLQSYGLFNMLRLWRLRRVSALFSRLEKDRNFNYFWVRCAKLICVTLFAVHCAGCFYYLIAARYHDPKRTWIGAVMDNFLQQSLWTRYVTSIYWSITTLTTVGYGDLHPVNSREMIFDIFYMLFNLGLTAYLIGNMTNLVVHGTSRTRKFRDTIQAASSFAQRNQLPARLQDQMLAHLCLKFRTDSEGLQQQETLDSLPKAIISSISHYLFYSLVDKVYLFRGVSNDLLFQLVSEMKAEYFPPKEDVILQNEAPTDFYILVTGAVDLVVYRNGVEHIVREAKTGDLCGEIGVLCYKPQLFTVRTKRLSQLLRLNRTTFLNIIQANVGDGTIIINNLLQHLKDLNDPIMDGVLMETENMLALGRMDLPVSLCFAAVRGDDVLLHQLLKRGLNPNESDNNGRTALHIAASKGNENCVLLLLDYGADPNVRDSDGNIPLWEAILGGHESVSNVLVENGADLKHGDIGQFACTAVEQNNLNLLKGIMHHGGDITLPNSSTGTTALHVAVSEDNVEIVKFLLEHGAAIDKADMHGWTPRALADQQGQVDIKAIFESTGEPKSQSFAAIPENRSKVRYLGRFTSEPTIPMPHDASFHGTNGSWSQSRPRRRNNNFHNSLFGIMSAAHKGKNNLLFPFNTDSDNAANNGMNSRASSIRVTISCPEKGEVAGKLMLLPGNFKELLEIGAKKFGIFPAKVICKDGAEIEDIEVIRDGDHLVFVSADDGMHKSNSPTPTNSELL >OIW18275 pep chromosome:LupAngTanjil_v1.0:LG01:24976378:24978904:-1 gene:TanjilG_31415 transcript:OIW18275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMNRDSWVFLFGLIGNIISFMVFLSPIPTFYQIYKKKTSEGYQSLPYVVALLSAMLWIYYALVKKDSALLLITINTFGIVVESSYLAFFLFYSSKKARLSTIKLLLLLNVFGYGAMLLATLYLSKGAKRLAIIGWICLVFNITVFAAPLFIMKKVITTRSVEYMPFTLSFFLTINAVMWFFYGLFQRDYYIALPNTLGFLFGIVQMVLYLMYRNATPVVKENPMKVQELNGHIVDVEKVHDGARGGAIGKV >OIW17939 pep chromosome:LupAngTanjil_v1.0:LG01:32386656:32392195:-1 gene:TanjilG_17775 transcript:OIW17939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGKGGNNGGVGHINGGGIPASSRKMVQNLKEIVKDMSDLEIYATLKDSNMDPDEAVNRLLSQDPFHEVKKTKRDKKKDTATTATSNDYYSRSRSSSSTRPPPPRKPAPKKEYRNGTPTTAYNVNNNQPPSYRSAESVGVFDGLPSFSSQDAPLQQSSWMVNTGQRSMADIVKMGRPQVAGNIPSIQQGRGSKVSEINRDQGFPVNQQGDEWPSIEHQSDVNQWPSVEHQSAVNQWPSVEHQSAVNQWPSVEHQPGVNEWPSSTDHQSAVNKWPVEHHQPAVSVSTVVHAVPNSEHYTKSSDFGEGDWQQKTYDNEYVAEEVPVQNPDNVGSASVSGKSKLEHNQESNLYRDNDSYQPHHHPFDNNGVEAAAISSVAANFEQLNLHAEDQGTESEEESPSVVIPDHLQLHNPECLNLSFGSFGSAKNASLSESEPHASRPLKNNLEDTSEAPGVSIIGSSDARNPDYYGDEHVTTSSDGNLVHRTAIGAGTYEHSSIPQTEALKSEPHEPVQENQYPFPSSSHVYTYEKAQQADVAFPHSQTSSQLQDLSPFSSVMQGYTNSLPSALLDSTVQMARENIQYSPFPAEQPALRANSISTPQSNPQAHPGANVATGPALPQQLAVHPYSQPTLPLGHYANMIGYPFLPQTYSYMPSAFQQAFAQNSTYHQSLAALLPQYKNSISASSMSQTAAIPPGYGYGSSTSIPGGNFPLNQPAAPTSTTIGYDDVLNSQYKDSNHMISLQQSENSPMWLHGPGSRTMPAAPPSAYYSIQGQNQQPGGFRQSQQQQQPSQHFGPLGYPNFYQSQGGISLEHQQQLQQLQQLQQQQQQNPTMEASLAGSQNQQSKQSQQLWQNSY >OIW19452 pep chromosome:LupAngTanjil_v1.0:LG01:2541316:2543261:1 gene:TanjilG_09472 transcript:OIW19452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATINAHLLSFNVHHRSPPWGWRRDSDAIIVANRTRGQAFQVLANPNVSSGKQGSKNDVIMVDPVEAKRLAAKQMERIKAKEKLERRRQIEAINGAWAMIGLTAGLVIEAQTGKSISVQVKF >OIW19659 pep chromosome:LupAngTanjil_v1.0:LG01:918630:920375:-1 gene:TanjilG_18469 transcript:OIW19659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASTKRCHYEVLAVSRDSSPDEIRSAYRRLALQRHPDKLVQSGISQSEATAQFQELQHAYEVLSDPKERAWYDSHRSQILFSDTDSVGSSVVPDLFSFFSNTVYNGYSDSGKGFYKVYSDVFDKIHANEINFAKKMGIGVDSVRQAPVMGNLESPYAQVTAFYSYWIGFATVMDFCWVDEYDVMAGPDRRTRRRMEEENNKARRKARKEYNDTVRKLADFVKKRDKRVIDMKVKKNAEMEKKKEEEREMKRRLEKEKKERAMKYEEPDWAKVNEDEVDDWVEELEEEEEEKKEEKEFYCVLCGKKFKSEKQWKNHEQSKKHKEKVAEFRDSLDDDIEEQVEEDLEVEVEVEGLESEVNGIGANDVRSGDDDDYGVDDLEGRVRDGLNVAEEKTRNGVELDDDGSGGLFDSKEGEEAGVSVDLDGDEEIDVLEAMVAGHNSRKPSASTQEPEASVDTTHIENENDEVFVMEYDNRKGTRKKRGSKKERGRKNWEESNAPANGDHGNMDNKGNDNSYAEESTSQHYGENEGNSKGNEPVGKHKKVSSELADKKGNAKDTKTKAKSSSKGRKGKVRNHEIFSY >OIW18205 pep chromosome:LupAngTanjil_v1.0:LG01:27197517:27197804:1 gene:TanjilG_31325 transcript:OIW18205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTIQPPSEVVEVRDGLWWLGGLLVATEISREFTVSSLSFEVAVIRFFSRMACGGSVGGDIITIGVISSSFPPFGGDRGSGRGGLMDSGWKNGIS >OIW19506 pep chromosome:LupAngTanjil_v1.0:LG01:2113934:2116804:1 gene:TanjilG_06961 transcript:OIW19506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSMDRRKSREKTTAKDASTIETPPPPQISASEAFIVNLVCVFGLAISFSIANKGYSFDLVSDPSHTLFFIWIIELPIVILLFSRYRQNPQQCTYLRAVGRGMLGVPVGALLNSLGAIALGAPVTFQYLPKTVNWSLMMSLLTIVPPSCVLGSSWADWRRIFAQTKASGSVEYLICLPAHGAVIGGWFGAWPMPLDWERPWQEWPISVSYGAIAGYLVGVVASCGFVLAHGRSQHVKRD >OIW18404 pep chromosome:LupAngTanjil_v1.0:LG01:23243659:23245775:1 gene:TanjilG_31544 transcript:OIW18404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLFDWFYGILASLGLWQKEAKILFLGLDNAGKTTLLHMLKDERLVQHQPTQHPTSEELSIGKIKFKAFDLGGHQVARRVWRDYYAKVDAVVYLVDAYDKERFAESKKELDALLSDESLANVPFLVLGNKIDIPYAASEEELRYHLGLTNFTTGKGKVSLTESNLRPLEVFMCSIVRKMGYGDGFKWVSQYIK >OIW18016 pep chromosome:LupAngTanjil_v1.0:LG01:30652125:30652630:1 gene:TanjilG_07600 transcript:OIW18016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRFPRFSQGLAQDPTTRRIWFGISTAHDFGSHDVITEERLYQNIFASHFGQLAIIFQWTFGNLFHVAWQGNFEAWVQDPLHVRPIAHAIWDPHFGQPAVEAFTRGGALGPVNIAYSDVYQWWLVTPTIEMETERFVV >OIW19571 pep chromosome:LupAngTanjil_v1.0:LG01:157618:177548:-1 gene:TanjilG_18381 transcript:OIW19571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAQNVELEAAKFLHKLIQDSKDEPAKLATKLYVILQHMRSSGKEHSMPYQVISRAMETVINQHGLDIEALKASRIPLAGGPQIGSSSQAVGVAKDSQVVLAENEMSKFDSLASGRPPVAPSGGAPDYYQGSVAQRSSQSFDQGSPSSLDSRSANSQSQDRRDTANWDKQVNPKDGKKAITKRKRGDTSSPVQLHDLPSQLDPRNTAANARKGKQNKVEPPDGLPGAYANIHGGMAVPTSASPMAEPVFSSSMQYGGILERDGVSLATLTQIGRQSSGSEMTIPRQGVPSRDTGKSTVTTVPASPAMPFTEQQLKQLRAQCLVFLAFRNCLAPKKLHLEIALGATFSREDGSRKDLSDHKGKLQSLNELGNTSGVMMPLGGPSGSTSAGKVQEAETLSKGTESPRIMDDSGNLHSDIHILSEEKKHLLATRREVERRIQERVVGQASSATSSHQQDSSSTRGAVVNRHLDDVDSGNLQVGRSNQPSVIGSSSWTGFVGHNEASKGPPQISAIQNELPIERRENIPSQFQNVGNSCGSGNHNSVNHLTSYSLKEHWKPVPGTGGDLHGATMKNGNVMTNHVSPDGFKTVSVDDASKQGVSFVTEQDGNERSLLGDLPHPKFTMSERWIMDQQKRRHLVEQNWVQRQQKTKQKMVTSFHKLKENVSSSEDISAKTKSVIELKKLQLLELQRRLRSDFLNDFFKPITIEMDHLKSVKKHRHGRRLKQLEKFEQKMKEERQKRIRERQKEFFSEIEVHKEKLDDVFKAKRERWKGVNRYVKEFHKRKERAHREKIDRIQREKINLLKINDVEGYLRMVQDAKSDRVKQLLKETEKYLQKLGSKLQEAKAAAGRFGHDVDEMGSANFLENSETTLENEDESDQAKHYMESNEKYYMMAHSIKESIAEQPSNLQGGKLREYQMNGLRWLVSLYNNHLNGILADEMGLGKTVQVISLICYLMETKNDRGPFLVVVPSSVLPGWESEINFWAPSVNKIVYSGPPEERRRLFKERIVHQKFNVLLTTYEYLMNKHDRPKLSKIHWHYIIIDEGHRIKNASCKLNAELKHYQSSHRLLLTGTPLQNNLEELWALLNFLLPNIFNSSEDFSQWFNKPFESTGDNSADEALLSEEENLLIINRLHQVLRPFVLRRLKHKVENELPEKIERLIRCEASAYQKLLMKRVEENLGAFGSSKARSVHNSVMELRNICNHPYISQLNSDEVDNFIPKHYLPPIIRLCGKLEMLDRLLPKLKATDHRVLFFSTMTRLLDVMEEYLTLKQYRYLRLDGHTSGSDRGALIDLFNQSDSPYFIFLLSIRAGGVGVNLQAADTVILFDTDWNPQVDLQAQARAHRIGQKRDVLVLRFETVETVEEQVRASAEHKLGVANQSITAGFFDNNTSAEDRREYLESLLRECKKEEAAPVLDDDALNDILARSETEIDVFEAVDKKRKEDELATWKKLMPGQAIDGSEFTIPLPSRLVTDEDLRQFYEVMKISDVPKSRVESTGVKRKGGNLGGLDTQHYGRGKRAREVHSYEEQWTEEEFEKMCQTEFPDSPKAKEVAEMNHPTNASSSVISASKTEPVMDHPSIITEPAMVPPVAPILPSVESLPIQQVKEITLPAKRGRGRPKRITTPPVNAPPDSMAHSAVIVGVSGPIEQSDAGAVRNAQPTIPMPTVPPHSQSAVASVSVPIHARGQGRKTHSSGGGTRRRGKKQIMTSPPIPGGSVGPGLKVNEQSEEILVSPPSGQAISQNEPISSTAAVHHPTTLSGAGSLNSGMDRLSVGTATNSQQPLPLPSASPLSQVTLTSPSVQMQSEGQNRKSQNGAGVSRRRGKKQATIPPPVPDVLGHPDLHPTSNVQISSGSLLGYNATELKTFQQNNVQVSECIIQDRASQSLGDMDIKSMERSDDLAKQTVNLSSGQNSTIKSPGPELEKVKNPDVRDSYIEKDKSSENASSKIEVCENPGNVKLFVTTLPVTEGTEDLQSGGTTHNTVEALKTIPSTVVTPTNSLVGSATTESVKQSFDSMTANVVTSAPLSIVYPSTVGSESTHSFSFEPTPAKKQGRKTQNRVEPPRRRGKRSASVLPAVPNALVDHDPKLIPHALNSSEDSLVGKAIKNVTQSQALEILLPSGVADHDSKRKERATNSSQNKQNVNDVARVMKEVFSGICLPMSKVNDSVGSEDTNTPSVHVITNPAVDASNNQSVEDKACPEIPTTRAACLTFNVHEKQSDKASNVQSQEGKAGLDLTSTGTMSLTSAISVNGDEQSGSASDKKITLLNGTLPTVSEPETSDRGDVKEQTENCFENSTTQNKMEALDVTPIDASQKTYDSSEILPTGGGLTDLNIETSTHQICSSVVSPGVEPLVVNQNLGNQSDSSFEMCSRSSPLDIGVTGCQSTPLKSENFNNFENIQADTLSQSHLSTKESPKITEHICDENFYLPDSSPKSSPLACGDSSGLVLQADNLGDQPRVTMALSSISEHTEINSRNDTESSVQASSELALDEEIGGNKISTSANHDRDNIVEPPNLSLNPASVGNHSQNALNSSIKQCSESASEIVCPGSPKAVQAQNHQDALSELADLHKTPLVESYLGSRGEEKMEKGDSFCEQLQSGGVGSSECLVEPMEKGVANSSGIQEETRVDKMETDVQLDAPISQILEGNVDFPSCGSHAGSGDNTSKSTSLISSEETKVDKMETDVPISQILEENVGLPSGDSLARSGDNTSKSMSFLSSPELVARSVPQNNDEGSTADQSNCSDKFQSDYLLPGTTEIEINKFPSDCPMHVSESMDGKSSLIKDENSKVEISDQIDASQISEGDPERLNSKNVDVSSSYLVMEEEKVDLLSDKVSICVPLDQSEPRDPVIPEEGCRDGIKDPIANPLLQLESEDPEAMKCNQMKTSDVDRVDPGLTCKKMELPYVSESVEGEPLIKDEISEVEISDQIGASQISEADPERLSSKNIDVPSSCSMVEEVKVDVLSDKGSICVPLDQSEPRDPVIPEEVCRDGIKDPIANPLLQHESEDPEAVKCVQMKTSDVDRVDPGLTCKKMKLPSSSVTEQDKSDTLGEPTDYLIREGSCRDATEVPSTNPVLLPESVNSEAEMDNQGQSQADGNESKGLTDTEEREDINERCDAEMVNVSQVPSSPTPFEKVECLSEEDIVGSMTGMQVPEEPEAVKGDAMDVTPGCETTSIHGVASICPSAAGSEHVESSSGKSAAEESKAGISNQENQTRHENAMPDIEEIPPSVAEGKNAESSFENGSKECEVEDGKNIVENSEIVNERATEKSLSEKEVSESSVAGEAKESDTKS >OIW18777 pep chromosome:LupAngTanjil_v1.0:LG01:22985744:22988315:-1 gene:TanjilG_13529 transcript:OIW18777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKAKAKPRGFASHPRHPMLVDLEQANSVRDDGCDAAPVKSDNGSLNGTPAKPLSFRDDRLTDKLAVAVDIDEVLGNFVSVLNKFIADRYSSEYSVSEYHVYEFFKIWNCSRDEADFRVHEFFKTPYFMNGIHPLPGAQMALQKLSRYCNLSVVTSRQNVIKDQTIEWIEKNYPGLFHEIHFGNHFALDGVSRPKSEICRSLNAKVLIDDNPRYAMECAESGIRVLLFDYENSYPWSKDDSVDKHPLVTKVKNWEEVEQQLMSLIVS >OIW18821 pep chromosome:LupAngTanjil_v1.0:LG01:20070797:20074214:-1 gene:TanjilG_25264 transcript:OIW18821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATANPFDLLGDDVDDPSHFILAEQLKAATVAPNKDSAPAAARRAPQLPSKPLPPSQAVREARNETSYGGRGGSRGGRGYGGSRGGRGYGGGRGGRDSPNDESSFSDYKAPAQGAFQESDAGNPSERRGYSGPRGPYRGNRRGGFSNGDVEEGRPQRTFERRSGTGRGNEVKREGSGRGNWGSQTDEFAQVSEEVVNENEKNLSDEKPAGEEDAADGKESPANENAEKEPEDKEMTLEEYEKVLEEKRKALEALKTEERKVDIREFESLKPLSSKKDNDEIFAKLGSDKDKRKDAFEKEKSKKSVSINEFLKPAEGERYYGGRGRGRGRGGSRGGYSGNVSRNVPAPAIEDPGQFPTLGGGK >OIW19012 pep chromosome:LupAngTanjil_v1.0:LG01:17507512:17508098:1 gene:TanjilG_20285 transcript:OIW19012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPWLKLGEGVKGVYISIDVDCLDPAFAPGVSHIEPGGLSFRDVLNILHNLEGDVVAADVVEFNPQRDTVDGMTAMVAAKLVRELSAKIAK >OIW19328 pep chromosome:LupAngTanjil_v1.0:LG01:3743811:3764180:1 gene:TanjilG_26027 transcript:OIW19328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTLTYSFLPSKPSRSRFYNRPRLRLLTVRAQSENNLFETLTQFHSFNLIAPVLAFASGFALSLSRRRNTTTNTDTDSELIDLGEWILFANPSPFNRFVLLRCPTISFRDEDANERLVREEKHYVTVNNGRIRLKLDDESDRKLSYQRVCVSCGDGGVISLDWPSSLDLEQERGLDSTLLLVPGTPQGSMDPTVMSFVLEALGKGFFPIVMNPRGCAASPLTTPRLFTAADSDDICTAVTYIRKARPWTTLMGVGWGYGANMLTKYLAEVGETTPLTAATCIDNPFDLDETTRSSPYHIVTDQKLTDGLKDILQANKALFQGKTKGFDVEKALLANSVREFEEAISMVSYGFEDIEGFYSKSSTRNVIKDVKIPVLFIQSDNVMVPVFSVPRNLIAENPFTSLLLCSCLPASVIETDTDMSAISWCQLLTIEWLTAVELGLLKGRHPLLTDIDVTINPSNGLTVVEEMRSAKNAKVSNLLDLTRPDAFNGYTIGPTNEFLEERKNDDNFHFRSDQDLQRNLQREDMNLQVKHGPSQQTSSTNADLVEENVGPADNEHGHVLQTAKLVTNMLDVTMPGTLTEEQKKKVLTAVGQGETLMKALEDAVPEDVRGKLTDAVTGILHAQRADLKFGRMLGISQGPEGLTGQKNQENFRVSGVIGDLSSLNQMNKTSSSVDGSNNAPSDRVEPAEETETDVLPLDKLPNSTSLDQSQDSNNEVGSSNSFWSETRNSGDNIDTDVELKEKGVPDIDHIEKDVETGSKPYTPRTSHSNGVGGEEAAVAEQKNQNNEIGQSDTMEENNIQKVEQKSQASSSGQSKVTSTDEKEDTSSSPMPSENEIAQSDTKEENNVQKVEKKSQDFSSDQSKTTTSDAKEELSSSPMPSEHHTIERESNDNEKKDSINTQQSNSTSSNSSAATFNVSQAFDALTGMDDSTQVAVNSVYGVLENMLSQLEESSVNEGEVKDGKDVVHSSVNEGQVKDGKDVEHSSVNEGQVKDGKDVEHSSVNEGQVKDGKDVEHSSVNEGQVKDGKDVESKFEEQQKSNSQSMDSNLSDDPCGDDQQNGIYLKNDCCHTEEQLPHSLNTVNGSSLFSPQNSNSKDHLVQKKNTASEVIDKTYLVHKLDEDRHANRIPPYIALRSYRDSLYNEYIRKNLFSKVSTKPLDLHTTTTLLLDYFPEEGQWKLFEQPQNMKIASSSTATSDEAGFKMKTHSSKKSSDVKKYMGPPYVILDTENQQEHVKESITTDTIHKMIDTSDDRSKELIQFVKVVVLGSLKMEVGRKLNATEIKMMKPKLAGDLEHVANAISLAVVHSNVQQLCTESQVCNVEDTVEKVGTLDGEYLIRVITSSVQETRYLTRVMPVGVIVGSILAALMKYFNVATLEDNSHRGSVTSGDGGKSSKKYYGNVGVREIDQVPREKSSLDHPIKREAAERGPLTPDDGVKPGKMNYGHVGVTDIDQVHEERTSFDHPIKTEEVESESEYGSRNTAMVGAVTAALGASALFMQKGPQQGNETDEISSSFEMKDRHQKKPEEIEVEVSEKNQNNIVTSLAEKAMSVAAPVVPTKDGEVDQDRLVAMLANFGQRGGLLRLVGKIALLWGGIRGAMSLTDRLISFLHIAERPLFQRILAFVGMILVLWSPVVIPLLPTLVQSWTTMTPSIIAEFACIIGLYAAIMILVMLWGKRVHGYENSYEQYGLVLTSSRKLLEFLKGLVGGVILVFSIHAVNAFLGCISFSWPLTQTSMDAMTLLKVYGQMGLIVIQGTLMASAIALVEELFFRSWLPQEIAVDLGYHHGIIISGLAFALSQRSLLAIPGLWLLSLFLSGVRQRNGGSLSMPIGLRAGIMASSFILQKGRFLVYNNYDGNFTLWITGSHPFQPFSGLVGLLFSLSLAILLYPRQTSEKKEA >OIW19774 pep chromosome:LupAngTanjil_v1.0:LG01:32922:34136:1 gene:TanjilG_27313 transcript:OIW19774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTPPVKLDVVIDIRERFLWFECGNNYNSTTYKPLHCGTKQCKIAKGTDCINCTNHPLKTGCTNNTCGVEPYNPFGEFFVSGDVGEDILLSSSSTYTTNNGSSVVVSNAYIPSFISSCVYPDKFGIDGFLGGLAKGKKGVLGLARTSISLPTQLANKYKLHRKFSLCLPSTSEEKGHNNGVLFVGEDPYFSPHLKASKILNYTPLVFNRHSTGPIYDNDPSTEYFIRLKSIKVDNQVLNFNTSLLSINKEGHGGTKLSTVIPYTKLHTSIYQPLVNYFVNKASVRKIKRVKAVAPFGACFDARTIRNSVTGPDVPSIDLLLKGGVKWRIYGANSMVKVDKKNVLCLAFVDGAGLEPTTPLATSIVIGGHQLEDNFVEFDLVSLKFGFTSSLLLRNSSCSHSTTF >OIW19420 pep chromosome:LupAngTanjil_v1.0:LG01:2904418:2907785:1 gene:TanjilG_09440 transcript:OIW19420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKRELVYEISKSRGASEMLQSWSRQEILQILCAEMGKERKYTGLTKLKIIEHLLKIVSENKSEGHETDSELHSSAAHGQQSAKRQRKIENPSRLPVPANNVSANNDGDMGIATYCKNSACKATLNQADAFCKRCSCCICHQYDDNKDPSLWLICSSESPFPGVSCGLSCHLECALKHDGSAIGKNGERLKLDGGFYCVSCGKVNDLLGCWRKQLMVAKDTRRVDILCYRVSLSQRLLQGTEIYQELHKIVDEAVKKLEPEVGPLTGSPAKFGRGIVNRLSSGPEVQKLCGLAVESLDSLLSKRILPSSPTPTIQGANMLAPNMLRFEDVTATSLTVILGSEDPSRENIAGYILWHRKVDDVDYPVEPTCTLLLPNRRFSIRGLTPAREYIFKVVSNDLRELGMCEVHISTEHGEDEVPNCSATERSQSPATNCSSLSNPSSVEDETNNSNPYSDQTGNQADHYRTFYKDSDQLASGNLSSDAMNCSNIVGVGPPTDADSLSDKQHAAGTAGSIPAPDVLKLENKHSREEQVTEDMSIDDGLNSLIQTGRECVPLAGTLEGGLPNTPCKLEMLKDGPGRNGRSKSGGNDLENGSGKREGPQDGSTSKKGSGERQDEGCTDRDFEYYVKVIRYLECEGHIEKNFRQKFLTWYSLRATSQEVRIVKIYIDTFLEDPASLAEQLVDTFSECISSKRTSMVQAGFCMKLWH >OIW19403 pep chromosome:LupAngTanjil_v1.0:LG01:3071958:3076768:1 gene:TanjilG_09423 transcript:OIW19403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLNSRGPKKSSHSDAQAESKPDSSLRFKITRHRGKMHMLKSKSRTQKVDTNIPRKKVTDSSIKGPSKVSSTKKLTIKQSSHKADGKFPQNMSSNLQPKKVREKEKDDGERRTRYLIIKMKLEQNLIDAYSAEGWKGQSREKIRPEKELQRAQKQILKCKLGIRDAIRQLDSLSGIEDPVIAPDGSAKHKHIFCAKCKLCEALPDNDIVLCHGTCSRAFHQKCLDPPLASEHIPPEGQGWFCKFCDCKMRILEVVNAHLGTHFSLHSTSQDVFKDEAAMPDGDTALPNPGEEWPSDDSEDEDYNPERREGNHNINNTEGTDDDASDDVSSSASLWSYDSECSPVDERVNHEYFSVNSSIDSDESKEKACGRRHRKAVDYKKLYDEMFGKDAPAYEQVSEDEDWGPSKRKRREKESDAVNTLMTLHECENKHPNNEHHAMIRDDSSGIQIRKPCFRFPPAAIEKLRQVFAENELPPRSTKVDLSKEFGLSVEKVVTCCPPTVSSFYLPLTVSKWFKNARYVALKARKVEGADQVHSFTPRKNSTLQNMGEAELLKSKASKITVNHSTKNVKNVTGKMKTKSDSSTLMKRQAEIFSPQPSENVNKDVMEISDDVSLKKLLKKRKRKAKFTFEGGYHEAELEFERLSKVKIKLDSMKQKLSAIQNYRGKGSDEPQSNEPSTIFVPIAVLREKVEL >OIW18587 pep chromosome:LupAngTanjil_v1.0:LG01:21346393:21348315:-1 gene:TanjilG_13339 transcript:OIW18587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTIRKAIGVVKDQTSISIAKVAGNLAPELEVLVVKATSHDDVPADDKYLREILSLTSQSRGYVNACLITVSRRLGKTRDWIVAIKVLMLIHRLLVDGHSVFEEEFVHSTRRGMRILNISDFRDEAHSNSWDHAGFVRLYALYLDEKVEFAVYQRKVKAAARGDGVESGDGGEFRDEFGGGSGMGRRNRSYGDVNDSSYGRENQKDEVVNATPVKEMGSERILERLKHLLNILDRVLGCKPSGAAKNNRIVQVALYQIVRDSFKVYVELCDVLGVVMDRFTEMEYAHCVKAFDAYVGAAKMFDELAGFYGWCKDLGIARSSEYPEVQKITDKLLGTLEGFLKESNRPKSPEKNLEIKITVKEKEPEPELDMNEVKALPPPESFTPPPPPSVPQPKPAPPIQHETNDLVNLRDEGVSADEQGNKLALALFSGPAAVRTEGSWEAFPSNGESEVTSAWQTPAAEPGKADWELALAESSSNLSKQKANLAGGFDQLLLNGMYDQGAVSQHVSTNQLSGGSASSVALPGAGKSATPVLALPAPDGTVQAVGQQDPFAASLSVAPPSYVQIADMERKQHLLLQEQQLWQQYGRDGMQGQVALARAAGGSGYYAPGQQPMMMPYGMPQFGGAMGQPGGYYQAPY >OIW18057 pep chromosome:LupAngTanjil_v1.0:LG01:29293038:29294109:-1 gene:TanjilG_19289 transcript:OIW18057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIYQHTNSSSTQWTVVHNKAFQRAIVMLPENIPNRWEKMTHHVPGNWSLEDLKQRYEKLEHDVLMIVFGEVEFLELLNKVEPVKVHQGTLAVPDDKKKGTLWTAEEHRLFVRGLEKCGNGDWKGIATKFVVSRNPSQVASHAQKYFLRQNTTKDDRKRKSIHDITTRESDQTSVSLPRFNKKKHYSAPHLDDHTPPT >OIW19417 pep chromosome:LupAngTanjil_v1.0:LG01:2938921:2942009:1 gene:TanjilG_09437 transcript:OIW19417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYIRTNGFKKLFSLRRWGLGEEVMSFNGEENSESLKIVSHEVQEEEHSPRPTWKCFSYEELFDATIGFSAENMVGKGGYAEVYKGTLKDGEEIAVKRLTKTSRDERKEKEFLTEIGTIGHVHHPNVLSLVGCCTDNGLYLVFELSSRGSIASLLHDEKLPPVDWKTRHKIAVGTAHGLHYLHKGCKRRIIHRDIKASNILLTLDFEPQISDFGLAKWLPSQWTHHSIAPIEGTFGHLAPEYYLHGVVDEKTDVFAFGVFLLEVISGRKPVDSSHQSLHSWAKPILNKGEIEKLIDPRLEGDYDVTQLKRLAFAASLCIRASSTWRPIMSEVLEVMEDGEVDKERWKMPEEDEEQEEEFWGFEDLEYEYDSSFSMSLPDSIGSS >OIW18797 pep chromosome:LupAngTanjil_v1.0:LG01:23159439:23161623:-1 gene:TanjilG_13549 transcript:OIW18797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFGFCKGDDSYTPPDNGHFMPPNPTGNIGYHGRHAAIAAPQTTNFQPIAVPSLSVDELKSVTDNFGSKYFIGEGAYGKVYRAVLKNGRVVAIKKLDSSIQPDQEFLAQVSTVSRLKHENVLELVSYCVDGPLRALAYEYAPKGSLHDIIHGRKGVKGAQPGAVLSWAQRVKIAVGAAAGLEYLHEKAEIHIIHRYIRSSNILLFDNDVAKISDFDLSNQAPDAAARLHSTRVLGTFGYHAPEYAMTGQLTSKSDVYSFGVILLELLTGRKPVDHTLPRGQQSLVTWATPKLSEDKVKLCVDVRLNGDYPLKAAAKMAAVAALCVQYEAEFRPNMSIVVKALQPLLNTRSVHPKEAPKL >OIW18451 pep chromosome:LupAngTanjil_v1.0:LG01:20384981:20386042:1 gene:TanjilG_13203 transcript:OIW18451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSGFEGFEKRLELHFFGDDPAIFPLGLRKIDFESIQQVLEAVQCTVVSAVGNSYFDAYVLSESSLFVYPTKIIIKTCGTTQLLKSIDPMIHYAYNLGLTLCSCRYTRGSFIFPKSQPFPHTSFKDEVSYLEDTIPSNLSYRKASIMPSKSSSHSWHVFTANDGSHNHSHMPYDNDIFTIEICMTELDPILARKFFRRAGDGKTGDSAGKEMTELTGINEINPHALICDFAFDPCGYSMNGMDGDWYSTIHVTPEDGFSYASFECVASINDDIVHVLRKVVQIFRPGTMSISTTWNEYNHEIWTNMAKLVEPLGLKCRSCVMDQFISAGDVVFQTFTTRRKSIPCSTTKIKP >OIW18511 pep chromosome:LupAngTanjil_v1.0:LG01:20741025:20742855:-1 gene:TanjilG_13263 transcript:OIW18511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQKRPFDVEEMLDGSFKHPKHVGPSDQLVSFSESAFPEAACQIPKTSVELPKGAVDLEKSFPEGISVPSWATINNGEDVQLEPPVYLPFVPEYFSPERPMKTIVRYVDIYSILLENPPHKLIPVGANHQADIPAWDSSATSRPRASDAVQDEAEERLMGTCIIPMPQMELSVHDDGVGKGRTDCSCEDKGSVRCVRQHIIEAREKLVKTFGHEKFTDLGLSDMGEQVAAKWSAEEEQLFCEVVFNNPATLGRNFWNYLSIVFPLKTKREIVCYYFNVFMLRRRAEQNRNSFLNIDSDDDEWQGDDGNEISTQEEDEDSIAESPVYEGDACLFNCHENDLQDNDDYASDETCVVNETADFTDRNVSDDSIYEPAVNPHSFGPPSLIQPQDQPLWQVRFDEDVRDALCKSSDVGVAPQETQVKAENGDHWHGNYNGVCKEPCDAKVWGSGSVLL >OIW17777 pep chromosome:LupAngTanjil_v1.0:LG01:34433368:34435007:-1 gene:TanjilG_06462 transcript:OIW17777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKLKSDSELCYHVSCSEKCYVVLPFHAECQRYIPEYYNYFLPLFHHRNSTETMVNVNVIHSIFNILLPPITLTSLYLLIKSFHFVIRSVLSQNVEGKVILITGASSGIGEHLAYEYGRRGARLALVARRENRLNEVAIKAKSLGSPDVITIHADVSIVQDCNRFVDLTVNHFGQLDHLVNNAGIVPLSLFEHITDITNFVPAMDINFWGSAYGTYFAIPHLRKSRGKIIAIASSAGWLPTPRMMFYNSSKAAVISFYESLRIELGKEIGITIVNPGLIESEMTQGKFLSKEGKMIVDQEMRDVQVSLVPIRSVTGAAKAIVNSACRGDSYLTEPGFIKSTFYWKAFCPQVLEIMNRWLLMSGSSERGAISKKLLDMSGLKNKLYPESIRNPKLKPN >OIW18548 pep chromosome:LupAngTanjil_v1.0:LG01:21011731:21016561:-1 gene:TanjilG_13300 transcript:OIW18548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDHYNKLVKLAARAFYDDLPAKSENQPKTGRSDNRGIAVVILDALTRRQWVREEDLAKDLKLHTKQLRRTLRFFEEEKIIAREYRRETAKGAKIYSAAVAATADGQTAKEGEEKVKLHTHSYCCLDYAQIYDVVRYRLHRMKHKLKDELENKNTIQEYICPDCGRRYNALDALRLISFEDEDFHCENCNGRLEIESDKIAAQEGGDGDDNARRRRREKLKDMLQKMEIQLKPLVDQLSRVKDLPVPEFGTLQSWEALASAAGRAANGDNAGDSRNSHLGYNGAPMPYSGDTKVVVDFNGTEGKGEGIKSETDGKPVKVLPPWMIRSGMVLTEEQRGEVKQETKMDGTSTSSTAQYTEDKKSKVEHADNTNIQDEYIKAYYAALLKQQHELAEAAKKEEFSNSQTADDPSSSISIRQVGMKTKREEDDDGTEWEDAPIGGNGNGSYKAVDLNVEADEAAADDDDDIDWEEG >OIW18164 pep chromosome:LupAngTanjil_v1.0:LG01:26236086:26238348:1 gene:TanjilG_31284 transcript:OIW18164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMASGNINLPPGFCFSPTDEQLVLHFLYSKDSLPCYSNIIPDLHVSLPDPWELNGKALTSGDQHYFFTKVKENKTTENGYWNEIGVTEPIFSATDKKVGVKKYLVFYLGEGPRGTETCWVMQEYHICSYVFNTQSYDLSGSKWVLCKVYERKKFQSQQGANYYYSDEDDSGSELSWQDEVFLSLDDDLEEIQSLP >OIW19419 pep chromosome:LupAngTanjil_v1.0:LG01:2918813:2920037:1 gene:TanjilG_09439 transcript:OIW19419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAITFAAPVAAASFVGLKSNRSNLCPGIRSNAWNKNVVSNGSKTHCSMKTWNPIDNKKFETLSYLPPLSDDSIAKQIDNMLRKGWIPCIEFDKVGTVHRENSQMPGYYDGRYWTLWKLPMFGCVDSSQVLKEIYECKQIYPNTYIRCLAFDNKRHSQSMAFIVHKPATS >OIW18059 pep chromosome:LupAngTanjil_v1.0:LG01:29319961:29320884:-1 gene:TanjilG_19291 transcript:OIW18059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRRRTQGDWKGIATEFVVSRTPSQVASHAQKYFLRQNTKKDDRERKSIHDITTGESDQTSVSLPRFNKKNIIQLLIWMITLLLHRIDFLLFAFVCIGNMNCNEYRSNHMQKCYRITLPTIFLIKAEFWQFNINPFN >OIW18992 pep chromosome:LupAngTanjil_v1.0:LG01:18070317:18075286:1 gene:TanjilG_31050 transcript:OIW18992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGGGGDFTPPPPTNGGEYLLSLIQNPHNPPPQFHQPPSQPPPQQFPTTTDPAVAFMGPTIHVSSPWKINGPDHPQQFHHHHHYLPYQSNLFGLSHNPFPPIRDDYSSTQISVANDLRKLGFFIEGNNNNNINMVEALLQHQELKLKFGSLPIDSFAPDPQFQYDVGSLLNLKFNYNGYGRNLHLENPKPNPNLSSNGNVIEHDRIGVMFGRVNSDFHGVGNFRSEPSRSNDQWGSGTRRKGSEVSSDELVVRNESVHAMEEVARRGSNVGCEMRLPEHLDHPGPPSGSNLHLVSASDIEEPRSMFQSNVVGDGVRDKFKGDGRLKMEDVADYGRGSSGGSDEVDVLGEQLGDSLLLEDVPEENNNSKQQRRDKDARQSDLRGQWLLSQRARMYKRQMICRRDIDSLNVPFLLMYQSLIPPDEEKVKQKQLLSLLERLVIKEWPKARLYLYGSCANSFGISKSDIDVCLAIEEAHMDKSKLIMKLADILQSDNLQNVQALIHARVPIVKLMDPMTGISCDICINNLLAVVNTKLLWDYSRIDARLRQLAFIIKHWAKSRRVNETYHGTLSSYAYVLMCINFLQQRRPAILPCLQEMKTTYSVTVDDIDCSFFDQVEKLYDFGHRNKETIAQLVWGFFYYWAYCHDYTNDVISVRTGSIISKREKNWTRRIGNDRHLICIEDPFEMSHDLGRVVDKHSIKVLREEFERAADIMQFDPNPCVKLFEPYVPS >OIW18799 pep chromosome:LupAngTanjil_v1.0:LG01:23180426:23182487:1 gene:TanjilG_13551 transcript:OIW18799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSISISFSCSIIPSPIPLHNNNYPFPTTTQAPIFRVTLFAISSPPSKSPSSSSQDVKKKHWKRGEFPGTSQTQSTRRTPIKNIKKRLDKKNIAKAWVNTVAEALSERVEKKQWLQALEIFDMLREQSWYQPKEGTYMKLIVLLGKSGQPHRARQLFTIMIEDGLAPTPELYTALLAAYCRSNLIDEAFSILNEMKSHPFCQPDIFTYSTLIKPCVDAFKFDLVELLYVEMAERSITPNTVTQNIVLNGYGKAGKFDEMEKVLSGMLESTTCKPDVWTMNTFISVFGNIGQIDMMEKWYKKFCNFGIEPETRTFNVLISAYVKKRMYHKMSSVMEYMRKLQLPWTTSTYNNMIEAFADVGDAKHMEYTFDQMRTEGMKADTRTFCCLINGYANAGLFHKVISSIRLAAKLEIAENITFYNAVLSACAKADDLMEMERVFNRMKDNQCQPDDTTFSIMIEAYRKEGMNDKIYYLEQEKQTMLTDDKIVSEPEDKIVQS >OIW18754 pep chromosome:LupAngTanjil_v1.0:LG01:22737330:22739860:-1 gene:TanjilG_13506 transcript:OIW18754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQRSLLLLLFCAVVAAAAAASGSSFNEFNPIRLVSEGLRDVEAQVLDIIGQSRHAVSFARFVRSYEKRYESSNEMKQRFQIFSDNLKRIRSTNKKGLSYTLGVNRFSDWTWEEFKTHRLGAAQNCSATLKGNHKITDAILPEHKDWRKEGIVSAVKDQGQCGSCWTFSTTGALEAAYAQAFGRNISLSEQQLVDCAGAFSNFGCDGGLPSQAFEYIKYNGGLDTEKAYPYTASNGLCKFSAENVGVQVLDSVNITLGAEDELKHAVAFARPVSVAFQVVDDFQSYKKGVYTSDTCGNTPLDVNHAVLAVGYGVEDGVPYWLIKNSWGAEWGDNGYFKMEMGKNMCGKDPKNLNYILENKIKVFRLVLLILLWHKRKMEIGAILWRLP >OIW19243 pep chromosome:LupAngTanjil_v1.0:LG01:6775411:6775575:-1 gene:TanjilG_20368 transcript:OIW19243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSDVVSFGPVQSRKEKEKSVATFFWRIGECESRNSKGLMVSTETFVKGEMEL >OIW18918 pep chromosome:LupAngTanjil_v1.0:LG01:19099371:19099613:1 gene:TanjilG_25361 transcript:OIW18918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADRGECERVFKRFDVNGDGKISLTEFADALKVLGLTSQEEVQRRMEEIDKDGDGFITLEELVEFQSANPNLMRDVLKKL >OIW19079 pep chromosome:LupAngTanjil_v1.0:LG01:13677322:13677666:-1 gene:TanjilG_06388 transcript:OIW19079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYEKRVRKLFTSSQVTITIRLRHCDSKRNRTTVPHHDHRDDRGEPPSETRIWNNRITSLVADTNQAKGEKGLEKRKVRDCEIPLGFWGSKESVCTPFAAVDEGWRGELGIGFP >OIW19082 pep chromosome:LupAngTanjil_v1.0:LG01:13760197:13760859:-1 gene:TanjilG_06391 transcript:OIW19082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSAPPVLPVSNSQPTPTVSSAASEPQVTAIRTLISNLSDSLRNGLSQRRPWTELTDRSAFSKPESFSEATLRIRKNFSYFRINYYAVVSVILAVSLLTNPFSLILLIGLLASWTFLYLFRPSDQPLVILGRTFSDFETLSLLAALTVVVIFLTNVGSVLISALMLGVAVVCLHGSFRVPEDLFMDEQENSQTTGFLSFIRGAAAIAPAVAAQPPSVRV >OIW19600 pep chromosome:LupAngTanjil_v1.0:LG01:340074:341360:-1 gene:TanjilG_18410 transcript:OIW19600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDMEGMKGGGRVGIGEDDIGDGMQCIDHPFRNNNKNNNPGGICAICLQEKLANLVSSSFPLPIRASTSSSSSPSFTSNNISSISNSTIRPISSASASSTAISVACPNNSAQKINGSESNHHDGRYYNHECYTRRTRIPFLLAKKKKKPSPTPNMSNIILKRSKSTAIPSSRGNSFVDNADHEDEDLTTPGKRNGFWSFLHLSSNSKKLKSKSLRDPTRISSTINAPTTSTLKPKEKCKSDVVIVEEDNNSSNSNTTTASVASFERKVSRSRSVGCGSRSFSGDFFERISTGFGDCTLRRVESQREGKNKVASSGAAMNRERVRCGGLFSGFMMTSSSSSSSSSSYWVSSSTTDNNNNNNNDSTMNNGKSVALSHGRSRNWGWAFASPMRVFTSKPSKDNRRDIIRNANDKNATPNLSAIPSLLSARS >OIW17993 pep chromosome:LupAngTanjil_v1.0:LG01:31259457:31259741:1 gene:TanjilG_31366 transcript:OIW17993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNNQIIKRVEVGSESSIVDTRVRVLASLLANQGIDERNGIEKLVDNDEGVTNFFVYGANLTFVDFEEINVYDLQLLGHKPRFVYWTLQGVGDE >OIW19034 pep chromosome:LupAngTanjil_v1.0:LG01:16671157:16671582:1 gene:TanjilG_10595 transcript:OIW19034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSTMTISPRKLHYDLYSFSYQEDSNTPLVIKVLASLIERSMARTKRIEKNYSSALFSKAMIKNTNMFDSKEIPDMTIESYLERIFKYTRAGPSVYVVAYVYIDRFCHNNPGFWINATNVHRLLITTIMVASKYVEDM >OIW17595 pep chromosome:LupAngTanjil_v1.0:LG01:36429828:36430049:1 gene:TanjilG_11159 transcript:OIW17595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAVVLTDVLKVAVRPKVDDETGIAKHAEIARVIKIIMEAEKGVPIRERINDTSQHSSSTRTLSNLPQKWQNI >OIW18544 pep chromosome:LupAngTanjil_v1.0:LG01:20970675:20971229:1 gene:TanjilG_13296 transcript:OIW18544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIQKALNKSDKKFIGVRKRPSGRWTSEIKDSSQKLRLWLGTFDKEEEAALAYDCAARLLRGKNAKTNFPITQGTMNTHHEKNCINIMGKNPRAFQLLKHHAVMKNHAVSSSFFSTIMPWKNETMRDEFDMIVEENIVCSIPEKGCEGCYGVSFGSSRVYSSVVVAPSFSASQFQSREVHEQTF >OIW18598 pep chromosome:LupAngTanjil_v1.0:LG01:21506771:21508730:-1 gene:TanjilG_13350 transcript:OIW18598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYHSSFVDEDGVNRACGCPLLPLKSHIKGPAPVSDQDTTDIVDEAITFFRANVFFRNFDIQSPADKLLIYLTFYINIALKRLEGCRTLAEGTKAVINLGLEKVPVPGESGFPFPGLFPHPRSHHDAELFRNYLKQIREETSGRLLSVAYRPNGTPNKWWLAFAKRKFMNIIVP >OIW17779 pep chromosome:LupAngTanjil_v1.0:LG01:34411766:34412490:-1 gene:TanjilG_06464 transcript:OIW17779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METSVKHELLEIPISSSRERRERNDVVSSPPPGELIELSSDSDSDSDVDISSKKRKINDVGVVLPLGFLSPLPPATALPQPQAVLSLPAPNSASALVRSDAIASFASQSNGCKQFWKAGDFDGPPANGFESSTIDYKMTRVLLRKQYMLVALIGNADVNLTSVRWEEGDADATNISMTPLGLEFCSADVRVT >OIW19107 pep chromosome:LupAngTanjil_v1.0:LG01:12499743:12503919:1 gene:TanjilG_08907 transcript:OIW19107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSSLNQEPWLDLEGGSNLKGLSREMRHGRKTAHNMSSSSLRKKSDLTLVSKVNSRFLRNLLVNLQEVILGTKLSVLFLAIPLAIIAECYGFARPWVFALSLLGLTPLAERVSFLTEQVACYTGPTVGGLLNATCGNITELVIAIFALRENKIAVVKYSLLGSILSNLLLVLGTSLFCGGIVNLRQEQKFDRRQGDVNSLMLLLGLLCYFLPMLFRYGAAAPTADPALELSRAASIVMLIAYFAYLIFQLWTHRQLFEAQVDDDQEGDNASEEAVIGFWSGFAWLVGMTVLIALLSEYVVETIEDASDSWGLSVSFISIILLPIVGNAAEHAGAIIFAFKNKLDITLGVALGSATQIGMFVVPLCTIVAWIMGIKMDLNFNLLETGSLTLAIITTAFTLQDGTSHYMKGLILLLCYVVIGACFFVQRTPSNQAAATNMMLKLATDTVSSA >OIW18387 pep chromosome:LupAngTanjil_v1.0:LG01:23419594:23420370:1 gene:TanjilG_31527 transcript:OIW18387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVFTFENESTSTVAPTRLYKALVVDADTIIPKAVEAIQSVETVEGNGGPGTIKKLTLIEGGETKYVLHKIESIDEANLGYNYSIVGGVGLPDTVEKISIETKLFEGPNGGSIGKVTINIQTKGDAQPNEEEGKAAKARGDAFFKAIESYLSAHPEYN >OIW19721 pep chromosome:LupAngTanjil_v1.0:LG01:1352277:1355824:1 gene:TanjilG_18531 transcript:OIW19721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKQILSKLPKKVTKSDSSDSARGESGNSTSFGNVFQCTNVGSTISSKLNVVKRVSSVVFPSSMNAGVEAVDPCLSFKDISNTQKQSLFISKLNLCCKVYDMSDLDKNCAEQDFKRQTLIDLVDFVSSGSVKFTEPAIAALCKMCATNLFRVFPPKYRTSTIGGETDDEEPMFDPAWSHLQVVYDLLLQFINYNSLDLKVAKIHMDHAFILRLLDLFDSEDPRERDCLKTILHRIYGKFMVHRPFIRKSVSNIIYRFVFETERHNGIAELLEIFGSVISGFALPLKEEHVLFLCKALIPLHKPKSVGIYHQQLTYCVVQFIDKDQRLASSVLKGLLKYWPLTNSQKELMFISELEEVLEMTSMAEFQKIMVPLFRRISCCLNSSHYQVAERAHLLWNNEHILNLITQNRHVILPLVFSALVNNTKNHWNQTVLNLTQNVRKTLSQMDEDLVLACQRKFEEEDSGAGAAAERRRVTWERLEAAAKSVQPVVGADVIVPVAERAHLLWNNEHILNLITQNRHVILPLVFSALVNNTKNHWNQTVLNLTQNVRKTLSQMDEDLVLACQRKFEEEDSGAGAAAERRRVTWERLEAAAKSVQPVVGADVIVPVRSSATCSVAC >OIW19057 pep chromosome:LupAngTanjil_v1.0:LG01:15777507:15778738:-1 gene:TanjilG_10618 transcript:OIW19057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVKVACVVLMCMVVVGAPIAHATITCGQVVSGLAPCLTYLQSGGAVPGTCCNGVKGLVALAQSTADKQTACNCLKSVAASTQFDPGNAASLPDKCGVNLPYKISTSTNCNTIKF >OIW18699 pep chromosome:LupAngTanjil_v1.0:LG01:22346441:22350113:1 gene:TanjilG_13451 transcript:OIW18699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSPLPKSSFVNSDTVSERLSDKFEDLRGLQWRINLGVLPNSPSSSIDDLRRATADSRRSYASLRGQLLIDPQNSKDGSTSLSPNLIMDNPLSLNPDSTWSRHFRNAELERMVDKDLTRLYPEHGSYFQTPGCQGILRRILLLWCLRHPECGYRQGMHELLAPLLYVLQVDVEHLSEVRKLYEDHFTDRFDGLFCQENDLSYNFDFKKSPDLMEDEIGSRGKAMKIKSLDELDPKIRTIVLLSDAYGAEGELGIVLSEKFMEHDAYCMFDALMNGARGSVAMADFFSYSPVAGSHTGLPPVIEASTALYHLLSHVDSSLHSYLVDLGVEPQYFALRWLRVLFGREFSLHNLLIIWDEIFLSDNSEVVKHAEDNTESGFSIFHSSRGAFISAMAVAMLLNLRSSIFATENPTTCLQRLLSFPENTNIKKLLRKAKSLQALALTSDISCSTPSFAGGHNQGKSIITRSRTLPSESDSPKTPMNLFPDSYWEEQWRLIHKAEELKNVEVEKEAATRKTGQNEKVKLSLKRAESHPSLSSKELGSEEDTEKLPCHEIACQQDNLSVTGQVEQQSYGSEGCNSYSAEDRCLSGNIGSEENMSITSDPASLPNEADDHENYSEKSSVGSNLFLDEINEILNISPLDSPLPISDRPECISQTPGRKDDSTGNMVTNAKEIKLNKFHWLWKFGQNNDQVISEKEEGSSEAAKPANNSKSHSSTKPSIADAHCSSVGCNGDSVDQDVMGTLRNIGHSMLEHIQVIESAFQQDQSPGASPDNLSKNVMVGSGQVTAMTALKELRKISNLLSEM >OIW17930 pep chromosome:LupAngTanjil_v1.0:LG01:32542799:32545804:1 gene:TanjilG_17766 transcript:OIW17930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCDSSTVVLVLCIWGFYLTLLSSGARLGASRHKFEVNKHLNLLNKPPVKTIQSPDGDIIDCVRISNQPAFDHPFLKDHKIQMRPNFHPEGLFDENKLSEKPKEGSNAATPITQLWHANGKCPEDTIPIRRTKEEDVMRASSVKRYGRKKHRSIPKPRSADPDLINQSGHQHAIAYVEGDKYYGAKATINVWEPKIQQPNEFSLSQLWVLGGSFGQDLNSIEAGWQVSPDLYGDNNTRLFTYWTSDAYQATGCYNLLCSGFIQVNSNVAMGASISPISEYSNSQYDISITIWKDPKEGHWWMQFGNDLVLGYWPSFLFSYLADSASMIEWGGEIVNSEPNGEHTSTQMGSGQFPEQGFGKSSYFKNIQVVDSSNNLKSPKGLGTFTEQSNCYDVQTGSNDNWGHYFYYGGPGKNPNCP >OIW19002 pep chromosome:LupAngTanjil_v1.0:LG01:17657464:17685513:-1 gene:TanjilG_20275 transcript:OIW19002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALMAEAEPINETDALQNSVWKEAMTEELMSIEKNKTWNLVELPEDKYQIGVKWVFKQKLNPDGSTSKYKARLVAKGFLQREGLDYTEVFAPVARIETIRLVVGVACARNWELFQLDVKSAFLHGPLEEEVYVQQPPGFIVKGKEHHVYKLRKALYGLKQAPRAWNKKIDSFLFNLKFNKCIVEHGVYVKESRNGDLMIICLYVDDLLITGSNPKEIEEFKKVMKAEFEMTDLGKLSYFLGLEFTYTSVGILMHQKKYAKDLLQRFNMSSCNPTLIYTKYDEVGIVLFGTKDTNNDLTMEVGGYRHVAVLRNIKVVDGDIVEALQELPRGTTNGDFLDAVIVGMDMLIKKFGETNKGKKRLCLITNAQYPIKDSNEGTKEEQVTTIAKQMTGYGMRMESIILRGKLTQDANKRVMDENDRLLCIFSKKTSTALLYVEDLVSLFGALKTRNVTPSSVFRGDLELSPELSIKVMVYKKTIEEKFPTLKNYSDKAPPNDKYATHEVKVDYEYRSSEDNDKVVPPDQRIKGYRYGPHIVPISAAEWDAVKFKPEKGVKLLGFTDSSNVLRHQYMKDVNIFVAEPGNTKAILSLSALARAMKDMNKVAILRCVWRRGQVNVVIGVLTPNVTDRENIPDSFYFNVLPFAEDVREFQFPSFTNFPPSWQPNEQQLEAAANFIKMLDLAPEGNEEVLLPDFTPNPVLERFYHYLELKSKHPDAAVPPIDHTLKKITEPDADLLLQNKSVIDSFCRSFELKESPRHKNSRRLLQERRTDSNEEESKGDITAQPANLIELTSTIKAENIGDLTPAQDFEAMISRRDSPGWVVKVIKDMKNKIFDLVEDSHEGDNYPKALECLVVLRKGCILEQEPKQFNDFLRHLCNFCQEKNLRSFCEYLASKGLTLISNKEAVDSEVSDDEVRNFLVKPTTANQIQNQKEKEDDFSLKETTPNICAGRVTSSDRLPTAFDLIEKMHFLFARVGKAKYLLDAKFERLNPLHYVPVLVDDSVVISDSYAIFLHLEEKYTKKPLLPIDPQLRALNLQITLAVKRFDVDMSKYTILCRLYETYKALPEYQASSPSRQPDACLNNP >OIW18883 pep chromosome:LupAngTanjil_v1.0:LG01:19497819:19499918:-1 gene:TanjilG_25326 transcript:OIW18883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRSNHFVKLLESCCNKISVEQLHSQCLKVGLAHDSFIATKLNVLYARYASISHTYKLFEDTPIKSVHLWNALLRSYCSKGEWIETLCLFRRMNAAAISTEERPDNYTVLVALKACAGLQRLELGRMIHGFLKKKMDNDMFVGSALVDVYSKCSQMNDACNVFIEYPKPDVVLWTSMVSGYERSGSPELALAFFSQMVASDYAIPDPVTLVSAASACARLSDSKCGRSIHGFVKKRGFDTKLCLANTMLNLYGKTGSVQSAVNLFREMPNKDIVSWSSMVACYADNGDETNALDLFNEMMDKRIEPNRVTVISALRACASTSNLEEGTKIHKLAVNYGFELDMAVSTALMDMYLKCCSPENALGLFNRMPKKDVVSWAVLFSGYAEIGMAHKSMGFFCNMLSNGTRPDAIALLKILAASSELGILQQALCLHAFVTKTGFDNNIFIGSSLIELYAKCCSIDNANKVFKGTTHKDVVTWSSIIAAYGFHGRGQEALKLFYQMINCSNVKPNSVTFLSILSACSHAGLVKEGIKIFNTMVNTYQLKPNLHHYGIMVDLLGRIGELDRALDLINHMPMKAGPHVWGALLGACRIHQNKVIGEIAAKNLFTLNHNHAGYYILLSNIYSVDKNWHNAAKIRALIKDNRLKKIVGRSMVELRNGVHSFVACDRFHECDHVYEMLRKLDSNMREGYASQILIEGIL >OIW18551 pep chromosome:LupAngTanjil_v1.0:LG01:21049452:21057648:1 gene:TanjilG_13303 transcript:OIW18551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENFTQLHNNLPELKLDSRQAQGFLSFFKTLPKDPRAIRFFDRRDYYTAHGENAIFVAKTYYHTTTALRQLGSGSDALSSISVSKNMFETIARDLLLERTDHTLELYEGSSSNWKLVKSGTPGNIGSFEDILFANSEMQDSPAVVALSLNFGENGCTVGLGFVDLTKRVLAMAEFLDDSHFTNVESAMVALGCKECILPIECGKPAENRMLHDTMTRCGVMLTERKKSEFKTRDLVQDLGRLVKGSIEPVRDLVAGFEFAPGALGALLLYTELLADEGNYGNYTLRRYNLDSYMRLDSAAMRALNVLESKTDANKNFSLFGLMNKTCTAGMGKRLLHMWLKQPLVDVKEINSRLDVVQAFVEDTLLRQDLQQHLKRISDIERLVHNLQKGKAGLHHIVKLYQSSIRVPYIKSALENYDGQFSSMMRSRYFEPLELWTDDDHLNKFIGLVEVSVDLDQLENGEYMISSSYDPRLSKLQEELELLESQIHDLHRQTADNLDLPIDKALKLDKGTQFGYVFRITKKEEPKIRKKLSAQFLVLETRKDGVKFTNTKLKKLGDQYQQIVEEYKSCQKELVNKVVQTAATFSEVVFESLAELIAELDVLLSFAVLASSCPTPYTRPVITSSDEGDIILEGSRHPCVEAQDWVNFIQNDCKLIRGKSWFQIITGPNMGGKSTFIRQVGVNILMAQVGSFVPCDKASISVRDCIFARVGAGDCQLRGVSTFMQEMLETTSILKGATDKSLIIIDELGRGTSTYDGFGLAWAICEHIVKLIKAPTLFATHFHELTALGLGNVGHDQHKQVVAVVNYHVSAEIDASTRKLTMLYKVEPGACDQSFGIHVAEFANFPENVVALAREKAVELEDFSPAIYLTDTTKEVGSKRKRVAFEADEVPQGPARAKQIFEEFLALPVDRNDKRQTLEQVRKFKDNLDKDAENCHWLQRFF >OIW18208 pep chromosome:LupAngTanjil_v1.0:LG01:27365477:27367436:-1 gene:TanjilG_31328 transcript:OIW18208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGLAVVDCSWARLDDVPFVRLRCTAPRLLPWLVAANPVNYGRPCKLSCVEALSAALAICGEEGTANLLLDKFKWGHGFLSLNRELLKAYSNCQSSADIITVQNAWLSQERQVHRAPSDSEDVTLEKEDQNSSDSEDGLPPLEKNMNHLSLDNSDEESE >OIW19383 pep chromosome:LupAngTanjil_v1.0:LG01:3241248:3242903:-1 gene:TanjilG_03517 transcript:OIW19383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVKKPRIVIIGAGMAGLTAANKLHTMTASKDMFELCVVEGGTRIGGRINTSEFGGDKIEMGATWIHGIGGSPIHKIAQKIHSLESNQPWECMDGNLDEPITIAEGGFIIKPSIVDPITMLFNTLMDHAQGKKLSDSASDTENSELHSYYHKLAAKASNLSTTKSVGSFLRQGLDGYWSSGSEKNQEEELKGYGKWNRKLLEEGIFTMHENTQRTYTSSGDILTLDYRAESEYQMFPGEEITIAKGYLSIIESLASVLPPGTVQLGRKVTRIEWQAERNHEPMNVENGYCSRPVKLHFCDGSVMCADHVIVTVSLGVLKAAIHDDSGMFYPPLPSFKVKAISRLGFGVVNKLFMQLSSTYERKHEQSKGLFPSLQMVFHSPNSEMRHKKIPWWMRRTASLSPIYNNSSVLLSWFAGEEALALESLKDEEIIDGVSTTLSSFLSDKLCNGNLNSEKSNEYEVKFNKVLRTDWGTDPLFLGSYSYVAVGSSGDDLDAMAEPLPKEKALASPPLQILFAGEATHRTHYSTTHGAYFSGLREANRLLQYYHCLEI >OIW17637 pep chromosome:LupAngTanjil_v1.0:LG01:35650279:35652191:-1 gene:TanjilG_28987 transcript:OIW17637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGGRKMKTTPQASNNVVYNTIVHEAINDDIVENKDTQLEKNEMEEPHLENSEEVDSEEEKQKDLEEEDEDVVVGNGSESTSPDILYPVEAIRHKRVRKGQVQYLIKCLGQGKHRKRKRNKNVASSSIHVPNTSDSQDVPQPMVSADKVDSTKMLNQDYSYSGEADEPLNAKKRHGSCETTSMERAEVRTLDQNMQMLVLAAGLIGAREESEAGTQPQHAPQVHVLDHEEDHVTQPDNVQHAVDEHVQPHTDSSQMELILQCLTEMVHNHQQFRDYVCQHLDAQDQKLKDIIEYLHHHFPPTH >OIW18573 pep chromosome:LupAngTanjil_v1.0:LG01:21217252:21220392:1 gene:TanjilG_13325 transcript:OIW18573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATAILAKFIGKASNSTVPLFLFNQQRGMHSRNKKAMEYIAKGWNALKEVDRVVDYCELKDRRLFPLLRTAKENFELALEADNTNTHARYWLSRVHMKYHVPGANPAVGAALLIEAAEMGDPEAQYALGCQLRIENDYVQSDQQAFYYLEKAVDQLHPGALYLLGAVYLTGDCVKKDIASALWCFHRASEKGHAGAAIAYGSLLLKGVEIPESLTKFSVKRGSAARKPGKSKESIAINPVELAREKFQIAAKAGYDLGFRWLARLEEEEKRLLTEECSD >OIW17846 pep chromosome:LupAngTanjil_v1.0:LG01:33656282:33660354:1 gene:TanjilG_02474 transcript:OIW17846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANTGNLDASIEQLLNVEKQMRLAGEVAGTRKAATDILKLCFDARAWKTLNDQIILLSKRRGQLKQAVTAMVQQAMQYIDETPDVDTRIELIKTLNSVSAGKIYVEIERARLVKKLAKIKEEQGLIAEAADLMQEVAVETFGAMAKTEKIAFILEQVRLCLDRQDYVRAQILSRKISPRVFDADASKEKKKPKEGDNVVEEPAADIPSLLELKRIYYELMIRYYSHNNDYLEICRCYKSIYEIPSVKENPAEWIPILRKISWYLVLAPHDPMQSSLLNSTLEDKNLSEIPNFKLLLKQIVTMEVIQWTTLWDTYKSEFDNEKISGKSLGEKAAEDLRQRIIEHNILVVSKYYARITLKRLAELLCLSVQEAEKHLSEMVVSKALVAKIDRPMGIVCFQTVKDSNDILNSWSANLEKLLDLVEKSCHQIHKETMVHKAALKV >OIW18755 pep chromosome:LupAngTanjil_v1.0:LG01:22751052:22753100:1 gene:TanjilG_13507 transcript:OIW18755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVMKITWKTIFPSCYKSDEDFLSPMPNKVVASPPNTTKPNGSLSRITVTDLSFPSTTFSEDLSISLAGSNLHVFSLSELKIITQGFTSSNFLGEGGFGPVHKGFIDDKLRPGLKAQPVAVKLLDLDGSQGHKEWLTEVVFLGQLRHPHLVKLIGYCCEEEHRLLVYEYLPRGSLENQLFRRYSASLPWSTRMKIAVGAAKGLAFLHEAEKPVIYRDFKASNILLDSDYGAKLSDFGLAKDGPEGDDTHVSTRVMGTQGYAAPEYIMTGHLTAMSDVYSFGVVLLELLTGRRSVDKGRPQREHNLVEWARPYLNDFRKLSRIMDPRLEGQYSEMGAKKATALAYLCLSHRPKSRPTMTTVVKTLEPLQDFDDIPIGPFVYTVPSDNGESHKDVKESDAPKERKRENGGHNHHHRSRHRDHGHRHPLRTPKTTNSMPQSDKHQNGTSGSTSSDTSTASESQGSKV >OIW17622 pep chromosome:LupAngTanjil_v1.0:LG01:35892909:35899777:1 gene:TanjilG_28972 transcript:OIW17622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFLLFVFVFTNHLISGFSTQLPPPIYGNTITILSIDGGGIRGIVPAELDKDASLANYFDVIAGTSTGGLMTSLLTTPEPHTNQPLFNASTLIQFYKELGPHIFNQTSGWNPTYPGPKYDGKFLHNIAREILKETRLQDTLTNVVIPTFDLKTLHPVIFSNFKVKKVPSLNAKLSDISIGTSAAPTYLPPYYFKNGNTEFNLVDGGVAATNPAMAAVSEVIQQLKEENPRFKRHTKILLLSIGCGIKKAEGYDANIAGQWSQGFWVQSGLSGAIYDYASKDMTHYNLATVFPGLQSPNHYLRIQDYNMDPSMDSLDNATQVNMENLERAMAAVSEVIQQLKEENPRFKRHTKILLLSIGCGIKKAEGYDANIAGQWSQGFWVQSGLSGAIYDYASKDMTHYNLATVFPGLQSPNHYLRIQDYNMDPSMDSLDNATQVNMENLERVGKNLLNEQVLRMNITTFVPEKDKNDITNAKALER >OIW18677 pep chromosome:LupAngTanjil_v1.0:LG01:22104814:22106727:1 gene:TanjilG_13429 transcript:OIW18677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCLALSLQPANGSDILLQTREWFPPSRALGALSAFRQTRRAYAVNKNKPHSNASDDAYAAESIGDDPLAASSGQVIVGVESRYRVIYRLVNSIYVLGITVADHDNSVNVFECIHIVNQAVSVIVTACRGVDVTPEKLSRKYAEIYMALDIVLRGVSNIRLAAMLATMHGESIAKMVHSALDTENKIRGADSWPAVEVHSLEHQAGIEAFVNARFELPPETLEAGDEVAVSLAPATQASSEQQEEVQQNKEESQVEKDPFAASDAINKPQELVSGFKKAKESSAGDLTLALAGLEVTTLPPPEATQSTHINVEGFEGNYGGVEFGNEQASIGEAFEGFNDAWGGGLDVSEFVGPTKVKKQEGLGGLELLQTGPDAVPKAAGAGSGEGTPLDNLVTKTEMKGPEMYISEEISAEFRESLLARVGLMGVVYLKTLPPKAAGDKETEFSFRVEGTNAVKRFVIQSSRVSTLDDGMFHVRTVASEEPIPIIKYSLLPRLTPLPLRVRLIKRHTGSFISVMIQYASNPDLLAPLTDVTFILKLPVDPTLLKVSPKAALNRHEKEVKWLIPEIPLKGSPGRLRARMPVDSNEDEEEIEVVGYVRFSVQGAQSFSGVTLRPASEGKTDFYEVSHKLESGVFTCN >OIW17696 pep chromosome:LupAngTanjil_v1.0:LG01:35125491:35133960:-1 gene:TanjilG_29046 transcript:OIW17696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNKKRNTHAPRSKHSPAASPVTQSAIGGAADVHVPPESDSCVVVSDLALHNPSNKIELTTKTEGSVYALIKLECDKALNAFRRGNHNRALKMMKDLCSKHEGSVYAGFTQRVQGFVLYKVSMIINDPAVKQRHLRNAVDSARRATELSPNSIEFSMFYGNLLLEAASEAKDYEEVVQECERALAVQNPNDPAKESLQDESEHKSSTVESRIAHVQNELRQLIQKSNIASLSTWMKTLGNGEERFRLIPIRRPAEDPMEMRLAQNRRPNEIKKVSKTPEERRKEIEVRVAAARLLQQKSDSLQSPNEADRDERALDSSTGSGHRISDRRKYGNVRKNGSTNERKNWVHSYWNSVNMEMKEELLRIRISDLKSHYGSSKDTLPRDVLSEALSYAEFSKTWKFWLCCECDEKFSNPESHRQHVMQEHMRNLLPKMQRLLPQNVDNEWIDMILNCSWKPLDVSAAIRMLDNKSKFKVSPFTEDSYFGPHTQKHPHTQNYSDCFRDASDSYHEKESFGYSLYNGTTEGSDYCKIPGSDIAEVVEDQESIAYPFPDSWPVSDDSERAKLLEKIHAVFEMLIRHKFLAASHLNKVIQFTMGEIQGLAAGSLLVNRGVDQRPVCICFLGASQLKKILQFLQELSHACGLGRYPDKSSGPMNDSQNISQLPEIKEKIVLNVNSSYLLLDECLLRTQVTYGTAEGAVLDDVTAPGSHDRVKHNNDDLLSWIFSSSPIGDQLTSWMRAKEDKQKQGKEIVEMLEKEFLQLQGLCEKKFERISYEEALQTVEDLCLEEGKKREHGGEFVKRSYESVLRKRREELVENENDVIYVSNRFELDAISNVLQEAEAMNVNQFGYEETYAGVNSQLCDLESGEDEWRMKDYLHQMDGCIEIAIQKLKEHLSIELSKIDARIIRNVTDMQQMELKLGPISAYDYRAILMPLVKSYLRAVLEDLAEKDATEKSDAAREAFLAELALDSKKVAKGGSENTRHLEKSKDKKNKDHRKTRDLKAASGHGQLLLQDTIPDSNPVAPDCDLVVTVNGDDLEQEEDEFRRRVELEEEEKKLEETLEFQRRIENEAKQKHLAEQQKKSSGTYLEEVVDKLQDVRLEAVADSPDVHEHLKPDTQDQLAAENGFPSNLDSVLIIPANGSLGPAKFSADSSAQKIGHLHQSKDKQDLPNGVISENGLQLPDRRQGKKHKRHKNSSRMVDGKVEPVSLEKNIENTHTDNHLREQVNSHNNQDANNVWENHGSKALKELTMEDEEEERFQADLKRAVRQSLDTYQAHGKRPSVSGLRMSKRASSEVDSSGFVPEEVPTGDLSGSTLLGTGLKNEVGEYNCFLNVIIQSLWHIRRFREEFLGRSRSEHDHVGNPCVVCALYEIFYALGLSSKDPRREAVAPTSLRMALSNLYPDSNFFQEAQMNDASEVLAVIFDCLHRSFTRSSSVSDAESVESNCTGSWDCASSTCIAHSLFGMNIFEQMNCYHCGLESRHLKYTSFFHNINANALRTMKDMCAEPESSFDELLNLVEMNHQLACDLEVGGCGKLNYIHHFLSTSPHVFMTVLGWQNTCESADDIKATLAALTTEIDISVLYRGLDPKRTHGLVSVVCYYGQHYHCFAYSHDHQQWIMYDDKTVKIIGGWADVLTMCERGHLQPQVLFYEAVN >OIW17843 pep chromosome:LupAngTanjil_v1.0:LG01:33697187:33704013:1 gene:TanjilG_02471 transcript:OIW17843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFDEYEYLEKTVEEQEDNDSLKKKESERSYRKRDVDDDLDAADDRRRSKRSKDDDENGSSKKDRDRDRSSRERDRDRSSREREKPRDKDRDRERRDRRDRDKDRDKDKEKDKDKEKYREREKEREKERAERREKEREREREERERSRRSRSRSEREIRERDFEARDGRRGFRDKKEAAEPEADPERDQRTVFAYQMPLKATERDVYEFFSKAGKVRDVRLIMDRNSRRSKGVGYIEFYDAMSVPMAIALSGQLLFGQPVMVKPSEAEKNLVQSTASSGAAGVVGPYGAVDRKLYVGNLHFNMTESQLREIFEPFGPVEVVQLPLDLETGHCKGFGFIQFAQLEHAKAAQSLNGKLDIAGRIIKVSSVTDHVGNQDTTAKSTDFDDDEGGMVLNAQSRVELMRKLAGDAASAGVPMVNGSAPAAISLPIGNPGTVPAAAFPSLVIPTPVAEPEGIPSECLLLKNMFDPSTEMEPDFDLDIKEDVEEECSKYGRVKHIYVDKRSAGFVYLRFETVKASSDAQRAMHNRWFARRLISAIYMQPQEYEAKFKGES >OIW18635 pep chromosome:LupAngTanjil_v1.0:LG01:21795833:21801115:-1 gene:TanjilG_13387 transcript:OIW18635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQGDQTFISLRPGGGRGGGGGNRFVAPRFDSSSSSAASIPVFGSFSSDPSPHGAAPSSFSIQSGDSRFEGRERVRYTKEQLSKLRGVVEIPDDILKIKQDIAAELFGEDQSWGRPENNPPNQSQNRYTETDNRDWRGRSGQLPANADERSWGNLKENRDFGNNNWKDQLNSQFGRAQISSNQGGGPTPTLVKAEVPWSARKATLSDKGRVLKTVKGILNKLTPEKFDLLKGQLIDSGITSADILKGVISLIFDKAVLEPTFCPMYSLLCSDLNEKLPPFPSDEPGGKEITFKRVLLNICQEAFEGADKLREELRQMTAPEQEMERRDKEKLLKIRTLGNIRLIGELLKQKMVPERIVHHIVQELLGPADSKVCPAEENVEAICQFFNTIGKQLDESPKSRRINDMYFSGLKELSTNPQLAPRLRFMVRDVIDLRASNWIPRREEVKAKTITEIHSEAEKNLGLRPGATASMRNIRAPSSAQGSTNPGGFPIARPGTGGLMPGMPGISGRGIPGLDNDNWEKPRSRSITRGDISGTQTAGRGQSPFLSKSSTTVNSKFLPQGSGLVSGRNSALVHGGGGAPSARPSNTGVATESAPEISPPVKPVAAVSAVVSQAPAAKFNTDVLRRKTVSLLEEYFSVRLLDEALQCVEELKSPAYYPELVKEAISLALDKSPPHIEPVASLVEYLFIKKILSARDIGTGCLLFGSMMDDIGIDLPKAPNNFGEIIGKLILAGGLNFKVVKEILEKVEDDRFQKAIFDAAVQVISTASGKAVLDSQASDIEACRSVFN >OIW18688 pep chromosome:LupAngTanjil_v1.0:LG01:22228306:22232806:1 gene:TanjilG_13440 transcript:OIW18688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQRERIPIEEVFQQWKCSREGLSSDEGNNRLQLFGPNKLEEKKESKILKFLGFMWNPLSWVMEAAAIMAIALANGDGKPPDWQDFVGIICLLVINSTISFIEENNAGNAAAALMAGLAPKTKVLRDGRWSEEEAAILVPGDIISIKLGDIVPADARLLEGDPLKIDQSALTGESLPVSKNPGDEVFSGSTCKQGEIEAVVFATGVHTFFGKAAHLVDSTNQVGHFQKVLTAIGNFCICSIAVGMLAEIIVMYPIQHRKYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLSVDKNLIEVFASGVDKEHVMLLAARASRTENQDAIDTAIVGMLADPKEARAGIREVHFLPFNPNDKRTALTYIDAYGNWHRASKGAPEQIVTLCKLRPDATKKAHAIIDKFAERGLRSLAVARQEVPEQTKESAGGPWEFVGLLPLFDPPRHDSGETISKALNLGDQLAIAKETGRRLGMGTNMYPSASLLGQDKNSNVAAIPVEELIEKADGFAGVFPEHKYEIVRKLQEMKHICGMTGDGVNDAPALKRADIGIAVADATDAARGASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFLLIALIWKFDFSPFMVLIIAILNDGTIMTISKDRVVPSPLPDSWKLQEIFATGVVLGSYLAVMTVIFFWAMKENTFFPEKFNVRHLNHDEMMSALYLQVSIVSQALIFVTRSRTWSFLERPGLLLVIAFIIAQLIATLIAVYADWSFAKVQGIGWGWAGVIWLYSIIFYFPLDIIKFAIRYGLSGKAWQNMLQNKTAFTTKKDYGKEEREAQWATAQRTLHGLQPPQESSNIFNDKNNYIELSEIAEQAKRRAEVARLRELHTVKEHVESVVKLKGLDIDTIQQHYTV >OIW17871 pep chromosome:LupAngTanjil_v1.0:LG01:33347035:33347436:-1 gene:TanjilG_14117 transcript:OIW17871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDANLCDELTEKLSIQPSSLERKPEVNKCVDQVPYDADDKYKINIFADIIPILAHDIWCEKEQFQRLLLTKVGEERKWKVKEAELEHKLFWKRMQLDGCIKSLQDVQEQFDEYIDEVEPIEEPEWIHELLKDD >OIW19546 pep chromosome:LupAngTanjil_v1.0:LG01:1762957:1764045:-1 gene:TanjilG_07001 transcript:OIW19546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQPRLTSKRSLPRPLCALLLLLVSICLTGILIYSQNISYFFRPLWDNPPAPFERIPHYYAENVSMDHLCRLHGWSLLSQPRRVFDAIIFSNELDILEIRWHELYPYVSKFVILESNTTFTGIPKPHFFALNKARFAFAEEKIAHDLFPGRVAVPGSHEDPFVLESRQRGAMNALLRRVGISNGDILLMSDTDEIPSPHTLKLLQWCDGIPPIMHLELRHYMYSFEFHVDYSSWRASAHVYGPRTNYRHSRQTDLILSDAGWHCSFCFRQISEFVFKMTAYSHADRVRKKHFLSHSRIQNLICSGNDLFDMLPEEHSFQELIKKMGSIPRSASAVHLPAYLIKNADKFKFLLPGGCLRRPD >OIW19591 pep chromosome:LupAngTanjil_v1.0:LG01:299150:299485:1 gene:TanjilG_18401 transcript:OIW19591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGVWVFKNGVVRLVENPGAEATEGSRQGSRKKVLIHTPSGEVINSYAVLERKLSSLGWERYYDDPDLLQFHKRFTVHLISLPRDFNKLKSMHMYDIVVKNKNSFEVRDNM >OIW19652 pep chromosome:LupAngTanjil_v1.0:LG01:864784:868329:-1 gene:TanjilG_18462 transcript:OIW19652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSSRIACDIPSQLVQLGTRFRELRKEKDVLESSESQTFQLVQISERHDKSISEAHHTEYKEHIQRLEKELLNCSQEIDYLRDQLSTSSAHVHHLEEHVRCLKLKLEEMEDLKDVSILREELQRSNSKQFSLMQELGTKEVELEKSALSIQKLEESISSMALESQFEVEGMKLDMMALEQSLFEAKKVQDETLEENNRMCKMIDKLQVEFQEAQKIIIPINEENRELKEKLNVYNMNIRAFSEKVEDWLENNDRSQINNQPCLRERASNSAISENISIYGEVLGPLLVKLAMVVDPATDLKGKMEMSLQIHEYEFAVKKLKEELREEKFKAKEEAEDLAQEMAELRYQFTGLLEEECKRRACIEQASLQRIAKLEAQLQREHTHKNP >OIW19005 pep chromosome:LupAngTanjil_v1.0:LG01:17628980:17629921:-1 gene:TanjilG_20278 transcript:OIW19005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGWSAENAKRAFLQSLKMAKRGKECDVGEFISALAAGNNARLMVVATAGTAGSTTLALAAAAHQTGGRVVCISCDQIESQASIKALGINGQCVEFVVGDAKGLLLSDYKGADFVLVDCDINNAKEVFLAAFKGAKKDENALVVGYNVRHRASRLRQLGASFLPIGEGLLMTKIDPNVMSSNDKIDQRRRGRWIVQVDNCTGEEHIFRVTSP >OIW18282 pep chromosome:LupAngTanjil_v1.0:LG01:24824570:24826227:1 gene:TanjilG_31422 transcript:OIW18282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEEKKPAENGVEVKKEEEVKEEKNEVKEEDKKPEKAAEEEKKAEESKDGNETKDQPAVPPEIVLKVFMHCEGCARKVRRSLKGFPGVEDVITDCKSHKVVVKGEKADPLKVQERVQRKSHRQVELLSPIPEPQTEEEKKPEEEKPKPEETKEEPQVVTVVLNVQMHCEACSQEIKRRIEKMKGVESAEPDLKNSQVSVKGVFEPEKLVEYVQKRTGKQAVIVKQEVEKKEEAKEEAKEEKKDEEGGDNDKKGEEEEKNKEKKEGEGEGEGGEAKETEVNAEEETNKVVELKKNEYYHNPSRYGMEYYHAYPGPDYPPQIFSDENPNACTVM >OIW19437 pep chromosome:LupAngTanjil_v1.0:LG01:2704833:2707487:1 gene:TanjilG_09457 transcript:OIW19437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEGLDGRNLRLRFRSRLSLPLFTGGKVEGEQGAPVHVVLVDADTENVVITGPEACVKLDVVVLEGDFNNEDDEDWTQEEFESHVVKEREGKRPLLTGELQVTLKEGIGTLGELTFTDNSSWIRSRKFRLGLKVASGFSESIRIREAKTEAFTVKDHRGELYKKHYPPALTDEVWRLEKIGKDGSFHKKLNSAGIFTVEQFLRLVVKDSQKLRNILGSGMSNKMWEALLDHAKTCVLTGKLYVYYPEDTRNVGVIFNNIYELCGLITGEQFFSADSLTDSQKVYVDSLVKKAYENWDQVIDYDGKSLVSVPENNVANSEIQIESIDYTIDLDHQLHLPILPASVPSEHQMNSGMSVGGYNDHMATRCPGQSLIEHSNLRNHFDSSLYLSNDQLINSAHQTQTTRNGRGAVGLALGPPQSSTSGFLAGSPSIQPSTINPFDDWSHNRDKGVDEFFSEDEIRLRSHEMLENEDMQHLLRLFSMGGNSSMNAGDGYSIPSFMPTPMPNFDEDRSRSGRAVVGWLKIKAAMRWGFFIRKIAAEKRAQIVELDE >OIW19033 pep chromosome:LupAngTanjil_v1.0:LG01:16753124:16756177:1 gene:TanjilG_10594 transcript:OIW19033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METTTIERFLYSVFVNPCYGINFNLFNLSKTLSEDQWQTATVTWYGPPDGAGSDGGNCGYGETVETPPLSKMISAGGPSIFQGGSGCGACYQVKCTENAACSQEPVNVVITDNCPGCTGSASFDLSGTAFGAMASPGKADQLRNAGKLTNAQYKRVSCNFGKSIAFTVDSGSSPYYFAIEIEYENGDGNIVAVELKQGTESNTWVPMFRSWGARWALNPGNPLKPPFSIKLTESGEGNNKKSIVADKVIPNDWKPGQVYRSFVNF >OIW18241 pep chromosome:LupAngTanjil_v1.0:LG01:25681464:25681810:-1 gene:TanjilG_06325 transcript:OIW18241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMTRFGLIFMLLIILVSQEMVIETEGLLGHNRCDAVLSSRFKGKCLSDHNCNSVCQLEGYTGGNCHGLRQRCFCNRKC >OIW19737 pep chromosome:LupAngTanjil_v1.0:LG01:1508264:1511737:1 gene:TanjilG_18547 transcript:OIW19737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEELLSWFKLISFSLVFLLLVLKVTVVLWWRPRKIEGHFSKQGIRGPPYRFFIGNVKELVDMMLKASSQPMPLSHNILPRVFSFYHHWKKIYGTTFLVWFGPTVRLTVSDPDLIREIFTSKSEFYEKNEAPPLVKQLEGDGLLSLKGEKWAHHRRIISPTFHMENLKCLIPVMGTSMVEMLEKWSVMGDEKGEVEIEVSEWFQNLTEDIITRTAFGSSYEDGKAIFRLQSQQMLLAADAFQKVFIPGYRFFPTRRNIKSWKLEREIKKSLVKLIEKRKENSSENEMRVEKGAKDLLGLMINASKANSSSNVTVGDIVEECKSFFFAGKQTTSNLLTWTTILLAMHPQWQDQARDEVLNMCGARDLPTKDHVVKLKTLSMIVNESLRLYPPTIATIRRTKRDVDLGCYKIPRGTELLIPILAVHHDQGIWGNDVNEFNPGRFSEGVARAAKHPVAFIPFGLGVRTCIGQNLAVLQTKLALAIMLQRFSFRLAPTYQHAPTVLMLLYPQHGAPIIFQRLSKIIDHHHQGS >OIW19281 pep chromosome:LupAngTanjil_v1.0:LG01:5705393:5707473:-1 gene:TanjilG_20406 transcript:OIW19281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTAFAHHHRLPEALHLFHQIPIKDLVSWNCIINGCFHCGDFVTARKLFDEMPHRNVVSWTTMVDGFLRLGRVDDAERLFFEMPCKDVAAWNAMIHGYCGNGRVDDALRLFREMPSRDVISWTSMISGLDQNRRSDDALFLFGEMVSYGVSPSVTSLVCGLAAAAKVSVLHVGIQIHCCVFKMGYCCFDEFVSSSLVTFYASCKQMENAHKVFHEIIQKNVVVWTALITGYGLNGKHREALEVFGEMVRMNVFPNESSFTSALNSCCGLEDLERGKGIHAVVIKIGLHTGVYVGSSLVVMYSKCGYVGDAMSAFKRICDKTERAAKKILEIEPGCSAAYILLSNLYASSSRWDEVARLRTAMKHNGVVKQPGSSWVTLKGLRHEFLSADRSHPLTDQIYQKLDWSIIIMERTEEGIGSEHKWQYLFPPQCRSLITKEGNETSNATLVAICEVCN >OIW18724 pep chromosome:LupAngTanjil_v1.0:LG01:22516967:22519092:1 gene:TanjilG_13476 transcript:OIW18724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVGGSETTSLARVKREQATLFKDNAEEEVFSESRNSVVTEERVTGSNNTDMREGIGIKEEVIDDIGVNGSSSSSSINEVSPPPFLKKTFEMVEDPETDTIVGWSENRDSFIVFDSHHFSKLLLPKYFKHSNFSSFIRQLNTYGFRKVDSERWEFANEGFQGGKKHLLKNIRRRSKYNKQHHGTLSSMKPGLEAEVEKLKKDQNIMKLEILKLRQQQENSHMQLTNVQERIHTAEVKHNQMIVFLIRMCRKPFFVDQLLHTVKRKRELDGNDMVKKHRLLETQCPMNFLNAMETSSHDVCYRDQGHEHFTTLQSDIIGLLSESVNINNMGMDDELCSHVQGLRAYGCRTNGQDVSSDYHVVSEKLMRENSVVDEEQLDVNDSNIYLELEDLISKPAEWVGSESGLVGQTS >OIW17652 pep chromosome:LupAngTanjil_v1.0:LG01:35485719:35487847:1 gene:TanjilG_29002 transcript:OIW17652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGTEKGSTKTPADFLKSIRGRPVVVKLNSGVDYRGILACLDGYMNIAMEQTEEYVNGQLKNKYGDAFIRGNNVLYISTSKSTHAEGA >OIW18860 pep chromosome:LupAngTanjil_v1.0:LG01:19724544:19729447:1 gene:TanjilG_25303 transcript:OIW18860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKTVTSCVHWFLFHIYFSYVLLLTQSAPQNSLVTQVPGFNGSLPSNHYAGYVTVDENHGRNLYYYFVASEGKPSEDPVVLWLNGGPGCSSFDGFIYEHGPFNFEAAKTKGGLPTLHLNPHSWSKVSNVLYLDSPAGVGFSYSKNDTDYITGDIKTASDTHAFLLKWFNLYPEFLPNPFFIAGESYAGVYVPTLAYEVMKGRIDAGARPILNFQGYMVGNGVTDEQIDGNALVPFAHGMGLISDNLFEAIDGLNIYDILEPCYHGIEAEGFTASSYIRLPSSFRKLGETEKPLAVRKRMFGRAWPLRAPVRDGFVPTWPQLSNSNNVPCTDDEVATSWLNNAAVRKAIHTVEESVVSSWDLCTDRISFNHDAGSMIKFHKNLTSKGYRALIYSGDHDMCVPFTGSQAWTRSIGYKIVDEWRPWTSNGQVAGFTQGYDKNLTFLTIKGAGHTVPEYKPKEALDFYTRFLSGLPI >OIW19666 pep chromosome:LupAngTanjil_v1.0:LG01:952313:953393:-1 gene:TanjilG_18476 transcript:OIW19666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSVVSIQYVKDFINSQIHDDEKWAFNSKLLRALGLFAGSIVLMRNYGDLMAI >OIW18471 pep chromosome:LupAngTanjil_v1.0:LG01:20508174:20509292:-1 gene:TanjilG_13223 transcript:OIW18471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLMFNSHRFRSILYLSQCYIHPSPLNFFSTASNHKAFTVPYLINKFGFSPEIALKTSKRVHFDNPQKPESVLAFFKTHGFSDTQINSVMRRAPELLTCDPINRVLPKFQFLISKGASPFDVVATVSRSPDFLRKSLENHIIPAFELVRRFSPSDERAIACVIASPTSISDARVQHNVNMLIDEGVTHNNIYHLFRTRPTVLCSNDLGKVLDEVKKMGFNPSKTSFSVAMLAKKAITKAQWDAKIDAFKTWGWSEQEILEAFKRLPQFMLRSPGKLNAVISFWVGQLGWDRSTLLRAPVIFGYSLEKRLIPRASVVKELLSKGLMRKDANLVTPFGLSEDMFLEKFVRCLDEKDASRLLKLYQKELQHLSVG >OIW19536 pep chromosome:LupAngTanjil_v1.0:LG01:1850161:1851941:-1 gene:TanjilG_06991 transcript:OIW19536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKRFKVWTYKEGEPPLIHDGPMASIYGIDGNFMTEIENRLSPFRARYPEEAHVFMLPISVVNIVHYLYRPLITFSRGQLMRVTIDYKNIIARKYPYWNRSSGADHFIASCHDWAPDISRKRSGRVIFKNIIRVLCNADTSRGFNPVKDVSIPEMNLLGFELTSSGQDPNHRSILAFFAGGVHGSVRKIFLKHWKDKDEEIKVYEELPKDLNYYDLMRSSKFCFCPSGYEVASPRLVESINTGCVPVIISDYYYLPFSDVLDWSKFSLHIPSERIPEIKTILKNVSHARYLKMQERVIQVQRHFMLNHPAKPFDVFHMILHSIWLRRLNLKVPNIDIQ >OIW17841 pep chromosome:LupAngTanjil_v1.0:LG01:33722581:33728375:-1 gene:TanjilG_02469 transcript:OIW17841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTGGRLVAGSHNRNEFVLINADENARIKSVQELSGQICQICGDEIEVTVDGEPFVACNECAFPVCRPCYEYERREGNQACPQCKTRYKRIKGSPRVEGDEDEDGIDDLEHEFDYENPDAYGQRPISESLYSGRGSPPLNLEHGSPPLNSEIPLLTYGEEDPDISSDRHALIVPPYMNNGSRVHPMPYPDPSIPLQPRPMVPKKDIAVYGYGSVAWKDRMEDWKKRQSDKLQVVKHEGDNNDGGFGDELDDPDMPMMDEGRQPLSRKLPIPSSKINPYRIIIVLRLVILGLFFHYRILHPVKDAYGLWLTSVICEIWFAVSWIMDQFPKWYPIQRETYLDRLSLRYEKEGKPSELCNVDVFVSTVDPLKEPPLITANTVLSILAVDYPIDKVACYVSDDGAAMLTFEALSETSEFARRWVPFCKKYNIEPRAPEWYFGLKIDYLKNKIHPAFVRERRAMKRDYEEFKVRINSLVATAQKVPEDGWTMQDGTPWPGNNVRDHPGMIQVFLGHDGVRDIEGNELPRLVYVSREKRPGFDHHKKAGAMNALVRASAIISNAPFLLNVDCDHYINNSKALREAMCFMMDPQIGRKICYVQFPQRFDGIDRHDRYSNRNVVFFDINMKGLDGIQGPIYVGTGCVFRRHALYGYDAPKKKKPPSKTCNCLPKWCCCCFGSRKKKNANSKDKKKAKHSEASKQIHALENIEAGNEVSTIVKAPSLTQTKLEKRFGQSPVFVASTLLENGGVPLGISPASLLKEAIQVISCGYEDKTEWGKECGWIYGSVTEDILTGFKMHCHGWRSVYCIPKRPAFKGSAPINLSDRLHQVLRWALGSVEIFFSRHCPIWYGYGGGLKMLERFSYINSVVYPWTSLPLIVYCTLPAICLLTGKFIVPEISNYASIVFMALFISIAATGILEMQWGGVTIDDWWRNEQFWVIGGASSHLFALFQGLLKVLAGVNTNFTVTSKAADDGAFSELYIFKWTSLLIPPMTLLIMNIVGVIVGVSDAINNGYDSWGPLFGRLFFALWVILHLYPFLKGLLGKQDRMPTIVLVWSILLASILTLLWVRINPFVNRDGPVLEICGLNCDES >OIW18766 pep chromosome:LupAngTanjil_v1.0:LG01:22873403:22874339:-1 gene:TanjilG_13518 transcript:OIW18766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGKKTQPMITKLSELLVSGGGRAAALLDYKVSPRGPLDMKIQSPTRGSKNYNLGGVGLGIVVDLDKPCEASPKYAVCVSSFNRSSIPIPVHSNGFQREIDVEDYTYVTCHVPNKTFTKVYFDGGEGEIKRHVYNKNNNIIKAGGLKVTPPKTSVEPELSFPTSTFLSSCHLCRNKLQGKDIYMYRGEKAFCSSECRSNQIMMDECKEKCRSEASRTVELSSSPYTREQIFSTGIVAL >OIW18180 pep chromosome:LupAngTanjil_v1.0:LG01:26625386:26627118:1 gene:TanjilG_31300 transcript:OIW18180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVTKEQVESSLKAKLNPSHIEVIDTSGGCGASFVIEIVSEQFEGKRLLERHRIVNAALEEELKEIHALSIKKAVTPEQWKQQQESNQSNSAA >OIW18340 pep chromosome:LupAngTanjil_v1.0:LG01:23911569:23914224:-1 gene:TanjilG_31480 transcript:OIW18340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEDSHNSEERTVPYGSPRLIGIPSGGTATMIGLFAGMLYGGSKEASASVSKDAEVVLKLGSTADKREQHRLMRDAMEKRFLRITRGSIVGGVRLGMFTAAFYGIQNLLAEKRGVHDVFNVVGAGSATASVFGLIMPGSLHWRARNMALGSVLGAAFCFPLGWIHLKLVEKANEWNPDAHPDLDRREVKSGVTAAIERLERNLRK >OIW19491 pep chromosome:LupAngTanjil_v1.0:LG01:2225053:2226451:-1 gene:TanjilG_09511 transcript:OIW19491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMEVFMDPNRDQVGVDPIIITQFNVSKTIRDSILVNFGECGLGSAIGPFLVKYVNPVTNLCIIRISREDYQKVWAAITMVRSVGNYPVVFNMLDLSGSIKACKIAALRCEESKFEQFKLMVGDRLSPTDINRMNSNLEKIKCLEH >OIW19446 pep chromosome:LupAngTanjil_v1.0:LG01:2639019:2639522:1 gene:TanjilG_09466 transcript:OIW19446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAYFREPIHEFERAPVSPQPPHSPWHSPVPYLFGGLAAMLGLIAFALLILACSYWKLSGYLDDNADGERDLEAGDIKNDEINKPQKPYEEKILVIMAGQDKPTFLATPVSSSTSSSRSSSFGSNTSTCTCDQNEEKSEMVKQGSGGGDHPVLQNENRETSSDQNC >OIW18641 pep chromosome:LupAngTanjil_v1.0:LG01:21842789:21845094:1 gene:TanjilG_13393 transcript:OIW18641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTGRSTSVIYLTSSLPARKNGSKFGTTTIFAKKKEFSKNQKQQPFFKLKISKSLFSRTAIGVFGLGFIDAGYSGDWSRIGVITTQSEELLKVAAFLVVPFFCLQLCKVSHPFDGSSS >OIW17775 pep chromosome:LupAngTanjil_v1.0:LG01:34458969:34460573:-1 gene:TanjilG_06460 transcript:OIW17775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEEKKPEESKVEEKKVEVVKEEKKEGKAEDKQPDKAAEEKKAEESKDGKETAEQPAPPPPEIVLKVFMHCEGCARKVRRSLKGFPGVEDVITDCKTHKVVVKGEKADPLKVQERVQRKSHRQVELLSPIPKPPVEEEKKPEEEKPKPEEKKEEPQVITVVLNVHMHCEACSQEIKRRIEKMKGVESAEPDLKSSKVSVKGVFEAAKLVEYVHKRTGKQAVIVKQEPEKKEEAKEGAKEEKKSEEGDKDKKGSGEGEENKEKKEGEEAKTAEGNAEETNQVLELKRNEYHYIPPRYEMEYYHAYPGPSYPPQIFSDENPNACTVM >OIW18968 pep chromosome:LupAngTanjil_v1.0:LG01:18691649:18694185:-1 gene:TanjilG_09162 transcript:OIW18968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCFASQDLLLSLTKLLLLVVLFTPNYGAFGKIENNVKSAVFLSPKIELEPGSVANKYYYDIDFPRGHVALKSFNAEVVDEGGNPLPLHETYLHHWVVLRYHQQKNVTQKCIVLLRNSGICQSDKLGQYFGLGSETRGTDTYIPDPFGIEIGNPKEISDGYEEKWMVNVHAIDTRGAKDKKGCTECLCDLYNVTKNEYGEPLMPGYKGGLYCCYDYTQCKLREGFKGIKRSIYLRYTIKWVEWKNNFIVPVKIYILDVTDTFGLNLNHDCKVEYDVESCSTNHMNGNGCIHVKRTRIPMKSGGYVIYGVSHQHSGGIGSTLYGEDGRVICSSIPSYGNGTEAGNESDYIVGMSTCYPKLGSVKIFDAETLTLESNYSSNLGHTGVMGLFYLLVAEKLPQNQFRDSISSSFFHDMKNIFY >OIW18894 pep chromosome:LupAngTanjil_v1.0:LG01:19398924:19403230:1 gene:TanjilG_25337 transcript:OIW18894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHRRMLTMNWDGLGDDDDDDRFFETNSRLSTAVAVDLAASSSDDDDDDDDDDNFDDPRMSFVSAVSSLPSRKLRDRATVAPAMAMTPDYEIWMAAPASITERRKRLLHGMGLDDDNEFLKASSVQLSHAISKKFEGHNNNKNNPHVVASSVALQKKTEHKTENKTEHKTEHRTEDKTEHSSVRFVLVRSRSEGDIDLFSMEKTRKEDFIGKVSKQRLTRMASEIAVPRARMTDGSKVVVKDGRNEAGETKQHGRKVSSTAVADSAVGAFVLIKNLDTGKEFIVNEYGENGTWNKFSDLQTGKKLTKEEFEKTVGHSRVVNELMRRTNVAARNEGYSGKLSSSSYISRSLRLSKRRGAGLLKNIKGVASGFIGDREREARVQMMQQQQHVLENKAAKNQWVRVRQSGKSYKELSALHLCQEFQAHDGCIWTMEFSLDGRYLASAGEDKVIHVWEVQECEVMSLRPEEGNLTPIHPSLLASSDRNGHAEAPPLFSEKKKRSKFGSKRGNTVPEYVHVPETVFSLSEKPYCSFQGHLNDILDLSWSKSQLLLSSSMDKIVRLWDLDTKTCLKMFAHNDYVTCIQFNPIDDDYFISGSLDAKVRIWNIPERHVVDWTDTHEMVTAVSYSPDGQCALVGTHKGSCRTYSTEDCKLSQTGTIEIRHKKKSQLRKVTGFQFAPGNPSEVLVTSADSRIRILNGSEVVHKFRGFRNANSQIAASFSPDGRYIISASEDSQVYVWKHEEHRNAGSGKGRNVLVTRSHEHFQCKDVSIAIPWPNTIKGDPPPVPVHHSKRHSKRHSPFFGDDTAVGTNSKRILPPLPKKTNATESALNSPTGDPAAISRSESGLGDSFTNSKRVIPTLSKKTNNCATESVPTSIEEDPKALSRTESGLGDSFSNNNRLSPNISKKSNHHSTESTSTHIEDEDLDATPRTDSGIGDSFSRSASARSADSPSISFSGTPLSASWSSSYSSFDSTNGSGTIHPSAWGLVIVTAGFGGEIRCYQNFGLPRRMGRQANLFGSPT >OIW18620 pep chromosome:LupAngTanjil_v1.0:LG01:21686399:21689472:1 gene:TanjilG_13372 transcript:OIW18620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENQKGSSDFMDEDGGDRFPVGMRVLAVDDDRTCLKVLENMLRSCQYHVTTTTNSIKALEMLRMNRNKFDLVISDVKMPDMDGFKLLELVGLEMDLPVIMLSGHKDKELVMKGVIHGACDYLLKPVRIEELQNIWQHVVRKKIDRKDHNKTSASIEETSNMAGEGSHGIGSENNSDQIIKVDRKRKEQSEEREEDDDDDNRAEDEEPSSQKKPRLVWDAELHRKFVDAVNRLGLDNAVPKKILDLMNVEGLTRENVASHLQKFRLGLRKATQQGNQVATAFGGVSDPYLQMGSADGYRDFCTPYGSRRISSTTLPSYASGGIYCGLNSPSGSTIGGIGSSLAQWRQAQSQNTTNPINLVRNYQLSMFSANQSSSLLPGTPTSVDLNRFQQSNCTTGIRQVSPFDNSNGFTLPSAFPDHKPAIGKTNNSLFGLSSNHLLFQGNLVPPTHNSGAFRNHSSLGSSPVNTETFDTGISSSSNVSEYNERWQSTAQLSNFPSNSLPLNEAFDSNQLPHNSVKFSSSTSHIGNSPADFSSISDNAVPLEDALGELNCQGGLLGNIIQQQRWEDHKQDYSENMSNTFNSENSLSFPNGHNSYLGHSLNQNNTVDASLVGQMNDARMKLNDACILQQMTSQEGLDQGNFAYLDDIISEMNKQV >OIW19634 pep chromosome:LupAngTanjil_v1.0:LG01:738271:742115:1 gene:TanjilG_18444 transcript:OIW19634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRVKLKIKKLESTSNRQVTYSKRKSGILKKAKELSILCDIPIALLMFSPTGKPTLLQGERSYWSNLDKINNLEHLRQMEDSLRESINRVCLQKDNLGKHQLIPLNCANQLQEGMNLPMMMAGLQESQPLSWLLNNDNHHQLMLPNEPKFLAFSDNTNRDAECSTNISLPGYSGYNIGNGKLEVGSSPQVTTLGHGGCAMNELNGTASLNVQHCEQFAYPPHPPDNEEMKHHHAINSKPNTMDYQVHNNFDLPRSLFENGHQCWNSGSGPCGVAMYNENGFHRVSSTFLLD >OIW19226 pep chromosome:LupAngTanjil_v1.0:LG01:7329796:7330290:-1 gene:TanjilG_20351 transcript:OIW19226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSPSGGYSGGSSSLQNSNSGSERDHQQHHVMDQKKRKRMLSNRESARRSRMKKQQHLDDLVAQANQLNKENNQISTRVEITTHLYLKIEAENAIIRAQVAELSNRLQSLNEIINYINSPSCNYLIDEDYEENMFNDCGFMMDLWNTVPVKQPIMASADMFMY >OIW17995 pep chromosome:LupAngTanjil_v1.0:LG01:31197915:31217765:1 gene:TanjilG_31368 transcript:OIW17995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGNKVEKLCDLCNITVNKNAVFGDSSALAPGGVRIGLVEKDFEQIGEFLHRAEKHREKKHKKEKKDKEKREGKEKKEKEGRDGKDKEKKDKKEKHRDKKKEKDKHKDKGRDRDKSKISSADEKGFSGQAVDPNAGKLHQNEIKQSDKKGILFEEKLTKQYTGHNGEKARENSHVAEESKDSKFLLELERRIKDNSGGAGNQLVQKFSNTNNHRKVEGTVKLVPKGTWPDSKEKLKDMDLDAKKIDGKEIWAEVRPIGNATVQNHAGNFHPRVDGMPRLVEANFSRTLEATVEGKEKVMEKKDEGKEKKVKEKKDEGKDKVKEKKDDKRRDKKKDKEKEKKGHGKDKDRDKEKKREEKAKERTELKNADQNKLKESNEAGFMGLNSFTQVLKNSHENAVSAENTKKRKDIESNGVPRANDNWPNKIPRPSPSHPFTENGRILEPCQVSIPNASDRLGVTTSVKVDNKESKMNGFVKAPPPFAASSNKAHIATVPTVPVTEPPAKPPHPDAKFLSQVTQVSAKPPHPDTKYLSQVYSVPKMEVWSNIDDQEWLFSNSSSQEKKPAVKSSEVWDTRQVWAETLHIETADVYALPYVVPY >OIW18049 pep chromosome:LupAngTanjil_v1.0:LG01:30257873:30259345:1 gene:TanjilG_07540 transcript:OIW18049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDEIQAHASKFPRIGNGREGGSGEQQYPIDEEVDGGCNTKSFHTWHHSSRIIRVSRASGGKDRHSKVMTSKGLRDRRVRLSVATAIQFYDLQDRLGFDQPSKAVEWLIKSASDAISDLPSLNNTFPEQPRDENRAASVGTQKGFGSADAEIDGETNYHHNQRQHQQNNNHSQNLSLSKSACSSTSETSKGSGLSLSRPDVRVNRVKARERARERTEKEKENEPHNNIAHLVNVNNPISQTASFTELLTNGISNAVPTTTSPNNNASVMNQNQWCSTSPIDNFTSRILAPSSSSRTTSSKFSQIQFGYSLHEAMQVSNSTINLSGSDHNGCQLSCPDIQLSDHNQNHSSDQQLQHHHLSFIPDHLIPSMVTSSSSDHQPNHDYNLNFAISPGLATYNRGTLQSNSQSHILQNHNHNHMQRFTSSPIDGSTYTVPFFIGAATPMENNNNNNNIHHHQQLQFSPIFDGQGLQLGYGGDRSRHSEQKGKNKN >OIW17774 pep chromosome:LupAngTanjil_v1.0:LG01:34490319:34493463:1 gene:TanjilG_06459 transcript:OIW17774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWNLKSPSLDLTEVKKGTAFPNMETVDEGSSRFGLYRTNGEFSVDLKLGQIGNVGIESMLYKPKDGASGAGLSKISGSSKRARAFNNGNHIVKCLVDGCKADLSDCRDYHRRHKVCELHSKTPQVTIGGQKQRFCQQCSRFHSLEEFDEGKRSCRKRLDGHNRRRRKPQPESFMRSSSFLSNYQGTQLLPFSSSQIYSSHAVMNPAWSEGVIASCADIRLHSHKQHQQVNFIDKQDLFLGSFPTTSYKEVKQLAFLQGDHNSTATLNNQNTNLQTLLRTSPYSESSSGGVRCKMFCDNSLTSSVHDSPCALSLLSSPQTHNNPGNGLNQMVQPHSSSFMQPLGLSLHDNNSLEPVDSVLNPNGSDHCSSMYNNIGSNGSQGSGAPQLFPFQWE >OIW18675 pep chromosome:LupAngTanjil_v1.0:LG01:22091000:22096644:1 gene:TanjilG_13427 transcript:OIW18675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTVMDTDDECSIVLELSKNDHFFDKKKKLLQSKGFSPKEQIYLRSSIKPGWMSATVKVLLQIARIIQLNELELYFAEDGACTSEEFYSPRNELEALNSIVVLTDTSLSSCTHLHTNILKELRKIVIDLISDFGVKNSVKGVVERDYTCDQVERLVEWGESNGVRTQLKISYIEGAGRGAIARKDLKFGDVALEIPLSIIISEELVYETDMHHVLKEVDGISSETILLLWSMKEKYNCDSKFKFYFDSLPEKFNTGLSFGIEAIMMLDGTLLLEEIMQAREHLRAQYDELFPALCDAFPDIFPLELYTWEKFLWACELWYSNSMKIMYSDGKLRTCLIPIAGFLNHSLCPHITHYGKVSAKMNSLKFCLSRPCRSGEECCLSYGNFSSSHLITFYGFLPQGDNPYDVIPLDMDGSDNDSIEDKSKSNWTTHMLQGNLENELEVLEDLKYIFDDMIDNMGEMDSVDRENWSWDEKLAMDFKMQQIRIASSVSTSCQNGLNIVKNELHKCMAEDIQG >OIW19411 pep chromosome:LupAngTanjil_v1.0:LG01:2995640:3003106:-1 gene:TanjilG_09431 transcript:OIW19411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIEKNLSSPSVSASGSNNNNSKYELCKGFNGLDKVLLRHPRGSSAEVYLYGGHVTSWKNERREELLFLSSKATLKPPKAIRGGIPICFPQFGSHGTLDQHGFARNRFWIIDNDPHPFPTNTWNKAFVDLILKPSEGDMKIWPHRVALGPGGELMLTSRIRNTNTDGKPFSFTFAYHTYFSVSDISEVRVEGLETLDYLDNLQKKERFTEQGDALTFESEVDKIYLSTPTKIAILDHEKKRTFELRKDGLPDAVVWNPWEKKAKAMADFGDDEYKHMLCVEAAAIEMPITLKPGEEWKGRLELSTVPSSYCSGQLDPQKVLGGI >OIW19529 pep chromosome:LupAngTanjil_v1.0:LG01:1918480:1919688:1 gene:TanjilG_06984 transcript:OIW19529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDELLSNSIDDNENNPHMLQVLEALKQASHDLQHVHHHHSNSNSPSIKALLELQTESDNILSTDPNLSTLSQHLTRLKSLVDTLNRSNGHLSLRSFLTRRVSKHSISRVAGSIETEIQAWIHRESVETLARTLRDPNHNPDELVTLLTQFGERVSHGFNREFQDLVLKFKIFASLENILFDPKCCKRVREHAGEAVAALIQFNKDVFVGQVSMGPTIIALMGMCTLHSIEVLCSLIRLIRSPFVDEIESNGEIPKIFALLNSNELQIRVLALDCVLEIGYFGRKETVDAMMKEGLVKKLVELQKSEVGGDLIELNNDEGEEREKEEEGGKGKRENKHKRFLEKHPFASCVARFAVQLEIGEGLRQREKRAFKIEILTRVREASVSDAEYATIVAEILWGSSL >OIW18751 pep chromosome:LupAngTanjil_v1.0:LG01:22703780:22705710:-1 gene:TanjilG_13503 transcript:OIW18751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGSNSEEPASWDELYNINLMPSELFLKFRKEVQGIRVGLNMELYNAPINELQAKLVLKPLTPEWKWKLIYEPIHQDFRILSKKIPITRFLNLQVGVGHNFQMHATGWKWKLTTCLGGDGVSRIRNKTSVGLFPGFDLRFGWRADYVLPEITGALGTEEPLFNMQSGRLHASLDRVEAILTHTDAACLEQQR >OIW18021 pep chromosome:LupAngTanjil_v1.0:LG01:30726983:30727270:-1 gene:TanjilG_07605 transcript:OIW18021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFIMEFAESLVLKMMEDPKERDRRFREHVYKRHNSQLNWDAQISQVLGRRDPYDDVLLDSNYSAPPKSK >OIW19747 pep chromosome:LupAngTanjil_v1.0:LG01:1556868:1557570:1 gene:TanjilG_18557 transcript:OIW19747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSRGDWMCGVCEHINFKKRDACQSCGYPKFGGPDPSTYQCNKTETLAGDWFCTTMKCGAHNYASRSNCYRCGALKDDYSSGYGGNMEGSGGHVSDCNLPPGWKNGDWICPRYGCGVHNYASRTECFKCKMPKDFGGAD >OIW17962 pep chromosome:LupAngTanjil_v1.0:LG01:31826885:31830912:-1 gene:TanjilG_17798 transcript:OIW17962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEEYNEIQDNERSEEHAEDTSLGSSSQKLSSFDLNEEATSKHSNDNEEACELIVDEENDEKVKDDEGISTNRSLSSSREIENERRGGVRQYVRSKMPRLRWTPDLHLSFVHAVERLGGQERATPKLVLQLMNVRGLSIAHVKSHLQMYRSKKLDETGQVLSQYRSAHDRLGRISHIPHQSMSPHQHFKMGNGGIILATHSNEHSNFQSLLHPSFSISHSHLKVTHARHQQWHFNNLEPFRTPNYISNEVIPSTSFQIQGRPIVSNQVQLMDTGSRIAPMRPSQFHEEKRWPPLQIMNNNTEWKKKFSTTPNTVSQSVVQQFEASISSLRPSDQLSFGNNTRIKDHVSNSDEPFNYSTCLKLGFDPPFRIKLNQEKLQSDKQLLVPDLQLGLSKKDGNDDHCRETPEISTKLSLS >OIW18807 pep chromosome:LupAngTanjil_v1.0:LG01:20163046:20163408:1 gene:TanjilG_25250 transcript:OIW18807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSIACQKQGDDVSTKKNLTPERVVPKTLRITNKDDAESLSYEDMFESVHQNLMHIILSNQTEEGILAPDQLSDDCKTPPPSSPLLREDINTSPGAPIKVKPGDKRKNNIQLGLSKKLEF >OIW19488 pep chromosome:LupAngTanjil_v1.0:LG01:2241908:2245636:1 gene:TanjilG_09508 transcript:OIW19488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHTLGLTHPSIIESSKISFSAKEVDVTEWKGDILAVGVTVKDLARDSNSNFENSILKKLDSKLGGLLAEASSEEDFSGKVGQSIFLRIAGFGSKRVGLIGLGQSASTPAAFKGFGEAVAAAAKSAQGSTAVIVLASSEGLSAQSKLSSVYAIASGVVLGLFEDNRYKSESKKLALSSVDIIGLGSGPELDKKLKYAGDVSSGIIFGRELVNSPANVLTPGVLAEEASKIASTYSDVFTSTILNAEQCKELKMGSYLGVAEASANPPHFIHLRYKPPTGPVNVKLALVGKGLTFDSGGYNIKTGPGCSIEFMKFDMGGSAAVFGAAKALGQIKPLGVEVHFIAAACENMISGTGMRPGDIITASNGKTIEVNNTDAEGRLTLADALVYACNQGVEKIVDLATLTGACVVALGPSIAGVFTPNDDLAKEVLEAAEVSGEKLWRMPLEESYWESMKSGVADMVNTGGRQGGAISAALFLKQFVDEKVQWMHIDMAGPVSNDKHSGTGFGIATLVEWVLKNAS >OIW18359 pep chromosome:LupAngTanjil_v1.0:LG01:23740241:23743712:1 gene:TanjilG_31499 transcript:OIW18359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNKRRPEEGKPKYDFADDKRRKAPSFSSVVREVMKLQSVRNLLEPILEPLLRRVVREEVELALRKHLTSMKQTSGKEMHIIESRSLQLQFENSISLPVFTGARIEGEDGSNLRIGLVDAITGKVVRSGPESVAKVEIIVLEGDFEDESDNWMPGEFKSNIIREREGKKALLTGDVILYLKDGTGMVGEISFTDNSSWTRSRRFRLGARVVGNFNGIIIREAKTGSFVVRDHRGELYKKHHPPSLSDEVWRLEKIGKDGAFHKRLSREKIRTVEDFLTLLNRDPAKLRSILGTGMSTKMWEVTVEHARTCVLESTRHLYFPPHSQQKTGIIFNAVGQLTGLLSECEHVPAEAQSSVISAFKQGENVSTFEDEVSLMDVSSNLTNALYSPRSLKTEVSSGNKLFVPQKAGVGFNYAQASASSPDIMSSIYSIGGISSFDDYVLPNFDSMGLRYDQTLSFPDQLTNSLACETDSTAPAFTDEDHMQFFDNDIHFQCHIQADLQSAIDGFMLGRTSNATGKAQKRWRKIFNVLKWFMVWKGVKCR >OIW19232 pep chromosome:LupAngTanjil_v1.0:LG01:7056367:7056915:1 gene:TanjilG_20357 transcript:OIW19232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTKIPTSKEEVEAMRKSIRCCISCILPCGALDVIRIVHSNGKVEEINGTIKAIDVMKANPKHILKKPTPVVAADIGCASLPMIVKVPPEALLQRGKIYFLVPLPPPPKKKSELKRRKRKEHNERKNNNINNISMSSFLVSDRYLSDILTEKLSMQRDSRRNGRVAVWRPHLESISELPCDM >OIW19425 pep chromosome:LupAngTanjil_v1.0:LG01:2853807:2854226:-1 gene:TanjilG_09445 transcript:OIW19425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSFDPQRQDSLKRRGQQQTMGVASNSSSLAFYGNNNNNYNSTNKFRGFLGDDDDLVSTVVPAVTVVLEGRSICQRISLHNHSSYQSLAKELRQMFVEGNDGNMENDDNLDLSNAIPGHLIAYEDMESDLLLAGDLSWK >OIW19210 pep chromosome:LupAngTanjil_v1.0:LG01:7820586:7824838:1 gene:TanjilG_20335 transcript:OIW19210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILAVLFANAEGNILIERFHGVPAEERLHWRSFLVKLGADNLKGTKNEELLVACHKSVYIVYTVLGDVSIYVVGKDEYDELALSEVIFVIISAVKDVCGKPPTERVFLDKYGRICLCLDEIVWKGHLENTEKDRIKRLIRLKPPTEF >OIW18579 pep chromosome:LupAngTanjil_v1.0:LG01:21261423:21262202:-1 gene:TanjilG_13331 transcript:OIW18579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQSRKFRGVRQRHWGSWVSEIRHPLLKRRVWLGTFETAEEAARAYDQAAILMSGRNAKTNFPITQTPEGDPKIMSNNDGTTSSTSKDLEEILHAKLRKCGKVPSPSMTCLRLDTENSHIGVWQKRAGQGSDSNWVMTVQLGKKKSNTNEGGEDSSSSLPSSTSTSSEHNNNYQFKPAIVAVHDQEQVQVKTQMNEEDRIALQMIEELLNRNCPSPSTLNNKEHGDDASFFL >OIW17929 pep chromosome:LupAngTanjil_v1.0:LG01:32560636:32578043:1 gene:TanjilG_17765 transcript:OIW17929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGDHGVLLSCAICGSLFTVLGFASFFLLWAVNWRPWRIYSYSMSGHLIGAVAILLLLAVALLCAYELCAVYVTTGSRASDRYSPSGFFFGVSAIALAINMLFICRMIFNGSGLDVDEYVRRAYKFAYSDCVELGPVACLPAPPDPNELYPRQSRRVSHLVALYVGSLLVLLVYSILYGLTAKEKNWLGAITSIAVIILDWNMGACLYGFQLLNSRVAALFIAGTSRAFLICFGVQYWYLGHCISYAVMASVLLGGAVSRHLSVTNPLAARRDALQSTVVRLREGFRKKEHNSSSSSSEGCGSSMKRSSSVEAGNLGNVIDASRGVAAVDGSNWNNALFQTSSFPDGIISDKSIDSGRSSVALHSSSCRSAAQEPEPGTSSDDRNLDHNNSMAVCSSSGLDSQGNDSSASNSANQQTLDLSLTLAFQERLNDPRIATMLKRRTIQGDVELCSLLQDKGLDPNFAMMLKEKSLELDPTILALLQRSSLDADRDHRDNTDHASVENVMPNQISLSEELRLHGLEKWLQLCRLVLHHITGTPERAWVLFSLIFIVETIIVGISRPNTIKIFNARHQQFEFGLAVLLLSPVICSIMAFLQSLMAEEMVMTSKPRKYGIIAWLLSTCVGLLLSFLSKSSLLLGLSLTVPLMVACLSVAIPIWIRNGCQFLIPCLNCTGSAGNDRTPGKKEGIALIGCMSVFAVSVLALGLIVSAKPLDDLRYKGWNGDQKSLASPYTSSVFLGWAMASAIGLVVTSVLPIISWFATYRFSFSSAVFIGIFAVILVAFCGVSYLEVIKSRDDQVPTEGDFLAALLPLVCIPAVLSLSCGLLKWKDDDWKLSRGVYIFVIIGLLLLLGAISALIVVIKPWTIGVSFLLVLLLMVLAIGSIHHWASNNFYLSRTQMVFVCFLAFLLALAAFLVGWFEDKPFVGASVGYFSFLFLLAGRALTVLLSNPIVVYSPRVLPVYVYDAHADCGKNVSVAFLMLYGVALATEGWGVVASLMIYPPFAGAAVSAITLVVSFGFAVSRPCLTLKMMEDAVHFLSKETVVQAIARSATKTRNALSGTYSAPQRSASSAALLIGDPTIMRDRAGSFVLPRADVIKLRDRLRNEELVAGSFFNRLRYGRTFHREPTSDVDHRRVMCAHARILALEEAIDTEWVYMWDKFGGYLLLLLGLTSKAERAQDEVRLRLFLDSIGFSDLSAKKIKKWMPEDRRRFEIIQESYIREKEMEEEIFTQRREEEGRGKERRKALLEKEERKWKEIEASLLSSIPNASSREAAAMAAAVRAVGGDSVLDDSFARERVSSIARRIRASQLSRRALQTGVTGAICVLDDEPTTSGRHYGPIDSTVCQSQKVSFSIALMIQPESGPVCLLGTEFQKKVCWEILVAGSEQGIEAGQVGLRLITKGDRQTTVAREWSISATSIADGRWHIVTMTIDADLGEAICYLDGGFDGYQNGLPLCVGSSIWEQGTEIWVGVRPPTDIDAFGRSDSEGVESKMHIMDAFLWGRCLTEDEISALYTSIASADFGALDFPEDNNWQWADSPSRVEGWDSDTADVDLYDRDDVDWDGQYSSGRKRRSERDGVVVDVDSFSRKYRKPRIETQEEINQRMLSVELAIKEALFSRGEPQFTDQEFPPNDQSLFVDPENAPAKLQVVSEWLRPGEIVRQNHLDCHPCLFSGAPNPSDVCQGRLGDCWFLSAVAVLTEFSRISEVIITPGYNEEGIYTVRFCVQGEWIPVVVDDWIPCELPGKPAFATSKKAYELWVSILEKAYAKLHGSYEALEGGLVQDALVDLTGGAGEEIDMRSGEAQIDLASGRLWSQLLRFKQEGFLLGAGSPSGSDAHISSSGIVQGHAYSILQVREVDGHKLVQIRNPWANEVEWNGPWSDSSPEWSDRIKHKLKHVPQSKEGIFWMSWQDFQIHFRSIYICRIYPPEMRYSVHGQWRGYSAGGCQDYDTWNQNPQFRLRATEQDASFPIHVFITLTQGVGFSRTPAGFRNYQSSHDSLMFYIGMRILKTRGRRAAFNIYLHESVGGTDYVNSREISCEMVLEPDPKGYTIVPTTIHPGEEAPFVLSVFTKASVTLEAL >OIW17954 pep chromosome:LupAngTanjil_v1.0:LG01:32162541:32162852:1 gene:TanjilG_17790 transcript:OIW17954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKRFILFPSPLGLEDSIPMAKSCSEVETQAPIVVNVMNGATATVKTHLRLGMSPHGQVDPSSRTHNSHREWLCPFLHNHHSDLVDPPPAKNSSSSSWSVTAQ >OIW19170 pep chromosome:LupAngTanjil_v1.0:LG01:9861308:9865206:-1 gene:TanjilG_13952 transcript:OIW19170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSFTHNGFYVLMIVVSTMVVVCAGGSFYQDIDLAWGDNHAKIFNGGQLLSLSLDKVSGCGFKSKKEYLFGRIDMQLKLVAHNSAGTVTSYYLSSQGPKHDEIDFEFLGNLSGDPYILHTNVFSQGKGDREQQFYLWFDPTKNFHTYSIIWKPHHIIFLVDNTPIRVFKNAESVGVPFPHNQPMRIYSSLWNADNWATRGGLVKIDWSKAPFTAYYRNFKATQFSSKSFNPNSGVEWHTTELDAYGRRRLRWVQKYFMIYNYCNDLKRFPLGLPAECTRTRF >OIW19745 pep chromosome:LupAngTanjil_v1.0:LG01:1550257:1550871:1 gene:TanjilG_18555 transcript:OIW19745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGNVVANSPLERTSLAFLDQKLALAKHCSHEGVMAGAKAAIVATVATAIPTVASVKMLPWARTNLNPTAQALIISTVAGAAYFIVADKTILATARKNSFKNA >OIW19316 pep chromosome:LupAngTanjil_v1.0:LG01:3884880:3885638:-1 gene:TanjilG_07284 transcript:OIW19316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTWDEHIMLIGHTVNCFGGNVKVIGNTGSNSTREAIHASEQGFAVGMHAALHINPYYGKTSMDGLVSHFDGVLSMGPTIIYNVPSRTGQDIPPHVILTFAHNSNLAGVKECVGNDRIKQYTGNGIVAWSGNDDECHDARWSYGATGVISVASNLIPGLMHKLMFDGKNSTLNSKVRPLVDWLFKEPNPIGLNTALAQLGVVRPVFRLPYVPLQIEKRIEFVNLVNKMGREHFVGEKEVKVLEDDDFILLGRY >OIW18640 pep chromosome:LupAngTanjil_v1.0:LG01:21834379:21838878:-1 gene:TanjilG_13392 transcript:OIW18640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPSRDKVPVKLESEDPLEEEHGPLNKRFKPSQQEGNASNDTSLSSPSQFNILDEPSPLGLRLRKSPSLLDLIQMKLSQGSTLIANTQNKNSSSGVKKESQGGTAISGPSDKLKASNFPASLLRIGAWEYKSTYEGDLVAKCYFAKHKLVWEVLEGALKSKIEIQWSDITALKENCPDNGPSSLTVVLARQPLFFRETNPQPRKHTLWQATADFTDGQASKYRVHILQCAQGVLTKHFEKLIQCDERLNYLSRLPELILDPPHFDAWPYYNSLKDHGMHQDNGKGSAVSCFQDMGSPHSSLSPSFTIEHNGLPGISLDGLPRDAPSPSSVMDCRAIERSSASSVMDSKEGPRNWDQIKVPGLRPSMSMSDFIGHIEHCLSEQITSGNPSYDGEGPEYQEMLEEIGQYLLNDNQVTAASDEKLMSRVNSLYCLLQKDTAEAHNAHVNENPIEGPHGGKDVQLRHHTFDPIQGNKSVIDVKAAEEDSRNVSSSKQAPGMSRKDSLGDLLLHLPRIASFPKFLFNISEDWGSNGR >OIW19598 pep chromosome:LupAngTanjil_v1.0:LG01:328034:333834:1 gene:TanjilG_18408 transcript:OIW19598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKWKQREFDLASVADLCSGSSSSSPGKARFTFDGLQILQDSHQILVNVDLLTAQVFKLGPVQSVCIVEEGSDVVKKTSYSKGATLQFRNEEDSAAFHCVVQQWKKEGKVQGGNLLNGTVVTSKSKFDEKIESSSAKMYFHYYGQLLHQQNMLQDYVRTGTYYAAVIENRADFMGHVVVDVGAGSGILSLFAAQAGAKHVYAVEASEMAEYAQKLIAGNPTLAQRITVIKGKVEDVELPEKADVLISEPMGTLLVNERMLESYVIARDRFLTPNGKMFPAVGRIHMAPFSDEYLFIEIANKALFWQQQNYYGVDLTSLHGTAFQGYFSQPVVDAFDPRLLVAPPTFHVIDFTKIKEEDLYEIDIPLKFTASVGARVHGLACWFDVLFNGSTVPRWLTTAPGSPTTHWYQLRCVLSQPIYVMAGQEITGRLHLIAHNAQSYTIYLTLSAKMWGPGAEQGGILQTSSCKLDLKEPYYRMSQPQAYALAQDQQQPQPLLQTQDIHIQSQDLNESEIMQQPSPNSSVPINSLMQNI >OIW19258 pep chromosome:LupAngTanjil_v1.0:LG01:6226454:6228397:1 gene:TanjilG_20383 transcript:OIW19258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLMQSTIVSSYNEKIRPVLDAVENLRRLNVAKEGIQLPTIVVVGDQSSGKSSVLKSLAGISLPRGQGICTRVPLIMRLQNHSLPKPVLLLEFNNKIIQTDEENVSDAINNATDELAGDGKGISNHPLTLIVKKDGVPDLTMVDLPGITRVPVHGQPENIYDQIKDIIMEYITPDESIILNVLSASVDFTTCESIRMSQSVDKRGLRTLAVVTKSDKNPEGLLEKVMADDVNIGLGYVCVRNRIGDESYEEARKEESRLFDSHPQLSKIDKSIVGVHVLAQKLVQVQAMIISKTLPDIVKKINEKLTFNLNELEKLPVNLTSVADAMTAFMHITGLSKESLKKVLIRGEFDEYPEDKEMHCTARLVEMLDSFSKDLNNCEESDVTKNFLVEEIKILEEAKWIGLPNFMPRAAFLTLLQRKVKGIAKLPIGFVDNVWEYLGKVVISILTHHAENYYQLQSSSRRAGLNLIAKLKECSIKHVMVAVEMEQQTDYTCNAEFIVEYNSLISQQVAFVAAVLDTVNKKSQVKLAGVGMIDVGHLRQYPDVLNQAFDLKVRMIAYWKIVKKRLIDTIALHLMLSISNFVNKDLEKEIVHDLLSPVGGGIERLLDESPSISGKRERLSRSVKVLKESKDTVGNIMDKIASYGDS >OIW18323 pep chromosome:LupAngTanjil_v1.0:LG01:24205484:24209832:1 gene:TanjilG_31463 transcript:OIW18323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHKPTPTTVKFLYSYGGKILPRATDGVLRYSGGHTRVLTVDPSMSFSELMVKLNELCGSSSITLKCPLPNGNLETLISITSDEDLANIIEEYDRVSLLLSHPLKIRAILSPLKKLSSSPSSSSYATHSSSGSPHSSAESPPYAAGRQFFSPELPQALYPVGGGYGSVKDSWYPRQFGSPRILYLTSVAAPTTTSYAASAIPTTYVVAATTPATYDASTPAPDTYLVAPTMHVAYAAATPAAYAAATVAHATYVITTAAHSTYATSIVPPKTYVVADATAAHTTYVASAPVTYVASVVAPATYAASTIAPSTYAAAAPTTYATSAAAPATNAATNATPATYAATDASPATYATDATAHATSIAMLDNQLLLELFNEMMLMKDSKEAKVVAAVTSSVASDPTTSAADSLPTTSVAPSSVSYLVINLSKTTPAIPSNQQPTAVDAPSSSLGVVGNNSIEERFH >OIW18714 pep chromosome:LupAngTanjil_v1.0:LG01:22451174:22452153:1 gene:TanjilG_13466 transcript:OIW18714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGGVAGGRGSGGGKYGGDSCRGGCGLVKLQDDVQMCGYKDVEIMWNMLSIDLQPEPMEAAPNTSSKLPKRRCNKHMSIPRLFSWTNHSP >OIW17705 pep chromosome:LupAngTanjil_v1.0:LG01:35058963:35060187:-1 gene:TanjilG_29055 transcript:OIW17705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQRTTLKKKLSASESKPSSTDSDMVKHPSDLTQPYKPSFIVKHLSSLNLSNSHKHKHKEQQQSQTKQKQKHQQPHPLVVDTRLQARAMSTSAIVEPSKYSTLKPNPEKERTTIKRGSRDKPKSKVQDLLKNEKMDFHNKKMVEDVKNEKLHDDDDDDVIKRSSVSMAPSGVRRISFSGSQVDLRDAFAINGVKMVSADMPPFMQIHAVDCARKALDSMEKFTSKTLALSLKKEFDGVYGPAWHCIVGTSFGSFVTHSVGGFLYFSMDQKLYILLFKTAVQKAD >OIW17847 pep chromosome:LupAngTanjil_v1.0:LG01:33636359:33641936:-1 gene:TanjilG_02475 transcript:OIW17847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGGQSRSLNHGFPTMYQNHMMNWTQPFTIRVALAGLVGLVGAILAFKDGKLVIRLPIQFTSPFIRDNDNNNNFQKPLFVPGLQNLQNNCFLNVVLQALASCFCFQSFLHGVITEFGTEDIIETMPLAVSLASLLEELSSVSAEKVVLTPRKIMLAMSHYIPNFNLTSQQDAAEAFLHLICSLREEFGGCYAPNVGSLAGIFASNNRILTPIQRDWQSEQERWKQHFLGPFDGILSSSLNCESCLSQISINFELFDCLPLSPVLNTSSNIGFRCTLVDCLKQFVVAEHVENYRCSHCWHNAAVKYLSLMEGNEVELKILRRCSDHESCDCRKMPNLEKLPWSNRFSHTLKQLRIARCPRILCIQLKRVHMNAFGDSIKLQDVDVQNLPLNLLCDRRSSLPNHHNMQSERSALKFSGTFGAATEEINSDDPIDAGIFSSTNGQTLLNNTLFPCSEGSSESIQLDMQMQPTAKMNVSCSPDSQETCLYRLVSVVEHFGKAGSGHYTVYRCVESSEDASDNQTPLRWFCVSDSQVHDVSEEDVLSCEASMLFYERI >OIW18324 pep chromosome:LupAngTanjil_v1.0:LG01:24193024:24193470:-1 gene:TanjilG_31464 transcript:OIW18324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTRFKKNRKKRGHVSAGHGRIGKHRKHPSGRGNAGGMHHHRILFDKYHPGYFGKVGMRYFHKLRNKFYNPIVNIDKLWSLVPQEVKDKAAKEKGKAPLIDVTQFGYFKVLGKGVLPENQPIVLKAKLVSKIAEKKIKEAGGAVVLTA >OIW18670 pep chromosome:LupAngTanjil_v1.0:LG01:22065952:22067433:-1 gene:TanjilG_13422 transcript:OIW18670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMEATASICSHSVGLTGMYYSSSGRSIGRKQNHGIVKSMATQKPLQSVSTTVNSRKSTPIKLLTRLEKLKLLSKAEKAGLLSAAEKAGLSLSTIEKLGLLSKAEGLGVLSAATNPGTPGTLLTLSFSLLLLGPLFVYLVPDDNIGEVGLQVAVALISVLGGSAGFAASNLVSNLQKSI >OIW18174 pep chromosome:LupAngTanjil_v1.0:LG01:26476825:26478090:-1 gene:TanjilG_31294 transcript:OIW18174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRDDLYITVPSFFLCPISLDVMKSPVSLCTGVTYDRSSIQRWLDNGNNTCPATMQVLYTKDFVPNRNLQSLIQIWSDSVQKRVDSPESKAVTESELVPSRDQVLSAIADVEGDCGNNRVDSLVKIVRFALDSDEKRDFLAKVDGFVARLVGLLHNVDGGVELLEMVLKALDLVLEKIDDREWFKNSMLKKQSDGENRCNCLDSLLLVLQRGSSGSKIASVRVLKSIAMDAESKLLIAEKEGLVSELLNLIAPEKTPALIENCLSCLIAISTPKRNKVELVHLGAIRTFSKLLLESNLTVSIVEKVLKLLETMSSRKEGRVEICEDPECVAAILNKVMKVSSIATENAVTTLWSVCYLFRDQKAQEAVTKANGLTKILLLMQSNCSPSVRQMSSDLLKIFRVNSKSCLSCYDTKTTHIMPF >OIW18498 pep chromosome:LupAngTanjil_v1.0:LG01:20663216:20665249:-1 gene:TanjilG_13250 transcript:OIW18498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSIALKSFIGLRHSSTEPRHFSAQPKPLTLNRKLKVVAGKYSPKVQGRNLRVAIVGGGPAGGAAAETLAKGGVETFIIERKLDNCKPCGGAIPLCMVGEFDLPLDIIDRRVTRMKMISPSNVAVDIGRTLKPHEYIGMVRREVLDNYLRERAKENGANIINGLFLKMDIPKDKESPYVLHYSGYDGKTGGVGEKLTLEVDAVIGADGANSRVAKAIDAGDYEYAIAFQERIKIPDDKMVYYENLAEMYVGDDVSPDFYGWVFPKCDHVAVGTGTVTHKGDIKKFQQATRKRAEDKILGGKIIRVEAHPIPEHPRPRRVKGRVALVGDAAGYVTKCSGEGIYFAAKSGRMCAEAIVAGSENGKRLVDEGDFRKYLEKWDKTYWPTYKVLDILQKVFYRSNPSREAFVELCADEYVQKMTFDSYLYKTVAPSNPLEDLKLAINTIGSLVRANALRGEMNKLNV >OIW18790 pep chromosome:LupAngTanjil_v1.0:LG01:23105532:23106868:1 gene:TanjilG_13542 transcript:OIW18790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLSYTDPTAHAEVTAIREACKKLKQIELSDCEIYASCEPCPMCFGAIHLSRIKRLVYGAKAEAAIAIGFDDFIADALRGTGFYQKAQLEIKRADGNGALIAEEVFQKTKEKFQMY >OIW17924 pep chromosome:LupAngTanjil_v1.0:LG01:32622837:32627828:-1 gene:TanjilG_17760 transcript:OIW17924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKVTFSSRRHVSRRRHRKPTWPLQSPASPQQSINGSPGERPENGLKAKKMAGAARLWMRVDQEGQTEMVEWDKYTIILHASIPARDLRILGPVYSHSSNILGRERAIVVNLEFIKAIITAEEVLLLEPLRQEVIPFVEQLRQQLPYKTTQPKLHGTAYEKEIEMQVSNEIQWLSSPQLAEDLPDELPFEFQVLEIALEFVSTLLDSNVAELESDAYPVLDELAKNVSTNNLDFVRSLKSNLTRLLARVQKVRDEIEHLLDGNEDMSQLYLTRKGLQNHQFEAHSTKSESLVTKHDDNDVEELEMLLEAYFMQLDATRNKILSVREYIDDTEDYVNIQLDNQRNELIQLQLTLTIASFAMGIENYVADISYVNLIGQWYEERTGINMAYEG >OIW19502 pep chromosome:LupAngTanjil_v1.0:LG01:2155592:2156710:-1 gene:TanjilG_06957 transcript:OIW19502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSKSHQVFVQSQELQILPQSVTLSAISNETLSHLKRSLFPDSPRIVSSLYFTLNGKPLLDETPLSSSQIAPFSTLILRSRLLGGGGDGGATGAESRDCYLNMYAEKKPDKVDPNEQRLSKWLNCALSNETLSEPCVIDKLGNIFNKESLVEALIGKKLPKEFGHIKGLKDMINVKLDLIPGVDHGTSGSKFQCPVAGLEFNGKYKFFALRNCGHVLSAKALKEVKSSCCLVCHKEFEEFDKIVINGSEEEVLVLRDRMDEEKAKITREKKAKKVKNGNVEENGVCLEGKRLSGSKHGVDVKAVAKVEGNGKVGLKGVGDAPVAIATAKRFKATDVAPPNATKDVYASIFTSSRKSDFKETYSCRSLPLGRN >OIW18095 pep chromosome:LupAngTanjil_v1.0:LG01:28645298:28648318:1 gene:TanjilG_01184 transcript:OIW18095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAQQSQPQIQSPTPSTQDDALKRNTDCVYFLASPLTCKKGNECEYRHSEYARVNPRDCWYWLNGNCLNPKCSFRHPPLDGLLGTPPATASAPSLPSIPLLQHTTLSATHAPYNSSKPAVPCIFFHKGLCLKGDRCAFLHGPLPNNGNKVVTQDRMNSQGTEIQSFKKSFGGIEQNTQERKISQGIVVKPVGDLEAKPAQKGETAPQRNFFELKKNVPPTSAGFEDEASRFKITSTPSVINESTVVRSSHLHQAYVPDDRSFHNGKDNDEFLRETSPGFDVLVADELRNSDYYHGDDEFGNARGQDDLGHSSDYSLAADIGRDRFHVPETNMWEQHTKPPAHLEKRTHHRSDSPNNAEVSDLRIHLSKRRKGNGLKSVVTHDYAVESHGEEHSYRNFSRKDSLQLPLNASSLSNRFRGRIKLPINGGDGHPERESDRGRIRSRLSSGRLPAPHQGWLQDRIIGRVQDGERRNLRDRPVGRELLGDRTNEFYAPKRLSELKNESSENREQRFLGKRKSLRDQQSDDDFQFEGPKPLSEILKEKKRGVGAGAASQSGSSSENKNREITHNSDHTSLTNTQNGALSETMENVKNREEGSKSHVTDAVGKNVDNIDATHGQSSEEGLIYDEAAEDQEYEGGDQRDDVEYDYDQVDEGDYEYEQIEEGENLEQEYMDEEEDGDDFAKKIGVILT >OIW17649 pep chromosome:LupAngTanjil_v1.0:LG01:35508962:35513556:-1 gene:TanjilG_28999 transcript:OIW17649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKASRRVTILSSHLRQTRFNPVQSVQPVFGSPSSSSSSDSQNPLTHSLNHNFQHDCVFCKIIRGDAPSFKLYEDDTCICILDTYPLSHGHSLIIPKSHFPSLDATPPSVIASMCSKVPSISNAIMKATGCNSFNLLVNNGKAAGQVIFHTHMHVIPRKAYDCLWTSESLRRLPLNLDEETSQLAARVQEQMLPSKVSHDRKNEDYCSNKD >OIW18147 pep chromosome:LupAngTanjil_v1.0:LG01:25962214:25967332:1 gene:TanjilG_31267 transcript:OIW18147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKETDQMRKENESEMVKEEVINDGTSSVEAGELESHSCISLERDASLPTCRVCQCVESDKMGDAALELLGISPVIEVCKSNEELKSDDGDEIPKQIPLDRNVENNSRVVEFVSPDGEVFICKSDLESDLSPQDTLIELGCCCKNDLALVHYACALKWFVSHGSTICEICGHIAKNIRISDFNKVISYLKEYESLREGIANGNPGPAHVHSNTGVDPDAVAFISRQWLSEIALWFCPHNNSNNNNNSIMETFSQAIYEQPSNIVTEEAGPATHPALKWAAEGTGILLATGLLTITLVWFIAPRVGEKTTKSGLHIIFGCVCALTVVVFFRFFVLTRIKYGPARYWAILIVFWFLVFGIWASRTHGATHTTDTLDIT >OIW18183 pep chromosome:LupAngTanjil_v1.0:LG01:26661627:26663664:-1 gene:TanjilG_31303 transcript:OIW18183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLEDFRSIMESASVDVWLLIDAAITVASVDNADELKCRRDQIVERLYTATSALPPCRNCETNNNGEIVKVEEEEEEELEPYGGLSDDEQKKILEIKQQLEYPNQSEESLIELLHTLADMDITFEELQDTDIGRHVNKLRKHSSNYVRKFVKLLVSKWKGIVDEWVKSKTSGEEVTNVMVDEDSPQQKTAQNGHHQSPDFAYSPNPPNGNSGSEVELKKPKAIPHKVAPPKPAHAPPSLRTSDPQNRQRENNFDSQKLDSATKRLQENYKEAANAKKQRTIQVMDLHELPKPKNKNAFFGKK >OIW17952 pep chromosome:LupAngTanjil_v1.0:LG01:32205480:32208248:1 gene:TanjilG_17788 transcript:OIW17952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSSIDDDWELASTTNETKTVVLVGRTGNGKSATGNSILGKKAFSSRLSSSGVTSTCELQRTVLSDGQHVNVIDTPGLFDFSAGSEFVGKEIVKCIDMAKDGIHAVLVVFSVRTRFTQEEEAALRSLQTLFGDKIVNYMIVVFTGGDALEDDEETLDDYLGRECPEPLQEILALCDNRRVLFDNKTKDGKKKFEQVQQLLSLVDTVISQNGGRPYMDELFTELKEGAIKLSEQQKAADSLKGYSKGEIMEFRKQMQQTYNDQLKRITEMVESKLKEATTRLEQQLAEEQTARLKAEEKAKLAQLKSDDEIRKLREHLEMAHEELRKRGENRCAIL >OIW18355 pep chromosome:LupAngTanjil_v1.0:LG01:23778986:23782898:-1 gene:TanjilG_31495 transcript:OIW18355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNTSRFIKCVTVGDGAVGKTCMLICYTSNKFPTDYIPTVFDNFSANVVVEGTTVNLGLWDTAGQEDYNRLRPLSYRGADVFVLAFSLVSGASYENVLKKWIPELHHFAPGVPVVLVGTKLDLRQDKYYLADHPGLVPVTSEQGEELRKMIGAAYYIECSSKTQQNVKSVFDAAIRVVIKPPPKQQEKKKKKQRRGCLINVMCGRNIVRHK >OIW18886 pep chromosome:LupAngTanjil_v1.0:LG01:19474566:19479766:-1 gene:TanjilG_25329 transcript:OIW18886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LFIACGHVSCFWCVHKSMDGLRESHCPICRNSYYYFPTICQMLHFLLFKIYPDAYKRRENRMLEEEKKSGLFSPQFDAYTCESQAKCLPSCTTVNMSVESFECMEKSESATHEGDKGTLYPEHSFERTQEVIRIPVEGENLPQDEDDQQQRISVADVMCMTCKQLLFRPVVLNCGHVYCETCLVNLAVEVLKCEVCQSQHPKGFPKVCLALDHFLEEQFPEQYAKRRDAVQLSQLKVKPDTTSCSLDNSKGEKIGWWRSDPGLKVHSGVGCDFCGMYPIIGDRYRCMDCKEMIGFDLCGDCYNTRSKRPGRFNQQHTPEHKFQLIQGYGIVREQLFGQESSWNVEFIPEELTRVDDEDDEEDDLND >OIW19310 pep chromosome:LupAngTanjil_v1.0:LG01:4466701:4468674:-1 gene:TanjilG_09094 transcript:OIW19310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPSSKPYANEQYSEAQPPPYGNRPIATGFTPAAGAQYAAPQALLPWSTGLFDCCADGRNCCLTCCCPCVTFGQIAEIIDEGTMSGTASAVIYAVINATTGHACLYACLYRQKMRNKYRLEESPCDDWCVHCFCESCALCQEYRELQNRGYDMVMGWQGNLQRNQGVAMTLTPPTIEPVMKR >OIW18905 pep chromosome:LupAngTanjil_v1.0:LG01:19264394:19270929:1 gene:TanjilG_25348 transcript:OIW18905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETTGRSRVVGDYIVGRKIGAGSFSVVWHGRHKVHGTEVAIKEIGTLRLNNKLQESLMSEVSILNQINHPNIIRLHEIIQAPGKIHIVLEYCKGGDLSLYIQRHGRVPEATAKHFMQQLATGLQVLRDNNLIHRDLKPQNLLLSRNDESSVLKIADFGFARSLQPRGLAETLCGSPLYMAPEIMQLQKYDAKADLWSVGAILFQLVTGKTPFTGNNQIQLLQNIMKSTELQFPPDIQSLSIDCKDLCQKLLRRNPVERLTFEEFFNHPFLSQKQPELDESLRNRSSSRLVGECCSTESDPLRRTEENYQEDCFPFSLDVDSSGAEGIPSFSRKKSSMKSTYGFDLNTIRDKVEPTSTRRLDNHNKKIDSVAQRPENTTRRLDNHNKKIDSVAQRPENTTRRLDNHNKKIDSVARRPENTTRRLDNHKISRNLTDPPESPEQRFAIPHSKVTDSLENIDQDYVLVSGPPMDVSSSSVSNSKMSSSQYRPGNLPQDPSNAITKLSAPMPIVVAPTSSTYQIGSAESRDSAPGTSHGSIDTGDEQPSAHCMTRIKSLKQCAYALTELVNEKIEAGKQLEAFSIQLVILAIWKQALHMCHTQAASAMEGSPSQETSRYRRSTSKKHGSFDLEGCLLGNTQGSKDILPQIESEFLKEIEHGEELSKGIQPGNTEMPDAMETIFQSALAFGKHGGVEELMGEMDSADALYSKAVRLLVFLLSEAPSLILNPPFSLTNSDRYRLRTYVDMLNTRQGYARSQRMELRKCDDSQGIHKEKL >OIW19374 pep chromosome:LupAngTanjil_v1.0:LG01:3319117:3324771:1 gene:TanjilG_03508 transcript:OIW19374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPRVGNKFRLGRKIGSGSFGEIYLGTNIQTNEEVAIKLENVKTKHPQLLYESKLYRVLQGGTGIPNVRCFAVEGDYNVLVMDLLGPSLEDLFNFCSRKLSLKTVLMLADQMINRVEFIHSKSFLHRDIKPDNFLMGLGRRANQVYAIDFGLAKKYRDSSTHQHIPYRENKNLTGTARYASINTHLGIEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYDKISEKKVSTSIEALCRSYPTEFASYFHYCRSLRFDDKPAYDYLKRTFRELFIREGFQFDYVFDWTILKYQQSQLATPPARAIGLGAGTSSGIPPAVNNADRQTGGEEGRPPPGLVSVDSSRRRMPAPILNSLSSANFLGQSSGSSRRAAASSSRDAFVGGESDILARTASPGATQRFLSGQRSSPIGSSDPTRVSAARNTHHVKNYDTALRGMESLQLENDERTHY >OIW18930 pep chromosome:LupAngTanjil_v1.0:LG01:19003958:19005733:-1 gene:TanjilG_25373 transcript:OIW18930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTPSPSSTTLRFSLPRHHCVTSNYNPPTNPILHTLKSFAGAAIFTAAIAAKFSVLPARAEPPITFTQQSQIIEENGAVSASPLSEFLETNQGAVDSLKSLLKKKLELGEDEEGLKILKRLVVAQPEVTEWKFLLARLLSEIGEIENARNVYEEILASNQLSFEALFENALLMDRNGEGEAVIKRLEQALMVAEEENKVKEARDVKLIIAQIQFLQKNLDEALGIYQELTKEDPGDFRPYFCRGMIYSLLDKNDEAKEQFAKYRELSPKKFEVDGYLRTPLSRMKLFGANES >OIW17932 pep chromosome:LupAngTanjil_v1.0:LG01:32494444:32497818:-1 gene:TanjilG_17768 transcript:OIW17932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVASPADQTTDLLQTLPLDTKPKPLEIPENTKKASGNQYGTVDSGNALNGQVPPYDRSVTPLLQEFADPNLCYLPSGYQSTAYYYGGYNGTGNEWEDYSRYLNPEGVDITSGIYGDNGSMVYHHGYGYAPYAPYSPAGSPVPTIGNDGQLYGPQHYQYPQYFQPLTPTSGGSFAPTATVPPLGEITSSVAADQKPLPVETANGNSNGVTNSGNTKGNSGAAPVKLAYQNSFTSNASNKRGAVPGRGPTSGYQDPRFVFDGVHSPIPWLDATLFPDGQQRPVSSTTITSSVAGGNNSTASRNQNFRPNSQFMGLQHPRPIPAMGATPGFINRMYPNKLYGQYGNTVRSGIGGYGTHGFDSRANGRAWLAVDNKYKNRGRSGGYFGYGNENVDGLNELNRGPRAKGNKNQKGFAPTILAVKGQNLPEDVSKGEEKDKISSVPDLDQYNKSEFPEEYTDAKFFIIKSYSEDDIHKSIKYNVWASTQNGNKKLDAAYQEAQQKPSGCPVFLFFSVNTSGQFVGVAEMIGPVDFNNSVEYWQQDKWNGCFPLKWHIVKDVPNNLLRHVTLENNENKPVTNSRDTQEVLLEPGLKLIKIFKEFSSKTCILDDFAFYEARQKTILEKKAKQQVPKQAWHLFDYFIPGCYNVWEGKPTDEKAELNGEVKAQNPEVAAELLKDLTLAEKDTDDHKLSKNESVAKTGDAPKGAKPVLSETKIVANGVANGF >OIW19254 pep chromosome:LupAngTanjil_v1.0:LG01:6335109:6336093:1 gene:TanjilG_20379 transcript:OIW19254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFIPQHVDDHVTKSLAFKVKLQPTYKRCSVIQVSEDSHLISCLLERIAPDQDLSALENGKFVSPAFKEDDGFECQSLSATADYDPDCLAYLTPLKRLGSSAPSEDA >OIW17739 pep chromosome:LupAngTanjil_v1.0:LG01:34802360:34803761:-1 gene:TanjilG_29089 transcript:OIW17739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRHSCCVKQKLRKGLWSPDEDEKLFNYITRFGVGCWSSVPKLAGLQRCGKSCRLRWINYLRPDLKRGMFSQQEEDLITSLHEVLGNRWAQIAAQLPGRTDNEIKNLWNSCLKKKLLKQGIDPTTHKPLNEGNVKEEKTSTESLQKMQIPISTSPSATPTLLFSSQCSPLRISDSNSYDDGRLAEEASREIFMSKQVLDPLSYYDNFQMSVAQNSCYGLPVSSSYQTSIRTLFDQNQFGTNPSYGFSSMPSLTNSDHVSVTEFSSDNNPSSKISSLFMNDNNQVKENSSNNSSNMSIYNAGGGGGFHQMMENAAGFSWDAENKLEHSFQFNAIKSEDFKTCNSWEEGQQNLQTHGSIDFSCYPLTSLSEDLTGANFDIFQQI >OIW18787 pep chromosome:LupAngTanjil_v1.0:LG01:23068552:23071441:1 gene:TanjilG_13539 transcript:OIW18787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTPASAFYRNPVKFRIPTAENLVPIRLDIEIDAQRYKDAFTWNPSDPDSEVVVFAKRTVKDLKLPPAFVTQIAQSIQSQLAEFRSYEGQDMYAGEKIVPIKLDLRVNHTLVKDHFLWDLNNFESDPEEFARNFCKDMGIEDPEVGPAIAFAIREQLYEIAIQSVVLAKESRLSKKGRRGIDYTPLSKGGAVAVDLVKLFGQKSSVVRKRKEWDVYEPIVDLLSNEEVDALEAKEERNFR >OIW19235 pep chromosome:LupAngTanjil_v1.0:LG01:6984725:6996275:1 gene:TanjilG_20360 transcript:OIW19235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEGYDEIQDNERNEEQGGETSLRSSHKSSSFDLNEEANSEENNDNDEACNELKGDEENDEKVKENEGTSSNRSMTSSKEIGNERRGGVRQYVRSKMPRLRWTHDLHLSFVHAIERLGGQERATPKLVLQLMNVRGLSIAHVKSHLQMYRSKKLDETGQVLSQYRSAHNHVGRISHVSHQSMSPHQHFKMGNGGIILATHSKEHNNFQSLLQPSSISHSHSKATDSRHQQWYLNNHQTFTTPSYISNEVIPSTSLQTQRRSIASNQIQLIDTGSRIAPMRPSQFLEEKKWPPLQIMNNNHEWKKMLPTNVNANTMSQSVVQQFGTTTSSLRPSDLLSFGNNTRLIKEHLSNSDEPFNYSTSLKLGFDPPFRIKLNQKKLQNDKQWVPDLHLGLSQKDGKADHCRETQEINTKLSLS >OIW18988 pep chromosome:LupAngTanjil_v1.0:LG01:17955327:17956884:-1 gene:TanjilG_31046 transcript:OIW18988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGKGRICVTGGTGFIASMMIKRLLSNGFYVNTTTRSAPGKDLSFLTNLPDASKKLRIFNADLNNPESFCPAIEGCKWVFHTATPVDLEEEVETMSKRTVDGALGVLKVSLNSKTVKKVVFTASCTDVIYSGEEVDELDESYWSDIDFIYNTKPTNWPYSVSQLLAEKAVLEFGEKHGLDVVTLVLPLVIGPFICPRLPLSIQMALPWLFGKFCNVSHSSLYLTSIEFFD >OIW17858 pep chromosome:LupAngTanjil_v1.0:LG01:33529606:33537108:1 gene:TanjilG_14104 transcript:OIW17858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNIVEWFASTHTIHKERSIYIAANVYGSQVLLQKEYAVPVRHFAKEAAPPALKGDTMLKNIFVELKNKYETAIGLLKKEKITIDPEDPAAVSHYAQVMKTIREKASLSSESQHIQESIETQTADIPDARTYLLTLKEIRIKSGLADDLGAEALMIEALEKIEKDLKKPLLRNDKKGMDLLLAEFDKINKKLGIQKENLPKYEEKLELSIAKAQLEELKKDALEAIETQSKREEFKDEGTVDVYGSQVLLQKEYAVPVRHFAKEAAPPALKGDTMLKNIFVELKNKYETAIGLLKKEKITIDPEDPAAVSHYAQVMKTIREKASLSSESQHIQESIETQTADIPDARTYLLTLKEIRIKSGLADDLGAEALMIEALEKIEKDLKKPLLRNDKKGMDLLLAEFDKINKKLGIQKENLPKYEEKLELSIAKAQLEELKKDALEAIETQSKREEFKDEGTVDVKSLDIRNFI >OIW18558 pep chromosome:LupAngTanjil_v1.0:LG01:21109814:21113684:-1 gene:TanjilG_13310 transcript:OIW18558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASISRIILTNYTLGTFDLLNKQTPFTPTSNKNLHNVKGGLRLPENGRSCAIRSDLRGPLVAVSRPSLIHQTSKRIPQVLCKATTNVSGDIPESSGELSQYEKVIEILTILFPVWVILGAVIGIYKPAAVTWLQTDLFTLGLGFLMLSMGLTLTFEDFRRCLRNPWTVGVGFLAQYLIKPMLGFVIAMVLKLSAPLATGLILVSCCPGGQASNVATYISKGNVALSVLMTTCSTIGAIIMTPLLTKLLAGQLVPVDAAGLAISTFQVVLVPTIVGVLANEFFPKFTAKIIKITPLIGVILTTLLCSSPIGQVAEVLKTQGAQLILPVIFLHAAAFALGYWISKLTFGESTSRTISIECGMQSSALGFLLAQKHFTNPLVAVPSAVSVVCMALGGSILAVYWRGQAIPLDDKDDFKE >OIW18601 pep chromosome:LupAngTanjil_v1.0:LG01:21525947:21526432:-1 gene:TanjilG_13353 transcript:OIW18601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQDSSSERWEGKVSAKLKSTTAEQAWPLVKDFFNLHKRFPTLATCYGIHGTNGEPGCIRYCAGSSIRSNGSVSWSKEKLVAVDDVDRILKYVIVDCNIGFNSYESSIRVLKDDGLEGCLMEWSFAVDPVEGLVFEDLVQKYHLGLQRMAEKIEDEISVLN >OIW19548 pep chromosome:LupAngTanjil_v1.0:LG01:1742824:1746738:1 gene:TanjilG_07003 transcript:OIW19548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFLRVHSVESEKPLDPEWNPKLRERRGVLHLFRTFSHSSSHHSSLLFILAVPNYLSFHDFIRFCGPHLHHLHSLLFLRNDAMEDRYTVLLNLSDHFAAHAFYNNFNAQKFSPSEAEVCHILFLDSVEYSEYAEVAGTPPPGCTEIPTCPVCLERLDPDTSGILTTLCDHSFQCPCVSKWTYLSCQVCRFCQQQDEKPTCYVCGTLDDVWVCMICGFVGCGRYKEGHAIRHWKQTQHCYSLDFKTQQIWDYVGDSYVHRLNQNQSKIDGKLEGNFRCMSLEENCGMCDCREDSGMDEALFNSKVEAKVDEYNRLLASQLETQRQYYESLLIEVRSKVESSVSEAVEKAVASEMQDMQNELERCIEERNAVAEANRKLIKNQEIWRKKVKEAEEREAASVKSLNEKIVDLEEQIRDIKIFLEAQKTIDKMSDSNEIKDGTILPVAYEQSSPSNSKKNRKSGRRRN >OIW17615 pep chromosome:LupAngTanjil_v1.0:LG01:35962632:35969819:-1 gene:TanjilG_28965 transcript:OIW17615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDSHSNTVAVQATNDDASASKLSCVKKSYMKDDYIHLFVRKPLKRSPIINRGYFARWAAFRKLLYQFLDVGSTKKQILSLGAGFDTTYFQLQDEGKAPHLYVEVDFKEVTSKKAALIETYGQLRSKVGETASISREKGEVLSDDYKLLPVDLRDTQKLSDIVALAGMDTSLPTFIIAECVLIYLDPNSTRAVVSWANQTFTTAVFFLYEQINPDDAFGQQMIRNLESRGCALLGIYATPSLLAKEKLFLDHGWQRAVAWDMLRVYSEFVDAQERRRIERLELFDEFEEWYMMQEHYCVAYAINDAMGLFEDFGFSDDKNVSPSS >OIW18672 pep chromosome:LupAngTanjil_v1.0:LG01:22073557:22074510:1 gene:TanjilG_13424 transcript:OIW18672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVKSPKPKNRGGSLFLFYCFIFIFFLCVIASINEVRFDTLLRFGKCALSNNTLATTSSISEEPEMRILIAVLTLPDQYLRRHFLRLIYGSQKPEGAKVDVKFVFCNLTKEDQKVLVALEIMRYDDIIILNCTENMNKGKTSTFFSTLPEIFNETNGNNAPYPPYHYVMKADDDTFVRLNALVESLKPLPREDLYYGFVIPCGSMDPFKNYMSGMGFVVSWDIVEWIHGSDIPKKHVEGPEDKVFGDWMRLGRRGKNRYNAKWSMYNYPDPPSVCSHELVPNTIAVHLLKNQEKWIRTLNFFNFTRDLNPSKMYHIS >OIW17647 pep chromosome:LupAngTanjil_v1.0:LG01:35533157:35544333:1 gene:TanjilG_28997 transcript:OIW17647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFIHRRVEPWVRGVEPWVRGVEPWVRDQRSKFFNVSWAPLQWRMKWPWSSHREQKKRIQEEFQRRRKQLQDLCRALSIDSVSDLQDLLCCMVLSECVYKRPATEMIRTVNKFKADFGGQIVALERVQPSSDHVPHRYLLAEAGDTLFASFIGTKQYKDIIADANILQGAIFHEDAVEESDVCAATESDQVENQNGKEYRWNPLEKRFKQQKSKSKPAAHRGFMARAKGIPALELYRLAQKKKRKLVLCGHSLGGAVAALATLAILRVIAASSPTRENERVSIKCITFSQPPVGNAALKDYVNRKGWQHYFKSYCIPEDLVPRILSPAYFHHYSAQPQSMLSDNESNILLATKHEQGVGKPKENDEEQLVLGVGPVQRSFWRLSKLVPLEGLQRKFSKHRERQTSSVETTSVHDSLASSLIGEEVVEPQSLEIQEGSDGISLKPLPDTGKHALEVVTNGKTDTKSNTMTGNEKTWRRVPYLPSYVPFGQLYLLGNSSVESLSGAEYSKLTSVKSVIAELKERFQSHSMKSYRSRFQRIYDLFMSDNASSFLGIEQWQQFPHLEQWLGLAAEGTVELGHIVESPVIRTATSIVPLGWNDGPGAKNGEHLKVDITGFGLHLCTLVHAQVNGNWCSTTVESFPSAPNYSSNYGIQPELQNMRILVGAPLRSPPKHQTVLDSSIPTFTSVDSETASSSALIDKDKFTRPESLNNFLIFCTSDFTTVSREVHVRTRRVRLVGLQGAGKTTLLKAILNKCKPNIANNEVVVSDVDVQEGIADGLSYCDSTGINMQELNMETSRFKDELWHGIRDLSRKTDLIILVHNLSHSIPRYGDSNGSQQKPVLSLFLDEAKSLGIPWVLAITNKFSVSAHHQNAAIEAVLKAYQASPSSTEVINSCPYVMPGFAGATQSWDSTNPDSSYTRIGAQRLLLAPINFVMRPFQKKEIILPVEGVNSLRQQIHRILRSHEESSFQELAKDRLMIELAREQAMSIDASKDAQAKAVSLNSAAVGASVGAGLGIVMAIVMGAASALRKP >OIW19466 pep chromosome:LupAngTanjil_v1.0:LG01:2409964:2414502:1 gene:TanjilG_09486 transcript:OIW19466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNLVVRSLRQLRPGFHGQRCILIRDHVSETPHHFENCYHHFHSSSYTVVDYAEANLVKNHSFTITTRALSIDAAKLTYEETNRAGPLVEYERRIANGELVEGDTFQIDTLTELQRLYDELVENADVCQLDRTVEKLVRTGWLWSRLLSHPSYSPVKGLYLYGGVGTGKTMLMDLFFDQLPSNWRKSRIHFHDFMLNVHSLLQKHKGLSDPLDVVAEEISEETVLLCLDEFMVTDVADALILNRLFGHLFSKGIILVSTSNRAPDNLYEGGLQRDLFLPFIATLKERCVAHAIGSSVDYRKMTSGEEGYYLVGRNLSVILQQNFQQLIGEVTAIPQQVEVVMGRTLQVPLGANGCAYFTFEELCDRPLGAADYFGLFKKFHTLVLEGIPIFGLSNKSAAYRFVTLVDVIYENKVRLLCTAEGSPHEIMEKIVTISEGKLMAPRTSSRSRKSDVSDLCVDNELGFAKDRTISRLTEITSKEYLEHHAAVLEEKKLNVAQNIVQA >OIW18212 pep chromosome:LupAngTanjil_v1.0:LG01:27443644:27444972:1 gene:TanjilG_31332 transcript:OIW18212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENFNSFLRFILLFAAVLCCSSNINTATEGFESSFTPSTHSAPPPTPPDHQQHSFFSQTALLPPILSQLGFNELAMAAPSLSDSTSTGVTSAWTGPSTIFAPSDASIRTCFSCSIPKLLREHMVPGLFTIDYLRRLSFGTKIETLSPGRCITVTSHPVHPNTNSTTAAKVFIGGVEITQPDLFNNGLIIVHGIHGFISQLSPFSCDVERMPSLSFPSYPEHRSGQPLHTPDVNAANASSIRVMLRDAMLRLRNNGFSILALAMKVKYAHLVTLSNMTIFAVDDLSIFSGSHSYIDNVRFHIVPNRYLLIADLEKLPVGTPLPTLERGQPLMITTSGGGTILAPMRINYVRIKSSDVIRNVRIVVHSVYLPFPHINPVAAAYDNILGGGGASEGTEKMPQEEGTCSSAADGDGDCGVSPMAMPQVQVKPIAMAMVDIEDHHGQ >OIW18830 pep chromosome:LupAngTanjil_v1.0:LG01:20008457:20009890:1 gene:TanjilG_25273 transcript:OIW18830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFLGDLLVESPSFVSVSVFADAFIGVNIGTDITDIPSPTDIVALLKAQSIQHVRLYDADRAMLLALAHTGIRVTVSVPSDQLLGIGQSNATAANWVAHNVLAHVPATNITAIAVGSEVLTTLPNVAPVLVSALEFIHSALVAANLDQQIKVSTPHSSSLILDSFPPSQAFFNRTWDPVMVPLLKFLQSTGSYLMLNVYPYYDYVQSNGAVPLDYALFRPLPPTREAVDANTLLHYTNVFDAVVDAAYFAMSYLNFTNIPILVTESGWPSKGDSSEPDATPDNANTYNSNLIRHVLNNTGTPKHPGVPISTYIYELYNEDLRTGPVSEQNWGLFYANGGPVYTLHLTGAGTVFANDTTNQTFCVAKNDADTKMLQAALDWACGPGKVDCSPLLQGQTCYEPDNVVQHATYAFNAYYQKTGKSLGTCDFKGVAIVTTTNPSKASPHIHNYLLVNFTDFINNFFVQNKSPKILKQFLAI >OIW19032 pep chromosome:LupAngTanjil_v1.0:LG01:16804230:16805671:1 gene:TanjilG_10593 transcript:OIW19032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALIVDQQSNFKHFCKVCKKGFGCGRALGGHKRAHGIGDEIRHMDDGEDDPEVDWEERHGGSVPPNNKRMYALRTTPNRLKSCRICENCGKEFLSCKYFLEHGNAPPKMLNLKSHLLFLKLMMIMMALPEEVVVGPKGRDHSDPKLSKSKVHQCSICHRSFSSGQALGGHKRCHWITSSNTPDTSTLARFQQFHDHKEQIPKFDSSSGPIDLKLDLNFQASTNDLARRNVSTEIFLQPRVGTKDIIKDDNNNNNNNQCNIHNQHNQTDNDNNNENKINNTNNALMQNEVDNEADSKVKLAKLSELKDMNHSRSSSPWLQVGIASTTDVETDQ >OIW18706 pep chromosome:LupAngTanjil_v1.0:LG01:22405134:22409184:1 gene:TanjilG_13458 transcript:OIW18706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWNFLLTKNVRKILKRKDSDAGETGRALEDLRASLFNEFHSSEGAKRPQKRTSGPAAALFFNFLVAVGIIFMNKMVLQTVKFKFPILLSLIHYVVSWFLMAILNMFSLLPASPSSKTTHLSALFSLGFVMSLSTGLANVSLKYNSVSFYQMAKIAVTPSIVMAEFLLYRKKVSWPKAGIETLQALALTVVSIGVAVATVTDLQFHLFGACVASAWIVPSAVNKILWSRLQQQEHWTALALMWKTTPITLIFLAAMIPWLDPPGVLSFDWNFSNMCVIFSSAILGFLLQWSGALALGATSPLSHVVLGQFKTCIILLGNYYIFGSNPGIVSIFGACTAIAGMSVYTYLNLKQHSNMIFPGQTSTLSKSKHDKENGRAHDGHYSGESV >OIW19413 pep chromosome:LupAngTanjil_v1.0:LG01:2975855:2983737:1 gene:TanjilG_09433 transcript:OIW19413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATDKAISLEGIKNETVDLERIPIDEVFEQLKCTKEGLSSDEGANRLQIFGPNKLEEKKESKLLKFLGFMWNPLSWVMEAAAIMAIALANGDGRPPDWQDFIGIVCLLVINSTISFIEENNAGNAAAALMAGLAPKTKVLRDGKWSEEEAAILVPGDIISIKLGDIVPADARLLEGDPLKIDQSALTGESLPVTKNPGDEVFSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDSTNQVGHFQKVLTAIGNFCICSIAVGMLAEIIVMYPIQHRKYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLSVDKNLIEVFAKGVDKEHVMLLAARASRTENQDAIDAAIVGMLADPKEARAGVREVHFLPFNPVDKRTALTYIDADGNWHRASKGAPEQIITLCNLREDVTKKAHAIIDKFADRGLRSLAVARQEVPEKTKESAGGAWQFVGLLPLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIAKETGRRLGMGTNMYPSASLLGQDKDASIASLPVEELIEKADGFAGVFPEHKYEIVKKLQERKHICGMTGDGVNDAPALKKADIGIAVADATDAARGASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVFGFMFIALIWKFDFSPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLQEIFATGIVLGSYLALMTVIFFWAMKENTFFPDKFNVRHLTHEEMMSALYLQVSIVSQALIFVTRSRSWSFVERPGLLLLGAFFIAQLIATLIAVYADWGFAKVKGIGWGWAGVIWLYSIVFYFPLDIMKFAIRYILSGKAWVNMLENKTAFTTKKDYGKEEREAQWALAQRTLHGLQPPESSNIFNEKSSYRELTEIADQAKRRAEVARLRELHTLKGHVESVVKLKGLDIDTIQQHYTV >OIW19011 pep chromosome:LupAngTanjil_v1.0:LG01:17517281:17519101:1 gene:TanjilG_20284 transcript:OIW19011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLIGLVDDSFAASRLIAFCALSESRSLEYCMKVLYHTQEPNVFSWNVTMRGHVESGDIEGAILLYKRMLRCGSLKPDSHTYPLLLKACSCPSLNCVGLTILGHVLKFGFQFYLFVHNASISMLLSYGHLEAAYDMFNKGCVRDLVTWNSIITGFVRRGLVNEAVKLYREMEAEKVKPDEITMIGVVSSCSQLQDLNLGREFHRYIEEHGIELTVPLTNALMDMYVKCGDLLAARFLFDNMAQKSLVSWTTMVLGYSRFGFLDVARELLFKIPEKSVVPWNAIISGCVHAKQSKEALNLFHEMQINSIEPDKVTMVNCLCACSQLGALDVGIWIHHYIEKHNLSLDVAMGTALVDMYAKCGNIAKAVQVFEEIPQRNCLTWTSIICGLALHGNARDALSYFSKMINIGLIPDEITFLGVLSACCHGGLVEEGRKYFSQMSSKFNISPKLKHYSCMVDLLGRAGHLEEAEDLIKNIPMAPDAAVLGALFFACRVHGNVLIGERTAFKLLEVDPQDSGNYVLLASMYSEAKMWKEARSARKMMEDRGVEKTPGCSSIEINGIVHEFIVRDASHPLSERIYECLVSLAKQLELLGFTSNVPAYGDDLLS >OIW17887 pep chromosome:LupAngTanjil_v1.0:LG01:33107941:33111022:1 gene:TanjilG_19856 transcript:OIW17887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLKVSATLKIPPHVPSPEEDSEQLRKAFQGYRAVLLWTLDSAQRDAFLVNEATKRLSSNNWVIMEIASTRSSIDLLNAKQAYQARFKRSIEEDVAYHTSGDLRKLLVPLVGTFRYEGDEVNTTLAKSEAKLLHEKIAEKAYNHDDLIRIITTRSKAQLNATLNHYNNDFGNVIDKDLETNLEDEYLKLLKATIQCLTYPEKYFEELLRMAINKTGTDEWALTRVVTTRAEVDLQRIAEEYQRRNSIPLDRAIANDVSGDYKSMLLALLGHDD >OIW19708 pep chromosome:LupAngTanjil_v1.0:LG01:1250085:1254027:1 gene:TanjilG_18518 transcript:OIW19708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWGGNNSNQYRTSYKDDHKSMMEVALISNMDSVNIGLGCSETAVPITSLKPRKKTMTSVYLKYFETATDGKTRRCKFCGQSYSIATATGNLGRHLGNRHPGYDKSVDAVSNSATRPTAVVKKSQAQGKPNEVDYDYLNWLLIRWLVSASLPPSTLEEDWLVNSYKFLNPSIQLWPSDKYRTVLDEVFRSMREDVRSLLEQVSSKLSITLDLWTSFEEIFYMSVTCQWIDENWCFQKMLLDICRIPYPCGGAEIYRSLVEVLKYYNIGNRVLSFTHDNSPNAMHACHTLNEDFVGQKIGPFCYIPCAARTLNLIIEDGLRSAKQVISKIREFVIELNASPVISEDFIHISSAYQEGTWKFPLDVSTRWSGNYQMLDLVCKAGKSMDVVARKYDELLGSRMLLLSSSEKSVVNIMHQYLEPFYKTTNNICTSKVPTVGLVLFFMDHISETIATCRESRQSPEWLKGAAEEMAKKARDYINQVCNIFTYMTAILDPRIKGELIPESLNSENFLDEARTHFLRNYSTTHFPPLSSGYNAQEIEDGGSVSFAEEIARKKRRTSTSIATDELTQYLSEPPAPIPTDVLEWWKVNSTRYPRLSVMARDFLAMQATSVVPEELFCSKGDEINKQRFCMTHDCTQPIFCIKSWIQVGIKFKSRSHEIDYERLMELAAAAAATDNSPTSSDKKQK >OIW18187 pep chromosome:LupAngTanjil_v1.0:LG01:26817735:26818302:-1 gene:TanjilG_31307 transcript:OIW18187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIQYKRILLVFFFILCFISIQARARALKERSNVGNSSNVHNKEEHDVKFKPKEDDEGKKGEVFSMDYTPARRKPPIHN >OIW17736 pep chromosome:LupAngTanjil_v1.0:LG01:34831151:34837802:1 gene:TanjilG_29086 transcript:OIW17736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVLGKVAVPKPINLPSQRLENHGLDPNVEIVPKGTLSWGGKSSSSSNPWGSSLSPNTDGGTSSPSHLSARPSSGGSGTRPSTSGSDKAFDTTYSAWGSNSRPSSPSGAPISNQTSLTSLRPRSAETRPDSSQLSRFAEPLTENSGACGSVRTTEKLGAAQPKNDGFSLSSGDFPTLGSDKDKSVLNSELQDHSSGSHARPGSSSGLRKEIYDTSVVELMMLRADIMDDAHVNANVKGGTVDTWRRDYQAPYDDGVRPSKETQQGNTQPYLNAGIPPQHFDAWHGPPVNSPQGGGFWFRGPPRGPPFGTPVAPAGFPIEPFPFYRPHIPPTGLANPPPVPSPGNGARGHHKNGDVYRPHMPDAYIRPGIPMRPGFYPCPMGYEGYYGPPVGNCNSNERDVPFMGMAAGPPVYNRYPNQNLPEPGNSQGRSGGYGTAGKPLPSEHVEFSHPPDTVGQYRVLLKQHESDGKNEPESWEDSATISASYVSERDRARMTDWENEQRSNYRKNEEIDVWRSAHGEEASSQTSENPLSGSSVIKAKFPESSGNMKKSSDNSARKLDGAASDMVEIPPKSPAPKDASLIQKIEGLNAKARDNSSARNKEEQRNKFHSASAVLNHVENEVAAAIVFPGRTHATEVTSPTHHEVTASGGEKNLQSLSVGGTTTSRLTGHGMQDRSDHRNKGKLTNQDADGWRKKSVIADSLATSGPLLETPDLLVGDHRISIETYDRSASYNKARREEPVQARSDSVDDHAQHAKMKELAKHRTKQLQEQEEERIRKQKAKALAKLDELNRRSHTVVVSTPKENATSSAVQIKQEDLQPSEAAIVAGKSGVVKSALKTNTNVVCQINDTSFNKVEKPILSSEPPLETHKNSVEEPVLIQNLPQDANSADAMNALQLHNTIASKQKRMSYKQKQSISSERKMSEKVVSTTSAAQKFETGKVVDFTVPSGNVTNEVSSTCGLDLSGNSSAIVEPSVYQKKNRNDKNKHKVEESSSLSTPLAPKEPNILKISVESDKPKAPDFELDQGSFNPASLSKDSNLFSEQYRHLANEESHGKMNSQLKSQHSHRTPRNLQTNRPAEKSHGSDAVMWAPVKPQNKIEVMDEPSDKSTSEAVNTVKSGPHVHNLKNKRAEMERYIPKPVAKEMVQQGSTQQVVSSISQADADEDVRRDVSGSQSPQTVQHTNSDFGKVGSRVESKNRDGRHTKQRKARGSWQQRNLTEPTNVHDMQDALDLDSNSIPNVQRPTEHHLDQKSETSLVKGQRKHFNDSRDHDGLRNPINHDSAASVSVPIIKDHAVTGRGKRGPYRGHKGSRVNHDADHKRNAGDTEKIETHESSAEHSQPDIGAVFKENRGVGESFTSHWQPKSQPSNNQRGNRPTVQSVGSLVVRVNKKDPAPDSESIRAGLDKESNANASQSHHDQSVSEKSKEGEAPHFENLGSRRERKNAPAKRPSLSPNHVSTVEQAPTSVDLRHEQRPSSGFGITGNQNRIGRGNESRRDWKPSTQDNRHYNHQPKNWERQGSNMHYEYQPAGPYDDSKVDHFERPRDGNYGGPRDGSHAGQRDGNHGGGRFRERGHTHSRRGAGNFQRRQGGVD >OIW19262 pep chromosome:LupAngTanjil_v1.0:LG01:6109631:6111433:1 gene:TanjilG_20387 transcript:OIW19262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRELSENLLEVLLEVQVGNCDQPVDRSSTENNADEKFSANISHLGHYSEIAEKSVERFASVISSFRCSSGSRASGLRAPMKDVRNTCDNRPTTVDFRQYAYEPKQKKTRRIGRGS >OIW18143 pep chromosome:LupAngTanjil_v1.0:LG01:25863738:25867062:1 gene:TanjilG_31263 transcript:OIW18143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRRSWLWRRKSSERSPGETESSGSMSSLSERFSDDQVYPAQVTPSPEVTSKVLSNEEQVIDVKTLTDKLAAAILNISAKEDLVKQHAKVAEEAVSGWEKAENEVSSLGHQLDAAKQKNTILEDRLGQLDGALKECMRQLRQAREEQEQKIHEAVANNSHDWESKKSELEGKVAKLEAQLQTAKADTAAPVLSDLHKRLEAVEKENSVLKLELQSRLEELEFRIAERDLSTQAAETASKQHLESIKKVAKLESECRRLKALARKPFSVNDQRSLTASSVYVESFTDSMSDSGERLVTVESESSRLDSSTSALVTELDQFRNEKAIRKNHTVHSTKINLMDDFLEMERLAALPDTETGNKFVGVGVASHKLNVGQGTMEAETEAAVQKNVELEKKLEKMEADKYELEMSLTECQMQLEQSQSRIREADLKVTELQTQLALAKKSNEEANEEIKATKTKKEIAESKLRVAQTEVEELISKISSLEKEVQQERALSAEKLSKCRELENKILSMKREAQVQQDAEILHREGVKSELKLKQEKELALAASKFAECQKTIASLGQKLKSLTTLEDFLLDSDTHIDLTSEVTQGPQNGGAHLKLLHSNLSLPKKDSESQIALKSSVTYQKSRNNGYGNVIPRSKSVSKTRRH >OIW17782 pep chromosome:LupAngTanjil_v1.0:LG01:34383864:34385863:1 gene:TanjilG_06467 transcript:OIW17782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEEDLVDIKFRLYDGSDIGPFRYSSAATVDVLKQRIVSDWPKGKTVIPKAANELKLISSGKILENNKTVGQCKVPLGDIGGGVLIMHVVVQPSLAKTKAVAE >OIW18463 pep chromosome:LupAngTanjil_v1.0:LG01:20461407:20462276:1 gene:TanjilG_13215 transcript:OIW18463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSIPIPSPSSSSSSKGNSNTRKRERERCLKMTHMYNHLQATVPGLFPKASREMIVNKTIEYIKELEEKKQKLEELKDSMLQSTTTSTNCSLKLSISTNNVAFFGIQSLHQPGSITLIFKVFFKHQAQILSANVSVNQGILILAITALSHRSDLTQCFVETKKEIMDIK >OIW19381 pep chromosome:LupAngTanjil_v1.0:LG01:3253420:3264435:-1 gene:TanjilG_03515 transcript:OIW19381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLQLLKLCIIGFALAVQGSKGPIITLSPAILPAIPPIVETPGPIHHGESSESNAPSLPSDPDGFVISPPPSNLPVHSSPSETPGPLHPKESRGTIAPSSPALQNGSFLNPPNTLPPPASAPTPPKIEDTEPSISPSPSFIVSSPPLHEVVPSPSTVQGNVPPPIKSSPPQGEEPAVRPPVPTQTAPAPVAIHFGGSPKISPVSQPSENGTLAPNVDNRNANKSHTAVPLSPAPVATPSTNFANNSAGSPPTEHGTFPPKEAPEAVNLPNISPVSQPIDHGSLPPIVHQRNASTWHIVSPATVAIPPATIEKNSTFSQPTQHGSVPPNVHKGIANKGHNPETLSPESLAPPPWKEEHKPPAADPIVPTITPSILPVPVTSPVTSPASVFPVNQPLVHPIIPAVSPSKLPVPVASPMSTPSRSFNWTKGRPPVTAPSYKKLKPLPAVIHSPAQDQALPPSLPPKSGRRHHVPQPVNPGSSVPPLFSPIHSPKTQALPASSPSIKSVPQPIKSPVFPPKVSPVTSSSKSPKTPPLPQVQALPPPPPNQDCLTTVCSEPYTNSPPGAPCRCVWPMQVGLRLGVSLYTFFPLVTELASEISGGVFMKQSQVRIMGANAANQQPEKTVVLIDLVPLGEKFDNTTASFISDRFWHKRVVIKASYFGDYDVLYVNYPGLPPSPPLPPSSITVIDGGPYSGNGNDGRTIKPLGVDIQKRQHKGGLSRGIIAIIAVSVFLAVILCVAAAWVMFKFRDHESQPASTPQVSQPSVAKAPGTSASVVGGGVGSNSTSFRSSIAAYAASAKTFSMNDIEKATDNFNAARILGEGGFGLVYSGILEDGTEVAVKVLKREDHHGDREFLAEVEMLSRLHHRNLVKLIGICTEDTFRCLVYELVPNGSVEYHLHGVDKENSPLDWGTRMKIALGAARGLAYLHEDSTPHVIHRDFKSSNILLEDDFTAKVSDFGLARTAMDEDNRHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDMSQPPGQENLVAWARPLLTSNVGLETIIDPSLGADVPIDSVAKVAAIASMCVQPEVSNRPFMGEVVQALKLVCSECDEAKEEAGLRSCSQEDLFVDLDVEISPSGQLEDNLERRFSGTNFDTGLDIERGMLASELFNSSARFGRQESESFRRHSYSGPLRTGKSRRLWQIMRRLSGGTVTDNLSLYLNSSFFVQTNGCVIYSYEATTSRKTRSLAQELITGAVASVFLGFGSLFLLLASGVYV >OIW17678 pep chromosome:LupAngTanjil_v1.0:LG01:35274944:35285627:1 gene:TanjilG_29028 transcript:OIW17678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAPEKSQANTNSMQRVKVYRLNDDGKWDDQGTGHAAVDYLEHPEELGLFVYDEEENETILLHRISSDDIYRKQEDTIISWRDPEYATELALSFQETTGCSYIWDHICNVQRNMHFNTLNSEPFHSVNSVLRELPAVELSTLPLIHKTLVDSGIADQLRLTDLILNDQEFFRKLVEVFRVCEDLENMDGLHMIFRIVKGIILLNSTPIFERIFSDEFIVDIIGALEYDPEAPCVQNHRKFLKEHVVFKEAIPIRDPLVLSKIHQTYRIGLLKDVVLARILDEAIGANLNIMIHANNALVVSLLKDDSTFIQELFARLRSATTSVESKTNLVYFLHEFCSLSKSLQMVQQLRLFRDLMNEGIFDVISYVLQCQEKKLVLTGADILMLFSNQDPNLLRSYVVRQEGVALLGLLVKGMITDFEENMHCQFLEILRSLLDSCTLSGPQRDTIVDLFLEKHLGQLIEVIIASCPSENIADANGEPIGVGRSVQHPSGTKPEILSNICELLCFCVLHHPYRIKCNFLLNNVIEKVLLLTHRRERYLVVGAVRFVRTILARHDEHLINYFVRNNVLKPILVVFVANGNRYNLLNSAVLELLEFIRKESLKPMIKYIVDSFWDQLVKFECLVSIQSLKVKYEQCLDNGGTRDAANVVDPRRRTDERALEKEEEHYFNEDSDEEDIASASAPPNEKRQQQPISNGVAASYSQSRSHGLVDYDDDEDDEDYRPPPKKLPESSEEGTMEFLRKKRILPSKDKEPELVKKQKLSKNSTSKDSVFSALYSTLSQGVPPGKKTAINIHTGIQTIEGRMSSSVDTQEGPDVSKSNSGNNSKKTAEDNDVEKETAASRSCSDCLRGTSDNIQLGAEERASVPPNSSPEMAVNGS >OIW19067 pep chromosome:LupAngTanjil_v1.0:LG01:15117831:15119299:1 gene:TanjilG_10628 transcript:OIW19067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVWWFTVAFRLGVSFLTMITQNEATLKESPQFYLPELDTSDGISTGSLAFLLLGEKA >OIW18182 pep chromosome:LupAngTanjil_v1.0:LG01:26655504:26658196:1 gene:TanjilG_31302 transcript:OIW18182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGCATKPKVLKDDSDVKVKAPEPEPEPEPQPPKVEAVVETQVAEESSQPQHVKSVDEIKVPNEPQREVNTVVDDDQTNKQRSLNLLFNKENEDAKVSTENEKTGVKETVKEETLEAPKPLEDIKSNEPIVKQESSKPEENKVVNTEPAKQESPLIASEEKSSVQNNSNNPAEELVKSESKVEKLLEEKQIKDPLKQEFVDAKDTPIVETKNKEPIKENPLLESSNIVQLEAGKPSDGSKDEKAKTLIEETTTKVVPQPEEKVIEALLTDAGSVPKIITEEATFSSKEKNHNVVEHPPKAGDADKIKTLKP >OIW18372 pep chromosome:LupAngTanjil_v1.0:LG01:23576520:23578460:1 gene:TanjilG_31512 transcript:OIW18372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPPIINDSTFSNIWPMHPQNIDASTNNSTTTQNSVYQRKRKNTITPNHLTDSRNKHTKLTEANTSSAATNKLDEQSTKPKQDYIHVRARRGQATDSHSIAERARREKISVRMKILQDIVPGCNKVIGKALVLDEIINYIQSLQLQVEVGTQALDFAGMIFGLQSAWGYAQGSQPGWLHMQIGGGFERAT >OIW19602 pep chromosome:LupAngTanjil_v1.0:LG01:350760:351373:-1 gene:TanjilG_18412 transcript:OIW19602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGLSVVLEAQKGGNVNGFMNSKNTTPQVINKTTLMLNKPSPPPSPAPSSSSSQYSLFQAPTFLDQCFLCRKRLLPEKDIYMYNGDKAFCSVDCRSKQIYKDEVEAIQEGNFSSSSSSSSSSSSARHNRRGARTQGGGSGFAYS >OIW17926 pep chromosome:LupAngTanjil_v1.0:LG01:32598283:32602478:1 gene:TanjilG_17762 transcript:OIW17926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIHYSQSYFEKRPIIKSKAPAVKWVKECCYSFSPQFVLAFFLLRSVVYCANNLKSIVDRVPQDVVATGGKCMLLRWVTEDTLKALKEKEKEPSAPEPEPEPTTEVLFLCSYEGCGKTFIDASALRKHSHIHGERQYVCHYEGCGKKFLDSSKLKRHFLIHTGERDFVCPHEGCGKAFSLDFNLRSHMKTHSQQNYHICPYPDCGKRYAHEYKLKNHIASHHEKNPSVDVTSVSHVTKYTPPSEKQTKTKHSGAAYGSASSDRPYACPYEGCEKAYIHEYKLKLHLKREHPEHDEHAQANADNEMDEASDQDAYGGGKRSNGKVQKQSKPKPNLKLPQSKVAKRKGSSTPSLATLAAIAKKPWPVKEETYDEEDSEETEEDRDNVEDGWRYAKNNEEDDEETEYED >OIW19303 pep chromosome:LupAngTanjil_v1.0:LG01:4935056:4935298:1 gene:TanjilG_24342 transcript:OIW19303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEDNSQCEMKKPQSTEGELMPSCFTHLKFDESKLAYSGIVIYSLWNEIVNVTMNGV >OIW18261 pep chromosome:LupAngTanjil_v1.0:LG01:25266812:25269897:1 gene:TanjilG_20316 transcript:OIW18261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSRGSWVVEADREQQHSNGNNINKDELSSLCGFKPMFEVNEEWYMTNNTNQNNMVFSPNFADTDNILLHSLDSSSSILTNLHSSQVQHFLPPKPTFSSLLNTNPLEHGFDHNGYEVGFLEPQASNSNNTSNNNQLSTLSSYLSLDPHLETMCMNMIPQVPQSSVEFDGFHNLQESSRDGLVLNRSNILKPLETFPQSGAVQPTLFQKRAALWNKNLTDKKSNLSKCEVLQEVNCDKKRKMSNREDHAEGGSFDGSGGGLNNYDSDNEITESIDNNKMEENGKSGGNSSNGNSNISGLDQKGKKKKNGMPAKNLMAERRRRKKLNDRLYMLRSLVPNISKMDRSSILGDAVEYLKELLKRINDLHNELESTPSGSSLTTASSFPHLSPTLPILHNRIKEQLCPTSLLSPNGQPARVEVRVREGRVINIHMFCVRKPGILLSTMKTLDNLGLDIQQGVISYFNGFAMDIFRAEQCMEGHDIHPEQIKAALLDSAGFHNMT >OIW19141 pep chromosome:LupAngTanjil_v1.0:LG01:10939824:10943439:1 gene:TanjilG_03631 transcript:OIW19141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNNSAPELNNGASHAHGGADHGWQKVTYAKRQNKKPNDNKTVANSDKLLTNGTLSGGDGVFKSLELHSEDRRRRIAEARRAADAEFDDDALVRSKQRRHNEYDDEDDDDEVDLSAENGKAEEVKKVKAKKPKKPKVTVAEAAAKIDAADLGAYLVEISGSYENQQDIQMMRFADYFGRAFSAVSGSQFPWVKLFRESTVAKISDVPLSHISDAVYKTSADWINQRSPEALSSFVLWSVDSILTDLGSQVTVSKGSKKAAQQGTSKSQVAIFIVLAIVLRRKPDSLIALLPTLRENTKYQGQDKLTVIVWLVAQASLGDLSVGLYAWARNLLPIVSSKSGNPQSRDLILQLVEKILSTPKARPILVNGAVRKGERLIPPSAFEVLLRVTFPPSSARVKATERFEAIYPILKEVALGGSPGSKAVKQVSQQIFNFAIKAAGENNLELSKEAAGIFIWSLGQSTESYKQWEKVYQDNLEASVAVLKKLSEEWKVQSAKLSPYEPLRETLKNFRHTNEKALATGTDAAHQALFKDADKYSKLILGRVSQGHGCLTCLTFTVLVAAVGGAFVLSPNLVEYLDFKKLSVAFNSQF >OIW17636 pep chromosome:LupAngTanjil_v1.0:LG01:35683298:35685210:-1 gene:TanjilG_28986 transcript:OIW17636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGGRKMKTTSQTSNDVVGNTMVYETIKDDIAENKDTQLEKKEMGEPDLKNSKEVDSKEENHEDLEEEDEDIVVRNGSESTSPDILFPVEAIRHKRVRKGQVQYLVKCSGQGKHRKRKRNKNVATSSRHVPQPMVSADNVDSTKMLNQESYSGDVGEPLTAKKRHDSCDSTSMERVEARTLDQNMQMLVLAAGLIGGGEESEDEPQPQHAPQVQGLDHEEDHVTQPDNMQHVVDEHVQPHTGSSQVELILQCLTQMVNNHQQFRDYVRQRLNAQDQMLKEIIDYFHNHFLPPH >OIW18263 pep chromosome:LupAngTanjil_v1.0:LG01:25293593:25323836:-1 gene:TanjilG_20318 transcript:OIW18263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKDPPTQELVTKDLHGNEWRFKHIFRGNVLLEVIVSPWKIEPAHAPPALNPLSMSRPKRHRPLVVSSSSDSSLLTRERASSKMSVDPLPPSGYPRVFQGNLVEGNKSDNSEKPIVWPYAVDEAEQALFRELWHMCAGPLVTVPRERELVFYFPQGHIEQVEASTNQVADEHIPVYDLRSKILCRVTNVVLKAEPDTDEVFAQVTLVAEPNDMTKDPPTQELVTKDLHGNEWRFKHIFRGMHIKMKFEGEEALEQRTNLTEFIVPYDQYMESLNINYTIGMHIKMKFEGEEALEQRCTGTIVGIEDVDPKKWPDSKWRSLKVKWDETSNILLPDRVSPWKIEPAHASPALNPLSMPRPKRHRPLVVPSSSNSSLLIRERVSSKVSVDHLPPSVYPTVLQGNLVEGNKSDNGEKSVVWPHAVDDEKIDDVFTSRKYGPEGWMLMGTHEKSSDILSSYGTNINLSSHPLLLNQRSHVANPTKKHLLDHEGKVDVMGSPWSAMPSSLSLNLLNSNAKGVQGGDTTNKVQGNLRHSAFGEYAMLQHGYKFEHPRGKLMMLPPPTTQYENPYSRELLLKSISPNTSEVAKPKDGNYKLFGFSLLSSPTKSGTSMSHRNVVNEPLGALHLPSHLYRTFENDQKSEHSRGSKPADVVVVADDQEKLLQTSQSHLKNVQPKPHSTSARSCTKVHKKGIALGRSVDLTKFSGYDELIAELDQLFEFGGELTSPKKDWLIVYTDNEGDMMLVGDDPWPEFCAMACKMSIYPKEDIQKMSPGTLSSKNEENQSASEGADGQETNCQPQHLASYTWYEYRILFLRISGYDVVLF >OIW19623 pep chromosome:LupAngTanjil_v1.0:LG01:674832:676085:-1 gene:TanjilG_18433 transcript:OIW19623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGDDDLHHHHHQHQQHHRQNFPFQLLEKKEDQEAASCSTSSPYPTLAISPTEPSTSNSNRSNQLVATPSTNTSTELSKKPPPKRASTKDRHTKVDGRGRRIRMPALCAARVFQLTRELGHKSDGETIEWLLQQAEPSVIAATGTGTIPANFTSLNISLRSSGSTLSVPSQLRSSYFNPNFSVQQQRRTLFPGIGLSSDNNNSNNNTSTLLNFQQSNNLSMFQAKQELRDGGGGGGQSSSTTLDLSDTNTVEGLGRKRRPIEQDLSSAQHQMGSYLLQSSAGAIPASHAQIPANIWMVAQAAANSNNQVMSHGDPIWTFPQVNNNAAMYRGTVSSGLHFMNYPTPMALLPGQQQLGSSAAGGGGGGNINMNEGHLSMLAGLSPYRTVIGVSESQASGSQSHRGGSDDRHDSTSHHS >OIW19473 pep chromosome:LupAngTanjil_v1.0:LG01:2351590:2357039:1 gene:TanjilG_09493 transcript:OIW19473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSITRSLVHEGQLLVHIAENGHSFELNCDENTLVEEVMRSVESITGISFNDQLVLCLDMKLEPQRPLSAFKCPSNEREVFIFNKARLQNNAQPPPREQVDLPSYFEPPSPPPNHDLHPLDDASDPALKALPSYERQFRFHYRKGNIIYNNTLMKYEHCERLLREQMVQEKAVEVARGNLDQYYRMINQNYGDFMKRYMLQHRIHSDLVVNFGRDVEKLRSVKLHPALQTVNRKCLLDLVKEDQLRKSVENCTSSHKQFENKVSQFKQTFGEVKRRVEELLSTRAFLPMKNLEQAIKENHRYINEQKSIMQSLSKDVNTVKKLVDDCLSSQLSSSLRPHDAVSALGPMYDVHEKNHLPEMQACDHAISKLLDFCKEKKNEMNLLVHSYMQNVTYVSYLIKDQKLQFPVFKEAMARQDGLFMDLKLFHGIGPVYRACLAEIVRRKASMKLYMGMAGQLAERLATKREVEIRRREEFLRAHCPCIPRDVLASMGLFDTPNHCDVNIAPFDVALLNIDISDIDRYAPEYLAGITSRLDKHGSFKVTSALTTDGSHSAEAVYITADSIDRYDSEDSLGDSELVEIAGTSKMEVENAKLKAELASRIALICSLFPEIEYESLDDERVDNILKNATEKTAEALHLKDEYVKHIHSMLNMKQLQCVSYEKRIRELEQKLSDQYEQGQKLSSVNDAAGFSPLVDKGKSEYASGEANLPCISTSEPMDEVSCISNSLDAKLGLFTADHTLDGVDENMLDSSMMEPHREEMQSVHMDKKDKVVGQSGMSLTNSSTAESMPATHDLVPCDSAVFPELGSKADNDKLLELQSALTDKSNHLSETEIKLEAAMEEVTVLKRELEGNKELLDESQMNCAHLENCLHEAREEAQTQKSSADRRASEYNLLHASVLKMRGLFDRLKTCVYSPSGVAGFADSLCILAQSLANSADDKDDDDIAEFRKCICVLADKVGLLSRHRKELLEEYIRMEAAKEQRRKELEEKMEQFKTYYSKHQVEKQANKEKISFGCLEVHGVAAFVLTPSGHYEAINRNYSNYYLSTESVALFADHLPSRPDYIVGQIVHIERQIVKALPPTSTQTEHGRADSLTSDMATDRLTLNSGSTTNPYGLPVGCEYFLVTVAMLPDTAIHSSSPS >OIW17827 pep chromosome:LupAngTanjil_v1.0:LG01:33920378:33921304:-1 gene:TanjilG_02455 transcript:OIW17827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYASTSFTSHFVHEILEHSHSRKLLLQNPLNQTNPPTTSINSHNSTDLYLGSPNFDANVVMILLVLLCAVICSLGLNSIIRCVFRCSNLVINIDSSSSNPSLKLANIGIKKKTLKTFPIVTYSAEMNLPSLDTECVICLSEFDNDDKVRLLPKCNHAFHVTCIDKWLSSHSSCPKCRQCLIETSHKIVGSQATVVPLPVPETVIRIEPLEPEVGAELYESWLELDLLAIMRTPTPTGQPKKVECQL >OIW19516 pep chromosome:LupAngTanjil_v1.0:LG01:2023679:2024755:1 gene:TanjilG_06971 transcript:OIW19516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPSFVVSLLLLSLLIIKAQGIQPQKHYNGESAVLKTSNSDDEEAILCKGNIKNRKLVTTPVSTTYPISKNVNKGGNEVQPLVEANERKVNKFSTISKHDEDHQHKEQYPDLENITEMDYSPARRKPPIHN >OIW18874 pep chromosome:LupAngTanjil_v1.0:LG01:19578508:19586052:-1 gene:TanjilG_25317 transcript:OIW18874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKMQLIIDQWSDEENEVEEVVLQRKRGIQRLSDTIEGGGLQQETIDVNHESILQSGNDIILPPSDSPSISEDPISLKIVKSENGRVGIIKENDEERKELYMERVFEIPPRYLFFCPNCRTNIRNAYIQHREWEPAITKAIMCPNCFVFVIPLLAPIPFGVPALVEEVASNKALEIVKSIVYGGLTELLASLIVVVSAIGTNATIFSSFDSEHYWTSFANLIGGLFIFVHNLQELKAKEPKKAENQTEAPVDRYMELLGHRKNFYVHAFIAILSFIVFGLVPPLVYGFSFSENGDKDFKNLGAVVVASLLFITMLSIAKAYTKKSNTFVEYFKTVIYDVSNGAVSSVLSYFQLTSMLSESQGCNKIHESEPDQSVEVSQSGAKDTTKSAVNIADDSGAQKVAIETNDNGIGSIDGNNVTSQDPKGRVAPRKKHFWGDWGVVGGANEASVPKQQETDSSSKDSDWRVIMAANNKQPEITLEVKVDGEYTDLLGGPGEEPVIDENTALIPSNVTSVASVDASICGGLKQEIIDVNLENILQSGNGYGIILPVSNSPIPEDPTSLNIDRLRCSSCFSFLIPSGTWLFPGLVTDADGVLNQQENVLPFIPSEVPAVIQEVARNKKMEIVKSIVYGGLTESLASLTVFTSAASADATILPPAVYGFSFHENGDKDFKKLIAVVVASLLCITLLSIAKAYTQKSNTFVAYFKTVIYYVSSGAVCLVLSYLAGDLVKKLLEKVSWLEPSSNFGLHVQGMSVQKTEWSSY >OIW18307 pep chromosome:LupAngTanjil_v1.0:LG01:24510429:24514352:-1 gene:TanjilG_31447 transcript:OIW18307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAKTIIEPYTTQVLPEPDVFIHTSGGTRIQGHSTVLASVSPVFENIIDRPCKHRSSERIIQIHGVPCNAVAAFITFLYSSMCDEDEMDKYGIHLLTLSHVYKVPKLKQSCIKGLIQRLTVENVVDLLQLARLCDAPDLRVKCMKLLTTHFKAVEKTEGWEFLNQNDPWLELEILRFMDEHETRKEKSRRHKKEQELYAQLGEAMECLEHICTEGCTDVGPCHVDIRKEKRMPCTKFSTCQSLQFLIRHFATCKKRMNGGCLQCKRMWQLFKLHSYVCQQTDSCKVPLCRQIQLKMQQEKRKDDPRWKLLAKKVASAKVICSLSFPKRKRDEHIRGMNTSE >OIW18267 pep chromosome:LupAngTanjil_v1.0:LG01:25431566:25436255:-1 gene:TanjilG_20322 transcript:OIW18267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSQKLRLMGKDALSDQSISVGSLPQEEPYKSIEEEIIGNLLSDEDDLFSGVIDEYGYSTHARTNDDFEDFDLFSSGGGMELEGDAHLYSGKVTRRWGGDSGLYRGSKGKLPFGEQPCRTLFVRNFNSNVEDNELKALFEQYGDIRTMYTACKHRGFVMISYYDLRAAQNAMQALQNRPLRSRKLDIHYSIPKVNAPEKDIGHGTLMLSGLDSSALNDELRRIFGFYGEIKEIYEYPEMNHLKFIEFYDVRAAEAALHSLNRIGIAGKQIKLEPGHPRQIDITYSKSVFLAVGSGCLENGYNQGFQSAMRQPLNAFVDNAFFRANSSLHNTVGGASAAKVSGIRESSDFADGVKFASNPRFHPHSLPEYHGSLANGSPYSFSSTISNMASNTGIATTEASDRRHIQGMGSTRNVAEVNAGGNGIHAHNGLYHMWNNSSLHQQPSSDVVLWQKTPSLVNGACAPGLPQMPSFARTQPQMLRKPHMDHCWINNHKYTSKMLLVAIDEQCRGTYDFLYLPIDFRAFNGKKWEKFNSEKVASLAYARIQGKASLISHFQNSSLMSEDKRCRPILFHTDGPNAGDMKPFPVGANVRVRPGKSRTGSNEENSGQGSSSTVATGEESAKGKDSSFSSD >OIW18077 pep chromosome:LupAngTanjil_v1.0:LG01:28960373:28962393:1 gene:TanjilG_08547 transcript:OIW18077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILFLQSLLLFLITFVMYIYIRHQRKLDSTKTLPPGSFGWPLVGETYQILFYKIEQFIQDKQKRYSSEIFKTKLLGESTVVLSGSSANKFVSMSEPKLVKVWYLKTQCMLFNLENQTHAAPKHAAIAAAPVKILGFLKPEGLVRYMGNKIESIIDQHFMTHWVGKTELKVYPLVKTFSLTLAYHFYLGIDEPNHIAKFDSKFDSLYYGIYSVPLHLPGTTFYRAMKAATAIRKEIQFLINENIDALSKGLVIDESLLAHIVGAEKDGKYVPKIEISNIIMGLMNSSYTSIAITLAFMIKHIGLRPDIYQKILSEHDGITKSKGAGTKLDWNSIQKMKYTWAVAQETMRLYPTAPGVFREAVTDITYEGFTIPKGWKIFWAISGTNKNPKYFPDPESFDPSRFEGNVPAPFTYIPWGAGLRSCPGQDYTRFVIINFIHNLVTKFRWEVIVTDVKVSGSIAPIPTEGIPICLHHL >OIW18770 pep chromosome:LupAngTanjil_v1.0:LG01:22914460:22916008:-1 gene:TanjilG_13522 transcript:OIW18770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYNGAMIKKHNFFSTLTANNTSEEGSEQKETISVTFVDKDGDENHIKVPIGMSMLEAAHENDIELEGACEGSLACSTCHVIVMDVEYYNKLEDATDEENDMLDLAFGLSETSRLGCQVIAKPELDGIRLAIPAATRNFAVDGYVPKPH >OIW18409 pep chromosome:LupAngTanjil_v1.0:LG01:23214508:23214768:1 gene:TanjilG_31549 transcript:OIW18409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDLQQKLDALKGLVDLLNSVEDDQIENAHSAPPRSNSVSTPTAGRNRANGKYNNTGNQRIKGLSNQTGYTDGNANGAINFGNLNV >OIW19153 pep chromosome:LupAngTanjil_v1.0:LG01:10292914:10295050:1 gene:TanjilG_21009 transcript:OIW19153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWANSRLDRTDKACRMLDKLARQVAPYSEWVLSDSSDSSRASSVMVHYYATCVELFRIPAQYEGSKSPPSLGGRGNVGNNECVPTYVQIYDQKDVRRIYVTLPSRPCRGRVKVETLSQEKGALQKFVGDAIFHAWGNANEEFHLVHSDEDLSWISVDNYVEDGEFIFEELDGIITKLTFPDLNV >OIW18524 pep chromosome:LupAngTanjil_v1.0:LG01:20810420:20812898:-1 gene:TanjilG_13276 transcript:OIW18524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGFMATNSEKLDLTLKLSPCGQSEEGKNLSLSLKRSLSSMAVEKGKKRNVGNGAQEEGLIVACMERSASLPLEDDKGLLRRVKTGPRLMPERRRRVVAAAANGNPFHYVTLAYTEGRGGGGNSEAQRSPDEDANSPVKKLKAENPHLRDDTMEILRKMPTVTTTGGGPNGRKIKGILYSYEKGEVFIVCVCHASFLSPAEFVKHAGGKEVENPMKLITVCPNSF >OIW19234 pep chromosome:LupAngTanjil_v1.0:LG01:7038800:7040820:1 gene:TanjilG_20359 transcript:OIW19234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSNEYSILHNDQLSKFSSSGEEVLQLFQLWQKEHGREYGNPEEESSRFKIFQRNLLYINEKNAKRKSLLQYHLGLNKFADMSPEEFKKTYLHEIEKPSKWDNKKVHDDEDDESCENLPSSVDWREKGAVTEVRDQGNCQSHWAFSVTGAIEGLHKIITNKLVPLSVQELVDCDPASKGCAGGYYFNAFGYVINNGGIDTEADYPYIAKNGTCKENANKAVSIDNLLVLDGSEEALLCRASKQPVSVSLDATGLQSYTGLG >OIW17865 pep chromosome:LupAngTanjil_v1.0:LG01:33434354:33441458:-1 gene:TanjilG_14111 transcript:OIW17865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKGTKRKTNQEKVSKTNQPPRPKRAKPSKPESEPEYFEDQRNLEDLWKETFPVGTEWDQLDTVYQFKWDFSNLENAFEEGGVLHGKKVYLFGCTEPQLVWFKGESKVVCIPVVVAVVSPFPPSDKIGINSVQRESEEIIPMKQMKMDWVPYIPLEGRDSQVDRLKSHKIFILRCTQRRSALKHLKLDRLKKYEYCLPYFYQPFKEDELEQSTEVQIIYPAEPKPVFCEFDWELDELEEFTDKLIEEEELSEDQKDAFKEFVKEKVREAKKANREAREARKKAIADMSEETKSAFETMRFYKFYPVQSPDAPDVSNVKVFCEFDWELDELEEFTDKLIEEEELSEDQKDAFKEFVKEKVREAKKANREAREARKKAIADMSEETKSAFETMRFYKFYPVQSPDAPDVSNVKSPFINRYYGKAHENFGGPGAALSMALGYLSDYILFPFRIRYMSVFIRRIVSTMIASTNWASHGLFMTTLVTELRFSQILESFVYVQQHDAFD >OIW17648 pep chromosome:LupAngTanjil_v1.0:LG01:35527430:35528140:1 gene:TanjilG_28998 transcript:OIW17648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLHFSPLLITISITIFISLLSSPVSSITCENQKLPPQRSFHHCTNLPTFNATLHYTYILNQHSLSIAFAIDAPKSDGWVAWGINPSGPKMFGSGAFIAVKENGTLKVDEYSLISAQVPYKKEKLPYDVKALSVEEVNGVITIFAVLVVPEKAENLSQIWQVGPVVGGVIKGHAAEKVNDDSKSPLTFEKDADKADSPNTHDDKKTSGGVVVMMRKSGFRFYFGLALVLLGLMSM >OIW17635 pep chromosome:LupAngTanjil_v1.0:LG01:35709922:35710969:-1 gene:TanjilG_28985 transcript:OIW17635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNGKDKHDESNERGLFSHMTGYGYPSAPPYPYPPPPGSYPAAGYPPPGGYPPTAYPPPGGYPHGGYPPSGYPHAGGYPHAGYPHSGYPPAGGYPPSGYPHSGYHHQPSAYPPSHGYPGAYPSGHGVGMGGGLLAGGVAAAAAAYGAHHLTHGGGHHYGYGHGHYFGHGKFKHGKFGKRWKHGRFGHGKFKHGWK >OIW19169 pep chromosome:LupAngTanjil_v1.0:LG01:9842460:9847099:1 gene:TanjilG_13951 transcript:OIW19169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPIRISRKRKSSSSSRSHPLSGRLTRSKSQIFLHRNRSGHLHSDSRRRQQPYARSRRSRSRLTRCLTEVRQGSPENDVNNEYDDDVMVLIKDLRKKRKGKPNGEDSNCDGEDVSRVLIKDIRLRRIYSTQSSGGCSDREGMVDSDCGDQNVGQGIDEMVYGLQNDQKCDGKNDENCSEKIADLSEEMVLTTPHDANVCDNSDVNGGEGKTGEEARVGVENTEKNVLRPCSQENLFKTPATISYKRQFPFLMEIMGDDFGTSKLGDCQKNEKGVNGGQEFQLPLSSQSQEGSKCELKADSCTILDTCQSGASECDALIAHVDELYHDNGSKLQSREVTSECLSVSSLNDICLSESKVRDAGNSLHNDDFKQGSNNKRNLDHANATNHCGSMSEQFGVLNEECILTTPLDAMICDNLEVNLSQLQRMPQDVHHVKPMGLARSTPENSGEVFCVTADKRKDPVPKSKSVPRPILNRKLFKTPGSISYRRMLPFLKDFTKDDSVISEFCHLTLHKKDEIHMYAEKFEVPLSSQGLKASIEEHKTDSGHKHGTVKYNALVNNVLVDPANELSHSNQPQLTPSPGILESPMQLDAKGVNGLSAPSPSEHIEKVEIGSKDVCLSDLKFDLCLVKSTTPEAQNLLYINDVSSLALENCSSKQNGLTIDCDERKQFEGLEKRESFIRNPPEGQGLNHLDPNMLDVKKEAGRKIIYEKSDMTKHASEKEENDLNGIVYGPSVSSRGSNNGSGNCVGENRNGSESKALLAINRCSREKLLKHAGSFSYKRMLPFILNTMKDNPRVSVIDHYPKHQKCLDQTPLLAVSASDLQVIPISGSDDCRGNSGTQQETGLHAHGLNNDSSSPIPQIPESQSSHDSCKVIQLQDEQVVLNGSCNLKSSPDPSISVHKIDSPIMPLGPTINKVITREEETMSPIPLSSIYPELKGNSSVLISYNGGKTPEAPKYCQSLSQHKVLDQIRVPAAGFKKGILKKNPKGCRGLCTCLNCVSFRLHAERSFEFSRNQFLDAEEVAQDLIKEISDLRNMLERSSVSVDGNPVVCAREVEEACQKAFATEQLAKDRLSQMNDDLNIHCRVTSLQRPRVKFTDHVEEKVIQP >OIW18026 pep chromosome:LupAngTanjil_v1.0:LG01:30798877:30800698:-1 gene:TanjilG_07610 transcript:OIW18026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTSRRLADRKIVKFDKNITKRGSVPETTAKKGKDYPVGPILLGFFVFVVVGSSIFQIIRTATTGGFA >OIW18159 pep chromosome:LupAngTanjil_v1.0:LG01:26102152:26105708:1 gene:TanjilG_31279 transcript:OIW18159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGTTTLLHSPPPKDLKDENGAIIFDKHVLQELAHIPKEYYWPTKDLVATSQEELNEPLIDIGVLLKGDEAAIANAAELVRNACMKHGFFQVINHGVDQSLITKVYEEMNHIFSLPLSKKELARNIPGTLEGYSGAHAEKYSTKLPWKETFTFKYSHDEESESEVVDYFKSKLGEEFQHAGSVFQTFCKALKQLCLMITELLAISLGVDRLHYQNYFLDGQQTMRLNSYPPCKENNLTLGNGPHTDPTSLTLLHQDQVGGLEVLVDGKWLPVRPRPDAFVINLGDTFMAFTNGIYKSCMHRSLINKEKERMSLSCFVNPRKDNIVKPPESLYGKDAPRKYPDFIWSDLLNYTQTHHRVDETTLESFLKWIASSNPSN >OIW18360 pep chromosome:LupAngTanjil_v1.0:LG01:23721019:23734887:1 gene:TanjilG_31500 transcript:OIW18360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKIRKRKQVEREQQHPKSKSVRGSPKPTTTTTGKDPYPFHTRPDPQECQAIRDILLSLHAIPPELAKYRELKSPNDTVQQETVLDGLVRTVLSQNTTETNSQRAFDSLKASFPTWEHVLRAESKDLENAIRCGGLAPTKASCIKNVLRLLVEKRGKLCLEYLRDLSIDEIKAELSLFKGIGPKTLDDFPVDTHHDELKPLSKTFTNSLSELGNLKLEHLPQDYNGSALTLIESLSSLLIMGNNTEFERAVLWLSENLTFDVDARINLFECNIRVLGGLVSAHLLASDSSKGLFQGAYKNQLLVLAEDLGKRFLPAFNTPTGLPYAWINLKSGVMENETTETSTSGCGSLILEMGALSKLTGDPRYELAALRALHKLWSMRSSLNLFGTTLDVATGEWIEFSSGIGAGVDSFYEYLLKGHILFGNEDLWRMFHSAYLAVQKYFRYGPCVGACDNLRVIQVQVLTGDVIAANSSHREFFHVWQRFGVLPERYLLDHQMLHPTEKYYPLRPELAESTFYLYQATKDPWYLDVGESIINSLNSYTKVKGGFASIKDVSTMQLEDHQHSFFLAETCKYLYLLFDDSFLLERNYIFTTEGHPLPVLSTWHERLPETYIPTNWTFMKRQQEANRISSMSLQVCPAMNLISGHHIKSVCHIPDSRSDFRCMTDEDCGVDSTTCRRRTTVFEVLLFEARFGAGVVRKVSSDLKKEKEEDGELQLPNELPIVRDGNRRLMQDIDCGGLCKSRCSVHSRPNLCTRVCGTCCVRCKCVPPGTYGNRELCGTCYTDMTTHGNKTKCP >OIW19361 pep chromosome:LupAngTanjil_v1.0:LG01:3417461:3421087:-1 gene:TanjilG_03495 transcript:OIW19361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENLFAGLPPPSINTVPSPQHEEETPIIATNTVPPPKSILKSSLKRPNPTQNDTTQGSTLMDSQDNAAAPRRKLKFAPKAPSLRKPKPEVKTEVVDNDDANQARDLLRRFNENSMKARHKVEKKVSASQIAFGDGGEASSFKSYGFAKGGSNINGSQSSASNGLLQLLSYNSSCEEVRRPYAGNPETLDEEEFGEAAESRTYDENSSNPAMELGLLEENPKKSMFLIQLPASLPIFKGSAGGEDANENSKPSKGSKNATKPCKLNELPSGIMGKMLVYKSGKIKMKLGDTLYDVSPGMNCMFSQEAVAVNTAQKHCCTIGEIGKHVTVTPDIDAVLDQLTDL >OIW18571 pep chromosome:LupAngTanjil_v1.0:LG01:21208216:21208842:-1 gene:TanjilG_13323 transcript:OIW18571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANQEKQHRRGFMYIYKGGIGNQRKKELEHVVEQGKKSNSLSWLQRISRIRTSNVENGGHRSLDIGKETPPTEGGGFVEARKSTSWLELGSREGLVKGRKSMSSCIEIASKEIIVEEGRKSMSYIKTNSSKAGEFVEARKSVSQIETLSYELKHLKVKVLVSDMPSFMQVHAFHCAKRTYNSLEKFSSKHIAHNIKKVCFNFYAIPLL >OIW18094 pep chromosome:LupAngTanjil_v1.0:LG01:28640587:28641309:1 gene:TanjilG_01183 transcript:OIW18094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYHPSHFPRRVDFERYQGEANRKILDEQRVELEMGIMTLSFSKFWGGETEKVSWARGKVVHFDRDTINDYLGNPYAASSDDRDDFQKMKHDGVSWYRAHVSHTSDLPMAMAYLAFCIMDKRLVDVAAILLDELYQFVVSESSKVWRGYQTPRLSWADHGHV >OIW19514 pep chromosome:LupAngTanjil_v1.0:LG01:2045304:2047191:1 gene:TanjilG_06969 transcript:OIW19514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCAKEKGEQVAQNTENIGDRRVSWPLHCDILHAHMDNLEKDSTFTTSIANSLPLESICENSEIADKKQNLMSFVPVLRSGEWSDIGGRPYMEDTHISIGDLAKKFGYNMLCEETVSLYGGGSLLVANAGDCRAVLCHSGKAIEMSKDHRPFCIKERKRIESLGGFVDDGYLNGLLGVTRALGNWHLEGMKENSGRGGPLIAEPEIKMATLTKEDELLIIGSDGIWDVFRSQNAVDFARRRLQEHNDVKQCCKEIVEEAIKRGGTDNLTVVIVCFHPDPPPPVVVERPRVRRSISAEGLQNLKCLLEG >OIW18913 pep chromosome:LupAngTanjil_v1.0:LG01:19160396:19164404:-1 gene:TanjilG_25356 transcript:OIW18913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRSKYLPPNGVDHDGENSRTKSSRHNFEPNKEYPDEKDVEFLRDSEVVDKILHSKLNLVEKEKSLLNYSMEKVSQKGKEVVAFSENSNQDRKWVERDFLRLSESKENSSKRTIEEEEVENESYSEKKQKLETLNLSLALPNVSLSLTASNALQNKSTMPLTTTHTSFSNDYTSHSLSYSFSHQFSHNPSCSITHNSTENFDYSVSKDDPIWNCDEGTNGSVHSRFKPIGDSGGVAFSNYGASGFSSFMQQGNNSQNKTTSSGNHSFFPSELHARTRFETHSEDSRGRNSENFRVLDGLDCGKIMKFSRPERIIGEIVSESIPAMAVTIQELTDEVIASTKEYLKNLIEKGEKKEEFVSLQNRLERRSDLTKESLSKCHKVQLEVLVAVKMGHASFLSGKVNLSEMVEIFLYMRCRNVNCKSLLPVDDCECKICSGNKGFCSSCMCPVCMNFDCANNTCSWIGCDVCSHWCHATCGIQRNLIKPGPSLKGPSGSSEVQFHCIGCGQVSEMFGFVKDVFVCCAKDWGIETLMKELDCVRRIFRGSEDRKGKELHVRTDDMLLKLQTKTVAPSDACNYIIQFFSYADSMSDFPAPCISSKDLATTQAKHSKENPSFPKYPYDISYSRSQSDAHAMSNDHPQKDLKASLLSELKTEADFHLGALLRKGGVESLESVVRIKEAEARMFQTKADEAKREAEGFQRMIRTKTAQMEEEYAEKLGKVCLHETEETRMKKSEELKVLEINHYDYYKMKMRMQDEIAGLLERMEATKQQWI >OIW19440 pep chromosome:LupAngTanjil_v1.0:LG01:2688844:2689351:-1 gene:TanjilG_09460 transcript:OIW19440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPELLSGKSTMVTEKIDVYSFGIVMWEVLTGDEPYADMHSASLIGGIVNNSLRPRTPTWCDPEWKSLMESCWASNPTERPSFSEISKKLRIMAAAMNLK >OIW19240 pep chromosome:LupAngTanjil_v1.0:LG01:6833833:6836582:1 gene:TanjilG_20365 transcript:OIW19240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYFLAEVKDHSSHLEFVATSSSVPLASVIQYFFLLGIQYTSATFACAFLNMVPVITFIVALPFGLETVNIKLNSGKAKIVGTFVCIGGALLLTLYKGKPLFNYSKSYYESAAPASPATSSTGKWTIGVIALILGTLFLSSWYILQSKIVKKYPCKYSSTAIMTFFGAIQSAVICLSISRNLSIWVVKEKIQMLTILYAGVVGSGLCFVGTSWCVKKRGPVFTAAFSPLVQIMSAMIEIPLMHEQLHLGSVMGSIMVMMGLYLLLWGKKQGDAESSDQIIPRS >OIW18649 pep chromosome:LupAngTanjil_v1.0:LG01:21891786:21892358:-1 gene:TanjilG_13401 transcript:OIW18649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNSSLVKQEFLRKWIRGFRKYSSQKKNMNMVERKKAIKLSADVAMASTRNETTRWSQALIANASRDGNNKVLTENILDSSSQHSEKLVRKNLMNLSPLCSKRVRSRKVLRRSRTMIHKSSKERLMTSSIAKRLKVQKRTRRLKNLLPGGEFMDDVSLVEETLDYIQSLRAQVEVMRCLVTASELFINPS >OIW18044 pep chromosome:LupAngTanjil_v1.0:LG01:29857264:29857650:1 gene:TanjilG_07535 transcript:OIW18044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKMVRDPRIMKKARAEVREVFNEKEMVDEIYMNELKYLKSILKETLRLHPTTPLLIPREYGEACEINGYHIPIKSKVIINVWTIGRDPNYWTQPERFYPERFIDSSIDYKGSNFEYILFGARRRICP >OIW18480 pep chromosome:LupAngTanjil_v1.0:LG01:20568755:20572765:1 gene:TanjilG_13232 transcript:OIW18480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMGTVACVIFLIFTTVFCSDSCFALTQDGVTLLEIKSTLNDTKNVLSNWQELDESPCTWTGITCHPGEQRVREINLPYMQLGGIISPSIGNLSRLQRLALHQNGLHGIIPNEITNCSELRALYLRANYFQGGIPSNIGKLPFLNILDLSSNLLKGAIPSTIGGLSHLQVLNLSTNFFSGEIPDIGVLSTFGNNSFIGNSGLCGRQVQKPCRTSLGFPVVLPHAESDEAVGKSQLHAVPTKRSSHYMKGVIIGAMLLMGLALVVILSFLWIRLLSKKERAVRKYTEVKKQVDPEASAKLITFHGDMPYTSSEIIEKLESLDEEDIVGSGGFGTVYRMVMNDCGTFAVKRIDRSRKGCDQVFERELEILGSINHINLVNLRGYCRLPTSRLLIYDYLAMGSLDDLLHENTEQVLNWSDRLKIALGSSRGLAYLHHECCPIIVHRDIKSSNILLDENMESHISDFGLAKLLVDEDAHVTTVVAGTFGYLAPEYLQSGRATEKSDVYSFGVLLLELITGKRPTDPSFVKRGLNVVGWMNTLVKENRLEEVVDKRCKDLDAGSLEVILEVAARCTDANADDRPTMNQVLQILEQEVMSPCPSEFYESHSDHS >OIW18999 pep chromosome:LupAngTanjil_v1.0:LG01:17743625:17744587:1 gene:TanjilG_20272 transcript:OIW18999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPERSTVTWNVFISGLVRWGEVGLAHSVFNLMPVRSVVSWTLVIDGYTRANQPMKAIALYRKMVGVDGIEPTEVTLLTIFPAIANLGSIKICQSVHGYVEKRGFNAFDIRITNALIDLYAKCGCIESASRFFKEIPDRRKNSVSWTSAISGFAMNGMGREAVECFESMVKAGLKPNHVTFLSVLNACSHGGLVEEGLEFFNKMVKDYQLVPEIKHYGCLIDMLGRAGRLEEAEKVALQVPLEVTNAVIWRTLIGACNVYNNVEIGQRVTKKVLEMERGHGGDYVLMSNILTGVGRFKDAERIREVLDKRIAFKLPGYSFL >OIW18636 pep chromosome:LupAngTanjil_v1.0:LG01:21804055:21807765:1 gene:TanjilG_13388 transcript:OIW18636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGITTTTTTLLLHTQINKPSPLSSSHSKLFGFTFSIPKFDSYVPLTYVNVTSRYGGGGGGGGSRSFDYRRKNNDDDDDEGALDISSIRSGTVRLIDQAQNMVGVVSRSQAIQMAEDAELDLVIVSPDADPPVVRIMDYSKYKYEIQKKKRGQQKKSAASRMDLKELKMGYNIDQHDYSVRLRAAQKFLKDGDKVKIIVNLKGRENEFRNIATELLRRFQNDVGELGTEEAKNFRDRNIFIIMVPKKATLQKAQEPPKKKDKSEADEVSVSVRIT >OIW18920 pep chromosome:LupAngTanjil_v1.0:LG01:19088659:19089825:-1 gene:TanjilG_25363 transcript:OIW18920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLWKKASGLLKDKNSIIVARFSGNGPFRNPDLETVIIKATSHDEQKIDCKNVQRVFHWIRVSPLYLKPLVMAISIRVRKTRSWVVALKGLVLMHGVFTVDIPVVQRMGKLPFDLSNFSDGHMSPEKAWGFNAFVRAYFAYLDQRSCFVSYEAKKMSNRIKQRNHKDAEVEETLMEELEKLQKLQGLIDRLMQIKPGNMNMNVGLILEAMDCVIVEVFDIYSKFCKMIAKVLLRIYDIGGKVEAGIGLNVLQKATIQGDELTLYIEFCRQIGVLNASQCPKIQRIPEEDIQDLENIISGAFHKKKMEGNGYGYGGVNNEDKAIVVVRNCENDSENGLTTVITHQWEVFDDKENNIVTTINPFEESSSYKTLVPSSVQNQIVLPDLISF >OIW18292 pep chromosome:LupAngTanjil_v1.0:LG01:24685151:24687889:-1 gene:TanjilG_31432 transcript:OIW18292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSAKSASPFLANLPNRGVLPSTAVSSNSGGMRVYISVGDTSPPEGQLIKTNQQNILIRSLTLKKQKDASESSRKRPAEKVMESKTPAKRANSQINSLQDGSSSQTSHRDFQNLTVERLRALLKAQGLPTKGRKDELISRLKEANS >OIW19209 pep chromosome:LupAngTanjil_v1.0:LG01:7826687:7832084:1 gene:TanjilG_20334 transcript:OIW19209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGELKDTEAYEEELIDYEEEDEKAIDSSKPAAESGKKGYVGIHSSGFRDFLLKPELLRAIVDSGFEHPSEVQHECIPQAILGMDVICQAKSGMGKTAVFVLSTLQQIDPVAGQVAALVLCHTRELAYQICHEFERFSTYLSDIKVAVFYGGVNIKVHKDLLKNECPHIVVGTPGRILALARDKDLGLKNVRHFILDECDKMLESLDMRRDVQQIFKLTPHDKQVMMFSATLSKEIRPVCKKFMQDPMEIYVDDEAKLTLHGLVQHYIKLQESEKNRKLNDLLDALDFNQVVIFVKSVSRAAELNKLLVECNFPSICIHSGMSQEERLKRYKGFKEGKQRILVATDLVGRGIDIERVNIVINYDMPDSADTYLHRVGRAGRFGTKGLAITFVSSASDSEVLNQVQSRFEVDIKELPEQIDTSTYNRKCNIYFVLCSAELMEFWMASGWIS >OIW18153 pep chromosome:LupAngTanjil_v1.0:LG01:26020865:26024443:1 gene:TanjilG_31273 transcript:OIW18153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLSDHGGDGGGGRTVLVAVKLDHRSRELLTWSLVKVAEPGDLVIALHAIDTGTEDDTASLLSIVKTFDSVLTAYKGFCNLKQIGLELKVCRGVSVRKLVVQEAKSLDIAAVILGTKKTSHPIQSSASVAKYCAKKLPKRVSVFAVGNGKVAFRREPTGMCEPQGEKFEININFIIMTVKLNESPKLLNKSLASYTKKRLKNCESCTWGLSSQENSATKLNQGFSNGHEEESSLALIPIQKLDDVPNYSVVVCKSNRSKPAWSFLRKVFLPKNHTQKSPLENSSVFQQASRQPNCHSSDVVHQENKLKTGQNDKSTLDGESGAIVPFGSDDIILPPSLCSDLSGLAKDLLVLREKYSSTCRLYSFQELAAATANFSHENLVGTGGCSHVYRGCLLDGKELAIKSLKSSEDVIKEFVHEIEIVTTLHHTNIITLSGFCYEGNNLLLVYDLLSRGSLEQNLYGNKNDCNAFGWQERYKVAVGVAEALNYLHNGCTHAVIHRDVKSSNILLSDDFEPQLSDFGLASRVSSSHSICTDVAGTFGYLAPEYFMHGRVTDKIDVYAFGVVLLELLSSKKPINNESPKGQESLVMWATPILKDGKLSQLLDPSLGSDYNQCHIERMVLAATLCIRRSPRLRPQICLLLKLLHGDVEVTRWAEQEVRVSHELVDGCDGELVSNNILSHLDLALLDLEDDTDSISSTEQTLSFEDYLRRRWSGSSSFG >OIW19595 pep chromosome:LupAngTanjil_v1.0:LG01:318645:319394:1 gene:TanjilG_18405 transcript:OIW19595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLGVKNKGKSDKKKMKHESISTIKSLPRELLVEIMAKVASASVLDLCKVKLSCKDFLHASEDDYVYQHVSMDKFALVPLPWFINEKESSFLKRCKDSGNSEITYREGMVEYFSSLKIDSGLDNLKKATLQGHVDSKYVYSMILMCSKEEKENKVGFDLFCSLRTSTCVMRCRKRVKSFIRSMWVNNHVVRSNQECLCHSSTCESKERLKKLSRMSLLIQDEDDSTIASCQYCHADYELCFFYKLFEI >OIW18370 pep chromosome:LupAngTanjil_v1.0:LG01:23582967:23586972:1 gene:TanjilG_31510 transcript:OIW18370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTSQSQKKNLHSLLLTNLTIPSSSSPSSSNNDLDFDFSHVFGPNPSPSPSTNVPTPHIIHNRSHSFVGPSPRLPTSLFPLPELASDSEPDDDEIEKRVFEEEKVVPTHEGKIGPLDFLIVRMVGQGSFGKVFLVRRKKGDDCLEGDGVFAMKVMKKDTIIKKNHVDYMRAERDILTKVVHPFIVQLRYSFQTKSKLYLILDFINGGHLFFHLYRQGIFSEDQARIYTAEIVSAVSHLHKNGIVHRDLKPENILMDADGHVMLTDFGLSKEIDEMGRSNSMCGTTEYMAPEILLGKGHNKNADWWSVGILLYEMLSGKPPYTHTNRKKLQEKIIHEKFKLPPFLTSEAHSLLKGLLLKDPSTRFGSGLNGDEQIKSHKWFRSINWKKLEARELQPKFKPDVFGKECTANFDQCWTEMPPNDSPASTPTAGDHFQGYTYVAPNPWLPSG >OIW18388 pep chromosome:LupAngTanjil_v1.0:LG01:23410966:23411807:1 gene:TanjilG_31528 transcript:OIW18388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVFTFENESTSTVAPARLYKALVTDADIIIPKAVDTIQSVETVEGNGGPGTIKKLTLVEDTVEKISIETKLFEGPNGGSIGKVTINIQTKGDAQPNEEEGKAAKARGDVFFKAIESYLSAHPEYN >OIW19140 pep chromosome:LupAngTanjil_v1.0:LG01:10982156:10983126:1 gene:TanjilG_03630 transcript:OIW19140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVFEGGYSSSENNAKVFPDPPPSFATLSSRRHRLIPGRCWRKKSSFILELCPKVDMVEDSSDRLVLCGQMEEERRKCLVGGMLGSFFVMSLSGMVPQEGLVTSDCHYH >OIW19392 pep chromosome:LupAngTanjil_v1.0:LG01:3194589:3198948:1 gene:TanjilG_09412 transcript:OIW19392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMYEQQQFQQPMLKMDMRKKMERMSKSIEEEKLEMLDLSGMSLESLPSPSINLATICKLNLSNNNLQNIPESLTARLLNLMVLDVHSNQLRSLPNSIGCLSKLKILNISGNLMESLPKTIQNCRALEELNANFNKLSQLPDTIGFELINLKKLSVNSNKLIFLPRTTSHLTALRILDARLNCLRSLPEDLENLINLETLNVSQNFQYLDSLPYSIGLLLSLVELDISYNKIKSLPDSIGCLKKLQKLSVEGNPLTSPPPLVVEQGLHAVKEYLCQKMNDGYQSPTKKKSWVGKLVKYSTFNGYVRSGARERDQEREAFIMPEYRTMDGLASPRYMGMFSPRRLFSPRTYFSN >OIW18896 pep chromosome:LupAngTanjil_v1.0:LG01:19354196:19365097:1 gene:TanjilG_25339 transcript:OIW18896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRAVNSSSFFSFSTPPLPSALRFSRSAAFHFSSRHRHRFFSLYGSSGGGQIRSFQSIFESVMEELQAMRKRRKRVSATSNMGLLNEELLEDRLVNRSLKKGLLLEFKKDSDRVLLAVAQRPDGKKNWMVSDQNGVASSIKPQQITYIVPGIHNFDEADITDFVQKAQDNMDLSLLEFAWVELLEKNKSVTVEELAEMIFGSAEPIDSYCAHLLLSKDEIYFTVLETKGPRSIYGPRPSEQVEELIRRKLVKEAAEKEFNEFIELLASAKSMPSQDKPPKSSWMDEEKIRSRIEALEAYAIDACTSDEQRKTAGMIFKEMGLAKTASSAVNLLVDIGYFPVHVNLDLLKLGIPTDHSEEIISVAQSLLSNSYDQDEINRKDLTHLKVYAIDVDEADELDDALSATKLQDGRVKVWIHVADPTRYVEPGSIVDREAMRRATSVFLPTATYPMFPEKLAMGGMSLRQGEICNAVTVSVVLHDDGSIAECSVVNSVIKPTYMLTYESASELLHLNLEEEGELRILSEAANLRLNWRRQQGAVDTATLETRVKVSNPESPEPPINIYVENQADPAMRLVSEMMVLCGEAIATFGSRNDIPLPYRGQPQSDINVSEFAHLPEGPVRSFALIKLMRAAEIDFRKPARHGILGIPGYVQFTSPIRRYLDLLAHYQVKAFLRGEHPPFSSGKLEGIGAAVNEKFRAVRKLCSSSLRYWILEYLRRQPKERRYRALVLRFVKDRNAALLLIEVGFQASAWVSIGTQIGDEILVKVEEADPRDDIIYVKEVIKT >OIW18058 pep chromosome:LupAngTanjil_v1.0:LG01:29317683:29318597:1 gene:TanjilG_19290 transcript:OIW18058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLQLKGCKYCNQLPPLGQLPQLKELRIIKFDGLVTLGSEFYGSGTSYVTKSFPTLEILSCDSLKFLPLDHFPSLKSLDVWGCKNLEALTVSESDVTTTTLNSLQFLCVRHCPNFMSFPKGGFAAPKLTLLTINYCDKLNSLPEKMHHLMPNLKELQLQGCPKIESSTMTTLKIKICNKLNEGKQNHSDPLFARLEGLASAQSPSSS >OIW19443 pep chromosome:LupAngTanjil_v1.0:LG01:2664824:2665336:-1 gene:TanjilG_09463 transcript:OIW19443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHSTHYSLAITLFLLLVLTTHATIPGQKKHRSLADRFLTPQNAARSAVQLRPLVWDTKLAQYAQSYANQRRNDCALEHSNGPYGENIFWGSGSGWKPAQAVKAWVEERQWYNYSENSCADGQMCGHYTQIVWGTTTKVGCASVTCNDDKGMFMTCNYDPPGNYYGERPY >OIW18668 pep chromosome:LupAngTanjil_v1.0:LG01:22056449:22056928:-1 gene:TanjilG_13420 transcript:OIW18668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFHHPNTSLHLCQPDENNPLNLDLLLDPSSYSPPISLMEPRIFSCNYCQRKFYSSQALGGHQNAHKLERTLAKKSRELSSAMQAYVGEGQEQRPNFIANSHQNHHLGRALGVMENQRQGTRREFSYGFKEGVPSWSRGYNNENNVKEDVSQLDLSLRL >OIW19289 pep chromosome:LupAngTanjil_v1.0:LG01:5600314:5608683:1 gene:TanjilG_20414 transcript:OIW19289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSILRRRSQIDRYVNGSGSILRRSIAAKNSTTTTSRCSSNGFDILSHLKPVAVNGFGLGQYAGKFYGVARYGHRESKVEFNPLLGARLISQSAAAAAKQPEQDPENDDTVAKKRKEASPEECDQAVEGLSSVKAKAKAKRLQESGKDVQSVLQRVWATLLGIGPALRAVASMSREDWAKKLVHWKDEFKATLQHYWLGIKLLAADVRISSRLLLKLAGGKSLSRRERQQLTRTTADIFRLVPVAVFIIVPFMEFLLPVFLKLFPNMLPSTFQDKMKEQEALKRRLKARIEYARFLQDTVKEMAKEIQNTRSGELKKTAEDLDEFLNMIRRGSRVSNEEILGFAKLFNDELTLDNISRPRLVNMCKYMGISPFGTDAYLRYMLRKRLQRIKEDDKMIQAEGLDSLSEDELREDCRERGMLGLLSVEEMRQQLRDWLDLSLNYSVPSSLLILSRAFIVSGRYKPEEAVQATISSLPDEVVDTIGVTSLPSEDSVSERRRKLEFLAMQEELIKEEQEREKVEQARMEINSGEEDKALKEMNIATANEAHQLARDRASENKEQLCELSRALAVLASASSVSSEREDFLRLVNKEIELYNSMVEKEGTDGEKDAFKAYKAAREEHDHATESDEGHEVSSALIERVDAMLHNLEKEIDDVDAKIGDRWRLLDRDYDGKVTPDEVASAAMYLKDTLGKEGIQELISNLSKDKEGKILVEDIVKLGSWKEDGNAGEGETR >OIW17659 pep chromosome:LupAngTanjil_v1.0:LG01:35437556:35440674:-1 gene:TanjilG_29009 transcript:OIW17659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRTDKQQHQHQHQISLTKSARQRCNEWIFRDVPSDITIEVNGATFSLHKFPLVARSGRIRRLVAEHRDADISRVELLNLPGGAECFQLAAKFCYGINFEITSTNVAQLCCVSDYLEMTEDFSKDNLGSRAEEYLDSIVCKNLEMCVEVLQQCESLLPLADELRVVSCCIDAIASKTCAEQIASSFSRLEYSSSGRLHMSKQAKCDGDWWIEDLSVLRIDMFQRVITAMKCRGIRPESIGASLVSYAHKELTKKSSLWNPSSCQSKVDSISSAHEKLVVETIVSLLPVEKLAVPINFLFGLLRSAVMLDCTIASRLDLERRIASQLDVATLDDVLIPSFRHSGDNTLFDVDTIHRILVNFCQKEDSEDDLDDASVFESDSPHSPSQTALVKVAKLVDNYLAEIAPDANLKLSKFLVIAETLPAHARTDHDGLYRAIDIYLKAHTGLSDLDKKKLSKLIDFQKLSQEAGAHAAQNERLPLQSIVQVLYFEQLRLRNSLSSSYAEDDHKPAMHQSWRINSGALSAAMSPKDNYASLRRENRELKLELARLRMRLNDLEKDHVCMKRDMAKSRSRKFMSSFSEKIGKISLFRHSSSRGSSSPSKNSHKTDTKVINRTCASTE >OIW18242 pep chromosome:LupAngTanjil_v1.0:LG01:25707742:25710685:-1 gene:TanjilG_06326 transcript:OIW18242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQEAPIPITTSITPKEEQVVITHVVSSDKTETPTVVVVAEKEKEKEKDSEEVEKPLKPLVEETIILETHCSEPKNNDEQVPEPGLLKEESTKLSDLHENQNKALLEFKQLIQEALNNHHFSSPPTKEQHYEKKEKQAEPEPARETTTTTEEVATKTEEKGEEKEQVKETEAVIEDKEAVTVAAISSIDEDGTKTVEAIEETIISSVQPEQPPPPPEEKVSDTETKETNKVDEASSTPLAPEQVSIFGVPLLADERSDVILLKFLRARDFKVKEAFTMISNTIQWRKEFKIDELVEENLDDELEKVVYMHGFDKEGHPVCYNIYGEFNSKELYKKTFSDEEKRDTFLRWRIQFLEKSIRKLDFNHGGICTIVQVNDLKNSPGPGKWELRQATKQALQLLQDNYPEFVAKQVFINVPWWYLAVNRMISPFLTQRTKSKFVFAGPSKSVETLLSYIAPEQLPVKYGGLSKDGEFGNTDVVTEVTVKPATKHTVEFPVTEQCLLSWEIRVIGWDVSYGAEFVPSSEGSYTVIIQKARKVASSEEPVLLNSFKVGEPGKVVLTIDNSSSKKKKILYRLKTKPSPSD >OIW19275 pep chromosome:LupAngTanjil_v1.0:LG01:5770227:5770643:-1 gene:TanjilG_20400 transcript:OIW19275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSAPWDEERISKKLNLPPSDFCSSPDYTGPQTYTSMNTSHGAVHAALLDMHLTVPRVATADMGIISHKASCPTPFQTSSGAQTSPVGMDQAHSTTNHPNLEDKVYPEEIGNDRGPVIGDRPTCTRAPPSWHKDFAME >OIW18468 pep chromosome:LupAngTanjil_v1.0:LG01:20485447:20485755:1 gene:TanjilG_13220 transcript:OIW18468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIVTKMASEMPVVIFSKSSCCMCYTIKSLFSDFGVNPVIHEVDEIPRGRDIEQALSRFGCNPSVPAIFIGGKFVGGTNEIMSLHLNRSLIPMLKEAGALWL >OIW17808 pep chromosome:LupAngTanjil_v1.0:LG01:34118470:34119527:1 gene:TanjilG_02436 transcript:OIW17808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGELVLPPGFRFHPTDDELVNHYLCTKCASHSIPAPVIKEIDLYKFDPWQLPGMAAYGEKEWYFFSPRDRKYPNGSRPNRAAGTGYWKATGADKPIGKPKPLGIKKALVFYVGKAPQGVKTNWIMHEYRLANVDRSAHNKNNLRLDDWVLCRIYNKKGKIEKLNSMEQKPPLSNVSEELVQYENERKPEIKNMYGHIDFRNNQLHMDTSDSSPRWEHVVSPDVTCDKEVQSETKWNELELGPSPTFDFQFNFMDNEIHMPPYDPFAPQYQMNQPSPFQDILMFYQQ >OIW19085 pep chromosome:LupAngTanjil_v1.0:LG01:13846453:13849600:1 gene:TanjilG_06394 transcript:OIW19085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINDENVDIWTTLELIDDVKRLGLSYHFEKEIAKVFDRFLSLEIYNVQITHTSLHEAALSFRLLREYDYEVSSEMFERFMDHNGNFKASLSKDVKGILSLYEASFLGYEGEEILDKAKDFTSFHLRVHNEDRENIQFEKVSHALELPMHHRIQRMEAQWYIDAYGRRNDANKVLLDAAKLDFNVVQSTLQKDLQEMSQWWKGTGLATNISFSRDRLMETFFWTVGIVFEPQFSHVRKGLTKVCSLITIIDDIYDVYGTLDELELFTAAVESWDIKSIQLLPQYMKICFLSLYNTVNELAYDTLKDEGEYILPYLMKAWTDLLKAFLQEAKWSKDKILPKFDDYLKNAQNYHELLHRPSIIFRLCNDLSTSKSELERGEEAKSILCYMSENGVSYEDAYKHIHSLLDENWKKLNKDRVTSSPFPKHFVEIAINFARISRCFYLYGDGLGAPDNAAKNRIWSLIIEPIATRETNA >OIW18853 pep chromosome:LupAngTanjil_v1.0:LG01:19816494:19819089:1 gene:TanjilG_25296 transcript:OIW18853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDIESVATLIDSTNSKIQKLQKAFAELESYRAVTLNLKWKELEEHFHGLEKSLKRRFHELEDQEKELENKTMKAREIVKKREAAVFAKEQATLQRLQEKRDAAVLAILNGREKHRKVSANVMAIISDGGHGTPGVEEKLVDSVSVAAEGNLEDVKISSASRNVESMSFPELVKLCKGMDVGGLNKFISDNRKNLAAVKEEVPLALRAAPNAARLVLDSLEGFYCTEVANQDVKKDANLLGLRRTCIMLMECLSVLLTNSNSDSDMISKDIKDKAKAVAEEWKPRLDMLDIDASNGNSLEAHAFLQLLASFGIASDFNEEELSRLIPMVSRRRQTADLCRSLRLSEKMPGVIEILVSSGRQIDAVNLTFAFDLTEQFSPVPLLKSYLNDARKASPVKSVNSSPTAQIEVNERELIALKVVIKCIEEHKLDEQYPVDPLQKRVVQLEKAKADKKKETEATKPQPKRPRANGVGYSPRVTNIPSDKLSYARPADRYQQHYVYDRPYMYAGPTDNHSPPFFGSATYNPSPTHAIYYGNGYQYQATYLH >OIW19017 pep chromosome:LupAngTanjil_v1.0:LG01:17458460:17460302:1 gene:TanjilG_10578 transcript:OIW19017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMALSGSALQAMSKGVVITLPVLVLSASVAAFFMFFLLSSLSSCSCPRPSDTPISGTSSTGLGVSESSLGNIPLSTRKEDVEWVIDQIHANGLHMHDNVLRKGINPRTRAQQLEDLRQFKGISHYDGPESNNHTALPCPGGLLVEEHHSNYGEPWAGGRDVFEFLAQSTQLRPDSQVLEIGCGTLRVGLHFIRYLTPGHFHCLERDELSLMAAFRYELPAQGLLNKRPLIVKGDDMDFSKFGSGIMYDLIYASAVFLHMPDKLVWTGMERLASKLKPYDGRIFVSHNIKFCSRLGGEECTKRLMSLGLEYIGKKTHDSLLFNHYEIWFEFRRVTA >OIW18328 pep chromosome:LupAngTanjil_v1.0:LG01:24130570:24131883:-1 gene:TanjilG_31468 transcript:OIW18328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKEIVINVSENSGATNDKCFEGELHKAEDGFRVKEILAQEGIFDIQATFMGGNLVLLKGVGKMEVVEVLCKEEGWFNNFFKCLNSRDTIVDQVSDAQHSDEGEQWVNDHFDWGEGYVPGDGGDDVDVCLTSPKS >OIW17945 pep chromosome:LupAngTanjil_v1.0:LG01:32317701:32327513:1 gene:TanjilG_17781 transcript:OIW17945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGNNYNCTPLTPRSRLERLLRERELRKSSRYVQPTEEGNNKEGDQFLNDVEQFCNEEDLSDTSRAFTEEQPFRQRLLVVANRLPVSAVREGVDSYHLEISVGGLVSALLGVKEFDTRWIGWPGVNVNDDAGQEALTKALAEMRCVPVFLDEDIVNQYYNGYCNNILWPLFHYLGLPHEDRLATTRSFQSQFDAYKKANQMFADVVNKYYEEGDIVWCHDYHLMFLPKCLKEYNSNMKVGWFLHTPFPSSELHRTLPSRSELLRAVLAADLVGFHTYDYARHFVSACTRILGLEGTPDGVEDQGKLTRVAAFPIGIDSERFIRALELPEVQDRMKEMQERFAGRKVMLGIDRLDMIKGIPQKILAFEQFLEENPHWHDKVVLLQIAVPTRTDCPEYQKLTSQVHEIVGRINGRFGTLTAVPIHHLDRSLDFHALCALYAVTDVALVTSLRDGMNLVSYEFVACQASKKGVLILSEFAGAAQSLGAGALLVNPWNITEVAASIGYALDMPADEREKRHQFNFKHVTTHTSQEWAATFVSELNDTIVEAQLRTKQVPPVLPNDVAVDCYSKANNRLIILGFNATLTEQVDALGRGGQIRELELQLHPNLKEHLKKLSDDPKTTLVVLSGSARDVMDKNFSEYNMWLAAENGMFLRLTTGEWMTTMPENLTMDWVDSVKHVFEYFTERTPRSHFEVRETSVIWNYKYADVEFGRLQARDLLQHLWTGPISNASLDVVQGARSVEVRAVGVSKGAAIDRILGEIVHHKGMKAPIDYVLCIGHFLAKDEDVYKFFEPELPSESLPTAGALLSTSQRPSSLPKSSSSRVASGSKAFRYKKQRSLSIIERREIEFASGDPWRPWRPSDRISLHEGSSVLDLKSDNYFSCAVARKRSSARYLLKTSDDVVDLLSDLADHSSLPST >OIW18555 pep chromosome:LupAngTanjil_v1.0:LG01:21079114:21094059:1 gene:TanjilG_13307 transcript:OIW18555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNSAKSSAAAAVVVSNPAPPLLEEPEYLARYLVVKHSWRGRYKRILCISSVSIVTLDPSTLSVTNSYDVAADFEAAAPLLSRDENSNEFSLSLRTDGRGKFKPMKFSSRYRASILTELHRIRWNRLAPVAEFPVLHLRRRASQWVPFKLKVTYAGVELIETRSGDLRWCLDFRDMDSPAIILLSDAFGKKNIDHGSGFVLCPLYGRKSKAFQAASGCTISSIISNLTKTAKSTVGVSLSVETSQTLSISEYIKQRAKEAVGAEDTPLGGWSVTRLRSAAHGTLNVPGLSLGVGPKGGLGEHGDAVSRQLILTKTSIVERRPQNYEAVTVRPLSSVSALVRFAEEPQMFAIEFSDGCPIHVYASTSRDNLLAAVRDVLQTEGQCAIPVLPRLTMPGHRIDPPCGRVYLQYGQQKPVADAESSSMYLKHLATAAKDAVAEGGSIPGSRAKLWRRIREFNACIPYSGVPPTIEVPEVTLMALITMLPAAPNLPPESPPLPPPSPKAAATVMGFIACLRRLLASRSAASHVVSFPAAVGRLMGLLRNGSEGVASEAAGLVAVLVGGGPGDANLTDSKGEWHATIMHTKSVLFASHSYIIILINRLKPMSVSPLLSMAVVEVLEAMICDPLGETTQYTVFVELLRQVAGLKRRLFALFGHPAESVRETVAVIMRSIAEEDAIAAESMRDASLRDGALLRHLLHALFLPAGERREVSRQLVALWADSYQPALELLSRILPPGLVAYLHTRSDGVPAEDTNPEESSIGKRKRRLLQQRKGRIGRGLASNEQPLLSDNNFDVSDPGRQTGLAVVRGPENYHRAALDPTSGQPSSIQPSVVLTNENLTNGSSTGDSQNGYPNFVASTVVPSTNTNEAPGSDFSNSADPDSNAVGFQSEGIPAPAQVVVENTPVGSGRLLCNWPEFWRAFGLDHNRADLIWNERTRQELRESLQAEVHKLDVEKERTEDIVPGGATVETVTGIESVPQISWNYPEFSVRYPSLSKEVCVGQYYLRLLLDSGSGGRAQDFPLRDPVAFFRALYNRFLCDADTGLTVDGAVPDELGASDDWCDMGRLDGFGGGGGSSVRELCARAMAIVYEQHFKTIGPFEGTAHITVLLDRTDDRALRHRLLLLLKALMKVLSNVEDCVLVGGCVLAVDLLTVVHETSERTTIPLQSNLIAASAFMEPLKEWMYIDKDGAQVGPMEKDAIRRLWSKKAIDWTTRCWASGMLDWKKLRDIRELRWALAIRVPVLTSPQVGDAALSILHSMVCAHSDLDDAGEIVTPTPRVKRILSSPRCLPHIAQAILSGEPSIVEAAAALLKAIVTRNPKAMIRLYSTGAFYFALAYPGSNLLSIGQLFAVTHVHQAFHGGEEAAVSSSLPLAKRSVLGGLLPESLLYVLERSGSAAFAAAMVSDSDTPEIIWTYKMRAENLICQVLQHLGDFPQKLSQHCHVLYDYAPMPPVTYPELRDEMWCHRYYLRNLCDEIRFPNWPIVEHVEFLQSLLVMWREELTRKPMDLSEEEACKILEISLQDVSNDDVNKKYSSEVVDEASSLSKQIENIDEEKLKRQYRKLAMKYHPDKNPEGREKFLAIQKAYERLQATMQGLQGPQPWRLLLLLKGQCILYRRYGNILEPFKYAGYPMLLSAVTVDKDDNNFLSSDRAPLLVAASELVWLTCASSSLNGEEMVRDGGIHLLATLLSRCMCVVQPTTPGNETSAIIVTNIMRTFSVLSQFEAARTEILEFSGLVQDIVHCTEFELVPSAVDAALQTIANVSVSSELQDALLKAGVLWYLLPLLFQYDSTAEESGATESHGIGVSVQIAKNMHAIQASLALSRLSGLCGDGSSIPYNGEAANALRVLLTPKLSSMLKDQIPKDLLSKLNANLESPEIIWNSSTRAELLKFVDQQREAQGPDGSYDIKDSHDFVYKALSTELFIGNVYLRVYNDQPEFEISEPEAFCVALIDFVSSFVHNQFVEDASYNVEDTAKFIQTSENPSDAVDEFITEQHVLDNSGTMSDEQTVGKEEVELVKNLRLALTALQNLLTNNPNLGSIFSNKDKLLPLFECFSVAEASNSNLPQLCLAVLSLLTGHAPCLQAMVADGSNLLLLLQMLHSAPSCREGALHVLYALASTPELAWAVAKHGGVVYILELLLPLKEEIPLQQRAMAASLLGKLVGQPMHGPRVAITLARFLPDGLVSVIRDGPGEAVVVALEQTTETPELVWTPAMAASLSAQISTMALELYREQMKGRVVDWDVTEQASGQQEMRDEPQVGGIYVRLFLKDPKFPLRNPKRFLEGLLDQYMSSIAATHYEAQGVDPELPLLLSAALVSLLRVHPALADHVGYLGYVPKLVAAVAYEGRRETMSSGEVNDGEHADKKYDPVNGSTEQTQTPQERVRLSCLRVLHQLAASTTCAEAMAATSVGTPQVVPLLMKAIGWQGGSILALETLKRVVVAGNRARDALVAQGLKVGLVEVLLGLLDWRAGGRNGICSQMKWNESEASIGRVLAIEVLHAFATEGAHCTKVREILNNSDVWSAYKDQKHDLFLPSNAQSAAAGIAGLIENSSSSRLTYALTAPPPRTTTFSKPPSSPADIIGKQDQTL >OIW18312 pep chromosome:LupAngTanjil_v1.0:LG01:24447852:24449639:-1 gene:TanjilG_31452 transcript:OIW18312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KMQQYWSGSAINKNKGMVEHLQHCGVIKSTKVAEVMETIDRGLFVPKGTPPYVDSPMAIGYNVTISAPHMHATCLQLLEEKLQPGMRALDVGSGTGYLTACFALMVGPQGHTIGVDHIPELVSSSIENIEKSAAAPLLKDGSFSVHVGDGREGWPECAPYDAIHVGAAAPEIPEPLINQLKPGGRMVIPVGNILQDLKVVDKNSDGSISVYTETLVRYVPLTSKEAQLQG >OIW18000 pep chromosome:LupAngTanjil_v1.0:LG01:31124404:31126152:1 gene:TanjilG_31373 transcript:OIW18000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLSYSLSPHSPYCTENSVRHNSNKTHFMNSFRNKCRSGKVKNLDEALNFFHSMAAMNPLPSVLDFTLLLGVIMRMKHYTTAISLIKHMYSCLGIKADTFTLNIVINCLCRLKAMPFGFSVLGMMFKLGLEPSVVTLTILINGLCVQGDMNKALGLVEHMEMMGYHSDIHTYGVLVNGLCKTGDTSTAIEWLRKMEERNWVPNVVVYSTIMDSLCKDGLVSKALELCLEMRSKGIRPNLVTYTCLIQGLCKYGRWKEAGSLLDEMMKTKTTPDLQTLNILVDAFCKEGKIVQAKSVIAFMILMGEGPDVFTFNSLIDRYCLQNQMREAMRVFNFMVSRGCLPDIVTYTSLIHGWCKIKNINKAMSLLEEMVNTGFTPDVVTWTTLIGGFCQVGRPLAAKELFFGMHKYGQVPNLQTCAIILNGLCKCQLLSDAISLFEAMEKSNLDLNIVIYSILLDGLCNSGKISEAWQLFSSIPAKGLKIGVYTYTIMIKGFCKQGLLGEAEDLLRNMEENGCRPDSCAYNVFVQGLLINKEAAKSIKYLKIMTEKGFSVDAATTEMIINYLSTNEGDTAFREFLFPNR >OIW19094 pep chromosome:LupAngTanjil_v1.0:LG01:14351084:14351371:-1 gene:TanjilG_06403 transcript:OIW19094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRYLKGTINMGLWYPRDALLSLVGYSDSDFAGCKLDQKSTSGTCHLLGSSLVAWNSKKQACVALSTAEAEYIVASVCCAQILWMKQQLKDFGLKL >OIW18745 pep chromosome:LupAngTanjil_v1.0:LG01:22659165:22659620:-1 gene:TanjilG_13497 transcript:OIW18745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMLYYGLAMVGAAAIALILYNLINIITKMCNQSHMQSSQDEVVIDVAIGSSSMSFDNSRRNLLSSFKYKKEGGSGGDDYECSVCLSDLEEGEEVKKLPRCKHSFHVQCIDMWLHSHHDCPVCRTPVGHSCHHFTPENVTQVMLATGGILV >OIW18521 pep chromosome:LupAngTanjil_v1.0:LG01:20802780:20804231:1 gene:TanjilG_13273 transcript:OIW18521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHSSSILDSLGEEILRIITPVSICMFLVVILVSILNTNSSSSSFSVSSIATIAYSESTSDSVWDKFIGALFNSLAFVFFITLATFILVLLFYFRCTRFLKLYMAFSAFVVLGFLGGQISLFLIQHFDTPIDCVTFLIALFNFAVVGVVAVFMSKMAIVVTQGYLVLIGILVAYWFTMLPEWTTWSMLVALALYDLAAVLLPVGPLRLLVELAISRDEEIPALVYEARPVNHHIFDARTDAVTQRRLWRDRRIDDDDDDSNVNVNSVLSHGHGLNDELNSNMDEENGLTSISNANVADRIHGEINLVRENGSSNSDLNSNILYDRNLVTVEEGRVRETNTDVSTPLIDRGVTVRLHGVEESASSESLMLEGIGLGSSGAIKLGLGDFIFYSVLVGRAAMYDFMTVYACYLAIIAGLGVTLILLAVYRKALPALPVSVALGEELYCLSLEFSYKNIP >OIW18400 pep chromosome:LupAngTanjil_v1.0:LG01:23281027:23297804:1 gene:TanjilG_31540 transcript:OIW18400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRPNERTDGRRNRYKVAVDADEGRRRREDNLVEIRKNKREESLQKKRREGFAAQQQFATPLNNADRKLETLPAMVAAVWSDDNNIQLETTTQFRKLLSIERSPPIEEVIQSGVVPRFVQFLGREDFHQLQFEAAWALTNIASGTSENTKVVIDHGAVPIFVQLLSSHSDDVREQAVWALGNVAGDSPKCRDLVLGLGALIPLLAQLNEHAKLSMLRNATWTLSNFCRGKPQPPFEQVRPAIPALERLVFSSDEEVLTDACWALSYLSDGTNDKIQAVIEAGVCTRLVQLLMHPSPSVLIPALRTVGNIVTGDDMQTQCIINHGALPILLSLLTHNHKKSIKKEACWTISNITAGNKEQIQAVIEAGLIAPLVVLLQNAEFDIKKEAAWAISNATSGGSHEQIKYLVSQGCIKPLCELLICPDPRIITVSLEGLENILKVGDAEKNLGNTGDVNLYAQMIDDAEGLEKIENLQSHDNNEIYEKAVKILETYWLEDEDEETLPPGDDSQPGFKFGSNEGSEAVTEPKKTCTDCGTSKTPLWRGGPAGPKSLCNACGIRSRKRKRAILGLSKSNSDVIRKGKRSNNKIGGSLKQRLWNLGKEVMMQRSTMMKKFGEEEQAAVVLMCLSYGSVYA >OIW18605 pep chromosome:LupAngTanjil_v1.0:LG01:21555848:21563578:1 gene:TanjilG_13357 transcript:OIW18605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGICSRKRDQQVLEDDFRRGVFGRYCRNGSTKWLGARSLRSKANHCPGGGSIPSLMELCIYKIREDFTKYNSFSILPRDISQQIFNEFVDSHSLTDASLEAFRDCALQDVYLGEYPGVDDGWMDVISSQGSSLLAVDLSDSHVTDNGLRLLKVCSNLQALTLNYCDQFSEHWLKHISGLSNLTSLSIRKSSSVTPDGMRAFSSLVNLEKLDLERCSEIHGGFVHLKGLKKLQSLNIGCCKCVMDSDMKAISGLINLKELQISNSSVTDLGITYLRGLQNLTTLNVEGCSITAASVESISALAALAYLNLNRCGLSDDGFEKFSGLKSLKRLSMAFNKITDECLVHMKGLTNLEYLNLDSCKIGDDGLANLTGLTLLKSLVLSDTEVGNSGLRSISGLNKLEDLNLSFTLVTDGGLKRLSGLTNLKSLNLDARQITDAGLANLISLSGLIKLDLFGAHISDSGTTYLRSFKNLQSLEICGGGLTDAGVKNISDIVSLTQLNLSQNCNLTDKTLELISGMTALRSLNVSNSRITNRGLQYLKPLKNLHSLSLESCKVTASEIKKLQSAELPNLISFRPE >OIW19606 pep chromosome:LupAngTanjil_v1.0:LG01:426480:430061:1 gene:TanjilG_18416 transcript:OIW19606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELPIHKGRVKKGHLVQDFNYFAPPPPDQYQQQAQVFHKGRVKKGYMAQNFNHMAPPPPPNQYQPQAPIFHKRHVKKGHVAQNLNQFAPVPPPPPPPPNQYQPQAPIFHKRHVKKGYVAQNFNHFAPAPPPPPPPIQHQIQTQVFHKGKVKKGHATQYFKDLAPPPPPPPPPPIQHQPQAKVFHKYHVKKGHVAQDFNHFAPPPPADPHHPQAQVFHKGHPKKKHVAQDFNPFTPPPPNPNESDAQLIHKDHGKHPHEAHDLNHIRHQSGFVGLSKKSTIRVVCKAAPNYSLTIRHGKVTLATSDPSDEHQHWYKDEKHSTRMKDSEGCHAFSLVNKATGEAIKHSIGATRPVKLIPYNPDFLDESVLWTESRDMDDGNIAVRMVNNIRLNLDAYHGDKNFGSVNEGTTLVLREWNKGDSQLWKILPYCKFYIISCNPLLCLFHFLMPSNT >OIW19314 pep chromosome:LupAngTanjil_v1.0:LG01:3856665:3861109:1 gene:TanjilG_07282 transcript:OIW19314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAVDVSGNPIPTSSVLMASSKHIGIRCHTENLEFLKCKKKDQNPENCLQQGRQVTRCALGLLKDLHQKCTKEMDDYVGCMYYHTNEFDMCRKEQQAFEKKCSFE >OIW18546 pep chromosome:LupAngTanjil_v1.0:LG01:20994290:21002255:-1 gene:TanjilG_13298 transcript:OIW18546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDEDDFVFYGTPIQREEDLTSRKKKAIAESSAQLRTLPSWKQEVRDEEGRRRFHGAFTGGYSAGYYNTDLEGRFLGTSSQFDTFGFTAAEVARKEAEKEQKQRPSIIPGPVPDEIVLPATESVGVKLLLKMGWTRGRSIKDSHADALYDARRQARRAFLAFSSDDPKLKITGSESIKDDSENFPEPPVNEDIQSSKSTPVYVLNPKQDLYGLGFDPYKYAPEFRGKSAPGFGIGALEELDAEDEDVYATGYEFEDTYVQEIEEPAKLSLEFQKKKDQKDQGNLPGFRIASNSDYQMERFEAPLIPKDFVPHHAFSGPLEINHKNHGIPPPDVPPPEDSNLKILIEGVANLVARCGKLYEDLSREKNQSNPLFNFLLGGTGHEYYARKLWEAQQKCIDQPKQQLDGKAPPGVKRLTAESRGQILGERPLERSSHDPSPSDASTDVQLQFNLTDTFINSAASFSEIPDSEKPFKDDHAKQERFEQFLKEKYKGGLRSTSSSLAGDMSEAARARERIDFEAAAEAIEKGKHGKGNKLLFPSSMDFIPGGVMQFTSGAAEAKKDLQTEDLMGKKMYPKREEFQWRPSSLLCKRFDLIDPYMGKPPPAPRIRSKIDTLIFTSDSVKSNKVEKFIDVKQDISHVQETANQDISKSIAENEAEVDVEVENIERPVDLYKAIFSDDSDDEGEELNVKKMENQEKKAEVANTALSRLIAGDFLESLGKELGLEVPPDMPYPAQISRNAVLQKEIINENARSGNLMAENNSEMSQNHGLPNDQDIAHESGPSKVDAIYGNMLESGSTKTKGTSISNSKLSRSNRENGDDDRKLKSPVTSHRDYSSSLSSEEERKRKRSRHHRHRRHDAGSDSSSDDERDHHSSRSKGRKKGSSHEKSSGSRKHSKHHKHRRHESPSRSSHRSMEKDDTHPRKEKRRR >OIW17912 pep chromosome:LupAngTanjil_v1.0:LG01:32750930:32758282:1 gene:TanjilG_19881 transcript:OIW17912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHSIMFHSPLTSRLSLKPSSLPLPTHGLILLPVNPAAKLRLRVLTVKAFSEAAEVESGNGSVSSLERCFVAPSSPATGSALSSSLAGSGTVMKGQYGALGSVTLEKSKLDTTQKQTKSSPERCLSTINGCSAATVGSVTMESESGENQRIFPPIAILVLSGIANELGGGCDFTNSLDIGGGSGGLGKNISHGGGDGGDDNGDDDDYFDDFDDGDEGDEGGLFRRRIILEELFDRKFVDAVLNEWQKTMMDLPAGFRQAYEMGLVSSAQMVKFLATNARPTTSRFISRTFPQGMSRAFIGRLLADPAFIYRFLLEGAASIGFSVWWEFESRKGRIKQEWDLALINVLTVAACNAVVVWSLAPCRSYGNTFRFDLQNTLQKLPNNIFENSYPFREFDLQKRALCFLYKAAELCMVGLSAGAVQGALSNTLASKKEGRLSVTVPSVSSNAFGYGAFLGIYANLRYQLLCGFDRAMISRFDVIGVALFFSTAFRVMNVQLGETSRRTWLGVEADPLAQSDDHFKVYTRSSENVEKSSSKWFISNNALVSGLGLLGIKQGKVDSTAESSAPKARRKRIVRKKVVA >OIW19346 pep chromosome:LupAngTanjil_v1.0:LG01:3572606:3574554:1 gene:TanjilG_03480 transcript:OIW19346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSEISAVSGQKPPEKFTFSQTCSLLSQYLKEKGTFGDLTLGMTCTTETIGSPETSCLSAMDLFPTKENNLTTMDLLSPHIAYNPHSTKEVPTLVNPSAFKSVGKEPKTSQLTIFYGGQVIVYDDFPAEKAEEIMSFARKGISQNQNTPVYAHTQPSMIPSIIPANLIQEHPHHAPPTTPIVCDLPIARKASLHRFLEKRKDRIAAKAPYKTSNAIAAPNKPVDESMAWLGLAAKSTL >OIW18691 pep chromosome:LupAngTanjil_v1.0:LG01:22251897:22253273:-1 gene:TanjilG_13443 transcript:OIW18691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVAFKGCYTVRPIEPTWCGRLPLSEWDQIGTITHVPTIYFYRPSQNSTNVIAATLKDSLSRVLVPFYPLAGRLQWIGNGRFELECNGTGVHFIEAESSSNLDDLGDFSPSPEYNYLVPSVNYTLPIHELPLLLVQLTMFKCGGFSISLTVSHAVADGPSALHFISEWSRFARSGESILKTVPFLDRKVLRAGLPPLMSLAPCHANSAFNHPPLLLGQSDNIEERKKKTTVAMLKLSKHQVEMLRKTANESWSKPKNDRSYTRYETVTGHIWRSASKARGHEKDQPTALGVCVDSRSRMQPPLPKGYFGNATLDVIATSLAGDLMSRPLGYASSRIREAIDKVTDEYVRSGIEFLKKQEDLTRFQDLHAIGSDNGPFYGNPNLGVVSWLTLPIYELDFGWGKEVYMGPGTHDFDGDSLILPGPDRDGTLVVAICLQVIHMDAFKKHFYEDIVEESLED >OIW17641 pep chromosome:LupAngTanjil_v1.0:LG01:35593084:35595302:-1 gene:TanjilG_28991 transcript:OIW17641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEGKEEKKDEKGKDKIEEKNKEEGEVINAIYKVNLHCQECGSKIKKHLMRSQGVQNVDIDIEKGEIKAKGKLDPLKILKLIEKKSNKKKVELISPKVKPKETITTTTNNKTKEMKDVHMHCDKCEADLKQKLMKHKGIFEVKTNMKTQNLMVNGTIEVEKLLSFMRKKVHKNAEVIYIKEEKKEEKKEKGKEEGKKKDDNKGGESTKEKEEKSSETSKEKEEGKSSETSKEKEEGKSSEKTKEKEEGKSSEKTKEKENVPYIIHYVYAPQIFSDENPNSCSIL >OIW18753 pep chromosome:LupAngTanjil_v1.0:LG01:22732507:22734569:-1 gene:TanjilG_13505 transcript:OIW18753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFALESFIPDRDFTVDDDSDSSSSSSLGRNSISSEDSSDREDSGEVEVQSLFKSSLDTMNDLEEDLPVKKGISKFYSGKSKSFTCLAEAATVTCVQDIVKPEDPYAKKRKDLLAHNTLINRSRSYADNVGGISKRPGPGGSCLTLSSLGNIGDSEEGKISTSISPPCPLPPHPHARRSSANASAPCPTRNPPWRTYSWSDLQSVAADAHDISGLAICSGNKGNKVH >OIW17710 pep chromosome:LupAngTanjil_v1.0:LG01:35005109:35007344:1 gene:TanjilG_29060 transcript:OIW17710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPTQKNIKQKVLTCLTKLSDRDTHSLAVAELESIARNLDRTTLSAFLSCIISTDSSDKSLVRKQCVILLGFLAETHGNALSPYLSKILTAVIRRLRDKDSSVRTACINSVSALSRHVTKQHFSTFLKPLTDALFTEQDQNSQIGTALCLASAIDGAPDPDLVILAKLLPRFEKFLKRDAFKAKSAVMTLIGSVVDVGGASNHTILKRLIPCLVESLSSGDWATRKAAAEALAGIANMEGNNLSEFKAECLKVFENRRFDKVKVVREVMNQMLEAWKQIPDVSDEFSPPPQSQSSSEDNANDERNPLDCQNSCNPCSVTAKLRKKSTPVRRFSLPDCSSASNVKNTSALSTNKRMSLDVPRKLNSKNWDVQIAVSNDPSAAMADLGDLQETNGSLLERSKKNKSRLSKPEMRHALFNKNPDDKIQKSGGSKTGSRVVPYHEESQNSVPVSNVSKDLIQNDKESDNLSSIRNQLHQIEKQQSSLLDLLQKFMGSSQCGMQSLETRVHGLELALDEISHDLAISSGRMANYDVPSNACCLLPGAELLRSRFRRKTQGPYSSSRFSKSGGTRSLAAMHYKADRNAETRLANHRLRLDGGFITNPLADIHTSAMDFARPEPL >OIW18186 pep chromosome:LupAngTanjil_v1.0:LG01:26807251:26813503:1 gene:TanjilG_31306 transcript:OIW18186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTGGRLVAGSHNRNEFVLINADENARIKSVKELSGQICQICGDEIEVTVDGEPFVACNECAFPVCRPCYEYERREGNQACPQCKTRYKRIKGSPRVEGDEDEDGIDDLEHEFEYENPDAFGQRPSSESLYSGRGGSHANIYGIPTHLEHGSPPLNSEIPLLTYGEEDSDISSDRHALIVPPYMNNGSRVHPMPYPDPSIPLQPRPMVPKKDIAVYGYGSVAWKDRMEDWKKRQSDKLQVVKHEGDNNGGGFGDEPDDPDMPMMDEGRQPLSRKLPIPSSKINPYRMIILLRLVILGLFFHYRILHPVNDAYGLWLTSVICEIWFAVSWIMDQFPKWYPIQRETYLDRLSLRYEKEGKPSELSNVDVFVSTVDPLKEPPLITANTVLSILAVDYPVDKVACYVSDDGAAMLTFEALSETSEFARRWVPFCKKYNIEPRAPEWYFGLKIDYLKNKIHPAFVRERRAMKRDYEEFKVKINSLVATAQKVPEDGWTMQDGTPWPGNNVRDHPGMIQVFLGHDGVRDIEGNELPRLVYVSREKRPGFDHHKKAGAMNALVRASAIISNAPFLLNVDCDHYINNSKALREAMCFMMDPQVGRKICYVQFPQRFDGIDRHDRYSNRNVVFFDINMKGLDGIQGPIYVGTGCVFRRHALYGYDAPKKKKPPSKTCNCLPKWCCCCFGSRKKKNATSKDKKKVKHSEASKQIHALENIEAGNEVSNIVKAPNLTQTKLEKRFGQSPVFVASTLLENGGVPRGVSPASLLNEAIQVISCGYEDKTEWGKECGWIYGSVTEDILTGFKMHCHGWRSVYCIPKRPAFKGSAPINLSDRLHQVLRWALGSVEIFFSKHCPIWYGYGGGLKMLERFSYINSVVYPWTSLPLIVYCTLPAICLLTGKFIVPEISNYASVVFMALFISIAATGILEMQWGGVTIDDWWRNEQFWVIGGASSHLFALFQGLLKVLAGVDTNFTVTSKAADDGEFSELYIFKWTSLLIPPMTLLIMNIVGVIVGVSDAINNGYDSWGPLFGRLFFALWVILHLYPFLKGLLGKQDRMPTIVLVWSILLASILTLLWVRVNPFVNRDGPVLEVCGLNCDES >OIW18233 pep chromosome:LupAngTanjil_v1.0:LG01:25582753:25588434:1 gene:TanjilG_06317 transcript:OIW18233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQVCFVLDLRSLAPPLLRNLKQSLLQLANFYAVSTSYSSHPLKSTILADKIGLCYIFKNRLSSSDELKIAYTPTGSFNLRDFHHAVNVLPSDAFLPHIDNNSDAMISNVLSDQVLYSWQGKDIERRVIFMTSNLPEDVDSTTTKSLMDAADKCVSVDFAVFQPKSSHLNDNRENVNNFRRCISHLDNCSIQTYIQDFRVFHSLVRRWLQVLKDDMEEPLLARLTFKDNLLDSVDHIFCNLFALVNSITKSFNHCQTCRCHGIPLVDVDKNFNRISCPVSGSNLETCDVIENSVRLGEKTVLFLPSFHDSLKPLKVASSIHITVTARINLAVLDEGLIIGAPFVVIPSHNHVIESTSDDSDQSNVNAQQTMAESPYRCYYILQSSDNGPMLLKRLAGAEEVLRVPENRLVDSSVNKEIENSVQACLLKIDLTDYDPLLHERGFHQKINLLVKESLQLGSIFPKLDTACSELSPSLQPSAEVNRRAGSAIDVIAVDKEAMSLSITDEDDKTMACITEEWNQLVVNENPIMNSTPSSMSNLKLNQPRVSPRDGNKQLDRETTKILERLEVPRPWKAKAASPVCNESCMKNTSLHKKKPLIPFQATQSTEQASIGSQLMKPSFQRQRRKLR >OIW18089 pep chromosome:LupAngTanjil_v1.0:LG01:28480416:28487598:1 gene:TanjilG_01178 transcript:OIW18089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVARRETSDFRVIGHVDMDCFYVQVEQRKEPKLRGVPTAVVQYNSYKGGGLIAVSYEARAHGVKRSMRGDEAKEACPQIQLVQVPVARGKADLNTYRNAGSEVVSILSRKGRCERASIDEVYLDLTDAAEAMFMETPPESVEDIQQEVIKSHVLGLKFEDGNDPKEEVRKWLCRSDASYQDKLLACASFIIADLRMQVLKETEFTCSAGIAHNKMLAKLASAMNKPAQQTVVPHSSVAGLLESLPIKKMKQLGGKLGSSLQSDLGVNTVGDLLQFSEEKLQQRYGINTGTWLWNIARGISGEEVEGRLLPKSHGSGKTFPGPQALKTIGSVQHWINELCEELSERLHSDLEQNKRIAHTLTLHARAYKTGISNSNRKFPSKSCPLRYGTIKIQEDALTLFQAALREFMGFYNCKTNWSENNNWGITSLSISASKIVPIPSGTHSIVKYFGGQIPSGSSSKQPLDNVIDEAVPPSSSGTENCLGLIPCELPARYPEEDTAMEHSVDFLDQQDPLCNLSRKIDGLTEESSLMSPTGNEDSRKQSEQHRDLPAKKPSVVFNISSLKAVEKRKREGKKLQGNCSITKFFNNYPNSQSSLEQKNITNVQGSQSASGSYLTSDQVETSTESYHDDIDTNVAGCSAANIPHGRQAWSYNIDEIDPSIIRELPPEIQEEFQAWLQPQKRPNVVKRGSSITNYFLPDNSR >OIW17674 pep chromosome:LupAngTanjil_v1.0:LG01:35301051:35304187:1 gene:TanjilG_29024 transcript:OIW17674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGELLHIHSQELQFPFELRKQISCSLQLSNQTDNYVAFKVKTTNPKKYCVRPNTGVVLPRSTCDVIVTMQAQKEAPPDMQCKDKFLLQSVVASPGVTTKDVTPEMFNKESGHEVHECKLSVVYVAPPRPPSPVQEGSEEDSSPRASVSENGHSIATEFTAASKAFSEQVEYQDTSFEARSLISKVTEERNSAIEQNKRLRQELVWNLLRKSLLSML >OIW19472 pep chromosome:LupAngTanjil_v1.0:LG01:2359349:2361840:-1 gene:TanjilG_09492 transcript:OIW19472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVTVNLSFAFNNLSLTSSSSSSSTTRVSSHSSLRFPLLNTNSLKLHWSTNISASILLPQKPSILLPSKLRTLTVVSAKKGYKMKTHKASAKRFRVTGAGRIVRRRAGKQHLLYKKNSRRKLRLSKMHPVSKSDYNNVIGALPYLKANRKGNRSPLCKTEQAELEASQQQE >OIW18719 pep chromosome:LupAngTanjil_v1.0:LG01:22477018:22481681:1 gene:TanjilG_13471 transcript:OIW18719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFQPFSFKLSSSPSPYFIVFQPQTRTTFKLRVSSSQFDTQPVKLTLEKPTTTTKKVKKKKNSSRPSFFHQIQDKWSQKLVSQREKFPWEEVHQEEEQPHEQEEEKEEEGEESVNKSSAMNFQFPKSLSPWPQSGNPINSKFDFETESDAVGENNKHSVGGSVNKRKSTVKESSSSGEKKSEHKSSELNFQFPNRLSPWVQAGNPINPKFGFETESDAVGDNDKPLQSSSDGVVKRKEIVKESYTSKEEKRVASNYLASQSVRKRNSNTRVAENSIPEHELRRLRNVALRTIDRFQVGVTGISQELVASIHEKWRDNEVVKLKFGSPLSANMRRVHHLLESRTGGIVVWRSGSSIVLYRGMTYKLPCVELYTKMNHAKADTVQPSVHVGNGSDGQEIVEELFTTTKPTTPIQDSAEYLKDMNEEELMELSDLNNLLDELGPRYKDWTGREPLPVDADLLPAVVRGYKTPFRVLPYGVRHCLSNKVMTMLRRLSRTTAPHFALGRSKELQGLARAMVKLWETSAIAKIAIKRGVAHTRNEIMAEELRKLTGGTLLSRNKEYIVFYRGNDFLPPAVTNVLIERKKLTLLKQDEEEEARQIASSTIGINTKVSKAPLVAGTLSETKAATTNWGHQPSREEVEKMMRDKALDRLTSFIRNLEKRLELAKAKFRKAEKAIAKLQGGLEPADLPTDLETLTNEERFLFRKIGLSMKPFLLLGKRAVYAGTIENMHLHWKYRELVKIIVKGKHLSQVKHIAIALEAESGGVLVSVDRDNKGYIIIVYRGKNYFRPLSLRPKNLLTRRKALARSIELQRREALKHHISDLKERIGLLKSELEDMNNGKEINGDETLYAALDDEAILSDDDSEESEETEIYSDKDDSDGEDEKNHNELLDSGSEHCN >OIW17799 pep chromosome:LupAngTanjil_v1.0:LG01:34216239:34217914:1 gene:TanjilG_02427 transcript:OIW17799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRWWSKETIAVVTGANRGIGFEICKQLAYHGITVILTSRDDGVAIESIKVFQEGGLDVACHKLDVLDSSSINQFAEWLKDNYGGLDILVNNAGVNFNFGSDNSVENAHKVIDTNYHGTKKMIQAMIPLMKPSSVGARIVNVTSRLGRLNGRRNRLDNDALREKLSDIESLSEEVIDETVNTFVQQVENGTWVSGGWPPKFTDYSVSKLAVNAYTRFLSKKLCERPEGQKIYINCYCPGWVKTALTGYAGSVTVEEGADTGVWLSLLPDQAITGKFFAERREIHF >OIW18561 pep chromosome:LupAngTanjil_v1.0:LG01:21135841:21136866:-1 gene:TanjilG_13313 transcript:OIW18561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPIRFESKQQYTTPGLVIGYALCSSLLAIINKYAITQFNYPGLLTALQYLSSALSVFLLSKLGFLHHDPFNFATAKKFFPAALVFYLAIFTNTNLLRHANVDTFIVFRSLTPLLVAIADTLFRNQPIPSNFTFLSLVVILAGAVGYVVTDSAFTLTAYSWAFAYLVTITTEMVYIKHIVMNLGLNTWGFVFYNNLLSLMMAPFFWFLTGENVEVFDALRSGSGNLFDLTAFVAVSLSCVFGLLISFFGFAARKAVSATAFTVTGVVNKFLTVAINVTIWDKHASPVGLVCLLFTIVGGVLYQQSVTGPRDALPLVAKQADIEIGIDDKDDLEGEKSRKG >OIW17934 pep chromosome:LupAngTanjil_v1.0:LG01:32464713:32466106:1 gene:TanjilG_17770 transcript:OIW17934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPSSENGISDPGLSTQNVQYAIPAQLGTGHAMAPPVYPYQDPYYRSIFAPYATQPYPPPPYGGQPMVQLQLMGMQQAGVPLPTDAVEEPVFVNAKQYHGILRRRQSRAKAESENKAIRNRKPYLHESRHLHALKRARGCGGRFLNSKKNNNQHNGDASPDESESQLNVNLSSDKSDLLSADRNPKTTK >OIW17982 pep chromosome:LupAngTanjil_v1.0:LG01:31516584:31523005:1 gene:TanjilG_31355 transcript:OIW17982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSNSHGNLDEQISQLMQCKPLSEQEVRALCDKAKEILMEESNVQPVKSPVTICGDIHGQFHDLAELFRIGGKCPDTNYLFMGDYVDRGYYSVETVTLLVALKVRYHQRITILRGNHESRQITQVYGFYDECLRKYGSANVWKTFTDLFDYFPLTALVESEIFCLHGGLSPSIETLDNIRNFDRVQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDISEQFNHTNNLKLIARAHQLVMEGYNWGHDQKVVTIFSAPNYCYRCGNMASILEVDDCKGHTFIQFEPAPRRGEPDVTRRTPDYFL >OIW18027 pep chromosome:LupAngTanjil_v1.0:LG01:30808188:30814161:1 gene:TanjilG_07611 transcript:OIW18027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTKMSFLGDGGGKKMWLKWWENIHGFRIHQQYYIGSKKMKRTLWKKLLVAWLVGWTMLSLWIFCCMRLQATEKRRATLASMCDERARIFQDQFNVSMNHIQAISILISTFHHAKSPSAIDQRTFATYTERTAFERPLISGVAYAVRVLHSEKEQFEKQQGWTIKMMDTVEEKQVQKDDYDPEKLEPYPIQEEYAPVIFAQDTLPHLISVDVLSGKEDRENVMRARESGKGVLTAPFRLLKTNRLGVILTFAVYNRDLPSNATPNERIQATNGYLGGVFDVESLVEKLLQQLASKQTVIVNVYDITNHTHHIAMYGSNVSGDSFYHASTLNFGDPFRKHKMYCRFKQKPPWPWLSITTSIGILVIALLVGHIFYATVNRFAKVEDDCCEMRELKKRAEAADVAKSQFLATVSHEIRTPMNGVLGMLHMLMDTDLDVTQQEFVRTAQGSGKALMSLINEVLDQAKIEAGKLELEAVLFDLRAILDDVLALFSEKSQEKGVELGVYVSDQVPELLIGDPGRFRQIITNLMGNSIKFTDKGHVFVTVHLVEEVVHSIEVDKESTSENTLSSFPVADSHRSWEGFKAFSQEGPLGSFSSSSNDPISLIISVEDTGEGIPLEAQPRIFTPFMQVGPSISRKHGGTGIGLSISKCLVCLMNGEIGFVSIPKIGSTFTFTTVFANGLPSSNECKIQQIINQPHLDSSEFEGMIAIIIDHRPVRAKVSRYHIQRLGIHVEMVSDLDQGLSAVTNGNMVINMVLIEQEVWDKDSGMSSHFVNNIGKIVHVIPPKMFILVNSSSSSRASTVTSGVHNATAITKPLRASMLAASLQHAMGVRNKGTPRNNDLPSLSLHHLLRGRRILIVDDNNVNLMVAAAALKKYGAEVVCVSSGKEAISSLKPPHQFDACFMDIQMPEMDGFEATRIIRDMELSMNSEVSVDDCDNVSNWHVPIVAMTADVIQATQDECLKCGMDGYVSKPFEAEQLYRELSWLFQSS >OIW17760 pep chromosome:LupAngTanjil_v1.0:LG01:34644807:34645937:-1 gene:TanjilG_06445 transcript:OIW17760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGRIRATPSSLESFEGSPSKILKDDSFSIYETTLMKLKLGAKLDISASAEMDEVINDSSINSENMKSNCSSVSEALPSSSQQILTSSPSEVMDIDVDIDCSSVTTSPSFSGHVSHGNSEQPRNKNVSILHFFKLKGPGYARVSSCGEPSSTKNDSSGSVSSTSIECRSTIL >OIW18910 pep chromosome:LupAngTanjil_v1.0:LG01:19195769:19198725:-1 gene:TanjilG_25353 transcript:OIW18910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFNEKPSNELLKPAEGMGFGLELGKVSSKTAAKECPVLPQSNSIPNDKFKSKNGVGPQYSDLKQKGKQVVEGKIQNREGVKKSATGSDELVKHMSNLPGYLLRTERRENFQENAFNVGVLDWSRLEQWKHKHIPVPFRNFTSFSSSESSSRTATKSPTSVRGKEKLDNNKALLSSSIRPSYREYLHERTKHPSPSVKQFESSKCETKNIGDEPIMTVLAFKSIGKSHSDISLEKERKNDYRKRTSRVRKFASNLQHHGVSHVANENANVKDGGTKHSMEGLQGYNHKVKSRNRKSSCDMGQPSVEPKHKGVSSKEMSSSSSENRKKEPDFNIGDKHSHDKQSNIVQLCPQEIVQSSSSEDFRLSELRTSSDEFFSESSQSSSSYVSFPEENCTEDVCSKIPNSSALPSLAGLTSETIQQRLSTENKMSSLKSAGPRFDKDMSLDTKLRHECVFSNLKESLDKETAELTAQRGMMNPSHNRRLSFSLSLIGRSFSFKEGSALPKFNSTYFGANSGPVTPRPSVQWDNPSKEAYSHNRARFSPVRRLLDPIFKHKLSSDIQHSTKSSQTCRGSKNSINYKTIDVNESPKAEKSKGSSVHGLLQLTIKNGLPLFKFVLNNERKIYAATTKSLASLENDDLGCCFTFYLVNETKKKSGGWMSHGSKEKSYGYAYSIVAQMKFSCSKINEPINQNSKIQPLVREYVLSGVEVGPTDHGQSKFIQSRELAAIVIDSSFENLINEGLHGDKSLLKKECVKCLSDERCVCRSCVNWISGSTTVILPGAVHGSPNKGETSPLIYRWKNGGSCDCGGWDIGCKLLVLSNQKHSSNIPKSSKPYHDPFQLFVQEGAQRDTPFFTLSPLKDGFYSIEFNSTISHLQAFFISVVVLSCQKLPSSFEMNCMLEEILKEPCSKNNRRFQEKAPMKYTPILPLSPVGRV >OIW17807 pep chromosome:LupAngTanjil_v1.0:LG01:34125177:34129559:-1 gene:TanjilG_02435 transcript:OIW17807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQSTAQTRRKGIGVKVWLFVSETGESRLEEVGKHYIMRRTSLPARDLRILDPMLSQPSSILGREKAIVINLEHIKAIITATEILMINSSNPLFLQFLQDLQSRISTSSNEMPHRMSNDMDTNDYSTNTMMEVSFSLNSRTISQNNSPMTMHEGSDFLPDKSNIEIKWGSSRSGKDVPVASPPKQLPFEFKALEACLESACRCLESETKTLEDEAYPALDELTSKISTLNLERVRQIKSRLVALSGRVQKVRDQIEHLLDDDHDMAEMYLTQKLETRLVDQTSLKEGYNTEFDEDEDQSDESHSKRSYNSSDPKLDVEELEMLLEAYFAQINGILQKLSSLSEYVDDTEDYINIMLDDKRNQLLQVAVIYGTLNMMLNAGIVVVGLFGMNIHIDLFDGQPYQFWSTTWGTLAGDICIHGLQYKELKFLQVAVFLSLFS >OIW19632 pep chromosome:LupAngTanjil_v1.0:LG01:729678:731862:1 gene:TanjilG_18442 transcript:OIW19632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDPSIMLFGHNISFPVSEQQPLIHHHHIHIPMHILSQQDKLNFHMDPEAENATKKQEVDPPSNTKDSKSSSTSPEAIVNPKTPSIEEEEEDAENSKNDNSEKEQSDAGNSQEKTLKKPDKLIPCPRCNSIDTKFCYYNNYNVNQPRYFCKACQRYWTAGGTMRNVPVGAGRRKNKNSASNYPHITISEALQAARIDAPNGNHHPMLKSNGRVLSFGLDHAPICDSMTSVLNLGDKNVVNGATRNEFHSFEDRRFHVPCKSGDNSTDSFGCSNTSTITVSCSIGESTKSTFHEPALGNNNGFIPQVPWPYPWNFPVPSPAFCPSGYPLSFYPAAFWNCPCPMPGSGPNSPTLGKHSRDGDIINQETMLKEEPSKQRNGCVLVPKTLRIDDPSEAAKSSIWATLGIKNESLSKGGIFKAFQSTKKDEKKHIEASPMLRANPAAFSRSLDFHENS >OIW18523 pep chromosome:LupAngTanjil_v1.0:LG01:20806577:20809553:1 gene:TanjilG_13275 transcript:OIW18523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQSITPNAISALLANPSPDSSSDLPHIVVQVLDLKPSGNRFMFNASDGKLKLRAILPFNQSPEVHSGNIQNLGLIRIVDYTLNDIPNKSEKYLIVTKCEPVSPALEAEIKSEVNTVSDSSSVGIVLKPKQEVTAKSAAQIVQEQHHNVTRTERKAVSRRVNPLVSLNPYQGNWTIKVSVTSKGNMRTYKNARGEGCVFNVELTDEDGTQIQATMFNEAAKKFYDKFVLGKVYYISKGSLKVANKQFKTVQNDYEMTLNDYSEVEEVADEAAFVPATKFNFVQIDQLGPHVNKNDLVDVVGVVQNVSSTMSIRRKSNNETVPKRDITIADDTKKTVVVSLWNELATTIGQELLEIADQSPVVAIKSLKVGDFQGVSLSAINKSVVLINPDLPEANKLRCWYDSEGKEAAMASVGAGSSPASKNGNRSVYSDRVSLSHITSNPSLGEDKPAFFSIRGYISFIKPEQAMWYRACKTCNKKVTESIGAGYWCEGCQKNDDQCNLRYIMVVKVSDASGESFISVFNEEAEKIVGCSADELDNLKSQDGEDSPYQMKLKQATWVPHLFRVSVTQNEYNNEKRQRITARAIVPVDFATESKLLLEDISKMGVSQ >OIW17996 pep chromosome:LupAngTanjil_v1.0:LG01:31183062:31183340:-1 gene:TanjilG_31369 transcript:OIW17996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLWISGSIVARGVFPHSDMGSIAKKKKERNEEEEEEEEEEEEEEEEKEEKKEEKEEKKKRRRRRRRGRREVRRRENGGKKMTMVIWLVCVI >OIW17758 pep chromosome:LupAngTanjil_v1.0:LG01:34655027:34656644:-1 gene:TanjilG_06443 transcript:OIW17758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLSSKIITICTLFLVLPISSATTNNENQIRPFKKVYAFGDSFTDTGNTKNGNGPSGFGHVSNSPYGKTFFNHSTNRYCDGRLVIDFVAESLSLPYLTPYLHRKKNESSGMNFAVAGSTAINHMFFVRNNLSLDFTPESIQTQILWFNRYLESQACQGVESGCKDFNETLIWFGEIGVNDYAYTLGSSVSTDTIRKLAISSVSGALQAVLEKGAKYLVVQGLPPTGCLTLAMYLASPDDRDEIGCVKSANNQSYTHNLVLQAKLDELRKKYPQSVILYADYWNAYRTIIKNPDQYGFTEVFKACCGSQDPPYNFSVFETCGTPNATACSSPSQYINWDGVHLTEAMYKVLSNMYLQGNFSQPPFNFLLEKKERQG >OIW18060 pep chromosome:LupAngTanjil_v1.0:LG01:29380119:29381402:1 gene:TanjilG_19292 transcript:OIW18060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGFHPSMTSPFAYTFTLSGDNSVSNLTTATTSTFNTTWLNSRIWSKLPQRLIDRIIAFLPLPAFFRARCVCKRWYGLLFNNNFLELYLQVSPQRHWFIFFKNKTRKSYIYKNNNGGSGAGSSCEGYLFDPYEMSWYRISFALVPSGFSPASSSAGLLCWVSDEAGPKTMLLCNPILGSLTQLLPPTLRPRLFPSIGLTITPTCIDVTVAGDDMISPYAVKNLTSETFHIDGGGFYSIWGTTCSLPRLCSLESGRMVHAEGKFYCMNCSPFSVLAYDISSNTWIKIQAPMRRFLRSPSLVECEGKLLLVAAVEKSKLNVPKSLRVWSLQGCGTMWVESERMPQQLYVQFSELEAGNGFECVGNGEFIVIMIKGTEKALLFDISRKRWQWIPPCPYIATDGFELHGFAYEPRLATPVTGLLDQLAMPF >OIW18613 pep chromosome:LupAngTanjil_v1.0:LG01:21610991:21613164:-1 gene:TanjilG_13365 transcript:OIW18613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYSYNYTPKYYSTFQDSITSLCKTILPFSFKKRSLPSAEYKLSKLQSDNLKWQQNSFHQVLNLMGLHKEGIVAENEVSAFRTHLLDTLIASPNEQEHPLILRDKLLFLQELLYAKCISEEDYHSSKRPLLQRLAVQGAQIEAKDVIMARTKDSKESSEEEWSVIDLKDEKCLVQKENSNSKNKSNQGSNMKHIKGTASVFGFVSSHKHGMEKNIFDSTCLSKTNEQQSEGSSILMEESGPPQPLKRKPFRTLFHREQIEGHGGGDGGCEADQRASKSVKRWGFDGFKKWKKNDLDDEIANEAYLASSQSFARAPGEGPDTKLIKKKLHSDGSPSDFFIDKVLGDKIKKELSRIQTELSSTNPNLKFSNDQIEAISTKIPVDKAELKNYFPKSWCDRYGDVVLDVVKKEFKEHVGEMENMRNIAREKHGSSSRRWATSEDDENIHPNLFANHDYSVHSSNINPFSNGYSKWN >OIW19326 pep chromosome:LupAngTanjil_v1.0:LG01:3788486:3790805:1 gene:TanjilG_26025 transcript:OIW19326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGREREDPKQQQQQQQVPYTVEQLVALNRYNPDILPDLENHVNDQVSSQTYSLDANLCLLRLYQFEPEKTSSQIVARILVKALMAMPAPDFSLCLFLIPERVQMEEQFKTLIVLSHYLETGRFRQFWDEAAKNRHIVEAVPGFEQAIQNYAIHVLSLTYQKVPRTVLAEAINTEGLSLDKFLEHQVATSGWAIEKGSQGRGQLIVLPRNEFNDPILKKNTADSVPLEHITRIFPILG >OIW18298 pep chromosome:LupAngTanjil_v1.0:LG01:24622077:24629482:1 gene:TanjilG_31438 transcript:OIW18298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGIKDKFSSQLRPLQRSFQFWVRAVDIYTGYKVFQVRVNFEKNVQKREAMWEMQHELAADKIYSMCSDLGGFFLKIAQIIGKPDLAPAAWVRRLVTLCDQAPATPFETVKLVLENELGQGIDDVFERFDMEPLGSASIAQVHKARLRGDKGDVVVKVQHPGVQDLMMTDLHNLQAFALYIQKTDVKFDLYSVTKEMEKQIGYEFDFMREAGAMERIRKFLYENNKRAPVLVPRVIRNMVARRVLVMEYMDGIPIMNLGNEIAKRGIDPHSKVAAAAKHKAQSATVAYVTPFYHRVALLDYGQVKDLPEQLRLGYANLVLAIADGDPVRASKSYRELGIDTLSKCENEQQEMLKLAQTMFDTKLPPGVVMLQPFSEESSIKKIAVEAFPEELFSVLRTVHLLRGLSVGLGINYSCAEQWRPIAEEALIQAGKHKGDIITLIGEVVKRGGSKRSLVRRLFRRD >OIW18704 pep chromosome:LupAngTanjil_v1.0:LG01:22395426:22395663:1 gene:TanjilG_13456 transcript:OIW18704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWLLWVCKEEENELGREKAPGSCPYCRGKVEAIDVEGKWRPHEPSCQAFL >OIW19152 pep chromosome:LupAngTanjil_v1.0:LG01:10234040:10243478:-1 gene:TanjilG_21008 transcript:OIW19152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEMANTDPEGIDGVRMTWNLWPRTKVEASKCVIPLAATISLLRPHPDIPTLPYAPLRCKTCSSILNPFSRVDFTAKIWICPFCFQRNHFPPHYSQISETNLPGELYPHYTTVQYTLPNQNPGANYDQHVQSVQSPVFLFVLDTCMIEEELEFLKSEIRRAVGLLPDNALVGFVSFGTQVQVHELGFSEMSKVYVFRGNKEIGKDQILEQLGLGSGGARRPSAGFQKGIPGQGGGGFGFSSFTRFLLPASDCEYTLNSLLDELQTDQWPVSPRTRPARCTGVALSVAAGLLGACNPGTGARIIALVGGPCTEGPGTIVSKDLSEPVRSHKDLDKEAAPFFKKAVKFYEGLAKQLVSQGHVLDLFASALDQVGVAEMKVAVEKTGGLVVLSESFGHSVFKDSFKRVFEDGEQSLGLCFNGTLEINCSKEIKIQGIIGPCTSLEKKGPSVADTVIGEGNTTAWKLCGLDKSTCLTVMFDLSSSDRSNTPGAANPQLYLQFLTSYQSPEGQLLLRVTTVTRRWVDVSISSEELVQGFDQETAAVVMARLTSLKMETEEAFDATRWLDRLLIRLCSKFGDYRKDDPSSFTLNPSFSLFPQFMFNLRRSQFLQVFNNSPDETAYFRMLLNRENISNAAVMIQPSLISYSFNSVPAPALLDVSSIAADRILLLDSYFSVVIFHGMTIAQWRNLGYHHQPEHQAFAQLLQAPHDDATIIIRDRFPVPRLVVCDQHGSQARFLLAKLNPSATYNNAHEMAAGSDVIFTDDVSLQVFFEHLQRLAVQS >OIW18163 pep chromosome:LupAngTanjil_v1.0:LG01:26208349:26210291:-1 gene:TanjilG_31283 transcript:OIW18163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIQGCEVEAIGINYKIHTQKTKHPFKIFRKSQLETKENGQEPEEKSETEQSCSGIKHVLKNVSCRAKLWEILAIVGPSGAGKSSLLEVLAGKVSPQSGTVFMNQKPVNKAQFKKLSGYVTQKDTSFPLLTVQETMMFSAKLKLKLPQQQLCCRVKSLIKDLGLDHVAGTRIGDDRVRGISGGEKRRVSIGVEVIHDPRVLILDEPTSGLDSNSALQIVDMLKVMADTKGRTIILISINLDLLGVNLRLMGLELPLHVNVVEFAIETIDAIQQQRCLVQLETPRQLQGIVQLKKGDDAEEPSKCRSGKFTLQQLFQQSKVIDEEIINAEIDFLCDFANSRLRETMILTQRFSKNIFRTKELFACRTLQMFISGLVLGSIFPNLKDDLVGARERVGLFAFILTFLLSTSIEALPIFLQEREILMKETSSGSYRVSLYAIANGLIYLPFQLILAILFSLPLYWLVGLNKNFVAYSQFLLLIWLILYTANSVVVCFSALVPNFIIGNSVIAGVIGSFFLFSGYFISNHEIPKYWIFMHYISLFKYPFEGFLINEFSNSEKCLQYIFGKCKLRGEGVLKEEGYGGESSRWKNVGIMVSFILFYRFISYVILRYRCSQRGSKSVDIM >OIW19768 pep chromosome:LupAngTanjil_v1.0:LG01:65955:68092:1 gene:TanjilG_27307 transcript:OIW19768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKDGASLLPRRTTRSLASASNSVPDRKVNSLGPLTIDDLLVGGDSISLDDLISSFPGRSSQILQISHLLGPLNSPILPLFVYGGSSTGKTSIILQLFRHLNRPLVYSSCRTCYNQRILFESILNQLLLHRKNACNGYSNAKRCERPSDFVNCLREALTNVINNLKEKSETQRGIGNMIYLVFDNFQLVREWDKSSTILPLLFNLYDLLKMPEVGLIFISSTSPDTFYSNMGYVEPIPVHFPDYTDGDIRQILLRNQPNQNLYSSFLKVALSHFCRMTRQVDELSTALKPLYEKYCEPLNNKEVIPTGDMKRKLFTLLVETREETKQKGNHNNLKHFEEIGELDFHMSTSAKYLLISAFLASRNPATLDASLFDAKGGSDNRKRKRKASEKVLEKKETLEEELLMKGPGSFPLERLLAIFQCLVSVAEEPYDEEEQKSDGLGVQGGNGGLMNNVLLQLSSLCNANFIYKGRSCPIEGAMRYRSTISEDLALKVTSRLT >OIW17689 pep chromosome:LupAngTanjil_v1.0:LG01:35200059:35200730:1 gene:TanjilG_29039 transcript:OIW17689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVHTIETESASSVPPAKLYKAFVIDFDNFVPKAFKAIQSVEVIEGNGGPGTIKKVTAVFGGETKHVLYKVEAFDEANWGYNYQIIGGFELPENVDKVSVETKLVEGPDGGSIAKFTAKFETKGDVLPNVKEHAKAESKTRRDAFFRAIETYLSANPDYN >OIW18606 pep chromosome:LupAngTanjil_v1.0:LG01:21564982:21567306:-1 gene:TanjilG_13358 transcript:OIW18606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKKKHVGETSEHNGNQSKVGDRSHEAYEKTSISISQELKGEGNKLFCKRDLEGALIKYEKALTLLPKNHVDVSNLRSSMAACYMQMGLSEYPRAIHECDLALKVTPNYSIALLKRARCFKDLNRIDLALRDVRTVVKMEPNNVTALDLYENVKNALEEKGLRVSDMTIELPLDYVEPPTTLPPQKVVKEKTRKKKTNKKEEKVLGNKIPKKQAEEKFEEKKAEDSIMKKTNIFKKKATKKVDEKKAEESIMKKTNKFKKKATEKIDEKKADIKEAVDEKSNGRRGNVPKKTAKLIFGEDIRWAELPVNCSLLQLREIICDRFPSLRAVLVKYRDQEGDLVTITSDEELKLAGAGNQGSFRLYLVEATPEQDPMFEKLKVNTGEEFRINNEPENGCMVKANEIITPSCIEDWVILFAKLFENNVGFESDRYLDFHELGMELSSEALEEVVTSKEAQGVFDMAGDKFQEMTALALFNWGNIHMSRARKKVYFKDDYSKEHPCEQFKSSYEWAQKEYAKAGEKYEMAIKIKSDFYEAFVALGQQQFEQAKHSSYYALSNDIDLATWPSHEVLRLYNNSDDNMKKGMLIWEELEKQCLGKTSYSKDVRLHLQSTGLDRMIKTISSDDIAAQATNIRSQINLLWGTMLYERSIAEFKFQLPVWHESLQVAIKKFERAGASPTDITVMLKNHCSNNTAVDGLGFKIDEILQAWNEMYEAKRWQSGIPSFRLDPLFKRRVPKVYNASEPA >OIW18070 pep chromosome:LupAngTanjil_v1.0:LG01:29704453:29704986:1 gene:TanjilG_19302 transcript:OIW18070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNFPASAVSSRDLATSQANHSKDTPSLSKSSSLIPKYPYDMSYYGSHGLKHSLLSELKTESDFHLGALLRKGGVESLESVVRIKEAEARIFQTKADEAKREAEGFKRMIKTKAAQMEEEYAEKLGKLCLHETEETQRKKLEELKVLENSHYDYYKMKMRMQDEITGLLERMEATKQ >OIW19528 pep chromosome:LupAngTanjil_v1.0:LG01:1921823:1925487:-1 gene:TanjilG_06983 transcript:OIW19528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNINGQIVPHPCPHLAEFRRTSSKPFRALHHCLRIKPPGGRAAIRRDPNEVPCCAACGLSSPSRLYACITCATVSCHAITVDGDSISHAAAHAASMPNGHQIAVDVDRAELFCCACCDQVYDRDFDAAVVIAQTTACTLGGGSAEIPPLQPENLRKRRRVNYLPWAPDLREQALIGSCSSPIDGTTDDSYHFPRGLRGLNNLGNTCFMNSVLQALLHTPPLRNYFLSDRHNRYFCQNKSNDEGDSGNAGKRSGGINGNKNGRICLACDMDAMFSAIFSGDRAPYSPAKFLYSWWQHAANLASYEQQDAHEFFISMLDGIHEKVEKDRCKPHSEGVDICSKPQTIRANSQPQPAGLMVLAATSVSSSSGDCCIAHRVFSGILRSDVMCMACGFTSTTYDPCIDISLDLEPNQGASTKKAAASSNHMCKGEADCSSQNCGTSTLMGCLERFTRAERLGSDQKFFCQQCKVRQETLKQMSIRKLPLVSCFHIKRFEHSSTRKMSRKLDRYLQFPFSLDMSPYLSSSILRSRFGNRIFPFDGDEPDATNEVSSEFELFAVVTHSGKLDAGHYVTYLRLNNQWYKCDDAWVTRVDENIVRAAQGYMMFYVQKMLYYKASDKQVAS >OIW18752 pep chromosome:LupAngTanjil_v1.0:LG01:22713016:22714029:-1 gene:TanjilG_13504 transcript:OIW18752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSPFKLPSLSASITNEELRTFHNIDRIIYWILVINLSRDPAESMHVLAMLLWLEKVGYRHLIKKMTTLPYILINEIADEVVSCLKYINIYTFSYFSYMSYPTETCEIPLLQSIVEKEISAQLLYDNRDLAFKGIAKILHDVCSRAFRDILQHALMRNMMEKMAEEQRKMQEAQFAELQQQQPPPPFWFAPMEPSSSVVLSDAGYNQFPVQNQVENNYDEMVPADERTLFLTFSKGYPVEEQEVKEFFTLLFGDSVEGLYMQEVQPGEQALYARIVFRSSLIIDMIIQGSSKAKFCINGKHVWARKFVPKRSKSLFPIPTYNSNYYVGESSGTAGMM >OIW19208 pep chromosome:LupAngTanjil_v1.0:LG01:7834949:7835179:-1 gene:TanjilG_20333 transcript:OIW19208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNFTENRKTATTTTSPSSHGLENEGEVIVIKKLERMPTEDIDAKADEFIKNFKHHLLIQRLQSIENYEQMLARGQ >OIW17957 pep chromosome:LupAngTanjil_v1.0:LG01:31906982:31915175:-1 gene:TanjilG_17793 transcript:OIW17957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMEDDKASSSNSTQILPKPRRLKGHTDSTSCCIASRDRPGVIVTSGEDGRVCWFDMRCKDFSELLMDVSTEPVSSLCFKPGNEDMIYVSSGKEIKCFDVRLANREWKPLESYNYNKEEINQIACNSKSSFLAAADDGGEVKIIDIRHQCLYKTLRAGHTSICSSVQFLPWHSWEVISGGLDSTLLMWDFSKGRPNKVADFGLIDVSSGMAGQCLNPAFIHAIAVPEVDMLDKLDKVCAVARGDGVVNVINIESEMNAVKSKSSSNLRKRSQPRSKDGRSTSNVDPDKNGKKRLHLDYSLGGHTAAVSALAFSMFGERGKFIISGGNDKLVKVWNWSSYPDAGLLSDSNNAILHLNINVTHKVNHLCTTPADTDNLILCDTSKVVKVYSIT >OIW19245 pep chromosome:LupAngTanjil_v1.0:LG01:6752341:6763467:1 gene:TanjilG_20370 transcript:OIW19245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENVAALNLIDSFWFEHNILNKTSASLVSIPTSSSENVDHKKQEESLSEPKLARIQINHTRCNSDQSLTSLKDGYYPVSPVYVVPFQKLQTILSGKEVTDSEPEKDVLLSAPPKKKKFTRRKRSGSKSLSDLEFEELKGFMDLDEDKDSSLASIIPGLHRLGKKNEEVEDSNDFESLVPRPYLSEAWEVIDERKKKENHLMNWKVPSINIETDMKDSLRLWAHTVASTVR >OIW18697 pep chromosome:LupAngTanjil_v1.0:LG01:22319894:22323312:-1 gene:TanjilG_13449 transcript:OIW18697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDVPRPGLMRHWPDLMHETDASVPRLDVPRPGLMRQVSSVTIQTTSLMRQAFSVMIQAPSVTIQAPSVTSQSSSVKGKRLSVSIHANMESEGKKDTKIVLTKPFSLESESDNSDSRAPNLLNRILNLLKNVRPGSDLTHFQARQLLFSTFNLISFARERHLSLQTPLVYCRGSDLLRKCNSEQSPVERFKSVVAWSISTTRPTSFAVAPYNPTLGETHHVSKGNLNVLLEQVSHNPPVSALHATDEKENIEMIWCQQPVPKFSGTSIEAQVHGKRQLKLLNHGETYEMNSPHLLIRILPVPRVNWVGSVNIRCLETGLVAELFYKSTLSFLGLGKNRKLIQGKIIDSSSSKVLYEVDGYWDRTVTLKDTKSGETRVIYDAKEVISGLQAPIVKDPQRVWPTESALVWSELSQAILNNDWEKAREAKQLVEERQRKITAERETNGKVWIPKHFAVSFSKEGAWDCSPIHKWVPSAPIIA >OIW19718 pep chromosome:LupAngTanjil_v1.0:LG01:1318268:1320862:1 gene:TanjilG_18528 transcript:OIW19718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGSRREETPVINSSNVFAALGSLKKKKKKPEDKQGSSKSRNDESEKKELFWAPAPLTVKSWADVDDEDDDDYYATTAPPQSVWAAHPPAADSDVAAEKEIVLEESESELEGLDDVEDDAEDEHEHDLEVSAETEPVLEKAPEPSLATKETERQLSKKELKKKGLEELDAVLAELGYTQKEPSGQDESHAEKKEVDHNGQVEKKENATGESKSAKKKKKKDKSAKEQKESQDTSGNTTSETSGLEKVEDASATDVKERLKKVASIKKKKSNKEMDAAARAAATEAAARNAKLAAAKKKEKAHYNQQPVR >OIW17614 pep chromosome:LupAngTanjil_v1.0:LG01:35981466:35982338:-1 gene:TanjilG_28964 transcript:OIW17614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFEYGTSIFHNHSSENEPIDPNISNNGGGGVGGGGASGWPCPHCRPQSGATRKHHLHNHHHEHSSPQKRPRGRPPGSKNRPKPPLVITQENNEGFKPVVIEVATGLDVVKALVNYSKRHHVGISVLCASGSIANVTLRHPLPHSSSFTIHGPFTMLALNGTYISASSSFAINPNHPFSTETNSFGISLLGSQGEVFGGVIDGKVVAGSNVTVMAMVFKKPEFHRFGFNGNVNDEGGVVAEKEHNPSTTSGGGGGGSGGGDHSNIMTELLNPQVPSDDDVMQWGRIYSSTF >OIW19575 pep chromosome:LupAngTanjil_v1.0:LG01:199554:199829:1 gene:TanjilG_18385 transcript:OIW19575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGQSKGSIINGIEGVESKGSRDIMVAKPGSSTGACDLVLRVLALVLTLVATIVLGVDKQTKIVSVQIVDTLPPFHVPATAEWHYLSAFV >OIW18981 pep chromosome:LupAngTanjil_v1.0:LG01:18254608:18255946:1 gene:TanjilG_23758 transcript:OIW18981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNVPKTRKTYCKNKECKKHTLHKVTQYKKGKDSTAAQGKRRYDRKQSGYGGQTKPVFHKKAKTTKKIVLRLQCQGCKHVSQRAIKRCKHFEIGGDKKGKGTSLF >OIW19754 pep chromosome:LupAngTanjil_v1.0:LG01:1603687:1605018:1 gene:TanjilG_18564 transcript:OIW19754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSLSDFGTLPDKPHKNIVRILKGKPFRKPDISVTVQEVLEKAKSEGRNGFVVDVGANVGMASFAAAAMGFRVVAFEPVFENLQKICEGIYFNRVADLVTVFEAAASDKLGNITVHKLVGRLDNSAVSATGAKMAFKSNEEIAFQVRTVPLDEVILESERVLLLKIDVQGWEYHVLKGASKLLSRKGSQAPYLIYEEDERLLQASNSSSKEIRDFLRTVGYHECTQHGTDAHCTKKD >OIW18696 pep chromosome:LupAngTanjil_v1.0:LG01:22317705:22318211:1 gene:TanjilG_13448 transcript:OIW18696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWACLSCTSSPSTFNNIRVVHLNGCVEYFDQPISACQVIGNPPKHFLCTSIQLLSSSSKPLVGDTQLQPGIVYFMLPYTILQSDVSPLDLACLAKRLTTIAKTRDKSLKNGMNMRMNEGERRGCRLQPWKPILDTIKERSFNMRSESDLQELELITRKERKKISKVLY >OIW19020 pep chromosome:LupAngTanjil_v1.0:LG01:17328928:17331839:-1 gene:TanjilG_10581 transcript:OIW19020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSIVIFLLCLSIPLLLLLLFQKPRSTKNPCPPPGPRGLPIIGNLHQLNDSSTLHLQLWQLSKKYGPLFSLQLGLRPAIVVSSPKLAKEILKNHGLVFSGRPSLYGQQKLSYNGSEIVFSPYSDYWREIRKVCVLHIFSSKRVSSFSSIRHYEVKQMMKKISSHASSSTVTNLSELLMSLSSSIICRIAFGRKYEDEGTEKSRFHKMLNEVQAMLGTIFVSDFIPFMGWIDKLIGLHSRLDRIYKEMDKFFQQVIDEHMDQNREHAHDEDIVDVLLQLKKQRSFSTDLTYDHVKAVLLDILVAATDTTAATSVWAMTALIKKPNVMKKAHDEIRKFGGKKNFLEENDIQNLPYLKAVIKETLRLYLPAPLLVPREANENCIIDGYQIKAKTIVYVNAWAIHRDPEAWKDPQEFYPERFLDNAIDFQGQDFELIPFGAGRRICPGLSMATPTLELIIANLLYSFDWELPQGLVKEDIDTEVLPGITLHKKNPLCLFAKNHI >OIW18308 pep chromosome:LupAngTanjil_v1.0:LG01:24485727:24487437:1 gene:TanjilG_31448 transcript:OIW18308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRLLWVVLSLSMVLAVAESFDFQDKDLASDKDLWNLYEKWRSHHTVSRSLDEKHKRFNVFIANVMHVHDTNKLDKPYKLKLNKFADLTNHEFRSIYASSKVHHHRMFRGTQRVNRTFMHENVASVPPSVDWREKGAVTAVKDQGQCGSCWAFSAIVAVEGINQIKTNKLVSLSEQELVDCDTLINEGCNGGLMQYAFEFIKQNGGITTETNYPYKAVDGICDEKEPAVSIDGYESVPQNNEAALLQAAANQPISVAIDAGGSDFQFYSEGVFTGECGTYLDHGVAIVGYGATLDGTKYWIVKNSWGSQWGEHGYIRMERDISKKQGICGIAMEPFYPIKNSPTNPTKHSSSYKDEL >OIW18368 pep chromosome:LupAngTanjil_v1.0:LG01:23614809:23618311:-1 gene:TanjilG_31508 transcript:OIW18368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLSPSLSLPNPSLFSTTFLNPNNNNNNNCINLNNVNLNKHLLRHRFHFQARAKSREIVLGNPSVTLEKGKYSYDVETLINKLSSLPPRGSIARCLDSFKNKLSLNDFALVFKEFAQRGDWQRSLRLFKYMQRQIWCKPNEHIYTIMITLLGREGLLDKCREVFDEMPSQGVPRSVFAYTAVINAYGRNGQYETSVELLDRMKQERVSPSILTYNTVINACARGGLDWEGLLGLFAEMRHEGVQPDVITYNTLLSACAHRGLGEEAEMVFRTMNEGGILPDTMTYRYLVDTFGKLDKLDKVSELLREMESGGNLPDITSYNVLLEAYAGLGAMKEAMDVFRQMQAAGCVPNAATYSILLSLYGKRGRYDDVRDLFLEMKVSNTDPDSGTYNILINVFGEGGYFKEVVTLFHDMVEENIEPNMDTYEGLIFACGKGGLYEDAKKILLHMNEKGIVPSSKAYTGVIEAYGQAALYEEALVAFNTMNEVGSTPTVATCNSLIHAFARGGLYKEAEAIWLRMGESGSSRNVHSFNGVIEAFRQGGQYEEAVKAYVGMEKENCDPNEQTLEAVLSVYCSAGLVDESEEHFQEIKGSGILPSVMCYCMMLALYAKNDRSNEAYNLIDEMVTTRVSDIHQVIGKMIKGDYDDESNWQIVEYVFDKLNSEGCGLGMRFYNTLLEALWWMCQRERAARVLNEASKRGLFPELFRKNKLVWSVDVHRMWEGGALTALSIWLNDMQEMFLTGDNLPELATVVVVRGRMEKSTVAQDSPIAKAAFSFLQDNVPSSFTFPAWNKGRIVCQQSQLKRILSGTESSSNRTKKDKLTSLSNTPLTSAGAIGSKPDALSGKANDVDSRTDSTRTELLTSAV >OIW17916 pep chromosome:LupAngTanjil_v1.0:LG01:32741304:32743208:-1 gene:TanjilG_30534 transcript:OIW17916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLDLTPKTSQTLFEGEGGGYYTWTSSEVPLLAKFNVGAGRLVLHPQGFALPHYGDAAKLGYVVEGTGGIAGLVLPSTRKEVIVKLEKGDVIPVPIGSVSWWFNEGDSDLVIIFLGETSKALIPGQFSYFFLTGIQGLVGNFSPELTSKIYNLNKDEINKLTKSQTGVLIIKLEKNQTIPKPHNKGFVFNIDSSHPDTVVKNGGLVKTLAEKGFPFIGEVGLSLIRVKLEPGAIRAPSYLASPVVQLIYIARGSGKIEIVGLNGELVLDTQIEAGQLIVVPQFYVAAQIAGVAGLESYTIVTTTKPLFEELGGKESIWGGISPTVLQAALNVDSEFQKLFVSNTKETTNIIPPTV >OIW18010 pep chromosome:LupAngTanjil_v1.0:LG01:30614143:30617286:1 gene:TanjilG_07594 transcript:OIW18010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDENMKTWVSDKLMSILGYSQPTVVQYMIGLSKQATSPSDLVSKLQEFGFSSSSETNAFASEIFSKVSRKSSGLSQYQKQEREAALLAKKQKTYKLLDDDDDDDDVRGVEEGKVSETKSASRKGDSNKRQFRKKIEVDDVVDVEEEGILTRERVVRRRTSRDDDDDSESEEERLKDQREKEELEKHLRERDEAGTRKLTEHKLTQKEKEEAIRRSNALEKDDSLAFRKVSRQEYLKKREEKKLDELRGDIEDEQYLFEGVKLTEAEKRDLQYKKEIYELVKKRTEDADNANEYRMPDAYDQDGGVNQEKRFSVAMQRYRDTTAEEKMNPFAEQEAWEEHQIGKARLKYGSKNKKQTSDDYQFVFEDQIDFIKASVMDGDNVDYEEMEDSLEKSKAKSALEALLEERKKLPIYPYRDELLKAVDEHQVLVIVGETGSGKTTQIPQYLHEAGYTKRGMIACTQPRRVAAMSVAARVSQEMGVKLGHEVGYSIRFEDCTSEKTIVKYMTDGMLLREFLGEPDLASYSVVMVDEAHERTLSTDILFGLVKDISRFRPDLKLLISSATLDAEKFSDYFDSAPIFKIPGRRYPVEINFTKAPEADYLDAAIVTSLQIHVTQPPGDILVFLTGQEEIETAEEILKHRTRGLGTKIAELMICPIYANLPTELQAKIFEPTPEGARKVVLATNIAETSLTIDGIKYVIDPGFCKMKSYNPRTGMESLLITPISKASAMQRAGRSGRTGPGKCFRLYTAYNFHNDLDDNTVPEIQRTNLANVVLMLKSLGIHDLLHFDFMDPPPAEALLKALELLFALSALNKLGELTKVGRRMAEFPLDPMLSKMIVASEKYTCSEDIISIAAMLSVGNSIFYRPKDKQVHADNARLNFHTGNVGDHIALLKVYNSWKETNYSTQWCYENYIQVRSMKRARDIRDQLAGLLERVEIELTSNSSDVDGIKKSITSGFFPHSARLQKNGSYRTVKHPQTVHIHPSSGLAQVLPRWVVYHELVLTTKEYMRQITELKPDWLVEIAPHYYQLKDVEDSVSKKMPRGEGRA >OIW18452 pep chromosome:LupAngTanjil_v1.0:LG01:20389017:20392404:1 gene:TanjilG_13204 transcript:OIW18452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLVASGSCQMSPSVVQEKGSRNKRKFRADPPLGEPNKIIPASQHECLGYEFSAEKFDISTGHVEATACDLCSINHDHSDGLKLDLGLYSPGSSCEAGPCQPKEEVEADEYDDADWSELTEAQLEELVLSNLDAIFKSAIREIVACGYTEEVASKAVLKSGICYGCKDTVSNIVDNTLPFLRKGQEDYPSREHHFKDLVQLEKYILAELVCVLREVRPFFSTGDAMWCLLICDMNVAHACAMDCDPLSNSLGYGTADGCSSNQTELQSKAETRVPDLSLPSPCKSIPAVSHNSQSKKPLVAGIPGVNNLKNSQSIGGPSENEGVSCGPHCADKAFNATGTSQSPSLEEKCGNVRKVHSGSSKRDYILRQKSFHVEKIYRTYGSKGSSRGGKLSGLSGLILDKKLKSGSESSTISLKSASLQISKATGVDVTQDNLDASFSPNPAAFSVDSADAVFRSFNTSFSVHAANNIPAFSSPGSLSLTDTDLSLSLSSKTMSTAPVCAPNSSHVGIPYNKSQGQWVPQDKKDEMILKLVPRVRELQNQLQEWNEWANQKVMQAARRLSKDKAELKTLRQEKEEVERLKTEKRSLEENTVKKLSEMENALCKASGQVLRANAAVQKLEVENAALRIEMEAAKLCASETAFNCQEISSREKKTQLQFQSWEKQKFLLQEELVSEKRKLAQLVQESEQVKMQQEQVQARWQQEAKAKEELLLQASAIRKGREQIEELAKSKEDMTKSKAERNVQRYKDDILKLEKEIAQLRLKSDSSKIAALRMGIDGSDGSRSADTKNGSALEEPRTSFISELVSDYSVTGGVKRERECVMCLSEELSVVFLPCAHQVVCTTCNELHEKQGMQDCPSCRSLIQRRIPVRYSHI >OIW18397 pep chromosome:LupAngTanjil_v1.0:LG01:23332105:23332834:1 gene:TanjilG_31537 transcript:OIW18397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIFTFEDESTSTVAPARLYKALVIDADTIIPKAVEAIQSVETVEGNGGPGTIKKLTLIEGGETKYVLHKIEAIDEANLGYNYSIVGGVGLPDTIEKISFETKLVEGANGGSIGKVTIKIETKGDAQPNEEEGKAAKARGDAFFKAIESYLTAHPDYN >OIW18885 pep chromosome:LupAngTanjil_v1.0:LG01:19488536:19495485:1 gene:TanjilG_25328 transcript:OIW18885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSAMAQSNWEADKMLDVYIHDYLLKRKLHASAKAFMTEGKVATDPVAIDAPGGFLFEWWSVFWDIFIARTNDKHSEPAAAYIQTQQMKAREQLQIQQLQLMQQRSAQLQRRDPNHGALGGSLNAMNSEGMLGQPPATVLAMKMYEERMKHSHSMDSEASPALMDGNRMALLKSGTSNQGQLVQGNSGNMPTALQQIQDMKGEVGLGGIPKSLPMDTSVYRQAILQSKSGLSGAGLNQGVTGLPLKGWPLTGIDQLRPGLGVQVQKPNLTPQNQFLLTSQQQQVLAQAQAQNSLGNSANFGDMDPRRLSGVPRGSLSAKDGQSTRNEGSMCSQVQSGSPKSNRKRKQPSNSGAANSTGTGNTVGPSPSSPASTHTPGDGINTASSMQNANTVQKSSMMYGADGTGGLASSSNVLDDMDRFGDVGALYDNVESFLSNDGGDGGNLYGTIKQSSAEQHKDSSKGFTFAELGCIRTRNSKVTCCHFSSDGKLLASAGHDKKVVLWNMDNLQTESTPEEHKSVITDVRFRPNSSQLATASVDKSVRLWDAANPSYCMQEYSGHSSAVMSLDFHPKKTDLFCFCDNDNEIRYWNITSSSCTRVSKGGNAQVRFQPRVGRFLAAASDKLVSIFDVETDRQIYSFQGHPEIVNYICWDANGDILASVSQNLVKVWSMTSGECIQELISTNNQFHSCVFHPSYSTLLVIGGTSCLELWNMAENKTMTISAHENIISALAQSPVTGMVASASHDSSVKLWK >OIW18838 pep chromosome:LupAngTanjil_v1.0:LG01:19936676:19940267:1 gene:TanjilG_25281 transcript:OIW18838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRRATTLLRRPLNAAAASKRFSTDLPVEPATDASFVEAWKKVSPHIDPPKTPLSYLKPRPSIPSSLPTKLTVNFVLPYSSELASKEVDMVIVPATTGQMGVLPGHVATIAELKPGVLSVHEGNDITKYFVSSGFAFIHANSVADVIAVEAVPLDQIDAHLVQKGLQDFTQKLNSATTDLEKAEAQIGVDVHSALNSALTG >OIW19225 pep chromosome:LupAngTanjil_v1.0:LG01:7379728:7383126:1 gene:TanjilG_20350 transcript:OIW19225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREIISIHIGQAGIQVGNSCWELYCLEHGIQPDGMMPSDSTVGGAHDAFNTFFSETGSGKHVPRAIFVDLEPTVIDEVRSGTYRQLFHPEQLISGKEDAANNFARGHYTIGKEIVDLCLDRVRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTIYPSPQVSTAVVEPYNSVLSTHSLLEHTDVAVLLDNEAIYDICRRSLDIERPTYTNLNRLISQIISSLTTSLRFDGAINVDITEFQTNLVPYPRIHFMLSSYAPVISAAKAYHEQLSVPEITNAVFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVGTIKTKRTVQFVDWCPTGFKCGINYQPPTVVPGGDLAKVQRAVCMISNNTAVAEVFSRIDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAEGVEDEDEGEDY >OIW17898 pep chromosome:LupAngTanjil_v1.0:LG01:32875741:32879012:1 gene:TanjilG_19867 transcript:OIW17898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLMVTTFVGIVFGFFIGISFPSLSAKLNLPPGLLPTIDVSYIEGKYTGATMRSFLKSNNKESAQNQLLNDTKIWVPSNPRGAERLPPAIVESESDFYLRRLWGKPSEDLTSKPKYLVTFTVGYDQKKNVDAAVKKFSENFTILLFHYDGRTTEWDELEWSKRAIHVSARKQTKWWYAKRFLHPDIVAPYDYIFIWDEDLGVEHFNAEEYLRLVKKHGLEISQPGLEPNAGLTWQMTKRRGDREVHKETEEKPGWCSDPHLPPCAAFVEIMAPVFSREAWRCVWHMIQNDLVHGWGLDFALRKCVEPAHEKIGVVDSQWIIHQSVPSLGKQGESQDGKAPWEGVRERCKKEWTMFQSRLANAEHAYFKTVSATDMFNSTTA >OIW18366 pep chromosome:LupAngTanjil_v1.0:LG01:23634780:23637197:-1 gene:TanjilG_31506 transcript:OIW18366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSHQKNIKQKVFTCLTKLSDRDTHSLAVAELESIARNIDPTTVPVFLSCILSTDSSDKSPVRKQCVNLLGLISETHGNALSPYLSKILTAVVRRLRDPDSSVRSACVNSVSALSRHVTKQPFSTFLKPLTEALFTEQDQNSQIGAALCLASAVDGAPDPEPVRSAKLLLRLEKLLKRDTFKAKPAVMTLIRSVVEAGGASNHAILSSLVPCLVESLRSGDWTARKAAAEALVVIANVERDYLSEMKAECLKVFENQRFDKVKVVREVMNQMVEAWKHIPDVSNEFSPPPQSQSSSKDNASDGRYPPSHQNTSNPRSAMANFRKKSSPVSRFSLPDSSSASNAKNASSLSSNKRMSLGVSRKLNHKNWDVQVAVPNAPSATMADHGDLQEVDETVLERSKKEKSRFLKPEMRRALFNKTSDDKIQKFGGSKAGSRVVPYHEESQDSFPVRNVTKDLVKNDKDSEELSLIHNQLEQIEKQQSSLLDLLQKFMGSSQSGMHSLETRVRGLELALDEISYDLAISSGRMTNYDAPGNACCLLPGAEFLSSRFWRKTQGRYTSSRFSKSGGPPSLAAMHYNTDRNAETRLPNQGLRPDGGFITNPLAEVRTNSRDFAQSNPV >OIW17883 pep chromosome:LupAngTanjil_v1.0:LG01:33170566:33171375:1 gene:TanjilG_19852 transcript:OIW17883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGQTPQNGTTIKEVRDDQAVSSPVRLLPPPGHLDNHEMYIVQFPKDQIYRIPPRENALIVERHRNLPKEKKARSCCCSTRLLLTLCLILITIIAIVGITLAVLYFIFNPMGPTFSINDVMVNTIGKSKTPQYEISLGVKNPNNRLGLDYENNDNVVTLMSEGIMVATGKFPALEQGHDASSKVMVELTGTNVPLPKVMDMSMNDVKSNKPISLSLNMKLGLRVVTAGLKAWVMKSDVVCEFKVNALRNDTKILSQICETNFKIMFKII >OIW18229 pep chromosome:LupAngTanjil_v1.0:LG01:25504361:25505705:-1 gene:TanjilG_06313 transcript:OIW18229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASATKLIYLSMILLLLQLTPLMESIRTNPTLTPTPTEWPLQFHSVLFINNSGSLQKTDLWYDWPNGRNFNIIQNQLGELKYDLEWNNGTSFIYTLEPFNKTCKVLHFDVGILRPNWLQGATFLGQERVDNFLCNVWEKVEFIVYYEDVITHRPVKWIFYTGMTAHVMTFEVGAVLEDAKWQAPVYCFGEAETENQRSKISPVLESPVHGGSPGMLIRTIADAADAVL >OIW17825 pep chromosome:LupAngTanjil_v1.0:LG01:33943335:33953742:1 gene:TanjilG_02453 transcript:OIW17825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSELKAARSAYRNAKADGNHREEARWANVISNILKNKGEYFESLKWLRIDYEVSLKYLPEKDLLSTCQSLGEIYLRLEQFSDALIYQKKHLDLAREANDIVEQQRASTQLGRTYYELFSRSEHDHHSIRNAKKYFNCAMELAVTLKQNPPNNKSSFLKEYIDAHNNIGMLEMELDSLDEAKRILNRGLEICDEEEISEFDDGRSRLHHNLGNVYMELRVWDHARKHVRRDIIICNRIRHCQGEAKGYINLGEVHYRTQKYEDARSSYERALALAKLLEDEDGLVRQIDRNIEIVKEAMKVMSEIKKEEQNLKKLRRDAANARETPHERKCLLQTIKSLDGLIDKSRSISVWEKQCEFAKEKKKIASELCDSLKLADSYLDVGESYQKLRKFNKAIKWYKKSWETYKTIRYLEGQALVKINMGNVLDSTHDWRGALAAFQESYSIAVEADLPDVQLMALENMHYSNMVRFDDEGETRRLKLLIDKLSKSTEKDAEAKNIAEDCCSETDTDADDNLSNSGSDNFCSRKTVSRSKTVTTGEVLKDDTPLMSLSQSIKGSSRKITGHVEKIASSTKQAEQSTNLTSNHQTAAGRKRVRVILSDDDDDEMESSSRKDHHCLFEDLSTDDAIKGKSSPSHNIQMVSEYGSKHAHNVEESSSSFKCWSPHRATRPGRHSRSLSNDIVTELDFPSCSKCDTDVSGKQNVDVHPMMKYSQNDLQQYITCWIGNDPIHIEESMCTANDQLNIESLKAVVACSYYLQLPTEKRSEGLLPIVQYIKCAGRDLESMENVESVKEHLRNGTVEASIDGWIHKRLIKMYTDCCKELSEIPNMKVLKKLYNLEVSDDEIVVSECDLHDLSITPLINALHSQQAFAMLDLSHNLLGNGTMEKLQKVFTASGQSYCDLTLDLHCNRFGPTALFQICECPVLFARLEVLNISGNRLTDACGSYLSTILKRCTDISLFLLQVFCFVALCSLNVENCCITSKTIQKIADALDSRSVLTHLCIGNNSPVSGNAITNLLSKLSTLKRFSELNLHGLKLGKPVVDALCHLAETMTLSGLLLGDTGIGTEGAMQLAKSLLKGSEELVKLDLSYCGLTSNFALNISVNLFWSILELNLEGNPIMFEGSDTLFSLLMNPQCCLKVLVLSKCQLGLAGVLHIIEALAENCCLEELNLAANSVPNELTALQCDASVQGCSQKQEQKADTTKEDENQEFRYTDYDQLEVADSEELPVRVEAAASGIDDSCASSCKKNSSSSECHFTQQFSVAIGKAKNLQLLDLSDNDFSAQAAETFYSSWTTLRPLSSQKHITECIIHFSMKENKCCRVKPCCKKV >OIW19647 pep chromosome:LupAngTanjil_v1.0:LG01:839270:841114:1 gene:TanjilG_18457 transcript:OIW19647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEESITDQVMSEKTEAEDGDEKANPIEGLTIRKGPPKVVSRYLSGPKGSCHDACKYGTEHAIQAKPWKTSKKEVASREKEYEVPEEDVTHFARNKKSGSSSRPSPVSKTGKSNIPVEIIKEAITSVKDSTPFEEKDVSMELNNSDIRQAQSETSSISVQQGSKNQTKREIVKNKCGFGSSSRKETATEIRSKQMTILTGGKEKLKPPSNHLSPKHSAKKPSCSSSKTAKNLTEKSSMKNNENVEVEEAKPEEVESNENLPQKIINFIEPTNAYLFEEPSQARDARKSASPPPSSSGDKSLRHNIKKTSKSGLSAVSSRKGLRHGTPSNVSNISFGDKGKRKMLHKTDSTSGPPSVHSSISSSKSSLGKQSVPTYKLVKTGLKTGSTSRSPSVLSCISSSVGFRGKQNVTTPYKSNRKGNGSQGENMKVGYKIRPKLSTIVGAANKVVSARRLNFRKREAIEPQKQSNVIPRRLKFKPVRLLGDDYQKDANGTKRRIITSKEGDNSESNAASNKPGKVVYKHQNVEGSKRRIIVRKIGGERSKVDGSKSGAEKVVLRHQNVVGKKVNPRLYNNVIEETASMLAELRNSKVKALVGAFETVISLDSPRAGATPSK >OIW17876 pep chromosome:LupAngTanjil_v1.0:LG01:33289898:33291165:-1 gene:TanjilG_14122 transcript:OIW17876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESEDNLSATVFKTRPALSDVTNHPPKRSFSSISGAGDDSEFQLQKKICLGEENFVAKKIQLKFGEADTCHKDKGKGPCVSNVWDESNLLTENPSGFGEKTQDRLDCGVPMGSHGVENNGREFRVADDLPIAVESSMATISASHDSKFVGLERCAVLKGIANANSALGSEDLIKNCTCSFCSKAAYIWSDLYYQDVKGRITALRKSQKEANMLVHKFSGGKEPIMSDQQNTSESSKLESTLMDQWKSLFVHMENTFSQEISQLVSFNAITRA >OIW19730 pep chromosome:LupAngTanjil_v1.0:LG01:1453843:1455204:-1 gene:TanjilG_18540 transcript:OIW19730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGKGAKGLITGKASAAAAAANKDKDTKKKPTSRSSRAGLQFPVGRIHRLLKQRTTAHGRVGATAAVYSAAILEYLTAEVLELAGNASKDLKVKRITPRHLQLAIRGDEELDTLIKGTIAGGGVIPHIHKSLINKSSKE >OIW17685 pep chromosome:LupAngTanjil_v1.0:LG01:35223020:35223508:1 gene:TanjilG_29035 transcript:OIW17685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLVLTTTHADQKLKAMSHDQIQCTMCSSCDNPCNPVSSPPPPSPPPPAATTNCPPPPSPPSSGGGGTYYYYSPPPPSQYVYSSPPPPASSGGGGGGGGSYYYPPPDNINYPTPPPPNPIVPYFPFYYYGPPPLSTAATSLSTASSMFYASAFSSLLLLLLI >OIW17719 pep chromosome:LupAngTanjil_v1.0:LG01:34938985:34949203:-1 gene:TanjilG_29069 transcript:OIW17719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDNKRKRGRKPKTLKTLQTLQTLDTVPNPTNDVDLADNPFSPHPSRRRGRPKKLPNQNGVDFAAPSDGVVPPMALVAMEADPEWENVAARVLPAMDSVVKVFCVHTEPNFSLPWQRKRQYSSSSSGFVIGGRRVLTNAHSVEHYTQVKLKKRGSDTKYLATVLAIGTECDIAMLTVDDDEFWQGMSPLEFGDLPTLQDSVTVVGYPIGGDTISVTSGVVSRIEILSYVHGSTELLGLQIDAAINSGNSGGPAFNDKGKCVGIAFQSLKHEDAENIGYVIPTPVITHFIKDYEKNGKYTGFPILGVEWQKMENPDLRTAMGMRPNEKGVRIRRVDPTAPESKVLKPSDIILSFDGVDIANDGTVPFRHGERIAFSYLISQKYSGDNAAIKVLRNSDIFKFDIKLDSHKRLIPAHSKGKPPSYYIIAGFVFTAVSVPYLRSELPHGPLLYQLFFPVYEQYGKDYELEAPVKLLDKLVHSMPQSPDEQIVVVSQVLVADINIGYEDIVNTQILAFNGKPVKNLKSLAIMVESYNDEYLKFDLEYDQIVVLRTKTAKSATLDILSTHCIPSSMSDDLKT >OIW18371 pep chromosome:LupAngTanjil_v1.0:LG01:23579478:23581740:-1 gene:TanjilG_31511 transcript:OIW18371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRSFTRFVKKQLRRFPRFLLCMVLEWVLIFTLFLDGFLAIFANEFAKHFDLKIPCWLCTRMNHVLALKTPHFYYNDSICEAHKKEVSCLGFCHNHKKLSDIRKMCESCLLSFAIEKDSNLDAYKSLVGILHKDLECFEDCQKVQVSLKDDEVMRVEKSGTQRCCSCSCCGEPLKAKSPLSKRKNSQLKFMLETDSEHPQNVDGNNTKYQNIKLREEAKGLSLPLLTEGENSDNTFSKIPTPTFTRGNRFIGNPLTDSQSPNMSPRWSYGIKRKSSLKKAGSACDLNELNRQKEVDSAILQNLERRVSLDGESLMALYMDLDEERSASAVAANNAMAMITRLQEEKAALQMDALQYQRMMEEQLEYDDEAIQTSNDMVLKLEEEVEALETELEIYRAKYGGLTEDDFKEIDSSHGSHSSLPNIIEGKDNGEKDLNCHQDISYRADNGGVKLNESLKDFKMEKTYLLGRTKKAENRIPLAESGIYSLQYSSDNVNNVDSETGKGSEASLPRQLFFLTERMKALETDNGFLDIVSKEDEKYSEGTKILDEISKNLEKLWHLVMLPFEVNNA >OIW19212 pep chromosome:LupAngTanjil_v1.0:LG01:7765188:7767744:-1 gene:TanjilG_20337 transcript:OIW19212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHMGGCVGRYSEPTLNTDLVVASEVPIKQDNAVKKSSTSEDFWTTSTHDMDNSAVQSQGSISSTSQTNQVVVPHGGSFKTGNHIEYVNHGRNLWNQTRQNWVGNKKPASQTQQLREPKLSWNATYESLLGNNKPFRQPIPLAEMVDFLVDIWEQEGLYD >OIW18028 pep chromosome:LupAngTanjil_v1.0:LG01:30821879:30827780:-1 gene:TanjilG_07612 transcript:OIW18028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYILQNHEKYQFTEEAPQQPTSGSLFLFNKRVLRFFRRDGHNWRKKRDGRTVGEAHERLKVGNVEALNCYYAHGEQNPTFQRRSFWMLDPAYDHIVLVHYRETSEGKSSSGSGTQSSPGSSSAFTPSPSSYSTYNPGSTSIHGDSYEPNQSFSSPLSVEVTSDIYTGNNGLGQLDGTYAESGTSTELNVSQALRRLEEQLSLNEDNIKDIPFYGEHETTLDSYPEQNQGVVCKQELFYDGYNGQQGDDGKYYQESLHHNCPDGNKKVLSWTEMLEPSSSAANLSQKNVYIPAINGNSPSSSRREPIASQENSHWLNFNRNNAENSIFSLPQGVDGDKFPPYSSMVGTQQSNSDYYATLFDQSQIGAPLHTDSSLTVAQNQKFTIKEVSPEWGYTTKTTKVIIVGSFLGHPSDSTWACMFDDVEVPVQIIQDGVIRCEAPPHLGGKVTLCITSGNRESCSEVRQFEYRDKNNYCSHCNSLETEATIRSPEELLLLVRFEQMLLSDSTVKNDKTESGSHLVKQKADDDSWSQIIETLLVGSGNSSSTTDWLLEELLKDKLQMWLSCRSHDRDDETGLSLSKKEQGIIHMVAGLGFEWALNPILSCGVNINFRDISGWTALHWAARFGREKMVASLIASGASAGAVTDPSARDPIGKTAASIASSHGHKGLAGYLSEVALTSHLSSLTLGESELSRSSAEFEADLKVCSVSNVNLTVSEDQESLKDTLAAIRNAAQAAARIQSAFRSHSFRKRRTKEVAAGMGRYAIDAGNIGNIPELSALSKHAFRNSREYDSAALSIQKKYRGWKGRKDFLTLRQKVVKIQAHVRGYQVRKHCKVLWAVGILDKVVLRWRRKGVGLRGFRQDIESIDENEDEDILKVFRKQKVDVEINEAVSRVLSMVDSPNARQQYHRMLEKFHQAKAELASTSEEASLSSSIGDVFNMEQDDMYQFP >OIW18684 pep chromosome:LupAngTanjil_v1.0:LG01:22166266:22169581:1 gene:TanjilG_13436 transcript:OIW18684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSTSSSPHNTNTNFNNNNNNSLAFSLSNHFPNPSSSQHSSHNLSLFHSFSYPTPTPSLYLTGSNNVEAPPEATNLSIFSGGTKFEDFLGCSTTTAATGAPLQLHQFSTETDIYGSELKTTLAACYPRGFGAEPTTEPQKPSPKKTSDTFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQSRKGRQGGYDKEEKAARAYDLAALKYWGPTITTNFPISNYEKELEDMKNMTRQEFVASLRRKSSGFSRGASIYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGLNAVTNFDMSRYDVKNIANSTLPIGGLSSKNKNSTDSVSDQSKSHSDEKDPSNSASSVSFASHQQQPPSNSTLSFAIPIKQDPSDYWSILGYHNSNTTSVTTTPTSFQSSTNSTPFLMDFSAPPSSDNNTNNAAFLNNGSIFVHPQSGDCSNNSSSIPLATPIFSLNSNSGYVNSSGGYGNWIGPTLHTFQTHDKPSLFQTPIFGME >OIW19156 pep chromosome:LupAngTanjil_v1.0:LG01:10414419:10414799:1 gene:TanjilG_21012 transcript:OIW19156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALIRSPAVILTLLVTLLCLLCTASYGRLVGGRKEVANVQSNEEVQELGRFSVEEYNRSLKLRAAEEEVKFMEVVEAEEQVVSGIKYYLKILTLQNGASRMFESVVVVKPWLKSKQLLNFAPSSQ >OIW18462 pep chromosome:LupAngTanjil_v1.0:LG01:20455310:20458689:-1 gene:TanjilG_13214 transcript:OIW18462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGALFEKLMTVMTSDHASVVSMNLFVALLCTCIILGHLLEENRWINESITALLIGLCTGVFILFTTGGKSSHILVFSQDLFFIYLLPPIIFNAGFQVKKKQFFRNFMTIMLFGVVGTLISFCIISLGAIHFFQKLDIGSLKIGDYLAIGAIFSATDSVCTLQVLNQDETPLLYSLVFGEGVVNDATSVVLFKAIQNFDLSNIDSTTALQLIGNFLYLFVASTVLGIFAGLLSAYIIKQLYFGKLIRHSTDREVALMILMAYLSYMLAELFSLSAILTVFFCGIVMSHYTWHNVTESSRVTTKHTFATLSFIAEIFIFLYVGMDALDIEKWRLVSQSPKKSIGVSSMLLALILVGRAAFVFPLSFLSNLHKKSQSEKIEFKQQVTIWWAGLMRGAVSIALAYNQFTRLGHTKLSENAIIITSTITVVLFSTVVFGVMTKPLVRLLLPSSKHIISIPSPPSTPKSFTVPLLGNGHDSGANIGGTHRMPSSFRMLLRLPSSGVHHYWRKFDNSFMRPVFGGRGFVPYVPGSPLEPSVHQWH >OIW17870 pep chromosome:LupAngTanjil_v1.0:LG01:33352373:33356555:1 gene:TanjilG_14116 transcript:OIW17870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSVFSEQILADKLSKLNNTQQCIETLSHWCIFHRSKAELVVGTWNKQFHNSEMIQRVPLLYLANDILQNSKRKGNEFVTEFWKVLPAAVKDVFEKGGEQGKRVVSRLVDIWEQRKVFGSQAQNLRDVVLGEGAPPPLEFNKKRPRSVKIMKKDSRSIKTKLSIGGTAEKIVSAFHTVLNDHSNEDAEMSKCKLASHRVRKMEKDVDVACATAKDPKRKYLAKELEEEENLLKQCIENLKLVEASRAELVSRLKEALHEQASYHVHESELENVRTQIQVAQAQVEEASHMRERLDNEDSSYKSLTATTSNPEANTKSEAVRKKSAAAIAAEVADKLAASSSSQLIMSSVLSTFAAEEAKNAGLTSESMSKHEKSITMSDPNVFMSTQQMMATPNHSYPSVLVPQQTMHNSAAAASQGQYHMIYNPSSQQYLQSTTGGIITPYGYGNIPPLPPGPPPPHMAGPILPQTHQTLQITQQQPQPLSQQHLPIQMTQQGPAPPSFRPLQPPGIVYYGNHQHSI >OIW19549 pep chromosome:LupAngTanjil_v1.0:LG01:1740039:1741940:-1 gene:TanjilG_07004 transcript:OIW19549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTIRKAIGVVKDQTSISIAKVVGSSTPELDVLVVRATSHDEYPADDKYLREILSLTSNSRDYVIAFLITVSKRLGKTRDWIVALKALILFHRVLVYGHPMFEEEVVYSTRIGRRILNVSDFRDEAHSNSWDLACFVRLYALYLDEKIEFVVHQRKLRDHGVGDGGEFRYEFGGGFAMGRKNRSYDDVNGSSHGRENRKIEVVNVTPVREMEAGRILERLKHLLKILDRILWCTPNGAAKNNKLVLVALYQIVKDSFNIYAELCDVLGVVMDRFTEMEYAHCVKAFNAYVSAAKMFDELAGFYCWCKDIGITRSLEYPEVLKITDKLLGTLKGFLKDNRPKSPNKIIATKVIVIAKEPEPEVDMNEVKALPPLENHTTPPPPSVPQPKPVPPTQQVTNDLVNLRDGGVSADEQGNKLALELFSGPAAVRTEGSWGAFPSNGESEVTSVWQTPAAELGKVDWELALAESCSNLSKQEANLAGGFDQLLLNSLYDQGAVRQHVSTNQMSGGSASSVALPGADKSATAVLALPSPDGTVQVVGPQDPFAASLSLPPPSYVQIADMERKQHLFVPEQQLWQQYGRDGMQGQVALARAAGGPSYSALVPQPMMMPHGMPQFGGGMGQTGGYYHTPY >OIW17708 pep chromosome:LupAngTanjil_v1.0:LG01:35012883:35018178:-1 gene:TanjilG_29058 transcript:OIW17708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDSEKLLALKKAYADIILNTAKEAAARVMASERKATRFHQELLSTKDEALRMLLRLKQMLDSKVKEAELTSLSQQKKIDVLEAQLQEAEDIVSNLRAELREAESKLENLTNHQMYPPVEQNVEGKIETQESCLQDNRVGPCDGSVHPVPDSHAESVSISDTRNPTVNGTNDSSKFGVSHDHTNNCYIHNLEFPSVVIRNKEPELYRNGCTQRIRASERSLFDGNMSVSGNLDNAHDETSVRVHEEGKAMPVTTNAVTDSIREKEKPDELKVVKADADPVKVPSRKRRRNLIKVLRSRLRAKRDRKRNKASNLADAKVSPCVWDNNYSSRVNSSIECENEAQKNLVRVREEDKTTTVTTNAKVDTIFGKEKVDKLDMVKTDADPVKASVLKKRRLTMRVHPGQVNKTNEASYLSDAKCSTCVLDNNDLLRVDSSLVCEKEALKDVMSPLAEVPVDKTDTTVKSESRDCIGKEGLLLNACSARSKIKDDKELLGKSDLTRQESLSTEGLEVSSSRADVKPPNGSPEKPDPKASDFDEKVSCQPANNKFLKYTFQRKRKKEPVTSADADCSLENDSLKKSAEKQNGRVEPQKSCPMTESSRESRRLAQVARQLISLSEKKWWQ >OIW18952 pep chromosome:LupAngTanjil_v1.0:LG01:18424397:18427563:1 gene:TanjilG_09146 transcript:OIW18952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAILGTDNTLALTFGILGNIISFLVYLAPMPTFRRIYKRKSTEGFQSLPYLVALFSSMLWLYYASVKTNAILLITINSIGCAMESLYIIMYIIYAHKNARNLTIKLFMAMNLGSFTLILLITHFAIPGSFRVKVVGWICVSISVSVFAAPLSIVARVIRTKSVEFMPFWLSFFLTLSAIMWFAYGVFLKDICIAIPNVLGFTLGLLQMLLYAVYRKGGARRNGVTEERDEALESMRNVVVGIPLRTHEVFPTPINDGNVNEHGIVGANGAEEKGNSMEIK >OIW19139 pep chromosome:LupAngTanjil_v1.0:LG01:11041043:11045085:1 gene:TanjilG_03629 transcript:OIW19139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCEDCSSSSSHPDYVKLSKSFGFFPWMKQIGLNMIQDGDEGKAIEKVDDEPLRCSCCGVNLDNRFYPSCILIKPYSINIFGYPQKHNLITEGGVDAEIDGGDDHSDHRISHFVLDHHGAEHDTEENWGINIVFEVDQGIKTLADEIYKLDLGLEKGKEVLEDETLNATNDDAVQPCEHNTILDVDCTREMVQEIQHKHLEFFIHVNLEQNYQDARFSRTSEEMPKDDNVEVNMEIRDMELCFDFSLDCHDKSEFIELKTMSLEVRIPTVNNHLSSSSLELHENEEENIPDTPTSVEKDSLDGRVMSDIECGEVTIEKLKSVLKSERKALNTLYAELEDERSTSAIAAIQTMAMINRLQEEKAATQMEALQYQRMMNEQCEYDQEALQLLNEIMVKRDKEKHELEKELEGYIRKVYEYPVREKMIMSRRDRW >OIW19593 pep chromosome:LupAngTanjil_v1.0:LG01:310767:312582:-1 gene:TanjilG_18403 transcript:OIW19593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGSEGELKISFGYQCNSDRGIPCEVSHGFKILPEVRRTSSFSCLSGAALSANATLANTNICNGKIGGEILPTWDSPNSFRKIPSSPSLSKLDRLSSSLPSSLSYLSCSPSTSSDILEYDGCALKFMSDPSRGEGFLNATELQVAGGAAGEDRVQAVCSEENGWLFCAIYDGFNGRDAADFLAGTLYDTIISCLDKLHWESEPASVKAYNDVGLDDSLICHDGQSFPRLNGNNDSNLGCFVKSGPSANLELSCKSFSQGVLDSLQCALNQAENDFLYMVEQEMEERPDLVSIGCCVLLVLLHGNDLYTLNLGDSRAVLATCSTGERMNESGRLEAIQLTDSHTVDNEAERARVVANHPDDPKVIVAGKVKGKLKVTRAFGVGYLKKKILNDALMGILRVRDLISPPYVSTEPSLNVHKISNSDQFVIVGSDGLFDFFSNDEAVMLVESYIFGNPWGDPAKFLIEQLVARAANSAGFSLEELMNVPAGRRRKYHDDVTVIVIMLGMTQRTSKASTCI >OIW19421 pep chromosome:LupAngTanjil_v1.0:LG01:2888735:2892340:1 gene:TanjilG_09441 transcript:OIW19421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSKNSSSPLNTNFNNNNNSLAFSLSSHFPNHSSHLSLFHSFSYPTPTPLTITVNNNVEALPEVRSDGSVGGGTNLSIFSGGPKFEDFMGCSTTTTGASAPSQLHQFSTETDLYDSELKTTIAACFPRGFGAEPTTEPQKHSPKKTAETFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQSRKGRQGGYDKEEKAARAYDLAALKYWGPTTTTNFPISNYEKELEDMKNMTRQEFVASLRRKSSGFSRGASIYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGLNAVTNFDMSRYDVKNIANSTLPIGGLSSKNKNSSNSVSDQSKSHSDERDPSNSASSVSFASQQHQLQSNSTLTFAIPIKQDHSDYWSVLGYHNNTTSVTTTPTSFASSNNGTPFNMDFSAAPSNDTNNAAAFLNGSSGSIFVQNQKHSGGSSNSSSIPLAMPMFSLNSNSGYENSSAGYGNWIGPTLHTFQTHAKPSLFQTPIFGME >OIW17951 pep chromosome:LupAngTanjil_v1.0:LG01:32210419:32211786:-1 gene:TanjilG_17787 transcript:OIW17951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSQEVKLLSFWVSPFSKRVEWALKLKGIDYEYIEEDVFNKSHLLLELNPITKKVPVLVHGQKTIAESFVIIEYIDETWNDQYPLLPQQPYQRAIARFLANLVEEHVMKPAFVAMCATGEEREKAMNVIREAMYRIEEEIKGKKFFGGENIGYLDIALGWISYFIPVWEEVGSLEIIDPFKYPATYAWMTNFITNPMIKDTLSQRDKMIDYFHNMKREYSST >OIW19650 pep chromosome:LupAngTanjil_v1.0:LG01:856711:859399:1 gene:TanjilG_18460 transcript:OIW19650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFRIALFVFLACLHLTLCQDAEHGSILVDGTQALAETDDNFICATIDWWPHDKCDYNYCPWGYSSVTNLDLSHPFLANAIRALKPLRIRIGGSLQDQVQYEVGNLKSPCHPFQKMKGGLFGYSKGCLHMKRWDELNHFLNKTGAVVTFGLNALRGRHKISKTVWGGDWDPTNAKDFISYTISKRHKIDSWEFGNELSGKGIGASVGAAQYGKDLKKLKQILHTLYQNKKFKPSLIAPGGFYQKKWFDKLLQVSGSGTIDVLSHHLYSLGPGSDEHLESKILDPERLNKVKTIFSNLSESIQKYGPRTSAWVGEAGGAYNSGGRNVSNTFVDSFWYLDQLGIASRYNTKVYCRQTLIGGNYGLLNTATFTPNPDYYRQVALLWHQLMGKSVLAASNDVFSPYLRTYAHCSKGRDGITSLLINLSNQTHFILTVQDSKSLSGKNEEFKSIHEENSFFYHLKRTFSWVGNKGSDVTFREEYHLTPKDDNLRSQTMMLNGIPLELTNDGEIPILTPVQSNVHSPIYIAPLSIAFIVFPNFDAPACSGHRKL >OIW18611 pep chromosome:LupAngTanjil_v1.0:LG01:21602610:21603837:1 gene:TanjilG_13363 transcript:OIW18611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQTEKAFLKQPKVFLSSKKSGKGKRPGKGGNRFWKSIGLGFKTPREAIDGTYIDKKCPFTGNVSIRGRILSGTCHSAKMNRTIIVRRNYLHFIKKYQRYEKRHSNIPAHVSPCFRVKEGDHVIIGQCRPISKTVRFNVLKVIPAGSSGGAKKAFTGI >OIW19478 pep chromosome:LupAngTanjil_v1.0:LG01:2299609:2304896:-1 gene:TanjilG_09498 transcript:OIW19478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQGDQTFISLRPGGGRSGAAGTRFLAPRFDSSLAAIPAFGSFSSDPSLSNVAPSIKAGDSLFENRERVRYTREQLLQLREATQVGEIPNDVLKIKQDLDAELFGEDQSWGRSENNPANPSQNRYTEPNNSDWRGRSGQLPANADERSWGNVKENRDFGNNNRQDQLNSQFGRAQISSTQGGGPAPTLVKAEVPWSARKGTLSDKDRVLKTVKGILNKLTPEKFDLLKGQLIESGITSADILKGVISLIFDKAVLEPTFCPMYSLLCSDLNEKLPPFPSDEPGGKEITFKRVLLNICQEAFEGADELREELRQMTAPEQEMKRRDKERLVKIRTLGNIRLIGELLKQKMVPERIVHHIVQELLGTPDSKDCPAEENVEAICHFFNTIGKQLDEVPKSRRINDMYFSRLNELASNPQLVPRLRFMVRDVIDLRANNWIPRREEVKAKTITEIHSEAEKNLGLRPGATANMRNIRVTSGVHGSTGPGGFPIARPGTGGVMPGMPGGKKILGLDNDNWEIPRTRSMPRGDVSGAQNAGHGQSLLFSKSSTVNSKFLPQGSGLISGRNSALVHGGGGPPSALPSDSSIATGPASQIPQAVKPVAAVSTEVSQAPAAKLNTDVLRRKTVSLLEEYFSVRLLDEAVQCVEELKSPAYYPEFVKEAIFLALDKSPPCVAPVANLVQHLFIKKILSARDIGTGCLLFGSMLDDIGIDLPKAPNNFGEIIGKLILAGGLDFKVVREILKKVEDDRFQKAIFDTAVQVITTASAQAVLDSQASDIEACRSLLN >OIW19656 pep chromosome:LupAngTanjil_v1.0:LG01:895737:899207:1 gene:TanjilG_18466 transcript:OIW19656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPDKFTHKTNEALAGAHALAMDSGHAQFTPLHLASTLISDQSGIFFQAISNASSEESAHAATRVFNQALKKLPCQSPPPDDIPASTTLIKVIRRAQAAQKSRGDTHLAVDQLVLGILEDSQIGDLLKEAGVATSRVKSEVEKLRGKEGKKVESATGDTNFQALKTYGRDLVEQAGKLDPVIGRDEEIRRVVRILSRRTKNNPVLIGEPGVGKTAVVEGLAQRIVRGDVPSNLAEVRLIALDMGALVAGAKYRGEFEERLKSVLKEVEEAEGKVILFIDEIHLVLGAGRTEGSMDAANLFKPMLARGQLRCIGATTLEEYRKYVEKDAAFERRFQQVYVAEPSVVDTISILRGLKERYEGHHGVRIQDRALVVASQLSSRYITGRHLPDKAIDLVDEACANVRVQLDSQPEEIDNLERKRMQLEVELHALEKEKDKASKARLVEVRKEVDDLRDKLQPLMMKYRKEKERVDEIRRLKQKREELLFALQEAERRYDLARAADLRYGAIQELETAIQQLEGSTEENLMLTETVGPEQIAEVVSRWTGIPVTRLGQNDKERLIGLADRLHTRVVGQDQAVNAVAEAVLRSRAGLGRPQQPTGSFLFLGPTGVGKTELAKALAEQLFDDENQLVRVDMSEYMEQHSVSRLIGAPPGYVGHEEGGQLTEAVRRRPYSVVLFDEVEKAHTSVFNTLLQVLDDGRLTDGQGRTVDFRNTVIIMTSNLGAEHLLTGLSGKCTMQVARDKVMQEVRKHFRPELLNRLDEIVVFDPLSHEQLRKVARLQMKDVANRLAERGIALAVTDAALDYILAESYDPVYGARPIRRWLERKVVTELSRMLVREEIDENSTVYIDAGAEGSELVYHVEKNGGVVNAASGQKSEILIQIPDGPTKTDAAQVVKKMKIEEIDDEEMEE >OIW17864 pep chromosome:LupAngTanjil_v1.0:LG01:33447389:33459075:1 gene:TanjilG_14110 transcript:OIW17864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEDGFRVGGFSAGLAVILKDEERKENSRLLSCCDDSSHQSMERTLEYVFALPNRSLNPVTGSIDSKFICSVIRKDFSKFNSKSSNSYDRRDGIFVRGGCGNNIVGLESSSIRGDIGIVKQRPLLVESMSNFSSVRANTCVWKGKWMYEVVLETSGIQQLGWATLYCPFTDDRGVGDADDSYAYDGRRVSKWNKDSETYGQSWFVGDVIGCCIDLDRDEILFFRNGNSLGVAFRGIRKMAPPLGYYPAISLCHGERCQLNFGARPFKYPIAGYFPLQDPPSKSYFVTQLLHCWSRLLDMYSVEQAEYSLVQKLRRVKRFISLEEFFHPVSHAIAEELFSVFGADARSQEYMVWGPLLSFMFEVFGLHEPHDYSSLDQVVDTLLQFKGSHFLFEHIINALSCGCKVAPFVLTECPYSGSYSHLALTCHLLRREELMVLWWKSPYFEFMFEGFLSQKNPNKQDLESMIPTVWWPGSREDATYEGNMMFTTTALSETISKIEEKHRDLCRLVIQFIPPSTPPQLPGAVFRTFLRNLLLKNRGADRDIPPPGLSSNSVFVSIYTVVLHFLSEGFDLGDICGCSESCKAGIGLLHRGGLRSFPVRLFLKNDSLGTDIPRLGGSYARLSKLHPILHHEMEVVQWYEGCMDDVETRVTHSTRQKPCCCSSYDPDFAGNLKNPAKYRAKGSRSHCSSIPERSGHVTPECIDRSLEDEITDKPSSSDQSEPRCGHRQVHRMKTLPKDSALSKATLLEEELLDVLLWLYKYGLASNFKNASYYMTHQAQTILCLEETDKQIRERSCSEKLKHLKESRNEYREQVIDCVRRCAWYRVSLFARWKQRGMFAMCMWVVQMLLVLSNMDSVFIYVPEYYLEALVDCFHVLRKSDPPFVPSTVFIKQGLTSFVTFIATHFDDPRISSTDLRDLLLQSISTLVQYREYLVAFESNNAATRRMPKALLSAFDTRSWISVTNILMQFCKGSGFSKNGESSSSSVIFQAIELQQRKRCVAFDLSCNLTRILEFCTREIPQAFMSGPDTNLRRLTELIVFILNQITSAADAQFFDLSLGRHDQSSEKVNRGMILSPLVGMILNLLDATNLAECRENNYLVDVFASMDCPNTVHYGFQYLLDYNWDGSFKGEAYLAKYEQLENFLTLLTCRTMAQYDNVEDVGEKDIDDSMCCICCTYKVDALIAPCLHRSCYGCITRHLLNCQRCFFCNIAVTGVSKIDEKVS >OIW18517 pep chromosome:LupAngTanjil_v1.0:LG01:20782563:20785698:1 gene:TanjilG_13269 transcript:OIW18517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFALSLLLLAFFTPSSSGSWCVCKDGSDTTLQKTLDYACGAGADCNPLHQNGPCFQPNTVRAHCNYAVNSYYQKKGQAPMSCDFAGSATVTASDPSSSGCSYPSSASAGTSTTPVSTTPTIGTNPTTGTPSTTTGSTSSTGTTTPYGTTPGVLGGIGTGMGPSGSGMNTDESHGGHKLVQTSLFSPFSLALFFGLIMLWWG >OIW18165 pep chromosome:LupAngTanjil_v1.0:LG01:26275786:26277748:1 gene:TanjilG_31285 transcript:OIW18165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKKPKPRRRTWCCSFTPPSSPDHLPIKSKSQNHHNKSETQSKKEKTTTLSVPNSPHTSKSGFPIVSRIDPRRILSPGRVSPIDDIASVATLDSARSNSFRAPTQPLRPPPPPLPESLVSRFEEGFDVRLNLRGKKGGSMVLELNSSVLSANSEVFAGLISDYKKGLGLRKESLTEGGSQKMCRMEVPEVENLNVYRDTIELMFEDNDHNVTKRLINIGVFRSIDILEVSAGIKFTKGVLACLKYLEAVPWTEEEEEKLRSLFTRFKFDDATTRDILGRLYLHDSVEDSQLNVARQLVWSISACEDANARNELKSLVKGLLCKSSVHEKKNLDLNKEDLYSVCHSCLSSLVSLFEEASDTILPERLMKKDTRKPLIERISRQVDNINWLLEIMLDGQVAEDFVDIWADQQQLIKMHDNASPMIRYELSRVSAMLFIAMGTRKLQCRLQSRSGLLQAWFGPMLLDFGWLQRCRKGLDMKTLEEAMGQTLLTLPLKQQYALFMEWFQHFSKHGTECPNLSKAFQIWWRRTFLRDTEAYAIESR >OIW19395 pep chromosome:LupAngTanjil_v1.0:LG01:3154211:3157883:1 gene:TanjilG_09415 transcript:OIW19395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSGGCALQQTLTAEAASVLKHSLGLARRRGHAQVTPLHVAATLLSLRASSFRRGCIKSQPHQTSHPLQCRALELCFNVALNRLPTTPAPLIHTSHQPSLSNALIAALKRAQAHQRRGSIEQQQQQPLLTVRVELDQLIISILDDPSVSRVMREAGFSSTAVKNNIEDSSSSPSSVFQCYNTSGGVFSSPCSPSATETNPFSFRQNHFLSAYTSEFNNPVLFSPPKKAPVYPYPVTSAAASSTKDDIKVVFDILLKKKRNTVIVGDSVLLTEGLVGEVMRRFERSEVPDGLNLTNFIKFQFAPVSLRYMKRNEVEMKILELKRKVDSVASRGGGGAIFYIGDLKWIVEGSFSKKDQEGSLDGEVSGYNPIDHLVSEIGKLFSGTSNTNMWLMATASYQTYMRCQMKQPPLESLWSLQAVPVPSGGLALSLHASSVLDSKMNISKNPSHVLETKLFSNKEQQDNCCEECTSNYEKEVQFIKTDQKKMLPFWLQSHNIEANQKDELTKLKTKWNRLCHCLHQNQQHQNKSNSNYNWNGKIYPYNSSSSISFANNTYSSNIVPCFQRQQSCIEFNFSDKNQATEPLLDSLEVTAEGKEVKTTLALGNGGSGETVGDITDRTLQRAHICKLLQENVAWHSETIPSVAEALVESKSAKQNNITWLLMQGNDSIGKRRIALAVAESVFGTADKLLHLDMLKIETSIAPFAEILTGALKTHQQLVVLIENLNFADAQFLKLLAYGFETGKIGNLTTNSEKLGQVIFILSNGDSTSNEEKNQDSVIKLMLQVSEAKPNLEAPCLGHKRRAELDLFSKIKTPRIEQKEEALLVPEQGSRKDFSRQSSFNTLDLNLKADEEDDKTGENSPISSDLTRETIADSLNSNWFLDSMENRFEFNTSPAINKDISELFISKIKGSFNEICNKQCLVNFSVDERVIKELYVGCGSFTNSLFENWLRDIFQNSLQTVNFGGKEGILVRLTWGGKGDRKLDNGFMSSTLPKTIQVNYLMG >OIW19645 pep chromosome:LupAngTanjil_v1.0:LG01:825314:829462:1 gene:TanjilG_18455 transcript:OIW19645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPPPQPTFLVLLTAQFSIFKINEHCLISNMRGLVVVVAGDSLDTDKQVLLMLKSYLNNQTAADQGRYINWNNSSTNPCEWPGISCTNMRVVGVDLSSNYITGNIFANFSMLTELTYLDLSSNTLSGEIPQDLRQCHKLLHLNLSHNILAGELNLTGLTSLQTLDLSTNRMVGELGLNFPSNCDNLVTLNVSSNNLTGRIDTNVFDQCVKLKYLDLSTNNLTGGIWMEFARLRSFSVAENHLSGTIPSEAFPAGNCNLEDLDLSQNGFVGDAPKGVANCKSLTILNLSSNHFNGSIPVEIGYISGLSALYLGNNSFSRDIPDTLLNLTNLVFLDLSRNRFGEDIQDIFGKLKQVNFLLLHTNSYTGGLNSSGILKLPNIVRLDLSFNNFSGPLPVEISQLSSLKYLMLSYNHFSGPIPHEFGNMLRLQALDIGSNNLSGRIPPSLGNLTSLLWLMLANNSLTGEIPPELGNCSSLLWLNLAYNKLSGRFPSELSKIGRNATPTFEANRKGVRIIAGSGECLAMRRWLPADYPPFSFVYSILTRKNCRSLWDKLLKGYGLFPFCTPGSSYSLPQISGYVQLTGNQLSGEVPSDIGAMVNFSMLHLGFNNFSGEFPPVMGSMPLVVLNMTRNKLSGELPLEIGNWKCMMNLDLSCNNFSGMFPTTLSKLHELNKFNISYNPFISGPVPSTGQFATFGRDSYFGDPLLILPNFIDANITNDKNITNHNNDKKRRTKLSVILVFLAIALAFMIFGILTIIVCVKVKRPSLEPLYILRDTKQWNDSSSSGSSPWLSDTVKVIRLDKTAFTHDDILKATCSFSEDRIIGKGGFGTVYKGVFPDGRVVAVKKLQREGLEGEKEFRAEMEVLCGHGFGWPHPNLVTLYGWCLNGSEKILVYEYIEGGSLEDLVTDRTRFTWRRRLEVSINVARALVYLHHECYPSIVHRDVKASNVLLDKDGKAKVTDFGLARVVGAGDSHVSTMVAGTVGYVAPEYGQTWQATTKGDVYSFGVLVMELATGRRAVDGGEECLVEWGKRVMGYGRHHHGFSSHAIPAFVMGIGLVGGAGEMAELLRIGVKCTAEAPQARPNMKEVLAMLVRISDPKGDSNHGHFG >OIW19183 pep chromosome:LupAngTanjil_v1.0:LG01:8889929:8896030:-1 gene:TanjilG_01216 transcript:OIW19183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSPQEEESLVYDVKLSSVGPGRITGSDVFHNPSGLDLAMKLHYIKIIYFFESEVAKNLNIMKIKESSFYLFNHYFITCGRFRRSEYGRPLIKCNDCGARFIEAKCKITHFKCGGASFGLSWAHILGGPLSASDFINTWGQTMVNLCLDKSINVPRALKLNQTISDKHPICVKKVDSVGDHWILPNNIKMDTFSFLVSNPQINYLQENIWGQNDNRTPPFESICAIIWQCLAQVKGGFKPNIVTVCKTDPHRMRNDIIGNNQMIKKVEADSKYSIVNTDLRILASLLANQGIDEVSEIEKAIEKEEGIADFFVYGANLTFVDLQEINVYDLQLMGHKPRFVYYTLQGVGDEGVVLVMPCNNGSTNNCNDGKFVTIILAEDQMVKFKIELKINGLLLESDLE >OIW18686 pep chromosome:LupAngTanjil_v1.0:LG01:22195635:22196430:-1 gene:TanjilG_13438 transcript:OIW18686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVNGVESTPPPVIGKIGPYTVFMTPPSTPKPPSSLSPPPPSPAAAKILPPPVQPPPPQIHNPVSPDKSSSVFGFFKNAVTKVQAAHSSLDDHLARWFGLDHSKYQWALDDYYESKGMIF >OIW18024 pep chromosome:LupAngTanjil_v1.0:LG01:30754552:30757025:-1 gene:TanjilG_07608 transcript:OIW18024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMYMFESSLDDSSGKNKLSEVEFSHVRELSSVSGEPLLSLKLGKRLYFEDVCTASNSKSPLFSGAPMSSLSTSKKCKSNGQNAQFPHCQVEGCGLDLSSAKDYHCKHRVCDNHSKSSKVVIDGKERRFCQQCSRFHGLSEFDEKKRSCRRRLSDHNVRRRKPQPLPVRLNQPALSSLPHDGKQHMSPFTYSRTATNLAWQQTHRSKLPQTKDFLLNPAKANNEIPSIGTMVSYDFETPFTPKCIATKSINTGIEDLITSSDPIVTQDFHRALSLLSTNSWGSHEPKPIPVEPPNKAAATLPVTHATSQQRLPPEYWHIGQQPVNSNMWISYSNCDDINRFQEFQLFREPYESGFPYNQLD >OIW19347 pep chromosome:LupAngTanjil_v1.0:LG01:3557515:3563055:1 gene:TanjilG_03481 transcript:OIW19347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDTSTLGRFHYQRLDFKRWVPILLTSHKTLFTVLWIAAFASVFVWQRSIVGGFLVFGRVTVAARPIPKLRPVAFNLTDFGGVGDGVTLNTEAFERAISAISKLGKKGGGQINVPPGRWLTAPFNLTSHMTLFLAEDAVILGLDDEKYWPLMPPLPSYGYGREHPGPRYGSLIHGQNLKDIVITGHNGTINGQGQAWWKKYRQKRLNHTRGPLVQFMWSSDIVISNITLRDSPFWTLHPYDCKNITIKHVTILAPVFKAPNTDGIDPGKYILLNSCEDMLIEDCYISTGDDAIAIKSGWDQYGIAYGRPSKNIMIRNLVVRSMVSAGVSIGSEMSGGVFNVTVENLHVWDSRRAVRIKTAPGRGGYVRQITYRNLTFENVRVGIVMKTDYNEHPDDGYDRTALPILKDISFTTVHGRGVRVPVRIHGSEEIPVRNVTFQDMSIGLTYKKKHIFQCAFVQGRVIGAIFPAPCENLDRYNEQGKLVKHSASHNVTDIDYDF >OIW19247 pep chromosome:LupAngTanjil_v1.0:LG01:6663581:6664288:1 gene:TanjilG_20372 transcript:OIW19247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESVAVLNLIDSFWFEHNIFNKTSTSSISIPTSSSENVDHKKQEESSSELKPARIQINHTRCNSDQSLTSLKDGYYPVSPVSVLPFQKLQTILSGKEVTDSEPEKDVLLSAPPKKKKFTRRKRSGSKSLSDLEFEELKGFMDLGFVFTEEDKDSSLASIIPGLHRLGKKNEEVEDSNDFESLVPRPYLSEAWEVIDERKKKENHLMNWKVPSINIETDMKDSLRLWAHTVASTVR >OIW19711 pep chromosome:LupAngTanjil_v1.0:LG01:1266214:1266393:-1 gene:TanjilG_18521 transcript:OIW19711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLATGFNFTRLHHHHHHHRHHHHHQNHVVANANPAESEIDPRYGVEKRLVPTGPNPLHH >OIW19451 pep chromosome:LupAngTanjil_v1.0:LG01:2561804:2564516:1 gene:TanjilG_09471 transcript:OIW19451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSGSCVSLLNLVSHDDYQVSNVMAVTPEFEIAHANNDRSNSGSDDVVSNSSTERRIIVANQLPIRASRDAETKKWSFEFDSDSLVLQLKDGFPSDTEVLYVGSLNADVEFSEQDDVAQILLEKFRCVPTFIPREVHNRFYHGFCKHYLWPIFHYMLPLSPIHGARFELSQWLAYVLANKIFADKVTEVINPDEDYVWVHDYHLMILPTFLRKRFHRVKLGFFLHGPFPSSEIYRTIPVRDYILRAFLNCDLVGFHTFDYARHFLSCCSRMLGLDYESKRGYIGLDYYGRTVTIKILPVGIHMGQLESVLSLSETAKRVKELKEDYEGKIVILGVDDMDLFKGISLKFLAMGQLLEVHHDLRGRVVLVQILNPARSSGKDIQDVEDETKAIAREINEKYGEPGYQPIVVINGLVSTQEKAAYYAIAECCVVNCVRDGMNLVPYTYTVCRQARVALDKALDLEDEVVRPQQSVIIVSEFIGCSPSLSGAIRVNPWNIDDVSVAMTSAIKMSEAEKHLRHEKHYKYISSHDVAYWARSFDQDLERACREHYRKRCWGVGFGLGFRIIALDPTFRKLSVQNIVSAYTRTQNRLILLDYDGTMMPQASIDKTPSRKVVSVLNHLCSDPNNIVFIVSGRDKDCLSKWFSPCEKLGLSAEHGYFTRWSRDSPWETCGLNKDFDWKNIAEPVMAHYTEATDGSFIEQKESAMVWHHQEADPHFGSSQAKELLDHLESVLANEPVVVKRGQHIVEVKPQGVSKGIVVENLISTMRKKGKSPDFLLCIGDDRSDEDMFESIASSVSNAALPTISQVFACTVGQKPSMAKYYLDDTSEVINLLEGIATASAATTT >OIW18506 pep chromosome:LupAngTanjil_v1.0:LG01:20704441:20705883:1 gene:TanjilG_13258 transcript:OIW18506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNSVWKRSWLKTIRFSDSFYSTLLPISTTPQLEELCSIVTSNVGGLDDLELSLNKFRDSLTSSIVAQAIDSSKHEAHTRRLLRFFLWSNKNLSYNLEDKDYNYALRIFAEKKDYTAMDILIGDLKKEGRVMDAQTFGLVAETLVILGKEDEALGIFKNLEKYKCPTDEFTVTAIINALCSKGHAKRAEGVVLHHKDKIKGIMPCIYRSLVHGWSVQRNVKEARRIIQEMKSDGFIPDLICYNTFIRCLCERNLRHNPSGLVPEVLNVMMEMRTYKVLPTSISYNILLSCLGKTRRVKESCQILESMENSACAPDWVSYYLVARVLFLSGRFGKGKEIVDQMIGKGVVPNHKFYYSLIGVLCGVERVNHALELFEKMKASSLGGYGPIYDVLIPKLCSGGDFEKGREFWDEATSMGITLQCSRDVLDPSITKVFKPTRPEKISLLGSSIAESPEKNKNFGGKMKMRKKPAKSATMKKKKK >OIW19626 pep chromosome:LupAngTanjil_v1.0:LG01:705627:705914:-1 gene:TanjilG_18436 transcript:OIW19626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLTFRRFFVFVCVVGFLASQPFKVSGLRSKDLALRFDKGQLRFVRSVRMLRSVAMEDLQSKMDLAPAPSMTSDTNQSNKRTVRKGSDPIHNRC >OIW18614 pep chromosome:LupAngTanjil_v1.0:LG01:21619365:21619811:-1 gene:TanjilG_13366 transcript:OIW18614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGAVIGGVVFEIQGVTEGVVTEIGGVAAAAAADSGDEVGALFLETAWLLKGGATNNPWPDAVMRKATRKAESGLKLVIRRVENIVPVAAWVV >OIW19627 pep chromosome:LupAngTanjil_v1.0:LG01:711169:712424:1 gene:TanjilG_18437 transcript:OIW19627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMESPPLMFWQSNFELDQNPSQFTSQFSSYDQYTCGNVNGCVSQDNWPLEFPLQDNHLDAVPFMESFFSPNLLYDFPTIEPISTAPVQDSEFYDIRKGFSVWNDIDAEFEKEEVFFFCNKEESGNEVTEDINFGNGKKVREERSGSTRMLSRKTISQYFYMPITQAARELNVGLTLLKKRCRELGIRRWPHRKLMSLQTLINNVQELGKEEGAESDMKLRNAIEILEKEKKMLEETPDMQLEDNTKKLRQACFKASYKKRKLMGMMESQSSFSTLSGHVTNIDIF >OIW17984 pep chromosome:LupAngTanjil_v1.0:LG01:31481262:31487439:-1 gene:TanjilG_31357 transcript:OIW17984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQLVENSHRVSRVQAPLVDSVSCYCKVDSGLKTVAGARKFVPGSKLCIQPDINPNAHKSKNLRRARTRVQPPLLPGLPDDLAIACLIRVPRVEHRKLRLVCKRWYCLLSGNFFYSLRKSLGMAEEWIYVIKRDRDGKISLHAFDPVYQLWQSLPPVPGEYSEALGFGCAVLSGCHLYLFGGRDPLKGSMRRVIFYSARTNKWHRAPDMLRKRHLFGSCVINNCLYVAGGECEGIQRTLRSAEVYDPNRNRWNFVSEMTMPMVPFIGVVHNGMWFLKGLGLNRNVACDAYSPETDTWTPVNNGMVNGWRNPSISLNGQLYALDCQDGCKLKVYDAATDTWKKFIDSKLHLGSSRALDAAALAPLNGKLCIIRNNMSISLVDISSPNKRVESNPQIWENISGKGHASSFVKNLWSTIAGRSGLKSHIVHCQILQI >OIW18492 pep chromosome:LupAngTanjil_v1.0:LG01:20630144:20631930:-1 gene:TanjilG_13244 transcript:OIW18492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGAGNFLMVLLKNFDVLAGPVISLVYPLYASVRAIESKSPIDDQQWLTYWVLYSMITLFELTFYKPLQWIPIWPYAKLIVTFWLVLPYFHGAAYVYEHYVRPFLANPQTINIWYVPKKKDTFAKPDDILTAAEKYIQENGTQAFENLIHRADKSRVGGYHTMYDEIY >OIW19527 pep chromosome:LupAngTanjil_v1.0:LG01:1931377:1932059:-1 gene:TanjilG_06982 transcript:OIW19527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYHSSFVDEDGVNRACGCPLLPLKSHIKGPAPVSDQDRTDIVDEAITFFRANVFFRNFDIKSPADKLLIYLTFYINVALKRLEGCRTLAEGTKAVINLGLEKVPVPGESGFPFSGLFPLPQSHPEADLGTLIY >OIW19124 pep chromosome:LupAngTanjil_v1.0:LG01:11884132:11884413:1 gene:TanjilG_03614 transcript:OIW19124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWQGQKLAEQLMQILLLAFAVIAFATGYVLASFQLMILTYAGGVVLTTLVTVPNWPFFNRHPLKWLDLSEAEKHPKPQPVVNVTSKKKSIKK >OIW18062 pep chromosome:LupAngTanjil_v1.0:LG01:29430554:29431043:1 gene:TanjilG_19294 transcript:OIW18062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGLTMGDTIPNLEVESTNGKINLHHFCNDSWTILFSHPGDFTPVCTTELGQMAKYCSEFYQRGVKLLGLSCDDLESHNEWIRDIEAYTVSFSHFTLVVLLYGPYCELFKYINHFYVCNKYKFV >OIW19654 pep chromosome:LupAngTanjil_v1.0:LG01:876829:881661:-1 gene:TanjilG_18464 transcript:OIW19654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDERDFQVLLHSWNNKNPTDRLFIISCFIAAAVGILTIAYTAFQWRRNINLSWMKAVARSQKNPKARHKVPVAPHTWVLESVSRAKNLNCCVCFKSMSPQTLGPIVASDSFIHRCGICGAVAHLSCSSSAHKDCKCISMIGYEHVMHQWAVRWTDVADQSDETTVCSYCEEPCGGTFLSGSPIWSCLWCQRLVHVDCHSAMSNETGDICDLGTFKRLILSPLYVKELNRNLPGFLSSITYGANEIASTVRASIKNQSKKYKHGNEPSVDSGNTESAGEIPTESTGDSHQTVNGHHEVEEKNIVITNKEDRHHDDVDNNMDRKPSLGRNSSINQRDESHMFGVRQKYELIDLPPDARPLLVFINKKSGAQRGDSLRLRLNILLNPVQVFELSSSQGPELGLYLFRKVPHFKILVCGGDGTVGWVLNAIDKQNFVSPPPVAILPAGTGNDLSRVLSWGGGLGPVERQGGLSTFLNHIEHAAVTILDRWKVTISNPQGKQQLRPPMFMNNYLGIGCDAKVALDIHSLREENPDKFYNQFMNKVLYAREGAKGIMDRTFADFPWQIRVEVDGVEIEVPEDAEGVLVANIGSYMGGVDLWQNEDENYDNFDPQSMHDKILEVVSISGTWHLGKLQVGLSRARRLAQGQSIKIQLFASFPVQIDGEPWFQQPCTISITHHGQAFMLKRAAEEPLGHAAAIITDVLENAETNNVINASQKRSLLHEMALRLS >OIW19010 pep chromosome:LupAngTanjil_v1.0:LG01:17519725:17521015:1 gene:TanjilG_20283 transcript:OIW19010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPHKNDKFWCILEIMMRSSIGLKWKMTSGLALILFLEDMASYKGLPRTAQIHIVSSHKEAVVAAVITSLALILGQEGCGSNYIATDINQHAVKVTSACGVDAELIITDIVSGLGRCLAGLVDVMVVNPSYVPTPEDEIGVEGITSSWAGGENGRSVIGRVLPVYRNNFCQKRDDRTWVTLTTNNPSEICLQMRTKDMHLRLSFKDQQRKKVFIS >OIW18316 pep chromosome:LupAngTanjil_v1.0:LG01:24342013:24343898:-1 gene:TanjilG_31456 transcript:OIW18316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLLSIQENLPYVLNSTFYLSVLCFFGVLFVFKITRRSKTNSPPSPPKLPFIGNLHQLGTFPHRSLQSLSYKYGPMMMMKMGQIQTLVISSSDVAREIFKSHDAVFSNRPTVTGSDIFLYGSKDVAFAPYGDEWRQKRKILVLELLSMKRVQSFQPIREEEVGEMLHAIRDACRKSSTVNLTEMLIAASNNLNSRCVFGQKYDTEDGSPSFGDLGRKMLVQFTAFCVGDFWPSLSWIDTLSGQIPKFMETFTSLDIFLERVIKEHRAKMKSSDDQSDKKDFVDILLQLQGEDKLDFELTQDILKALIVNLFIGGSDTSSTTMEWAFAELMRNPRVLKKAQEEVRRVVGDKKVVDANDTKHMNYLKCVIKETLRLHPPAPLLVPRETTATVNLKGYDIPSKTRILINGFAIQRDPEVWDKADEFYPDRFENSEVDFKTQDVEFIAFGGGRRGCPAITFAVTFTTYVLANLLYWFDWKLPENVDEVDMSERYGIVVNLKVPLQLKPVLSSFGSGSQP >OIW18854 pep chromosome:LupAngTanjil_v1.0:LG01:19811386:19812154:-1 gene:TanjilG_25297 transcript:OIW18854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSNCGCGSSCKCGSGCNCNKYSEMTTSETLIMGVAPLKTQFEDAEMGFEAEDGGCKCGSSCTCDPCNCK >OIW18819 pep chromosome:LupAngTanjil_v1.0:LG01:20089416:20100025:1 gene:TanjilG_25262 transcript:OIW18819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSSCCKSGGRFMERYGYDLILGSIATFYVLMIPYTKVEESFNLQAMHDFLYHRHHLDNYDHLEFPGVVPRTFIGAFVVSIVAAPFLLITTFLHLPKFYALLIVRMALGCIVLYTLRFFRHQIRNKFGHQVEAFFVILTAIQFHLLFYSTRPLPNIVALSIVNLAYGYWFEGRFYAALNSLIFATTVFRCDMLLLLGPLGLQLLLTKDISLWGALKRCTSMALFCIGVTILVDSIMWKRLLWPEFEVFWFNSVLNKSSKWGVSLPEDLILNISIAVEYKNTHAFHWYFTSALPRALLAAYPLSLFGLLVDRRVRSFTFPVLAFILLYSKLPHKELRFIISSVPIFNLSASIAANRILAGTITTFMASYWNYPSGHALKELHGVDYHNDTDEQWVHIDTFSAMNGISRFCESDFPWRYSKEEQISLQELKHRNFTFLINEHPVINGFKCLFAEDGFSRILFKFGFPPIFVVKEPKVFVHGNLGHKDIFSKNWPGCS >OIW18889 pep chromosome:LupAngTanjil_v1.0:LG01:19462656:19463239:1 gene:TanjilG_25332 transcript:OIW18889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCLCDLKTVIVPFCRFECLRLNGFNAIVPCCVLASYRYLPLPYENFLEQVYNVTKFLNDHPGGDDVLLSSTGKDATDDFDDVGHSSSAEAMLEEFYVGDIDTSTISSNVNNNASPNQPHYNQDKTPQFIINLLQFLIPLFILGLAFAVRFYTKSA >OIW18786 pep chromosome:LupAngTanjil_v1.0:LG01:23054176:23060029:1 gene:TanjilG_13538 transcript:OIW18786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFLSVFGFIGLVVLKLVQGMENGNVSDMQYWGRGFYNRPLLVGLTLINGAAAKGAVCLDGSLPGYHFHRGYGSGSNSWLIQLEGGGWCGNIRNCIYSKRSRHGSSLHMEKQIPFVGILSNKAVENPDFFNWNRIKVRYCDGASFSGDSQHEAAGLYFRGQRIWHAAMEDLMSKGMRYAKQALLSGCSAGGLASILHCDEFRELFPRTTRVKCLSDAGLFLDTIDVSGRRSLRNLFGGVVTLQGVKKNLPRSCTSRFNPILCFFPQRLIASVRTPLFLLNAAYDTWQIQASLAPPSADYRWNWNDCRKNYARCSQPQLKYLQGFRNQMLGVVRGFSRSHQNGLFINSCFAHCQAERQDTWFAHDSPHIGNRGIAQSVGNWFFDRVGVQAIGCPYPCDRTCHNLVFN >OIW17592 pep chromosome:LupAngTanjil_v1.0:LG01:36377643:36383759:1 gene:TanjilG_11156 transcript:OIW17592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAKGKKRREKNYRAAHGGYTGLPPPPDPSKVDALPSKLRQIITFTHSQHKQQQQNSGLPKDLDKKRKRDDGHAPNGKGEVGTADFKEGDSSEQLKARQYTDNANDKKKKKKRKRKEAEDLRFAMEVDKTNSQLKRKERKKKYLESKKKHKKTADEDDLDFPRHEKIKFGDVVQAPPKLAFIPKALKNTQDASQERLRLRAIEEYRSRKGWTSRPGIHLPPPVVSDP >OIW18828 pep chromosome:LupAngTanjil_v1.0:LG01:20027035:20030866:1 gene:TanjilG_25271 transcript:OIW18828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLSKSSGSSFCRNSSKSSSNPQLKLGPNSTSFISSGIPDLDKILGGGFSVGSVVMIMEDAEAPHHMLLLRNFMSQGLVHKQPLLYASPSKHPKLFLGTLPSPNASSSSKHDKSPHPTHEKDLRIAWQYKKYFGEPNLNISTNNGGQHDYCNDFDLRKPLERHFYSGTNVDCVSIQDSPNLDALQDRCAGFLAQLSRNEGNISSAGRIAIQSFCSPQCKYSDMEWHMLSFIKSLKGMTRSSNAVVIVTFPPSLLSPSCSKRLQHMADTLLSVKAIPDEDKELAKLLTGYQDMVGFLNVHKVARLNTQVPVILDATTFSIKLEKRRYLVLECLNQAPVDGSSGTSYGTSGSCSGSTKSGSLDF >OIW18037 pep chromosome:LupAngTanjil_v1.0:LG01:30448269:30448520:-1 gene:TanjilG_31619 transcript:OIW18037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMYMDKCWQGLSGASGVGDKGDTSEGGWRWLGFWYGGIEMVVMVVVDVVVMAVVVVKVLEVMMVVIVIKVVVMLETENIVVLV >OIW17745 pep chromosome:LupAngTanjil_v1.0:LG01:34756049:34758094:1 gene:TanjilG_29095 transcript:OIW17745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAITTTQTLFLFLFQLQLSPFPILALDFLFNSFTGVTNTTSNITFINDARIDATVIRMTNDSNQYSFGRAFYPKKIPIKRNSSISNSNSNSNSNSTSISSFSTSFVFSILPQISTSPGFGLAFFLCSTTSPPGAIASQYFGLFTNATSPSIFPLLAVEFDTGQNPEFNDPNDNHIGIDLNNIESKKIVTAGYYNSSGGFVPVRMRSGENIRAWIEFDGENFQINVTVAPIGVSRPVRPTLTYQDPAIGDYVSSDMYVGFSASKTNWIEEQRILAWSFSDSGTAREINTTNFPIFEPESTPSSLSGGAIAGICIGCAVFVAICVLGFYLWWRNKKAKEEDEDEIEDWESEYWPHRFSYEELSVATSDFCKERLLGSGGFGKVYKGTLSNKTEVAVKCVNHDSKQGLREFMAEISSMGRLQHKNLVQMRGWCRKGNQLMLVYDYMPNGSLNKWVFDHPEKVLGWEQRRRILVDVAEGLNYLHHGWDKVVIHRDIKSSNILLDSDMRGRVGDFGLAKLYEQGEVPNTTRVVGTLGYLAPELATIAVPTSASDVYSFGVVLLEVACGRRPIETSVAEEEVVLIDWVRELYGKECVVEAVDERMKGEYDVRDIEMILKLGLACCHPDPHRRPTMKEVTALLLGEELLEAPGEVLSDSARGDGDGSAAVDEGQVKEEEAPLQVIIPV >OIW18392 pep chromosome:LupAngTanjil_v1.0:LG01:23384884:23385615:1 gene:TanjilG_31532 transcript:OIW18392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVFTFENESTSTVAPARLYKALVTDADTIIPKAVEAIQSVETVEGNGGPGTIKKLTLIEGGETKYVLHKIETIDEANLGYNYSIVGGVGLPDTVEKISIETKLVEGANGGSIGKVTIKIETKGDAQPNEEEGKAAKARGDAFFKAIESYLSANPDYN >OIW19188 pep chromosome:LupAngTanjil_v1.0:LG01:8681313:8686746:-1 gene:TanjilG_04931 transcript:OIW19188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISKDNVTGLILALSSSIFIGSSFIIKKMGLKKAGNTGKSAVIVGEIANFAAYAFAPAILVTPLGALSIIFSAVLAHFILEEKLHIFGMLGCALCMVGSTTIVLHAPHERVIHSVKEVWQLATEIGFILYIVAVTILVIVLIFYFVPRYGQTHLFIYVAICSLTGSITVMSVKAVGIALKLTFEGSNQFKYFQTWFFTIVVIGCCLLQINYLNKALDTFNTAVVSPVYYVMFTTLTIFASMIMFKDWVSQNASQIATELCGFVTILSGTFLLHKTKDMGNRPEETTPVFRTPEHSTNDLQTR >OIW18483 pep chromosome:LupAngTanjil_v1.0:LG01:20582851:20584209:-1 gene:TanjilG_13235 transcript:OIW18483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATARGTRVGVRTISRNPIVEEIIPNSGWTEDPSGHYLLVDLPDFRKEEVNLQVDSSGSIIVRGDRKVNDWKSVHFQLIFPVPEDSDADKIAGKFDAGILYVTAPKQIARETKESEIQEIPNGNVERAEENENQELNAGNKVRDPSQDDNQRENEVPRNENADIGEFSEQLIRKWEQDPMLRNAVEVLRKNKGIVITAVIAFSLGILVSHKFQFSSAP >OIW17653 pep chromosome:LupAngTanjil_v1.0:LG01:35479129:35483399:-1 gene:TanjilG_29003 transcript:OIW17653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSFTQGRWNYERWGGFDPISSSNAKPPGVELWAIFDVPPLQVDASWKNLTHALSGLFCASINFLESSTSYSAPKWAFQSDLGSLRYGTLPREAVCTENLTPWLKLLPCRDKAGISTLMDRPSIYRSFYHSQRLHLRSSIAEDRLNSRIILEQTLTVVLQPDTQRAGMNYVSESKLQPSWSLSSIFGRKINGRCVLAKSSNVYLQLERGLVTELENLQKDAAIFAANDTGSEDFRRNIGFELSVNPSKVHKEVERSSSILYEYPIKEYKDSEQFDLGLTWKHPLVWSSPHAPLYASRFLTGSGNERGAIAISLKSTESTQGFVAANNVGERCKLQVNILQMVPWYIKVYYHTLQLFVDEKPQAVTDFVERMRVSPSEDKVSPGLMELILKFPCEAKSAVLNIDFDKGFLHIDEYPPDANQGFDIPSAIISFPEFHAGLQFSDNSLRKSPMLSKFQEKNHVLSYTEVLLVPLTTPDFSMPYNVITITCTVFALYFGSLLNVLRRRVGEEERLLKKKDAKKGVLLQVLAKLSAKFRGRSSESAQPQSPPPPPSSSFVSPKLVFKVLLVAGVAVTWQYYSQ >OIW17998 pep chromosome:LupAngTanjil_v1.0:LG01:31154836:31157075:-1 gene:TanjilG_31371 transcript:OIW17998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLVEKTTSGREYKVKDLSQADFGRLELELAEVEMPGLIACRAEFGPSQPFKGARITGSLHMTIQTAVLIETLTALGAEVRWCSCNIFSTQDHAAAAIARDSAAVFAWKGETLQEYWWCTERALDWGPDGGPDLIVDDGGDATLLIHEGVKAEELFEATGELPDPNSTDNAEFQIVLTIIKDGLKSDPRRYRKMKERLVGVSEETTTGVKRLYQMQANGTLLFPAINVNDSVTKSKFDNLYGCRHSLPDGLMRATDVMIAGKVAVVAGYGDVGKGCAAALKQAGARVVVTEIDPICALQALMEGLQVLTLEDVVSDADIFVTTTGNKDIIMVDHMRKMKNNAIVCNIGHFDNEIDMHGLESYPGVKRITIKPQTDRWVFPETNTGIIVLAEGRLMNLGCATGHPSFVMSCSFTNQVIAQLELWKEKDTGKYEKKVYVLPKHLDEKVAALHLGKLGAKLTKLSQTQADYISVPVAGPYKPAHYRY >OIW18901 pep chromosome:LupAngTanjil_v1.0:LG01:19305033:19310323:-1 gene:TanjilG_25344 transcript:OIW18901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNCFKRFGGKWVSLVSHRPFSTSSSIQNVPSDQGLKSLNLFSAINQALHIALDSDPRAYVFGEDVSFGGVFRCTTGLADRFGKSRVFNTPLCEQGIIGFGIGLAAMGNRAIAEIQFADYIYPAFDQIVNEAAKFRYRSGNQFNCGGLTIRAPYGAVGHGGHYHSQSPEAFFCHVPGIKVVIPRSPRQAKGLLLSCIRDPNPVVFFEPKWLYRLAVEEVPEDEYMLPLSEAEVVRQGSDITLVGWGAQLSIMEQACLDAEKEGISCELIDLKTLIPWDRETVEASVKKTGRLLISHEAPVTGGFGAEISASIVERCFSRLEAPVARVCGLDTPFPLVFEPFYMPNKNKILDAIKSTIDY >OIW17821 pep chromosome:LupAngTanjil_v1.0:LG01:34000073:34000891:1 gene:TanjilG_02449 transcript:OIW17821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTNQHTSSFTNVNNNTWNLEKMETNEHDGIILQVQDQHQIPMASTIWQNNYHHLLQIPPSSSSSTILAPNSSSSSGFLSDILGIRIEEDEEPEEELGAMKEMMYKIAAMQPVDIDPATIRKPKRRNVRISDDPQSVAARHRRERISEKIRILQRLVPGGTKMDTASMLDEAIRYVKFLKRQIRLLQSTPQNPPQQQPQCNVGVASTSALFLASNGCDWPFAPNMSQSSIAIAATTTTASMEMPARLGFNTGSHGQTYDGSSSFTHHEVISE >OIW17624 pep chromosome:LupAngTanjil_v1.0:LG01:35844056:35852867:-1 gene:TanjilG_28974 transcript:OIW17624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYMNMDDRSIGMFWVVSYTMAQPACETVINWLTSAGVVDLIPGTNLQSAERLVATREVSPLPMSLLSGFAMNLCTKLSYQMEDSLFSGQVVPSIAMVETYTRLLLISPHSLFRSHFHHLVQRSPSLLSKPGVTLLVLEILNYRLLPLYRYQGKSKALMYDVTKIISALKGKRGDHRAFRLAENLCLNLIFSLRDFFLVKREGKGPTEFTETLNRATVITLAILIKTRGIADADHLLYLQNMLEQIMGTSQHTWSEKTLRYFPSVLRDALSGRMDNRSLAIQAWQQAETTVIHQCTQLLSPSADPSYVMTYISHSFPQHRQYLCAGALILMHGHAEKINSGNLGRVLREISPEEVTSNIYTMVDVLLHHIQVELQQGHSLQDLLLKACASLVFFVWTNELLPLDILLLALIDRDDDPHALRIVISLLDRQELQQRVKLFCMTRGHPEHWLYSGVFKRVELQKALGNHLSWKDRYNASSGAITTKFAFTGTTCNKLSRYPVFFDDIAARLLPVIPLIVYRLIENDAMDPAERILAMYSPLLAYYPLRFTFVRDILAYFYGHLPGKLIVRILNVLDISKIPFSESFPQQISSSNPVMCPPLDYFMTLLLGIVNNVIPPLHNNSKSGPTGDTSSNAQNTSQNKPPTVSQSGPANASEGRKAFYQIQDPGTYTQLVLETAVVEILSLPVSASQIVQSLVQIVVNIQPTLIQSSNAFQGCSNGVGQGSALPTSPSGGSTDSLGASRSTPSVSGINTSNFASRSGYTCQQLSCLLIQACGLLLAQLPSDFHLQLYLETTRIIKENWWLTDMKRSLAEIDSAVGYALLDPTWAAQDNTSTAIGNVVALLHSFFSNLPQEWLEGTHVIIKQLRPVTSVAMLRIAFRIMGPVLPKLANAHALFNKTLALLLSILVDAFGKNSQTPIAVNASEIRDLIDFLHHVVHYEGQGGSVQASSKPRPDVLALIGRASESLRPDVQHLLSHLKPDVNSSIYAASHPKIVQNTT >OIW19669 pep chromosome:LupAngTanjil_v1.0:LG01:971786:976522:-1 gene:TanjilG_18479 transcript:OIW19669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRWEKLEMKMESEDEKGPGKRWGHTCNAVKGGRFVYLFGGYGKHNSQTNQVHVFDTLNQTWTEPAIKGSLPTPRDSHTCTAIGDNLFVFGGTDGVNLLKDLHILDTSSHTWISPSIRGDGPEAREGHSAAVVGKRLYIFGGCGKSADNDNEVYYNDLYILNTETFVWKCATTSGTPPSPRDSHSCSSWKNKIIVIGGEDGHDYYLSDVHILDTDTLIWRELTTSGQLLPPRAGHSTVSFGKNLFVFGGFTDAQNLYNDLYMLDIDTGVWTNVTTTTNSPCARFSVAGDCVDPIKGGALVFIGGCNKSLEALDDMYYLYTGMARESGQRPEKLSLRKQLKLKCQEQNLSPVQNDVLVRYGVSADMRPLMTVLNCSQPSGLNIPVNQPLPPPGKKMFEAKVTKNISEGYAIETVIDGKHLRGILFLNKPSSLPPSAHTSTRKRTAEIGSIIPNGAHTNMSKTPKVDEIKNRHAVHGDSSESHEHHRIEAVASLLSSNPTTADASVIHKVSVDREPEVAFLNQIDGGKYETPKSLGGNLNHDGANDVASSTGDVNTNDQTKVSISKPEVPRHDNRNDAPNCNTEFFNPVTTESATCLSNQGMKIWNWY >OIW19690 pep chromosome:LupAngTanjil_v1.0:LG01:1162136:1164122:-1 gene:TanjilG_18500 transcript:OIW19690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSESETVFESLNLNPQLFINQVLNTVDDVVDEAFDFFYEDASTKFNTEATQRSQELRKDESRENGSLHPDVSFDPDIDAQLGSMRKKLAEVGEESEMLNQEIQALERQSSLNAGYIEEAVRLYEQNSLLFQEIVTTAAELRTKMTKLKTGMIEENEQVKIKRICTNEMDLSAINPAKGLSNAELEDLQEILTTMKT >OIW18540 pep chromosome:LupAngTanjil_v1.0:LG01:20939659:20950555:-1 gene:TanjilG_13292 transcript:OIW18540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPYNIFGSGEECHSSESGWTMYIGSPTYDDDGEDIDNGDDEGIIPVNDLKEDHESDDSMASDASSRPKGNNGLSHFLQVAEEEKLEKKEKKIQEKHIEGKREEKKERVTIDGKGKVPVKCNSKLLSAKLSETFFHRKASINRFRSSLSQTSTTTPPSLQFQFQLRFHRHLSSSSDEHFPSGEFEFKPITGWNKFLVKLKMLIAFPRIRHDTVYTFKLRGQISDQLNSRFSRGLSLPQICDNFIKAAYDPRISAIYLHIDSLSCGWGKLDEIRRHILDFKKSGKIVVAYVPSCREKEYYLACACDEIYAPPSAYFSLFGLTVQASFVRACIEVTILPTFSMLIAHMELILLHVSVHALIVWEKYFVLFCILEDEPHSNGILDNIGIEPQVERVGKYKSAGDQLTCRTMSDENCEMLTALLENIYTNWLDKVSSAKGKKKENIENFINEGVYQVDRLKEEGFISDIIYDDEVITRLKERLGVKANKNLPMVDYRKYSRVRKWTLGISGGKELIAIIRASGIIRRVESPLSASRSGIIGEKFIEKIRSVRESKKIKAAIIRIDSPGGDALASDLMWREIRLLAASKPVVASMSDVAASGGYYMAMGTGTIVAESLTLTGSIGVVTGKFNLGKLYEKIGFKKEIISRGKYAELLAAEQRSFRPDEAELFAKSAQHVYKQFRDKAAFSRSMTVDKMEEVAQGRVWTGKDAASHGLVDAIGGLSRAIAIAKLKANIPKDKQVTIVELSRPNLSIPEFISGVGNSLVGVDRTIKELLEDFTLSNGVQARMDGIVFQKLEGHPGCNPILALVKDYLTSH >OIW18390 pep chromosome:LupAngTanjil_v1.0:LG01:23403659:23404471:1 gene:TanjilG_31530 transcript:OIW18390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVFTFENESTSTVAPTRLYKALVVDADTIIPKAVEAIQSVETVEGDGGPGTIKKLTLIEGGETKYVLHKIESIDEANLGYNYSIVGGVGLPDTVEKISIETKLFEGPNGGSIGKVTINIQTKGDAQPNEEEGKAAKARGDAFFKAIESYLSAHPEYN >OIW19674 pep chromosome:LupAngTanjil_v1.0:LG01:1027830:1030198:1 gene:TanjilG_18484 transcript:OIW19674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKTLDKYQKYSYSASETSPSITDTQTKYQEYVRLKAKVEVLQHSQRNLLGEDLAQMNTTELEQLENQLETALRNIRSTKTQFMLDQLDDLYNRETVLVETNNALRSKLEETNNSKVPATLSLEAGGPNIHYTHFPPHSEGLFHPSGVNSNLQIGYSPTGSDDDVNVDASSLNMHGFVSGWML >OIW18194 pep chromosome:LupAngTanjil_v1.0:LG01:26962954:26965602:-1 gene:TanjilG_31314 transcript:OIW18194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYGSQVLLQKEYAVPVRHFAKESAPPALKGDTMLKNIFVELKNKYETAIGLLKKEKITIDPDDPAAVSHYANVMKTIREKANLSSESQHIQESIETQTADIPDARTYLLTLKEIRIKSGLADDLGAEALMIEALDKIEKDLKKPLLRNDKKGMDILLAELGIREEDLPKYEEKLELSIAKAQLEELKKDALEAIETQSKREEFKDEGTVDVKSLDVRNFI >OIW19365 pep chromosome:LupAngTanjil_v1.0:LG01:3398317:3399450:1 gene:TanjilG_03499 transcript:OIW19365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRREREGLCPQRRRTPSFSSTLLDTIYRSIDESKTDLDQDQQVGHYNKPSKNINFSNKYNHGIHNSEKSGKERMNLRQAVMLEDWMEKQNSHSSYLLNPSSSSSECSSAGMFSFSSSETDSSNNKQRSKTKSEKPQMKQQQQQQQQQQKAQEEGGFARTKLRAMKIYGDLNQKVKQPISPGSKIACFLSSIFNSYNVKKAKMCYVGAVEDVTFEHKSKSPCFSSTPSSFSTRSCMTKTPSSAKRNKSNNNGIKRSVRFYPVSVILGEDSETDPSLMPLSNVRKDPRRSSTNDLKNTTMAKEKGYKNSSGKCNFDFSDFYDNGEEEEDEEDDALSYSSSDLFELDHLIGAERFQQELPVYETTNLETNKAIAIGLHL >OIW18467 pep chromosome:LupAngTanjil_v1.0:LG01:20475944:20482618:1 gene:TanjilG_13219 transcript:OIW18467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKPSNSEASSSDEPLSDSEEEQNHQINDQDDDDELQAVACSPSSGDDENLPDVDLDADDNEQDEGNVDPEISRREKARLREMQQIKKQKIQEMLDAQNAAIDSDMNNKGKGRLKYLLQQTELFAHFAKGDQSSSQKKTKGRGRHASKVTEEEEDEEYLKEEEDGLASTRLLTQPSCIQGKMRDYQLAGLNWLIRLYENGINGILADEMGLGKTLQTISLLGYLHEFRGITGPHMVVAPKSTLGNWMNEIRRFCPVLRAVKFLGNPDERRHIRENLLVAGKFDVCVTSFEMVIKEKSALRRFSWRYIVIDEAHRIKNENSLLSKTMRLYNTNYRLLITGTPLQNNLHELWALLNFLLPEVFSSAETFDEWFQISGENDQQEVVQQLHKVLRPFLLRRLKSDVEKGLPPKKETILKVGMSQMQKQYYKALLQKDLEVVNAGGERKRLLNIAMQLRKCCNHPYLFQGAEPGPPYTTGDHLITNAGKMVLMDKLLPKLKERDSRVLIFSQMTRLLDILEDYLMFRGYQYCRIDGNTGGEDRDASIEAYNKPGSEKFVFLLSTRAGGLGINLATADVVILYDSDWNPQVDLQAQDRAHRIGQKKEVQVFRFCTEYTIEEKVIERAYKKLALDALVIQQGRLAEQKTVNKDELLQMVRFGAEMVFSSKDNTITDEDIDRIIAKGEEATAELDAKMKKFTEDAIKFKMDDTAELYDFDDEKDENKFDFKKIVSENWVEPPKRERKRNYSESEYFKQTLRQGGPTKPKEPRIPRMPQLHDFQFFNTQRLSELYEKEVRYLVQTHQKNQLQDSIDVDEPEETGYPLTAEESEEKEHLLEKGFSSWTRKDFNTFIRACEKYGRNDIKGIASEMEGKAEEEVERYAKVFKERYKELNDYDRIIKNIERGEARISRKDEIMKAIGKKLDRYKNPWLELKIQYGQNKGKLYNEECDRFMICMVHKLGYGNWDELKAAFRTSPLFRFDWFVKSRTTQELTRRCDTLIRLVEKENQEYDERERQARKEKKLAKSMTTPSKRALPRQTESPSQKKRKQLTMDAYVTSV >OIW17955 pep chromosome:LupAngTanjil_v1.0:LG01:31972906:31976599:1 gene:TanjilG_17791 transcript:OIW17955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLDDGQEIDETGSPWEGAVVYKRNTSVSHLEYCTTLERLGLGKLSTDVSKTRASVMGLRVTKAVKEYLDGTPVQISIDVTRKSKKLRLDGIVKTVISLLCNRCCMPSAEAIFSEFSLLLTEDPIEEPETIDLGVFYGADIFKSSGDSDDDDDNDALIDLDDQLYFPPEEKEIDISKNIRDRVHLEITMNSICDSGCKGLCLKCGQNLNTGNCNCSEEVKEKNYGPLGNLKEQMKL >OIW18009 pep chromosome:LupAngTanjil_v1.0:LG01:30599851:30612369:1 gene:TanjilG_07593 transcript:OIW18009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFQGEHKESLYWGTYRPQVYLGIRARTPQSLIGGLMWINVKDGKYKLRHVCKHEDELSTYGWTKHNGRDFGHQLLADNGINLDTKFFKYKGEHSGYGGDWALRIDAQIHESKLNEELERGAQIFFYLADEGGNVLDINMENLNAHKGSLLASGSRTDIGDWQLHLKSMDDVELHYSGFHTLNFHNLSDLVEENLASQIRRHDRVQLSDSLDDTPNVLVFQIIREFPLTTDIVLISGTGSESSRVEERVSNLTGTSLSNQLKDKEQSFDEKFEKVFNLAEKVDSESIPVGKAAIGNLLGGIGYFYGQSKIALSSILNLKEQVNILSYWPAELYTAIPSRPSFPRGFLWDEGFHQLLIWRWDIHISLDIIGHWLDLMNIDGWIPREQILGAEALSRVPEEYVPQHPTNGNPPTLLLALSDIVSGLNNNEFTATDRSKISLFLDRAFVRLEAWFQWFNTTQSGSKMNSYYWHGRDNMTMRELNPKTLSSGLDDYPRASHPSGDECHLDIRCWMFLAADCMYSIEVLLNKETKPDKNYGSTAKLLSDHDLLNQMHFDYAYGAYLDFGNHTEKVELKWREVKVGYNHTARKLVRVVMEKPELRFVPHIGYVSLFPFMAKIIPPGSPILEKQLQLISNRSLLWTNYGLRSLAKTSSLYMKWNSETESPYWRGQIWINMNYMILSALHHYSKEDGPYQDSSKALYKELRSNLIKNVVRNYKDTGFLWEQFEQDEGKGHGSHPFTGWTSLVVLIMAEAYGKI >OIW17983 pep chromosome:LupAngTanjil_v1.0:LG01:31493261:31493509:1 gene:TanjilG_31356 transcript:OIW17983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRFVVVLPDHDPPTYEEGNIHDKDVEEDASSPIGEDEGDSDKIGHHVHNNMMSISSNVDLENGSIEVSMLVHSDEIGREP >OIW18111 pep chromosome:LupAngTanjil_v1.0:LG01:28209250:28211049:-1 gene:TanjilG_19377 transcript:OIW18111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYIVLHQIKTLIEDCIKGSKFSLLMQVETVADTFHILTRELSTLLDIFPVQELDLNHDLFELVILIRKQCYESKIVVGKEERELRNDVVLVIERIKNEIVPDKSNLAEIFSRLEIRDSSSCRAEIEALEEEIQNWIDEQSKSEIVALIGLVRYTKCVLFGASTPSPSKEIQRRKQPSEVVVPADYRCPISLELMQDPVVVATGQTYDRDSIKLWMDSGHNTCPKTGQKLTHTELIPNLALRNMIAIWCREQKIPFEVEYVNGKLNCRLTNKAALKATKMTALFLVDKLKYHEDVSDSSNEDLNGVVYELRVLAKTDSDSRACIVESGAIPLLVRYLSIDVGLHNPSLQVNVVTTILNLSILEANKTRIMETEGALNGVVEVLQFGATWEAKANAAATLFSLTGVAAYRKRLGRKTRVVSGLIQLARCGPVGARRDALAAILHMAADRETVGKLVEGGAVEMAVEVMAALQEEAVAILEAMVKRGGLVAVAVAYAGIKKLGVALREGSERARESAAATLVTMCRKGGSEIVAELAAIPGVERVIWELMAVGSMRGRRKAATLLRILRRWAAGLNGVESEGFTTTLTSSSIVVVPTSNLVA >OIW19508 pep chromosome:LupAngTanjil_v1.0:LG01:2084790:2099912:1 gene:TanjilG_06963 transcript:OIW19508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEKERFEYFGWVYHLGVNSIRHQYCHLRFLFIRGKYVAMYKRDPHHSPSIQPIRKGAVGPTLMVEEIGRRKVNNGDLYVLRFYNRLDETKKGEIACATAGEAQGWIEAFDQAKQQAEYELSRGGCARDKLNIEAEINLEGHRPRVRRYAHELKRLIRIGQGPETLLRQSSKFADGFEGGSGDAFDAHQWKCVLTMGGIRIFEDVSDCKNGKGVLAKSVGVIDATADTVFEVLLNTERQKRQEWHSKQDFVFSRQWFRGQDGTYSIRIFEDVSDCKNGKGVLAKSVGVIDATADTVFEVLLNTERQKRQEWDMLMCDLELVDSYDGHYDVVYGTYDPKYLSWWHSKQDFVFSRQWFRGQDGTYTILQFPALHKKKPKRSGYQRAKVNPSTWEIRNLNTPVASNSPKCLVTHTLEIHSASWHQWKNNKRSKFERSIPYALLCQVAGLKEYIAANPALHQEYATTVVDSKISDGSTSSYEYEDEMEDEFYDAIAGESSSSDEESDDNLKLDKGPRVKLKNVSWAITTLALKRTAEPDLSEELDPHITPMTIRPRNFHGSLRRGKDDNDTDCWASPSGKGFMIRGKNYLTDTSKVVGGDPLLQLIAVDWFAVNKSVDGIALHPKCLVQSEVGKKLPFILVINLQVPAKPNYSLVLYYAADRPINKDSLLSKFVDGSDVFRDSRFKLIPSIVEGYWMVKRAVGTKACLLGKAVTCKYFRQDNFLEIDVDIGSSSVARGIIGLVLGYVTSLIIDLAILIEAREEAELPEYILGTVRLNHLKIESAVPLEV >OIW17709 pep chromosome:LupAngTanjil_v1.0:LG01:35009778:35011175:-1 gene:TanjilG_29059 transcript:OIW17709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIASKVGDYAFKAFTATLGAATIYLTATFSVNVYTGLAWHNAQSKLDKQEADEQAP >OIW18132 pep chromosome:LupAngTanjil_v1.0:LG01:27682602:27686167:1 gene:TanjilG_22330 transcript:OIW18132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKIQFWRIKAANLVSDLTTVLFNPISDNNKKPSKPLNLPPSGESKGSESEGSSRTSVDNNEDGLVDGPDTSSFAAFLLSLLSPSDDKAAKKRQNDDKTAVKGTSIMKENRVAKKSLLSRSKQSIGRVIYQTTRMGGIRDQDRNNNVEMTQIKPVKEPVVVPLVDDLPRISEPSKLVSDSIRKVVYDSLPTLIHGRKWMLLYSTWKHGISLSTLYRRSMLWPGISLLVVGDRKGAVFGGLVEAPLIASNKRKYQGTNNSYVFTNISGHPVIYRPTGVNRYFTLCTTESLAIGGGGHFALYLDGDLLNGSSSVSDTYGNPCLAHSQDFDVKEVELWGFVLPSKYEEILALSKKEAPGICRW >OIW19550 pep chromosome:LupAngTanjil_v1.0:LG01:1726517:1738804:1 gene:TanjilG_07005 transcript:OIW19550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLSPRATVTVEPTPSSSSSSSSSSWDSMLPGPPNRNNFGSSDLSPHGLLAFPSGSSLSIIDTRSMQLLSSFPIPPPSSATSSLSPFVTALRWTPLPLNRDLLSTEPSSSHLFLAAGDRQGRIALLDFRIKSAVIWFDTDSKLDSTIQNIGISYSDVPSSPYLREDFDNPFDFCDESIIVSKMHLISISDDGKIWDWLLTTEGHAADTQKDDKKLGLVNDDSKISFPEATSNSIISSTGGRDPNLRRQKVRLNDSKSCLSSSILNQEEMLIKISLVGQLQLLSSTVTMLAVPTPSLTATLAQIMLFEGGGNYPAAAVPLVALGTQNGTIEVVDVSANAVASSLSVHNGIVRGLRWLGNSRLVSFSYSQANEKSGGYINKLAVTCLRSGLNKMFRVLQKPERAPIRALRTSSSGRYLLILFRDAPVEVWAMTKSPIMLRSLALPFTVLEWTLPTVPRPAQNAASRDSSLSSKEQTSGASDEVFTSSKISSSDSKGSSTEGFQDDTSESFAFALVNGALGVFEVQGRRIRDFREGIRRIKFSPFVPGDHSRGRIAVLFYDNTFSVFDLDSPDPLANSLLQPQFPGTLVLELDWLPLRTDKNDPLVLCIAGADSSFRLVEITANDKRSGHVPRIRNIKERFRSMPICCPIILPTPHALAVRMILQLGVKPSWFNTCSTTIKKRPHLIPGTPSSVEDLRTYMINIPPLGDSVVPEMLLKVLEPYRKEGCILDDERAKLYASILDKGCAARFAFAATIFGESSEALFWLQLRRAFKDAMNKLWRKPPPKGPSEESVSEVDETSLLSRILSKGKPTEEMGGCVLSQGQLRSMTFDQEELWKSASERISWHEKLEGEEAIQKRVHEALILYVAAGALQEALTALRKAQLPDTAAMFVLACREIHAEIVSNLGIVDDDSSSSVTEKLLNLRDLDPENEDVIAVDEYFGEYQRKLVHLCMDSQPFSD >OIW18890 pep chromosome:LupAngTanjil_v1.0:LG01:19457261:19460036:1 gene:TanjilG_25333 transcript:OIW18890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKKSLFSKGKNDDLYHVIHKVPYGDSPYVKAKHAQLVDKDPETAITLFWKAINVGDKVDSALKDMAVVMKQLDRAEEAIEAIKSFRHLCSKHSQESLDNVLIDLYKKCGRVEEQIELLKRKLRLIYQGGAFNGKPTKTARSHGKKFQVSVKQESARLLGNLGWAYMQKTNYMMAEVVFKKAQMIDSDANKACNLVLCLIKQSRFEEASLILDDVVQGKINGSSDSKSRKRANELLEELNDKIPQQEFSVDLLGLDDGLMKGLDQVLNAFGGSNRSKRLPIFEEISSFRDQLAC >OIW17702 pep chromosome:LupAngTanjil_v1.0:LG01:35083813:35084708:1 gene:TanjilG_29052 transcript:OIW17702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSNIRLLTKRQRKKKGDKFSDDENDKSNSEVSLRKEVKEEGSSGSKVTLSGLLNFIDGIWSACGGERLIVFTTNYVEKLDPALIRRGRMDKHVELSYCTFEGFKVLANNCFKIETHPMFDTIKRLLSEIKITPADVAENLMPKSPMDDADKCLSNFIEALETTKDEDLLSLRQSSLIKEDENVKHGSHIKENGELHEDNRIMKVTKQ >OIW18873 pep chromosome:LupAngTanjil_v1.0:LG01:19596849:19601326:-1 gene:TanjilG_25316 transcript:OIW18873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVIVDQWNHVENEVEEVVLQRKKGLQRRIDTIETDVEVNDPEPDQSMQVTQSGPKITTKQTLNTSDYSEAQKVSVKINDRGIGNILGNNETSSQDPKGSVETRKKHSWSNWAVIDEAHEVSIPKQHETESSSRSSDWRIIMPANNKQHEITLEVNVDGENTDLLGGPGEEPVIDENTALNKALEIVKSIVYGGLTESLASLTVVTSAASADATILSIVALALANLVGGLFIFVHNLGELKSEEPKKAENQTEAPVDRYNELLGERKNFCVHAFIAILSFIVFGLVPPLVYGFSFHENGDKDFKLAAVVSVSLLCITLLSIAKAYTQKSNTFMAYFKIVIYYVSNGAVGSVLSYLAGDLVKKLLEKVPWLEPSSNFGLQVQGMRMQKIEWSSY >OIW19131 pep chromosome:LupAngTanjil_v1.0:LG01:11354533:11358483:-1 gene:TanjilG_03621 transcript:OIW19131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEGASNGGILYHEVQESKLCAMHCVNTVLQGPFFSEFDLAALASDLDCKERQVMMPALSSGDFLSEESHNVSLDGDFSIQVLQKALEVWDLQVIPLNSPVAEPAQIDPELENAFICHLQDHWFCIRKVNGEWYNFDSLYAAPHHLSKFYLSAYLDSLKGFGWSIFLVRGNFPKEFPIFTAEASNGFGQWLSPEDAERITKSCNSVQAPLQRINQGQQLYNQFSSYGEADIEDEDLKAAIAASLMDSSSAVANVEASTPQIDDRSTKHVVISEVTPLNDQHNKQGDASLPLNDQSNKQGDASLPLNDQSNKHVSATEAGSLWNDQSSQEVASVVGCSPQDGNQNNEEKTL >OIW19119 pep chromosome:LupAngTanjil_v1.0:LG01:13148535:13164199:-1 gene:TanjilG_08919 transcript:OIW19119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREIKVQKLVLNISVGESGDRLTRAAKVLEQLSGQTPVFSKGDVESVHRGKIWAVCTASISDSAISHASQLANPFLGLPLEFGRCESCGASEAGKCEGHFGYIELPVPIYHPSHVSELKRMLSLICLNCLKIKKTKFPASSSGVAQRLLPSCCPETNAAQVSIREVKTTDGACYLTLKVSKSKMQRSFWSFLDKYGYRYEGSNIRALLPCEAKEIIKRISHETKKKLAGKGYFPQDGYVLKYLPVPPNCLSVPVASDGISVMSSDPSITILRRLLRKVEVIKSSRSGEPNFESHQIEANELQSVFDQYLQVRGTSKAARDIETHYGVNKELNESSTKAWLEKMRTLFIRKGSGFSSRSVITGDAYKKINEVGIPVEVAQKITFEERVNIHNLSYLQKLVDEHLCLTYKEGVSTYSLREGSKGHIYLKPGQIVHRRIMDGDTVFINRPPTTHKHSLQALQVYIHDDHTVKINPLICGPLGADFDGDCVHLFYPQSLAAKAEVLELFAVEKQLLSSHSGNLNLQLTSDSLLSLKMLFKTCILDRVAAHRLAMFLTQPLPRPAVLKANSGDAWTAMQLLQCALPSSFDCAGGRYLISHSDIVEFDFSRDLLPTMINEIAASIFFAKGPQEALKFFDVIQPFLMENIFADGFSVGLQDFSISRARRRIINRSIAKVSPLLYQLRSIYNELVAQQLEKHIQDVEKPVINVALKSSRLGDLIDSKSKSAIDKVVQQMGFLGQQLFERGRFYSRELIEDIASHFHTKCCYDGDGYPSAEYGLXEEMVHSISTREILVRSSRGLSEPGTLFKNLMAILRDVVVCYDGTVRNVCSNSVIQFEYGIQAGDTTQHLFPAGEPVGVLAATSMSNPAYKAVLDASPSSTSSWELMKEILLCKANFRNEPIDHRVILYLNDCCCGRSYCIEHAAYAVKNQLRKVTLKDISVEFIVEYQQQRNRKDSSEIAAGLVGHIHLDEVMLKKLKVNLDEVLQRCQERLNSFNRKKKVNQIFKRTELDFSESCYCSHHSVPCVKFLWPDGDHSDLDKTANIMSDIICPVLLETVVKGDPRISSANIIWVNPDTNTWVRNPSKSPKGELALDVILDKAAVKQSGDAWRIVHDSCLPILHLIDTRRSIPYAIKQIQELLGISCTFAQAIQRLATSVKMVAKGLLREHLILLASSMTCGGNLVGFNTGGYKALARQLNIQVPFTDATLFTPRKCFERAAEKFHTDSLSSVVASCSWGKHVAVGTGSKFDVVWNAKEIKSSENRGMDVYNFLYMSLKRTVKYRIVQLPMVGT >OIW18047 pep chromosome:LupAngTanjil_v1.0:LG01:30153512:30155059:-1 gene:TanjilG_07538 transcript:OIW18047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCCSGNTTDAPEVADNTATDHKGETNQESSKNENTNDPSTITATTPPPTSKPPPSASPPPSKQSKPATVGPVLGRPMEDVRATYTMGKELGRGQFGVTHLCIHKVTGQHFACKTIAKRKLVNKEDIEDVRREVQIMHHLTGQPNIVELKGAYEDKQSVHLVMELCAGGELFDRIIAKGHYTERAAASLLRSIVQIVHTFHSMGVIHRDLKPENFLLLSKDENAPLKATDFGLSVFYKQGEMFKDIVGSAYYIAPEVLKRRYGPEVDIWSVGVMLYILLCGVPPFWAGVDSILTFTN >OIW17792 pep chromosome:LupAngTanjil_v1.0:LG01:34260198:34268078:-1 gene:TanjilG_06477 transcript:OIW17792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKEQHKHDTATTATNSLHPEPQSQDFYMLEEFTAGPAFSFTQWLQLVRKRTTKYRSSGFPHRTSTTTAAATAAMSSSSSIGESIEDAKWDLYPEQTEISLWERLGNAAMLDIESSSFSWDSLTSLHHIEHTSSNELSEDDMNKALEVTVNSGGVVFFAFFNRYDTFPKEAAAVIKISPSRMATLSERLGFEFSKCLGVQTPQSISVTGIRAFPKEAAAVIKISPSRMATLSERLGFEFSKCLGVQTPQARVIHNTSSEWHQIKEATEKAREVACSEGDEVGEMTCFELLEALELSRCLFFMSYVHGSPLLESSRAFQSRESAERTSAALGRVLMLDLVIRNEDRLPCHELRWRGNSSNLLLTEKMISANTDTLPTVIDSAINRYRPKVIRALQKERRSTSADSRLDSHKGPVLISQTSELSEITESPSTYMMSLKDQSSREPLSPDFSIVAIDSGVPRRPPAGKRANDQVNYPKLVELLLNSSEFGSNMLYDITGGKLGCPQPEDMNATDIHASDMTSVVHALRTGFRGALMDLQGFQIFLVTLHQRLDTLFRSFMNIVSKISSGESDKEDPVVPDSPSLAANGSCSSTPSKERFSNENHQDFTDSESQKSTSRRSSSSNRDCFDSASTVSKECWHGKFNKGSVGEQLRSLRLTAKLRDFNKFSKVDAESNKELEQWNEMLKSDAIKLCQENNFNPGFFEGSDNNSVVDAYELKVRLEHILERIAFISEAASTEKPSAVTSSMFIGGTLAARSVYTLQYFGITHMLCLCTNEIGQSDSQFPDLFEYKNFSVCDNEDFNISSIFEEACDFIDHVEQTGQRVLVHCFEGRSRSATVVLAYLMIRKKFTLLEAWNALKRVHRRAQPNDGFAKTLQELDQKLHGKVSMEWQQRRPTMKVCPICGRNAGLSSSSLKLHLQKSHRKLSSGSVDSAMTMEIQKSLSS >OIW19105 pep chromosome:LupAngTanjil_v1.0:LG01:12352394:12355768:1 gene:TanjilG_08905 transcript:OIW19105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKVEKAKSGCFYDFLQVLLCPGNGPSPPVHPADNVIKSEKTELVHSKKDTMVDEYAATPGVVARLMGLDSLPKTNFSTKEATQDSVPRSRSVNFVDYLLEFDLNQSNNHRRVKTSASFREVPALAQWRNRDLFVPYWGDDKSKGEEEKSKKREKDLSELKQTKKKGSNEKENLKERVSIVKKEWNQGKNKKISKLKNEPRNVPSSYNRSRMVRKYPCEAKDLSNVSSRSNSPLSNKRKKGFIEPKLLVNKRNQKSNKKKIETENNSENLSPVSVLDCNDYPLLYETNSIENSHNTSPLTSTSKWKSSSLLSLGNGDIEGKASNNESCAYTDLNREAEYYSELLLKLRTLTEKDIRESNNNPNCIYGNEVELSRVGVRARDFYKKGHNTGLG >OIW19735 pep chromosome:LupAngTanjil_v1.0:LG01:1485392:1485739:1 gene:TanjilG_18545 transcript:OIW19735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATFTPIIAGIKASATSGNNRSDPDRRRNSSASWWTPLFGWSSEPDYVESNNKGSDSDPAVTESKPARPRFAGGFTDEKAKQLRLMSTESFHDKMYHSAIASRLASDFKARSDE >OIW18608 pep chromosome:LupAngTanjil_v1.0:LG01:21580833:21581429:-1 gene:TanjilG_13360 transcript:OIW18608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSSRKSRTSTILRSLSPSPRLCNSNSHSSSASAFASSSFTSRSTTTFFNRTPSPTRVSLYGSSSSSSSLRFSLDRSSSPTRSISVSPRTGGSSTVKKQQKRTCMCSPTTHPGSFRCSLHKNCGPHSVAPYAPNRLNARRSAMTNSLVRIRGVEGDLVKRALSALIRPSSHHQKRRGDFHPRPSRLSVMSKAEDENS >OIW19052 pep chromosome:LupAngTanjil_v1.0:LG01:16019150:16028919:1 gene:TanjilG_10613 transcript:OIW19052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQGLEGSQPVDLQKHPSGIVPTLQNIVSTVNLDCKLDLKTIALQARNAEYNPKRFAAVIMRIREPKTTALIFASGKMVCTGAKSEAQSKLAARKYARIIQKLGFPAKFKDFKIQNIVGSCDVKFPIRLEGLAYSHGAFSSVSLYAHMYVPTSRFSW >OIW18464 pep chromosome:LupAngTanjil_v1.0:LG01:20462922:20466454:-1 gene:TanjilG_13216 transcript:OIW18464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVASNARRASRLLQSRIFSGFTLRNYHNPELATHFMISKALYTSGIDTPQSIPTESVKELYDKMLESVNVKRSMPPNAWLWSLVSNCKHTQDIKLLFDILTNLRRFRLSNLRIHDNFNCNLCREVTKACVHAGALDFGKKALWKHNVFGLTPSVASAHHLLNYAKDHNDTKLLVEVMKLIKKNDLPLQPGTADIIFSICYNTDEWELLEKYAKRFVKAGVKLRQTSFNTWMEFAAKRGNTEALWKIEKLRSESTKQHTLATGFSCAKGLLLEQKPSDAVAIIQVLNQTLSDAKKSGIKDELQKLVSEWPLEVIKHQKEEDRKALATSLKSDIVAFVTDLLNKGLEANINLEDLNRKEAIPQ >OIW17790 pep chromosome:LupAngTanjil_v1.0:LG01:34294843:34297059:1 gene:TanjilG_06475 transcript:OIW17790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFSYQYHPFLVDPSFIPNTPIIMSSFTHTQQSHINTALTPSYDFHFLQANQEISCVDQTSKVSICDTDPCSVTKSNHSPDSSMVVDKLENGEHVTQKVTSIEKKRRTRNGSLLSGSTSKDSREVRSKKQKKSNDEVKEEEKKVEKEGPEQPPKGYIHVRARRGQATDSHSLAERVRREKISEKMNMLQKLVPGCDNVTGKALVLDEIINYVQSLQNQVEFLSLKLASVNPMFFDLAMDLDTPMKLNSIASPTPTPSIPQCSLNHSTVFTDTFTMATNNTRGFPASANDYLLDYAYLQGQRSNFFSEYSVGDQFWDVEDQQQKFLKPYGFSNDSSSLN >OIW19084 pep chromosome:LupAngTanjil_v1.0:LG01:13807304:13807864:1 gene:TanjilG_06393 transcript:OIW19084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQFLISLLLLSGYALTSATEFGRPLNRKLAKLKKKEQLTHFKFYWHESVGGSNATAAIIIPSLPQYTNTSHFGSVRVIDTPLTLGPELSSKLVGRAQGFYAVTSRTDLELTMIQNFNFFEGKYNGSTISVLGRDVALNETRELPVVGGSGVFRFAKGYVELSTYLAEPIAGNSVIEYNLYVLHY >OIW17810 pep chromosome:LupAngTanjil_v1.0:LG01:34095835:34102951:1 gene:TanjilG_02438 transcript:OIW17810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRISCSSATTSFNFNSTSISKFPFSPFTASFPNPNSIFSTIRITLCHASNSNPRNKHSSSSSSTTKKKKKKTTTVSDPLNFTDFEILQDFPAGVDVNVHDDGTDSIPDSSLAHASSSLPLPEPPAGFVVDGNGKVVQALTDRLVTIVDPTNNLPLECVVRRVFRSSEGHDCMLLCPVDTPIQILKSTNADGWSAVSDKEVESILPAAAYALAKIHMHLVYSGYCYTARGGFCYTEEDIFDFQTDEGKGVDGLPTEAIEITYFDLEGAQYMIYTPSDPHLFVAQNLFANPFILLFLDYGRWNFYCRPIQILKSTNADGWSAVSDKEVESILPAAAYALAKIHMHLVYSGYCYTARGGFCYTEEDIFDFQTDEGKGVDGLPTEAIEITYFDLEGAQYMIYTPSDPHLFVAVKDQNGMLQVADDELLEDPAIFDAIDEETEFNALAEEESALLESVIDS >OIW17780 pep chromosome:LupAngTanjil_v1.0:LG01:34397061:34408337:-1 gene:TanjilG_06465 transcript:OIW17780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METSVKHELLEIPISSSRERRERNDVVSSPPPGELIELSSDSDSDSDVDISSKKRKINDVGVVLPLGFLSPLPPATALPQPQAVLSLPAPNSASALVRSDAIASFASQSNGCKQFWKAGDFDGPPANGFESSTIGMDHVRVHPKFLHSNATSHKWALGAFAELLDNSLDEVCNGATYVNVDRLVSKKDGTRMLLIEDGNGFKTSTMRLGADVIVFSRSQGKDGKSSTQSIGLLSYTFLRNTGKEDIVVPLLDYEGGGMEWNRIVRTSTDDWNKNVDTIVQWSPFYDEADLLRQFNLVKDHGTRVIIYNLWEDDQGQLELDFNTDPHDIQIRGVNRDEKNIQMAKEFPNSRHFLTYRHSLRSYASILYLRLPPGFRIILRGKDIVHHNIVNDMMMSQEVTYRPQSAADGFPKDSNMVAVVTIGFVKDAVHHIDVSGFNVYHKNRLIKQPIQTAPVLPLVGSWTWEVAVLCMFVDAVTLSLEFIFTGCFHNCQPFWRIWNPAGSGGRGVIGVLEANFVEPAHDKQGFERTGSNCDRIGYASNRKKKQGGESVDRETSPEHVPESSRLKRQYTAPNDKGTPLPSDKMYLQPNQRRIRKESEKYTAYMNGRASASPNSRIPSSSEQSSSAEDVSDQDDVVLPKNQAKGSSQKISRAEKSVENENLHLKDTTTPSGKTLQSIRASKLKEQDVCDGEQVLSDSDLLTMQKLKEENCELKKRLERKEDEILVDAMQALQHEKDRCKSLETQLRDAERKIEDLNKEQETLIDVFSEERDRRDAEEKVLRKKLQDASNTIQELLDKVRRLERKSSGAKPGR >OIW19671 pep chromosome:LupAngTanjil_v1.0:LG01:995544:997594:1 gene:TanjilG_18481 transcript:OIW19671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSELCYLIFPALLCSTTLSSHVFLALLFLAAVFSYWLVPGGLAWALTSNKPTIPGPSGFPVLGLVFAFTGTMTHRVLAKLAHVFKAKPLMAFSVGFTRFIISTNPDTAKEILNSSDFADRPVKESAYELLFHRAMGFAPFGEYWRTLRRISATHLFSPRRIAASGEFRMSIGGNMVNQIKSLMQNNDVVEVKKVLHFGSLNNVMMMVFGRSYVFGEGGDDDGCEVEELVREGYDLLGVFNWSDHFPLLGWLDLQGVRKRCRNLVARVNVFVGKIILEHRMKRVIFEGGENKTVDEGSSDFVEVLLDLEKENKLQHSDMVAVLWEMIFRGTDTVAILLEWILARMVLHPEIQAKVHAEIDSAVGLTRTVTDADLPNLPYLRAVVKETLRLHPPGPLLSWARLSIQDTQIGHHFIPAGTTAMVNMWSITHDHEIWSDPQEFKPERFLEEDAPNIMGSDLRLAPFGAGRRVCPGKAMGLATVELWLAMLLQNFEWVPSDDGGVDLSECLKLSLEMKSSLLSKAIARPVCIILQE >OIW18931 pep chromosome:LupAngTanjil_v1.0:LG01:18981371:18982657:-1 gene:TanjilG_25374 transcript:OIW18931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSPFAYTFTLTGDSCNNLSNATTSSFNTPWMNSRIWSKLPQRLIDRILVFLPLPAFFRARCVCKRWYGFLYNNTFLELYLQVSPRRHWFMFFKNKTCKSYIYKNNNNNNVCSGSGHGSGASSSCEGYLFDPYDIAWYRISFALVPSGFSPASSSSGLLCWVSDEAGPKTMLLCNPILGSLTQLLPPTLRPRLFPSIGLTITPTCINVTVAGDDMISPYAVKNLTSETFHIDGGGFYSIWGTTSSLPRLSSLESGRMVHAEGKFYCMNCSPFSVLAYDISSNTWFKIQAPMRRFLRSPNLVECEGKLLLVAAVEKSKLNVPKSLRVWSLQSCGTMWVESERMPQQLYVQFSDLESGNGFECVGHGEFIVIMIRGTDKALLFDISKKRWQWIPPCPYTANDGFELHGFAYEPRLATPVTALLDQLVLPF >OIW18401 pep chromosome:LupAngTanjil_v1.0:LG01:23274459:23276988:1 gene:TanjilG_31541 transcript:OIW18401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTHADLEPNSGTTVLRSKIGTLLMVLLTILLGLFCFILCLIAEVKRSKVTWMNIGENEKGGSSECVYNGSGKMPLLCATCAFVALAIVKIVEHTCLLIAVSKSSPVLLNLDPDSASVKSLTFQAGFFYTTAWICFAVAEILLLLGVLVESGHLKNWSMPRKSCLIIREGIFSSAGVFAVITVFLASGLCLTTLHAQRISQDLENVQRDSSVIHVSNPTWLQQQHIATVTKENLATTRGTL >OIW17944 pep chromosome:LupAngTanjil_v1.0:LG01:32329907:32333754:1 gene:TanjilG_17780 transcript:OIW17944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMITTASIVPSTKIKIKLPTRRIEVDVGTKCELKQKAFWVEENGSFNSKERSSVPGSNKRGPQGSIESQKVKRQKMDCKASVQCATILKCLMSQTHSWVFNKPVDPVVLNIPDYFTIISQPMDLGTIKSKLDKNMYLSIEEFAVDVRLTFSNAMTYNPPSNDVHLMAKDLNKLFERKWKDLEKKWKCEDEHEKSMSETVRETVTEFCNEMHPLQKDTLPKKLRVPKLKRNQKISSLAGRDAKVKVLKSSRLPCRAIEKDLDKGKDNYDRRHPSGSVKAHPSSSLVTHGDLSCSCEIPSDLTHASSDISSEGSAGRDLNVDGADALRLAYCKTPLQRKSDLDSDASAGAVSSLDSEHSSSQLTTAAADASYGEVWSTPDFDVQLSPKKALRAAMLKSRFADTILKAQQKTLLDHGAKSDPLKMRLVNERMERIQREERAKIEAQIKSAEVAARMKAGEEYKKQREREREAARVALQKMERSVQVENNFQCLKELEMLCGCMLSFQAPSTKNGYRVAIGTADKSPLEQIGLFIKDEYVTADEDEEVPDCWEEGEILY >OIW18432 pep chromosome:LupAngTanjil_v1.0:LG01:20267230:20271981:1 gene:TanjilG_13184 transcript:OIW18432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSFPTNGGFRLAPPTALYSEVQISRIDHCIPLPSVLKKPFNIVDGPQSSSAANPDEIAKLFPHLFGQPSVTLLPIESDAVQPSHNLKIGVVLSGGQAPGGHNVISGIFDYLQDLAKGSILYGFRGGPAGIMKCKYVELTSHYIHPYRNQGGFDMIRSGRDKIETPEQFKQAEETVQKLDLDGLVVIGGDDSNTNACLLAEYFRSKNIKTLVIGCPKTIDGDLKCKEVPTSFGFDTACKIYAEMIGNVMIDARSTGKYYHFVRLMGRAASHITLECALQTHPNITIIGEEAQHLIAELNEIMASCSVDEGGLWKKKLTDQSLKLYEFLPQAIREQLMLERDPHGNVQVAKIETEKMLIQMVETELEKRKHEGTYKAVFKGQTHFFGYEGRCGLPTNFDSTYCYALGYGAGALLQSGKTGLISSVGNLCAPVEEWTVAGTALTSLMDVERRHGKFKPVIKKAMVELEGAPFKEFASLRDEWALKNHYISPGPIQFTGPGSDAISYTLGLELGAQP >OIW19554 pep chromosome:LupAngTanjil_v1.0:LG01:1704045:1706694:1 gene:TanjilG_07009 transcript:OIW19554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSAEKVGGASSAVEEAVSMELPAPPGWKKKKKFLPKKSGTPKKIEIVFTAPTGEEINNKKQLDQYLRAHPGGPAVTEFDWGTGETPRRSSRISEKAKVAPPPESEPPKKRGKKASASKKEAPQEEKEETKEVQMEEADETKHDKDVEEENNVVNENYDVKGPGDADLRESTHPVEAKAGENDDVPNDEEKAVVKENQDEKRAEDTDVKQSTHLGEAKDGENAAILNDEEKSNTADVELQFSKEKIDDKGVEGSEAFQNKDEEKIGQPQEETKKDGEPGVEVEGVNEEEHNRSNHESEGKTKGKEATKVIDEEQYKVHDINKTSETELTVNGS >OIW18556 pep chromosome:LupAngTanjil_v1.0:LG01:21097444:21100520:1 gene:TanjilG_13308 transcript:OIW18556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVATAVTASFFPVTSTSPDSGAEGNKHGDGPANLVGFKSKYASSRGLKVKANAQAPPKINGTAVTTSVETYKHDDDLPSPPPRTFINQLPDWSMLLAAITTIFLAAEKQWMMLDWKPRRPDMLIDPFGIGKIVQDDMVFRQNFSIRSYEIGADRTASIETVMNHLQETALNHVKTAGLLGDGFGSTPEMCKKNLIWVVTRMQVAVDRYPTWGDIVQVDTWVSASGKNGMRRDWLLRNCKTGEILTRASSIWVMMNKLTRRLSKIPAEVRDEIGSYFVNSAPILEEDNRKLPKLDDNTADYIRTGLSPRWNDLDVNQHVNNVKYIGWILESAPQSILESHELSAMTLEYRRECGRDSVLQSLTSISGVDVGNLAHSGHVECKHLLRLEDGAEIVRGRTEWRPKPVNNIGIMNQVPAKST >OIW18695 pep chromosome:LupAngTanjil_v1.0:LG01:22307574:22312427:-1 gene:TanjilG_13447 transcript:OIW18695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDLHIMPGSRHRPHMKKPLWIIVLVLFVCVFLICAYIYPPQTSSGCYVFSSRGCKGFTDWLPPLPAREYTDDEIAARVVIRDILKSPPIVSKNSKVAFMFLSPGSLPFERLWDKFFQGHEGKFSVYVHASKTKPVHVSRYFLNRDIRSEQVVWGKISMVDAERRLLANALQDPDNQQFVLLSDSCVPLYNFDYIYNYLMHTNISFVDCFKDPGPHGNGRYSEHMLPEIEVKDFRKGAQWFSMKRQHAILVMADHLYYSKFRAYCQPGLDGKNCIADEHYLPTFFQMVDPGGIANWSLTHVDWSERKWHPKSYMTQDVTYELLKNITSIDVSVHVTSDEKREVQRWPCSWNGIQKPCQAHIMRDFDSVCCSLVTVFFPLLRTHVVVVGFDCM >OIW19371 pep chromosome:LupAngTanjil_v1.0:LG01:3342861:3345323:1 gene:TanjilG_03505 transcript:OIW19371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKDARIEENVSLRNNAELGRTVSDKHLDLLRPSARNNTISKGQANAAGNGKGRYSLIRDPEDFQVGIYDIPLPCFGCGVGWFSFLFGFLCPPMWYYATFLYFGNYYRKDPRERAGLGASAIAVISRPSSCLFVCLFAYECTRLSISMLCGVADNSSRSSVKIAVTPILMQV >OIW19009 pep chromosome:LupAngTanjil_v1.0:LG01:17567383:17572671:1 gene:TanjilG_20282 transcript:OIW19009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANKGNSCVVRVSASVAAAEKPSTAPEIVLEPIREISGTITLPGSKSLSNRILLLAALSEGTTVLDNLLNSEDVHYMLGALRTLGLRVEDDKTTKQAIVEGCGGLFPTGKESKDEVNLFLGNAGTAMRPLTAAVTAAGGNASYILDGVPRMRERPIGDLVAGLKQLGADVDCSLGTNCPPVRVNGKGGLPGGKVKLSGSISSQYLTALLMAAPLALGDVEIEIIDKLISVPYVEMTLKLMERFGVSVEHSNDWDRFLVRGGQKYKSPGNAFVEGDASSASYFLAGAAVTGGTITVQGCGTSSLQGDVKFAEVLEKMGAKVSWTENSVTVTGPPRDPSGRKVLKGVDVNMNKMPDVAMTLAVVALFANGPTAIRDVASWRVKETERMIAICTELTKLGATVEEGPDYCLITPPEKLKITTIDTYDDHRMAMAFSLAACGDVPVTINDPGCTRKTFPDYFQVLERFTKH >OIW19621 pep chromosome:LupAngTanjil_v1.0:LG01:663696:664506:-1 gene:TanjilG_18431 transcript:OIW19621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHVSYFRKYGKTFKKPRRPYEKERLDSELKLVGEYGLRCKRELWRVQYALSRIRNNARNLLTLDEKNPRRIFEGEALLRRMFRYGLLDETQNKLDYVLALTVENFLERRLQTLVFKSGMAKSIHHARVLIRQRHIRVGRQVVNIPSFMVRVDSQKHIDFSLTSPLGGGRPGRVKRRNQKAAAKKASGGDGDEEDED >OIW18935 pep chromosome:LupAngTanjil_v1.0:LG01:18925555:18926214:1 gene:TanjilG_25378 transcript:OIW18935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDTTNKNHPWIQFYKQLSTNSMAWDSPIQGFSHATMVKTSDPIMPSNQGINLSLKNSHTTSSSHLVPKGNIAFKPIQRRSRASKKTPITLLNTNTNNFKELVQQFTSCPITSSMSSFSKGPITLNFQQGINKHVHHHHNTTTTTSMSQLPKQQFLQEHQSGYSLDYVKSNDFLQTLGNSRPSMEIFDGLIMNNDSSSLHEQSVNAFSYDTKINDGFFM >OIW19524 pep chromosome:LupAngTanjil_v1.0:LG01:1958479:1963054:1 gene:TanjilG_06979 transcript:OIW19524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGATILPDLGTEILIPICAVIGIVFALFQWFIVSKVKVTASRESSPNVASKNGYNEYLIEEEEGVNDHNVVAKCAEIQNAISEGATSFLFTEYRYVGIFMVFFAVLIFIFLGSVEGFSTSHHPCTYDQTKICKPALATALFSTIAFLLGGITSVISGFLGMKIATYANARTTLEARKSVGKAFIVAFRSGAVMGFLLAANGLLVLYITINLFKIYYGDDWGGLFEAITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAEASCAALVVASISSFGVNHELTAMLYPLTISSVGILVCLLTTLFATDFFEIKAVKEIEPALKKQLIISTVFMTVGIAIVSWIALPSSFTIFNFGVQKDVKNWQLFLCVAVGLWAGLIIGFVTEYYTSNAYSPVQDVADSCRTGAATNVIFGLALGYKSVIIPIFAIAVSIFVSFSFAAMYGVAVAALGMLSTIATGLAIDAYGPISDNAGGIAEMAGMSHRIRERTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAFASRASITTVDVLTPKVFIGLIVGAMLPYWFSAMTMKSVGKAALKMVEEVRRQFNTIPGLMEGTAKPDYATCVKISTDASIKEMIPPGALVMLTPLIVGILFGVETLSGVLAGSLVSGVQIAISASNTGGAWDNAKKYIEAGASEHARSLGPKGSDPHKAAVIGDTIGDPLKDTSGPSLNILIKLMAVESLVFAPFFATHGGLLFKYLQ >OIW17965 pep chromosome:LupAngTanjil_v1.0:LG01:31780953:31785411:1 gene:TanjilG_17801 transcript:OIW17965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTLTHGKSIASKGNSNNDPDHILVLVHGILASTSDWTYAEAELKRRLRKNFLIYASSSNTFTKTFAGIDGAGKRLADEVMQVVKKRSSLKRISFLAHSLGGLITRSAIAVLYSPDTYSRDQHCDPVNGAIENSQRSFSKGGLIAGLEPVNFITLATPHLGVRGKGQLPFLLGIPILEKLAAPIAPFLVGRTGRQLFLTDGKPNRPPLLLRMASDSGDGKSALGAFRCRIVYANVSYDHMVGWRTSSIRRETELGKPPRKSLDGYRHVVDVEYCPPVPSDGPQFPPKAVKAKEAAQNAPNTQNAVEYHQIMEEEIIRGLQQLGWKKVDVSFHSAFWPFFAHNNIHVKNEWLHNAGVGVIAHVADSLRQQEASSILTSSL >OIW17735 pep chromosome:LupAngTanjil_v1.0:LG01:34839971:34842353:-1 gene:TanjilG_29085 transcript:OIW17735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSLCVKSFVGVGSDWVNCMRRKSGNVNVNVVVACGSSKGDPMSVPTSSFVSRTQTYALLKHQMEVAAKSEDYKEAARIRDSLKLFEDEEPVLRLTRLLNEAVADQRFQDAARYRDELKKVAPHSLLKCSSEATTLGIKVQVRSVYIESRSQPSKGLYFFAYRIRITNNSDQPVQLLKRHWIITDANGKTENVRGIGVVGEQPTILPGTSFEYSSACPLSTPSGRMEGDFEMIHVDRVNSRSFNAAIAPFSLAMLGDDGSTA >OIW19250 pep chromosome:LupAngTanjil_v1.0:LG01:6388007:6393531:1 gene:TanjilG_20375 transcript:OIW19250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWCSTTLSSPTSTPVFNFKRTKLPSRILCNKSSHPFLASSALSKPFLQIYGRPQNLINERNSTRLSSGTFRATISAEAGRQGWDFGRFIKTLYFFNGPPSPAKFFDFLVEKLSDPSPSKSVNSMRTSDIVLVAGATGGVGRRVVDILRKKGIPVRVLVRNEEKARKMLGSDVDLVVGDITKDSTLIPEYFKGVKKVINAVSVIVGPKEGDTPDRAKYSQGIKFFEPEIKGDSPELVEELGMRNLIKAVKDNLELPRGKLLFGLEGNNFQQLSWGSLDDVVMGGVSESTFQIDPSGGEKGGPTGVFKGVVSTSNNGGFTSIRTKNFSEAEDLSAYDGLEFRLKGDGHRYKLIVRTSRDWDTVGYTVGFDTVKGEWQSIKLPFSSLRPIFRARTVSDAPPFDPRNVLMFSKFEYDGKLNETFVEGPFELPVSSIQAYTKEPKTPRFVHVGSAGVTRPERPGLDLSKQPPAVRLNKELGYILTFKLKGEDLLRESGIPYAIVRPCALTEEPAGADLIFDQGDNITGKISREEIARICVAALESPYASDKTFEMYAALSPNGDVVSVK >OIW18515 pep chromosome:LupAngTanjil_v1.0:LG01:20766792:20770223:1 gene:TanjilG_13267 transcript:OIW18515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPDKFTHKTNEALAGSHALAMDSGHAQFTPLHLASTLISDPNGIFFQAISNASGEESARAAARVFNQALKKLPCQSPPPDEIPASTTLIKSIRRSQAAQKSRGDTHLAVDQLILGILEDSQIGDLLKEAGVATSRVRSEVEKLRGKEGKKVESATGDTTFQALTTYGRDLVEQAGKLDPVIGRDEEIRRVVRILSRRTKNNPVLIGEPGVGKTAVVEGLAQRIVRGDVPSNLAEVRLIALDMGALVAGAKYRGEFEERLKAVLKEVEEAEGKVILFIDEIHLVLGAGRTEGSMDAANLFKPMLARGQLRCIGATTLEEYRKYVEKDAAFERRFQQVYVAEPSVVDTISILRGLKERYEGHHGVRIQDRALVVAAQLSSRYITGRHLPDKAIDLVDEACANVRVQLDSQPEEIDNLERKRMQLEVELHALEKEKDKASKARLVEVRKELDDLRDKLQPLMMKYRKEKERIDEIRRLKQKREELLFALQEAERRYDLARAADLRYGAIQELETAIQQLEGSTEENLMLTETVGPEQIAEVVSRWTGIPVTRLGQNEKERLIGLGDRLHHRVVGQDQAVNAVAEAVLRSRAGLGRPQQPTGSFLFLGPTGVGKTELAKALAEQLFDDENQLVRIDMSEYMEQHSVSRLIGAPPGYVGHEEGGQLTEAVRRRPYSVVLFDEVEKAHTSVFNTLLQVLDDGRLTDGQGRTVDFRNTVIIMTSNLGAEHLLTGLSGNCTMQVARDKVMQEVRRHFRPELLNRLDEIVVFDPLSHEQLRKVARLQMKDVASRLAERGIAMAVTDAALDYILAESYDPVYGARPIRRWLERKVVTELSRMLVREEIDENSTVYIDAGAKGIELVYHVEKNGGVVNAATGQKSEILIQIPNGTTKTDAAKAVKKMKIQEIDDDDEEMEE >OIW18369 pep chromosome:LupAngTanjil_v1.0:LG01:23592982:23594770:-1 gene:TanjilG_31509 transcript:OIW18369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRTFAAADSAVSGEIMLFGVRVVVDSMRKSVSMNNLSQYELPQDPSSNNTGNKYDIPASYASADDAVPHNSGKHRERKRGVPWTEEEHKLFLVGLQKVGKGDWRGISRNYVKTRTPTQVASHAQKYFLRHTNLNRRRRRSSLFDITTDMVPMEEEQIQNQDSVSHSQPMYPAATETSNMNGFQMMPMYPVGAGSGMFSIQAENPMEELTLGQGSMEHNVSTNPVLPIPKASTVSDIASNSSSTIDPPTLSLGLSFSSDQRQTSSRHSPLHGMPHFNNGDSIISVA >OIW19267 pep chromosome:LupAngTanjil_v1.0:LG01:5979706:5980036:1 gene:TanjilG_20392 transcript:OIW19267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPTLADKTCSRDPMGACGAAGQCDQNCKAKHPGGQGSCNFGLCNCDYCVQNPPSKNYCTGGSGLCAECAFVNMFVNSSG >OIW18508 pep chromosome:LupAngTanjil_v1.0:LG01:20726694:20727699:-1 gene:TanjilG_13260 transcript:OIW18508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDEEHHFESKGDAGASKTYPQQAGTIRKNGYIVIKGRPCKVVEVSTSKTGKHGHAKCHFVGIDIFTAKKLEDIVPSSHNCDVPHVNRTDYQLIDIAEDGFLSLLTENGSTKDDLKLPTDEALLAQIKDGFAEGKDLVVSVMSAMGEEQINALKDIGPKN >OIW17986 pep chromosome:LupAngTanjil_v1.0:LG01:31429652:31430853:1 gene:TanjilG_31359 transcript:OIW17986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKGTTNLALSSTILLLLVGFARSDINKDKAECSDQLIGLANCIPYVESQAKDPTIDCCTGLKMVIDKSKKCLCVLIKDLDDPNLGLKINASLALKLPTACHTATNLTQCVDLLHLAPKSPEAKVFEGDKDIGKNSPAPAPSVSNNATGNGTSSRDKSGGGWGKRWQSAEVVCGILPFFFISNFFLV >OIW17875 pep chromosome:LupAngTanjil_v1.0:LG01:33292784:33297149:-1 gene:TanjilG_14121 transcript:OIW17875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METPKQNKNNVIVKATVTNIDEIDISLSPRVNSLKPSKTVAISDQATALVQAGVPVIRLAAGEPDFDTPTVIAEAGINAIREGYTRYTPNAGTLELRQAICHKLKEENGISYTPDQIVVTNGAKQSITQAVLAVCAPGDEVIIPAPFWVSYPEMARLADATPVILPTSISDNFLLDPKLLESIITEKSRLLILCSPSNPTGSVYPKKLLEEIAQIVAKYPRLLVLSDEIYEHIIYAPATHTSFASLPGMWDRTLTVNGFSKAFAMTGWRLGYLAGPKHFVAACGKIQSQFTSGASSIAQKAAVAALGLGYAGGEAVSTMVKAFRERRDFLVKSFSEIDGVKISEPQGAFYLFIDLSSYYGREAEGFGIIEDSDSLCRYLLDKGQVAVVPGGAFGDDTCIRISYAASLSTLQAAVEKIKKALTHLSSHALV >OIW19558 pep chromosome:LupAngTanjil_v1.0:LG01:1663868:1665766:1 gene:TanjilG_07013 transcript:OIW19558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFSGDDELYSGSSLDLGRLYTIGSNVDVYYNPNKRARITAPFIFDCKQDQKSSIEVLPDECLFEIFRRLPSAKERTSCACVSKKWLMLMSSICKAEIERSTSSSDDVQMISFDEDQDIEGDGYLTRCLEGKKATDVRLAAIAVGTSGRGGLGKLSIRGSNDVRGVTNYGVSAIANGCPYLRSLSLWNVPSIGDEGLSHIAKGCHLLEKLDLCLSSSITNKGLIAIAEGCPNLTTLNIESCSKIGNEGLQAIARFCPKLQSIYIKDCPLVGDHGLSSLLSSASELSRVKLQALNITDFSLAVIGHYGKAITNLVLCGLKNVTERGFWVMGVAQGLQKLVSFSVTSCRGVTDTSVEAMGRGCTNLKQMCIRKCCFVSDSGLIAFTKASGSLESLQLEECNRVTQSGIIGALSNIKTKLKSLTLVKCMGIKDIDVEVSIVSGCESLRSLSIQNCPGFGSASMAIVGKLCPQIQNVDLTGLYGITDAGLLPLLENCSAGLINVNLTGCWNLTDNIISALARLHGGTLESLNLDGCWKLTDASLLAIAHNCLLLNDLDMSKCAISDAGIAVLSGARQLSLQVLSLSGCSEVSSKSLPFLTKLGETLLGLNLQGCNSIGSSTIELLMENLWRCDILA >OIW17856 pep chromosome:LupAngTanjil_v1.0:LG01:33595503:33598862:-1 gene:TanjilG_14102 transcript:OIW17856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTSHNTINYHFSFTLFITLLTTTTTTTSSFSTHEVQLSQLINFKNSLPNPSTLSNWAPYPTTTNPCSFTGITCTPQTNHVSSINLTGITLSTTFTLVSTHLLTLPYLQTLTLKLTNLSGSLPMLINCTTSLTFIDLSHNMLTGETDFSGCSNLHHLNISYNNFSETVPSFGDCSKLEFLDISGNQFSGDFSEKLSGCDSLLYLNVSSNKLSGSVSLLPRDIKFLYLAGNSFLGEIPARLAQLCSSLVELDLSSNNFSGTIRAGFSSCLGLESLVLNHNEFSGELPVEVLEKMRRLRRLSLSFNGFSGQLPESLSKMVSLEFLHLSSNKFEGSIPRGLCEDPMNSLKELYLEDNLLTGFIPPSLGNCSQLVALDLSFNYLKGTIPSSLGSLLNLRDLIIWFNLLNGEIPQELMYVKTLENLILDFNELSGEIPSGLSNCTKLNWISLSNNKLSGEIPAWIGRLSNLAILKLSNNSFSGRIPPQLGDCRSLIWLDLNTNYLSGPIPLELFKQSGKIVGNFMSGKTFVYIKNDGSKGFHGAGSLLEFVGIRPDQMNRISNRTSWNITRCYQGKLRPPTFYHNGSMLFLDVSHNMLSGNIPKEIGSMFYLYILNLGHNDISGNIPEELGNLKNIGILDLGSNRLEGQIPQSLTYLSLLTEIDLSNNLLSGPVPGSGQFLTFPASRFLNNSGLCGVNLTPCGENSGSITNVKHRKSHRKQVTVATNVVMGILSFLFCIFVFVIIAVETRRRRKKKEGVLDVYVDSCSHSGTANVGWKLTGTREALSINLETFENSLLKLTFADLLEATNGFHSDSIVGSGGFGVVYKAQLKDGSVVAIKKLIHVSGQGDREFTAEMETIGRIEHRNLVPLLGYCQVGEERLLVYEYMKYGSLDILHDQKKAGIKLDWAARKKIAVGAARGLAFLHHNCTPHIIHRDMKSSNVLLDENLEARVADFGMARLVNVMDTHLSVSTLAGTPGYVPPEYYQSFRCSTKGDVYSYGVVLLELLTGRKPTDSSDFGDDNLVGWVKQLAKLRKVDVFDPELRKEYPNPELELMQYLNVAFACLDYRPWKRPTMIQVMAMFKEIQVGSDIHSKSTIAAEDGGFGAVEMVDMSIKEATELSKV >OIW19221 pep chromosome:LupAngTanjil_v1.0:LG01:7681868:7682149:1 gene:TanjilG_20346 transcript:OIW19221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNHVVGSGRGVFYMGEMVNVMASPASPLEMLARGSPRTPRSPQQEEEEEEKRVVVEKGFYLHPISPLNTPTSSQPPKLLPLFPLHSPNSHHS >OIW18530 pep chromosome:LupAngTanjil_v1.0:LG01:20857785:20859575:1 gene:TanjilG_13282 transcript:OIW18530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSSSSSSSSPLKDEVDIVISTMTNLDFLETWRPFFQPYHLIIIQNGDPSTTIHVPHGFDYQLYNRNHINRILGPKSTCISFNYSASRSFAFMVSKKKYIFTIHHDCFVAKDPSGKEINALQQHIENLLSPSTPFFYNTLYDPYREGADFVRGYPFSLREGVPTAVSHGLWLDIPDYDAPTQLVKPLERNTRYVDAVMTIPKGTLFPMCGMNLAFNRELIGPAMYFGLVGDDQPIGRYEDIWAGWCVKIICDHLGLGVKTGLPYIWHSKASNPFVNLKEYKGIYWQEELVPFFQSASLPKDCVSVQKCYIELSKQVKEKLGKVDDYFNKLADAMVTWIEAWDELNPSG >OIW19521 pep chromosome:LupAngTanjil_v1.0:LG01:1985512:1987764:-1 gene:TanjilG_06976 transcript:OIW19521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKKKQVGETSEDNGNQSKVGDTSPKAYVSDAMISMSMSQDLKDEGNKLFCKRDLQGALMKYEKALKLLPTNHVGVSNLRSSMAACYMQMGLGEYPRAIHECDLALEITPDYSLALLKRARCYKDLNRLDLALRDVSTVVKMDPSNVTALDLSEKVKNALEEKGLRVNDTIIELPPDYAEPPTALPPQKVVKEKTQKKKTNKEKAPDNKIPKKQDEEKFEEKKADDSIVKKKTDKFKKKAKEKIDVKKAGIKEDEKSYDRGGNGLKKTAKLIFGEDIRWAELPVNCSFLHLREIICDRFPSLGSVLVKYIDQEGDLVTITSDDELRWAETGNQGSIRLYVVEASPEQDPMFEKLKVKVEKKVGINNGPENGSMVNAKEIISSSCIEDWIIQFANLFKNHVGFESDRYLDFHDLGMKLYSEAMEETITSEEAQDILDIAGDKFQEMTALALFNWGNVHMSRARKKVYFTEDYSKEHLCEQIKRSYEWAKKEYAKAGEKYEAAIKIKPDFYEAFLALGQQQFEQAKLSWYYALSSDVDLESWPSPEVLQLYENSENNMEKGMLIWEEFEKHHLGKTSNSKDVRLHLQSMGLDGTFKTISSDDIAAQTTNIKSQISLLWGTMLYERSIAEFKLQLPVWHESLESAVKKFEDVGASPTDIAVMLKNHCSNNTAVDGLGFKIDEIVQAWNEMYEAKKWQSGVPSFRLDPLFKRRVPKAYNAFEFA >OIW18929 pep chromosome:LupAngTanjil_v1.0:LG01:19010732:19014271:1 gene:TanjilG_25372 transcript:OIW18929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANRAPIATRNSALIAMIADEDTVVGFLLAGVGNVDIRRKTNYLIVDSKTTIKQIEDAFKEFTAREDVAIVLISQYVANMIRFLVDSYNKPIPAILEIPSKDHPYDAAHDSVLSRVKYLFSSESVASERR >OIW19049 pep chromosome:LupAngTanjil_v1.0:LG01:16135210:16135407:-1 gene:TanjilG_10610 transcript:OIW19049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHQCKCGNCVNKFLVNHGKHRNYLNKYLVHIDKCGNCLNKSLVHHGKYDNCLNKYQVCYVKHGN >OIW18864 pep chromosome:LupAngTanjil_v1.0:LG01:19691218:19693268:1 gene:TanjilG_25307 transcript:OIW18864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISRDSMHKRRATGGKKKAWRKKRKYELGRQPANTKLSSNKTVRRIRVRGGNVKWRALRLDTGNFSWGSEAVTRKTRLLDVVYNASNNELVRTQTLVKGAIVQVDAAPFKQWYLQHYGVEVGRKKKVAAKKDTTEESEATAEEAKKSNHLQRKIEKRQKDRTLDAHIEEQFGGGRLLASISSRPGQCGRADGYILEGKELEFYLKKLQKKKGKGAAA >OIW18648 pep chromosome:LupAngTanjil_v1.0:LG01:21886337:21888354:1 gene:TanjilG_13400 transcript:OIW18648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWVWSKVFLLSFYSLKGRLHQTWVVPRCGFQYFLPFATEGINKQIEEAILRADKIGVKVISLAALNKNESLNGGGKLFVDKHPNLRVRVVHGNTLTAAVILNEIPQDVEEVFLTGATSKLGRAIALYLCQKKVRVLMLTISTERFQRIQKEAPPEYQSYLVQVTKYQAAKNCKTWIVGKWITPREQSWAPRGTHFHQFVVPPILPFRRDCTYGDLAAMRLPEDVEGLGSCEYTMERGVVHACHAGGVVHNLEGWTHHEVGAIDVERIDLVWNAALKHGLRPVSSTSK >OIW18824 pep chromosome:LupAngTanjil_v1.0:LG01:20052890:20059894:-1 gene:TanjilG_25267 transcript:OIW18824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETTLSSEKSWHLLSLLLRIGHPLSPQHLSSHCHLFSASPSFILNLISLPDSPLSLTAHGFVIPSPSVVVAFARFFSVAVDRRFTKRKGDMIDFIQSSSPNKKRLILHQECADGRDQKRLSLSNNVQDVSFQSHSHTAKAKILPTLTFGSLTKDWGNASIPLHIDYTNAVCSDCPAWNFEHKETDNDASTSMFQGEISRSVIRHSLFLTTFQDPFLCNEAHVGSSGFGKKMDCVGTFTHEYSEQNIHPVDHGICEIDTFKDPVRESNEEESMQVKCDLKEGLIDSGIVREKEDTTQVVNLATSGEELKSCLEPKNFTCKDPIRESNEEEGMQVECGLKEGLIGSGTEREKEDTTQVVTLATCGEELTYCLEPKFFTCKDTIRESNEEEGMQVKCGLKEGLIGSGAEREKEDTTVVVNLATCGEELTSGLKPKNLKRALNFDNDETARSIGTHSTCKITHPSSKQYLKSSILKGGQKNDLHPKSQILTGSVACNKFDNAPNLNVAESKNEQNTRQKLKQSRKENMAETTSINPKVEKKTYPSFEPFTIEEEEGSGGYGTVYRARRKTDGKRLAIKCPHNNAHKNHINNERNMLERFGGKNFIIKFEDSFKSSNGDCFVLEHVEHDRPEVLKKEIDLIQLQWYGYCMFRALACLHKEGVVHRDVKPGNFLFSRRLNKGYLIDFNLAMDLKQKYNIGSKYKPSLDASNNIHLPSGSAPLVQDKNLVGSKSLPSSKREVADYKRNSQLNRQVKQKAYTGSQKNCPDKAGVTLLRAQGTDGSAGVTLLYLVIGKSPFAGEPEQNIKEIAKLRGTEELWEVAKLHDRELSFPLELFDDRYLQSWDIKSWCKIQTKRPEFFEQIPKSLFDLLDKCLTVNPRNRIGVEEVLRHEFFASCHEIMRKQRMNRRAEAVASGTI >OIW19540 pep chromosome:LupAngTanjil_v1.0:LG01:1824135:1830686:1 gene:TanjilG_06995 transcript:OIW19540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENRDSSSSSPSSTANRDASSAAESDHGVFTVTVALAKDAALHFQSGKFAECVEVLNQLLQKKQHDPKVLHNIAIAEFFCDGCSNPKKLLEVLNGVKRKSDELALASGEQEESFNNVGNKVVSGSKGSNSLAHQLSGANSTITVYTDEFDSSVAILNNAIIWFHLHDYVKTLSVLEPLFQNIEPIDETTALHICLLLLDASLACHDASKSADVLTYLEKVFGVSNVSQGDNGNTAQQQSANLIAKSASVAINASAADTSGSDLGSNVNGSENHLSTALAEDTLDYEAMLLHMGGQNLTRPTGSSSNDLSRALIDRFSTVDLKLKLQLYKVRFLLLTRNLKLAKREVKLAMNIARGRDSSMALLLKSQLEYARGNHRKAIKLLMASSNRTDTAFSSMFNNNLGCIYYHLGNYQTSSLFFSKALTNCSSMRKDQPLKLTTFSQDNSLLIIYNCGVQYLACGKPIIAAHCFQKASLVFYKQPLLWLRLSECCLMALEKGLIKSDGVPLEKLEVGVCVVGTGKWRQLVVEDQIPGNERMDSSEKDNCCPSDDGRQLKLSMSLARQCLTNALHLLDSYSTNYLKSGLPSNYSVEENDTSESPSSENSSLKKLHGTDSKAFSVVVGLGPVNSNGDTKEQKGGTSQELIQNSLSYYEDVCRRENQLVKQAVLANLAYVELELDNPVKALSVAKSLLELPECSRIYIFLGHVYAAEALCLLKRPKEAAKLLSFYLSEGRNVILPFSQEDCEKWRVERTSEFEDVNGGSMAAKNSCLEDSQSIAFLKPEEARATIYANFATISAMQGDFEEANILVSQALSISPNSPGATLTAVYVDLLLGGGCVLVSAGAAPTFDI >OIW18407 pep chromosome:LupAngTanjil_v1.0:LG01:23229629:23234646:1 gene:TanjilG_31547 transcript:OIW18407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSTLSLSSPITSSAATTSRSHSLPFTTAKPIHLRFCGLRPEALVSTTYSLNRRHGYLPCRSHSSISAALSSNDAPPKSFDYDLLIIGAGVGGHGAALHAGLKTAIVEGDVVGGTCVNRGCVPSKALLAASGRMRELKSDHHLKSLGLQVSAAGYDRQGVADHANNLATKIRSNLTNSMKALGVEILTGFGSIVGPQKVKIGSSDKIVTAKDIIIATGSIPFVPKGIEVDGKTVITSDHALKLESVPDWIAIVGSGYIGLEFSDVYTALGSEVTFVEGLDQLMPGFDPEISKLAQRVLINSRNIDYHTGVVATKITPARDGKPVLIELIDAKTKEPKDTLEVDAALIATGRAPFTQGLGLENIDVATQRGFIPVDERMRVIDANGKLVPNLYCIGDANGKMMLAHAASAQGISVVEQVTGRDYVLNHLSIPAACFTHPEISRVGLTEPQAREKGEREGFEVSVAKTSFKANTKALAENEGEGIAKLIYRPDNGEILGVHIFGLHAADLIHEASNAIALGTRIQDIKLAVHAHPTLSEVLDELFKSAKVSRP >OIW19714 pep chromosome:LupAngTanjil_v1.0:LG01:1296387:1296593:1 gene:TanjilG_18524 transcript:OIW19714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHSQCFYISVKYNYQLQQSGNGGVDSMATCHKLMRDLQAVFHVLSRDTQDLVLSKPQRASLLKVSPE >OIW19236 pep chromosome:LupAngTanjil_v1.0:LG01:6941793:6946815:-1 gene:TanjilG_20361 transcript:OIW19236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSFWGIQQCWSLNDEGLALLEFRVRITSDPYGSLANWNPNDCDPCMWSGIHCVDGKVQMLNLNGLYLEGTLAPELGKLSHLKSLVLCKNNFSGTIPKELGDLRKLELLNLRENKFTGSIPEEIGRMSSLKRLLDCDNKIEDIDSAELEKLRVPSKFLFYDNYSSNLLGSKNRKFGRWFKLRKASLHDYEENRYSNLPRSEDKKIGRNVSNLVNSARRKLLDQSSNLEAEPYSGGGRTIQINPLPTTLSSGSFSAVPDANKKQNQPPASLTSPSSSPHDTLNERSEQHGGNGSSRKWWKYLIIISVVAVLVIAIMVMLFVWRKRAAKVIKPWTTGLSGQLQKAFITGVPKLNRAELEIACEDFSNIVASFNEFNIYKGTLSSGVEIAVVSTVIASSEDWSKSMQSAYRKKIDTLSRVNHKNFVNLIGYCDEEEPFTRMMVFEYSPNGCLFEHLHSKDVEHHLDWSERMRIIMGTAYCLEYMHDRKPSVFHTNLSSLYILLTDDYAAKIGEMTFGQCILTPSNRRGDPSKKCDLPPHSDPETDVYNFGILLLETISGKLPYSEEHGNLVNWAAEYVNDKQRIRDMIDPTLQSYKDNELNVLCEVIQDCILSDPRLRPPMKDITPKLREGLQVSPEQAVPRLSPLWWAELEILSGEAT >OIW18906 pep chromosome:LupAngTanjil_v1.0:LG01:19241924:19242175:-1 gene:TanjilG_25349 transcript:OIW18906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSNLSIIVSSLFMVLCTLTIVAPKAEARAFFVFGDSLVDNGNNNYLATTARADSYPYGIDSATHRPSGRFSNGLNIPDLIS >OIW18709 pep chromosome:LupAngTanjil_v1.0:LG01:22425623:22434385:-1 gene:TanjilG_13461 transcript:OIW18709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISKTEINLRRLLAAAPQQQNQAKLAHYVATLREQVEQLAEERTPEGLPRISKAVLNDYSEKIEAIASKLVDLVPDVQASEKEAEMNSVKETPSEIEGKKQIPLSSGLRRRPVPVSSTEDRAHEPAETDHSSPVKLDVSAHAHIEKHRKLQEDLTDEMVVLAKQLKESSLIMNQSLQNTEKILDSTEQAIEHSLASTGRANVRAKAIYSESSKTSCLTWLVMFVMTCVFIMSASGSRQFVASVSNTKLSQNGNSTSLGCGNNSGQISHFFGPSSSNNTSTSLWGSENSQVSRTREEEEFPNGQILDVANLTVFTLAELRAATKNFRRDNLLGEGGFGRVYRGRIKERIGSSSGKKLTVAIKVLNSDSIQGFEEWQTEVNFLGRLPHPNLVKLLGFGREGSKLFLVYEYMKRRSLDNHIFGSASVKPLSWDTRLKIMIGAAKGLAFLHTLENRIIYRDLKPSNILLDMTYTAKLADFGLAKSIPYPHLSHVTTRIKGTSGYAAPEYLSTGHLYVKSDVYAFGIVLLEMLTGSRIRDIMHVSQPQSLQNWVKSTLLNRVKIKSTMDSRLKGKYPQKLASAVARISYKCIQTDVKVRPSMVEVVETLEKIEAANEKPADSMKQGLVPGQSNKIDSQMMVN >OIW18974 pep chromosome:LupAngTanjil_v1.0:LG01:18776942:18777730:1 gene:TanjilG_09168 transcript:OIW18974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASTMALSSPSLAGRAVKLSPSTTEIGRISMRKTSNKKVSSGSPWYGPDRVKYLGPLSGEAPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHSRWAMLGALGIVFPELLARNGVNFNEAVWFKAGSQIFSEGGLDYLGNPSLIHAQSILAIWAVQVILMGAVEGYRIAGGPLGEVNDPLYPGGSFDPLGLADDPEAFAELKVKELKNGRLAMFSVFGFFVQAIVTGKGPLENLADHVADPVNNNAWAFATNFAPGN >OIW19772 pep chromosome:LupAngTanjil_v1.0:LG01:43351:45077:-1 gene:TanjilG_27311 transcript:OIW19772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKEEFLKIQKCVLKVNIHCDGCKQKVKKILQKIDGVFTTEIDAEKGKVTVSGNVDPNVLIKKLSKSGKHAELWGAPNKPNHNNNNNNNNENNNVMKQLKNMQVEHGNGGGGNNNKGQQNIQKGAGNNKNQPKHQGGGGPNPQQQQQQQLQQQLQQQQQVQQHLQQLQQMQMKGFQDPKMMPPNLNHPNMKAVKFVTTEDDNDDDDFSDDELDEFDDDFEDEMDELPLNKFQQHPMSVGNGAHMMNKNHSQFMNLPQKGGGANGKKGGGGGPVPVQMPGMVGGNNANGGKKGGGSGGGSGGGNNQNKGGGGNKNNGGGAAEGKNGNNGGGKKGNNPMMGEANAQLQQAMKNGFRPNMVGGNMGPTMTQMGGGMPAGHGFPAAPNGSGGFMQGGGGPEMMHGNPQQQQYMAALMNQQRAMMYARPPPAVNYMHPPPYGYPPPDPYTNFFSDENTSTCNIM >OIW19145 pep chromosome:LupAngTanjil_v1.0:LG01:10547543:10552489:1 gene:TanjilG_18300 transcript:OIW19145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGSSSSSFGFGTPSSTPTFGTPSSTPAFGTPSSTPAFGAPSSTPAFGTPSSTPAFGTPSSTPAFGTPSSTPAFGTPSSTPGFGTPSSTPAFGTPSTPSFATGFGSSLFNTPSSQQQQQQSTLFQQQPSTGFGFQSFQQQQQPQTFQNAQITTQMANVAPVPHSFADRDVQAIVDSYKDEPGNPKYAFKHLLFSVTEPQFRVKPAGVSDIMWAEAMGKLEGMESADRERLWPQLVQGFKDLSNRLKIQDEVIVSDAERLRVTQSNVKILQRHFQADTLPRIQRMKQKEQILQQRLLKVMRIVEALEGKGCRIPLTKGEAELAEKLATITRQLKGSGADLSRRVQNLLTVSRVQTSGIGFGGSVYLPGSTKIHEQSLADLQEVLQQQTAAIARLGSVLKRDFRDTEIMVAENTGATENGNST >OIW18481 pep chromosome:LupAngTanjil_v1.0:LG01:20574641:20577787:-1 gene:TanjilG_13233 transcript:OIW18481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTPFQHQHHHQAPRSVRKLAVEVVDARNLLPKDGQGSSSPYVMAEFDGQRKRTTTRFKDLNPVWNESLEFIVSDPDNMEYEELEIEVLNDKKFGNGSARKNQFLGRVKLYGTQFCRRGEEGLVYFTLEKKSVFSWIRGEIGLKICYYDEFLVEDDDDDHDEDKRQPPQPPPPEESPSQQQLQQQLRQQQQQQQQQQQVEENDKRIPIEEGRVYEVQVPQGQGPPLQQPQSPRGVVVEQSPPPVVRVHQDQPMPEMSGGDNPMPSEMQYYPPEMRRMQASRGERVRVLKKPNGDYSPKVIAGKNVGVESERVHPYDLVEPMQYLFVRIVKARGLSPNDSPYVKVRTTNDLVRSKPASYRPNDSPEWNQVFALGFNKADSSTAATLELSVWDAPTEQFLGGVCFDLSDVPVRDPPDSPLAPQWYRLEGGAADNIPGRVSGDIQLSVWIGTQSDDAFPEAWSSDAPYVAHTRSKVYQSPKLWYLRVTVIEAQDLNIAPNLPPLTAPEIRVKVQLGFQSNRTRRGSMNQHSLSFHWNEDLLFVAGEPLEDSIILLVEDRTSKEAVLIGHIVIPLGSIEQRIDERHVPAKWFTLEGGDSYCGRVHLRLCLEGGYHVLDEAAHVCSDFRPTAKQLWKPPVGILELGILGARGLLPMKSKSGGKGSTDAYCVAKYGKKWVRTRTVTNSFDPRWNEQYTWQVYDPCTVLTIGVFDNWRMFADVADEKPDCRIGKVRIRVSTLESNRVYTNSYPLLVLMRSGLKKMGEIELAVRFACPSLLPDTCAVYGQPLLPRMHYLRPLGVAQQEALRGSATKMVAQWLGRSEPPLGHEVVCYMLDADSHAWSMRKSKANWFRIVGVLGWAVGLARWLNDMKRWKKPLTTVLFHILYLVLVWYPDLIVPTGFLYVVMIGIWYYRFRPKIPAGMDIRLSQAETVEADELDEEFDTMPSSRPLDVIRVRYDRLRMLAARVQTVLGDFATQGERVQALVSWRDPRATKLFIGVCLAITIILYSVPPKMVAVALGFYYLRHPMFRDPMPPASLNFFRRLPSLSDRLM >OIW18402 pep chromosome:LupAngTanjil_v1.0:LG01:23265471:23271504:1 gene:TanjilG_31542 transcript:OIW18402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIDFDSTTRRVDVDNRIPLRYYYRIADNLLKQVINSNPRRSSFTCVGKAEAVYIYPPRTPVGGSLASIYREEKNIVDLYIILIRYLSLVSETIPYHRDYQVSLPKGRAAHKKRSLAVLDEVESLKPEFKRRWEKLNDSRVKASFPEGNGFSKALESSVNSTLERPSVNKSSTLSMDFKQPAGLGSHPSWKYNNNMLTSNSMPIDKQFQKLSVGLPPPKKETLSRHSFLGPNGLQGQWLGPSTEIKVQYPSSNDLTHDKDSSLNRAGQYELVAVKDVDQGPVTSTLDSILSLDDGRWLRPAVESCSPVLTELREDPFQLLNIKQPLPPPVLAQVYPEHAPIPPSKVADPRPGPAKSSQDSGAGPTTYQHLHIPIKMMEDFLRLASGNTRKNLETCGVLAGSLKNRVFHITTLIIPKQESTSDSCQTLNEEEIFEVQDSLSLFSLGWIHTHPSQTCFMSSVDLHTHYSYQIMLPEAIAIVMAPTDTDSPHGIFHLSDPGGVSVIRNCQQRGFHPHEEPSDGSQIYEHCSHVYMNANLKFEVVDLRER >OIW18425 pep chromosome:LupAngTanjil_v1.0:LG01:20227490:20228568:-1 gene:TanjilG_13177 transcript:OIW18425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGIPRTVDEVFTDFKGRRAGLIKALTTDVERFYQQCHPEKENLCLYGFPNETWEVNLPVEEVPPELPEPALGINFARDGMQEKDWLSLVAVHTDSWLLAVAFYFGARFGFGKNERKRLFQMINDLPTIFELVTGSAKQSKDQPASAAHNNGTKYKSSGKVSHQSESEAKGVKDDDSGGGEDDEQGATCGACGDNYGTDEFWICCDMCERWFHGKCVKITPAKAEHIKQYKCPSCSNKKARV >OIW18823 pep chromosome:LupAngTanjil_v1.0:LG01:20063196:20064000:-1 gene:TanjilG_25266 transcript:OIW18823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKALALCLMVMLIIASPTVFGVDHTVGDSAGWSLGNDFTTWASGKTFKLGDNLVFIYDSTHQVNEVDESGYKACSASNSIKNYHDGNSKVPLTTSGNVYFICPIPGHCAGGMKLQLNVVASDTTTTPSGGSPPTTPSGGSTPTTPSGGSTPTTPSNPSPYTPSGSGTPPATTSPKPSGAVTVSSGISHFMFVAAIVLGFIMG >OIW18038 pep chromosome:LupAngTanjil_v1.0:LG01:30397897:30404144:-1 gene:TanjilG_31620 transcript:OIW18038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLSSAGFSPPPEEGEKRVLDSELWHACAGPLVSLPAVGSRVVYFPQGHSEHVAASTNREVDGHMPNYPSLPPQLMCQLHNVTMHADPETDEVYAQMTLQPLSPEEQKEAYFPADLGTPSNQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDFSQQPPCQELIARDLHGHEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNEKGQLLLGIRHANRSQPAMPSSVLSSDSMHLGLLAAAAHAAATNSRFTIFYNPRASQSEFVTPLAKYVKAVYHTRVSVGMRFRMLFETEESSVRRYMGTITGISDLDPARWPNSHWRSVKVGWDESIAGERQPRVSLWEIEPLTTFPMYPSPFPLRLKRPWPLGLPSYHGMKDNDFGMNSSLLWLQGTTDRGLQSLDFQGIGINPWMQPRLDPSMVNFQNDMYQSMAAAALQDMRTLDPSKQHPASSLQFQQPQNFPNTAPVLMQTQMLQQSQPQQFVSIPQTQSSPMQAISSLCHQQSFSGSSGNPAATATASRLHNMMGSFPQVETSHLLNLPRTSFWMPVQHSTAWPPSKRVAVDPLLSYGASLCHAEQIGQPQITMSENAVTLPPFPGRECAVEGSTDPQNNILFGVNIDPSSLLVHNGMSSLKGVSVNRDSSSTPFQPSNYLNTTGTDSSLNPVMTNSIDESDFLQTPENGGQGNSPIKTFVKVYKSGTFGRSLDISKFTNYHELRSELARMFGLGSELEDPVRSGWQLVFVDRENDVLLLGDGPWPDFVNSVWCIKILSPHEVQQMGNNGLELLNSVPIQRLSNGICDDYTGREDQRNLSNGITTLEY >OIW18639 pep chromosome:LupAngTanjil_v1.0:LG01:21825482:21832371:1 gene:TanjilG_13391 transcript:OIW18639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSITGSLVHEGQLMVRIAENGHSIELNCDENMLVEAVMRYIESVTGISFSDQLVLCLDLKLEPQRPLSAYKLPSDGRELFIFNKARLQNNAPPPPPEQVDIPSCSEPPSPSSNHDLHPLDDALDPALKALPSYERQFRYHYDKGNKIYTSTIKKYEHCGRLLREQMVQERAVEVARGNLDQYYRMINQNYGDFMKRYMLQHRIHSDLVVNFGKDVEKLRSVKLHPALQTANRKCLLDLVKEDQLRKSVENCASSHKQFENKVSQFKQTFAEVKHRVEELLSTRAFLPIKNLEQAIKEHHRYINEQNSILQSLSKDVNTVKKLVDDCLSSQLSSSLRPHDAVSALGPMYDVHDKNHLPKMQACDHAISKLLDFCKEKKNEMNLFVHNYMKSITYVSYLIKDQKLQFPVFKEAMARQDGLFMDLKLFHGIGPAYRACLAEIVRRKASMKLYMGMAGQLAERLATKREVEVRRREEFLRAHSSCIPRDVLTSMGLFDTPNQCDVNIAPFDVGLLNIDISDVDRYAPEYLAGITSKLEKHGSFKGSSALGSDSSHSAEAADITADSIDRYDSEDLLDDSELVEIAGTTKMEVENAKLKAELASRIALICSLFPEIEYESLDDESVDKILKNATQKTAEALHLKDEYVNHVQSMLKMKQLQCVSYEKRIQELEQKLSDQYDQGQKISAVNDVAGFPLMVEKGKSEYASGEAHIPCLSTSEPMDEVSCISSSLDAKLGLFTQHAGNSLDGVDENMLDSSMMEHHREEMQSVHKDKKDKIVGQSGMSLTNSSTAESMPVSHDLVPCASVVCPELDSKVNDDKLLELRRALADKSNELNETEGKLKAYLEEVAVLRRELEANQKLLDESQMNCAHLENCLHEAREEAQTQKSSADRRASEYSLLRGSVIKMRSLFERLKTCVYSPGGVAGFADSLSILSQSLANSANDKDDDDIAEFRKCIRVLADKVGFLSRHRKELLEKYTRMESTNEQLRKELEDKIDQVKTYYNKHQLEKQANKEKISFGCLEVHEIAAFVLTSAGHYEAITRNCSNYYLSTESVALFADHLPTRPNYIVGQIVHIERQTVKVLPPTSARPEHGRADKLTSDMATDRLTLSSGSTTNPYGLPVGCEYFLVTVAMLPDTAIHSTSPS >OIW19710 pep chromosome:LupAngTanjil_v1.0:LG01:1259927:1260370:-1 gene:TanjilG_18520 transcript:OIW19710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEVTSPPMHQTNTFLRTISLFLALITKGASRLTRRMHKAAMIDDDWKIELRTAKSPFASPKKFLKNISSKVKSPLKRKIRREDDSAAESWGHGGVWQKEILMGEKCEPLDMPGVIYYDGTGKQTSGFPYKSPPRVSPLPRYYTPHH >OIW19667 pep chromosome:LupAngTanjil_v1.0:LG01:954282:954653:1 gene:TanjilG_18477 transcript:OIW19667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSLLQNLRRFFKKPWEITGPCADPEYKLASFPATEFRPFCPATPKVKPVIPSSDPETVFDIKYYSRDQRRNRPPIRRTILTKADVEKSRKEKTFSVSDFPPVYLNTIIEEDLNTNGGGYQK >OIW17964 pep chromosome:LupAngTanjil_v1.0:LG01:31788439:31792138:1 gene:TanjilG_17800 transcript:OIW17964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTKERENFVYTAKLAEQAERYEEMVEAMKNVAKLNVELTVEERNLLSVGYKNVVGARRASWRILSSIEQKEETKGNDVNVKRINEYRQKVESELDKICGDIMIVIDEHLIPSSPSGEPSVFFYKMKGDYYRYLAEFKSGDDRKEAADHSMKAYQTAFTTAEAELPSTHPIRLGLALNFSVFYYEILNSPERACHLAKQAFDEAISELDSLSEESYKDSTLIMQLLRDNLTLWTSDVPEDGAEEQKVESAGVNAE >OIW18155 pep chromosome:LupAngTanjil_v1.0:LG01:26034655:26035062:-1 gene:TanjilG_31275 transcript:OIW18155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVSDPSSGRTFIWLITCLLFISIIAGGACLAAYTVLPESETASWLPVLGVTLVCLPWAFWFFTFLYRVFSRCCGYRVRVGVGGGGGGGGNGGSVNAPGKVDMEGAGQSSNGGELNRESSVTSHESEVPLARSMA >OIW19187 pep chromosome:LupAngTanjil_v1.0:LG01:8799187:8801360:1 gene:TanjilG_04930 transcript:OIW19187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHCYGLTIPQTHTLFYNHIPISPTTISLQPIITYPTTISFSSLRTNATLNGGHVVSTEVSHRSFYELLGIPLSGSLMEIKQAYKQLARKYHPDVSPPDRVEEYTKRFILVQEAYETLSDPRLRDMYDRDMAKGIHLAFNSRTRYHNDDHEIEQKANWKSRWQSQLSELKRRNESKNARENTSWAARMRQQRDQSSTKL >OIW18491 pep chromosome:LupAngTanjil_v1.0:LG01:20623839:20627187:1 gene:TanjilG_13243 transcript:OIW18491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSIGIMDSAYFVGRNEILSWINERLRLNLSRIEEAASGAVQCQLMDMTYPGVVPMHKVNFDAKTEYDMIQNYKVLQEVFNKLKIDKHIEVSRLVKGRPLDNLELLQWLKRYCDSVNGGIINENYNPVERRDRGGKDRNLKGSLRSSKTNITNNTGSGNTISSNRTSGAKQFRSSGAAGGANSSAEIQALSKEITELKLANDVLEKERDFYFGKLRDIEILCQTPELEDTPASIAIKKILYADDPDESALDEAQGYLDQTINAAKAEAEIED >OIW17611 pep chromosome:LupAngTanjil_v1.0:LG01:36018405:36018908:1 gene:TanjilG_28961 transcript:OIW17611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPGKHYDAPQQKEIKVLYEQWTNNKIKEEDKKKIFTDFMNKNVNLSKVDQSMVITGAVAPPAAMVIKRSGQSLPHLTAMKAIPDVVFVPTATILALIVVKVSKRMLMKKVASS >OIW19431 pep chromosome:LupAngTanjil_v1.0:LG01:2792271:2793190:-1 gene:TanjilG_09451 transcript:OIW19431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMEATTSICSHSRALTCINYSSAGRSIRRKQNHATVKSMATQKPLSSVSTTVVSRKRTPPIKFLTRLEELKLLSKAEKAGLLSTAEKAGLSLSTIEKLGLLSKAEELGVLSAATDPGTPGALLTLSLGLLLFGPLFVYLVPDDNFGEVGLQVAVSLLSVLGGSAAFAASNIVSNLQKSK >OIW19742 pep chromosome:LupAngTanjil_v1.0:LG01:1533912:1534955:-1 gene:TanjilG_18552 transcript:OIW19742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKERRDRSLSHDSCRTSPYPSSSSRVRRSAPKTPLESEENVKEWEEARCPVCMEHPHNAVLLICSSHEKGCRPYMCNTSHRHSNCLDQFCKSFTETSETIPQVEPQEEPQVEPQEEPQVESHVSNTITSHAPSTEANTIDIQEEGSEGFVTMQPLSCEDGAKQKLVCPLCRGHIKEWKVVEAARHFMNEKSRSCSCETCDFSGTYTDLRKHARVEHPRERPSAVDPERQRNWRRMERQRDLGDLLSTLQSSFGESRVDDGLPPIDDGGLLAVFFLILQPTSSASRGTTGTRLQMRIRRHSSRLWGENIEGESGSASRDDANEPSDGDSDNRRRRVRRRVTPDEDQP >OIW18892 pep chromosome:LupAngTanjil_v1.0:LG01:19420460:19432846:-1 gene:TanjilG_25335 transcript:OIW18892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELPENHRHSSSSSSDLSVRVEREVDVGSGEAVSNGRSVVDNSSTSSGIPGEGLSNVTTMPADILHGNKLESNSSTFKLERSKTERQRHLRPEDAAQIFDDKIPVQEKIRLLNRIATVKDDGTVEFEVPGDLQTEALGVRSRHLDNVVDDSPDAMDQHYIPPLNIVMLIVGTRGDVQPFVAIGKRLQDYGHRVRLATHSNFKEFVLTAGLEFYPLGGDPKVLAGYMVKNKGFLPSGPSDIPIQRNQMKEIINSLLPACKEPDIDSGVPFKADAIIANPPAYGHTHVAEALKIPIHIFFTMPWTPTSEFPHPLSRVKQQAGYRLSYQIVDSLIWLGIRDMINDLRKKKLKLRPVTYLSGSQGSETDVPHAYIWSPHLVPKPKDWGPKIDVVGFCFLDLASNFQPPEPLVKWLEEGDEPIYIGFGSLPVQEPKKMTEIIVEALEKTGQRGIINKGWGGLGDLAEPKDSIYLLDNVPHDWLFLHCKAVVHHGGAGTTAAGLKAACPTTIVPFFGDQPFWGDRVHARGVGPPPIPVDEFSLTKLVDAINFMLDPKVKECATELAKSMENEDGVTGAVKAFFKQLYRKKPEPDSESLPSSIFSISSCFGCS >OIW18311 pep chromosome:LupAngTanjil_v1.0:LG01:24454231:24455280:-1 gene:TanjilG_31451 transcript:OIW18311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRNPSSFNHHCIASLRTLTPHITCLAVNNNLLYAASVNLINVYDLSHYTHVDVFNDNNPSSGFVKSITFSSDGSRIFTAHQDCKIRIWLITSSKRHRVLSSLPTVKDKFRRCMVPRNYVSVRRHRKRLWIQHCDTVSGLVVNEGVMYSVSWDKSFKIWDLESFRCLESVKAHEDAINAVVVSNNGTVYTASADGYIKVWEKDNKLKKHMLVRTIGKMKSTVNTLSLNKDETDLFSGGCDGAICCWKRKKNDVVKMEILRGHGGAILCLINVEGLLVSGSTDRTVRIWKREKGNSGMYCCKGVLEGHEKPVKSLVAFTGGEGGDSNGVVRVFSGSLDGDVRVWELFPLD >OIW18279 pep chromosome:LupAngTanjil_v1.0:LG01:24877457:24880081:1 gene:TanjilG_31419 transcript:OIW18279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANKLDIRQGKTTLVQPAKETAKGHFFLSNLDQNVAVPVRTIYCYKPSSRGNNNDAVEVIKTSLSKVLVHYYPLAGRLGISSEGKLVVNCTGEGVIFVEAEADSMIEDIGDMNNPDLANLGKLVYDIPGARNILEIPPLIIQVTKFKCGGFILGVNVNHCMNDGLSAMQFLNAWSETARRSIDLKILPFLDRTILKARNPPKIEFPHHEYAEIKDVSNTSHDVKEKMLNKYFLFTQEKLNLLKKKATEDGVLKKCSSFEALSAFVWRARTEALRMHPDQETKLLFAVDGRSRFVPPIPEGYFGNAIVLTNAMCKANELLKKPFSHAVGLIINAINMVTDSYMRSAIDYFEVKRTRPSLTATLLITTWTRLSFHTTDFGWGKPQSFGPVTLPGKEVILFLSHSEDKRSINVLVGLPAPAMKIFEALMEIYE >OIW18127 pep chromosome:LupAngTanjil_v1.0:LG01:27711874:27719292:-1 gene:TanjilG_22325 transcript:OIW18127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAAGSKLEKALGDQFPEGERYFGLENFGNTCYCNSVLQALYFCVPFREQLLEYYGNNKSIGDVEENLLTCLADLFSQISSQKKKTGVIAPKRFVQRLRKQNEIFRSYMHQDAHEFLNFLLNELVDLLEKEAQTEKTDQEMSPPSEKAANGPKNGLANGAQKEHLVTWVHKNFQGILTNETRCLQCETVTARDETFLDLSLDIEQNSSITSCLKNFSSTEMLNAQDKFFCDKCCSLQEAQKRMKIKKPPHILVIHLKRFKYMEQLGRLKKLSYRVVFPLELKLSNTVENADIEYSLFAVVVHVGSGPNHGHYISLVKSHNHWLCFDDENIDMIEESAVQTFFGSAQEYSSNTDHGYILFYESLGLGNNK >OIW18933 pep chromosome:LupAngTanjil_v1.0:LG01:18952684:18966831:1 gene:TanjilG_25376 transcript:OIW18933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLKRKRALEVPPKIKSFINNVTSVPLEKIEEPLKGFVWEFDKGDFHHWVDLFNHFDSFFEKFIKSRKDLQIDDDFLGSDPPFPGQAVLQILRVIRIILDNCTNKHFYSSFEQHLSALLASTDPDVVEASLDTLATFLKRTVGKYSIRDPSLNSKLFALAQGWGGKEEGLGLIASAVPNGCDSLAYELGCTLHFEFYAADDSESDIKVAEPLVQGLQIIHLCDMNKCVETDLEILHKLVTRYKVPASFRFSLLTRLRFARAFGSLASRQQYTCIRLYAFIVLIQACADADDLVSFLNAEPGFLNELVSLLSYEDVVMEKIRILCLHSLAALCHDRSRQTSVLTVVTSGGHRGILSSLMQKAIDSVVSGDTSRWSFHFAEALLSLVTVLVSSSSSGCSAMREAGFIPTLLPLLKDTNPQHLHLVEKAVRILEAFMDYSNQAAALFRDLGGLDDTISRLKIEVSHVEIGRKQPDENSESSSWSAHMKEGSSTSQDYMQLLYSEPLIAYHRRLLMKALLRAISLGTYAPGNTTRIYGSEENVLPHCLCIIFRRANEFGGGIFSLAATVMSDLIQKDPTCFPVLDTAGLPSAFLDAIMDDVLNSAEAITCIPQCLDALCLNSNGLQAVKDKNSLRCFVKVFTSRTYLRALTGDTPASLSSGLDELMRHASSLRGPGVDMLVEILETISKIGSGTDFPSSRFDPCSSTSVPMEMDGEDKNLMLPDSRESSKSDNTEQITEPSPNASITNVESFLPDCVNNVARLLETILQNADTCRIFVEKKGIEAVLQLFTLPLMPPFAFLGQNIFVAFKNFSPQHNVSLARIVCSFLREHLKSTNELLDLVGGIQLAVVESAKQTKVLKHLSSLEGLLTLSTSLLKGTTATVISELSTSDADVLKDLGRTYKEIIWQISLCNDSKAEEKNTDQEPGISQVPPSAAVERESDDDANVQTVRYTNPAFARHGSHSLWTGERDFVSVVRSGESLHRRNRHGLSRLRGGRTGRHLEALNIDSEASSSLVEAPSSQNQKKKSPDVLASEIFNKLASTLRSFFTALVKGFTSPNRRRADPGPLSSASKILGTALATNFLEALSFSGHFTYAELEMSLSVKCRYLGKVVDDMGALTFDSRRRSCYTAMVNNFYVHGTFKELLTTFEATSQLLWTLPCSFPSSDIDIEKKGDGSKLSHNIWLLDTLQSYCRLLTYFVNSSLLLSTTSASQAELLVQPVAVGLSIGLFPIPRDPDAFVQMLQSQVLDVILPVWNHPMFSNCSPGFISSIISLVTHVYSGVGDMKRNHSNIVGITNQRFMPPPPDEATIATIVEMGFSRARAEEALRRVETNSVEMAMEWLFSHADDPVQEDDELARALALSLGNSSEASKVDSAEKTIGVLTEEGHVKKPPIDDILAASVKLFQGSDSVAFQLTDLLVTLCSQNKGEDRPKIISYLLQQLKLCPLDFSKDNCALSVLAHIIALLLFEDGSTREIAAENGIISTTIDILTDFKGRHESGKELLAPKCISVLLLILDQMLQSRPKTENTDGTQTGSVPDSSGDHASLQFPGVVMQKEKKLDVNVKEPGAALDNILGSSTGFASIDESRKLLSIACDLIKQHVPAVVMQAILQLCARLTKTHALALQFLENGGLTALFSLPKNCFFPGYDTVVSAIVRHLLEDPQTLQTAMELEIRQTLSGNRHSGRVSPRSFLTSLAPVISRDPVVFMKAAAAVCQLETSGGRTVVVLSKEKEKEKSKASSTEVGFSSNECVRIPESKSHDGSGKCLKSHKKVPVNLTQVIDQLLEIVLKYPPMNGQEDSECGSTFMDIDEPAMKVKGKSKVEEVGVLETESERSTGLVKVTFVLKLLSDILLMYGHAVGVILRRDSEMGQFRGSNQPSGHSGIIHHVLHRLLPLSVDKSAGPDDWRSKLSEKASWFLVVLCGRSGEGRKRVTNELVKELMSFSNLESNSMKSSLLPDKRLFTFIDLVYSILSKNSSSGSLPGSGYSPDIAKSMIDGGIIQCLTSILQVVDLDHPDAPKIVNLILKGLEGLTRAANASEHIIKSDGIEKRRSDGSNDRPDDQIRTPSEAEAVRDDQNASSQEAHGYTMDNAHDQGTSQGDHHIDNPNQIVHDMRVEGETQNPSTELGVDFMREEIGEGGVLHNPDQIEMTFHVENRANDDMGDEDDDMGDDDEDDEDGEDEDEDIAEDGGGMMSLADTDVEDHDDAGLGDEYNDEMIDEDDDDFHENRVIEVRWREALDGLDHLQILGQSGTAGGLIDVSAEPFEGVNVDDLFRIQSFDRRRQPGRSSFERSATEVNGFQHPLLVRPSPSGDLVSMWSSGGNSASRDSETLSSGNLDVAHFYMYDAPILPFDHVPSSFFRDRLGGAAPTPLTDYSVGMGSLHLPGRGVLGNGRWTDDGQPQGSAQAASMVQAVEEQFLAQLGSVAPSSSLVEPQLQNSGEQEKQSDALPSHDGPTLTAGTDSACHQIGQEQENGDRTIVEQISLSVDNAPCVEGIDAVPGVRDTSEHLEANESMSAQPPLLNVMPNNGLDCTQFDGNVTPIENVSIDQAIVNSSTISNTELQCERGVDVPTSIQDMAAVAMGCNGSSTADGQPSNLELVGSGSEMPNPGDCNASSVYASVDVDMGGADAENQSEQPTDSEDRRDEPSSTQNTEVAPGASQADQTSTNNDASGANTIDPTFLEALPEDLRAEVLASQQAQSVQPPAYAPPSADDIDPEFLAALPPDIQAEVLAQQRNQRVAQLAEGQPVDMDNASIIATFPADLREEVLLTSSEAVLSALPSPLLAEAQILRDRAMSHYQARSSYFGSSHRLNNQRNGLGFDRRQVMDRGVGVTIGRRSALTDNLKLKEIEGEPLLDANALKALIRLLRLAQPLGKGLLQRLLLNLCAHSVTRATLVYILLDMIKPEAEGSVSRPAKLNSQRLYGCHSNTLYGRSQLLDGLPPLVFRRILEILYHLAKNHSAVANMMFHFDKSVIPDCSRSFMVHVMNEKGKEKVIEGGPSLEASGTRAEDVPLILFLKLLNRPLFLRSTVHLEQVMGLIQAVVDNAASELESQSQSDKKMANTQNLSADEASGNITKDPPLVKTGTSEMVLNEQNNLADLNHYDGNKKEYNIFLHLPQSDLRNLCTLLGREGLSDKMYTLAGDVLKTLASIVPSHRKFFTVELSESAHALTDSAISELVTLQRTNMLGLSAGSMAGAAILRVLQALSSLTSLDTHGDMDMGNDVNQHEDQATIWDLNAALEPLWQELSNCISAAEMQLGQSSFSPNMSNINVAENLQGSSTSPPLPPGTQRLLPFIEAFFVLCEKLQANESILQQDNGNATAREVKESAGCSPSMSVKFGGDSQRKLDGTVTFTRFAEKHRRLLNAFIRQNPGLLEKSLSMILKAPRLIDFDNKRAYFRSRIRQQHDHHLSGPLRISVRRAYILEDSYNQLRMRPTQDLKGRLNVQFQGEEGIDAGGLTREWYQLLSRVLFDKGALLFTTVGNNATFQPNPNSVYQTEHLSYFKFVGRVVGKALFDGQLLDVYFTRSFYKHILGVKVTYHDIEAVDPDYYKNLKWMLENDVSDIPDLTFSMDPDEEKHILYEKNEVTDYELKPGGRNIRVTEETKHEYVDLVAEHILTNAIRPQINSFLEGFNELVPGELISIFNDKELELLISGLPEIDLDDLKANTEYTGYTVASSVVQWFWEVVKSFNKEDMARFLQFVTGTSKVPLEGFKALQGISGPQRFQIHKAYGAPDRLPSAHTCFNQLDLPEYTSKEQLQERLLLAIHEASEGFGFG >OIW18633 pep chromosome:LupAngTanjil_v1.0:LG01:21781251:21782860:1 gene:TanjilG_13385 transcript:OIW18633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKKMKGVALSMEPSYDVYQDQRARLRHQSLFQDYEDIHKETEAMRRKLQAAKQKRLILSDEVRFLRQRYIYLLQNPSPKPQAKQLVPKPQKLKIQASYTSKGRNYKRKESTLRVHNSSPLKPKEMIFNGAEVAMRRTGVMFDLNQNGWNFNKKDAFLHSSAPVLDLNHEDRNHSGKEATKKSIVPFFDLNQISREEEELLSNTEATRIEEPKRNTRVASDEQHNDIKLLVCRNIGDGSNRTGKRKISWQDQVALRV >OIW18496 pep chromosome:LupAngTanjil_v1.0:LG01:20654381:20654611:-1 gene:TanjilG_13248 transcript:OIW18496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLLTLRGFFVLVCVVGFLASQPFKVSCLRSKDLALRSNAAQSKLNLAPTPSMTFDPNQSNKRTVRKGSDPIHNRC >OIW18603 pep chromosome:LupAngTanjil_v1.0:LG01:21542246:21542857:1 gene:TanjilG_13355 transcript:OIW18603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVEVKLRLANAESHRHVTTLLAPFHVTTHRQHNLFFDGANSQLSSQRAVLRLRFYNDDERCVVSLKGKAVLVNGVSRVEEDEEDLDTKVGRECLAEPGKLGLVENNRVLERVKEEFGIVDKENGFVGLGGFRNVRNVYEWKGLKLEVDETLFDFGTLYEIECESSDPDEAKRILEEFLKENGIDYSYSKLSKFAIFRSGKLA >OIW19195 pep chromosome:LupAngTanjil_v1.0:LG01:8485776:8491579:1 gene:TanjilG_04938 transcript:OIW19195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKKIPDWLNNSLWSSPPSSAAVTSLTPSPLPPAVEQDPPETQHSPITDHIDIPNDDRRRSDVDDIDDAVSYLPSADEISRQAQIMTELSRKVIDMRELRRLACQGIPDSPGIRSIVWKLLLGYLPPNHGLWSSELANKRSQYKQFKDDILMNPSEITRRMYNSTSCDTDDAEWKSRTILSRSEIPHGEHPLSLGKTSVWNQFFQDTEIIDQIDRDVKRTHPDIHFFSGDSQFAKSNQEALRNILIIFAKLNPGVRYVQGMNEILAPLFYVLKNDPDEENAAFAEADTFFCFVELLSGLRDNFVQQLDNSVVGIRSTITRLSQLLREHDEELWRHLEITSKVNPQFYAFRWITLLLTQEFNFADSLHIWDTLLSDPDGPQETLLRVCCAMLILIRRRLLAGDFTSNLKLLQKYPSTNISHLLYVANKFRVQSV >OIW17697 pep chromosome:LupAngTanjil_v1.0:LG01:35121560:35122648:-1 gene:TanjilG_29047 transcript:OIW17697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLKGGTTQACAACKYQRRKCTSECFLAPYFPADQPKVFLNVHKLFGVSNIVKILKILNPSQKKIAMDSIIIQANYRDKYPVHGCWEEICRLQYQICAAEEELQVIYQQLEMCRQQQVQSLPDYMNVASQLELGMAAPNTNNALTLFNHSPQPQTYNDTMAAAALPASQQHSYSNSNSVDYNNCLYLESKDDTINPATNMWLQHHPYADNSSNSIAMQSQFVTSQQLAIQHETVEDYEEMHPLFDAIDDRQSCIYSKEAYASSSEESLKDTRNYAEHVAENELKNAAACFSLTSVN >OIW19364 pep chromosome:LupAngTanjil_v1.0:LG01:3403017:3406341:-1 gene:TanjilG_03498 transcript:OIW19364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTQLSKKRKFVADGVFFAELNEVLTRELAEDGYSGVEVRVTPLRTEIIIRATRTQAVLGEKGRRIRELTSVVQKRFKFEENTVELYAEKVNNRGLCAIAQAESLRYKLLGGLAVRRACYGVLRFVMESGAKGCEVIVSGKLRAQRAKSMKFKDGYMISSGQPVKDYIDSAVRHVLLRQGVLGIKVKIMLDYDPKGKQGPKTPLPDIVTIYTPKEEEEYIRPAAVAVLANEVEIPVA >OIW18955 pep chromosome:LupAngTanjil_v1.0:LG01:18479166:18483647:-1 gene:TanjilG_09149 transcript:OIW18955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVEKTEQELRKEIDELLRQQRDITERLRDPRGLRRGSAFSSLAPRNGIRQRPFPPLGERNELEDQPPAKRRLSSAVVKVEDGELPEDDAVAVKTNGTATAPHSDSKPFHLQNQRTSRMDSDIPTAEHVPRVLPKDEDPRLVNRNKRMLGQLLGTLEKFRKEDKQLSGTEAYVRRSNSLQRAEQRAREESERLRIEEREQIAEKRRRDLTLRARVASKTEEKKLELLFLQWSEHQKKISNFIRTKAEPPIYYLPKKPLDDEAGSSAKSKEEAFLEWKNARREELSEYQKQIGEQYLANVEKDMERWQNARKARKGNNEQDLQETMDKELDTHRLEHGPKKRKIPDGSNNEDDDDDVEDINVGEDDLMDDVLEDDSGRGVDETSVAEAGNPIADPASHSDNVDLK >OIW18453 pep chromosome:LupAngTanjil_v1.0:LG01:20393501:20395133:1 gene:TanjilG_13205 transcript:OIW18453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVECYVVVHNIAKRHNVGTLARSATAFGVSELILVGRRDFNSFGSHGSSSHLRFRHFHSLHDARTFLKDDRDCDICGVEITNDAVAVNQHPFKKNTAFLLGNEGTGLSPKEIEICDFFVYIPHYGPGTASLNVTVAASIVLHHFGVWAGFTERPRDGNKFVVAERPVKQGRRNFCTETDDSIIEERKARRENAANGFFEEIENGNSSSNLLDALFVDG >OIW17888 pep chromosome:LupAngTanjil_v1.0:LG01:33080854:33084368:1 gene:TanjilG_19857 transcript:OIW17888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSLQVTQVQDTGTHIDGIDKVSDKSIVIPNKLVTVADSFRGDQSWFIAPQIPTDLSIQVKETTYNVHKYPLIAKCGYIGRVEVQTMISNSSNVLKLENFPGESEIFETILKFCYGLPIDFNPGNIAALRCASEFLEMTEEQEDGNLISKAEAFLTIVVLSSWKDTVTVLKSCETLSPWAENLQIVRRCCDSIAWKVSKDDLTSEDATDNQESWWFNDVATLGIDHFMRVVSAIKAKGSKANIIGKCIMHYANRWLPGMDMELEGLRGYGTEKSNLQFSIFSGVKKESTGHSKEQKTIIESLVSIIPSQREAVSCKFLLKILKMAMMYSVSPALTSDLEKRVGMVLEDAEVNDLLIPRYQNGDQGKMANMPNSFEEFTMQDIDVVQRIVEYFLMHEQQQMQQQQNHGKFNISRLLDNYLAEIARDPNLSITKFQVLAELLPENARSCDDGIYRAIDTYLKTHPSLTDHDRRRLCKLMNCEKLSLDACMHAAQNERLPLRTVVQVLFSEHVKMRTAMQEKEPAQSGINYEQDGGQTSATTMDIKTLKTELEKVKSDMLELQNDYFELQKEYEKLSNKPKNSSGWGKNWQKIKNSFHTKPAGDEIGYGQDRQKSPNPIRHRGNLRTRFSMS >OIW18347 pep chromosome:LupAngTanjil_v1.0:LG01:23837777:23842267:1 gene:TanjilG_31487 transcript:OIW18347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSLSSPAEVKSLFFTDSFKSNTPITAKFPGSFSMKRKDSGATVGRRVYCSAQAPPPAWPGRAVPEATRKTWEGPKPISIVGSTGSIGTQTLDIVAENPDKFRVVALAAGSNITLLVDQIKRFKPQLVSVRNEALVAELEEALNGVEQKPEIIPGEQGIIEVARHPDAVSVVTGIVGCAGLKPTVAAIEAGKDIALANKETLIAGGPFVLPLAKKHNIKILPADSEHSAIFQCIQGLPEGALRKIILTASGGSFRDWPVEKLKDVKVADALKHPNWSMGKKITVDSATLFNKGLEVIEAHYLFGADYDDIDIVIHAQSIIHSMIETQDSSVLAQLGWPDMRLPILYTLSWPDRVQCSEVTWPRLDLCKLGSLTFKAPDNVKYPSMNLAYAAGRAGGTMTGVLSAANEKAVELFIDEKISYLDIFKVVELTCEKHQNELVTSPSLEEIVHYDQWARKFAASLQSSSVLTPVSA >OIW19064 pep chromosome:LupAngTanjil_v1.0:LG01:15310051:15313816:-1 gene:TanjilG_10625 transcript:OIW19064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKSNNKSAESSQEKPFPVDGNFDIHNDPHDMPLSDTSQDNPVCIMIGSIPVLLFRNNMKNQSLLFSTKSSTHKDSDLMSRSDHLPSPPTTGKRKFKRNRVDLGSVQTSQDNCGKSSCSSGFECSSSLTEISPAMNCTTHQKESLQHSHFGKKKKAVSADMPHSSHNNLVYASADHESDSPVSFLKFKPYDICFRGKRDSPLIRSMLIDKSEEICIEGQVGDIKDMILRPGMVLLKNYISHDEQVYIVKTCRKLGLGHGGFYQPGFASGAKLRLKMMCLGLDWDPQTKSYGNKRVIDGSQPPSIPYLFTKLVMGAIKDAHCLIKKECGVSYVEEILPSMYPDICIVNFYTTNGRLGLHQDRDESKESLKKGLPIVSFCIGDSAKFLYGDHRDVEKAENVLLESGDVLIFGGESRHVFHGVSSIIPDSAPNELLKDTGLLPGRLNLTFRQY >OIW19065 pep chromosome:LupAngTanjil_v1.0:LG01:15263113:15264363:1 gene:TanjilG_10626 transcript:OIW19065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKKSLSVNQNGIISPQYCAPYAVDLAIVRKVMTLVDSFTVTNVNGKTVFNVKGSLMSLHDHRVLLDATGKPVVTIRRKMMSVHDRWQAFKGESTELKDLIFTVKRSSIFQLKTKLDVFLANNTKEDVCDFKVKGSWFERSCVVYAGESLTIVAQMHKKHTVQSIAFGKDNFMVTVYPNFDHAFIVSLILILDEINQDAREAAQN >OIW18291 pep chromosome:LupAngTanjil_v1.0:LG01:24690663:24692675:-1 gene:TanjilG_31431 transcript:OIW18291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTTTSLTGGGGGGGGAEDRVLATAQQIVQSLNTPKEVRDDMLLIFKSFDNRLSGISDLIRGEDSNNNDEDELQRFQAAEKVILSLDASLSSEPSRHFASLDSQNVAVDYFSAVDEIINFMEHFSIDPPSFDDGRSVHVMVERAENAIQIAMSRLEDELRHALIQNTVPLNSESIHSSAKRISLSFASHIDENLDSFGDTGSDRGYDRFHSRGTSFGDDVAVDLVNPDAVFELREIVDRMVRSGYERECLQVYSSVRRDALDECLVILGVERLSIEEVQRIEWKSLDEKMKNWVQAVKVAVGVLLCGERRLCDSVFGELDENYEMKEICFNETSKGCVLQLLNFAEAVSICKRSPEKLFRILDMYEVLRDALPDLEALVSDEFVIGEVRGVLRGLGEAAKGTFAEFENCIRNETSKRPVITGDVHPLSRYVMNYLKLLVDYGEHLDLLLEIRDEDLYRFQNDFGGDGSQFESMSPLGRRVLLLMSQLESNLGEKSRLYEDNAMQHVFLMNNLHYLVRKVKDSDLGKILGDDWMRKRGGQIRQYATGYLRASWSKALSCLKDDGTGGHSSSASKMALKEKFKNFNACFEEIYRIQTAWKVPDEQLREELRISISEKVIPAYRSFYGRFSSQLDGRHVGRYIKYTPDDLETYLSNLFEGTPDVLNQTRRKGT >OIW18513 pep chromosome:LupAngTanjil_v1.0:LG01:20748275:20752810:-1 gene:TanjilG_13265 transcript:OIW18513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDDIDFEVLSYSWNSKNPTDRLFIISCFIAAAVGILTIAYTAFQWRRNINLSWMKAVARSKKNPKARHKVPVAPHTWILESVSRAKNLNCCVCFKSISPSQTLGPIVASESFIHRCGICGAVAHLSCSSSAHKDCKCISMIGYEHVMHQWAVRWTDVADQSDETTFCSYCEEPCGGTFLSGSPIWSCLWCQRLVHVDCHSTMSNETGDICDLGPIKRLILSPIYVKELNRNLPGFLISITHGANEIASSVRASIRNQSKKYKHGSEPSVESGNSESIGEIPPESSGDTDQIVNGHHELEEKNNADTNKEVRHQDGDADNKMDKKPSLGRNSSINQRDESDMLGVNQKYELIDITPDARPLLVFINKKSGAQRGDSLRLRLNILLNPVQVFELSSYQGPEWGLYLFRKVPHFRVLVCGGDGTVGWVLNAIEKQNFVSPPPVAILPAGTGNDLARVLSWGGGLGPVERQGGLSTFLNHIEHAAVTILDRWKVSISNPQGKQQLRPPMFMNNYLGIGCDAKVALDIHNLREENPDKFYNQFMNKVLYAREGAKGIMDRTFADFPWQIRVEVDGVEIEVPEDAEGVLVANIGSYMGGVDLWQNEDENYDNFDPQSMHDKILEVVSISGTWHLGKLQVGLSRARRLAQGQSIKIQLFTSFPVQVDGEPWFQKPCTISITHHGQAFMLKRAVEEPLGHAAAIITDVLENAETNNVINASQKRALLQEMALRLS >OIW17744 pep chromosome:LupAngTanjil_v1.0:LG01:34759022:34761656:-1 gene:TanjilG_29094 transcript:OIW17744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNNLLGILSSTEILVVFLFMIFLGWTYYSRISNDLKKLMPDKSLKLNLWQLKYLRIATRFGLLAEACLALLLLPILRGLSVFRILGIQFEASVKYHTWVGTAMIFFATIHGASTYLVWGVSHHIQHEIWKWQKKGRIYLAGEIALIAGLVIWVTSLPQIRRRKFEIFYYTHHLYSVLLVFFLFHAGDRHFYTVFSGVFLFSLDKLLRIVQSSPKTCIVSARIFPSKAVELILPKDSRMKYMPTSVIFLKIPAISHLQWHSFSIISSSRADQHIISVIIKCEGWWTSSLYDLMHAQLDKDADKMKGIPVAIEGPYGPASLDFLKYDSLLMVAGGSGITPFLSILAEIDSTTSKTRFPSRIQLVYVIKKAQDFCLLHSISHMLLSKSTEKCHLKLKLFVTQETQAGVGIRELLNEFFKVKSLQLNTGCPSYVVYGPESSSWMATIVGFCSIFFLSFLILFNHTIIPSEKRSRLSKEKTPSWVVDLVLIAAFVLALACSTLMAIILRWRRVKKGITPTPQKEIKPLDLSSAETTNVLDEHEVHNGGRPNFKDIFDKFKSENCGPNIGVLVCGPESMKESVASACQWESECFKLCAKRTEPCFTFHSLNFTL >OIW19181 pep chromosome:LupAngTanjil_v1.0:LG01:9000461:9003656:-1 gene:TanjilG_01214 transcript:OIW19181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPVSEWGNTPLSTIDPEIHDLIEKEKRRQSHGIELIASENFTSFAVIEALGSALTNKYSEGMPGNRYYGGNEFIDQIENTCRSRALQAFHLDPQSWGVNVQPYSGSPANFAAYTAVLNPHDRIMGLDLPSGGHLTHGYYTSGGKKISATSIYFESLPYKVNSSSGYIDYERLEEKALDFRPKMIICGGSAYPRDWDYKRFREVADKCGALLLCDMAHTSGLVAAQEVNNPFEYCDIVTTTTHKSLRGPRAGMIFYRKGPKPPKKGQPENAVYEFEDKINFAVFPSLQGGPHNHQIGALAVALKQVMTPGFKAYAKQVKANAVAIGNYLISKGYSLVTGGTENHLVLWDLRPLGLTGNKVEKLCDLCNITVNKNAVFGDSSALAPGGVRVGAPAMTSRGLVEKDFEQIGEFLHRAVTLTLEIQKEHGKLLKDFNKGLVNNKSIEDLKADVEKFASSFDMPGFLISEMKYKN >OIW19426 pep chromosome:LupAngTanjil_v1.0:LG01:2841510:2845383:1 gene:TanjilG_09446 transcript:OIW19426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSSAAVSVRKPITHVVFDMDGLLLDTENFYTQVQEIILARYNRTFDWNLKAKMMGMKAIEAARVFVEDTGISDSLSAEQFLLEREEMLRDLFPSSDLMPGASRLIRHLHAKGVPFGLATGSHRRHFELKTHKHGDIFSLMHHVVLGDDPEVKQGKPSPDIFLAAAKRFEGGPVDSSNILVFEDAPSGVLAAKNAGMSVVMVPDPRLDKSFHDAADQVLNSLLDFNPSEWGLPPFEDNRS >OIW18811 pep chromosome:LupAngTanjil_v1.0:LG01:20142809:20144816:-1 gene:TanjilG_25254 transcript:OIW18811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGRKAFEELVRGHEFAKQLRQIINIDENNNDNNNNDDDDESVTVFAQYLLTKVLNSFTNTLFLFNKYPSYQSHHIQLMDSSSITPSQSQLSPNTSTIKDRRGCHKRSRTAETTEEVSETPTIDNHQWRKYGQKPILNAKYSRNYYRCSHKLDQGCKATKQVQRVQEKPPLYKTIYCGHHTCKDQLNHEIILDNTSPSDSSIFLISFDNTKQDCPFLSSSFPSSSMIKRDECNREEFIPMSSFDDYLISPQPTLDASQMHVTQSSTLELDQSLDVMDGVLYGSLDHSDDLFQFQPYVG >OIW19453 pep chromosome:LupAngTanjil_v1.0:LG01:2538472:2539398:1 gene:TanjilG_09473 transcript:OIW19453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWEALFSPMKIILDSLKILFSNKLVFTFILFFTILPLSTLIITQSISLHSRSIQIYYLEILALYSSTRIEARHVWQESRHDALSLLRTKALFSLPIYFLSLSAAISSVHSTVSTTSPTLHSAAKSIYFNYKRPFLTSIFIYAILFVFSSVRLIFSAVSASRFVVNTIASGIEVYLIAVLSVGLVVSIAEERFGWDAIRVGSGLMEGRRVCGWVLSGLLVLATRVIGLKVEESLAAGEVEPPEFLGLTDVVAKGIGAEEKAVLIGCYGLVILLSYVVMSVYYCECRKQHPIREAGSDDDNHHTLHSLSL >OIW17826 pep chromosome:LupAngTanjil_v1.0:LG01:33937573:33941945:1 gene:TanjilG_02454 transcript:OIW17826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSHGNAHSEGAEMEQIITEFFAKSLHIILESRALYVSSRNSFGDQVVSSPSSSSSSSSSVRPRDKWFNLALRECPAALENIDLWRQSNLEPIVIDVVLVQRPVNWDPASFPPKRVLPRSSSMKERYPFSWNSDQEELGIETKSEKIIERWVLQYESGKTRDSNSNSRRSSNISLHTLYKKSTLLLRSLYSTVRLLPAHKIFRELNSSAQIRPFTLVHRISSFIEPFTRREEAEMLKFGFTPVDTASGRLCLSVMYLPSASEVSSEPTTPMSPQVITDYVGSPLADPLRRFPLIPVTGLPSYGSPSSLPFSRRHSWSYDHFRASSPSIACSPSPTYSESHTSVSNANSRHFPPASLPPQPTELSLIQKKNTGFDDRYPHPSHSIDNFGSLPTTTILRTESAPVRIPAAEVTNSPAFSNRQYLLPSPPLGGSRRASKTDTNMSIQTGATAEKLFSLGKDEPQKYSGVKISANSSPHISFSRSSSRSYQDDFDDSDFTCPFNEDDLTDPESRAESFDHGHMADALEAGGFFPIRKSHDAAVGTLVHLLKKAPPLRQDLSTSEHLSQGAHSEIWNNNIKESNQIPDALMPVSMTSSGLIATRKTTADALEEFHSYREMKNLLLTRGSKN >OIW18676 pep chromosome:LupAngTanjil_v1.0:LG01:22099016:22101324:1 gene:TanjilG_13428 transcript:OIW18676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVANSFDLWRKDSFFSAAEEVQESADIMESAYRAWLRRERSASTSGGLNELCRELQTALGTAKWQLEEFEKAVRLSYRHHGDDNTTSRHRQFISAIERQISQVEEALRESFSKEGKQPLRWVNLNEEERDDLAAFLSGTCQTMQSSKDECIEIIPSLKSSVQEKLVNKEEKNVNPACNWDIPTNEKVSEDFISVNKGKDHVIEIKADSDSRNSDEIVSQTDRTTTTRKTWSPPNYSALKIVIADEDEQGNIPTRTIDADPKEKGFRPLFWKQKCEEYPQPLRAVRIFNQRFGRVGLFNRQFQSPLHLRYGCSVQVTLALMLTIFLFGKTFNFQLLKDLWPLAIPSVSNQFCL >OIW18773 pep chromosome:LupAngTanjil_v1.0:LG01:22944989:22953972:-1 gene:TanjilG_13525 transcript:OIW18773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSENDPSHHSSENPLPPSSHAYMHKFRLYETRSNFYLIGRDKSRTYWRVLKIDRLDPSELNLREDSTTYTETECSDLLKRIHEGNKSTGGLKFVTTCYGIIGFIKFLGPYYMLLITKRKQIGAICGHAVYAVSKSEMIPLPNSSVRSNIINSKNENRYKKLLCTVDLTKDFYFSYSYHIMRSLQRNMYDNESGQVLYETMFVWNEFLTQGIRNHLQNTLWTVALVYGFFKQDTLTISGREFTLTLIARRSRHYAGTRYLRRGVNEKGRVANDVETEQIVFERVPEGLPIRISSIVQNRGSIPLFWSQETSRLNIKPDVILSKKDQNYQATRLHFENLAKRYGNPIIILNLIKTLEKKPRESILRAEFANAIDFINKDSSEENRLRFLHWDLHRHFQSKATNVLLLLGKVAAYALTLTGFFYCQATPTLRPEDCLKLSSTDTSLSPTGHDDDNEDTNNLERKPSKGNSVSDGNHYVKPPMLQRGVLRTNCIDCLDRTNVAQYAYGLAALGHQLHAVGVIDHTRIDLDDPVAEDLMGFYERMGDTLAHQYGGSAAHNKIFSERRGQWRAAIQSQEFFRTLQRYYSNAYMDAVKQDAINVFLGHFQPQQDKPALWELGSDQHYDAGRLGDNDTRPYFKRSFSDGNILQENSTPMSAPNANHENFPNQGLPNRSEEGSKIFSESSPEISTTESDVSLSRYTPSMPRRQLFGVMQTVESDHIYYSERSDSLSFSNFVDLDWLSSSGNSCEEDAYERSSITNSPIPGLSSENIASGIIVGETTASASDLGGSSRKETDRTEPDLSYGDARPDVPEEFPDTFVQWVNYGQTLCH >OIW17680 pep chromosome:LupAngTanjil_v1.0:LG01:35263961:35266086:-1 gene:TanjilG_29030 transcript:OIW17680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLFDWFYGILASLGLWQKEAKILFLGLDNAGKTTLLHMLKDERLVQHQPTQHPTSEELSIGKIKFKAFDLGGHQVARRVWKDYYAKVDAVVYLVDAYDKERFAESKKELDALLSDESLATVPFLVLGNKIDIPYAASEEELRYHLGLTNFTTGKGKVILTESNLRPLEVFMCSIVRKMGYGDGFKWVSQYIK >OIW19397 pep chromosome:LupAngTanjil_v1.0:LG01:3116998:3122230:1 gene:TanjilG_09417 transcript:OIW19397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKLYSSSSIWRYIQPRYYIKRPKRLALLFLFCISLTWLFYDRQSLNRDHQEDILRLKEEVTRLQNTWHYWLSLSFLELQLQEIKTNMKGSAETKSVQNDEKFSTFTESISIEDDPISIQRREKVKDAMLHAWTSYEKYAWGMDELKPETKIGVDSFGGLGATLVDSLDTLHIMGLDAQFKRAREWIAKSLDFNKNIEVSVFETTIRVLGGLLSAYDLSDDELFLEKARDLADKLLPAWNTPSGIPFNRINLAYGNANNPSWQAGNSILADSGSEQLEFIALSQRTKDLKYQQKVEKVIKEFQRNFPEDGLIPIYINPLTGTLPSGTITFGAMGDSFYEYLLKAWIQGNKTEAVKFYREMWETSMKGLESLIKKSTPSSFTYIAEKLGNELYAKMDELACFVPGMVALGSSGYGPGEAGKFMSLAEELAWTCYNFYQSTPTKLAGENYFFRDEEDMTVGTSWNIQRPETIESLFYLWRLTGNRTYQEWGWDIFQAFENNSRIEAGYVGLRDVTTGEKDNMMQSFFLAETLKYLYLMFSPPSVISLDEWVFNTEAHPLKILSRNDHHEELRVDQEEKFPRHLHGRKEDPGLQLGAA >OIW17667 pep chromosome:LupAngTanjil_v1.0:LG01:35360285:35361535:1 gene:TanjilG_29017 transcript:OIW17667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTHIDLNSPQQSKKASKHFKGSFWSAPSLFTNKLHKWMQKHKMKKHNNETISTTFQVENPISDYCLRKRSCDTDPRFSLDIGRMSFENDPMYSFDEHRASLDGYLIGRTMVPRMHTMLSLVEDAPMHVLRTDTQFPVEEPTNFDDNDDDNVPGGSAQTREYYDLSFRRRKSIDRSNSIKKTATAVVNEMVELKDSSIVNTSVNVNAFKLGGFVDCDLRPNSLRGDCCSEKQTTELGFKDSSSVIGNGDSKGSNSKKSRRWSKAWSIWGFIHRRGGNKDEDEDEDRYSRANKGARHSFSESWQDLRGDHNGNRDVNGTFNGRLFRSNSSVSHRNVGNIGGSFGIVRRNGNESNEHGKKAKNEFVLERNKSARYSPKNIDNGLLQLYLTPTRGSKRNGSVKISNQANSIPRTLPKLY >OIW18048 pep chromosome:LupAngTanjil_v1.0:LG01:30188745:30189137:-1 gene:TanjilG_07539 transcript:OIW18048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVMKPTMQMEAPSAPSPPPKRLEMSPYEMVHQLASSNAVVVFSTTDCCMSTVAKRLLYSLGVGPAVVELDQHASGLDIKAALYQLAGRQPSVPAVFIGGNFLGGVEALMANHINGSLIPLLKDAGALWL >OIW17890 pep chromosome:LupAngTanjil_v1.0:LG01:33048423:33052958:-1 gene:TanjilG_19859 transcript:OIW17890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPCFSFTAYRNRHFRHSFSNAGLKSTTTDLGDTTILHCWVPHTPNPSKPSLLLIHGLGANAMWQWNEFISPLTHRFNLYVPDLLFFGESHTTRLERSECFQATCVMDMMTCHGVDKMSVVGISYGGFVAYSMAAQFSERVEKVVLCCAGVCFEEKDMDEGMFQVKSVDEAISILLPQTPQKMRELVKLTFVKPIKIMPTCFLNDFIDVMCTEYHQERKELIEALHKDRKLSDLPKITQPTLIIWGEKDQVFPFALAVRLKEHLEENSKLAVIKNAGHAINVEKPKELYKNLKSFLIDPINQSKEENHRNSHKVH >OIW17806 pep chromosome:LupAngTanjil_v1.0:LG01:34164196:34168790:-1 gene:TanjilG_02434 transcript:OIW17806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSRNFCCDPLKILMRWLIFFSVLKLSYAIKDPDVEGEALLDFLKNLNDSNNQITDWDSHFVSPCFSWSHVTCRNGHVIALTLASHGFSGTLSPSIAKLKYLVSLELQNNNLSGLLPDYISNLTNLQYLNLADNNFKGSIPATWGQLSSLKHMDLSSNDLTGSIPMQLLSVPMFNFSDTDLHCGEGFDQPCVSKSEHPASTHKSKLKKVVQFASCGAFAVLCLGAIFTYRYYQMHRHKSDIFVDVSGEDECKISFGQLRRFSWRELQVATKNFSESSVIGQGGFGKVYKGILSDDTKVAVKRLSDYNNPGGEAAFEREVQLISVAVHRNLLRLIGFCTTETERILVYPFMENLSVAYQLRDLKSYEKGLDWPTRKKVAFGTAHGLEYLHEQCNPKIIHRDLKAANILLDDEFEAVLGDFGLAKLVDTRMTHVTTQVRGTMGHIAPEYLSTGKSSEKTDVFGYGITLLELVTGQRAIDLSRLEEEEDVLLIDHVKKLLRENRLRDIVDNNLESYDPKEVETILQVALLCTQGFPEDRPTMSEVVKMLQGVGLADRWVDWQKLEEIRNQEFSHMTHQFVWSDESTFDQEAIHLSKAR >OIW19780 pep chromosome:LupAngTanjil_v1.0:LG01:5124:7819:-1 gene:TanjilG_27319 transcript:OIW19780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRELKSILYGDSETEPVPEACSQLTQEFFKENTMQLLIISLPKFNLETRKDATQVVANLQRQQVQYKLIASDYLEANIGLIDILISGYQDTTMTLHYGAMLRECIRHQIVAKYVLESPHMKNFFDYIQLPNFDIAADAAATFKELLTRHKSTVAEFLTKNYEWFFDEYNSKLLESSNYVTRRQAVKLLGDMLLDRSNSHVMTQYVSSRDNLRIFMNLLRESSKSIQIEAFHVFKLFAANQNKPSDITGILITNRNKLLRLLGDLKVDKEDEQFEADKAQVMKEIAALEPSDGHSAEDSLKE >OIW19574 pep chromosome:LupAngTanjil_v1.0:LG01:192288:193242:-1 gene:TanjilG_18384 transcript:OIW19574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTGNQTELVLYQGIPVPQPAKFRYSPAFVYFVAALSVSGLYSIITTLASLSVVNKPHLKTKLLLHFIFFDVG >OIW19756 pep chromosome:LupAngTanjil_v1.0:LG01:1608239:1609821:1 gene:TanjilG_18566 transcript:OIW19756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNSLCLYPLSSLISTNKPVTVNWVARKAFPIKESCLSSKARNLKSLEVKATDDKSQTTKVKTRSIVCSDCEGNGAILCTQCKGTGVNSEDHFNERFKAGGLCWLCRGKTDILCGSCNGAGFLGGFMSTFDE >OIW19486 pep chromosome:LupAngTanjil_v1.0:LG01:2252111:2255690:-1 gene:TanjilG_09506 transcript:OIW19486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEQLLIFTRGGLILWSCKEFGNALKGSPIDTLIRSCLLEERSGAASYNYDAPGAAYSLKWTFHNDLGLVFVAVYQRILHLLYVDDLLAMVKREFSQIYDPSKTFYGDFDETFRQLKAEAVARAEDLKKANSLGGGVNKKQYHKVGSEGGSVGGRKSGNGALKNDGGDADADDAGGKKGRKLENGHSNGNYVTAKDANLKNSGSGSVNGKENESSNIGAFDVNKLQKLRSKGVSGKKGEAKVAPKAEPAKKTTKKNRVWDDSPREQEPKLDFTDHVGDDGDRNVDFLAKEQGESMMDKEEVLSSDSETEEDEDDGKNNKPDAKKKGWFSSMFQSIAGKANLEKSDLEPALKALKDRLMTKNVAEEIAEKLCESVAASLEGKKLGSFTRISSTVQAAMEEALVRILTPRRSIDILRDVHTAKEQRKPYVVVFVGVNGVGKSTNLAKVAYWLQQHNVSVMMAACDTFRSGAVEQLRTHARRLQIPIFEKGYEKDPAVVAKEAIQEATRNGSDVVLVDTAGRMQDNEPLMRALSKLIYLNNPDLVLFVGEALVGNDAVDQLSKFNQKLADLSTSSTPRLIDGILLTKFDTIDDKVGAALSMVYISGAPVMFVGCGQSYTDLKKLNVKSIVKTLLK >OIW17724 pep chromosome:LupAngTanjil_v1.0:LG01:34913102:34916470:1 gene:TanjilG_29074 transcript:OIW17724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKENSDGFVRADQIDLKSIDEQLERHLNKVLIMEKNKQIDEEDCNHVHVHTSGSASNSPPSATKFRSAIKKKKKKKQEWEIEPSKLIIKSVISRGTFGTVHRGIYDIQHVAVKLLDWGEEGQRTNAEVASLRTAFIQEVAVRHKLDHPNVTKFIGATMGSAELQIQTDNGLIGMPSNVCCVVVEYLSGGTLKTFLIKNRRRKLAFKVVIQLALDLARGLSYLHSQKIVHRDVKTENMLLDKSRTVKIADFGVARVEASNPNDMTGETGTLGYMAPEVLNGNPYNRKCDVYSFGICLWETYCCDMPYPDLSFSEITSAVVRQNLRPEIPRCCPSSLANVMKKCWDASPDKRPEMDEVVSMLEAIDTSKGGGMIPPDQQEGCLCFHKRRGP >OIW19370 pep chromosome:LupAngTanjil_v1.0:LG01:3348550:3362276:1 gene:TanjilG_03504 transcript:OIW19370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAPVNIIVGSHVWLEDPVQAWVGGEVSKINGVEVHVSTTDGKTLIKNISKVFPKDNEAPPGGVDDMTKLSYLHEPGVLHNLATRYELNEIYTYTGNILIAINPFQRLPHLYDTHMMVQYKGAGFGELSPHVFAIADVAYRAMINEGKSNSILVSGESGAGKTETTKMLMQYLAYLGGRSGVEGRTVEQQVLESNPVLEAFGNAKTIRNNNSSRFGKFVEIQFDNKGRISGAAIRTYLLERSRVCQISDPERNYHCFYFLCAAPAEEKEKYKLGSPSSFHYLNQSKCYELDGVDDAREYLATRRAMDVVGIREEEQEAIFRVVAAILHLGNIEFAKGEEIDSSVIKDEKSRFHLNVTAELLKCNCTSLEDVLIKRVMVTPEEVITRTLDPDAALGSRDALAKTIYSRLFDWLVEKINNSIGQDPNSKSIIGVLDIYGFESFKFNSFEQFCINFTNEKLQQHFNQHVFKMEQEEYTKEEIDWSYIEFIDNQDVLDLIEKKPGGIISLLDEACMFPKSTHETFSQKLYQTFKNNKRFIKPKLSRTSFTISHYAGEVTYLADLFLDKNKDYVVAEHQDLLTASKCSFAASLFPPSPDESSKSSKFSSIGSRFKLQLQSLMETLNSTEPHYIRCVKPNNVLKPAIFENINIMQQLRCGGVLEAIRISCAGYPTRRTFYEFLNRFGVLAPQVLDGNSDDKVACQMILDKVGMKGYQIGKTKVFLRAGQMAELDARRAKVLGNAARIIQGQIRTHIARKEFIELRQAAIHVQSNLRGLLSRKLYEHLRHEAAAVKIEKNFKRYIARKSYVTERTSAIILQTGLRVMKARDEFRFRKQTKAAIHIQARLRRLFAYLYFKRLQKAAIFTQCGWRRRVAKRELRNLKMAARETGALKDAKDKLEKRVEELTWRLQIEKRLRTDLEEGKAQEISKLRDALHTMQKQVEEANGMVIKEREAAQKTIEEATPVIKEIPVVIQDTKKISSLMDEVNSLKESLQLERDAKEEARKAQAKAEARNMELLKKVEDSDRKVEQLQELVQRLEDKISNSESENQVLRQQTLAVSPTGKSMSVRPRTDTYQRIPGNENAPDGETTTGAVTDMTLAVSNVPEPESERKPQKSLNEKQQEKQDLLIKCITQDLGFSGGKPVAACVIYKCLLHWRSFEVERTSVFDRIIQTIASAVEAQDSTDVLAYWLSNTSTLLLLLQRTLKATGAASLTPQRRRTTSSSLFGRMSQGIRASPQSAGKSFLNGRGLGRLDGLRQVEAKYPALLFKQQLTAFVEKIYGMIRDNLKKEISPLLGLCIQAPRTSRQSLVKGRSHANAVAQQALIAHWQSIVKILSNYLKIMKANYEPPFLIRKVFTQIFSFINVQLFNSLLLRRECCSFSNGEYVKTGLAELEQWYVEATEQYTGSASEELKHIRQAVGFLVIHQKPKKSLNEITKELCPVLSIQQLYRISTMYWDDKYGTHSVSTDVISSMRTMMSEDSNNAASTSFLLDDDSSIPFSVDDISKSMQEVEIAEVDPPPLMRENLGFGFLLARSE >OIW17700 pep chromosome:LupAngTanjil_v1.0:LG01:35096149:35096881:-1 gene:TanjilG_29050 transcript:OIW17700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQRSPSPPPPQVPGEPRSSPSPEPDLQNNEPDSGDHDATTNAETTTTIRRSNRPARACTIRAASRVYSASQNPPANERKAAKKEHRREDSPSPSPPPSQLLQQCSKIVTPLVEPPTPTQLPRWNLRCMWELASIFNFLHLFRPLLNISIEFSAEEFESALLIPNDTLSDIHMPLLKVLMFVGGVC >OIW19278 pep chromosome:LupAngTanjil_v1.0:LG01:5734758:5735246:1 gene:TanjilG_20403 transcript:OIW19278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVHDDCKLRFLELKTKRAYRFIVFKIEEKQKQVIVETLGEPAQNYDDFTASLPADECRYAVYDFEFLTEGNVPKSRIFFIAWSPDTSRVRSKMIYASSKDRFKRELDGIQIELQATDPTEMGLDVFKSRAN >OIW18206 pep chromosome:LupAngTanjil_v1.0:LG01:27324934:27327901:1 gene:TanjilG_31326 transcript:OIW18206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METTNQRSVTVTLNHGALTRVVEALATLLASALVKTTLLLAPELFGLLLTTTPSTKGEGKIPKEDKQFDADGDDDDGDDDDDGDGGFGEGEDDLSSEDGGGYGNNSSNNKSNAKKAPEGGAAGGAEENGEEDDEGDDQDDDDEEEDEDDDEEDEGGEDEDEGGEEDEDGVDEEDNEDEEEDEDEEALQPPKKRKK >OIW19256 pep chromosome:LupAngTanjil_v1.0:LG01:6267034:6272695:-1 gene:TanjilG_20381 transcript:OIW19256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSRKVKVVKQSDNSLAFNHAELVQVQPLAVVAPIVSSYNEKIRPVLDVVENLGRLNVAKEGIQLPTIVVVGDQSCGKSSVLESLAGISLPRRQGICTRVPLIMRLQNHSLPKPAELVLEFNDKTSRTDEANVSDAINNATDELVGGGKGISNHPLTLIVKENGVPDLTMADLPGITRVPVHGQPENVYDQIKDIIM >OIW18189 pep chromosome:LupAngTanjil_v1.0:LG01:26867141:26871695:1 gene:TanjilG_31309 transcript:OIW18189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDPIILSDEEEDENPSTPHSKKRRTQPEPKKPNPTTVFLIDDDPTPQKNPSSATPSFVAETPMSPLCNSDVAIIKCTRPSSHSARVSPSQVKPLGISEMICLESDNENETRDSYLDLTGDSRWNSNSTDLEDFGMHNANENETNDFGFDLDGDSRWTSNSPERYFSFGHASFTEMSTDNPSNTTSSQVENMSMEQEENVEKMEGSKVSPKKMKRATGKTKMTKEERALLMEEKKLQKEQEKLKKAALKAEAAELKKIEKEKQKWEKGKFAIKSIVAEIDAKVVESGSIGGHLLTRFAEKGIAYRITSNPVKGSIVWSMQVPEHISQVLTERVEVPYVLLVYEADKFCNLIINDSLLDQLSSIRIHYPAYTVCYLTNRLMSYINKREQEKYKNPENNSHWRRPPVEEALAKLTTHFNKVHSRQCVDEAELAEHVVGLTSSLASCQFRKKLTRLSVNANATVMSKDCVDRDLIKKSLWLKALVSIPKVQPRFALAIWKNYPTMKSLLTVYMDPSKSEHEKEFLLRDLRTEGLLGGDRRLGEICSKRVYRILMAQSGCIRTDDVEDGADFFGRHS >OIW18612 pep chromosome:LupAngTanjil_v1.0:LG01:21607521:21610180:1 gene:TanjilG_13364 transcript:OIW18612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEHPSELRVDSATDFDDGIQNVTEESSLLCTETRISVSPHAETSTSSNTRTELYRNVTVDGSSRNVAASSQTMRLSEFEELVPPYQVSAGHSRHESYRDSSNTASTSFVEQSSDPVSVNVSANKDVINNVDDLVVNGVSQISSETMHPRSSTPQEHGNSSSDEYSVENQTSAFIAIHSSSSDPVAQASNLPGNSQSLEEEPRRETIPSGLGILVSNRERGQGSDGMLQVDVVTISSNILSDRNADANGHDARRSGRRLFWDAFSRRSSRRLGNSATMVFSAGGADDPESQDRWLVDFGGDLSNDRVGGSSGYMGSRIHRLNEGMRNSRSEIWERLRGGLDEISQLNSPCPLGLHADGMCSCDSSPIAEESSTRASISRIVMLAEALFEVLDEIHRQPGSLSLSMASLPAPESIVDSFPLKSHKKVDAADDGNDTEQCYICLAEYEEGDQIRVLPCNHEYHMICVDKWLKEIHGVCPLCRGNVCGGFTESDTHSEVPSH >OIW18759 pep chromosome:LupAngTanjil_v1.0:LG01:22779099:22782659:-1 gene:TanjilG_13511 transcript:OIW18759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLIFCLSLLLWLAKTYKQKTKVNSMTQKLPPGPWKLPLIGNLHQLACSGSLPHHTLRDLANKYGPLMHLQLGEVSTVVVSSPEMAKEILKTHDLTFAQRPKLLASEILFYGSTDIAYSPCNDYWRQMRKICILELLTAKRVQSFSFIREDEVDKLIKSIHLSQGSPFNLSKRLFSLVSTIVSRAVFGKKSEHEDELLSLLQKGVELTGGFDVADFFPSLKPIHFITGMKGKLEGVHKKLDMMLENIIMEHHENMTSSKHGKGEAENIVDVLLRVKENGSLEVPITNDNIKAVIWDIFGAGTDTSSTSIEWAMSELMKNPRVKEKLQVEIREAFKGKETINEKGLSKLSYFKSVIKETMRLHTVVPLLVPRESKESCKIGEYQIPTKTRVIVNAWALARDPNYWYDADNFVPERFDNTNFDFNGNKFEFIPFGAGRRVCPGILLGLANIELPLAALLYHFDWELPNGMNPEDLDMSETFGSAVVKKNNLYLIPTPSNYSPPS >OIW18126 pep chromosome:LupAngTanjil_v1.0:LG01:27721910:27725007:1 gene:TanjilG_22324 transcript:OIW18126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLTFSIASSSSSSSTLSFSPKPLFPSKSSFNGIHLRLRHNVCSIPLTQRSASVSVVMMAKREQEMEEIRKLSTEKINEEVVDLKGDLLMLRLQKSARNEFKSSEFSRMRKRIARMLTVKRERELEEGINKRLSRKLDKKWKKSIVVRPSPLLIKLREEEAAAEAAEAENAA >OIW18545 pep chromosome:LupAngTanjil_v1.0:LG01:20980118:20985184:-1 gene:TanjilG_13297 transcript:OIW18545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGRKRRHHFGKIHSFARGKATLKDEEHSLIGGPGFSRKVYINDPERFESNLLNYGDNYVKTTKYTLATFIPKSLFEQFRRVANFYFLVVAILSFLPVSPYSAVSNVVPLVIVVAASMGKELLEDWKRKTQDIEINNRTVKVHREGGVFDLSKWRDLRVGDIVKVEKDQFFPADLILLSSSYDDAICYVETMNLDGETNLKLKQALEGTSKFQEDSSFGNFKAIIKCEDPNANLYAFVGSLDHEDQQQPLAPQQLLLRDSKLRNTDFIFGAVIFTGHDTKVMQNSTEPPSKRSKIEKRMDKVIYCLFFLLCLISIIGSIFFGISTKDDLENGKMKRWYLRPDDSTIYYDPKNAAVAALLHFLTAVMLYGYFIPISLYVSIEIVKVLQSIFINGDIHMYYSETDQPAHARTSNLNEELGQVETILSDKTGTLTCNSMEFIKCSIAGVSYGRVATEVERALSGRTDSSFGQKLKGDNNIATPAIKGFNFQDERIMNGNWVKEPNSNVIQNFLRLLAICHTAVPEVDEKTGKISYEAESPDEAAFVIAARELGFEFYERTHTTTSFRELDPKSAKKAQRSYKLLNILEFSSARKRMSVIVRDEEGKLLLLSKGADSVMFERLAKNGREFEEKTKQHISEYADSGLRTLILAYRELDEEEYSRFNKEFTEAKNSVSADQERIVEEISQNIEKDLILLGATAVEDKLQNGVPECIDKLAQAGIKLWVLTGDKMETAINIGFACSLLRQGMKQIIISSETRETKSLENMEDKSASEAALKASVLRQIKAGKALLSTSDENSDALALIIDGKSLTYALEDDVKDLFLELAVGCASVICCRSSPKQKALVTRLVKIKTGKTTLAIGDGANDVGMLQEADIGIGISGVEGMQAVMSSDIAIAQFRFLERLLLVHGHWCYRRISSMICYFFYKNIAFGFTLFFYEMYASFSGQPAYNDWCMSLYNVFFTSLPVIALGVFDQDVSSKLCLKFPLLYQEGMKNVLFSWKRIFGWAFNGVVSAAIIFFFCIRAMEHQAFRKDGEVVGLEVLGTTMYTCLVWVVNCQMALSISYFTYIQHIFIWGSILFWYIFLMIYGIIDPSFSTTAYEVFIEALAPAPSFWFITLFVVISSLLPYFAYASIQFRFFPVFHQMIQWIRNDGQTNDPEFCNVVRQRSIRHTTVGFTARLEASRRFEASKGFEAPFEVISDSR >OIW18045 pep chromosome:LupAngTanjil_v1.0:LG01:30038075:30038505:-1 gene:TanjilG_07536 transcript:OIW18045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNTNGEDINIEFASLSLQPFTNCSDVNSTIDAYISSMREMQHDGVFMDGIGLESHFRVPNLSLVRTILENFATLELPIWLTEVDISKTLDKDAQAILLRGIGGQRIEEDLQISR >OIW19611 pep chromosome:LupAngTanjil_v1.0:LG01:599229:601649:-1 gene:TanjilG_18421 transcript:OIW19611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNVTSKSSTALELEHEQMSTPPLQREAGGWRSVKYIIGNESFEKLASMSLISNLTVYLLTNYNLSGIFVVNVVQIWNGTSNIASIIGAFISDAYLGRFRTLLYGSIASLLGILTMTLTAGIHHLRPSTCKDTPHCHRPQGWQLGVLFSALGLLSIGAGGIRPCNIAFGADQFDTNTKKGRVQLESFFNWWYFSFTFALVIALTGVVYIQTNISWTLGFAIPTICLAFSITIFLLGRHTYICKKPQGSIFADMAKVITASCRKRKVQVSGVAFYDPAPRLDNQPELENNKRLTHTDRFNFLDKAAIIVDPSELDNQGMARNAWKLCSLQQVEQFKCLLGILPIWVTGICCFIVMDQQNTFGVLQVVQTNRSIGSHFKVPPGWMNLISMIALSFWIYIYECIYIPLSKRITNKAKRLTLEERIRIGILLSILCMLVAAVVEMTRRDSALKHGSFISPTSFVMLLPQFALSGLNEAFAAVSIMEFFTLQMPESMRTVAGAVFFLSLSIANYIGSLIVNVVHKATSHRGKTPWLGGHDLNKNRLDYYYYMIAALGALNFVYFNFFASYYLRNNKGTEITEDSTFVEASQPNDEEKGNMIIL >OIW18053 pep chromosome:LupAngTanjil_v1.0:LG01:30339854:30343306:-1 gene:TanjilG_07544 transcript:OIW18053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLFQGLKAITPFHVSNSNDYLLPCHRSISERKGSNFVVRSDARLSQALCTGARKNELLITNAVATKESSTASSTSSKPGHELLLFEALREGLEEEMDRDPTVCVMGEDVGHYGGSYKVTKGLATKFGDLRVLDTPIAENSFTGMGIGAATAGLRPIVEGMNMGFLLLAFNQISNNCGMLHYTSGGQFKIPIVIRGPGGVGRQLGAEHSQRLESYFQSIPGIQMVACSTPYNAKGLMKAAIRSDNPVILFEHVLLYNLKERIPDEEYVLSLEEAEMVRPGEHVTILTYSRMRYHVMQAAKTLVNKGYDPEVIDIRSLKPFDLYTIGNSVKKTHHVLIVEECMRTGGIGASLTAAITENFHDYLDAPIVCLSSQDVPTPYAGTLEEWTVVQPAQIVTAVEQLCQ >OIW17976 pep chromosome:LupAngTanjil_v1.0:LG01:31634675:31641399:-1 gene:TanjilG_31349 transcript:OIW17976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAMVTFSVHSLPILTAPNTSTPLRKSMFFNTQQLNSLTKPMSLVHGNKSKSKLFTALSPSPLTEPSKTPETDPEDEAKGEKFDWYSEWYPVMPICDLDKRRPHAKKVLGIDVVVWWDRNEGAWQVFDDACPHRLAPLSEGRIDQWGRLQCVYHGWCFNGSGECKFIPQAPPDGTQFHTFKKACVAAYPSTVQNNILWFWPNTDPQYKDILATKKPPYISEIDDPSYTSLMGNRDISYGYEVLIENLMDPAHVPYAHYGIMQKVDREGGRPLELSIENLDAHGFSANQGSSKSKFIPPAIFYAYTDPDQTPSAETQKSSAQRKFALIFICIPVSPGQSRLIWCFPRNFGLWIDKIVPRWIFHVGQNLILDSDLYLLHVEEHKIKNVGPANWQKACFVPTKSDALVVGFRKWLNKYAGGQVDWKGKYSGALPPTPPREQLLDRYWSHVVHCRSCNLAYKSLNVVEVVLQILSVASIGVVATMKHGTISALTRNSMVVLAVLSFAMSKWLAHFIYKNFRYHDYDHAFC >OIW19222 pep chromosome:LupAngTanjil_v1.0:LG01:7515963:7518655:1 gene:TanjilG_20347 transcript:OIW19222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSFSCPFAKYNDVEDDLDSMVVKSINFGNDEIKTLVRSVSFKSQDLEPKILKSLGSRNMTVEKTVSFKRKDVENMILTKSLSLDKEQNIDVSRIFNKSIEVDDQSFRPDCQVETIQSALLNPSSPKHMAALKLQKVYKSFRTRRKLADCAILVEQSWWKLLDFAELKHSSISFFDIEKHETAISRWSRARTRAAKVGKGLSKDDKAQKLALQHWLEAIDPRHRYGHNLHFYYDKWLQCQSREPFFYWLDIGEGREVNLEKCPRTKLQQQCIKYLGPMERLSYEVVVEDGKFRYKQSGELLNTREDEHAKWIFVLSTSKILYVGKKKKGSFQHSSFLAGGATSSAGRVVIEHGVLKAVWPHSGHYRPTAENFKEFISFLQENNVNLSDVKMTPVDEGDDLSSVRSSGHLRCLSSDEDYTENVSGLETEESSVKDSIVLKANMIETESEATLVAPSTKQSQILARKLTNLEIPKKGQVFEELENAEEGSGQVYESFQIESPTQETSQAFVSEIDHTIPKQNFSDENDDVEAILEESILKRINSHKEMKSYQLGKQLSCKWTTGAGPRIGCVRDYPCELQFRALEQVSLSPRSGCRSKLSFAPKTATGLSPGVSTLETSVSSVVSLCGDTTIEPQLEKQEVSRMEDQNSQSPP >OIW19213 pep chromosome:LupAngTanjil_v1.0:LG01:7758361:7760338:-1 gene:TanjilG_20338 transcript:OIW19213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCLDMSLLSLTCTTNLPLFSFPIHSIPSSQLLFSSSLLLRPFRCSAATPPPPRTDPPPGNDSGHLKARSRFW >OIW18653 pep chromosome:LupAngTanjil_v1.0:LG01:21930543:21931919:1 gene:TanjilG_13405 transcript:OIW18653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKSFLHDKGDVFLLQSEQASYFMSQSNPPSPSNIQSNTFIFNDKVLKVSPSILLIIIILAIVFFISGLLNLLVRFLCRAQHRDPHDLDNVTALQGQLQQLFHLHDAGVDQSFIDTLPVFNYKAIIGLEDPFDCAVCLCEFEPEDKLRLLPKCSHAFHMECIDTWLMSHSTCPLCRACLIPEFSSNNTCPPFVFVLESGSESSREICLEREGTTTASVVGRTSSVITANSCFGGSEFGSTRTDLTRKSGDLISNENPIPDSTLEGYVMEKVVTVKLGKFRNVDGDVVDCGEGSSSTNNIDDRRCFSMGSFSYVMDESSSLQVPIRTSIKKQSSEKKCVLPLIPGPRLAMSECDFESKRDFKFAVSDDASATSIGKSRKESFSVSKIWLRGNKEKPNAAAAADSSRRAVSLRYPAAVSDDLKAKNGKFDTRSTISEMDIGKWENGGNEFGYDEENQSCL >OIW18201 pep chromosome:LupAngTanjil_v1.0:LG01:27085466:27087555:1 gene:TanjilG_31321 transcript:OIW18201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKGTKRKTSQEQVSKKTERPPAKRTKPSKPVSEPEYFQDQRNLEDLWKETFPVGTEWDQLDTVYKFKWDFSNLENAFEEDGVLHGKKVYLFGCTEPQLVMFKGESKVVCIPVVVAVVSPFPPSDKIGINSVQRESEEIIPMKQMKMDWVPYIPLEDRDSQVDRLKSHQIFILRCNQRRKSPLKDLL >OIW19530 pep chromosome:LupAngTanjil_v1.0:LG01:1915040:1915763:1 gene:TanjilG_06985 transcript:OIW19530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQMLLNAMTEEVDKGNRYDSVWTSEACTNMYQIEQIYVFVKDKPHATRWRKMQIKNYDILEELYGADRATRKHAKTSKQRTKQWEKETIDLNDCSENVEIHHPNVSMNDEQQFSPPNLDAFSPQYAHSNHSTDTSTSRGTKRKRNMVEIMEAQYERMHV >OIW19255 pep chromosome:LupAngTanjil_v1.0:LG01:6307309:6311159:-1 gene:TanjilG_20380 transcript:OIW19255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENSERNATHDVVLNLNNVSSRSTQNSTTFISLPFLQKLVAEAVGTYFLIFAGCCSVVVNKNNNNVVTLPGISIVWGVVVTVLAYSVGHISGAHFNPAVTIALATTKRFPWKQVPAYVVTQVLASTLASGTLRLMFSGKENQFPGTLPAGSNLQAFVMEFIITFHLMFVISGVVTDDRAIGALGGIAVGLTVLLNVMIAAPITGASLNPARSLGPAFVHNEYRGIWVYLVAPTLGAIAGAWVYNIMRYTDKSLSDITKSASFLKKERHEGD >OIW19291 pep chromosome:LupAngTanjil_v1.0:LG01:5572531:5574725:1 gene:TanjilG_20416 transcript:OIW19291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSKGSSGVRNFMYPGKHPLLPPKSPFPSVSQAYVDYVPNSAVGSKAVQKPREGNTHHQRTSSESHIMEEQPSWLDDLLNEPEIPVRRGGHRRSSSDSSFAYLDTFNATNINYAEQNLLSIPSWVSQEFDHGKDARHIPTYAEMNAAKQRNRSWDSFSNAMTHPGIAPSSKENVALKSSGSPYAPHEADILPSTANEKYDSVESGIQDTKSSSERKDGSHAKSSASETDTKRAKQQFAQRSRVRKLQYIAELERNVQALQAEGSEVSAELEFLNQQNLILSMENKALKQRLESLAQEQLIKYLEQEVLEREIGRLRTLYQQQQQIHQPQQPSTSHRRTNSRDLESQFANISLKHKDTNSGHDPTTGALRI >OIW19106 pep chromosome:LupAngTanjil_v1.0:LG01:12405356:12406823:-1 gene:TanjilG_08906 transcript:OIW19106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGHHHLQHHQRQQQQQQHQQQHQLQQHQHLHLPQNITTTSVSVVDVADRFPQWSIQETKEFLMIRADLDQTFMETKRNKQLWEIISNGMKEKGYHRSAEQCKCKWKNLVTRYKGCETMEPEGLRQQFPFYNELQAIFTARMERMLWTEVDGGAKKKGMYLSSDEEDDVNEEIEEQKDRNSRKKKKAKIVSGVGSASGSSNSLNNLKEILDDFMRQQKQIEAQWMEAFETRENERRLKEMEWRQTMEALEKERILMDQRWREREEQRRIRDEARANKRDALITALLNKLERDDM >OIW18470 pep chromosome:LupAngTanjil_v1.0:LG01:20496916:20504672:-1 gene:TanjilG_13222 transcript:OIW18470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSERKTIDLEQGWDFMHKGITKLKNILEGLPEPQFSSEDYMMLYTTIYNMCTQKPPHDYSQQLYDKYRESFEEYILSTGLSDSFGNSFILSRFSQSSPSIMSLSERKTIDLEQGWDFMHKGITKLKNILEGLPEPQFSSEDYMMLYTTIYNMCTQKPPHDYSQQLYDKYRESFEEYILSTVLPSLREKHDEFMLRELVKRWANHKIMVRWLSRFFHYLDRYFIARRSLPALNEVGLTCFRDLVYKELNWNVRDAVISLIDQEREGEQIDRALLKNVLDIFVEIGMGQMDHYENKFEAVMLKDTSAYYSRKASNWILEDSCPDYMLKAEECLKREKDRVAHYLHSSSEPKLLEKVQHELLSVYANQLLEKEHSGCHALLRDDKVEDLSRMFRLFSKTPRGLDPISSIFKQHVTTEGMALVKLAEDAASNKKPDKKDIIGLQEQVFVRKVIDLHDKYLTYVNDCFQNHTLFHKALKEAFEIFCNKGVAGSSSAELLATFCDNILKKGGSEKLSDEAIEETLEKVVKLLAYISDKDLFAEFYRKKLARRLLFDKSANDDHERSILTKLKQQCGGQFTSKMEGMVTDLTLAKENQTSFEEYLSNNTADPGIDLTVTVLTTGFWPSYKSFDLNLPVEMASALLLFNSADRLSYSEIMTQLNLSDDDVIRLLHSLSCAKYKILNKEPNTKTISSTDYFEFNSKFTDKMRRIKIPLPPVDEKKKVIEDVDKDRRYAIDASIVRIMKSRKLLGYQHLVVECVEQLGRMFKPDVKAIKKRIEDLISRDYLERDKDNANMFKYLA >OIW18178 pep chromosome:LupAngTanjil_v1.0:LG01:26615118:26616496:1 gene:TanjilG_31298 transcript:OIW18178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDSLMKILTERGYMFTTSAEREIVRDVKEKLAYVALDYEQELETAKSSSSVEKSYELPDGQVITIGAERFRCPEVLFQPSMVGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKGEYDESGPSIVHRKCF >OIW19672 pep chromosome:LupAngTanjil_v1.0:LG01:1003256:1006543:1 gene:TanjilG_18482 transcript:OIW19672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMEYLWLSFTVSVVPREAYDPLDPTANLTIKWDIISWTGDGYLAVVTMYNFQQYRHIQAPGWTLGWTWAKREVIWNMMGGQTTEQGDCSTYKGNIPHCCKKDPTVVDLLPGTPYNQHVANCCRGGVLNAWAQDPDTAVSSFQLTVGSAGTTNKTVKLPKNFTLKVPGPGYTCGPAKIVRPTKFIASDKRRITQAFMTWNITCTYSQFLAQKTPTCCVSLSSFYNDTIVHCPTCTCGCQNKAAPGSCVDPESPHLASVVSPPGKAINTPLVQCTSHMCPIKVHWHVKLQYKDYWRVKVTITNFNYRMNYTQWNLVVQHPNFDNLTQLFSFNYKSLTPYEGLNDTGMLWGVKFYNDFLSSAGPSGNVQSELLFRKDKSTFTFDKGWAFPRRIYFNGDNCVMPPPDAYPWLPNAKCIGAATEVFESNTGA >OIW18880 pep chromosome:LupAngTanjil_v1.0:LG01:19532689:19535002:1 gene:TanjilG_25323 transcript:OIW18880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEVEEIELDLGLSIGGTFTRKQEQHPKPNEEEEEEDEEGKNKRQKREKTRAFHVQLLPFNNNQNGFGKVQCWEKNVLQNGEKDRKGKSYNGSSLCSSSIFSDHQGSSHEDGGSTESHSHSTQSLTEKTELNISKGNYITTQQPEQSASSHPLESTNADNPTQENETKVGILKPPKPVTQTSSSLPQMPYVSTTGQNGKTVNGFLYKYSKSEVSIVCVCHGSTFSPAEFVHHAGGIDISHPLKHIRVIPSPFQ >OIW17885 pep chromosome:LupAngTanjil_v1.0:LG01:33128630:33131792:1 gene:TanjilG_19854 transcript:OIW17885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPCVPSKTTQTVNFTKSTRHYKGKQDLHPVNSSESYSQASRSWGTYVVPAAVLGFAGIAAFFHYNDERRAVPKGHRGKNDRSGDIVNGPIIGGPFTLINTEKQTVTEHNFLGNWVLLYFGYTSSPDLGPEQVQMMAKAIDILESKQKLKILPVFVTIDPQRDTPSQLRAYLKEFDSRIIGLTGPVTAIRQMAQEYRVYFKKVEEGGGDYLVDCSHHMYLLNPNMEVARCFRVEYNAEELSEAIWEELNRKLS >OIW19685 pep chromosome:LupAngTanjil_v1.0:LG01:1127175:1130860:-1 gene:TanjilG_18495 transcript:OIW19685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCSFSGLNALYDTVHGGGDDVWINDNRFRVVKQLGEGAFAYVFLVKEILSDSPSSGLASKLKHSSHISDDGTYAMKKVLIQNSEQLELVREEIRVSSLFNHPNLLPLLDHAIISVKPTQEKSWTNEAYLLFPVHLDGTLLDNAKTMTAKKEFYSTSDVLQIFRQLCAGLKHMHTLDLPYAHNDVKPGNVLITHRKGQPPLAILMDFGSARPARKQVRSRQEALQLQEWASEHCSAPFRAPELWDCPSHADIDERTDVWSLGCTLYAIMYGVSPFEYALGESGGSLQLAIINAQIKWPTGPKPSYPDALRQFVSWMLQPQAAVRPHIDDIVIHVDKLIAKFSQ >OIW19244 pep chromosome:LupAngTanjil_v1.0:LG01:6772957:6773433:1 gene:TanjilG_20369 transcript:OIW19244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDSRVTQDLESLWFYTNMLTTTTHDNVVPSLTLPSINPNEPQKKTEEDRMEVVELSENVKRAKRDKKKERKRRKKNRSKVNKMEEVAGEVELEFDNVKVDDNVGGFLRYDKDSSSYDRYDYEIIANHYQNNLPPLDDVVAMKQHLKSWAHAVANSFK >OIW18876 pep chromosome:LupAngTanjil_v1.0:LG01:19559471:19563647:-1 gene:TanjilG_25319 transcript:OIW18876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVIVDQWNDAKNEVEEVVLQRKKGLQRRIDTIETDVEGGGLKQEINIITPASDSPILEDYTSLNIVRSEIGKVDITKENDEERQELYMERVFEIPSTHGFYCPNCKSCIQKVYIQRREWEQISIAIAEHNMEQNETLRCLSCFSFLIPLLGSWLFPGLAKGPDGVLNQQVPPLVYGFSFHENGDKDFKLAAVLGASLLCITLLSIAKAYTQKSNTFLKYFKTVIYYVCIGVVGSVLSYLAGDLVKKLLEKVAWLEPSSNFGLHVQGMSVQKTEWSSY >OIW17715 pep chromosome:LupAngTanjil_v1.0:LG01:34971117:34971347:-1 gene:TanjilG_29065 transcript:OIW17715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SECVLLSRCCYFDFEDCGGLCKSRCSVHSRPNLCKRACGACCVRCKCVPPGTSGNRELCGTCYTDMTTHGNKTKCP >OIW19661 pep chromosome:LupAngTanjil_v1.0:LG01:929700:933506:1 gene:TanjilG_18471 transcript:OIW19661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLWVVAAAVGAGYLTKYWKRISKISGSSSHLYSEDSNIENPDSPRHPFRRRDKFTKDVVSSDRRAADVNSCFRICNESDVLCVSKLPVSFSANENFNDIEDGTQQSSNVSANCAFQLPESVAGEIGSIHNPPGNKNSLRSKHLYGNISRPLNSLESCLMAQLCTEHAKMEEYVFSPYPSSSSSVATRSFLVTDGNRIISRENDDASFSALAASEEYKLHAKASQLKDGNVLYGAPSLPKIGSFDDFRREYNQSHGQSRRLISSNDMSEHLLAQRDATFIFSLGFSFGIITCIMANKREMDKLRELLKHNENLVQDLQEELEMKDSMTVKELHGENYGSQDTCDHSFCDKELNGFSPEKHTDNSPVIDGKKSYNQKEEESSESMSKIEAELEAELERLGLNMNESSLDRKLSELVEFDLDFVADFTEGELRTDKIIGEDIVQSKSNEDANETTDLPVNYAVSPRELSLRLHEVIQSRLEKRVEELEFALQNSQRKLRSMELKHENCSQMHFPSGGQASSFTKGNISTSDNCDPMTEPLVINLSGEALGAYNEAYEELMKTDDSDENSPSGIHDPDQKLGSQSNSWLQHGVDYSRIHPMANGDRLSRELSSIEAPMSEGQSSNVNELNDGSGDENCDYDYDYELERQLIMQIVERTKKDSPVLQSARKILYSMYEDEH >OIW19146 pep chromosome:LupAngTanjil_v1.0:LG01:10665163:10668973:1 gene:TanjilG_18301 transcript:OIW19146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVAEVEENLFAASDAKLHGEMCKALSVIYCKVLSVFPSLEAARPRSKSGIQALCSLHVALEKAKNVLLHCSDCSKLYLAITGDSVLLKFEKVKCALEDGLKRVEDIVPQSIGFQIQDILDELVSVVFALDPSEKQVGDDLIAMLQQGRKFNYCNDSNELESFHQATIRLGITSFRAALTERRALKKLIERAQAEEDKRKESIVAYLLHLTRKYSKLFRSEVLDDNDSQGSGPCSPIVQRSIEDGVSVGHYQAFDRQLSKLSSFNFRPNNRISGQMPIPPEELTCPISLQLMYDPIIIGSGQTFERVCIEKWFSDGHNTCPKTQQQLSHLCLTPNYCVKGLVASWCEHNGVPIPESPPESLDINYWRLALSESESTNSRSVNSVSSCKSKGVKVVSLEENGILEEIERNGTESVSTEEEDADQYLHFLKVLTEGNNWKWKCEVVERLRLMLRDDEETRNFMGANGFVEALLLFLQFALHEGNFMALESGAMALFNLAVNNNRNKEIMLSSGVLPLLEEMISNTSCYGCATALYLNLSCLDEAKPMIGMSQAVQFLTQLLQDDSGVQCKQDSLHALYNLSTVPSNIPHLLSSGIINGLQSLLVGQSDCLWTEKCVAVLINLATSQVGREEMVSNPGLISALASILDIGVLLEQEQAVSCLLILCTRSEKCCEMVLQEGVIPALVSISVNGTSKGRERAQKLLMLFREQRRREHSQDETQQCTPETTDLSMPPAEAKPQCKSMSRKKPGKAFSFFWKTKSYSLSQC >OIW18792 pep chromosome:LupAngTanjil_v1.0:LG01:23119863:23126629:1 gene:TanjilG_13544 transcript:OIW18792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYAAAELFGIFAVHGGNTSFSVPSNNAVKNNNIRFFAKTSSTLCRCVGGDVFSVTPSNNSDVDYLGQSTKGDLNVKLEHLEAFGIDGHGALEGPIEEVARTEAREAEGLLKDLGIPSPSSSRNSARGIFCSRTLNLRSISAIGYDMDYTLIHYNVMAWEGRAYDYCMENLKNMGFPVDGLAFDPNLVIRGLVIDKERGNLVKADRFGYIKRAMHGTQMLSTRAVSEMYGRELVDLRNESRWEFLNTLFSVSEAVAYMQMVDKLDDGAILPDLGPLDYKGLYKAVGKALFWAHVEGRLKSEIMSKPEQFVEPDPQLPLALLDQKEVIVSARKPEFFQTSHPMYEVVTGEGLMRPCFKAQTGGLYSGGCAQMVEKSLNVHGDEILYVGDHIYTDVSQSKVHLRWRTALICRELEEEYNSLIHSRGHRESLVELINQKEVVGDLFNQLRLALQRRSKDRPAQTLAATNMNDEDLTESMQKLLIVMQRLDEKIAPMLAADGNLFNSRWGFLSRADLWDKSHLMRQIEKYADIYTSRVSNFLNYTPFMYFRSQEQNLAHDSYSHYCSHPNNDEFSS >OIW18108 pep chromosome:LupAngTanjil_v1.0:LG01:28047493:28053743:-1 gene:TanjilG_19374 transcript:OIW18108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METSQTEELLKKIQQLEEGHAHLKQEMSKLKLSGGGGDGGGDVRAHRQRSHSVSPQRFRLGRRTDAAAAAAAWNKGSCSFKQSSPLQRESRSGSEPQNDGGDGGGGGASCGPSAVNFSDKQYLNILQSMGQSVHILDLNSRIIYWNRSAEKLYGYAAEEVLGQEGIELIVDPSDFALANDVVNRVTMGESWTGQFPVKNKMGEKFLVVATNTPFYDDDGSLVGLICVSSDSRPFLEMRVPFSGVKNAGSSAGSNRPRSSITNKLGLDSQQPLQVALASKISNLASKVSNKVKSRIRTGENNMDHEGVGGESQNSEHSFSESDQREDANSSGASTPRGDAALSPFGLFSHVEEKSLGKTSRDSGDESEGKPIHRIITSKAEAWIQKKTLSWPWRANDREGSEAKNLSSGVKQESQAGPINNEASGSWSSPLNVNSTSSASSCGSAGSCAVSNKVDVDTDCLDYEILWEDLTIGEQIGQGSCGTVYHALWYGSDVAVKVFSKQEYSDDVIMSFRQEVSVMKRLRHPNILLFMGAVTSPQRLCIVTEFLPRGSLCRLLHRNTSKLDWRRRAHMALDIARGINYLHHSNPPIIHRDLKSSNLLVDKNWTVKVGDFGLSRLKHETYLTTKTGRGTPQWMAPEVLRNEPSDEKSDVYSFGVILWELATEKIPWENLNSMQVIGAVGFMNQRLEIPKEVDPRWASIIESCFHSDPTCRPTFQELLERLRELQRWYAIQFQAARSGGGETIQKES >OIW19155 pep chromosome:LupAngTanjil_v1.0:LG01:10341499:10342670:1 gene:TanjilG_21011 transcript:OIW19155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTASFSQPLFCSSYRNFRTTVKTSSVKCHALRPSQLDRKCEFNRKHHIYKFPIHLKQNRLFVVFNTDDGHPVASIVDDEINTHHAPNGLSPKFLSNWSPPRYLWRGLSVLILAGQVIMKTLKGKIHWRNTLQQLERVGPRSVGVCLLTSAFVGMAFTIQFVREFTRLGLNRSVGGVLAMAFSRELSPVVTAIVVCGRIGSAFAAELGTMQVSEQTDTLRVLGSDPVDYLVTPRVIATSITLPLLTLLCFTLSMASSALLADSVYGVSINIILDSAQRALRPWDIISAMIKSQVFGAIISIVSCAWGVTTLGGAKGVGESTTSAVVISLVGIFIADFALSCCFFQGAGDQLKNCV >OIW18299 pep chromosome:LupAngTanjil_v1.0:LG01:24615584:24616849:-1 gene:TanjilG_31439 transcript:OIW18299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQDKGRPLPKFGEWDVNNPASAEGFTVIFNKARDEKKTTSLEASTTTPQRSDPVFKPNTENYPEYPRKSLWFCCG >OIW18966 pep chromosome:LupAngTanjil_v1.0:LG01:18661592:18665088:-1 gene:TanjilG_09160 transcript:OIW18966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAEKYVVDLNKPLVYQVGHLGEAYDDWVHQPIVSKEGPRFFESNILEFFTRTVWWVIPLVWVPVASWFISSSVQMGLDCPQVASSVVIGIFVWTFAEYMLHRFLFHVKTKSYWGNTLHYLLHGCHHKHPMDGLRLVFPPAAAAILATPIWNLVKLICTPSNAPAIFGGILLGYVMYDCTHYYLHHGQPKTNMPKNLKKYHLNHHYQLWNYGFGITSPLWDFVFGTVPPPSKAGTKCK >OIW19462 pep chromosome:LupAngTanjil_v1.0:LG01:2452214:2457680:1 gene:TanjilG_09482 transcript:OIW19462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRGRIPLTLEGRNNQAPGMLRHGALPGLSSVAGLRSLESLPSHHPLENKIATQEAEIERLARDNRRLASSHVELREALVAATQDAQKLKSHIRSIQTESDIQVRVLLDKIAKMEVDIRAGDSVKKDLQQAHIEARSLASSRQELSAQIQRAAQELKKAHNSEDKSLPHLQAELDSLVKELQRLRAGFEYEKSKNVELVVEMKAKEKNLIAMAREVEMLRAEILNTEKKANAPNVFGGGTPVDSGGPFADAYGPAHGQAGVGQTGESMVPYGESNGVWAGPYDPSVARRCLSAKGDDSSECQKFANYYRSLCPGEWVERWNEQRDNGTFPGPL >OIW19439 pep chromosome:LupAngTanjil_v1.0:LG01:2692987:2697278:-1 gene:TanjilG_09459 transcript:OIW19439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSPTAVRSSATGGSGSNDGTQRVKFLCSFLGSIMSRPQDGKLRYVGGETRILNVSRDINYEELMVKMRELYDGAAVLKYQQPDEDLDALVSVVNDDDVVNMMEEYDKLGSGDGFTRLRIFLFSQLEHDGSSHFTDGDDNERRYVDALNSLNDVSDFRKLNQMEFHVMSPLEDIHVPDQFYNPISVESGIHSQRSGELSMPPQYNLHHVATQHQQQPMSQRFSEMDSPWSPSFYSPKYHAHHDSRPLVEFPSSHSSAKYRGPFELPDYGVPEEYARHHLYDNQQQYPENVVWLPTAAPSHGEMSGFPGNILRGPNVVDGNSICDHCRMSFPRGQPPLELPNNISNGLSPVVANPCAECPSTTVNSIYPNEPSNDLVINSSMHGSTNDGHAYLSRHGSVNDRHTLSANYVQQRPGPEMGTELFPDQTMPAIPHIQIHSLEEHNMRFGNPPSPYAVDSHYTVPHGHVPGHAYAFWRNTPAPVHIGPCYEVSQQASGMINAGLIRVESNPGFLVGRDSQNAIPLVDSSQILSGHDGSAISENPYAHALKLNSNAIGQENQHPITIDATPSPQNMKASTFVEPVQLPKSSVNMVHGKVENSNAQPQSISVSEQNKSAENVFEAVGPVESNNSNSKPASQCGNVEKLADKDPSAPEDSKHFSPELIASVKKAALEGADEVRAKADEHANSEMHNATPKEETANEVELVNAHGDLELEPENDHVDTSKIEPTKAEEEAIARGLQTIKNDDLEEIRELGSGTYGAVYHGKWKGSNVAIKRIKASCFAGRPSERARMIADFWKEALMLSSLHHPNVVSFYGIVRDGPDGSLATVTEFMVNGSLKQFLHKKDRTIDRRKRLIIAMDAAFGMEYLHAKNIVHFDLKCENLLVNMRDPQRPICKIGDLGLSKVKQHTLVSGGVRGTLPWMAPELLSGKSTMVTEKIDVYSFGIVMWEVLTGDEPYADMHSASLIGGIVNNSLRPRTPTWCDPEWKSLMESCWASNPTERPSFSEISKKLRIMAAAMNLK >OIW18072 pep chromosome:LupAngTanjil_v1.0:LG01:29774659:29774829:-1 gene:TanjilG_19304 transcript:OIW18072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFAKRVAGGFSWVSPVAFVEHMEWPKDKSTYSTRLDFAGQAHEEDEEDVSDLDGT >OIW19705 pep chromosome:LupAngTanjil_v1.0:LG01:1239320:1240778:-1 gene:TanjilG_18515 transcript:OIW19705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQNTRALVSLSKPFNLKTCNSVPSPSTFQLLSTSPSFPILKQQCRLSRRELTIFSNSCLLLLLGAEAVDGSTAKAEEENVTNTSNSDLEVDGSTARAEEEYVTNTSDGDQALDGSIAKAEEESVTNTSNSDLEVNGSTARAEEENVTNTSDGDQALDGSIARAEEENVTNTSNSDQPEGNLTATPSCSERKPTKKVFFDISIDREPVGRITIGLYGDDVPAGVDSFSRIVSGAAGVSYRRKEFAKIMPNYVQHGGLRSYGLDAELAKATGRILAADRLVEEWERTYERCPGTKNVAGSVSIIVRDPSKPPPKLKLVARKGKLEIDQEEVGTDPNGTEFVIATKDSPELDASALVIGRVIAGMEVVQRIGQVKTVQENTSSPYFRVAKLIGDKRAVVAERGFNRPYSKVTVTNCGVM >OIW19487 pep chromosome:LupAngTanjil_v1.0:LG01:2248002:2250920:1 gene:TanjilG_09507 transcript:OIW19487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIKLIFNHSWIFLCICILLIPHHATCFYLPGVAPEDFQKGDLLRVKVNKLTSTKTQLPYTYYSLPYCRPERIIDSAENLGEVLWGDRIENSPYVFKMREPQLCNVVCRITLNAQTSKEFKEKIDDEYRVNMILDNLPLVVPIRRPDQDSSTVYLHGFLVGLKGLYVGHKEEKYFIHNHLTFVVKYHRDPLTEVSRIVGFEVKPFSVKHEYEGEWNGKNRLTTCDPHAKKIVSGSESPQQVEDKDEIIFTYDVEFMASDVKWAYRWDTYLLMADDQIHWFSIVNSLMIVLFLSGMVAMIMLRTLYRDISKYNQLETQEEAQEETGWKLVHGDVFRPPSNSDLLCMHVGTGVQFFGMILVTMIFGALGFLSPSNRGGLMTVMLFLWALMGLFAGYSSARLYKMFNGTEWKRITLRTAFMFPATAFAVFFLLNALIWGKRSSGAVPFGTMFVLVLLWFGISVPLVYVGGYVGFRKPAIEHPVKTSKIARQIPEQAWYMTSVISILIGGILPFGAVFVELFFILTSIWLHQFYYIFGFLFIVFVILFVTCAEITVVLCYFQLCSEDYLWWWRSFLTSGSSALYLFLYATFYFFTKLEITKPVSGVLYFGYMLLLSYAFFVFTGTIGFYACFWFTRLIYSSVKID >OIW18445 pep chromosome:LupAngTanjil_v1.0:LG01:20329399:20336709:1 gene:TanjilG_13197 transcript:OIW18445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGDMGLSPNVVIYTTLIDGCCKNGDVFLAKKLFCKMEGLGLVPNQHTYSVLINGFFKKGLQKEGFQLYENMKRIGIVPNIYAYNCVITEYCNDGSVDSAFKVFDEMCEKGVAYGVVTYNILIGGLCRVKKLKEAVKLVDQMNSVGLSPNIITYNTLINGFCDVGKMDNAVRFFNQLKSNGLSPSLVTYNTMIAGYSKIGNLAAALKLVKEMEERGVAPSKVTYTTLLDAYVRLNYMEKAFEIHSLMEKSGLVSDVCTYSVLIHGLCMKGNMKEASKLFKSLGELQLEPNSIIYNTMIHGYCKEGSSYRALRLLKEMVENGMVPNVASFCSTIGLLCKDGKLKEAELLLQQMINSEHEPSVSLYNMIYKVKSEASNAELETSTEKRKEVNAYNEFHAPSPDSNDGICKTMVETQGYTCQEHKVTTEDGYILSLQRMPAGLSGKTAYKPPVLLLHGVFIDAVVWVFNSPNESLAFILADNGFDVWLVSTRGTKYSRGHRSLTTNDKAYWEWTLDELATYDFGSSVEYVYNHTGKQMHFVGHSLGTLMALCAFSQQKQQLLKMLRSAALLSPIAHVNLIPSQPARFAAEKFVADDLYWLGIREFIPKGDDAAKFLKRICHSLKLNCKNLLSIFTGANCCVNSSRVDVFLENEPQPSSTKNLIHLSQMLRTGVVKKYDYGNEEKNMQHYGKASPPLYDMTNIPKEFPLFLSYGGHDLLADAKDVKLLLNDLKDHDLNKLVILFIENYAHADFIMEERVRRMATSSAIENFDESMKKQIASLLRVMNLVKYCKQDSTPCKIDEGLFLGSLGASNNKDALKGLNVTHILTVAGTLAPAHPGDFVYKIIQVADRDDVNIKQYFDECFDFIDEAKRRGEGVLVHCYAGKSRSVTIVVAYLMKTRGMSLSEALQQVKGKRPVAAPNPGFVQQLQDFEKSLQGAFRLH >OIW19489 pep chromosome:LupAngTanjil_v1.0:LG01:2237008:2239551:1 gene:TanjilG_09509 transcript:OIW19489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFGVFGSFVVLTLLFKHLASQQPNTDEFFVSEFLHKMGFYNFTASICSWERVSCDANREQVFELNFSGMSLTGPIPDTTIGKLSKLQSLDLSFNKITSLPSDFWGLSSLKTLNLSSNQISDSLNNIGNFGLLETFDLSSNNYSDEIPEAIRSLVKLRVLKLDHNSFSQRITSGILKCKSLVSIDLSSNQLNGTLPDGFGAAFPRLRTLNLAGNNIYGRASDILGLKSIVTLNMSGNLFEGSVIDVFQEHLEVLDLSRNRFQGHIPQVQYNSNYNWSHLVYLDLSENELSGEFFQNLNEPLNLKHLNLAHNRFSRQKFPVVEMFPQLDYLNLSKTSLVGNIPDEISQLSNLNALDLSMNHLTGKVPLLRNKDLQIIDLSNNSLSGEVSPYVLEKLPLMEKYNFSYNNLTFCALEINHDIMQKAFFGSVNSCPIAANPILFKRRATKHKGMKLALVLTFSMICLLAGLLFLAFGCRRKTRMWEVKQSSYREEQNISGPFSFQTDSTTWVADVKQATSVAVVIFEKPLLSITFADLLSATSNFDRDTLLADGKFGPVYRGFLPGGIHVAIKVLVVGSTLTDQEAARELEFLGRIKHPNLVPLTGYCVAGDQRIAIYDYMENGNLQNLLHDLPLGVRSTEDWSTDTWEEADNNGIQNTGSEGLLTAWTFRHKIALGIARALAFLHHGCSPPIIHRDVKASSVYLDYDLEPRLSDFGLAKIFGSGLDEEIARGSPGYVPPEFSQPEFQTPTPKSDVYCFGVVLFELVTGKKPVEDDYPDDMEATLVSWVRGLVRRNQASMAIDPKIRDTGLSEQMEEALKIGYLCTADLPSKRPSMQQIVGLIKDIEPTAN >OIW18767 pep chromosome:LupAngTanjil_v1.0:LG01:22886807:22887454:-1 gene:TanjilG_13519 transcript:OIW18767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKPSMENMKEQNDLTCQFKGVRKRKWGKWVSEIRLPNSRQRIWLGSFDSAEKAARAFDAATFCLRGRNARFNFPDNPPDIVGGMSMTPSEIQAAATRFANSEPHLTHSIRPAKTESHVECSSEGTILLHAESSSQCISEETMETVSDVTFNGLFPDLFAQIGSGNINFAHEYGIFPGFDEFSGHYYVPEMESVNYYGEENMDGFTVDDSYLWNF >OIW19713 pep chromosome:LupAngTanjil_v1.0:LG01:1286405:1295156:1 gene:TanjilG_18523 transcript:OIW19713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFVKLSCVLQRIKENRKDPRAIRFFNRRDYYTAHGENATFVAKTYYHTTTALRQLSNGPDSLSSVSVSKNMFETIARDLLLERTDHTLELYEGSTSNWKLVKSGTPGNIGSFEDVLFANSEMQDSPAVVALSLYFRENGCTVGLGFVDLTRRVLGMAEFLDDSHFTNAESALVALGCKECILPIECGKSVENRVLHDALTKCGVMLTERKKTEFKTRDLVQDLGRLVKGSLEPVRDLVAGFEFAPGALGALLSYTELLADESNYGNYTLRRYNLDNYMRLDSAAMAALNVLESKTDANKNFSLFGLMNRTCTVGMGKRLLHMWLKQPLVDVIEINSRLDVVQAFVEDALLRQDLQQHLKRISDIERLVHNLLKRRAGLHHIIKLYQSSIRIPYIKSALENYDGQFSSMMRKRYLEPLELWTDDDHLNKFIGLVEVSVDLDQLENREYMISSSYDPRLSKLKEQQELIESQIHDLHRQTADGLDLPIDKALKLDKSTQFGHVFRITKKEEPKIRKKLSTQFIVLETRKDGVKFTNTKLKKLGDQYQRIVEEYKSCQKELVNKVVQTAATFSEVFDSLAELIAELDVLLSFANLASSCPTPYTRPYITSSNEGDIILEGSRHPCVEAQGLVDFMPNDCKLIRGKSWFQIITGPNMGGKSTFIRQVGVNILMAQVGSFVPCDKASISIRDCIFARVGAGDCQLRGVSTFMQEMLETASILKGATDKSLIIIDELGRGTSTYDGFGLAWAICEHIVKLIKAPTLFATHFHELTALALKNLRDDQQKQIVGVVNYHVSANIDASTRKLTMLYKVEPGACDQSFGIHVAEFANFPESVIALAMEKAAELEDFSPSAIYLTDTIKEVGSKRKRVAFEPSEIIQGSARARQLFEAFLALPMGSMDRRKSFEQIMEVKVFGIALLRDKFLAVTSIQASKVRDFIRNVAKWTSQLSKMLKKNAAPWSSQQTEAVKELKKIAQNPPALKLKKIAQNPPALKIPTSGKQILYSQLILG >OIW18664 pep chromosome:LupAngTanjil_v1.0:LG01:22038327:22039718:-1 gene:TanjilG_13416 transcript:OIW18664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTTSSTFLLPLLVTLYIVVTCAGNFNQDFEITWGDGRAKILNNNNLLTLSLDKFSGSGFQSKHEYLYGKIDMQLKLVPGNSAGTVTAYYMSSKGTNWDEIDFEFLGNLSGDPYILHTNVFSQGKGNREQQFYLWFDPTSDFHTYSILWNPQRILFSVDGTPIREFKNLESIGVPFPKNQAMRIYSSLWNADNWATRGGLIKTDWSKAPFTASYRSFNANACVWSNGKSSCKSNSHSSSSSSSSAWLRQELDLSGQQRLKWVQKNYMIYNYCIDNKRFPQGLPIECTSS >OIW17690 pep chromosome:LupAngTanjil_v1.0:LG01:35189801:35194963:-1 gene:TanjilG_29040 transcript:OIW17690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKKQEVENTKKRKRIAGSNTTESAANESHSKKPFKSAKKPFDSVKKPFNSDKKPFKSDKKPFKSDKADAAGKDNRKIAPASGRERRLHAKELADARKMKRKRHFTLEQDLARLWEKMRCREISKEDRTKLVTEALQKMKGKIHEIAGSHISSRVLQTCVKHCSQSERDAVFEELRPQFLALAYNAYAVHLVKKMLDSASKKQLAGFISALHGHIAPLLRHMVGSVVVEHAYELASAAQKQGLLLELYSTEFKLFKDLVTLKESRLLDVMSKLSLQKGSVLRHMASVIQPILEKGIVDHSIIHRVLLEYFSIADQSSVTDIIQQLSSPLLVRMIGTKDGAKIGILCVKYGNAKERKKIIKGLKGHIDKTAYHQYGCLVLVCILSVVDDTKLITKAIIRELQPSLKELVFDKNGRRVFLQLLHPNCSRYFSPEDLASLNSSIPSFSLKSEASSQTVTSEVSLSDKESKDDVEVAVDELNKDQTSADDLDLAESGKKDPFVRRQELLIKSGLAENLLDISIENVGELIRSNFGKEVLYQVATGGSGGILHPTLDDKINSLHEAIASLAAESKSEDSQEEHVFENFHSSRTIRKLILDCPNFASTLWEKALKGKSELWAHGHSGKVISAFLESPDLKVQKLAKNELQPLVDSGILKYLKPKELATK >OIW17818 pep chromosome:LupAngTanjil_v1.0:LG01:34013712:34018091:1 gene:TanjilG_02446 transcript:OIW17818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMARYSRIDNNKRKSPSYCLTMTIVVFVALCLVGVWMMTSSTVVPVNEDVAQEMNKNEVKDESQVKQLVTDTASNSNTRRFEDNPGDLPEDATKGGNSVPVTSEDNPAVSDEQEEKSEGKNEEKSTEDTKTEDGGDKKIEAKDSQTENAESNLESTENKKDGVGDSSEGDSEETSSKRSDSYAGEKKSDSDESEKKSEFDEVEKKSESNDNKQSDSDENGNKINKSDPDETEKKSDDSSKTTEENVEQISNKEPDEGSKSKEKKTDDIVSGTQSDLFNESTTQNGSFSTQATESKKEKESQGQGISEQSTSHNWKLCNVTAGPDYIPCLDNLKEIKQLKTTKHYEHRERHCPEEPPTCLVALPEGYRHSIGWPKSREKIWYYNVPHTKLAEYKGRQNWVKVTGEYLTFPGGGTQFKHGALHYIDFIQKSVPGIAWGKRSRVILDVGCGVASFGGFLFERDTLAMSLAPKDEHEAQVQFALERGIPAISAVMGTKRLPYPGRVFDIVHCARCRVPWHIEGGKLLLELNRLLRPGGFFVWSATPIYKKLPEDVEIWNAMKALTKAMCWEVVSITKDRLNGIGIAVYKKPTSNECYDKRSKNEPPICPDSDDPDAAWNITLQACMHKVPVSTTVRGSQWPEQWPARLTKTPYWLRSTQVGVYGKPAPEDFTADYEHWKHVVSNYLNGMGIQWPNVRNVMDMRSVYGGFAAALKDLNIWVMNVVSVDSPDTLPIIYERGLFGIYHDWCESFSTYPRSYDLLHADHLFSKLKKRCNFEAVVAEVDRILRPEGMLIVRDAVETINELESLVKSMQWEVRMSSSKDNEGVLCVQKSMWRPTELETLEYSIGIPI >OIW19605 pep chromosome:LupAngTanjil_v1.0:LG01:384767:387176:-1 gene:TanjilG_18415 transcript:OIW19605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEINEKKESIENNEKLNYRGWKVMPYIIGNETFEKLGAIGTLSNLLVYLTTVFNLDNITANNIINIFNGSTNLATLIGAFLSDAYFGRYNTIAVSTIVSFLGLLAIQLTSAIKNLHPPQCGKESSICKGPTVGQMAFLVFGFVLLLIGAAGVRPCNMAFGADQFNPNTESGKKGINSFFNWYFFTFTFAQMVSVTVIVYIQSNVSWTLGLGIPAALMLFSSLLFLMGSKLYVKVKPSGSPVTNILQVIVVAIKKRRIKLPAQDPVYSLFNYLPPNSVNSKLPYTYQFRLLDKAAILTPRDKLNPDGSAAEPWNLCSIQQVEEVKCLLRVLPIWFSCVLYSLANAQQHTILVFQALQSNRQLGHSKFQIPAASYFIFNMLSTSIWLGIYDRIVVPYVRRFTGKEGGITILQRMGIGMFLTIPTLLVSAVVEERRRTLALTTPIGILPRKGAISSMSGLVFIPQLVLAGVADAFTYVGQVELYYKNFPENMRTIAGSLFFCGLAGTSYLNTFLISVVHKTTAKSSTGNWIPEDLNTGRLDYFYYMLTALEVLNLGFFLLCSKWYKYKETGSNSSIELNEVSKQSETSEANVA >OIW19092 pep chromosome:LupAngTanjil_v1.0:LG01:14264670:14270466:-1 gene:TanjilG_06401 transcript:OIW19092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQVLLMFEGSAVVCNGGSWCGRAHEDCACFIVEDMIVYDSCICFRNCGLSHFDYDNEANFVVVDDEVEESSTSSKEEDGDMCCCVGENEIRCRRFNMASLSTPFKTMLYGGFVESQREKINFSRNGFSLAVMRAAEIFSRTKRLDQFEPNLVLELLSLANRFCCEDMKSACDAYLAYLVCDLENAVLLIEYRLEVNAYLLVATCLQVFLRELSSSMHCSGVMKLLCSPEIVMEEEMRSNTTVMLLERLVERAAEGWEKQLAFHRLGVFMLDRKEYKDAQHWFEAAVEAGHVYSSEPSEMSMQFLTLDPNYMMFYGNMHGGHLVELLCPVDKQGSQADCWMQLYERWSSVDDIGSLAVVHQMLANDPGKSLLRFRQSLLLLRLNSQKAAMRSLWLARNHSTSDHERLLYEGWILYGTGHREEALAKDEESISIQRSFEAYLLKAYALADSVLDSESSKYVIHLLEEALRCPSDGLRKGQALNNLGSVYVDCDKLDLAADYYMNALNIKHTRAHQGLACVYHLKNHRKAAYDEITKLVDKAQNNASAYEKRSEYCDRNMAKSDLSMATQLDPLRTYPYRYRAAVLMDDHKEAEGIAELTRAIDFKPDLQFLYLRAAFQDSMSDYVSTLRDCDAALCLDPNHVETTKLCKKAWERVNEQK >OIW17884 pep chromosome:LupAngTanjil_v1.0:LG01:33134581:33135329:-1 gene:TanjilG_19853 transcript:OIW17884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLSTTLFLSLLFLLSLSFTTTTVTSDPDSDCVYTIYIRTGSVIKGGTDSKIGLKLYDKYGYYIYIKNLELWGGLMGNDYNYFERGNLDIFSGRGPCLEGPVCAINVTSDGSGPHHGWYCNYVEVTTTGPHLSCNQEEYEVEQWLATDTSPYELSAVRNQCKYSLDQAQPVTQRTGLGSRFSILRSGHVNV >OIW17684 pep chromosome:LupAngTanjil_v1.0:LG01:35232085:35232590:-1 gene:TanjilG_29034 transcript:OIW17684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLQGSEAEDTNPNSGSEHKKTCADCGTSKTPLWRGGPAGPKSLCNACGIRSRKKKRAILGVNKGNNNDEIRKGKRSNSNNKIGESLKQRLWALGKEVMMQRSTMRKKLGEEEQAAVVLMSLSYGSVYA >OIW17966 pep chromosome:LupAngTanjil_v1.0:LG01:31765476:31770789:1 gene:TanjilG_17802 transcript:OIW17966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDEKKPKVGVWPTVKPFINGGVSGMLATCVIQPIDMIKVRIQLGQGSAAHITTTMLKNEGVAAFYKGLSAGLLRQATYTTARLGSFRILTNKAIEANDGKPLPLYQKALCGLTAGAIGATVGSPSDLALIRMQADATLPAAQRRHYTNAFHALYRITKDEGVLSLWKGAGPTVVRAMALNMGMLASYDQSVEFFRDSVGLGEAATVVGASSVSGFFAAACSLPFDYVKTQIQKMQPDAEGKYPYTGSLDCTLKTLKAGGPLKFYSGFPVYCVRIAPHVMMTWIFLNQIQKLEKSYGL >OIW17694 pep chromosome:LupAngTanjil_v1.0:LG01:35158826:35161296:-1 gene:TanjilG_29044 transcript:OIW17694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNQEGKKKVAVLGVSAVLLVAMVACVAVGLSRGDEGGSEEEGKGIVKNQRNVEMVCQAAEYKETCHKSLEKALDKDTDLKGLIIAAFNATAIELSKQIHNSTLYDELAKDNMTKQAMDVCKEVLGYAVDDVRKTVHTLDKFDLGNLNEYAYDLKVWLTGTLTHQYTCLDAFENTTTDAGQTMTKVLNTSMELSNNAIDIINGLSHLFQGLNLTSFTTSLTTNTNRRLLFLPLVDDIGVPSWFTEGQKHFLRVPGLGNVKPNVVVAQDGSGQFKTLTEALETVPAKNKEPYVIYVKAGIYKEYVTLNKHQTHVTIIGDGPTKTRFTGNKSYKDGLQTYLTSTFSVNAATFTAKDIGFENTAGPDGHQAVALRVTADKAVFHNCHMDGFQDTLYVQSQRQFYRDCQITGTIDFIFGDAFGVFQNCKLIVRKPNSNQKCIVTAGGRSKVDSLSALVFQSCQFTGEPALLDLPQKISFLGRPWRNYSKVVIMDSDIDDIFNPEGYMPWAGSAFESTCTYYEYHNRGLGADTSKRVNWPGVKVISLVEALDFYPGTFFEIANETQRDSWIFNTGVPYNVGPFPIMPLPDLPITQFN >OIW19088 pep chromosome:LupAngTanjil_v1.0:LG01:14085875:14088919:1 gene:TanjilG_06397 transcript:OIW19088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALASSKVSTFSSLSPIVGDVPKNLISSMSFVLSSSPSSLTLSNGGGRENMMRVSASNLSSPLTGVIFEPFEEVKKDVLAVPITPNVSLARQNYIDESEAAINEQINVEYNVSYVYHSLFAYFDRDNIALKGLAKFFKESSEEEREHAEKFIKYQNIRGGRVILHPITSPPSEFANVEKGDALHALELALSLEKLVNEKLLNLHSVADRNNDPQLADFIESEFLNEQVEAIKKISEYVTQLRIVGKGHGVWHFDQKLLHGLE >OIW19410 pep chromosome:LupAngTanjil_v1.0:LG01:3004757:3012135:-1 gene:TanjilG_09430 transcript:OIW19410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSISTRDLDPAFKGAGQKAYPPALLMNILFCACTFSNASALFSLTIYRGLEIWRIENFNPVPIPQSSYGKFFTGDSYVILKTTGTKSGALLHDIHYWLGKDTSQDEAGVAAIKTVELDAALGGRAVQYREVQGHETEKFLSYFKPCIIPQEGGAASGFKHVEAEEHKTRLFVPFARSSLSHDDIFILDTDSKIFQFNGSNSSIQERAKALEVVQYIKDTYHDGKCDIAAVEDGRLMSDSDTGEFWGFFGGFAPLPRKTVNDDDKPADSHPPKLMCVEAGKAEPIEADSLTRELLDTTKCYIIDCGLELFAWMGRNSSLDERKSASGTAEELVSGTGRSKSYITRVIEGFETVMFKSKFDSWPQTTNVAHAEDGRSKVAERLKRQGLDVKGLLKTEPEKEEPEAYIDCTGNLRVWRVNGQEKVLLPASDQSKFYSGDCYIFQYSYPGEDREDHLIGTWIGNNSVEEDRASALSMAGKMVESMKFIPCLARIYEGSEPIQFQYILQSFIVYKGGFSDAYKNYIVEKEIPDETYNEEGVALFRIQGSGPENMQAIQTESVASSLNSAYCYILHDGPTVFSWSGSFTTADDQELVERMLDLIKPDLQCKLQKEGTESEKFWEILGGKTEYPSKKIVKDAENDPHLFSCDFSKAELKVCTPTICYIQLLKMSVDMHDFGIILSYDDSLSLRMTISNEKLKSGTTRSCGRYFSQLPHCFNQMRLERCILRLLSCTLADMDDKGVKEIYNFSQDDLMTEDIFILDIHSEIFVWVGQQFDPKNRLQALTIGEKFLEHDFLQEKVSSVAPIYVVMEDSEPPFFTRFFKWESAKSAMLGNSFQRKLTIVKNGGTTPLDKPKRRASVSSGGRSSSLPEKSQRSRSVSVNPDRARVRGRSPAFTALTANFENPKDRNLSTPPPMVTKLYPKSMSPDSIKQAPKAAAIAQLTSTFEQPPSARDSLIPRSLRDASKSSNNKNEQEEDSMNSRIESLTIQEDVKGGEAEDDESLPVYPYDRVNTESEDPATDIDVTKREAYLSVEEFKEKLGMAKNEFYKLPKWKQNKLKMAVQLF >OIW19630 pep chromosome:LupAngTanjil_v1.0:LG01:720243:722304:-1 gene:TanjilG_18440 transcript:OIW19630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSIAFKSFVGLRHSSSEPPHFSAQPKPITLHRKLKVAAGKYSPKVQGRNLRVAIVGGGPAGGAAAETLAKGGIETFLIERKLDNCKPCGGAIPLCMVGEFDLPLDIIDRRVTKMKMISPSNVAVDIGRTLKAHEYIGMVRREVLDNYLRERAKENGANIINGLFLKMDIPKDKESPYVLHYSGYDGKTGGVGEKRTLEVDAVIGADGANSRVAKAIDAGDYEYAIAFQERIKIPDDKMVYYEDLAEMYVGDDVSPDFYGWVFPKCDHVAVGTGTVTHKGDIKKFQLATRKRAEDKILGGKIIRVEAHPIPEHPRPRRLKGRVALVGDAAGYVTKCSGEGIYFAAKSGRMCAEAIVEGSENGKRLVDEGDLRKYLEKWDKAYWPTYKVLDILQKVFYRSNPAREAFVELCADEYVQKMTFDSYLYKTVVPGNPLEDLKLAINTIGSLVRANALRNEMNKLNV >OIW18665 pep chromosome:LupAngTanjil_v1.0:LG01:22043945:22045290:-1 gene:TanjilG_13417 transcript:OIW18665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAFDAANMDQNFEITWGNSHAHMINNNIDQVISLSLDKASGSSFGSKNQYLFGKVDMQLKLVHGNSAGTVTSYYLHSEGLTWDEIDFEFLGNVSGDPYVVHNNIYTQGKGNREQQFYLCFFVDGIPIREFKNHESTSGISFPSKKPMRVYGSIWDAEDWATKGGLVKTNWTEAPFTASFKNFNANACVWSYAASSCTSNSSSSSVGEWITQGLGAIGEEKMKWVHRNYMIYNYCTDMKRFPNGLPPECTISVTKESKFNTNK >OIW19353 pep chromosome:LupAngTanjil_v1.0:LG01:3479248:3481674:-1 gene:TanjilG_03487 transcript:OIW19353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHHSSLLLFFCAAVIAAAAGSSFDESNPILLVTDGLRDIEAQVLHVIGQSRHAVSFARFARRYGKRYESSDEMKQRFKIFSDNLKEIRFSNKKGLSYTLGVNRFSDWTWEEFKTQRLGAAQNCSATLKGNHKITDIATPEHKDWRKEGIVSAVKDQGHCGSCWTFSTTGALEAAYAQAFGKNISLSEQQLVDCAGAFNNFGCNGGLPSQAFEYIKYNGGLDTDEAYPYIGANGLCRFSAENVGVRVLDSVNITLGSEDELKHAVAFTRPVSVAFQVVNGFRSYTKGVYTSDTCGNTPLDVNHAVLAVGYGVEDGVPYWLIKNSWGADWGDNGYFKMERGKNMCGVSTCASYPVVA >OIW18501 pep chromosome:LupAngTanjil_v1.0:LG01:20677206:20678235:-1 gene:TanjilG_13253 transcript:OIW18501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATFTTQAFASILSPCVSKPMFLNASSTKLNRNVSVSFTQKKTTRSYASSSKVQTREAEWLPGLDSPEYLTGSLPGDNGFDPLGLAEEPQRLNWFVQGELVNGRWAMLGVAGMLLPQILTKLGLFNIPEWFDAGKEQYFASSATLLAVEFLLFNYVEIRRWQDIKNPGSVNQDPIFKQYSLPPNEVGYPGGVFNPLNLEPTYEIKEKEIANGRLAMLAFLGNVTQHNVTGKGPFENVSDFIADPLHNNIFQNLSGH >OIW18940 pep chromosome:LupAngTanjil_v1.0:LG01:18860449:18867181:-1 gene:TanjilG_25383 transcript:OIW18940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFSALVLLRLAYQSLGVVYGDLGTSPLYVFYNTFPHGVKDRQDVIGALSLIIYSLTLVPLLKYVFVVLRANDNGQGGTFALYSLLCRHAKIQTIPDQHHTDEELTTYSRSESHEKSFSAKTKRWLEEQAYRKSAILILVLVGTCMVIGDGILTPSISVLSAAGGIKVSQPNMSNGVVVIVAVVILVGLFSMQHYGTDRVSWLFAPIVLLWFLLIGGGKASWISLGGIMLSITGTEALFADLAHFPVSAVQLAFTVVVFPCLILAYSGQAAYLMNNLNHSHDAFYRSIPVYSLGTAPKPHVLKTSARPNIPQTPRLGLSLIVECAYFSAVIFKIDQGGWIPLVIAGVFLIIMYVWHYGTVKRYEFEMHSKVSMAWIVGLGPSLGLVRVPGIGLVYTELASGVPPMFSHFITNVPAINSVIVFICVKYLPVYTVPEEERFLVKRIGPNNFHMFRCVARYGYRDLHKKDDDFEKKLFDNLFMFVRLETMMEGCSDSDEDNLREQEAQQSRTDLLNNNRIRIPSNIDLTMSSVDSIVLVKSPPQVNLSIRSSGQVSNNAEADEVEFLNNCIDVGVVHILGNTVVRASKDSGLCKKIAIDYIYTFLRKICREKSVIYNVPHESLLLVGQIFYV >OIW19277 pep chromosome:LupAngTanjil_v1.0:LG01:5737868:5743680:-1 gene:TanjilG_20402 transcript:OIW19277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGKGGSIGGGGSHINGGGGSGGGGSGAIPASSRKMVQSLKEIVKDMNDLEIYATLKDCNMDPDEAVNRLLSQDPFHEVKKTKRDKKKDATNDYYSRARPSNNNNYTRAPASARAPPRKVAPKIEHHSGTTPPPVYTLNNTQPPSYRSTDSVGVFDGVPSSTQHAPVQSSPWVGNPGQRSMADIVKMGKPQVAVNRPSNQQGRASKVSDTNHDEWPSIEHQSAVNEWPSSTVHEPDVNEWPSSVEHQPAVNEWPSSIEHQPALSASSVVDVIPNSEYYANSSNFGEGSWQQETYDNEYVAEEVPVENPDSVQSASASAKSKVEDNQEPNFYQDNDSYQPHRHPFDNNEAEDAAASSVAANFEQLKLHAENQGTESEEESPSVVIPDHLQLHTPECLNLSFGSFGCANNAALSESETHASRPLKNNLEDTSEAQDVSIIGSSDARNADYYGDEHLTTSSDGNLVQRTGVGAGTYERSSVSQSESLKSEPTEPVQENQYSFPSSSQVYTYENAQQSDGAFPHSQASSQLQGLSPFSSVMQAYTNSLPSALLGSTVQMAREDIPYSPFPVQQQVPAKYSNSVSSIGGATITMSEALRANSISTLQPNPQAQPGANVATGPALHQHLAVHPYSQPTLPLGHYANMIGYPFLPQSYTYMPSAYQQAFAQNSTYHQTLAALLPQYKNSISVSSLPQSAAIPPGYGFGSSTNVPGGNFPLNPPAAPTSTTIGYEDALNSQYKDNNHIMSLQQNENSPMLVHGAGSRTMSAAPPNAYYSIQGQNLQAGGFRQSQQQQQQPSQHFGPLGYPNFYQSQAGISLEHQQQQQQNPREASLAGPQGQQSKQTQQLWHNSY >OIW17990 pep chromosome:LupAngTanjil_v1.0:LG01:31349538:31357222:1 gene:TanjilG_31363 transcript:OIW17990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVSLKCGDCGALLKSVEEAQEHAELTSHSNFSESTEPVLNLLCTACSKPCRSKTESDLHTKRTGHTEFIDKTSEATKPISLEVPKVGATAEGAVTATTNQDEEMVVPEVDKKILEELESMGFSTARATRALHYSGNAGLEASVTWIVEHENDPDIDQMPLIPANTKVEAPKPSLTPEELRAKQQELRERARKKKEEEEKRTEREREKERIRIGKELLEAKRIEEDNERKRILALRKAEKEEERRAREKIKQKLEEDKAERRRKLGLPPEEPSVAKPAPVVEEKKSFLPVRPATKAEQMRECLRSLKQNHKEDDARVKRAFQTLLTYVGNVARNPDEEKFRKIRLTNQSFQERVGALKGGIEFLEICGFEKIDGGEFLFLPRNKVDIAVLNSSGSELDSAIKNPFFGIL >OIW19393 pep chromosome:LupAngTanjil_v1.0:LG01:3189022:3193318:1 gene:TanjilG_09413 transcript:OIW19393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPATPSGSTIIAFTPRQVLLSNQTMKNIVNNGTLTLEPEQKLLLNQSIAHYLHHNGFSKSLKKFRSEAKIEKDNLEASSIDLEEVYHKYLEIRAKDTKSSINDEKEQATDVSKNKEEGKSKEKKKKSKIASESHANDVEDNQSELPTLITEKKVKGDVSADANVVNGAKTEKKSKDKKKKKDKKGSQGDAVKQIEDTNDTDSKKDKELDQEVGEEKKKDSKKRKRSTSEENDQNVADRKDDETKRKKVENLNADLGSDVDQLQKTPNQQANGKTNGHLESAGEKLSVQKSLKSQEKGSVEQKTAKKAFQRVQADKVEFADERLQDNSYWAKDGAESGYGAKAQEILGQVRGRDFRHEKTKKKRGTYRGGQIDLYSHSVKFNYSDEE >OIW17795 pep chromosome:LupAngTanjil_v1.0:LG01:34233110:34236047:1 gene:TanjilG_06480 transcript:OIW17795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNSQKIAEAASTDSPTATPVGKQSATTTTEVVGDPKSERIKPTRYPRWTRQETLLLIEAKKMVENRDQLCNLTPSSILLNHTDPKWNIVSSCVQQQGVKRGPIQCRKRWGNLLTDFRKVKKYESDMKDDGESFWMMRSDKRKENKLPGFFDEVVYKVIDGDDLSGAAVPLALMKMASKPESSAEHAEKVKLLGQSKDDEDGDEDEEDETIADSEKMYWSTEKNTFEANSERKMGFSAIKASTSKETLLRGSVNVTPTLALALAPPGFQREPESEEGYKRRRLSPENDDTTNFSNDVIKVLRRNTNIMKTYLEAQNMNHQLAMEQQKEQSDKLVAALGKITDAITKIADKL >OIW19273 pep chromosome:LupAngTanjil_v1.0:LG01:5786128:5801756:-1 gene:TanjilG_20398 transcript:OIW19273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLCSTQSTASIDDIELKEVISKGSLDFDEWTSLISDIEKAYPDDTEKICVVYDHFLSEFPLCYGYWRKYAAHMTHLCSIDKVVEIFEQAVSAATYSVGMWVDYCSFAMSAFEDPSDIRRLFQRAVSFVGKDYLCNTLWDKYIQFEFSQQQWMSLAHIYIQTLKFPTKKLQQYYDSFIKLVTLFEEGIASLDNSPKELQSEPCFDGEIRSCCNDDKIYCIIKDMMDSSVGSTRSIALDKYRMIGEQLYLNACELDSKISSFEANIGRYYFHVRPLDAHQLQNWHDYLDFIELEEDFDWTVKLYERCLIVCANYPEFWMRYVDFMETKGGREIANYSLHRATETYLKRVPAIHLFNARFKEQIGDVLAARAAYIQSGKETDSDFVENVISKANMEKRLGDTESAFSIYKEAIEVAAAGKRLHALPILYIHFSRLKYMSTNSADAARDVLVDGIRNLPQNKLLLEELIKFSVVHGGPLHMAEIDSIVADAVSPSPNGPQRLSAKDAEDISKLYLEFVDYCGTIHDVRKAWNRHMKLFPYSARMDLHLQSDKCRISLNLIKDKTRKTSAAIPNQPSNDSSSVLQGHLTLQDKKVSSQKFCDTQTDDANDGIMLAENHSTPSNDTVKHRLQIIESDDRAEDKGREAPFQVSEDPGDNDPENNVLSAELVEVKEESSVVAKRLKKYCSESNVSSENLFYQTTSGNQSSQAFQASSKENDTYSRGNCEVEPEELKRISLTSVSLKPQENTCPDSVPMMSEECDRIPESCKSNSRAIAGGHTANQDNSASTLDYESARIHVETNSPSSVGRQDYGARRPLLQPRYSRNSSGNGHQMRNAGKFHRGPKYGRRGYTHRKPHQRQQLPSQQFHPSEGGTQMPVTPAYPSLSEVQVQQYSQGQNQFQATATPTDYMAAQNWPIQNIQIQNSLSQSQPPATDTTSHVLQNSMQGNGQYGFVQNSQEYNQIWQYYYYQQQQQLQLQQNYIHLQDQPIHQELSQQLQHQSQMGHLQPQQLQQLQLQYQVPQQQQQPQQQEHHSAYLQQQQLPSTQNSSHPITDQGQGQTIVTSQGHGAILWQQSSKSGLVSSPVPSQPQEEPTEELE >OIW18331 pep chromosome:LupAngTanjil_v1.0:LG01:24108680:24110546:1 gene:TanjilG_31471 transcript:OIW18331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDKTTITIPGWQSISYFSETTNICWFLEPEFAKEVVRLHNVVGNAVTEGRYIVVGTGSSQLILAALYALSSHDAAEPIDVVSAAPYYSSYPLMTDFQKSGHYKWGGDARSFDKDGPYIELVTSPNNPEGHKTGPVLNRSNGLLIHDLAYYWPHYTPISSPSDHDITLFTVSKSTGHAGLRIGWALVKDQEVAKRMTKFIELNTIGVSKDSQLRAAKVLKVVSDSTEEENTEEGKSFFKYSYDVMEQRWKQLRAALEANGLFTVAYFPTGFCTFFNKKTENLPAFVWMKCEKDIENCQSLLRQHKILSRGGKHFGVSQRYVRVSLLDTNENFNLFIDRLSAMQL >OIW18909 pep chromosome:LupAngTanjil_v1.0:LG01:19204813:19209212:-1 gene:TanjilG_25352 transcript:OIW18909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWGNVTAEDLLDALREVDWSSPPRPLSEFFSKFTFPRSSNKWNSRLKCNLYYYRTNYFILIVSVLILGFLRRPLAIVAAFLTALSIAFLNDSFAGTFSEKVSRTVRRFSPHLAAKMRPPLTPVIRGRPSSKRAIYICGQPRWVFVLMSSSASFFLWFVSAGLLTVLWALAIGLLATILHASFRTPNLKARLNTFREEFRAVWRNYSEL >OIW18859 pep chromosome:LupAngTanjil_v1.0:LG01:19730636:19737328:-1 gene:TanjilG_25302 transcript:OIW18859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQPERQSSFRKCSSAIKTKVVNNLNPVCHSGLELTLKGKFLVAHNHGKSIPGKLVSVQIYSGTEVDPNTGKGKLSEKAYFKHGGSNKNQHDAQTMTYTTRVHVDSHFGIPRAFLIQNNYQKFFLLSASIETSNNQIIYYDCNSWIYPFKKTKSDRLFFSNRCYLPIQTPRALVELRKEELDRLRGNGTGERNEWDRIYDYDCYNDLSDPDKGPDHLRPILGGSKLYPYPRRGRTGRKPSLAGPSTESRPQALNFDIYVPSDERFGPNKLKELKSNCIHAMIHFLPPKAESLLQKNSRSFDSFEEILDMFSSNRNRKVEGWIRDNLKKMIPVEHLKEITRTMKKNPPKFPIPQIISENEWTWKDDIEFGRQMLAGTHPVKIQCLKNFPPKSKFGVESSINPLIIEPKLEGWTLPQALEQGRIFMLDHHDYIIPFLNRMNKNGICAYASRTILFLRSDGMLKPMAIELSLPGSSLGYEVPKVFVPAKQGTEAALWQLAKAHVLANDAVYHQLMSHWLHTHAVVEPFIIATKRRLSVMHPIHRILNPHFKDTMHVNALARLILINSGGILERTLFPGEFSMQISSELYKEWRFDEQGLPADLLKRGIAIEDSDVNNPTGIKLILQDYPYAIDGLEIWVAIKKWVNSFCSFFYNNNDAAIKADVELQGWWSEIRTHGHSDKQSETWWYEMTTLTNLVEALTTLIWTASAQHASLNYGQYDYNGYPPNRPTLCRKFIPNEGTTEFGEFLKDPDKFLLNMLPDRFEMSLAVALVNVLSQHTCDEVYLGCKSSPGWIDNELIQNIFAEFREELKDIQTRILERNRDPKLKNRRGPANIEYTLLYPKMSSSSGSKHETMARGIPNSISI >OIW17935 pep chromosome:LupAngTanjil_v1.0:LG01:32427945:32436387:-1 gene:TanjilG_17771 transcript:OIW17935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVDISGERQSGQDVRTQNVVACQAVANIVKTSLGPVGLDKMLVDDIGDVTITNDGATILRMLEVEHPAAKVLVELAELQDREVGDGTTSVVIVAAELLKRANDLVRNKIHPTSIISGYRLAMREACRYVEEKLSEKVDKLGREPLINCAKTSMSSKLIAGDSDFFANLVVDAVLAVKMTNARGEVKYPIKGINILKAHGKSARESFLMNGYALNTGRAAQGMPLRVAPAKIACLDFNLQKTKMQLGIQVLVNDPRELEKIRQREADMTKERIEKLLKAGANVVLTTKGIDDMALKYFVEAGAIAVRRVRKEDMRHVAKATGATMVSTFADMEGEETFEPSFLGTAEEVVEERISDDAVVMIKGTKNSSAVSLILRGANDYMLDEMDRALHDALSIVKRTLESNTVVAGGGAVEAALSVYLEYLATTLGSREQLAIAEFAESLLIIPKVLAVNAAKDATELVAKLRAYHHTAQTKADKKKLSRMGLDLYEGKVRDNLEAGVIEPAMSKIKIIQFATEAAITILRIDDMIKLVKDESQGEE >OIW18484 pep chromosome:LupAngTanjil_v1.0:LG01:20585621:20595597:-1 gene:TanjilG_13236 transcript:OIW18484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLLQVIKEAQNSVNPKSVDLPLDYPIVLNPDNVIPNLKSELEDSSESSLVKPLVGWQISPTDAEINDLGKKFFKELKTILNKANALEKGKFVGKLNTYLESVRDKVGAVIEIDSDYSGYSRVLIEKLGLFIGKDVAGLVLDGCISLEIWDLVEALIVNGMIGHSCYSSMVTRLMEKKRSDLLCLCIKHAIDLGSSEILSILRYFLSPSKDAYSTMTTVRNDWEKQALLAMLKATDSNLKHKDLLIAKKASILFTIAYDGFSTPELCLHYLIASPNINDVLLSPSFSKLKGKELVKLIRYLAKWLKKYERFPQAGPSPKASAVLGLKACDWVPKLEDVVKCLGLVLDENFSSLVLHPEFHEELRQIEGLHFQQQHSKHHYSPDAPQSFSLNKVPPQKPRFMDASQLFRHPHRTSRPDHFVIILRGLPGSGKSYLAKMLRDIEVENGVDAPRIHSLDDYFMTEVEKVDENDASKSSKSGRNKKPATKKVMEYCYEPEMEEAYRSSMLKSFKKTVEEGVFTFIIVDDRNLRVADFAQFWATAKGCAARNVHGFTQEDIEKMAGQWEEAPSLYLQLDAKVDMDMEDDLDDALPAVEGREAEKVVYPPIRVDASSVKDDAEGEHPTEARELGKSKWSDDFSEYDTDQTEGMKGNINALSGLIHHYGKERKSVHWGDEAGHTGFSIVAARKVNALSLVIGPGAGYNLKSNPLPEEESSRRNSVEPRRHSVFQEQIRAEHESFKAVFDKRRQRIGGLDVEEE >OIW17776 pep chromosome:LupAngTanjil_v1.0:LG01:34437079:34437690:-1 gene:TanjilG_06461 transcript:OIW17776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDATHFNKIQAMNRYKKREFLEKLYFYSLIALTCSLFCCVTLSLPYLSSRVMVFFFVHISRLIPFLLNSKLLFIIGNLIIFALIVNSRVLSSYSSSTSNVYYDEYIHSSQTKRPQILSHEVKNKNLEKHVEENLHIIGEDGLNSLELKDKGWVKEGTEAWLDKEEDEGDEPNLFQLNKRADDFIARVNRQRKLELSLLQYGSY >OIW18121 pep chromosome:LupAngTanjil_v1.0:LG01:27788863:27789093:-1 gene:TanjilG_22319 transcript:OIW18121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILEMQVYDKGTWLVSCKDVRKSFTNSSWYVPSGGSYQDLFDSNCNADMRDDISCTNGNSEDGVQTIDDPVTHQFC >OIW18353 pep chromosome:LupAngTanjil_v1.0:LG01:23794987:23796677:-1 gene:TanjilG_31493 transcript:OIW18353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLRQCLMEWTPFAAMVIVECLDVGLTTMSKAAMSKGMNHFTFVVYSNALATLILIPSSFFIKRITRTPLSFSLLCKFFLLGLIGITIMQNCVFTGISYSSPTLGSAMSNLVPAITFILAVTFRMEKLDIRSSISQIKIIGIVLSISGALLVTLYKGAPIGGIQIQDQPSPSQPFPSVLLAQTDNWVIGGLFLGTASLSLALQNIAQAAIVKEYPSQLTIVAFYCLFGTIQCAIISLIAIRDPNAWKLRTGIELISIFYSAIFGSVVTFSVLTWCIDKKGPVFVTMFKPLGIAIAAFTSALFLGDTLHVGSAIGSLVIIFGVYTVLWAQSKEENAKARVDRLSPSSEQESPLLGSP >OIW18815 pep chromosome:LupAngTanjil_v1.0:LG01:20116367:20118105:1 gene:TanjilG_25258 transcript:OIW18815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKTCIAMVPCPGQGHLIPLVEFAKTLIHQHNNLHLTLLIPTLGPPTPSIKAILNSLPPNIDFNILPHISIQDLPQNVHPAIHMKLTVTHSLPFLHEALTSLNTRTHLVALIFDVFSSDALHLANQFNILSYVFFTSGALTLSFCLSFPKLDETVSSQFIDSTKTVNVPGSIIPFQVKDLVDLVLYERSSEIYKIYLGLCQKLYFVDGIIVNSFTDMEAEAIRAVQEKTTFVDSPSVYPVGPIIGTGSTSKESQMECIQWLNNQPPKSVLYICFGSGGTITHDNLNEIAFGLELSGQKFLWVLRAPNNSASSSYLMGQMEDHLSYLPSGFLNRTKEQGLVVPSWAPQIEILSHGSTGGFLSHCGWNSTLESVVHSVPMIAWPLFAEQRMNAIVLTDVLKVAVRPKVDDQSSGIVKHEEIARVIKIIMEEEEGLQIRKRIKDLSDAAAVALSEHGSSTRALSILAHKFQNI >OIW17999 pep chromosome:LupAngTanjil_v1.0:LG01:31148743:31152039:1 gene:TanjilG_31372 transcript:OIW17999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGAPLSSSSAGTGGGGPAPFLMKTYDMVDDSTTDDIVSWSATNNSFVVWNSPEFARLLLPTYFKHNNFSSFIRQLNTYGFRKIHPERWEFANDDFLKDQKHLLKNIYRRKPIHSHSHPPGTPVDPERAALEEEIEKLSHEKASLESNIFSFKQHKSTAKVQLDDFHQRLDGIEKRQKKLSNFFEKALQNPVFVEQISRKIESMDLSAYNKKRRLPQPDHLLPVAKSNFVESYSNFRVELGNVFHQDFSNKLRLELSPAVSDMNLVSGSTQSSTEDREIPQKKSSEGELKGVETTKALSFAPETLELADTGASFTFNMDSCLSRRAATAESPNLHSLEPSSEEGDSHISCQLNLTLASSTLQVNRNSYSARSPQIDCQEIGKLAESRLYGNGKESDNGISSNRNPVNEVANLASPHEAPSNKQVTAAAPERVNDVFWEQFLTERPGCSDNEEAVSSYRANPYDEQDEGRSGHGISGNIKSMDKLTL >OIW17748 pep chromosome:LupAngTanjil_v1.0:LG01:34721178:34723573:1 gene:TanjilG_00342 transcript:OIW17748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFMSISNNIVCKCALGRKFSASDIDDGNNKVKELARKVMIQLTAFTVRDYFPWLGWVDVLTGKIEEYKDTFRQMDTLLDEVIEEHKKVEKEGNNYSRMKDFVDILLQLQGDGKLDFELTNNDLKPLLLDMFVAGTDTTATVLEWTFVELMKNPIVMKKSQEEVRRVIGEKSKVEESDVDQMLYLKCVIKESLRLHPPATLLAPRETISSLKLNGYDIPAKTMVYINAWAIHNDPKLWKSPKEFIPERFENNDVDFKGQHFQFIPFGFGRRGCPGMIFGNTSVEYVLASFLYWFDWKLPETYKSIDDIDMGEIFGLITSKKEPLHLKPIAFSL >OIW19211 pep chromosome:LupAngTanjil_v1.0:LG01:7784637:7788102:-1 gene:TanjilG_20336 transcript:OIW19211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKILLHGTLHATIFEVDRLKANGGGNLFSMIRHNIEEAVGIGKGATQLYATIDLEKARVGRTRLIENEENPKWYESFHIYCGHSAANIIFTVKENNAIGATLIGRAYVSVEDVFNGEEIDRWVELLDEDKNPIDEGSKIHVKLQYFDVTKDRNWARGIRSAKYPGVPYTFFSQRRGCKITLYQDAHVPDNFVPKIPLSGGKTYEPHRCWEDIFDAITNAKHLIYITGWSVYTEISLVRDSRRPKPGGDITLGELLKKKASEGVRVLILVWDDRTSGNLLKKDGLMATHDEETEEFFSGTDVNCVLCPRNPDNGGSIVQDLQISTMFTHHQKIVVVDSELPNGDSDRRRIVSFVGGIDLCDGRYDTPFHSLFRTLDTSHHDDFHQPNFPGADITKGGPREPWHDIHSRLEGPITWDVLFNFEQRWRKQGGRDLLVSLRELEDVFIPPSPVTFPEDHETWNVQLFRSIDGGAAFGFPDSPEDAARAGLISGKDNIIDRSIQDAYINAIRRAKNFIYIENQYFLGSCFAWAADGIKPEDIGALHLIPKELSLKIVSKIEAGERFTVYVVVPMWPEGVPESASVQAILDWQRRTLDMMYKDVVQALRAKGSEEDPRNYLTFFCLGNREVKKPGEYEPSEQPEDDSDYQRAQEARRFMIYVHTKMMIVDDEYIIVGSANINQRSMDGSRDSEIAMGAYQPYHLATRQPARGQIHGFRMLLWYEHLGMLHESFNFPESEECVSKVNHIADKYWDLYSSESLEGDLPGHLLRYPIGVSSEGDIAELPGFEFFPDTKARILGGKADYLPPILTT >OIW18196 pep chromosome:LupAngTanjil_v1.0:LG01:26975121:26977495:-1 gene:TanjilG_31316 transcript:OIW18196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISHRTRTLLKVIVLGDSGYVYKKFSQQYKATIGADFVTRELQIDGKLITLQIWDTAGQERFQSLGPAFYRGADCCVLVYDININKSFDKINNWHDEFLHQANLGDNEAFPFVLIGNKVDLDGGNSRRVTENKAREWCASRGNIPYIETSAKEGYNVDEAFLRVAKISLDNERVQDIYFHGISETASEIEQRRSCAC >OIW19321 pep chromosome:LupAngTanjil_v1.0:LG01:4109083:4111089:-1 gene:TanjilG_07289 transcript:OIW19321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSSKREEDDLFLQNQILHSSSTPTDPSYFSLPEIDIFPSSPSDSPPSHSSSNSPLKTTPFPQPQPHISPDPHISSQFYTFNSDSHSLMIHCLLQARLATPDEIRTVTPRPVLDSWRTVWKDRNEETAYLTAWKRIQDKLSTHVDPTGNPFLCFKNNSQQFVPHINQWEEIVMSFHADTDMKHLGVKETIDRIKQSWTVGAKFYGIPESYIRVCVAACVVCCEAASGSAGARNKRRRFEYTESFDVPAKEVCTLSTQVNNQLKVN >OIW18689 pep chromosome:LupAngTanjil_v1.0:LG01:22237510:22238888:1 gene:TanjilG_13441 transcript:OIW18689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVALAAGVLTLGSVDDAFAAKTGGRIGGQSFRSSAPRSSPRINNNSRTNIYINPQVAPPLVGGYGYGVPFYGGWGWSPFSFFAPGPSAAVGIGGGFDTIVLFMFLGAAAAVVRKFFGSRNEDDDY >OIW18682 pep chromosome:LupAngTanjil_v1.0:LG01:22136860:22142262:-1 gene:TanjilG_13434 transcript:OIW18682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDSTSTMKLSPLDLMSAIIKGNIDPSNVSSEASVILENREFVMVFTTSIAVLIGCVVVLIWRRSNANKIEPIVVPKRLVEKDIDDDVEVDDGKKKVTVFFGTQTGTAEGFAKAVAEEAKARYDKATFRVVDLDDYAAEDDEYEKKLKKETLALFFVATYGDGEPTDNAARFYKWLTEENERGEWLQHLQYGVFGLGNRQYEHFNKVANVVDEILADQGGKRLVPVGRGDDDRSIEDDFTAWKEELWPKLDQLLRHEDDKTVSTPYTAAVLEYRVVVHDPLDAAVEEKSWHNVNGHATVDAQHPVRSNVAVRKELHTPASDRSCTHLEFDISGTEVAYETGDHVGVYCENLSETVEEALSLFGLSPDTYLSLHADDEDGKPLSGSLPPPFPPCTLRTAIAQYADVLSSPKKSALLALAAHASDPTEADRLRFLASPAGKGDYSEWVIRSQRSLLEVMAQFPSAKPPLGVFFASVAPRLQPRFYSISSSPRMAPSRIHVTCALVHDKVPSGRIHKGVCSTWMKNSVPLEKSQDCSWAPIFVRQSNFKLPADNKVPIIMIGPGTGLAPFRGFLQERLALKEDGAELGPSILFFGCRNRQMDYIYEDELNHFVDSGALSELVVAFSREGATKEYVQHKMMEKASEIWNLISQGAYIYVCGDAKGMARDVHRSLHTILQEQGSVDSSKAESMVKNLQTNGRYLRDVCIGRANLPYLSFGAPGTGERMVFCLLPITSIAL >OIW17869 pep chromosome:LupAngTanjil_v1.0:LG01:33384250:33387503:1 gene:TanjilG_14115 transcript:OIW17869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSSSSAFVSSRRMGAYDPINQITMWEENFVTNGTLIDAGTKLDNQSVDTSNAIVRTSEKYDQEANRLNDKIQRRLAQNREAARKSRLRKKAYVQQLESCRLKLVQVEQEFDHARQQGLYTGAGLGSNSFGFVGSVNSGIITFEMEYGHWVEEQNRQILELRSALSAHMGDTEFGTLVDGIMNHYFKLFRMKSAAAKEDVFYVKSGMWKTTVERFFMWLGGFRPSEVLKVLIPLIEPLTEQQRGGVCNLLKSCQQAEDALSQGMDKLEQILAETVTARQLDEEAYIPQMVAAMERLESLVRFVNQADHLRQETLQQMSRVLTFRQCARWLLLFGEYFQRLRTLSSLWANCPRQTND >OIW18932 pep chromosome:LupAngTanjil_v1.0:LG01:18973123:18976954:1 gene:TanjilG_25375 transcript:OIW18932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVVMIVVMGSAQAQPEAKFNCTTTNATCHALIDYTNPNGTTLSYIQTLFDVKQLIDILGANNLPSNTTGNYSVSPNKAIKVPFPCRCNNGTGLSDQVPVYTIKTGDTLYDIATTTFAGLVKYPQIQEANNISDANTIQVEDKLWIPLPCSCDKVGGVSVVHYGHIVELGNSVEGIADEYGTTQQILLTLNGIIDPKTLQAGQLLDVPLKACSSNVRNDSLDYPLLVANATYIYTANNCIKCMCDSTNNFKSKFRSNKKHLKRMGLE >OIW17605 pep chromosome:LupAngTanjil_v1.0:LG01:36088834:36090285:1 gene:TanjilG_28955 transcript:OIW17605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQAMASMTSFNVCSNGNKVNSGTRFIVRAHNVVEPQTSRRGVIGLVATTLVTGSFVQAVLADAIPIKVGPPPPPSGGLPGTLNSDEARDLDLPLKQRFFIQPLTPNEAAQRTKESAKEIVAVKKFIEKKAWPFVQNDLRLRAEYLRYDLNTIISSKPKEEKQSLKELAGKLFQDINNLDYAAKVKSSPEAEKYYAETVSTLNDVLAKFV >OIW18477 pep chromosome:LupAngTanjil_v1.0:LG01:20535694:20546287:1 gene:TanjilG_13229 transcript:OIW18477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKLKQREFDLASVADLCSGSSSASPGIARFTVDGLQILQESNRILVNVHIRTVQVFELGSVQSVCMVEEEGSDVGKKTSYSRGVTMQFRNEEDSAAFHSVVKQWKKEGKVQGANIPNGTIVTSKSKFDEKIESSSAKMYFHYYGQLLHQQNMLQDYVRTGTYYAAVIENRADFIGRVVVDVGAGSGILSLFAAQAGAKHVYAVEASEMAEYAQKLIAGNPTLAQRITVIKGKVEDVELPEKADVLISEPMGTLLVNERMLESYVIARDRFLTPSGKMFPAVGRLVCQSMRLSFQMPAGAKHVYAVEASEMAEYAQKLIAGNPTLAQRITVIKGKVEDVELPEKADVLISEPMGTLLVNERMLESYVIARDRFLTPSGKMFPAVGRIHMAPFTDEYLFTEIANKALFWQQQNYYGVDLTPLHGTAFQGYFSQPVVDAFDPRLLVAPPMFHVIDFTKIQEEDLYEIDIPLKFIASVGARVHGLACWFDVLFNGSTVPRWLTTAPGSPTTHWYQLRCVLSQPIYVMAGQEITGRLHLIAHNAQSYTIYLTLSAKMWGPGAEQGGILQTSSCKLDLKEPYYRISQPQAYALAQDQQQPHSLLQTQVFYFVISCLYIVTLLVDIRRDLKREIKVVFFFGISAQLKDESSEETKEESQHISSFSSSKLTLRKNHLRPKILKTLIPKPYLVNIPATDEESVQFEDVQESEATNDYNSEFEDLRVSEANSECNGEIEEDLQVCVSEPTTQKSGFSSSSFSAINLFKYGAIYMLGGFVFHSIFNLWFFFYRYSNFNQNDGDLEIDGNENSNLTFNSNHNDVNLGIDGREKRNLLFNGNGKSMVVNAATATNLDKLEMEKKIKQIKLMAREARRAEEMKKEQGEVEDPESDDESPLSSSQRLGIEREIGARLSKLQDRINNDGDKSAALQIINSLGSSAKYAAGVARDGKKNVNRGKEALTFKKKLTFKSPSTKLTKTPKGFVGTRDRRGSSGKKKGSAGSVAAQDYGSDATDGARILYDDKQVNQQGVETHESVSRLPLERKKIVDDKSNAILNQGKKLEDKTETPSIKTGSADKTKNTNNGGFEEASFPKSAPEVIQLRELSTQNSLGSVEENQETSPIFEKGDVHGINGSSRHGLAEKTSAANRDKVKQENAKTDIWWLNLRYVLVIFMERDSNGGQKALYTLKLTSTEQDQGVDSYIVAFEDHGDANNFCVLLETYFEDLDDFSASPVPMTIQELNEEIASHANKVVVVKKRQIQLYAGQPFTDVEMALHSVIEQDQNVP >OIW18559 pep chromosome:LupAngTanjil_v1.0:LG01:21124105:21126521:1 gene:TanjilG_13311 transcript:OIW18559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFIIFFFLSLLQLSSRFNSEPTQDKKALIAFISQTPHSYRLKWNVSDSVCNWVGVQCDSTNSSVYSLRLPGVDLVGRVPPDSIGRLTQLRILSLRSNALTGQVPSDFSNLKFLRSLYLQNNRFSGEFPLSLTRLTRLNRLVLSSNNFNGTIPFAINNLTRLTGLFLENNTFSGEIPSITIKLVNFDVSNNRLNGSIPESLSKFPASSFAGNLDLCGGPLQPCEQNFPAPAPSPESTVPSSLVHQKSKKLSTGAIIGIVVGCVAGALLLLLLVLFCLRKRKRRQPPKSPKPVATAARSVPTAEAGTSSSKDEITGGSMESERNKLVFLEGGIYNFDLEDLLRASAEVLGKGSVGTSYKAVLEEGTTVVVKRLKDVVVTKKEFEMQIEILGKIKHENVVPLRAFYFSKDEKLLVYDYITSGSLSALLHGSRGSGRTPLGWENRRRIALGTARGVACLHVSGKVVHGNIKSSNILLRGPDNDASVSDFGLNPLFGNGGPSNRIAGYRAPEVLETRKMTTFKSDVYSFGVLLLELLTGKAPNQASLGEDGIDLPRWVQSVVREEWTAEVFDAELMRFHNIEEEMVQLLQIAMTCVSVVPDQRPSMQEVVHLIEGMNRGETDDGLRQSSDDPSKGSEGESRTPPRSTP >OIW17910 pep chromosome:LupAngTanjil_v1.0:LG01:32786279:32791988:-1 gene:TanjilG_19879 transcript:OIW17910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGASDPSNVPIINVEDELEGELVGTTDYFFSKIGETVPLKGNGSIFDLESLPSHPIALSQRLRLIFVAHTSGFFVVRTKDVIDSAKQFKDKGTGSSVEELSLVDVSIGRVHILALSTDNSLLAASVSGQVQFYLVDSFVNKEVKQSFSCSLSDDSAFVKDMRWITTSENSFVVLSNTGQLYYGEAGLPLKSVMDSVEAVDWSVKGEFVAVARKNNVLSILSAKKFEEWVSISLSFNSWIGDSDENRSVKVDSVKCIRPDSIVVGCFQLDEDGKEENYLVQVIRSKAGEITRGCSDFIVQLFCDMYQGLFDDIVPFGSGPYLLLSYIEQCQLAINANIKNTDQHIMLLGWSVDDDHKNEPVVVDIERDNLVPRIELQENGDDNLLLGLCVDTVSIYEQVGVQLGMGEEKTELTPYCVLICLTLEGRLVMFHVASQAGSEVSQPEVVSALCDGGDASIKPPADKGSTFSHESQKQELNQAPEESTSLKSKTLANPYQITNGEDFTKHREVESVASIQSLKSNVKQMVPDVDLTKETDRHNSFTSGEQQTNTGHKTVPLGSSTGSFSLNSNSAARGFSSYVNSQGTTEVTKVQHDTNSSRDTQRASHLFPGTTNVHTANVFGSVGGKSLLSHDVSGVSPATNSATRPVQRGGQLTPTGAAVNTQPVSHTSSPLLSDANSTAGKSSLRKFHPSNEQHGASSKLGISSSDLSKQFGNINEMTNELDLLLRSIEEAGGFRDACTRSLRSSIEAVEQGMGALSKNCKIQTCQVDEHLKEVQYLLNKTIQVVARKIYMEGIYMQASDSQYWDLWNRQKLNSELELKRQHILSLNQDLTYQLIELERHFNALELNKFSQNGGSRTGHGAFRSRYGPSKNIQSLHSLHNAISSQLVAAENLSECLSKQMSSLSLRSLSEKQKNVKELFETIGIPYDASFGTPDMKGSMRTSSSKNLLFSDFSANNDKCKKIQGSAMKNCESEMARRRRDSLDRSWTCFEPPKTTIKRKLLQQEFQKPNWNGSPFSVNKEKGRTSMLKESAPRQADVKFPSIVFPASNMNVGTLDSHFEHEEESEGSKLFLADDFLAPTQVSASKSHVSQRSNISAFPSWSASQSSPAMVHGHSIEAKDFNAEKLNVVQKFDSISNSENKSLHTKMPQNSSVSTYSNPGTPSPFKSSELPIKNSKMTMATSSMVGNKLPNVFTPESSRKHDFPTSELRSSTISSPLTLFGKTELIVDKIQPKANISAHPTFGGLFESPSFPAIKTSSAASPLSSSVSSATLPSTAVSVALSRDMTSSNTSIDLTSETLKSEIKPVAVSNLKTNLGAASEAVTQPNEPLNGKAELKLGSSGKPSPNHEKSSNNTTTSELNVVRDSQSEKPSDAQMQFSNSFIAPASVSSAKNGGLDFGIPDQDEMEEEAPETSNTTELNLGSLGGFGIGSTPNPSLPKSNPFGGSFSNVATSSSSPTISFSVPGGELFRPASFSFPSSQPSAPAQSTNSGAFSGGFGAGATIPASNPPSAFGQPSQIGSGQQVLGSVLGSFGQSRQLGGGLPGSGFAAPSGFGGGFAGSGSPTGFPSAATGGGFAGIASTGGGFAGIASAGGGFAAAASPSTGSGFSGISSAGGGFGGAAPTGGGFGALSSGGGGFAGAGSGGGGFAGAGSGGGGFAGAGSGGGGFGGFSNQQGNGGFSAFGATGGSKPPELFTQMRK >OIW19758 pep chromosome:LupAngTanjil_v1.0:LG01:124475:128797:-1 gene:TanjilG_27297 transcript:OIW19758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQAVTINTNSWTSAGHAVNQIYDILYMMGRDDIAVGIGGEGGILQNGTILPNVGGYLPIIEQGMTTAGDCRYRRAIPVGLFGGRLDIDVNFGIRKAFLPQGERRYTPLRQPSVQQVLIDKISAGPITVLITGAHTNFAIFLMSNPHLKKNVKHIYIMGGGVRSSNPTGCCPENAPSSCVPRQCGDHGNMFTDYNTNPYAEFNIFGDPFAAYQVIHSGIPVTLVPLDATNTIPITEEFFNAFEKSQDTNEAQYSFKSLKMARDTWFDNEFYSSYFMWDSFTAGIAVSIMSKSNNIKGENEFAEMEYLNITVITSNKPYGISDGSNPFFDDLKVPKFNLEKGGVHSGHVQDGLRDALCFVKNGKGRCQDGYTSEVNGPDSVRVLVATKAKPNQDSGSSLDREYFINFLNVLKKPQQAGRFNFATEFPHYREVTYKPDFQDIRLGKTVVFDMDMSAGDFLALFYLLKVPVEVINLKAIMVTPTGWANPATIDIIYDLLHMMGRDDILVGLGDVFAMNQSDPTFSAVGECKYVKAIPHGSGGFLDSDTLYGLARDLPRSPRSVCFQQWTNPSSCLRYTAENSVKFGAPRDTDHPELRQPLAMEVWESILQATEPMSKITILTNGPLTNLAKVVSVKNISSRIQEVYVLGGHISKNGNDKGNIISVPSNKYAEFNMFLDPLAAKTVFESKLNITLIPLSIQRRVSSFSTIIDRLCATRKTPEAVFSKRLLSRLYRLKQVHHRYQHMDTFLWEILGAIVMTDNTSSLNPKFEVKPIKVIADGVESRDGKIVVYEKHGKLVKILSDIDTKAYHDSYANKLGDDKQSAKVGSFEEQKRQWMTGDKS >OIW17769 pep chromosome:LupAngTanjil_v1.0:LG01:34545008:34547000:-1 gene:TanjilG_06454 transcript:OIW17769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKIIPKSPEAKLGMRVGDLWDVQEPQLSPTEKLNACFESIPVSAFPLAPSNQEIEIKSDATLAEAVKILAHHNVLSAPVVNVDAPEDASWIDRYIGIVEFAGIVVWILHQSEPSTPKSPSTPTSASAIAAAANGITFALELEALGLGSAATTSGDFFEDLTSSELYKNTKVRDISGTFRWAPFLALERSNSFLTMLLLLSKYKMKGVPVVDLGAGRIDNIITQSAVIHMLAECAGLQWFESWGTKELSELGLPLVPPNHIIKVCEDEPVLQAFKLMRKKRVGGVPIIEKGGSKPVGNISLRDVQFLLTAPEIYHDYRTIKVKDFLSAVRSYLEKNQKAFPKLTEYITCKKDCTVKELIQLFDQEKINRVYVVDDDGNLQGLITLRDVISRLVHEPRGYFGDFFDGVLPLPANCRV >OIW18248 pep chromosome:LupAngTanjil_v1.0:LG01:25778470:25781768:1 gene:TanjilG_06332 transcript:OIW18248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFMKGDLISKTRKLVKGLAMAEPIWLKAMEQSPPATFPRAAGKIPTITFPEDVYVKKFYKKYPDSKYHDAIKISAFDPPPSRIYGLRVLELKEQGVSEEQALAVADMEYLAEKKAKKKAYARLKQIARLEGKRLPPNPYPSPVKEIQAEERSYVRRRFHDPKMLEIIEQQKAEAKERFGRSDW >OIW18202 pep chromosome:LupAngTanjil_v1.0:LG01:27089609:27096376:1 gene:TanjilG_31322 transcript:OIW18202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKGTKRKTSQEQVSKKTERPPAKRTKPSKPVSEPEYFQDQRNLVNLWKETFPVGTEWDQLDTVYKFKWDFSNLENAFEEDGVLHGKKVYLFGCTEPQLVMFKGESKVVCIPVVVAVVSPFPPSDKIGINSVQRESEEIIPMKQMKMDWVPYIPLEDRDSQVDRLKSHQIFILRCNQRRSALKHLKLDRLKKYEYCLPYFYQPFKEDELEQSTEVQIIYPAEPKPVFCEFDWELDELEEFTDKLIEEEELSGDQKDAFKEFVKEKVREAKKANREAREARKKAIAEMSEETKSAFETMRFYKFYPVQSPDAPDVSNVKSPFINRYYGKAHEVL >OIW17612 pep chromosome:LupAngTanjil_v1.0:LG01:36010533:36014663:1 gene:TanjilG_28962 transcript:OIW17612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFWGLFIVALMPVLKVLLITALGTLLALDCFHILGNNATKHLNIMVFFVFAPALVCGNLAKTITLKSMIMLWFMPLNVLLTFMIGTFLGWLLIKVTRVPHHLQGLVLGCCSSGNLGNLPLIIVPAVCKERSNPFGDVDVCYRNALAYVSLSMALGAIFIWSYAYNIVRIFSPKISNVIKVDGATQNPVSGTETDPENLSICSTKTMHVAEDRLSTNDDVKELEIQCTMPNGQAKVPKKEKIMKQLKIIAEKINLKVLFAPSTIGAIVGLIIGVVPQFRKLLVVDHAPLRVVQDSIDMLGDAAIPAMTLLVGANLLKGNQ >OIW19504 pep chromosome:LupAngTanjil_v1.0:LG01:2125110:2127663:1 gene:TanjilG_06959 transcript:OIW19504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEKSETQHNIIVFVHAKNYVCLRELSVGEEVSESASVCVKLMGNVTGSVAAKFAFFPPDPPTYDVYRDEDGRLVFSGITADKNVDVHQLQTKGGNKIVATLWRHPFARFTFLYSHGNAADLGQMYDLFIELRAQLRVNIMSYDYSGYGASTGKPSEFNTYYDIEAVYNCLKSEYGIKQEDLVLYGQSVGSGPTLHLASKLHKLRGVVLHSAILSGLRVLYPVKMTFWFDIFKNIDKIRFVSCPVFVIHGTNDDIVDWSHGKRLWELSKEKYDPLWIKGGGHCNLETFPEYIKYMRKFINAMEKLSLTTQSNKQLPQNPSITESRHNKCLIFGKR >OIW18156 pep chromosome:LupAngTanjil_v1.0:LG01:26040394:26041640:-1 gene:TanjilG_31276 transcript:OIW18156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNGESTYNSHDDFFQQPYSYSGSSGNIRYHESSSHAESSVNTSYQYKQLPTYIADNFSSLDHVFTVVSALRKAGLEPSYLVLGIDFTKSNEWIGKHSFNHKSLHSIRITHDRNEQAISIIGDHISSAPCHTLSSFGEDNLIPCFGYGDGSIHDKNVFSFYPDHRSCHGDHEFNS >OIW17987 pep chromosome:LupAngTanjil_v1.0:LG01:31388552:31388897:-1 gene:TanjilG_31360 transcript:OIW17987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRALAMVVTSFGTPAMGGGACPPEDAVEKGGERLQWLQERGMRDIFVWPWFSFMDLRFRWTMVYRMWC >OIW19398 pep chromosome:LupAngTanjil_v1.0:LG01:3113027:3114238:-1 gene:TanjilG_09418 transcript:OIW19398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENFGDEYKHYWETNMFLQTEELDSWGLDEALSGYYDSSSPDGGTSSAASKNIASERNRRKKLNDRLFALRAVVPNISKMDKISILKDATEYIQQLHEQEKSIKGEIMELESRKLKNPSSDFEQEIPVLVRSKKMKTEQIIDYVSSRSLIEVIEDKH >OIW18827 pep chromosome:LupAngTanjil_v1.0:LG01:20031705:20037313:-1 gene:TanjilG_25270 transcript:OIW18827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGERGFPNYELQVSFTNTPQPIQDMSFVQFEQNHVLSFLAPSAQSQSSQISQPLNTAATKAATTTTTTAAAVTRPTTLEFSHNDLVTRNSWNNEQVQTLDPKGVNNDENCSGNTSDGNNTWWRSAGSEKNKVKMRRQLREPRFCFQTRSDVDVLDDGYKWRKYGQKVVKNSLHPRSYYRCTHNNCRVKKRVERLSEDCRMVITTYEGRHNHIPCDDANSSEDDCFTSF >OIW18534 pep chromosome:LupAngTanjil_v1.0:LG01:20879931:20882690:-1 gene:TanjilG_13286 transcript:OIW18534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGEEGVVVPEPVAAVSIPGEPMDILTALQLVLRKSLAYGGLARGLHEAAKVIEKHAAQLCVLAEDCDQPDYVKLVKALCAEHNVSLLTVPSAKTLGEWAGLCKIDSEGKARKVTGCSVVVVKDFGEEHEAYNVVLQHDDLDLQKMEEARKTYVAAVALAKERRDEESIAAAASARLHLQSFVFAHTNFNL >OIW17640 pep chromosome:LupAngTanjil_v1.0:LG01:35596756:35608164:-1 gene:TanjilG_28990 transcript:OIW17640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVKNSVLGLKNDGVQRKGSEILHRHNFEMQLAQRNFKSNDALNHIQNLDAMELYAQARLQEEEIVSLREQIAMACMKELQLLNEKCKLERQFSELRMAVDDKQNEAITSASNDLAQRKGDIEENLKLAHDLKVVGWNAGETSLNLGVPTPEEKFPSVLVLRILLDNRVPKIDSPCTSTRNYPFQVTYDLAVEDERYIFMSSMVGLLGEYRLWPRVMNASAISNSVKHLHDQLQWRIRSSHDRIGELNSVLKSHADNGNHVAESQGSGNLIPHNFSQQNRFRNEQNPQPTSKTPGYMHPVLHGDVNSAYNRADYQEISKADRDVSFRYGSIDKIGVHDRTSERKFADGKMLQTQLDTNETASSASEDGPGIEGFQIIGDAIPGEKLLGCGYPVRGTSLCMFQWLRHLQDGTWQYIEGATNPEYIVTADDVDKLIAVECIPMDDKGRQGELVRLFANGQNKITCDEEMQHVIDTNLSQGQAAFSVLLLTDSSENWEPATLILRRSGYEIKINATEAVVVAEKFSKDLSIKVPCGLSTQFVLACSNGSSHPLSAYSVRMRDALVLTMRIFRSKVFFLLTISCTFVHGNFDDVRSCGTKYSEMTTSETLVMGIAPMKTQFDDSEMGFEAENGGCKCGSNCTCDPCNCK >OIW19238 pep chromosome:LupAngTanjil_v1.0:LG01:6885507:6889447:-1 gene:TanjilG_20363 transcript:OIW19238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIKVEDLSHPPMDQLQGLEYCIHSSPSWMETIALGFQHYILALGTAVMIPSMLVPLMGGSDGDKVRVVQTLLFVEGINTLVQTLFGTRLPTVIGGSYAFMVPIMSIIHDPNLAMIEDPQLRFLSTMKAVQGALIVASSIQIILGFSQIWAICSRFFSPLGMVPVIALVGFGLFDRGFVLVGDCVEIGIPMLILFTVISQYLKNFHIRQQPILERFALLISTIVIWVYADLLTAVGAYKHRNQVTQHSCRTDRANLISSAPWIKIPYPLEWGAPTFNASHCFGMVAAVLVSLVESTGAYKAASRLASATPPPAHVLSRGIGWQGIGILLNGMFGTLTGSTVSVENVGLLGSTRIGSRRVIQVSAGFMIFFSMLGKFGALFASIPFPIFAAVYCVLFGLVASVGLSFLQFTNMNSMRNLFITGVALFLGLSVPEYFREYTSKAGHGPAHTRAGWFNDFLNTIFFSSPTVALIIAVFLDNTLEYKHSARDRGMPWWARFRAFKEDGRNSEFYSLPFNLDRFFPPS >OIW19274 pep chromosome:LupAngTanjil_v1.0:LG01:5781225:5784763:1 gene:TanjilG_20399 transcript:OIW19274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSIKMKGIESKGCLTEKKDLHVCPKSKIISKKRCSKVVFPDQVTEIDTAIHNCGDVSSRKLASPKAVSRDESIDRREFLNKEDTESQQHQSFSLDPSVPEEMDSTIPCTADIEIIVSPAFEPIDVHSQHFTQGDTGRIGDTDMPRLSADEGDDGRSICGYQTFDLSDFFISDMIMTSLPFDGDYFDDDYFEIINYLSDYKSYEPAVFDVPYQNMVLPALEDDVNVGSTKDSISCEESVMVQENCSLYSALCQAKRCCNQDSDKTECFDPQLFLKNFPELSDVESTDLPTQIPKQSQGRKSVTLVLDLDETLVHSTLQHCEDADFTFNVFYNMKENTVYVKQRPNLHTFLERVSEMFEVVIFTASQSIYAKQLLDILDPDGRLISRRMYRESCIFSCGNYVKDLTVLGVDLTKVAIIDNTPEVFQLQVNNGIPIKSWYDDPLDCALMSLLPFLEILADADDVRPIIAQRFDNKEYNSSSHFYA >OIW19182 pep chromosome:LupAngTanjil_v1.0:LG01:8898957:8910841:-1 gene:TanjilG_01215 transcript:OIW19182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHNEANITHEEALNVDGSFETNLTFNEKHREKTHKKEKKDKEKKEKKEKEGRDGKDKEKKDKKEKRRDKKKEKDKHKDKGRDGDKSKIGTSDDNGFPGKAVDSNAGEPHQNEINQSDKKGIFFVEKLTKQHTSHNGEKARENNHLADNKDSKFLFEFDRRIKDNNGGAGNQLVQKFSNTNNHRNDDGTVKLVAKGSDAWPGSKEKLKDMDLDAKKIDGKGIRAEVRPIGNATFQNHAGNFHPRVDGMPGLLEKNFDRTMEAAVEGKGMVKGKKDDERKEEKVKEKKDEGKDRVKEKKDDKRRDRKKDKEKKEKKGHGKDKDRDKEKKKEEKAKEHTELKNTDQNNLKESNKVAPTGLNSFTQVSKNSHENAVSAENIRKRKEIESNGVPRANGNWPNKMPKPSPSHPFTENGKILEPCQVSVPNASCRPGVTTSVKLDNKECKINGFVKAPPPIAASSNKAQIATGPTVPLTEAPAKPPDPDVKFLSQVTKASAKPPHPDTKYISQVYSVPKMEAWSDFDDQEWLFGSSSSQERKPVVKSSDVGDMRQVWAEALHIETADVYALPYVVPY >OIW18190 pep chromosome:LupAngTanjil_v1.0:LG01:26873946:26877206:-1 gene:TanjilG_31310 transcript:OIW18190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTSLDSKAVKASEKCNLKTGTVGVKKCKKRTNRLNHKTQGCGEELLADKENQYFPSKESASSCPDHHEKDTSLMEKREAIELHAGQTLASTAKIKLQLFPINKATRLGLEKDGHNPHLELTLSGQKKISSVLKHLEKKWGSSSIAKGDPMLFPFNIMENLPECIRWTINDYHTTAAAVYAAVGSPAIFQLKYGWSYTHEPRPFCIPSMPVLNEPCVHPGGTDGGCNANLGTLYGERDRVEVTSKEFKVTDNASNEVLGQKMENASVDPLDNEPKVCGSLEQPLFPWIDSLTNISIGGLLSEASLQGRFSTPDPKPFGRNVDMRIGGLLSEISLQGRSSTPLPKPFGRNVDIRIGSLQSETSLQGRSSTPDPKSFSSNLDISIGGVLSESSLLYKFRTSDPKSLGNHIAVQPSQIISDSFGAFIASQISHPPVPRLPVEDLRTSILDAEETCHAFPLKKLSSQAVTGSGNNYSVACSSDAPSNTLKLPNTDKVNDQDLLTQNPSSGKTQTDLSLSSCLYDDERSLGLTGIIWNDTLGPFDLACQAKKSISGDNASIGGFVK >OIW18674 pep chromosome:LupAngTanjil_v1.0:LG01:22085064:22088386:1 gene:TanjilG_13426 transcript:OIW18674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMSHGDTIPLHPHLSSQSDIDEIEILMNSSPATVLPATPPRATIPISPSPPNLPPPPPPKPSPPSNHNHKPASQPLPSRPELPPSGFGSAPNTLTEPVWDTVKRDLSRIVSNLKLVVFPNPFREDPGKALRDWDLWGPFFFIVFLGLILSWSASVKKSEVFAVAFALLAAGAVILTLNVLLLGGHIIFFQSLSLLGYCLFPLDVGALICMLKNNVIVKIVVVSVTLAWSSWAAYPFMSSAVNPRRKALALYPVFLMYVSVGFLIIAID >OIW17931 pep chromosome:LupAngTanjil_v1.0:LG01:32517541:32517825:1 gene:TanjilG_17767 transcript:OIW17931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFNRLILFSVARFSAEAWQWLTCTQDPFTNEELFDLFFTFPFQQLRPIALCLWNFCLHHPYDSFFSYLPFSDDDVSSVATFENDDDYYHSHSD >OIW17903 pep chromosome:LupAngTanjil_v1.0:LG01:32835162:32837210:1 gene:TanjilG_19872 transcript:OIW17903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGEEEGKDSAGGGHRRTYSRSVSWTDRAPASRKPHNKPRSLLASLQPLSINKNSVQEWPSAGSDDLGVWPLPQTPKGSIRSTELGSMNEFQFKRDKLAFYDKECSRIADHVYLGSDTVAKNHELLRQNGITHVLNCVGFVCPEYFKSDFVYKTLWLKDSPTEDITSILYDVFDYFEDVREQRGRVLVHCCRGVSRSTSLVIAYIMWREGQSFEDAFQYVKNARGVTNPNMGFASQLLQCQKRVHAMPASPKSILRMYRMAPHSPYDPLHLVPKMVNQPCAQALDSRGAFIIHVPSAVYVWTGKNCNCVMSCNAKSAADQVIRYERARGPVLMIHEDEEPPDFWIALSNEQLLSGNCDKAEVKQDTTLASSARMEIDDGVHNWIRPRKVDEYDLDFEIFHKALAGGVVPPFSVSNDESETCLPTRENSWGRLRRKLASGLMKGLFPSCKNTNSSKAESGLIMEEEKQHSLVDPSSPSSNHPCGSPDSFECFPDNSSDRAKDTLEVMDHFVPSVDSSLPPSPGGMSDSYAFFLSNSRKLSSKSPTFSPSSSDYASSFTFSPSSTNWSSRLPSPSSLESTEAFYGKDASLVDGFFSLQKEAVSSPSEAYSANHSLGRADFYLRSKGSSPSIAERRGSNLPPHMVLPSVNESPRDHKALVRSQSFSLPDLNDNLNKDVSSNLRTM >OIW19081 pep chromosome:LupAngTanjil_v1.0:LG01:13749586:13749912:-1 gene:TanjilG_06390 transcript:OIW19081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQELCYLQTPLWHDEKSIFSIKDSEGSGCPLRWSGTSGFRVAGTTKKTMCIWTGFDEGGGASVALARLHCFLASMDSGE >OIW17909 pep chromosome:LupAngTanjil_v1.0:LG01:32797003:32800891:1 gene:TanjilG_19878 transcript:OIW17909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNFARPRSHPNPRVTKPIAMGGMDYADPKRKGNFVGKVLLAAALTSLCIIMIKQSPTLNSPSPFSLREPGVTHVLVTGGAGYIGSHAALRLLKDNYRVTIVDNLSRGNLGAVRVLQNLFPEPGRLQFIYADLGDAKSVNKIFLENKFDAVMHFAAVAYVGESTMDPLKYYHNITSNTLLVLESMAKHDVKTLIYSSTCATYGEPEVMPITEETKQNPINPYGKAKKMAEEIILDFSRNSDMAVMILRYFNVIGSDPEGRLGEAPRPELREQGRISGACFDAARGIIPGLKVRGTDYKTTDGTCVRDYIDVTDLVDAHVKALEKAQSGKVGIYNVGTGKGSSVKEFVDACKKATGVNIKVDFLERRPGDYAEVYSDPSKINGELNWTAKHTDPLESLQVAWRWQKCHHDGYGVSNVIY >OIW19707 pep chromosome:LupAngTanjil_v1.0:LG01:1246186:1246633:1 gene:TanjilG_18517 transcript:OIW19707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVRNIGVVVEDVDAARTALQWALENIIRYGDIITLIHLYPFTSSKSRKKARLLRLKGFQLALSFQHICNNFSNTKVEIVVTEDNQERMKIVESVREIGASILVVGLHDHSFLYK >OIW18022 pep chromosome:LupAngTanjil_v1.0:LG01:30730816:30733152:-1 gene:TanjilG_07606 transcript:OIW18022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNKDILASSKKPIYQQAKILPIIICPIALFLIIVCVKLIHNATKKKHAKPIATKNGDLFSIWNYDGHIAYEDIIKGTEGFDMKYCIGTGAYGCVYKVPLPNGKVVALKKLHGFMAEDPTSDESFRSEVDVLSKIKHRHIVKLLGFCLHKRIRFLIYEYMEKGSLFSVLYDDVEAIELEWRKRVNIIKGIAHALSYLHHDCIPPIVHRDISSSNILLNSEWQPNISDFGIARLLRYDSSNRTIVAGTYGYIAPELAYTMAVTEKCDVYSFGVVALETLMGKHPQEILSSLQSTYSHEITLCEVLDQRLAPPTIAVLLEIIDVAIVAFACLNNNPHYRPSMKCVSQSFLTQHTTLNIPLCKISVQQLMSQELFRFKTKVINFQIEGDLSAF >OIW19063 pep chromosome:LupAngTanjil_v1.0:LG01:15403377:15403626:1 gene:TanjilG_10624 transcript:OIW19063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGEGCFWGFPMNESKESEDDMVRWFVVVSDLDSYFHGGNRNKVGIWLSHFIGS >OIW19625 pep chromosome:LupAngTanjil_v1.0:LG01:699649:704570:1 gene:TanjilG_18435 transcript:OIW19625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSEARWVSLLSKCISLRGTKQVHSHIYQNGLDSDPLVLGKLLLHCAISISDALHYAQRLFQHFPYPDTFMYNTIIRGLAQSHTPLHCIHPFIQMLRRQPTLFPDSFSFAFALKGVANCGNFRAGIQLHSQALRYGYITHVFVGTTLISMYAECGSSDYARRVFEEMPEPNAVTWNALVTAAFRCGDVEGARDVFQRMPVRNLTSWNLLLAGYGRAGKLDLARQVFSKMPMKDDVSWSTMIIGFAHNGSFDEAFGFFRELLCVGIRPNEVSLTGVLSACAQAGAFEFGKILHCFIEKSGFLYIASVNNALIDTYSRCGNAAMARLVFQNMPVTRSIVSWSSMIAGLAMHGHGEEAIQLFHEMEEAGVRPDVLDPIPLNNTLLYFKHNSTREQIILPPFPYQPSKIQSPKECPVFNSSQKTSYAEQQKKMALACDPTKNHLQVFMYDLPPEFHFGLLNWKGSVNKTWPNVDNPKNIPPYAGGLNLQHSVEYWLTLDLLSSSIAEVFRPCTADRLVQFLLAREEWKRSGGKDHLVVAHHPNSMLAAKRKLGSAMFVLADFGRYPVELANIKKDIIAPYTHLIDTIPISESASYDERSTLLYFQGAIYRKAGGAIRQELYYLLKDEKDVHFAFGSIKRNGINAASQGMAVSKFCLNIAGDTPSSNRLFDAIVSHCVPVIISDEIELPFEDVLDYSEFGLFVHASDAVRKGYLLNFLHSIKPEKWTKMWERLKEITQHFEYQYPSQPRDAVNMIWEEVAHKISSVQLNLHRKNRYQRSQLLVQTG >OIW17762 pep chromosome:LupAngTanjil_v1.0:LG01:34626833:34631696:1 gene:TanjilG_06447 transcript:OIW17762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAESNAVVSDFINFLNASPTPFHAVEEAKKRLRSAGFDQLSEREAWNLEAGKKYFFTRNYSTIVAFAIGKRYVAGNGFYILGAHTDSPSLKLKPISKVIKGGYLEVGVQTYGSGLWHTWFDRDLTVAGRVIIREDKGGSVSYSHRLVRIEEPIMRIPNLAIHLERNINDGFKANAQTQLLPILATAVKAELNKVVSENDSLESGKQSDGQKSNRKTDTTNTKHHLLLLQLLASELGCEPDNICDFELQACDTQPSIVAGAAKEFIFSGRLDNLCMSFCSLKALVDATSSDSSLEEEIGVRMVALFDHEECGSKSAQGAGSPVMINALSRVTHSFSSDSKMLDKAIQSSFLVSADMAHALHPNYMDKHEDNHQPKLHGGLVIKHNANQRYATNAVTSFIFREIASKHNLPIQDFVVRNDMPCGSTIGPILASGVGIRTVDVGAPQLSMHSIREMCATDDVKHSYEHFKAFFQEFSHLDAKLAVDI >OIW18756 pep chromosome:LupAngTanjil_v1.0:LG01:22760396:22763310:1 gene:TanjilG_13508 transcript:OIW18756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPSTSSSFLILLQLLLLSCVSSVSGTSRKTYIVHMKHYHKPSIYPTHHHWYSATLKYLSTSNNSSSYSLLYDYTTVFNGFAASLDTKQAQTLNTSDSILDLYEDTLYTLHTTRTPQFLGLQNQTHSTFFMESHVTQDLRDVIIGVLDTGVCPESQSFDDSLMSEIPTRWRGECESSIDFNPSFCNRKLIGARSFSRGYITANAGSYVRKPIDISSPRDRDGHGTHTATIAAGSAVPNATLLGYATGTARGMAPHARVAAYKVCWTDGCYASDIIAGIDQAIIDGVDVLSISLGSASVLYHHDTIAIGAFAAMEKGIFVSCSAGNSGPRRGSVANVAPWIMTVGAGTLDRDFPAYVSLGNGKRFDGVSLYGGEGMGDKPVGLVYFRERSNSSSSICMPGSLEPEIVRGKVVVCDRGLNGRVEKGSVVRDAGGVGMILANTAASGEELVADSHLIPAVAVGRSTGDVIRDYAASDRNPTAVLSFGGTVLDVKPSPVVAAFSSRGPNGVTNQILKPDVIGPGVNILAAWSNTIGPSGLSQDTRKTHFNIMSGTSMSCPHISGLAALLKATHPDWSPSAIKSALMTTAYTHDNTYSPLHDAAGEGEALSTPWAHGSGHVDPQKALSPGLVYDASTHDYIAFLCSLDYTLDQIQIIVKRPDVNCSTKFDDPGQLNYPSFSVVFGSKSVVEYTRTLTNVGAGGSVYDVNVSVPSMVGITVNPNRLVFGDVGDRQMYTVTFVSKRGVDDSVSSEFGSIMWSNEEHQVRSPVAFTWTEL >OIW19284 pep chromosome:LupAngTanjil_v1.0:LG01:5678126:5681337:-1 gene:TanjilG_20409 transcript:OIW19284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDELSEKESRKMGSQEISNEFKTLTNSQDLNTLNHLQHTILGRLQDSNAVLTHFNDFSEHCFAEISGDINRNTRVLKSVKSDLDYIFQKLRSMKSKISATYPDAFPEHSVNEVTDRRPDLEMPK >OIW19519 pep chromosome:LupAngTanjil_v1.0:LG01:1997063:1997407:-1 gene:TanjilG_06974 transcript:OIW19519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVTPRTGGSSTVKRQHVRTCMCSPTTHPGSFRCSLHKNCASRSVASYAPNRLNARRSAMTNSLVRIRGVEGDLVKRALAALIRPSSHHQKRRGDFQPRPTRLSLMTMAEEYNS >OIW18541 pep chromosome:LupAngTanjil_v1.0:LG01:20952939:20956201:-1 gene:TanjilG_13293 transcript:OIW18541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCSFSGLNALSDTVNGGADVWINNNRFRVVKQLGEGGSAYIFAVKEILSDSASSAFASNLNSSTHLSDDGTYAMKKVLIQKDEQLELVKEEIRVSSLFDHPNLLPLLDHAIISVKPTQEKSWTNEAYLLFPAHLEGTLLDSAKTLKAKKEFYSTSDVLQIFRQLCAGLKHMHSLDPPYAHNDVKPGNVLITRRKGQPPLAILMDFGSARPARKQIRSRQEALQLQEWASEHCSAPFRAPELWDCPSHADIDERTDVWSLGCTLFAIMYGVSPFEYALEETDGNLQSAIVNAQFKWPAGPKPAFPDALHQFVSWMLQPQAAVRPHVDDILIHVDKLIAKFSQ >OIW18646 pep chromosome:LupAngTanjil_v1.0:LG01:21867161:21869116:1 gene:TanjilG_13398 transcript:OIW18646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRCSNSLIGTLNFLTFLLSIPVLFTGIWLSKQAHTECDRWLERPIIAFGVFLLLISLAGLIGACCHVRWLLWLYLVVMFMFILLLSAFSIFVFVVTIKGDGEELSGKGYKEYRLGNYSIMLQNRVNNPVAWNRMKSCLQSSQICFQFQKQYVKDNVHQFYNEKLSALQSGCCKPSNDCGFTYQSPTRWMKTGNVTHSNPDCDAWSNDPNIMCFNCQSCKAALLQNTKTDWRNVSIVNAIILIFLSIVYSIGCCAFRNIKKDNWKGY >OIW17783 pep chromosome:LupAngTanjil_v1.0:LG01:34362150:34364245:1 gene:TanjilG_06468 transcript:OIW17783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMNRESWAFVFGLIGNIISFMVFLSPIPTFYQIYKKKTSEGYQSLPYVVALLSAMLWIYYALVKKDAALLLITINTFGIVVESSYLALFLFYASKKARLSTIKLLLLLNVFGYGAMLLATLFLSKGRTRLAIIGWICLVFNISVFAAPLFIMKKVITTRSVEYMPFTLSFFLTINAVMWFFYGLFLRDYYIALPNTLGFLFGIVQMVLYLMYRNATPVALENPMKVQELNDHIVEVVKVQDGAKGGAIDKV >OIW19553 pep chromosome:LupAngTanjil_v1.0:LG01:1707443:1709397:-1 gene:TanjilG_07008 transcript:OIW19553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATYFLSTSNHFLVPSSSPSLSLAKATPTYFYYPIKCSVKRQLTISKVVNQSAIHTLSVTKRALSISIFTSFMLSLSGKGFFDANAAILEADDDVELLEKVKKDRKKRLERQGVISSSTKETGYLQDVVYKLSKVGEAIENNDLPKAGSVFGKGTDTDWVQKATTALAKLSSSPEEKTEVDAFNSSLASLISSVAQNDVESSKVAFVSSASAFEKWISLTGLVAQLKGL >OIW18522 pep chromosome:LupAngTanjil_v1.0:LG01:20804668:20805000:1 gene:TanjilG_13274 transcript:OIW18522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTYIFWDMILVLLVPATDHAYESSPRNPFIEQTSTSNKANVGAVESWQNQPYVYENTPTRGKNTTSNGASRGFWLIPHTFPSHVFPRNNTNAPVEWSTASNESLFSIYM >OIW19126 pep chromosome:LupAngTanjil_v1.0:LG01:11755773:11760111:1 gene:TanjilG_03616 transcript:OIW19126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNVFRISSIPALACDTSICDICDLKFHLTLKLQVLSDEARFWGAFGFYAILTSAYLVSGLSGGFMTFFTSISMLTAWISFCLSVKSFGRLSLRTTMSYIIPLIPCVAYGVYFGGFLSQFVIEKMGMMGSLPPPYGYYVPDVIVASLVGILTGWCVGPLMPICGGWLARLSILQFLLHFTVFSLAISCQFFPYATSAPKRVVFQHTIHTAGSSQIMESTYDFSVTDSNSLLFLFQHAPEVAKELNVTSDFSIESALVSQRQDWIAIFPVSFLFSNSVKFSANKDDILKQYEFFPKLFVQNPNLNYEKGSRRVHLELHLGSLEEIWVTVLNITGPLSNWSFADNVLPGTETYGGGPQSFICRLSGPSDSNWTFWLEANSSEALRVDLAVLDQKLVGPAKRLKGLFPDWVDVTAYSSFMSSYIF >OIW17673 pep chromosome:LupAngTanjil_v1.0:LG01:35312302:35314419:-1 gene:TanjilG_29023 transcript:OIW17673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLPFEEFQGKGVFDFCSGATSDSFSLLLRQQQQEQKWSIEKEDYCYVGTEPTSVLESRRSPNPSLSSSTMSSSLGSNNTVAATTLSENLPHTSLETSTEKCGMRVEDWEGQDQCIMRLIMGDVEDPSAGLSKLFQTDGFGSQNVDFNGGFGVVDQGLNMVSVVDPYVQGNYLGFPFIENIDGHNAKTGSSSVSESILVSANNPLLVLSPPGVFNSQQHQPVVGVADEKPQLINPRLMFNQSQVPFSENPSLFMPLTYPQMREQEAFSQHKAKRPLSDTVGHDTYQVPRLPRFDFGQELLARRQQTQHPFFPHQHLQQQLQSLVVPSAKQEKVNSTGDDASNQLQQSIFDQLYKTAELIEAGNPVLAQGILARLNHQLSPVGKPFQRATFYMKEALQLLLNSNIHNFFAFSPVSFIFKIGAYKSFSEISPFLHFVNFTCNQALIEAMERFDRIHVIDFDIGFGEQWSSFMQELALRNNGATSLKVTAIVTPSTCDDVELNFIRENLNQYAKDINMSFELNVLSIESLNSPCPLAGQFFDNEAIAVNLPLSSFTNYPSLVPSVLRFVKQLRPKVVVTLDRNCDRIDVPFSTNVLHALQCYSALLESLDVVNVSPDVLQTIERHFVQPAIKKIVLGHHHSQEKLPPWKNLFLQTGFSPFTFSNFTEAQAECLVQKAPVRGFQVERRNSSLVLCWQRKELISVSTWRC >OIW18725 pep chromosome:LupAngTanjil_v1.0:LG01:22523006:22526284:1 gene:TanjilG_13477 transcript:OIW18725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSLSILQSAWEEILEHSLFGVPLNISFTSKNGALILRATSFNKRESESANLLSNGSTKNSSSNRLRDFRPENVIPERNFSFVQDNENMDLNSPARKSDEFNKKPVPVLSLPETVVFSSPRPVSELDAAATKLQKVYKSYRTRRNLADCAVVVEELWWKALDFAALKRSSVSFFDVQKQETAMSRWARARTRAAKIDPRHRYGHNLHMYYDIWFESQSNQPFFYWLDIGDGKEINLEKCPRSILQRQCIKYLAPNERVEYEVVVENGKLVFKQGGRLVDTDDKSKWIFVLSTTRALYVGRKQKGKFQHSSFLAGGATTAAGRLVAHQGVLEAIWPYSGHYHPTEENFKEFISFLEEHKVDLSNVKRYAIDGDTPSLIETNSFTATNESQQIKTSITVNNNVIDKEGADKKVEVPPTFNLSKKLSRKWCSGAGPRIGCVRDYPEHLQTRALEQVNLSPRPTSAKLTNYGPIPSPRPSPKVRMSPRLAYMGLPSPRNLIPASS >OIW17761 pep chromosome:LupAngTanjil_v1.0:LG01:34639244:34640089:1 gene:TanjilG_06446 transcript:OIW17761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGRGGCCIARYATGGAYYDMCEWDKIMLRFRPIAPKPVAGKSPTASGGSSSEGNDAVRTKRKYARSVKDNNNNKRQIRRRNTTSSPEKQNHAPVVTLPLLPETPDLKDLTIPETKKVQNNTNKNMPAWNLSHAKIETELKPFWYYGTTVSYSCVTVESVTDTWQEGESLGSTDEDRRVNLSKDTCPGFISDGYGRVTWTNRAYRETVGEGACVLLGMKKNVSVAVTNPLSFTCKVSVVCYDTCGKERRSLMLPCDVWSMDFGGFAWRLDLKAALSLSLAP >OIW18363 pep chromosome:LupAngTanjil_v1.0:LG01:23675298:23675537:-1 gene:TanjilG_31503 transcript:OIW18363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKGNNSYGTSWADQWDNGPDPRMVGHDKNNNNKYKQKIGEGFDKTKSVASTGVKKLKDGTSVGFNWIKTKCSNIKQKN >OIW19018 pep chromosome:LupAngTanjil_v1.0:LG01:17449804:17451528:1 gene:TanjilG_10579 transcript:OIW19018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDKRKRGRKPKAATNEESNSQGFIASKNDVVSIPTEADASDPDSQGGHCSQPKKIKRKEKLEPDAEVLPEHQSTLLAEQNGDCALTGSGSAVVQPLMLKWENEVKVVPSMDAVVKVFCVHTEPNFSLPWQRKRQYSSSSSGFIVGRRRVLTNAHSVEHHTQVKLKKRGSDTKYLATVLAIGTECDIALLTVSDDEFWEGVSPVEFGDLPALQDSVTVVGYPIGGDTISVTSGVVSRMEILSYVHGSTELLGLQIDAAINSGNSGGPAFNDKGKCVGIAFQSLKHEDAENIGYVIPTPVIEHFIKDYEKNGAYTGFPVLGVEWQKMENPDLRMSIGMAPDQKGVRIRRIEPTAPESNVLKPSDVILSFDGVNIANDGTVPFRHGERIGFSYLVSQKYTGDRALVKVLRNSEILKFNIKLATHKRLIPAHIKGRPPSYYIVAGFVFTAVSVPYLRSEYGKEYEFDAPVKILEKHLHAMAQSVDEQLVVISQVLVSDINIGYEEIVNTQVLAFNGKHVKNLKDLASMVENCKDEYMKFDLEYQQIVVLKTGAAKSSTLDILRTHCIPSAMSDDLKA >OIW19434 pep chromosome:LupAngTanjil_v1.0:LG01:2732536:2733021:-1 gene:TanjilG_09454 transcript:OIW19434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFHQPNTSLHLRQPDENNSLNLDLLLDPSSSSSSSPPTSAMELRIFSCNYCQRKFYSSQALGGHQNAHKLERTLAKKSRELSSAMQTYRGGQEQRPNFSANSHQQHHLGRALLVMENQGQVMRREFSYGSKEGVPSWSRGYTNENNVQEDVSQLDLSLRL >OIW17729 pep chromosome:LupAngTanjil_v1.0:LG01:34874510:34878738:-1 gene:TanjilG_29079 transcript:OIW17729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFNLSSPTEVKSLFFTDSFKPNTLFTAKFPGSFALKRKDFGATTGRRICCSAQAPPPPAWPGRAVPEVSRKTWEGPKPISIVGSTGSIGTQTLDIVAENPDKFKVVALAAGSNITLLADQIKRFKPQLVSVKDESLIAELEEALNGVEQKPEIIPGEQGIIEVARHPDAVCVVTGIVGCAGLKPTVAAIEAGKDIALANKETLIAGGPFVLPLAKKHNIKILPADSEHSAIFQGLPEGALRKIILTASGGSFRDWPVEKLKDVKVADALKHPNWSMGKKITVDSATLFNKGLEVIEAHYLFGADYDDIDIVIHAQSIIHSMIETQDSSVLAQLGWPDMRLPILYTLSWPDRVHCSEVTWPRLDLCKLGSLTFKAPDNVKYPSMNLAYAAGRAGGTMTGVLSAANEKAVELFIDEKISYLDIFKVVELTCEKHQNELVTSPSLEEIVHYDQWARKYAASLQSSSSSTPVPA >OIW18254 pep chromosome:LupAngTanjil_v1.0:LG01:25128789:25131753:1 gene:TanjilG_20309 transcript:OIW18254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQDSSYKVDTLEKSSDIEDRISELPNSIICYIFTFLNLKEVIRTKALSDTWKDRSMDMSILVFDPTVINIDLDDDVSLESIPLPQKYQFIERVDQLLEIFTINQSVSFTIWFPMGKQFTSHIDKWVNRAIEKECEKLDLEFKFARIDDEPYDFPFHILLSSEGVVVPVKSNPLFRRFEEFRKRRNGSTLKLEGTLSQDSPKKEGGNSQTTHENETETHDMDNKKEKEQVKEEIMIVRVISIEKFSRVVPLSNTECKCKCKTDKEKEEKEINTEQDNQETVFHVHAVAEVHEENNTIEKDKQEVDAKSDNEKSDDEDNDDDDERDDNGRLVYPRSPSFRIYCIETESKKEEEEKESKNESIGCKNESIVVQKKLASADSIHSAASRTSRNSNEVIENVEIESTQKRKGDKMKKFRAVRTLMKVKSCYHPMSSCTGDHRSRLLVAKTN >OIW18813 pep chromosome:LupAngTanjil_v1.0:LG01:20125532:20129603:-1 gene:TanjilG_25256 transcript:OIW18813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEIQQEDNKDDKKALARTLFTNCDMNGSAWSMCSLMTALPYFPTSHSHSHSSQNPNEFSTPTNHFESSGMACFDNTCSNCFSAIDEWLQHASNNFCHPSNFGIKHHSESFDSRRNEGSEEDLRMSAVLNTFGMVDEDELRNITLPSVREESPVFQGHTRLRCIYEGSRYGTNNERKILIRRAKGDDDELLDELRRNKQEAKRDARRKRKHVQVMQKMKRKEAAINDWQLHQTRKAMGELDKLQNKLQKKLLMASARTQKKIWQMKVMTFSVGITKPNLLFGLPFSCVRRITGFHYSVANKTFTCSSIAQPTANFDSGRVRRRKGGSSSLYIHPSLPEMRKDMLATRKKVYEFLISVGIVPDELDGLELPVTIEVMTERVEFLHNLGLTVEDINNYPLVLGCSVKKNMVPVLDYLGKLGVRKSTFTQFLRRYPQVLHASVVVDLVPVVKYLQGMDIRPEDIPRVLERYPEVLGFKLEGTMSTSVAYLVGVGVARREIGGVLTRYPEILGMRVGRIIKPFVEFLQNLGIPRLAIARLIEQRPYILGFGLDEKVKPNVESLEEFNVRRTSLPSIIAQYPDIIGIDLKPKLVNQRSLLNSVLDLDPEDFGRIVEKMPQVVSLSSEPMLKHVDFLKECEFSLQQMRQMIVGCPQLLALNIDIMKLSFDYFKVEMKRPMEDLVTFPAFFTYGLESTVKPRQKMVTKKGLKCSLAWMLNCSDEKFQQRMDYDTIDMEEMEMEPSFDMNSLMQPRSYDESGSDYEDSDDDID >OIW18051 pep chromosome:LupAngTanjil_v1.0:LG01:30299212:30299466:-1 gene:TanjilG_07542 transcript:OIW18051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSKQKKNRNVNSDRFLISINVLGSAGPIRFVVIEKDLVTEVIDTTLKLYAREGRQPVLVNDINGLYLYSTHAEFHGNFQFET >OIW19724 pep chromosome:LupAngTanjil_v1.0:LG01:1380553:1386917:-1 gene:TanjilG_18534 transcript:OIW19724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSITNWEADKMLDVYIYNYLVKRQLHTSASVFQAEANVPTEFVAIDAPNSFLFEWWSVFWDIFIGRTDQKHSEAAAFYIKSQQSKAQEMQKRQEHQSPIPNQNQQMQMQLLLQRHAQQHQQRSDGTPLVSGNTSSLISNDPLMRPNLAASNAMATKMYEDRLKPPLQRDVLSDSTIKHGVGRNVGQLLEPNHASLLKAVEATTSGQATRQTLLAALSILQGNVQVQNQNPLFPGYTQGITSDTSAMMRSQAVDSEGSYIAGHGSNQGGSNLTLKGWPLAGLDQLRSGLFHQNNLMQSSQAFNQLSPQQQLMLQAQQNSFPPSVSDFESRRLRALLNKNIGLGKDGRSNFLGDLISNVGTPSQAGSPMLPHLDSDMFLKQQDQKSNQQLQHFSQNTLSRQQFQRLQRHEKVGSGNIIDGCMSSTFQGNDQVFTLLPASKSQVGWKRKQATSSGPANSSGIANTTGPSASSPSTPSTQTPGDVMSVPTLQQNVPSSKSSLMSATDGLGSHASAQNQLDDMDHLAGEGSLSDNVESFLSPDDAEPRDRVGEGFSFKEIKHIMASTHKVECCHFSSDGKLFATGGQDKKANLWCTESFNMKSTLEEHNQSITDVRFSPSMLHVGTSSADKTVRVWDVDNPGYSLRTFTGHATTVMSLDFHPSKEDLICSCDNSEIRYWSIKNGSTAGVFKGGVTQMRFQPGLGRLLAAAVDNLISILDVETLGCILKLQGHNNNVRSVCWDSSGKHLASLSDDLVRVWTVGSGSKGECIHELNATSANKFITCVFHPFYPLLVIGCHETLVLWNFGENKTMSLHAHDKVVSALAVSNVNGLVASASHDKHFKIWK >OIW17093 pep chromosome:LupAngTanjil_v1.0:LG02:5500082:5503641:1 gene:TanjilG_20197 transcript:OIW17093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNWLGFSLTPHLRIDEDFGRENEDHGRGPYPVMPLHSDGSLCVADSFRHHHSAPPQEWRYDNGIGGGNSSEEGPKLEDFLGCYSNSTIAETKVFCQQNTTQHDQNQNNISKINETMASTFSTNNNTEIETEENNLTNQSSMVQSFHAYNNNPHALITNNSMYKSWLSQTQFSDTKQPSSVEAKNGCNFQSLNLTMSPTVQNKVGAISPLQVNDDSRKRSIVKTQVREPVPRKSIDTFGQRTSQYRGVTRHRWTGRYEAHLWDNSCRKEGQTRKGRQGGYDKEEKAARAYDLAALKYWGPTTHINFPVRFLHSPILGTLSTYEKEIEEMKNMTRQEFVANLRRKSSGFSRGASVYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGTSAVTNFDISRYDVKRISSSSTLIAGDLAKRSPKDSAPAAVAGTEDFNPCGSSTPQPPPPLAISDAEHSDELSNMVWNANNDDQALNESGNTNNISQSSQQVSPSNKNVLNLHSPKCSLGLPNEFGVSGADYGHGYFTIQEPKCDDDDGNNENDNMNNNHVGNLGLVNEVPMFALWNE >OIW16855 pep chromosome:LupAngTanjil_v1.0:LG02:13254525:13255208:-1 gene:TanjilG_31256 transcript:OIW16855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEAEVSEITSLFERLVRNRDMSLFLPFIFGFSESSSRENSDGPDQETEDTEGSQSQRIILVNPFTQGMVVIDGASSLENLFHELGSSKSGHPPASKDSIEAMDNVEIRESDDLGECVVCLEEFEVGGVAKVMPCKHKFHSNCIEKWLGVHGNCPVCRYEMPVEEKDGGRKSEEERGERRRIGGGEVWVSFSVNRGSRRSQDANQAPSRDSSDNSSSPSGDAEVEN >OIW16614 pep chromosome:LupAngTanjil_v1.0:LG02:19576659:19577086:1 gene:TanjilG_01853 transcript:OIW16614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSMEKSDAYRKGAASSKKFDGGAPGGGPGGGGGGPRGPPRGGLDNVRGLDSIRGRDHSSLPACGSCCG >OIW17415 pep chromosome:LupAngTanjil_v1.0:LG02:1726065:1728960:1 gene:TanjilG_22527 transcript:OIW17415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIWDDHQGRCIGELSFRTVVHGVRLRRDRIIVIVEQKIFVYNFADLKLLQQIETIANPKGLCEVSQGSDSLVVACPGLHKGQIRVEHYAMKKTRFISAHDSRIACFALTLDGLLIATASTKGTLVRIFNTDNGTLLQEVRRGANVAEIFSVAFSSTAQWLAVSSDKGTVHVFGLKVTSSVPEHEKPQSSSNSDAAINPSNSPLSFIKFKGVLPKYFNSEWSVAQFHLQEGSHYTVAFGLQKNTVVILGMDGSFYRCQFDPEHGGEMIQLEHHNFLKPETVL >OIW16156 pep chromosome:LupAngTanjil_v1.0:LG02:22341125:22346945:1 gene:TanjilG_18871 transcript:OIW16156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHLELNTQTGTNAIGEENGHIDVGYPKTTTVACIINAEIGAVLAVMRRNVRWGVHYMSDDDQLEHSLVQSLKSLRRQIFSWQNQWHGISPALYLQPFLDVIQSEETGAPITGVALSSVYKILTLDVIDQNTVKVGDAMHLVVDAVTSCRFEVTDPGSEEVVLMKILQVLLACVKSKASLMLSNHHICTIVNTCFRIVHQAGTKGELLQRIARYTMHELVRCIFSHLQDINRTEHPLVNGSSTLKQETGGLNNEQNSASIQLENGSLNSESDGEPLSTGILSSTVSVVTAAVVDENTAIASNGKETDLLELQTTTGPYGIPCMVEIFHFLCSLLNVVEHMGMNPRSNTIAFDEDVPLFALTLINSAIELGGPSFQLHPRLLSLIQDELFHNLMQFGLSMSPLVLSMVCSIVLNLYHHLRTELKLQLEAFFSCVILRLAQSKYGASFQQQEVAMEALVDFCRQKTFTLEMYANFDCDLTCSNVFEDIANLLSKSAFPVNSPLSSMHVLALDGLIAVIQGIAERIGNGSLSSSENFSVNLEEYTSFWLEKCENFSDPNNWVPFVCRRKYFKKRLMIGSDHFNRDIKKGLEFLQRTHLLPEKLDPQSVAWFFRYTAGLDKNLIGDYLGNHDEFCVQVLHEFARTFDFKDMTLDNALRLFLETFRLPGESQKIQRVLEAFSERYYEQLPDILANKDAALLLSYSIIMLNTDRHNAQVKKKMTEEDFIRNNRRINGGNDLPREYLSDLYHSICKNEIRTTREQGSGFPEMTSSRWIYLLHNSKISAPFIVSDSRAYLDYDMFSMLSGPTIAAISVVFDNAENEEVYQTCMDGFLAVAKISAHYNLENVLDDLVVSLCKFITTLDPLSVEESILAFADDTKARMATETVFTIANMHGDYIRTGWRNILDCIIRLHKLGLLPARMATDAAEQSELSTETGHGKQNTSVSSTYLPSVSTPKRSLGLMSRFSQLLYLGAEEPRSVPTEEELAAQQCALQTIQKCHIDSIFTKSKFLQPESLLQLSRALIGAGDRPKKGYRTSEDEDTSVFCLELLVATALNNRDRIELLWQGLYEHISNIVQSTVIPCALVEKAVFGLLRICHRLLPYKENITEELLRSLQLVLKLDARVADEYYEQITQEVNHLLKANASHIRSQLGWRTITSLLSITARHLEASEAGFDALLFIMSDGAHLLPANYVLCVDAAKQFAESRVGEVERSVMALDLMAGSVTCLKKWTSDAKQALKEEEVAKMLQDIGDMWLRLVHGLSKLCLDQREEVRNHALLSLHNFLTGSVGIHLPHDLWLQCFDQVIFTVVDELLEISQTRSQKDYRNMEGTLVLALKLLCKVFLQLLQDLSQLTDFSKLWLGVLSRLEIYMKIKIRGRRSEKIQELVPELLKNTLLVMKAGVILVRSSSVDGNSLWELTWQHIDNIAPSLQSEVFPEQDSEDLQHKQSEIVSSLGPHENISVPSNGTAVQDGGAGVG >OIW16320 pep chromosome:LupAngTanjil_v1.0:LG02:23739368:23741045:-1 gene:TanjilG_19036 transcript:OIW16320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVDTSYNVLLWALFPLIALVSFSFLWHKQCCNKEKRKLPPGAMGFPFIGETKEFFNAQSRNQLFEEFVQPRIQKHGKIFKTRIMGSPTVVVNGAEANKFILSNEFKLVKSSWPYSTVQLMGSESIMEKDSDKHRYLRGVIGTTLGYAGLEVLVPKICSSVQLYLATNWKGEENVSLYRSTKVLTFRIVFECLLGINVEQGMLDTFERVLEGVFSPAIMFPGSKFWRAKKARVEIEKMLTKVVKEKKKEMEMEGNLLREKDGIMLLSKLVQGMMQGEISEKEVIDNVVLLVFAAHDTTSFAIAMTFKMLGQYPHCYSKLLQEHVEIMNNKKHGENLTMDDIKKMKYTWQVARESMRMFPPIFGSFRKAITDIEYEGLTIPKGWKVLWTTYGTHYNEEYFKDPLSFNPSRFEEAITPYAFVPFGGGPRLCAGYQLAKLNILIFVHYVVTQYHWFLLHPEEPVTMDPLPYPSLGMPIKISSKYA >OIW17030 pep chromosome:LupAngTanjil_v1.0:LG02:6884947:6887814:-1 gene:TanjilG_13849 transcript:OIW17030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPIFVGNLDFESRLSDVERLFRRYGKVDRVDLKPGFAFIFMEDERDADYAIRRLDRTEFGRKGRQIRVEWSKQERDSRRPGGDSKKSSTNTRPSKTLFVINFDPVHTRTRDLERHFDTYGKISNIRIRRNFAFIQFETQEDATRALDATNLSNFLDRVITVEYSIKDDDRRNGYSPDRRGRDSPDGRYDRRRSPSPYRRGRGSPDYGHGSDPASRPEPRGSPKYEQAESPMTGRYDSPHHPEIGHDPEEKLNVVV >OIW16600 pep chromosome:LupAngTanjil_v1.0:LG02:20086978:20089370:1 gene:TanjilG_02806 transcript:OIW16600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLAYSSILLTLFLTLSLFHSSLAARKLSESDQELQLFKYHKGPLLSGKISINLIWYGKFKPSQRAIISDFITSLSSSPSKPTSQPSVAKWWKTTEKYYHLTTTRNNKSPNLALSLGTQILDENYSLGKSLNDNQILKLASKGAQKNAINVVLTAADVTVDGFCSSKCGSHGSSAGARINGKSLAARKLSESDQELQLFKYHKGPLLSGKISINLIWYGKFKPSQRAIISDFITSLSSSPSKPTSQPSVAKWWKTTEKYYHLTTTRNNKSPNLALSLGTQILDENYSLGKSLNDNQILKLASKGAQKNAINVVLTAADVTVDGFCSSKCGSHGSSAGARINGKRYKFAYIWVGNSETQCPGQCAWPFHQPIYGPQNPALVAPNNDVGLDGMVINVASLLAGTATNPFGNGYFQGPKEAPLEAASACTGVYGKGAYPGYAGNLLVDPTTGASYNANGINGRKYLVPALFDPKTSSCATLV >OIW16158 pep chromosome:LupAngTanjil_v1.0:LG02:22360369:22365078:-1 gene:TanjilG_18873 transcript:OIW16158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSHFLQTPIFLKITAFFFVAVTFFFFGKQWSQSDGYHHLIFFTTSTTVSSPQVFISPNFNLSFNNSALIAQIQTLEPPQPSVSPPPPPPVDLVKKFGIVNENGTMSEEFEVGEFDPDMVDSESDNETRIENSAMPNAQNFAIKRFRVCPSAMSEYIPCLDNAEAIRNLESTERGERFERHCPSQGKGLDCVVPPPKGYRSPIPWPKSRDEVWYNNVPHTRLVDDKGGQNWISKDKDKFKFPGGGTQFIHGADQYLDHISKMVPEITFGQHVRVALDVGCGVASFGAYLLSRNVITMSVAPKDVHENQIQFALERGVPAMVAAFATRRLLYPSQAFDLIHCSRCRINWTRDDGILLLEVNRILRAGGYFVWAAQPVYKHEEALEEQWEEMVNLTTRLCWKFLKKDGYVAIWQKPSDNSCYVNREAGIKPPLCDPSDDPDNIWYVNLKACISPLPENGYGANVTRWPERLQTPSDRLQSIKIDAFISRKELFKAESKYWNEIIEGYVRALHWKKMKLRNVLDMRAGFGGFAAALIDHKLDSWVMNVVPVSGPNTLPVIFDRGLIGVMHDWCEPFDTYPRTYDLLHAANLLSVEKKRCNVSSIMLEMDRILRPGGRAYIRDSLAIMDELVEIGKAMGWQVTLRDTAEGPHASYRVLVCDKHLLRV >OIW17540 pep chromosome:LupAngTanjil_v1.0:LG02:2962092:2964711:1 gene:TanjilG_22652 transcript:OIW17540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAIGKTFCELVSIIKILIPWRGEPENMSRDDDLVADQISKQCGFSMNRSNDEDEYNVHQSGSETRHQVNSYYAQVDSDGITETDDSDKVLHPGREENAKVSPKKHGFDTHNLKGTQTIGKNEDEPDICDENEVAPSVYVDFENNGLLWLPPEPEDEEDERETILFDDDDDDDYGNVTEEYHYRDRLGKDHNKFMKDAFNGHFRALITQHLEVENLLVKDNDKNSWLEIITALSWEVATLLKPDMSRGGGMDPASYVKIKCIACGSRIERQVL >OIW16436 pep chromosome:LupAngTanjil_v1.0:LG02:24520819:24522968:-1 gene:TanjilG_19152 transcript:OIW16436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDVIIEAKPQVMTPNSKRTGLIAVKCGMSALWDKWGARVPITVLWVDDNIVSQVKTPDKEGFCALQIGCGQKKEKQLSNAVVGHFRAQGVPLKRKLREFPVTQDALLPVGTSLNVRHFVPGQYVDISGITKGKGFQGVMKRHGFKGMPASHGTSRSHRQGGSTGQRDAPGKVFKGVKMPGRMGGEQRTVKNVWVYKIDPARNLMWVKGQVPGATGNFVFIKDAVYEKPDISLLPFPTYFVPEDEDTENLEPLVADLGEVDPFMVTD >OIW17586 pep chromosome:LupAngTanjil_v1.0:LG02:26625:28845:1 gene:TanjilG_08864 transcript:OIW17586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWFSCFDPRDDEKLNSHPHQKQQQIHHHHLRKHHPPIPSHISKLPSGADKLRWRSNGGSKKEAPNTIHIPGVQIAAQTFTFRELAAATKNFRPESFLGEGGFGSVYKGRLESSSQTVAVKQLDRNGLQGNREFLVEVLMLSLLHHPNLVNLIGYCADGDQRLLVYEFMPMGSLEDHLHDLLPDKEPLDWNTRMKIAAGAAKGLEYLHDKANPPVIYRDFKSSNILLDEGFHPKLSDFGLAKLGPVGDKSHVSTRVMGTYGYCAPEYAMTGQLTVKSDVYSFGVVLLELITGRKAIDCTQSHGEQNLVTWARPLFNDRRKFSKLADPKLQGRFPMRGLYQALAVASMCIQESAATRPLIGDVVTALSYLANQAYDTKGSGGDDKRNKDDKGGRILKNDEAGGSGHRLDLEGSEKDESPRETARMSDRERAVAEAKLWGEKLREKRRQNALPGSFDGSNA >OIW16757 pep chromosome:LupAngTanjil_v1.0:LG02:16142958:16144499:1 gene:TanjilG_06938 transcript:OIW16757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVTRPLSMYKRNPAALSQAPPPGPNSGYLVIFDEEAETYNCFGLCKDYIIRDLPFPQNKNLTVNYSSHQNKNSTVNHSSDALFIPVLDQPLSSNCYYVIRRKGKYKGKAITSSREDDMETCLCCSFAQDVKPKALDVSDEYQQVEIIKRNHGFHAKSVAADGIPPEFLRRKGWKIHSHTNYNYHLDAASGLNESLRAQLPDFNFPLSNNQSESVIVGKWYSPFMFVKEGMRLKDQMKKSVFYELTLEQRWEKVFSKENSSNGEVAVFVDVVIETDVAKVAGSDAVCEENDVQDRVLWFKSFDEKGAEISVGLSLEIVERMKWEQERVGWIGGNDKQVRLERVEEFGGNNMWKKFSCYVLVESFVLKRMDGKLVLTYDYRHTHQIRCKWE >OIW16511 pep chromosome:LupAngTanjil_v1.0:LG02:21016546:21016878:-1 gene:TanjilG_32181 transcript:OIW16511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLLMMPFAPATGRVFAATKGSAGTKGSAGTKGSAGTKGSAGSGKEEKGLVDWIIGGLVKEDQLLETDPILQGVEEKKGGGGTISGRKNSVAVPQKKKGGGFGGLFAKN >OIW16349 pep chromosome:LupAngTanjil_v1.0:LG02:23953102:23953365:1 gene:TanjilG_19065 transcript:OIW16349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCIRHQSSTSEVDGSSSPTNHKTTTTEVKIKITKKQLEELLVKMDMKELRVEQVLDHLMEIAHNSEYNSLHQPWKPALQSIPEVN >OIW16993 pep chromosome:LupAngTanjil_v1.0:LG02:9282650:9288873:-1 gene:TanjilG_32860 transcript:OIW16993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPSNSTDSLILPVSDPPKDEEEVSLIGDKFFKPTDHKFFKPTTSAVTTRRHVYAAISYMSCAVLLVMFNKAALSSYNFPSANFITLLQMVCSCFFLYVLRRWRIISFTASESLIIPDNSTRFVSLKTLKHTFPLAAAYLLYMLVTMESVRGVNVPMYTTLRRTTVVFTMLVEFVLVGQRYTPSVIFSVGLIVFGALIAGARDLSFDAYGYAVVFMANITTAIYLATIARIGKTSGLNSFGLMWCNGIICGPVLFIWTLIRGDLKMTFNFPDLFTPGFIVVLICSCILAFFLNYSIFLNTTLNSALTQTICGNLKDLFTIGLGWIIFGGLPFDFWNVVGQSLGFAGSGLYAYYKLVGK >OIW16274 pep chromosome:LupAngTanjil_v1.0:LG02:23357137:23359746:-1 gene:TanjilG_18989 transcript:OIW16274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLKDALTKTNHSRSLFSSISTLLFSSSSSSSSYYSVSPSFTQNVVADSFKTWFKSSTTRDPLLHRISHIISSTDEFSSALSSLPLPPLTEPFVLSVLRHLSSSATSHRHVLSSLKFFDWAGHQPGYHHSRATFTAIFRILSNANLMPLILDFLHTFRKRRYAHRVRFNDTLVIGYAIAGKPEIALHVFGKMRFQGLDLDTFGYHVLLNALVEQNYFNAFEVIAKQIRLRGYENHVTDAIVIKSLCKQGRLDDAEAYFNGLVSSEKELDGSEVSVLVSAFCQNNRFDKAIRFVREFGEMGLIPLDNAYGVWIRGLVCGGRLDEALQFFWQKKDEEGYVPGSVRYNILIWRLLRENRLRDVYDLLMDMNETSTPPDMVTMNAVMCFFCKAGMVDVALELYNSRSQFGLSPNHMAYKYLILTLCWDGCVKEAYSVLKSSVGQGYFPDKRTFSTLASALCRECKIDEMKELLHLALGRNIMPNSSTYDKFISALCRAGRVEDGYLMHGELNNETARMSYYTKMIMGFKKLKRGDIAARLLVEMKGKGYILTRPLFRAVLCCLLEMDNPNAKFFNLLEMLSRHGNHCQVYNCFIEGAGLSKKADMARQVFELMQRNGVEPDMSSQVLMLKSYLKSESISEALTFFHNLRCQGIVSRKLFNTLIVGLCKSNKVDIAREFLFEMIKAELNPSIECYEVLVQQLCSSQRYRDAIHVVNLYEKMGRRLTSFIGNVLLYHSLISRELYDACAQLRGVGDGEFSGSSMLTLIIGAFSGHLRVNHFIEDLEELISKCFPLDIYTYNLLLRKASHGDMDQAFELFGRMCQRGYEPNWWTYDVMVHGFSKHGRQNEAKRWVEEMSHKGLYPKESTRRRTFI >OIW16564 pep chromosome:LupAngTanjil_v1.0:LG02:20475468:20487783:-1 gene:TanjilG_17737 transcript:OIW16564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISQFFILSQRGDNIVFRDYRGEVQKGSAEIFFRKVKFWEDGGLEEAPPVFNVDGVNYFHVKVVGLLFVATTRVNISPSFVLELLQRIARVTKDYLGVLNEDSLRKNFVLVYELLDEVIDFGYVQTTSTELLKSYVFNEPLVVDAARLPALGPASIFAQGTKRMPGIAVTKSVVATEPGGRKREEIFVDIIEKISITFSSSGYILTSEIDGTIQMKSYLTGNPEIRLALNEDLAIGSGQGPVYGPVILDDCNFHESVCLDSFDMDRTLSLIPPDGEFPVMNYRMTQEFRPPFRVTALIEEAGSLKAEVILKVRAEFASSITANTIKVQMPLPQFTTRVSFELEPGSVGQTTDFKEANKKLDATKQIVGGYEHTLRAKLTFSQESHGNLTKEAGPVSMTFTIPMYNASRLQVKYLQIAKKFGTHEPHRWVRYVTQANSYVARM >OIW16753 pep chromosome:LupAngTanjil_v1.0:LG02:16006569:16007042:-1 gene:TanjilG_06934 transcript:OIW16753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLQSDQLNQLHEIFNRFDMDGDGSLTHLELAALLRSLGIKPATGDQLHALLSKMDHNGNGYIEFDELVHVMPNMNEQVLMNQGELQELFEYFDRDGNGYITAAELSRAMAKMGHHLTYRELAGMITEADSNGDGVISFNEFATIMAKSASDFFGS >OIW16106 pep chromosome:LupAngTanjil_v1.0:LG02:21822671:21824784:-1 gene:TanjilG_18821 transcript:OIW16106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPNSSRLSLAMERTGQWVFSQDMPSDIIVKVGEASYSLHKFMLVAKSNYIRKLLIDSEENELTRIDLSDIPGGAEAFEKAAKFCYSVNFEITVHNVAALHCVAVFLQMTEEHCHGNLAARTEDFLSQVALSSLSGAVTVLNSCRKLLHFADDLNVTNRCVEAISSSACSEAYFPSRSPPNWWTEELTVLDIDSFGKVIAAMKNRNAKYLTLAGAMITYTKHSLRELVQDQSGGGGRIQPPDFSDSNSSLRIQQRELLQSIVALFPSEKAAFPINFLCCLLRCAIYLRSSSNCKRELEKRISDILEHVTVDDLLVLSFTYDGERLLDLDSVRRIISSFVEKEKSTSVFNAGGGDFKDNITSTTMQRVAKTVDKYLAEIASYNELAISKFNGIAILIPKHSRKVDDDLYRAVDIYLKVHHNLDEIEREKVCSVMDPLKLSYEARVHASQNKRLPVQIVLHALYFDQLQLRSGAAEDKKPVPATTRNQQLQTDVSLVRENEELRSELMKMKMYVSDLKKKGHATTSFEKESVKKSNFFSSMSKKLGKLNPFRNGSKDTSNIDDDGVDLTKPRRRRFSVS >OIW16409 pep chromosome:LupAngTanjil_v1.0:LG02:24347761:24350455:-1 gene:TanjilG_19125 transcript:OIW16409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTETFAFQAEINQLLSLIINTFYSNKEIFLRELISNASDALDKIRFESLTDKSKLDAQPELFIHIVPDKTNNSLSIIDSGVGMTKADLVNNLGTIARSGTKEFMEALAAGADVSMIGQFGVGFYSAYLVADKVIVTTKHNDDEQYVWESQAGGSFTVTRDNSGENLGRGTKITLFLKEDQLEYLEERRLKDLVKKHSEFISYPISLWTEKTIEKEISDDEDEEEKKEEEGKVEEVDEEKEKDEKKKKTIKEVSHEWSLVNKQKPIWMRKPEEITKEEYAAFYKSLTNDWEEHLAVKHFSVEGQLEFKAVLFVPKRAPFDLFDTKKKPNNIKLYVRRVFIMDNCEELMPEYLAFVKGIVDSEDLPLNISREMLQQNKILKVIRKNLVKKCLELFFEIAENKEDYTKFYEAFSKNLKLGIHEDSQNKTKLAELLRYHSTKSGDDMTSLKDYVTRMKEGQNDIYYITGESKKAVENSPFLEKLKKKGYEVLYMVDAIDEYAVGQLKEFEGKKLVSATKEGLKLEETEDEKKKQEELKEKFEGLCKVIKDVLGDKVEKVVVSDRVVDSPCCLVTGEYGWTANMERIMKAQALRDSSMAGYMSSKKTMEINPENAIMEELRKRADADKNDKSVKDLVLLLFETALLTSGFSLDEPNTFGNRIHRMLKLGLSIDEDAAEVDADMPPLEDADADAEGSKMEEVD >OIW16847 pep chromosome:LupAngTanjil_v1.0:LG02:12877934:12878814:-1 gene:TanjilG_31248 transcript:OIW16847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTIVPLASDSFSYSWLPNSKSNLEGLEDPHREFVYSSYDGTKSEFNSIKINSDNSVAEHQSFNFDPSITHSPIVLVHADELFYDGHLRPVFYDPFKVESCNTADPVQAKLSYSFSSSTVSPRNVEVHHCFLTKWRKSTRRTFLEFFKYANRLRHKVRHSRKSMRVDDYDKTDWQVKGMSSSQPASPKPIPTHPIGNLHDHENSIYEAVLHCKRSIGK >OIW16112 pep chromosome:LupAngTanjil_v1.0:LG02:21886815:21889404:1 gene:TanjilG_18827 transcript:OIW16112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTCLSKKKNSSPLSPLSGSKSVSIAPSQINNYGHDVTVTKPEVNLKKEKITVQEKLHNQLIQQEIVIIKHMKSHDDSNIERNFKIPPSTSQNNVQPQQNDGSPSASVSASTSSTNKATEKSSMGNNINKIAPFTPNMGVVRTSSCTREEVDAILIRCGRLSRSSSDIVAASSGRRKYSGSKRSFDFDHCDNNETVSAYSDQKRVNDEEHDDGKSSHHQHRERHRQSPKKMGSSSQGRRRKPSCEREQQRSSSLERRVSKSPGRRSSETNASSNNNNNNTGFSRPGKMVHVPATVTSLIMDKSNNGVVFGEYDGVKRVTMKRNGAGEGSRSAASPRSQSPARANGNANQQHSLSRNNSGRKAEQSPYRRNPLSEIDPNSLAYSQSNINNSGNKVQNKTKRENEANQIPNVDMNEDNKNRNSSRVALEKGVSANCKTKEKQEEDINVLSSMASNVVVKNVIPSSIVDNLKQQPKTLTRSRSSRRSRDLDINSESLLNPAQTYTSLLLEDIQNFHQKTTQQQQPLMSLPACLTNACSVVEAVADLNSTTSSNFSDNRRNPPTYQSVRNNEHNHYGKRTQLPSTKDHPFVESEVVVSDHVMEPSLQKYVTVKRGGSLGGGVDMEDRESSGSNNFTVTTTGQNHWRNITSS >OIW16655 pep chromosome:LupAngTanjil_v1.0:LG02:19100506:19104354:1 gene:TanjilG_23157 transcript:OIW16655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSSSSIICVHSGRSSMIKAIATTLEPKSFIVKEDECLGSKDLELRLSHNSHEVMLESSSEESEEPDEKERLRRMRISNANKGQTAWNKGRKHSAETLRKIKERTKLAMQNPKIKMKLAKVRSPQTIETKQKIGAGVKMRCKRKRERKVVQETCCFEWQNLIAETSRRGYVHQEELQWNSYEILNEQLEQEWLASVKEGKQIPRPPCRNRAPKTLEHRRKIAEAIAAKWADPEYARKVCSAKTKLHGIERKPRRPSGAQSRSSNHIVKINANTNINVKSDTNVLNQIKLKKINSPPTYKDPLVSSKFDMIKTVRVQRAAADTELIKAIEQARLLIAEAEKAAKALEVAATKSPIAQASLIETRKLIAEAIQSLESINTQGITVSHVPSVASSEVNNEKDAECNVLNQSQKDVNGHRTLSLSDYKFSKELGNFSLPKLVNGNSELHPTSIDGCASRPVGYNGNIEESRSSNQQRETEQDEGSDQITYSSRTEVRILSIKDETQPRPPIVTKKWVCGRLVEVVKQ >OIW16620 pep chromosome:LupAngTanjil_v1.0:LG02:19473632:19476941:-1 gene:TanjilG_01859 transcript:OIW16620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTRDAVEVAKTVLEVADVAWTAVEFNHHLHPHNPPATADHHCSDNDDFESLRSENRRLRELLEQNLGLLQNLSESSSFLNNCPPDLHDRLVDTVKSDEYLTRLKFLQQESASGGNQFPFKEATEVDYHSADILINVDSQEPSWWVWVTGEPCNVEERSGIDDESYLVISEEHVVDGVANFMARCVLSNPKALKLSPEELQKTLSKALAGTSKLEKVLDIWQAGKLFYALSTWGLALAGLYQSRAALRIAAKGIHSGSKLVLKAL >OIW16441 pep chromosome:LupAngTanjil_v1.0:LG02:24539134:24540504:1 gene:TanjilG_19157 transcript:OIW16441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFDDEKNKQGGDKNEKENEEQYKSEGEPLSRQVSESSFYTTDQDDDEDEATKIELGPQCTLKEHLEKDKDDESLRRWKEQLLGSVDVNNVAEILEPEVKITSLSILSPGRDDIVLPIPEDGNLKGLWFTLKEGSHYRLKFSFQVNNNIVSGLKYTNTVWKTALKVDSAKEMLGTFSPQTEPYTHEMPEEITPSGMFARGTYSAKSKFLDDDNKCYLEINYTFDIRKDWA >OIW16334 pep chromosome:LupAngTanjil_v1.0:LG02:23836165:23838660:1 gene:TanjilG_19050 transcript:OIW16334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSHDMLSLLSDPLLVIIISLLPFNEAVRTSVFSKSWCNNKLFKLTTNIEFNELFFVKSSNPSIKDLQRKAFLDFITFWIDNNKETIIEKFSLKLSMPKNVSELTEKCIAFATHRGGVKQLELDFSDPNWVEYNNVAPYHKFDEPMFELPEHVYEVRGIQTLKLYSCRFVEKDLLKFHALKEVSFGWIEVSIFLINTLLSNCKLLESLSLKRCWNFSEFYVRDKNQKLKKLVVDKCSFQYELFRVVAPNLKFLKYSGMMNYFDIQMCPQVVEADLDFSTEFGFGGNGYRLYNLMEDLYSLKVLTVCSYFLQVIPTGGELLRMERNFNVRHLTIKTEMNKNEYKGIVFMLRSCPMLERLTIELGCARIWPNHVQPFAFSPKNFWTENVRIYECLKSSLEVVEVKGFKGFDKNEIRMLAYFIHCGKVLKKMTINIEKNITLVCNKNSDLNPHRFAEFLLRVPRASSDLEITIC >OIW16909 pep chromosome:LupAngTanjil_v1.0:LG02:10705159:10705356:1 gene:TanjilG_19214 transcript:OIW16909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTIRTVLTIAASNGWSLHQMDVKNAFLHGDLTEDIYMTPPPGLFSSSEGVCKLNRSLQGSSGMV >OIW16913 pep chromosome:LupAngTanjil_v1.0:LG02:10804828:10833965:1 gene:TanjilG_19218 transcript:OIW16913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIPCCSVCQTRYNEEERVPLLLQCGHVFCRECLSRMFSASSDATLTCPRCRHVSTIGNSVQALRKNFAVLALIHSAANAANLDCDYTDDDDASGEVEDDEEKRRRLCGSQTSSSGGCEQVIEVGAHQEMKLVERIGEGRRAGVEMWTAVIGGSVGRRHRVAVKKVMVVEGMDLEWVQGKLENLRRASMWCRNVCTFHGAMKVEESLCLVMDRCYGSVQSEMQRNEGRLTLEQVLRYGADIARGVLELHAAGVVCMNLKPSNLLLNANGHAVVSDYGLATILKKPSCWKARPECDSSKIHSCMECIMLSPHYTAPEAWEPVKKSLNLFWDDAIGISSESDAWSFGCTLVEMCTGSIPWAGLSTEEIYRAVVKAKKLPPQYASVVGGGIPRELWKMIGECLQFKPSKRPTFNAMLAIFLRHLQEIPHSPPPSPDNDFAKGSVSNVTEPSQVPELDVPQENPNHLHRLVSEGDATGVRDLLAKVALENGNNYISSVLETQNADGQTALHLACRRGSAELVEAILEYEEANVDVLDKDGDPPLVFALAAGSPECVHSLITRNANVRSRLRDGLGPSVAHVCAYHGQPDCMRELLLAGADPNAVDDEGESVLHRAISKKYTDCALMILENGGCRSMAILNSKNLTPLHLCVATWNVTVVKRWVEIATSDDITEAIDIPSSIGTALCMAAASKKDHESEGRELVWILLAAGADPSAQDSQNGRTVLHTAAMTNDVDLVKVILAAGVDVNIRNVHNSIPLHLSLDRGAKACVGLLLAAGADCNLQNDDGDTAFHIAAETAKMIRENLDWLTVMLRIPNADIEVRNHSGKTLRDILEALPREWISEDLMEALMSRGVCLSPTIFEVGDWVKFRRTVITPTNGWQGARQKSVGFVQSVPDRDNIVVSFCSGEVHVLANEVVKVIPLDRGQHVQLKEDVKKPRFGWREQARDSIGTVLCVDDDGILRVGFPGASRGWKADPAEMERVEEFKVGDWVRIRPNLTSAKHGLGSVTPGSIGIVYCIRPDSGLLIELSYLPNQWHCEPEEVEHVAPFRGELGAMVSLLHSDHEVMGFSPGNNLSACRGKAAFGWREQARDSIGTVLCVDDDGILRVGFPGASRGWKADPAEMERVEEFKVGDWVRIRPNLTSAKHGLGSVTPGSIGIVYCIRPDSGLLIELSYLPNQWHCEPEEVEHVAPFRIGNRVCVKRSVAEPRYAWGGETHHSLGTISEIENDGLLIIDIPNRPIPWQADPSDMEKVEDLKVGDWVRVKASVSSPKYGWEDITRNSVGIIHSLDEDGDIGVAFCFRSKPFSCSVTDVDEVPPFQVGQEIHVMASVTQPRLGWSNESPATVGKVVRIDMDGALNVRVTGRQSLWKVSPGDAERLPGFEVGDWVRSKPSLGTRLSYDWNNVGRESLAVVHSVQDSGYLELACCFRKAKWITHYTDIEKVPSFKVGQYVRFRTGLIEPRWGWRGAQPESQGVITSIHADGETRVAFFGLPGLWRGDPSDLKIEQMFEVGEWVRLKDNANNWKSIGPGNVGVVQGIGYEGDGSDRSTYIGFCGEPEKWIGPSSHLERVDKLSVGQKVRVKQYVKQPRFGWSGHTHASIGTVQAIDADGKLRIYTPAGSKAWMLDPSEVEIVEEKELCIGDWVRVRASVSTPTHQWGEVSHSSIGVVHRMEDEDLWVAFCFMERLWLCKAWEMEQIRPFKVGDKVKIRDGLVAPRWGWGMETHASKGQVVGVDANGKLRIKFRWREGRPWIGDPADIALDEN >OIW16895 pep chromosome:LupAngTanjil_v1.0:LG02:11729833:11732186:-1 gene:TanjilG_01760 transcript:OIW16895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLVVPNQTSPLEDAEALHKAFKGWGADDKAIIAILGHRNVSQRQEIRKAYEELFQEDLIKRLESEISGDFEKAVYRWMLEPADRDAVLANVAIRNGSKDYHVVVEIACVLSAEELLAMRRAYHNRYKHSLEEDLLVGLVSIFRYEGDDVNARLAQTEANILHESVKEKKGNYEEVIRILTTRSKTQLMATFNHYRDEHAISISKKLLDQTSDDFHKALHTAIRCINDHKKYYEKVLRQAIKKFGTDEDGLSRVVVTRAEKDLKDIKGLYYKRNSVHLEDAVAKDISGDYKKFILTLLGK >OIW16463 pep chromosome:LupAngTanjil_v1.0:LG02:24626843:24630501:-1 gene:TanjilG_19179 transcript:OIW16463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCGSSKVDDLAAVGVCRERCSFLDEAIHQRYSLSAAHFSYFNSLKSIGHSLHLFIQQSFSDFDFTSPLSSPSPSPPLKTKQLPSPHHSDHSDDDDDDDDDAASHLHFHSDDDESIDDDEFHSHLHNDNNNNNNNNNNHHLSFPSPPTSYINYMKKQPTPSIVYQQTPINPDTVYMGDSSSSSSYYPNYPYPPPSSSSSYYSHPTPPPLYGSPSSSSSKPPPPPPSPPRPSPWDFLNFFNTDNTNYYSQYNTPSRDSREVREDEGIPDLEDEDYHQHQHPFLNQPRTDHKPRHPPLPTTSPNHNQQQQQQQHNKKAEAAATMVEDEGHIEDDDDDDDDDEEEEGVEYEVHVVDKKVVQQNDNTSSSNKHPAAGNRDPLEVAKQIELHFQRASDSGSQVAKILEVGKLPYHPKHTGPYQASSKILHAVAPSLSLVSSQPSTSKSAESTDLAATPTLDFDFDLTMRSRNLSSTLQKLYLWEKKLYNEVKAEEKMRILHDRKCRKLKRMDERGADFHKVDSTRALIRSLSTKIRMAIQVVDRISMTINKIRDEELWPQLKELIQGLTRMWKSMLECHHCQCEAIKEARILGSVGSRKKGGDSHLMATKQLEQELINWTFQFSSWISAQKGYVRALNNWLLKCLMYEPEETPDGIVPFSPGRIGMGTR >OIW17031 pep chromosome:LupAngTanjil_v1.0:LG02:6949197:6949949:-1 gene:TanjilG_13850 transcript:OIW17031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFIFLCQPTILSFSQQQTSQPQTTFTKATPSLSNALIHYATTNITPQQTLHEISLSARVLEQKSPCNFLVFGLGYDSLMWTSLNYGGRTIFLEEDKSWIEKIQHKFPALESYHVVYDTKLHQADELMKNAMGDEECKKVTDPRYSMCQLSHKGFPSEVYDIEWDVIMVDAPRGYFDEAPGRMSAIYTAGLIARNRKDGETDVFVHDVDRKVEDKFSKAFLCESYLREQEGRIRHFTIPSHRSPLGKPFCP >OIW16688 pep chromosome:LupAngTanjil_v1.0:LG02:18515909:18519420:-1 gene:TanjilG_28745 transcript:OIW16688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERLAGSSAATTSYHGYVSWEEVFVSSEKGRRVVHYFLKRQSGDSDLAVIGKEKSLRHMHYRYALKNPSLGPYLKPKSRREVIDWLDSIVSDSSAEDVSHAADEDVCGSEIQTLKDNQSRKLSLFTKEFTWLGSPWTCRKRRNHYQSFKRNGFQISVYDFVYILAEEDKHLVAYLEDLYEDSRGNKMVMVRWFHKIDEVSIVLPHNFSDREVFFSHYLQDLSVECIDGLASVLSPQHYKKFPNEAHYTHLEPFVCEHQFGDNGVKPFDITQIRGYWKQEILRYMYTPSDSKSNDSSGQSKPNGSSGQSKSNGSSGQSKSNGSSGQSMSNGSSGQSMFNGRSGKSKSNRSSGQSKSNGSSGKSDDSSELEDNLQCANGIRPKKRQRCTKVDVKESVDLAALRLENLTCSKINMKISARNDSSKIVGPTTLANVKETNATSQHFVVGSEVEVLSQDSGIRGCWFRASVIKKHKDKVKVQYQDVQDAVDEAKKLEEWIPASRVVVPDDMGLRMHGRTKIRPALESNKPGISWLGGVGSIVDAWWHDGWWEGIVVLEESDAKYHVYFPGGKVLSTFGPDKLRHSQDWSGNGWVNMKERPDLVTSILTSLKTKQESSKSYDSKSTVASGDRVESKQSYTCLDFKTYKPKKFEVVPDLLKNDLFPQLRWMTKKRRQYSSTSCQNLPKVVESDSSDCFVIPASMKVDHENYNYGGDPSIYSSSVVPSLTNLVTCR >OIW16411 pep chromosome:LupAngTanjil_v1.0:LG02:24360173:24363837:1 gene:TanjilG_19127 transcript:OIW16411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLCPKILSLTLLLSINSLFIQCSYSLNEQGHALLAWKNSLNSLNNTTHALPSWNTSNQTPCNWFGVHCNTQDEVVEINLKSVNLQGSLLALYFLQSLKSLKSLILSSTNITGNIPKEVGDYYGLIHIDLSGNSLFGEIPEEICRLTKLQTLALHANFLEGNIPSNIGNLSSLVSLTLYDNKLSGEVPKSIGSLRKLQVLRAGGNKNLKGEIPFDIGNCTNLVMLGLAETSISGILPSSIGNLKNIQTIAIYTTLLSGSIPEEIGNCSELQNLYLYQNSISGSIPSQIGELNKLQNLLLWQNRLVGIIPEELGRCTEVIVIDLSENLLTGRIPMSFGKLQNLQGLQLSVNQLSGIIPPEITNCTSLMQFEVDNNAISGEIPALIGNLRSLNLFFAWKNNLTGQIPDSLAQCQDLQALDLSYNNFTGPIPKELFGLRNLTKLMLLSNDLSGFIPPEIGNCTTLYRLRLNHNRLAGTIPSQISNLKNLNFLDMSNNFLVGKIPQTLSRCQNLEYLDLHSNSLSGPIPETLPKSLQLIDLSDNMLSGSLSHFIGSLTELTKLNLGKNHLSGTIPPEILSCSKLQLLDLGSNGFSGEIPKEVGQISSLEISLNLSYNHFSGEIPSQFSSLRKLGVLDLSHNKFSGNLDALSDLKNLVSLNVSSNDFSGKLPNTPFFHKLPLSDFTGNDGINIATSVVTPSYRMRHKSQASLALKIVMAILLSTIAVLVLLTIHIAVRAHVTNKALKGNETWEVTLYHKCELLIDDIVRNLTSENVVGTGSSGVVYKVTIPSGQTIAVKKMWPSAESRAFSSEIQTLSSIRHKNIIKLIGWASNKNMKLLFYEYLPNENLSSLLHSSGKGKAEWETRYNVIYGVAHALAYLHHDCVPSIVHGDIKAMNVLLGPGYQPYLADFGLAKIASENGDHINSKPVQRLHIAGSYGYMAPEHASMQQITEKCDVYSFGVVLLEVLTGRHPLDPTLPGQTHLVQWVKNHLANKGDVSDILDPKLRGRTDPTTMHEMLQTLAVSFLCVTTKADDRPTMKDTVAMLKEILPIEEPSLPKTNVL >OIW16478 pep chromosome:LupAngTanjil_v1.0:LG02:21369007:21372075:-1 gene:TanjilG_32148 transcript:OIW16478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLSGDIPPNQTIYIKNLNEKIKKEELKRSLYCLFSQYGRILDVVALKTPRLRGQAWVCFSEVTAASNAVRQMQNFPFYDKPMRIQYAKTKSDCIAKEEGSFVPREKKKKQEEKAAAETSRLRAQTGHAGISIVSCAAERKRRPEDTPQSTAPNGTHGASNGSSTASSRQGPGAQETAPPNNILFIENLPHETTGRMLEMLFEQYPGFKEVRLIEAKPGIAFVDFEDEVQSSMAMQALHGFKITPQNAMIITFAKK >OIW17337 pep chromosome:LupAngTanjil_v1.0:LG02:1052236:1070134:1 gene:TanjilG_22449 transcript:OIW17337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADALSVVPAAVLRNLADKLYEKRKNAALEVEGIVKQLAANGEHDKITAVINLLTTEFTYSPQANHRKGGLIGLAAATVGLTTDAAQHLEEIVPPVLNSFSDQDSRVRYYACEALYNIAKVVRGDFIIFFNQIFDVLCKLSADSDANVQSAAHLLDRLVKDIVTESDQFSIEEFIPLLRERMNVLNPYVRQFLVGWITVLDSVPDIDMLGFLPDFLDGLFNMLSDSSHEIRQQADSALSEFLQEIKNSPSVDYGRMAEILVQRAGSPDEFTRLTAITWINEFVKLGGDQLVPYYADILGAILPCISDKEEKIRVVACETNEELRAIKADPTESFDVGAILSISRRQLSSEWEATRIEALHWISTLLNRHRTEVLAFLNDIFESLLKALSDPSDEVVLVVLDVHACIAKDPQHFHQLVVFLVQNFQLDNSLLEKRGALIIRRLCVLLNAERVYRELSTILEGESDSDFASIMVQALNLILLTSSELSEIRYLLKQSLVNPAGKDLYIALYASWCHSPMAIISLCFIAQTYQHASVVIQSLVEEDINPKFLVQLDKLIHLLETPIFAYLRLQQSAAFKILKTRLKAVPSYSFNGMQSKRVPSGDSYQFLHHMSNGSQIIEDGDLAKDGGSSNNGINFDARLQQFQQMQHLHRMHFKAQTKSLNNSTPLPKGYKNPTLMRFLVAQSMDPDKAAKMFVQWQKWRASLVPNGFISESEVVDELETRKVFLQDLSQDKYPVMLIQTNKHFPAEDQNQFKKFVVYLLDKTIASAFKGREIGNEKLIGVIDLQSISYRNIDARGLITGFQFLQAYYPERLAKCYILHMPWFFVSVWRLVSRFLEKATLEKIVIVSNEDERKEFIREVGEEVLPEEYGGRAKLVAIQDVEVTPMENGPTN >OIW16732 pep chromosome:LupAngTanjil_v1.0:LG02:17262613:17271263:-1 gene:TanjilG_14502 transcript:OIW16732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLYCNECKIYTSIVYDHCAGNTICSHCGLVLKPPSIDNQTFQTQNSNSVGSCSNPLVSNNPPTSGTIDGTSAFVQRKKPMARELKEDSKFSKVFKTMDEIADRLGLVDTIKDCAKEIYKKANEGKIIMKHWKNHKATKVACLYLASQEEGLPRTLKEILTVADGTNVKDIHKVIQMLKGHLEVGRKAIRAKDIARRYCSTLGLNNYVTKAVQEILQKTEEFDIRRNYTSILASVIYMATQLSGNKINLSANNYFSCLVQGQVDFDDKNSWEYLFKDYYIDLKEKLSLTFDELTEAKNPWKGSDMAASKDESPDELYDANNDKGSDSDNSYENVGSSRSKRRKGKKRAKSRSKEGNSYAATVLAADVTSADDRSEWSSKELLEFVMHMRNGDTSILSQFDVQALLLEYIKRNKLRDPCRKSQIICDARLQNLFGKPRVGHFEMLKLLESHFLLKEDYQGEDLQGSVVDTDVTHLDGDGNSDSYLKAGKDKRRKTRKKGDERGLHSNVDDYAAIDNHNINLIYLRRNLVEDLLEDIENFPDKAVGSFVRIRISGSGQKQDLYRLVQVAGTCKAAEPYKVGKRMTNILLEILNLNKTEIVSIDIISNQEFTEVRKDVARAIDLDGLIISNQTLSMVVLQIGILKNSCEVMLKELKKWMKPEKVMLK >OIW17514 pep chromosome:LupAngTanjil_v1.0:LG02:2606361:2607708:-1 gene:TanjilG_22626 transcript:OIW17514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTAKDQNKNTDGIDISGSNGIVIDKCTIATGDDCIAIGNGLSNINITNMACGPGHGISIGSLGRNGAYATVENVHVSDCNISGATNGVRIKTWQGGSGYVRNVTFQKITITNTKNPIIINQDYQDIIMNEFAKKESGGLEISGVTYRHVKGTSASKVAITLDCNSSKGCHDIIMDDINLTSGSSSSITTASCTNAKGKATSVSPEVSCLENQKPSLY >OIW17361 pep chromosome:LupAngTanjil_v1.0:LG02:1278728:1285377:1 gene:TanjilG_22473 transcript:OIW17361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLDDWISKVKDGQHLLENELQLLCEYVKEILIEESNVQPVNSPVTVCGDIHGQFHDLMKLFQTGGHVPETNYIFMGDFVDRGYNSLEVFTILLLLKARYPANITLLRGNHESRQLTQVYGFYDECQRKYGNANAWRYCTDVFDYLTLSAIIDGTVLCVHGGLSPDVRTVDQIRVIERNCEIPHEGPFCDLMWSDPEDIETWAVSPRGAGWLFGSRVTSEFNHINNLDLVCRAHQLVQEGLKYMFQDKGLVTVWSAPNYCYRCGNVASILSFNENMGRYAVLPFLAKRLFPIPMTSMSQDK >OIW17203 pep chromosome:LupAngTanjil_v1.0:LG02:3272266:3273141:-1 gene:TanjilG_02492 transcript:OIW17203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPTQAPKPIIDKPPGYKSPFKEPTPPPRKPPTRHQKKKHRRGCCCISFCIIFIIIFILIFVAAIAAGLIYIFYDPRLPEFRIDSFGLNNLNVTQKPDGVYYLNAETTMKVEVKNESGKMGWLFDETNVVVTAENGDLNLGTTTIPGFEVKEKELKMLKADCEVRDITLNESLEKKVEGKEIVIVVEIRTKTGVEMSGWKSWKIGVNVVCGDKSLKQIEAGDTSKCTLTTLKW >OIW16523 pep chromosome:LupAngTanjil_v1.0:LG02:20943636:20944547:1 gene:TanjilG_32194 transcript:OIW16523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFHRSFRAQPQGSSLPEKTTEEPLPSKVAQSTVTCFYQTNVAGFWRNVSVLWCKNLMNHSLHITVDSVGGELHYTCKIDVKPWHFWSKKGYKTFEVEGNQVEVYWDLRSAKFSGCPEPISDYYVALVSDEEVVLLLGDYKKKAYKRTKSRPALVEAMLLVKKENVFAKKSFYTKARFDEKGKESDIVVDSSTSGPNDPEMWISIDGVVLIHVKNLQWKFRGNQTVMVNKQPVQVFWDVHDWLFSGSAPGPGLFIFKPEAECEKEGSGVEGCDSDGSSSVGYYSTLNTATFEFCLVLYAYKLE >OIW17351 pep chromosome:LupAngTanjil_v1.0:LG02:1180662:1182850:-1 gene:TanjilG_22463 transcript:OIW17351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFRKPFPTSSLYSLPSTNTNTISQTLSKSKHNLIHYSTFTLFSFLFLISWLLILFFYLPTTTTTTITTVTDTPKAATCDGSGPVYIYNLHQRFNIGLLKNCENLNIYTNMCPHVVNNGLGQPISTTTTTTSATTATPSWFATHQFIAEMIIHARLENHPCRTWDPSRALIFYVPFYGGLYSSSVFGETNHTLRDSLAVDLVEFLESQEWWKRNNGEDHFVALGRTAWDFMRTKEGPDFGANVLLNMPHVLNMSVLTVERNPWKGSNQIGVPYPSYFHPTMLIQMVAWQKRIREFERPHLFSFVGGKRKGLEKAKVRDEIVKQCSESSECMLLQCGSGGSKCHEPMVVIEVMKNSRFCLQAPGDSFTRRSTFDSILAGCIPVFFSPHTAYTQYSWYLPQDTHTYSVFIHEKDACGKNKIEQVLLNISNEEVKRMREVVINLIPRITYVHPNASDVGFKDVVDVALQRLSHLVGAKVKRFGSV >OIW16994 pep chromosome:LupAngTanjil_v1.0:LG02:9291653:9294176:-1 gene:TanjilG_32861 transcript:OIW16994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMIQGTFDNIGLNSKKKLTAFFIVLFIAIPVTLIVTKKLSNSSFTIFEGSSQNKVLEGESAQKNGTTELLNDKSNVTNNGSGLKGIVQKGTDREIITSKEGDRNKSITNSSNVPTPHTTNPDDKDKGAPSTSPSNVSTPDIVNPDGKDKNASTSPPNVSTPHIVNPDDKNKNASSTSAPNVSTRHNVNPDDKDKNASSTSPPNVSTSHTANPHDKDKNASSTSPPNVSIPHTANPDAKGKNASLASPPNVSTPHIVNSDDKKKNASSTSSSDSRSIPIHDDRDKLIDGLLASGFDEASCTSRFQSHLFRKASPHKPSQYLVSKLRHYEELHRKCGPNSRAYRKSMKVLHSKKKGAPGICKYLVWTPANGLGNRMISLAAAFLYAIFADRVLLVRFRDDMQGLFCEPFLNSTWLLPKHSPFWSEHHVETYRTMLKKDKASHSKRAVPSTLFLNLQHSQDDPEKFFHCDHNQDLLRNITSLILRSDQYFVPYLFMNPSFNSEIDKMFPEKDAIFHHLVRYLFHPSNEAWGLISRFYKTYLASADERIGLQIRVFSPTTTPTQAVMDLVLNCTLSNKVLPQLDTQKSVYYSQNQTVKAVLVASLYPEYGENLRAMYLNKPSVSGELIGVYQPSHEEEQKFHDNMHNFKAWTEMYLLSLSDVLVTTSLSTFGYVAQGLGGLKPWLLYKLTSNDTYFPACVRDFSIEPCNHIPPQHFCNGKPIKDFASSFPYLRKCLDFYAGVKLANSSST >OIW16321 pep chromosome:LupAngTanjil_v1.0:LG02:23744312:23746209:1 gene:TanjilG_19037 transcript:OIW16321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERERSYAVFLTIITLLALLSQNFINPVISTTLEDKKNYYSTNSPHAETSHTGLASSLCDNSSHSSPPSHHGSGGSYGSTPPSHGTPSHTPSHGTPSHTPSHGTPSHTPSHGSPSHGGGGSYNPTPSPPSGGGYYNPTPSTPPNGGSYNPTPSTPPGGGSYDPTPSTPPSGGSYDPTPSTPPSGGSYNPTPSTPPGDGSYNPIPSTPPSDGSYNPTPSTPPSGGSYNPTPSTPPSGGSYDPTPSTPPGGGSYDPTPTPPSGAGSGSYNPIPSTPPGGGSSDPTPSPPSGGGSYNPIPSTPPGGGSYDPTPSPPSGSGSGGSYNPTPSTPSGGGSYNPTPSPPSGSNCGSPPQDPSTTPSTPTTPSNPPSGGGGYYNSPPTVGGDSPPTPIIVSPPSTPIDPGTPSTPPFLPSPSPFTGTCNYWRNHPGIIWGLLGWWGTLGNAFSVPGMPGFGSSLTLPQALSNTRTDGLGALYREGTASFLNSLVNNKFPYTTQQVRDRFAASLSSNKAAAAQARLFRMANEGKMKPLV >OIW16762 pep chromosome:LupAngTanjil_v1.0:LG02:15485986:15486810:-1 gene:TanjilG_05496 transcript:OIW16762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSCSRPVIEKKAPKPPQEPVNCPRCSSTNTKFCYYNNYSLTQPRYFCKTCRRYWTEGGSLRNVPVGGGSRKNKKVTTTSPSTSATSKVIPDLNPPTLSISSSSSAIISNSQNPKVMQGSGHDLNLAFPSMDHNYHGMSSFVDMVNGDTSHHQNSSAGPTSLSALELLRSGMASRGLNPYAPSSLMPNSSSLYPSGFPMQEVKANLGFSVDHGVGNRSYHDQVQENDGRLLFPFGDVKQQLSHAGSAEVEHNNKEQGNSASTGYWSGMIGEGSW >OIW16234 pep chromosome:LupAngTanjil_v1.0:LG02:23015250:23017226:1 gene:TanjilG_18949 transcript:OIW16234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPVVWHKVAAISGVAAVGLGSYGAHGFKPQNPSYKDIWQTASLYHLVHTAALLAAPITKHPNIFGGLLTTGIVAFSGTCYTVAFLENRKYSTLAPFGGIAFIAAWGSLLF >OIW16113 pep chromosome:LupAngTanjil_v1.0:LG02:21891595:21893241:-1 gene:TanjilG_18828 transcript:OIW16113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHLKPTPPNSSPLTPLGFLDRAATVYGNTPSIIYNTTSYTWSQTHRRCLQLASALSSLHIRHGHVVSVIAPNIPPMYELHFAVPFTGAILNNINTRLDARTVSVILRHAQSKLVFVDVASRDLILEAISLFPSQQHQRPILILIEDNTVQSLTASSTVEFHDTYEGLISKGDSNFKWIRPNSEWDPMILNYTSGTTSSPKGVVHSHRGTFIITVDSLIDWSVPKQPVYLWTLPMFHANGWSYPWGIAAVGGTNICFRKIDVETVFDLINRHGVTHMCGAPVVLNMLTNLPENKPLQKPVQILTAGAPPPSAVLFRTESLGFVVSHGYGLTETGGLVVSCAWKGKWNKLPATERAQLKARQGVRTVGMTEIDVVGPTGESVKRDGKTLGEVVMNGGCVMLGYLKDPEGTSNCLKNGWFYTGDVGVMHEDGYLEIRDRSKDVIISGGENLSSVEVESVLYGHPAVNEAAVVARPDEFWGETPCAFVSLKKKTTEKEIIEYCKKSMPRYMVPKTVVFKEELPKTSTGKIQKFVLRQIAKEMGPINHNSRM >OIW16313 pep chromosome:LupAngTanjil_v1.0:LG02:23698129:23698908:1 gene:TanjilG_19029 transcript:OIW16313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDEMDQKQEQNKVNQNNRCDSNGIDDITLISPQVPKSVSPVSSAAEGETLRRPRGRPAGSKNKPKPPIIVTRDSANALKAHAMEVSSGCDVNESLLNFARRRQRGLCILSSTGCVTNVTLRQPASNGSIVTLHGRFEILSLLGSILPPPAPPGITGLTIYLAGAQGQVVGGVVVGALIASGPVVIMAASFMHANFDRLPLEDDHELTAAMHNQAQHYRSSSNHHDISDLYAMPHNLLTNDALAPEIYSWAPPRNLSKT >OIW17436 pep chromosome:LupAngTanjil_v1.0:LG02:1862090:1864008:1 gene:TanjilG_22548 transcript:OIW17436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAACMSFNIASAFKGLSLSSSSSFFTGSSSSSSSSSLLHSGPSFVSFPQRAPLTIQNAHKKGAGSTKNGRDSCGKRLGVKIYGDQVAKPGSIIVRQCGTKFHAGKNVGLGKDYTIFSLIDGVVKFEKYGPDRKKVSVYPREVQPENPNSYRARKREYFRMRRERKKAREEESILQYQILLAAATEAATN >OIW16337 pep chromosome:LupAngTanjil_v1.0:LG02:23852122:23852628:-1 gene:TanjilG_19053 transcript:OIW16337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQTLEGIKGGGGSVKLGTTGTIGSLVTRELDQISSAPHKQVSSRIKPRTLPVSVVCGTTTPKRLQPRKSSSHEASSSGSSSNNTKLRNPGVSQKTKANGRNNHKIPMLGSDNFPLDRTPARQKNDKKIPNIVEVVDVKCGNADKAWATPLTSRLKKLGFSKLSESFI >OIW17466 pep chromosome:LupAngTanjil_v1.0:LG02:2133828:2137211:1 gene:TanjilG_22578 transcript:OIW17466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQCIFLVSDSGEVILEKQLTGHRVDRSICAWFWDQAISQGDSFKQQPVIASPTHYLFQVVRDGITFLACTQVEMPPLMAIEFLCRVADVLNDYLGGLNEDLIKDNFVIVYELLDEMIDNGFPLTTEPNILQEMIAPPNIVSKVLSVVTGSSSNVSDTLPGATASCVPWRTADPKYANNEIYVDLAEEMDATINRDGFLVKCEIYGEVQVNSHITGLPDLTLSFANPSILDSVRFHPCVRFRPWESNQILSFVPPDGQFKLMSYRVRNLKNTPIYVKPQLTSDGGTCRLSVLVGIKNDPGKTIDAVTVQFQLPSCILSADLTSNHGTVNILSNKTCTWSIGRIPKDKSPSMSGTLVLETGLERLHVFPTFQVGFKIMGVALSGLRIDKLDLKTVPYRFYKGFRALTKAGEFEVRS >OIW17092 pep chromosome:LupAngTanjil_v1.0:LG02:5549704:5549880:-1 gene:TanjilG_20196 transcript:OIW17092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIIRSSFSFILGTVCGVYVAQNYDVPNIRKVADSALLTAKAYEEKYRKSKKRSNNDD >OIW17297 pep chromosome:LupAngTanjil_v1.0:LG02:780368:782179:-1 gene:TanjilG_22409 transcript:OIW17297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKRRHTLCSLLRELFLLLLFSLHHSTVQCQGRLSKHVSSAPYSPSEYKDDLRRIIISIVLGGLTGLVGSVFFAFVVRCVVRYLNRTPILKGPVIFSPKIAPKTLESALAKENHLLGSSPNGKYYKTVLDNGLTIAVKRLTPFESNSPEMRRKSVKRQIQKELELLASLRHRNLMSLRAYVREADRFSLVYDYVSTGSLADLMNRVRENELQLGWEVRLRIAVGIVKGLQYLHFTCVPQILHCNLKPTNVMLDAEFEPRLADYGLSKLLPNLDRGTHGNNPSQSLHNCSRYTDKSDIFSFGMILGTLLTGKDPTDPFFGEAASGGSLGSWLQHLQRAGEAREALDKSILGEEGEEDEMLMAVRIAAACLSDIPADRPSSDELVHMLTQLHSF >OIW16716 pep chromosome:LupAngTanjil_v1.0:LG02:17713170:17716067:-1 gene:TanjilG_14587 transcript:OIW16716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGRWRTVSHIFNRVTSSQSKFLLHNRFCSAAPCYADPEPHNGFGAPEHDLNVKIPVKAYFLSTSINLKGIQADNLRNIVPPSSRSSLNYVALRFSDYNSDTNDPGFHVKASSCRYMVVYQYGSAVLFNIEDHEVEHYLELVKKHASVLLPEMTKDDYAVKEKPELVEDMQGGPDYIVLKSLDTDGIRIIGSVLGQSIALDYFVSQVDRLVEEFAGINRGMEKTGTFTMDKKKLLQLVGKANSHLADVILKVGLFERSEIAWRDAKYAQIYEYLQEEYEVAQRFGNLDFKLKFVEHNIHFLQEVLQNRKSDFLEWCIICLLAIENVISFYEILHQSNTLS >OIW17266 pep chromosome:LupAngTanjil_v1.0:LG02:454160:455750:1 gene:TanjilG_22378 transcript:OIW17266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKKHGNIFSLWFGTRLAVIIQSPTAFQECFTKNDLVLANRPRNLSGKHIFYNYTTVGSCSYGEHWRNLRRIVATDVLSSQRILSFSGIRKDETQRLILKLAKDSSSNFAQVELTSTFRDMTYNNMMRMIAGKRYYGEESELKDIDEAKEFRETVTQLLQLAGVSNKADYLPFLKWFDFEGLNKKLMTTHKRFDTFVSALIKEQRSKEQREDSMIDHLLTLQESQPEYYTDQIIKGLTLAMLFAGTDSSGATLEWAFCNLLNHPKILKKAKDELDTQIGQDRLLNESDLSKLPYLKNIILETLRLYPPAPLLIPHVSSEDTTIGGFNIPKDTLVIVNAWGIQRDPKIWDEATSFKPERYEKEGEEKKLIAFGLGRRACPGEFMAMHGVSFTLGLLIQCFDWKRVSEEKIDMKEQTWFNLTKLLPLEAMCKSLPIINKALK >OIW16965 pep chromosome:LupAngTanjil_v1.0:LG02:8408264:8408512:1 gene:TanjilG_32832 transcript:OIW16965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQLNQLKVGHISQNKDKKPTCIKRSQQLEQAINKFQVVFRYRYREELVKVEGSSALQLEESFAVSATVRGPMNTFKKKVRI >OIW16504 pep chromosome:LupAngTanjil_v1.0:LG02:21086803:21089350:-1 gene:TanjilG_32174 transcript:OIW16504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEGKQEQPKVEAKPENKAEENKEEKPAEEKPVEEKKEEKPAEEKPAEEKKEEKPTEEKPAEEKKEEPKPPSPCVLFVDLHCVGCAKKIERSIMKMRGVEGVVIDMAKNEVTIKGIVEPQAICNTITKKTKRRANVISPLPAAEGEPIPEVVNSQVSGPITVELNVNMHCVACAQQLKRKILQMRGVQTVVTEFSTGKVIVSGTMDANKLVEYVYRRTKKQAKIVPQPEPEKKEETKEAEEKPAAEEAKPEETKEEEKPPEEPKKEESGEGDSSENKKEEGGEGENKDEKKEEKGGKEGTEEETKKEENEFVVTNNVDENGMKRMIYYYYPYQPLYVIERIPPPQLFSDENPNACCIL >OIW16526 pep chromosome:LupAngTanjil_v1.0:LG02:20904458:20906155:1 gene:TanjilG_32197 transcript:OIW16526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGRYAFSRTGNFRPENLGQNAMAMIGNVCFSMFVVGVLVFTIMAATYEPEDPLFHPSSKITTFLTSKSNATFKSDNTVVKTGEDFMATNENVFGNVINMTDVDNSVMNDFADAAAAECQSNGPIDCKDPEVFHTMMRATIEKFQDIHFYRFGKPVPGSNDSTCDMAWRFRPKDGKAAAFYKDYRRFVINRSANCSLSIVSIGDYHTGMNARKRKKYQKAGLEKKQAKGDNVNAFPVVGEIVNDSLPVVESESSFSHGKYLIYVGGGDRCKSMNHYLWSFMCALGEAQYLNRTLVMDLSICLSSIYTSSKQDEEGKDFRLYFDFEHLKEAGSVLDKEQFWADWDKWQQKDGMNLHLVEDYRVTPMKLRDVRDSLIMRKFGSVEPDNYWYRVCEGETESVIQRPWQLIWKSRRLMDIVSAISSKLNWDYDAVHVVRGEKARNKKLWPNLDAHTSPEALLSTLRDKVDEGRNLYIATNEPDTSFFDPLKDKYTTHFLDEYRNLWDENSEWYSETTKLNKGVPVEFDAYMKASIDTEMFFRGKKQIETFNDLTSDCKDGINTCNVATN >OIW16146 pep chromosome:LupAngTanjil_v1.0:LG02:22220928:22224117:1 gene:TanjilG_18861 transcript:OIW16146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKRLDYGFRGFQVPPTARRPRSTRRRGHSKKSPEDGQACAFELLASLAGKLLQESESSASSNAIEGNHHHAFSHSVVEKERQDEVRPLMAKVVHNGSCAESIFTTEMESQKSSQKCLEHAETDCVLECVSVNNSCNSECWEKIEAHVNSKSIRWENKFVHNSNRLVEIPEDVKESCDANIKSGFRREPEASNSGFKESTLDNKFSLKDPVELCINSPALADSNSDVRSPFCGELFPKASLSRHGNNNRLGFRDDDEKFLQCNGVRTKSKAFSRPPRRIACRRIRQMLTSKHWKVVPNLKDYGHSRTDEGVKPLYRKRKSCYSFERSRHSTLFKRRKFFRRSIVITSDGGLSSDSVSNSPNKGMDVDNSNSSAKLHVSKDSHVKFSIKSFRIPQLYIEVPRTATVGSLKRTVNEALMAMLGGGVHVGVLLQGKKVRDDKRTLRQTGISCEENLNKLGFLLEPSSLQASPAVCVGEPSRFKTSQPTRSPEALVLDSGITDALQDSSLLTNTGNLVESNHDSTSFSTDIIADKTTTDARALVTVPTSSTEPLAVVPAGQKTRPSEFVQRRIRRPFSVSEVDALVEAVEELGTGRWRDVKFRAFENADHRTYVDLKDKWKTLVHTAKIGPQQRRGEPVPQELLDRVLAAHAYWSNHQAKQHGNVPKLEP >OIW17105 pep chromosome:LupAngTanjil_v1.0:LG02:5272805:5274421:-1 gene:TanjilG_25813 transcript:OIW17105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGALDHISEMFDCSSGSSKLKKRKQLQTVEVKVKMDCEGCERKVRKAVDGMKGVNQVDIERKANKVTVVGYVEPSKVVARIAHRTGKKAELWPYVPYDVVAHPYAQGVYDKKAPSGYVRNVDDPQYSHLARASSTEVRYTTAFSDENPTACAIM >OIW17204 pep chromosome:LupAngTanjil_v1.0:LG02:3276052:3279510:-1 gene:TanjilG_02493 transcript:OIW17204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTGHNSASVWDFKAATEITKDRNGIDQVVLRNPQGASARVSLHGAQVTSWRNEQGQELLFTSSKTTFKPPKAARGGIPICFPQFGNCGSLELHGFVRNRMWVIDDNPPPLPANDSDRNTSVDLLLKSSGGDMKSWPHSFEFRLRVSLGTDGDLSLVSRVRNVNGKPFSFSFAYHTYLLVSDISEIRIEGLETLDYLDNLSQKRRITEQGDAITFESEVDRVYLSSPNVIAVLDHERKRTFLIRKEGLPDVAVWNPWVKKSKAMADFGVNEYKHMVCVDGAVIEKPVNLKPGKEWTGRLHLSFVPSSFCSERLGL >OIW16127 pep chromosome:LupAngTanjil_v1.0:LG02:22007012:22010505:1 gene:TanjilG_18842 transcript:OIW16127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSTASLGGVIVILCCCCCCFISHVTCDASDHRYKEGDSVPFYANKVGPFHNPSETYRYFDLPFCSPDNVEEKKEDLGEVLNGDRLVVAPYKLDFLIDTETESICKKRLTRKEVAQLRAAVLKDYFFTMYYDDLPIWGFLGKFDSENQDETTATKVYLFNHLHFEILYNKDRIIDVFIRNDPKGVVDLTEDREVDVDFTYSAKWTPTDITFDKRLEKYSQTSLLFSHLEIHWFSIFNSCVIVLLLTGFLATILMHVLKNDFVKFTHDEEAVDDDEESGWKYIHGDVFRYPRYKSLFAAALGTGSQLFTLAILIFMLALVGVFYPYNRGAMFTALVVIYALTSGVAGYTAASFYYMIEGKNWGRNLILTGSLFSGPLFLTFCFLNTVALAYNSTAALPFGTIVVIFLIWTLVTSPLLVLGGIAGKNSRPEFQAPCRTTKYPREIPQLPWYRRTLAQMAMAGFLPFSAIYIELYYIFASVWGHQIYTVYSILFIVFIILLIVTAFITVALTYFQLAAEDHQWWWRSFLCGGSTGLFIYWYCMFFYNARSDMYGFMQTSFFFGYMACICYGFFLMLGTVGFRASLIFVRHMYRSIKCE >OIW16219 pep chromosome:LupAngTanjil_v1.0:LG02:22884959:22889126:-1 gene:TanjilG_18934 transcript:OIW16219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAMASLKSPFQSLPPFSSTLRTSFPPTRVYISTRNPFLTFSVRAQMEPTEGSAAIVSSSEPVEEVLKVKEWEVGMFHNEVAASQGIRIRRRPPTGPPLHYVGPFEFRLQNEGNTPRNILEEIVWNKDVEVAKLKERKPLISLKKALADAPPARDFIGALRAANERTGLPGLIAEVKKASPSRGILREDFDPVEIAQSYEKGGAACLSVLTDEKYFKGSFENLELIRKAGVKCPLLCKEFIIDAWQLYYARSKGADAVLLIASVLPDLDIKYMVKICKLLGLTALVEVHDEREFDRVLGIEGIELIGINNRNLETFELDISITKKLLEGERRKIVHEKNITIVGESGLFTPDDIAYVQEAGVKAVLVGESIVKQSDPGKGISNLFGKDISHS >OIW16246 pep chromosome:LupAngTanjil_v1.0:LG02:23097050:23102858:1 gene:TanjilG_18961 transcript:OIW16246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLGDIGLSAAINLLSAFVFLVAFAILRIQPINDRVYFPKWYMKGLRSSPLHARAFVSKFVNLDFTSYVKFLNWMPAALQMPEPELIDHAGLDSAVYLRIYLLGLKIFAPIAFLAFSVMVPVNWTNNTLNRSNLTYSSIDKLSISNIPLGSNREYQLVATMRLHFLASQRRRSDQFTVIVRNVPPDADESVSELVEHFFLVNHPDHYLTHQVVYNAKKLSSLVAMKKKMQNWLDYYQLKHSRNQSARPTKRWLTLYLQTGFLGLCGSKVDAMDFYNTEIEKLSKEIELERDKVMQNPKSIMPAAFVSFRTRWGAAVCAQTQQTKNPTIWLTEWAPEPRDVYWDNMSIPYVSLTIRRLIIFVAFFFLTFFFMIPIAFVQSLANIEGIEKAAPFLKPFIEIKFIKSLIQGFLPGIALKLFLIFLPTILMIMSKFEGFISLSGLERRAATRYYIFQFINVFLGSIITGTAFQQLDKFIHQSANEIPKTIGVSIPMKATFFITYIMVDGWAGCAGEILRLKPLIFFHLKNFFLVKTDKDREEAMDPGTIGFNTGEPQIQLYFLLGLVYAVVTPFLLPYIIVFFGFAYVVYRHQIINVYNQEYESAAAFWPDVHGRIIFALVISQLLLMGLMSTKEAANSTPLLIALPVLTIWFHIFCKGRYEPAFIRHPLQEAMMKDTLERTREPNFNLKEFLQNAYIHPVFKGDEDNDSEVMSEGWEQEPAVVQTKRQSKRSTPLPSKRSGSVSPSSPSLHES >OIW16341 pep chromosome:LupAngTanjil_v1.0:LG02:23884687:23886770:-1 gene:TanjilG_19057 transcript:OIW16341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHDFCFTIPYGLVLVLGGFIGYISKGSIGSLAGGVVSGFILFFASYISLNAFHNRKNSYFAITLETIVAAALTWVMGQRYIETSKVMPAGIVAGISALMTVFYLFKLATGGNHVPTNKAE >OIW17455 pep chromosome:LupAngTanjil_v1.0:LG02:2004960:2009343:1 gene:TanjilG_22567 transcript:OIW17455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPTQPNVGFTSSNTQERQGPTPPNPDNKAPILPPPSFVTPPRFPPPTLHLQKQDQASNVLSPANDGVIRTGSGHVPHLSTPPGPPVFTSPVRPAAVPFRTSPASPQPVAFSSGSSLPALSPQQLSNGSDELQQHRVSDSIIEDHVPAEDSSFVLFSAHKVLKQKKQANVPSLGFGALLSPGREISTGPQVIQRDPHRCQICGAYANIYSNILLGSGQWQCVICRKLNGSGGEYIAYNKEELHRFPELSSPMVDYVQTGNKGPSFVPVSDSRISAPVVLVIDESLDEPHLQHLQSSLHAFVDSLPPTTRLGIVLYGRTVSVYDFSEESVASADVLPGDKSPSQEYLKALIYGTGIYLSPMHASLPVAHSIFSSLRAYKLNIPEASRDRCLGTAVEVALAIIQGPSADLSRGIVKRLGGNSRIIVCAGGPNTYGPGSVPHSFSHPNYPYMEKTALKWMENLGREAHRHNTVVDILCAGTCPVRIPILQPLAKASGGVLVLHDDFGEAFGVNLQRASARSAGSLGLLELRTSDDILITQVVGPGEESHVDTHESFKNDTALYIQMLSVEETQCFSISMETKGDIKRDSVFFQFAIQYSNVYKADVSRVVTVRLPTVDSISGYLQSVQDEVAAVLIAKKTLLRAKNHSDAIDMRATIDERIKDIALKFGSQLPKSKLHRFPNGISLLPELLFHLRRGPLLGSIIGHEDERSVLRNLFLNASFDLSLRMLAPRCLMHREGGTFEELPAYDLAMQSDAAVVLDHGTDVFIWLGSEVAADEGRNAAALAACRTLAEELTEFRFPAPRILAFKEGSSQARYFVSRLIPAHKDPPYEQEARFPQLRSLTQEQRTKLKSSFVHFDDPSFCEWMRSLKVVPPEPR >OIW17069 pep chromosome:LupAngTanjil_v1.0:LG02:6169693:6172707:1 gene:TanjilG_15652 transcript:OIW17069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNSKRHSPHATALRRNDAVSNETVTRFKNSRRRRVQIRERKYTCEAKLHAPMKTRSVESVEISLLVAMASTLSSEKEDRWLKRNDEVLSYGTMSVTGNRKEMEDAVSVEIGFVSKERRNCDFFAVYDGHGGAQVAEVCRERLHRLVAEGVERYGRRSGNEVEWDWEDVMEGCFGKMDREVGDVEAVRTVGTTAIVAVVAEEEIVVANCGDSRAVMGRGGEALDLSCDHKPDRPDELMRIEEAGGRVINWNGHRVLGVLATSRSIGDQYLQPYVISKPEVTVTKRTSKDEFLILASDGLWDVISSDFACKVVRKCLNSQSRQVSDGVGNQSRAAKAAALLVKIALAKGSRDNTSVIVIELRGTTTTSS >OIW16176 pep chromosome:LupAngTanjil_v1.0:LG02:22527909:22532846:-1 gene:TanjilG_18891 transcript:OIW16176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSPDGEDFDESSSVDSGSSFGVGSSANSNISAENGDSTSEVRLTERLMDILVGEGDGDLLIQQTDREDRLLQWLQALDMQVMGACRADERLKPFLKMNAACGVTEDPLLAQLSQHFEPSEVGMLARCFCVPLLSIRVGKINKEGTRLCPTANRGNLSLVLLPSSDIRLSFIGDDGKVERLFTLTSKSQCAAVVVDEIPTDSSGRSFLVGTPDSRTFYFWCSEKSKLLGIELLAKMKDLLQQKPSIAELSGISNSRLDCFATQLGAFLVGSSLGSSFENSVCASTFAFENSQSSSSKFPRSRHNGGQVTKGDTAPYQSILSPRSSSFKEVPPRNLSFHRIAAREKIKRRGDSHQFAFDNSANESTNSLDISITSDHDEASEVTKNFAFSSCFLGSLGKLAVSSSLGLGGDLAPVVSPLFTPNYCWCPPGISTFPSLTALPQVSDSSVDSTSYSGASLLPSTLPVSLLQPIQPLNVGTMMDFPPFLPDPSIRMSLPTSQQIPIFTPLMCDPIVHVPVIDLCSSGQSYLVSAGPAMSTSIPPLHPMLVKPLISESDAVVKSARETLRLLISGSSQGNQHVTMDPLPAILTNPNVKQSNIFAAGSHGLYTGTRDIDVIANNIAAMGLASLSGMSRGEGELKPCSYYGMSESEVRKPSDSSSSFFDDKGDPPLDSTQ >OIW16402 pep chromosome:LupAngTanjil_v1.0:LG02:24294475:24298154:1 gene:TanjilG_19118 transcript:OIW16402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSGEHFSLFETTRVRGWFIRRVFSISMFVAICFIWLFRLSHKGHPYWPCLALFAAEIWFGFSWLFSQSLRWNLIFRKTFKTRLSQRYEDKSLPSVDIFVCTADPDIEPPIMVINTVLSVMCYDYPAEKISVYLSDDAASDITFYALLEASTFAKHWLPFCKRFNVQPTSPAAYFNTLHHSKPYPQNLELVKKLYEDMKIRIEDAAKLGRVAKEIRSNNHRFSQWDSYSSRRDHDTILQILLHKNDKHNSKDVDGFILPTLVYLAREKRPQYHHNFKAGAMNALIRVSSNISNAKVILNVDCDMYSNNSQSVRDILCFFMDDEKGHEIAYVQYPQNFQNLTKNDLYGNAMFIPSEVELHGADGYGGPLYIGTCCFHRRDALSGKKYDSGYRIDWKNEIDHAIESNLQELEEKSKALARCTYEENTLWGKKMGLRYGCLVEDVITGLSIQSQGWKSVYYNPMRKGFLGVAPTTLLQILVQHKRWSEGHFQIMFSNYSPLWHAPGTIKLGLRMGYLHFNSWATTSLPILCYSIIPSLYLLNGIPLFPKICSLWFIPFAYVILGESIYSLVEFLIFGGTIQGWWNDLRMWLYKGTSSYLFAFIDNIFKFFGLSDSPFTITTKIMDEDVSQRYEKEVMEFGASSPLFTILATLALFNLFCLLSTLKDLLVLGENGFRDYEEMVLQILLCGFLVLINFPIYQGLFLRKDKGRLPSSLVIKSTTLALSACIISKIFI >OIW16796 pep chromosome:LupAngTanjil_v1.0:LG02:14877519:14881948:-1 gene:TanjilG_01361 transcript:OIW16796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSGGHKNRGELRIHESLDELRTDLADYIAELSDASVKEHGFFAIVLSGGSLIGLMGKLFEAPYIKTVDWSKWYIFWADERVVAKNHADSNYKLAKDGLLSKVPIVHSHVHSINDSVSAEDAADDYEFAIRQLVKTRVVSVSEINDCPKFDLILLGLGQDGHVASLFPNHSALNEREEWVTFITDSPKPPPERITFTLPVINSASTVAVVATGESKAEAAHLAIDAVGPECPLIPARMVQPATGKLVWFLDKLAASKLEDSNLNNKLG >OIW17577 pep chromosome:LupAngTanjil_v1.0:LG02:82615:82788:1 gene:TanjilG_08855 transcript:OIW17577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGILGFGGLAPKTKNFVVAGGLTSFVFGVYFYTMRAVGGTDELQTAIDKFEADKTK >OIW17357 pep chromosome:LupAngTanjil_v1.0:LG02:1247699:1250545:-1 gene:TanjilG_22469 transcript:OIW17357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQWWQQHHHKHKRLPPGSMGWSYLGETLKLYTQNPNLFFSNRQKWYGDIFKTHILGCPCVMISSPKAARTVLVTQAHLFKPTYPPSKEKLIGPEAVFFQQGAYHSMLKKLVQASFLPSTIRNSVSDVEQIVLKLLPTWANRTINTLQEMKRYAFQVAAISAFGETNELEMEEIREMYSCLEKGYNSYPLHLPGTSYWKAMKVPPRPNTYLPFGNGVHSCPGSDLAKLEILVLLHHLTISYSHIVMQMGKVMTGSFIDRVKDT >OIW16817 pep chromosome:LupAngTanjil_v1.0:LG02:13490920:13496341:1 gene:TanjilG_06857 transcript:OIW16817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKEVVEGFNFEQRHGKDKVRVARVWKNKQDGRDYVVEWRVTINLLSDCVNSYVRDDNSDIVATDTMKNTVYAKAKECLEILSVEDFAIKLAKHFTSFYKQVTTAIVSIVEKPWERINVDGQPHEHGFKLGSEKHKAEAIVQKSGALQLTSGIEGLSLLKTTKSGFEGFIRDKYTVLPETRERMLATEVTASWRYSYESLHSVPQKPLYFSEKHLDVKKVLVDTFFGPPNVGVYSPSVQSTLYQMAKATLNRFPEISYVQLKMPNIHFLPVNISSKEGQIVKFEDDVYLPTDEPHGSIEASLSRFSSKM >OIW16514 pep chromosome:LupAngTanjil_v1.0:LG02:20986533:20986832:-1 gene:TanjilG_32185 transcript:OIW16514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASILMMPFTPVTTGRVFAATKGSAGTKGSAGSGKEEKGLVDWIIGGLVKEDQLLETDPILQGVEEKKGGGGTISGRKNSVAVPQKKKGGGFGGLFAKN >OIW17360 pep chromosome:LupAngTanjil_v1.0:LG02:1273998:1277164:1 gene:TanjilG_22472 transcript:OIW17360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKLGWKPDHYTFPFVFKACGEITAFSYGVSLHADVFRTGFESNVFVSNAVVAMYGRFGAIRDARKVFDDLCYRGVEDLVSWNSIVCSYTRVGDVGRAIKMFGKMNGKGLVLPDAVSLVNVLPGCASLGALLLGKEIHGFAIRSGLVDDVFVGNAVVDMYAKCGKMDEASMVFERMRLKDVVSWNAMVTGYSQTGRFGDALSLFEKMREESIGLDVVTWTAVIAGYAQRGHGCEALDVFRQMCNCGSRPNVVTLVSLLSGCASVGALLNGKETHCYAIKFILNFNGHNTRDDLMVINGLIDMYAKCQSVNVSRTMFDIIPPKDRDVVTWTVMIGGYAQHGDASPALQLFSEMFKMGNSIRPNDFTLSCALMACARMAALRFGRQIHAYLLRNNYGSDNLFVTNCLIDMYTKSGDVDTAQIVFDNMPERNSVSWTTLMTGYGMHGRGEDALRVFNEMRNMGLVPDGITFLVVLYACSHSGMSSPHFFWVVLNLIPHNHQSGSLVALKQSMSPEIKAYAKQVTATVLSLMEPSCVVPSLPSWLNWR >OIW17237 pep chromosome:LupAngTanjil_v1.0:LG02:3178394:3180963:-1 gene:TanjilG_27434 transcript:OIW17237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPIENNDDHRLQYLIVRPEKGTIWNLLHFSLFGHIPSFSRFIDTKLLHENNSNNDKEEQEETSSLVGPIHDHRWIILVSVLVRKIIGFFAKPMKLFGFLVDFVLNLLSHNGNLLGLLHNIIHGQIVIPERGTETFISTIGQLDGRIDLYKEIALKPEMGNRPLMDLCIMASKLAYENAQMHFVDFYNCWNDFQNKMSTQVFILCDKAKDANFILISFRGTELFAADDWITDFDYSWYEIPKMGKVHIGFLEALGLGNRVEPASFYFNLQVKNRKLSSSTDSSSDSDSDISSTSETQVEKKTAYYTLRTKLRSLLEEHRNAKFIVTGHSLGGALAILFPTVLVMHEEMDIMEKLLGVYTFGQPRIGNRQLGMFMESHLKQHGPKYFRVVYCNDLVPRLPYDNKTFLYKHFGVCLHYNSLYNEKVGIFSLYLLIFVHFG >OIW16438 pep chromosome:LupAngTanjil_v1.0:LG02:24527433:24532763:1 gene:TanjilG_19154 transcript:OIW16438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQVEASLPVLHSHGYYTKPSLEELASLELVDPGYCTRVPRFTVGRFGYGSVTYLTKTDVRGLNLDDIVKFHKHEVVVYDDENDKPEVGMGLNKPAEVVLVLDGGIFNSKDVPVQRLKQSTERQGAEFVSFDIATGEWKFLVQHFSRFGFGDDDDEGDITMDDAEIHDVQKESPTNANEIELSHSLPAHLRLDPVKTREMRLLMFPDEEEAEDFGQKSSFSKEYGRPSHNSSQAMNLRSTPPVARKTPFPLLEYKHGSFESNSPGPILMVQQHKGTPLKTVKTQGFKLDLKHETPVSRNYARNIVDAGLFMGKSFGVGWGPNGILVHSGAPVGSGSDHRLLSSVVNLEKVAFDNLVRDENKKVSEELIDYTLVSPLNFHKGLNHVMKDVEIGPYKLTLQKLEANRTALSEISHGYCDIIERQLTVPELSSSTRLGLTHQVMTWELIRVLFSEREPKGQVESLDADNEEDMMQDMKDASQDVEPEALPLMRRAEFSYWLRESVSYHVQNQISSLNDSHYLQHIFVLLTGRQLDEAVQQAVSKGDVRLACLLGQAGGSTVNRSDIGRQLDIWRNKGLDFSFIEKDRLRLYELLAGNIHDALLGYSKLDWRRFLGLLMWYKLPPDTSLPNAFRTYKHFLDEGRAPFPVPLFIDEGTSEEAISCSADKHFDISFYLMLLHASEETEFGFLKNMLSAFSSTPDPLDYHMIWHQRAVLEAVGVIHSNDLHVLDMGYVSQLLCLGKCHWAIYVVLHLPIREDYPYLHVNLIREILFQYCETWSSDESQYQFIEDLGIPTEWMHEALAIYYSYNGDLSKALEHFLQCANWQKAHTIFITSVAHKLFLQAKHTEIWRIVTSMEDHKSEIENWELGAGIYISFYWMRNSLQGDTNAMTELDSLQSKNAALEELVSQLNESLAFWGSRLPVDARVVYSKMAGEMCNLLLSAVGEGATRDEQFSCFNTAFSAPIPEDLRSGHLQDALHLFTSFLSEIAT >OIW16868 pep chromosome:LupAngTanjil_v1.0:LG02:12554505:12555870:-1 gene:TanjilG_16448 transcript:OIW16868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRRSRSRQSGASADITDAQITDLVSKLQQLIPELRARQRRSDKVSSAKVLQETCNYIQNLHREVDDLSDRLSLLLANTDSNSAQAAIIRSILM >OIW17255 pep chromosome:LupAngTanjil_v1.0:LG02:333339:338989:1 gene:TanjilG_22367 transcript:OIW17255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQRDSSRRSFSSAKRTSESGVPHSTARKSLSSIRSPLGLTGERTVKSLRLSKALTVPDTATIYEACRRMAARRVDALLLTDSNGMLCGILTDKDIVTRVIARDINLEETSVSKVMTKNPTFVLSESLAVEALQKMVQGKFRHLPVVENGEVVALLDIAKCLYDAIARMERAAEKGNAIAAAVEGVEKQWGSSASVSNLSFIETLREQIFKPALSTIIPDNSKVVTVSPEDSVLTTTKKMLELRASSAIVIVDGKPRGILTSKDILMRVITQRLPLESTPVEKVMTPNPECAVIDTSIVDALHTMHDGKFLHLPVVDRDGSVVAVVDVIHVTHAAVTTVIQVGNNEAATSMMQRFWDSAMVSTPNDDDDETRSDNSLIMASEGGEIGRSLPYISSSMSNTFSFKVQDKKGRMHRFTCGMQIIICYLTYLFSPPFYL >OIW17403 pep chromosome:LupAngTanjil_v1.0:LG02:1636271:1637989:1 gene:TanjilG_22515 transcript:OIW17403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSERRYLEDDDTSLMKTVKGATTGLVAGTVWGTIVATWHDVPRVERNVALPGLLRTFRMMGSHGLTFAAIGGVYIGVEQLVQNFRGKRDFVNGAVGGFVAGATILGSKGRSIKTAISAGSALAFTSSIQDINQQTMKHDSGVEYAAYTTKKRPSVDS >OIW17495 pep chromosome:LupAngTanjil_v1.0:LG02:2430633:2433069:-1 gene:TanjilG_22607 transcript:OIW17495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATMGRASSGLQYPERFYAAASYVGFDGSTSPTKSKFSNSTALLLYTLYKQATIGPCNIPEPSTWKIVDHSKWARLVCFFSTKDHLVFLTPGVEKFSRRKLVKGDHYFDCVTDVLSKVVAEPNLLELEEEARADCSNDEDPEKGPNEDHHSDSHRHCYLKPRASNNNTDCTKFMVIDSSLVHGGNSSDVKSLPGNSVGKIDVDAAGITYNGIKPMSKACHKKNMSKRIKQRLTKFTVIDTSLLYKGKSLKVGKMRYLPVELEDSSKMDDHSSKSKGRFSDDNSRYEAEAKMTIYDEKNISNTDCQKSIYSRDATNRKAAHVNQDDHASKTSESNQNQKACVFNDNQLVRTIKHQFSRRARSGNSNHPVPPIKRRRLTACVNYETNCILENSSGCLGSEKLALSRSLSFPDANKNVGDPFSLHQNGGSIASSADGNVEENNEEITINEIYSTCGKVDKCESKSPMLKEDKKSVKADDPCLTSDTQEAIEKQLRISDDVRSMEQQPDIHPRRQSTRNRPLTVRALESLANDFFHKEMKQKRKKHPDTRKSFRTLPKS >OIW16180 pep chromosome:LupAngTanjil_v1.0:LG02:22567170:22568615:1 gene:TanjilG_18895 transcript:OIW16180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPSVATTLVSKSTIFPHQKSTLAYLKLSVSDLPMLSCHYIQKGCLFTKPSIPTHTLIQLLKSSLSHTLSLFPPLAGRLTTDSNGYIYITCNDAGVDFLHVNATSYKICDLLSTLDISPLFKEFFTFDRMVSYNGHFSPILAVQVTELSDGVFIGCAVNHAVTDGTSFWNFFNTFAQVCRGASKGMNKVPDFTRESVLISNAVLRLPEGGPKVTFDADAPLRERIFSFSRESIQKLKARVNCRKWTEDNGVELIMKNSNDYQHKESNKVATIFENWFLKNADNSKPLIADETVEISSFQSLCALLWRAVTRARKFQASKTTTFRMAVNCRHRIEPKLESYYFGNAIQSVPTYASAGEVLSNDLRWCAEQLNKNVRAHDNSMVHKFVEDWEKNPRVFPLGNPDGASITMGSSPRFPMYDNDFGWGRPLAVRSGKANKFDGKISAFPGRDGSGTVDLEVVLSPETMAGLESDPEFMVYATRQL >OIW16296 pep chromosome:LupAngTanjil_v1.0:LG02:23538705:23555470:-1 gene:TanjilG_19012 transcript:OIW16296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFFRNSQNDTVSHSVMDDKVQGQNANRVHTLVGNEFADATYSEKEFDMNMEAQYESDGEPDGASRVQNKAATDDGVATRESNIQTAGSKAAMSGRWGSTFWKDCQPTRPQSGSESGHESKSGSDYRHEDCSEGNSLDGRGERLDSEDDDEQKEAGKGPVGHPDVPAEEMLSDEYYEQDGEEQHSSLHQRIHMPAGSNSWPPRVSTTVNRNSNRKSRISDDDDNVDNDGDADYEEEDEADEDDPDDADFEPATSVHAANKDKDWEGEGSYEDDDSDENIDVSDDDDSRYGKKPKGRQRGKVVKDLKSTRDRKTYPLSGRQRRVKSTFEDDESIAEDFDSDSDEDFKSIKKRGIHVRKNNGRSSASTSFSIRNNEVRTSTRTVRKVSYVESDESEEADEGKKKKSQKEEIEDDDSDSIEKVLWHQPRGMAEDAQRNNRSTEPVLMSHLFNSEVDWNEMEFLIKWKGQSHLHCQWKSFAELQNLSGFKKVLNYTKKIMEDIRHRKTISREEIEVNDVSKEMDLDIIKQNSQVDRIIADRISKVNSGTVVPEYLVKWQGLSYAEATWEKDTDITFAQHVIDEYKDREAAMSMVQGKTVDSQRKKSKASLRKLEEQPQWLKGGKLRDYQLEGLNFLVNSWRNDTNVILADEMGLGKTVQSVSMLGFLQNAQQIHGPFLVVVPLSTLSNWAKEFRKWLPDMNIIVYVGTRASREVCQQYEFYDDKKAGRPIKFNALLTTYEVVLKDKAVLSKIKWSYLMVDEAHRLKNSEAQLYTALLEFSTKNKLLITGTPLQNSVEELWALLHFLDADKFKSKDDFVQNYKNLSSFNENELANLHMELRPHILRRVIKDVEKSLPPKIERILRVEMSPLQKQYYKWILERNFQDLNKGVRGNQVSLLNIVVELKKCCNHPFLFESADHGYGGDSVDNSKLERIVFSSGKLVILDKLLVRLHETKHRVLIFSQMVRMLDILAEYMSLRGFQFQRLDGSTKAELRQQAMEHFNAPGSDDFCFLLSTRAGGLGINLATADTVIIFDSDWNPQNDLQAMSRAHRIGQQEVVNIYRFVTSKSVEEDILERAKKKMVLDHLVIQKLNAEGRLEKKEAKKGGSYFDKNELSAILRFGAEELFKEERNDEESKKRLLSMDIDEILERAEKVEEKEAEGEDGHELLSAFKVANFRNDEDDGSFWSRMIKADDVFQAEEALAPRSARNIKSYAEANEFERSNKRKKKEPEPPERVQKRRKAEYSGPAVPMIEGASAQVRNWSYGNLSKRDALKFSRAVMKYGNKSQINLIAAEVGGAVGSAPTEAQTELFNALIDSCTEAVELGSQDLKGGPLLDFFGVPVKANDLLTRVQELQLLAKRISRYEDPIAQFRVLSYLKPSNWSKGCGWNQIDDARLLLGVHYHGFSNWERIRLDDRLGLTKKIAPVELQHHETFLPRAPNLRDRANALLEQELAVLGGNRANSRVGQKPSKKEREHMMNNSLLRGQEKKKPGSAKANVQLRRDRLHKPQNVEPIVKEEGEMSDNDEVYEQFKEVKWMEWCQDVMVDEMKTLKRLHRLQTTSANLPKETVLSKIRNYLQLLGRRIDQIVLDHEVEPYKQDRMTVRLWKYVSTFSHLSGERLHQIYSKLKQEQQDEAGVGPSHVNGSVSVPFSRNGNPFSRHMERQRGFQNMTHYQMSEPVNNTGKSEAWKQRRAESVDQLQSHPPPQRTTSNGIRISDPSAQGILGAGPSDKRFVGEKPYRTQPGGFPSKQGFSSG >OIW16439 pep chromosome:LupAngTanjil_v1.0:LG02:24533328:24534123:-1 gene:TanjilG_19155 transcript:OIW16439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVVSNCAAIVKGRLKDEVFVATMPLRATKGPTHLFMSAAYNLNLWDLQHFMVIIKHYQHVPLFPLSLTLTSLQFFLVFFILLRLCFFQVLVFDFQPKDPEDIYVALQVLSGRAVPGTLLVRKLKTMPRRKCWLVGYSKGDGVEIASEFNKKWETKLRVGLNDCRHYTNGLVEQLTGEKDVLNRLRNNHS >OIW16880 pep chromosome:LupAngTanjil_v1.0:LG02:11220974:11230253:1 gene:TanjilG_01745 transcript:OIW16880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKASYWAIQSFLIFSFFVAGIHGNKQTQALQKLYKLKYKANSQIDRSYFKAQELVGDLIIDSNKGLKEKDKIDKLPGQPPVNFSQYGGYVTVDKSVGRAFYYYFVESQHSKKTKPLLLWLNGGPGCSSLGYGAMEEIGPFRVNSDRKTLNQNIYSWNNAANILFLESPAGTGFSYSNKSSEYETSGDRKTAIDNYVFLVNWLERFPEYKKRDFYIVGESYAGHFVPQLAQTILQQNKKANHTIINLKGIMIGNPVIHDERDNKGTYDFLASHAIISDQTAYDFNKFCNNSSDPKNIPIQCDKAQDEFSKDTDDIDLSNIYAPNCKNDDDPNLTATTKMNSIVNDPCSDDYVHTYLNRGDVQEALHANVTKLKYTWELCSSILLWVDSSPTIIPLLHEFLNNRLRVWIFSGDVDGIVPITSTKYSIKEMNLSTKTAWHPWFIDREVGGYTQVYKGDLTFATVRGAGHQSNPPHRGVSRSRSTVGTQSPCGSDERSRWSTGTPHEPCRRASCWLP >OIW16336 pep chromosome:LupAngTanjil_v1.0:LG02:23847047:23849817:1 gene:TanjilG_19052 transcript:OIW16336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEILLVSSPPLLYSSSSYPPIPKGVSTRIMFKCSLELPLSTKLVTKHVLSAFAASIILLSSTNQESVAADLSHQRNIYQLARADDNAVNLPFRNEIEEVGNLMMMRGMTAKNFDPIRYSGRWFEVASLKRGFAGQGQQDCHCTQGVYTFDREAASIQVDTFCVHGSPDGYITGIRGKVQCLSEEDLEKNENDLEKQEMIKEKCYLRFPTLPFIPKEPYDVIDTDYDNFALVSGAKDRSFIQIYSRTPNPGPEFIEKYKTYLGNFGYDPNKIKDTPQDCEAMSNTQLAAMMSMSGMQQALTNQFPDLELKRAVQFNPLTSVFDTLKKLVELYFK >OIW17556 pep chromosome:LupAngTanjil_v1.0:LG02:202878:206275:1 gene:TanjilG_08834 transcript:OIW17556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNNIGNVGDGTIDPSKEEEEEENFDVTNEEERKVEEKGIETLLFDATTWNDIRMELKSIREKKHNEDRTISPLQQLKNKNLSRGYEAVLRSMLKMMEYCDVKGFVYGIIPDKGKPVTGCSDNLRSWWKEKVRFERNGPAAIAMYEKENGNVGMNLVPKEKPPLFILHQLPDTTIGSLLSLLMQRCNPEQRKYPLDKGIAPPWWPKGNEIWWNEMGFSEDLAPPPYKKPHDLKKVWKVSALVAVIKNISPNFEKIRRAVLHSKTLQHKFTAKDISIWSSSINQEESLAKKMHPELFPPNNEPKEDSGNSHHPIMFSEENDYDVDPSMTNVDYWHDILEGNNNLLHQSPNNDNYLPQSSMDENVLNTNTNTNTNTNTNNNTNTNNNKSSNNNHVMPLNVLADKKRKGKLVERTSFEYDIYNCENPNCFHHDYCFGFSDKNVRNNHQLTCSKNTSSNHVLMIGGSNSQLRNNTVPVMSHDEPSQRVAQIPNKTLPVVTEGGREMVSNMMSINASSVNNNNNNNMGLISPETMIPLDKLSKEPHMDMDFHDHCVGAEKNINYEGATRNKIPLGNLYQKAQKDKSFNGQGVDAEKNMKNKSNGEGVDFNGLTSSIFEQNIFYSLNDDANSYAFITE >OIW17489 pep chromosome:LupAngTanjil_v1.0:LG02:2376000:2389386:-1 gene:TanjilG_22601 transcript:OIW17489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRSSRIIYVGNLPGDIRLREVDDLFHKFGPIVDIDLKIPPRPPGYAFVEFEDARDAEDAIYYRDGYKFDGQRLRVELAHGGRGHSSSVERYSSYSGSSGSRGISRRSDYRVLITGLPPSASWQDLKDHMRKAGDVCFSQVFRERGGMTGIVDYTNYDDMKYAIRKLDDSEFRNAFSRSYVRVREYDRRSYSRSPSRDSRGSYSRSPSRSPYMSRSRSRSHSHSYSDRSRRALCVMVSVACGAQMPATLWFEGIDLKAGGKSKKTKRTAPKSNDIYLKLLVKLYRFLVRRTGSKFNAVILKRLFMSKVNKPPLSLSRLIKYTKGKEGKIAVVVGTITDDIRTYEVPPLKITALRFTETARARIEKAGGECLTFDQLALRAPLGQNTVLLRGPKNAREAVKHFGPAPGVPHSHTKPYVRSKGRKFEKARGKRNSRGFRV >OIW16803 pep chromosome:LupAngTanjil_v1.0:LG02:15164502:15166124:-1 gene:TanjilG_01368 transcript:OIW16803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTERYDHIMKDKHEKIFSEDFYDSGSSEHSDSNSEVTNGSGGGIDSEGKLNIKSRGEITKNNYSDTHIHGTKSISASTRMSSSSSSESSSSNGYFGIDTLKFTTSGASTSKCGKGYVSSSESSSKSEADNSLSAPTSTFQVDNVTHNQKGLPPTVSPPIQVMDRSGGYDPVRIPSAVFDRNTNPLEWSAASNDSLFSLHIEDNSFSREHTFGEVSISPKLTKSSETDLFSRNPSVIIEEIDKARKSFDVENPHTTETSHEAFKFEERLSEDQSEFKNSDHTAKRSVHDHYATVLIAAACSAIHGQPAAIRGQAVVQIVAGCSATVGTLATLKAVVMPLPLYAFKTL >OIW16990 pep chromosome:LupAngTanjil_v1.0:LG02:9222928:9224275:-1 gene:TanjilG_32857 transcript:OIW16990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNDNTKGKSSGNVGGFRSKLDHYLHSGEKKHVFVGIVLITAVFSVPWYFMTRGSKQHSHQDYLEKADKARSQRLSSSSASAK >OIW16501 pep chromosome:LupAngTanjil_v1.0:LG02:21149282:21150138:-1 gene:TanjilG_32171 transcript:OIW16501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKKLRGFIAEKGCAPLILRLAWHSAGTYDVKTKTGGPFGTIKHAAELAHGANNGLDIVVRLLEPIKEQFPILSYADFDQLGGVVAVEITGGPEVPFHLGRETRRNE >OIW17130 pep chromosome:LupAngTanjil_v1.0:LG02:4902036:4907452:-1 gene:TanjilG_27284 transcript:OIW17130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKENSVVLKAGEFPGRMTRAQVASSRVSRQLAPLKEPSRRNQNQPLCANPKRAVSDSGSTCLQHKKRVVLEDVTNVCCQNSYKSCFDSTIIQAKKRKVVKASRTNVAKAAPSVAVELPQLQSKSEDAICSTNLENNSFLKLSSNKCGKDDSTSGTSAQPTDSKKKAKKGNFYELLIASKGPVITDIDDNFEDPQLCSHYVTDIYNNLRVAELARRPHPNSMETVQQDITQSMRGILIDWLVEVSEEYKLGQDTLYLTAYLIDWFLSKSYVERQRLQLLGITCMLIASKYEEINAPHIEDFCFITDNTYTKAEVLRMENQVLKLSEYKLFAPTTITFLRRFLRAAQASDKSPSLELEYLASYLAELTLMDYDFLNFLPSIIAASVVFLAKWTLDQSNHPWDPTLEHYAHYKASDLKTAVFALQDLQLNTNGCPLNAVRSKYRQEKFKCVADLSSPKLIETLF >OIW17285 pep chromosome:LupAngTanjil_v1.0:LG02:665509:669234:1 gene:TanjilG_22397 transcript:OIW17285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LSLMDNLPKSEANTTPLTPLSFLTRASKCYANRASIIFEGTTFTWKQTYERCCRLAFSLRALNIAKNHVVSVLAPNIPAMYEMHFAVPMAGAILNTINTRLDANNIATILHHSEAKLLFVDYQFVPKAKEALQLLMEKEGTRTQATNKYSYSSNLPLVVVIDDINSPNGIRFGELEYEQLVHNGDPNFVPYQVQDEWAPIALNYTSGTTSAPKGVVYSHRGAYLSSLSLILGWEMGTEPVYLWTLPMFHCNGWTLTWGVAARGGTNVCLRTTTAQDIYRNIAKHNVTHMCCAPVVFNIILESKSGIEPNEIKLKSPVQILTGGAPPPPTLLEKIESIGFHVTHAYGITEATGPALVCEWQRQWNKLPKQEQARLKARQGVSVLTLADVDVKNLDTMESVPRDGKSLGEIVIRGSGIMKGYFKDPMLTSKALCNGWFRTGDVGIIHSDGYIEIKDRSKDVIISGGENISSVEVESILYKHPRVLEAAVVAMPHPKWGESPCAFITLKKNIIGKSENDVTEADIISYCSKNMPKFMAPKVVKIVEELPKTATGKVQKHELRVKAKGFAVTHYEHILGVSRL >OIW17253 pep chromosome:LupAngTanjil_v1.0:LG02:310576:313318:-1 gene:TanjilG_22365 transcript:OIW17253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKLWTLITQLHSIAGPVLTLLYPLYASVVAIESPSKIDDEQWLAYWIIYSFLTLTEMVLQPILEWIPIWYDVKLLMVAWLVLPQFKGASYLYERFVREYIRKHATEREHQQVLHQPEKKSLNVGKTKKKFVEFIIPNKVGTGGSRHLLNLKDGAE >OIW16196 pep chromosome:LupAngTanjil_v1.0:LG02:22709790:22720433:-1 gene:TanjilG_18911 transcript:OIW16196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSRFFQKSPSKQQRQQQKVVNSSFSSADFNPRVLLHYGVPSNASILAFDRIQRLLAVGTLLLIAYENGVMVLWDASEDRIVLIRGYKDTELKRKNVTIFPNDPKDELSDDQLDHEEEDKEICSVSWASSDGSVVVVGYVDGDIMFWDLSTADSPSGQAQKVSKIVIKLQLSSADRRLPVIVLQWCATKSLNNSGGQLFVYGGDEIGSEEVLTVLSLDWSSGIENLKCTGRIDVMLSGSFADMVLLSSDFHTEGACNMLFILSNPGQLDLYDKDCLSSLMSRQEKKTSAPAMQYPMAIPALEPYMTTARLGVVYQDVKSFRALSEILVAAKQHSVQNQTSTGIKWPLTGGVPGQIFKEYHHIIQIYIAGYRDGSIRIWDATYPALSLVYNIKSKVSDIKVGIASAPVSALDFCPDTLHLAVGDESGVVALLDVNSSSVLFLTNTESNTSSALVSLNAKFSDVCSLNIPQESVSDISDSSGKGPVFIMTRDANLVVIDTAKGDVVCSRTMNPKKSDSGIQANIPSENAQVETSTPLENSYLGLIALNSLILLCYETELSLHSLKFVIEVNGNETSVLSLLTRENEFCVLAAAVDVTTSLSPDQNERQGAPGIFINFVKNFKAGTADQNAIQAVHANNLEQIFSYPPFLKPSLDTLDTKDAFELDIDEIHIDEPLVVLYPQKSDIDKRDKGKGTDREKLLEGASTDSKPRARTAEEIKAKYKKTGDASAAAALARDKLVERQEKLQMLSQRTEELQSGAQDFASMAAELAKRMENRKWWQL >OIW16401 pep chromosome:LupAngTanjil_v1.0:LG02:24276016:24281138:1 gene:TanjilG_19117 transcript:OIW16401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEESANVVANTDSNGNNLPGKTLNDMSEKKDLEADQGKALGDNGVKDLKEDDIKEMEEDKKAGGELKDDNKVGGGEEVKEDKEVYSVEGKEDGKKDDNISGNEKLNEGTEVKETVGSKEEKGNIEAKKPELDAKEAIGVCKGKDEGSEKEKIQVEEKDEDKVDKPKEEEKIEDSKIDKGLKKIGKGKNIREKAKSKGNETVDSKGEKENVEAKKPDVGEIEEAAVPEDKDESSEKNKIQEKKDGDKVNKSKEEENVDDSKVKKGQRKRGKGKITGEKVKRKGKDTVDSEKEKESVEAKKPELDAMEEEGVSKDKEESSEKEKIQEEEEEEDTDKGSKKRGNGKINREKVEKKRKVLKETEPRTPAIIRPTRERKTVERLVASIEKDANKELHIAKGNGTPLKDIPNVAFKLARRKIDDTLKLLHTILFGRRGKAIQVKNNISRFSGFVWHENEEKQIIKVKEKLDRCNKEKLFEFCDLLDIQIARSTIKKEDIIAKLVDFLVAPQATTDVLLAEKEKLIKGKKRKSTAKRSSRSGTTSSGRAAKSRKKIEGSSVAEERKSTTDTEDESEVEGKDEEPEKNDNGAPDKSEDEVPEKSESEEKGDSDNESQDVKKPKKTNKASSSRKNESAAKSKIPKNTVTTKPRSVPKRTSKKSSATGSEFDDGSDASPKVSSRKKKIENEGKQKISAPNKSSSKEKTEKVTKGKGKSKEKVDPSDDQLRDAICEILKNVDFNTATFTDILKQLGMHHSFLPSFVS >OIW17447 pep chromosome:LupAngTanjil_v1.0:LG02:1946729:1961221:1 gene:TanjilG_22559 transcript:OIW17447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMEEHSLTEWRAHCGPYLGDISALCFLHFPSPNHSLPFLLAGLGSEIMVYDLDEGKVMRSFSVFQGIRVHGITSSFLQPSLIAVFGETRVKLFSFSFDTVPHLTLIHLLPKFGHWVLDVCFLKGCLSDSNVDGHFLAIGCSDHSVHIWDISKSITVLKIQSPVRCLLYSMRLWGHNLEDLRIASGTIFNEIIVWKVASHHNKSSRRGEDHYNQSDSFSICFPPKDQLYEAVHICKLIGHEGSIFRIAWSSCGSKLLSVSDDRSARVWDVPIERQDSLCHDPVALVLFGHNARVWDCCISDFIIVWKVASHHNKSSRRGEDHYNQSDSFSICFPPKDQLYEAVHICKLIGHEGSIFRIAWSSCGSKLLSVSDDRSARVWDVPIERQDSLCHDPVALVLFGHNARVWDCCISDFLIVTASEDCTCRVWGVNVHRPRVPMKGLAAAQGSPGETEMFSVSMPNVLEHNGLTDSKSEYVRCLRFSRQDTLYVSTNHGYLYHAKLCSSGGAQWNQLFQVSNRAPIVCMDLLSMDSFELECGVDDWIAIGDGKGNMTVIEVANVNCTPTVRSSITWPAEIERQLLGAYWCKSLGSDPRGTLKLWRLPDPSQYNLQSSMSSNSVSHIAEFISSYGMRIMCLDASMEEEVLACGDVRGNIVLFPLLKNLVLGTYVTQEVKVLPVNHFKGVHGISSVSSVYVTKFGYNQIEICSTGADGCICYLEYDKDMQNLQFTGMKQVKELTLIEHVSVNNNSADSSSSSYAAGFASVDFIVWNLANENKVMKISCGGWRRPHSYYLGDVPEMKNCFAFVKVLEIGRHQNCLVNMLEDDVSDAKGDLNAADEEKDNPTLLISVGAKRVLTSWVLKNRRPETDHQYNSKEVDESSLLGSMTFQWLSTDMPAKYSTTHKYPVSDVDNGVIENVSNNAKPQSPFSERGMMNLVRDKYEDDWRYLAVTAFLVKCASSRISVCFVVVACSDATLMLRALILPFRLWFDVALLVPLLAPVLSLQHIIFPVHKPYKENVRVGNIYIVISGSTDGSVAFWDLTGSVEAFMQRVSVYDVEKFFDCQKRPRTGRGSQGGRLWRSLRSDLRKKKQGSGLVTSKSKSKDKTKSITCATHGSPPTPNDSEDSKMVSSQAMDTASPESEIKTDNSSVEVCEIQPLRHMQNIHQSGVNCLHVSEIKGGHTTDSCHVYSIISGGDDQALNHLIVEFSLKSTNLSSEVMAPDITHLVSEPENEKDINYQIRFLIHENIPSAHSASVKGVWTDGSWVFSTGLDQRVRCWHLRESKLIEHAHLVVSVPEPEALSARACGRNHYQIAVAGRGMQIVDFSES >OIW16677 pep chromosome:LupAngTanjil_v1.0:LG02:18288896:18290004:1 gene:TanjilG_28734 transcript:OIW16677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEGSCNDESTTTESLSITLPPTTTLLPFPTISKNMSPPPSNSLCRIGSGASAIIDSDGSSGTEAESRKLSSSKYKGVVPQPNGRWGAQIYEKHQRVWLGTFNTEEEAAKVYDIAAHRFRGKDAITNFKALDGGNDDIEAEFLNLHSKSEIVDMLRKHTYNGELDQSKRNLGFFDRRLSRGTAANTGGACGNTAREQLFEKTVTPSDVGKLNRLVIPKQHAEKHFPLQNGVNGIAAGKGVLLNFEDVGGKVWRFRYSYWNSSQSYVLTKGWSRFVKEKNLKAGDSVCFYKSTGPDNQMFIDWKVRNVNEVGLVEPGFEPVQYWWMLQWEEERDGNVYIRVYQEA >OIW17269 pep chromosome:LupAngTanjil_v1.0:LG02:476257:483406:1 gene:TanjilG_22381 transcript:OIW17269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGIRLQPEDSDVSQQPRAVAVSASDLVSDDDRSVAADSWSIKSEYGSTLDDDQRHADAAEALSNVNFRAASDYSSDKDEPDSETVSSMLGFQSYWDAAYTDELTNFREHGHAGEVWFGADVMEVVASWTKALCIDISQGHTPNHVDDVKAGANELDNKLLSSWSVLDIGTGNGLLLQELAKQGFSDLTGTDYSELAVSLAQSLANRDGFSDIKFLVDDVLETKMEQVFQLVMDKGTLDAIGLHPDGPVKRMMYWDSVSRLVAPGGLLVITSCNNTKDELIQEVESFNQRKFATGQELEASKNEESCRDPVFQYVSHVRTYPTFMFGGSVGSRVATVAFLRK >OIW16670 pep chromosome:LupAngTanjil_v1.0:LG02:18060136:18064253:-1 gene:TanjilG_28727 transcript:OIW16670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMISTLSFPLLVGFPISRSTRRPPQPTLAVAASSSEAPATESTAQTKLLTFLGKGGSGKTTAAIFAAQHYAMTGLNTCLVIQGQDTTADYLLNRKIGSTPAVCSNNLSAVRLETTKILLEPLKLLKQADAKLNMTQGTLGGIVGEELGILPGMDSIVLVLALERLVGFLGIAASKNHQDKFDVIVYDGISSDDTLRFVGASSKSRLYLKYLRNLAEKTELGRLAAPSLLRLVDEATRISSSRSLFSGSSEIWDTSEEFLERASHAFSNPQRFSSFLVMDPNNPTTVNSALRYWGCTIQAGAQVSGAFGVTPLQENLESSERAKKEFSPLPSAFISNLSMNNPVDWSRVLLDTGNEDARSLLTLLTSQSSSVPSSVTFDRKRKSVTLFMPGFDKSEIKLYQYRGGSELLVEAGDQRRVIPLPKEIQGKVGGAKFTDRSLVITLL >OIW16599 pep chromosome:LupAngTanjil_v1.0:LG02:20053757:20056129:-1 gene:TanjilG_02805 transcript:OIW16599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSDTIKQPHALCIPYPAQGHINPMLKLAKLLHLKGFYITFVNSEYNHKRLLKSRGPDSLNGFSSFRFETIPDGLPESDCDGTQDIPSLCESTRKTCLPHFKNLISKLNDASDVPSVTCIVSDGIMSFTVDAAQEFGIPVVLLWTTSACGFMCYTQYHQLIEKGITPLKDSSYLTNGYLETTIDWVPGIKEIRLRDFPTFMRTTNPDEIMLDIILGECERAQRASAIIVNTFDALEHDILEAFGSSISPPVYSIGPLNLLLNDITDNDLKTIGSNLWKEDPKCLEWLDTKEPNSVVYVNFGSITVMTSDQLIEFAWGLANSNKPFLWIIRPDLVTGENAVLPLEFVTETKNRGLLSSWCSQEQVLSHPAILGFLTHSGWNSTLESLCAGVPMICWPFFAEQQTNCRFSCNEWGVGLEIEDVKRDKVESVVRKLMDEKKGKEMKERALEWKKLAKDAASGPYGSSFLNLENMIRQVLL >OIW17053 pep chromosome:LupAngTanjil_v1.0:LG02:6541425:6543466:1 gene:TanjilG_02421 transcript:OIW17053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFLQIKKFIKSHKAGGENNLADKAVPESEEPKPNSGGLDRRKSANDDSVTEAEDDDDFITNEVKRRLKELRRNSFMVLIPEEESCVEEGEDEDEAGETISNEWRDVEAEGQQWWRGFDAVYENYCERMLFFDRMSTLQLDEVGKGSQSPLTPSPRSASKKLASPLRCLSLKNFEEPDDEIDHLQQPENDPYQDIETAYVGQICLTWEALHCQYCHMSQKISWQPENPTCYNHSAQQFQQFQVLLQRFIENEPFEQGTLAEIYARTRKTLPKLLQVPNIQGSDPESTDDSDMRVLAPDLIKIIEISILTFHLFLKRDKKKSSVHVNLFGNQSQLATSLHQVQSTLEKRIDNNFIFSPLNYELLGSVNYIKLAVCS >OIW16142 pep chromosome:LupAngTanjil_v1.0:LG02:22174931:22177316:1 gene:TanjilG_18857 transcript:OIW16142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVLLLKAKGLHDMDIFARMDPYVLLQYKGQERKSSVHHGGGSNPVWNEKIVFRVEYPGSGNQYKLDLKIMDKDVFSADDFVGQTTIYVKDLLAEGVEKGSSELRPHKYRVVGADQSYCGEIEVGITFTLKEEEYDNEDIGGWRQSYF >OIW16297 pep chromosome:LupAngTanjil_v1.0:LG02:23560165:23561063:-1 gene:TanjilG_19013 transcript:OIW16297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMASLQKQRHLVLGRIRHRYIVKLLAFCSNRETNLLVYEYMPNGSLGEALHGKKGEFLKWDTRLKIATEAAKGLCYLHHDCSPLIIHRDVKSNYILLNSNFEAHVADFGLAKFLQDTGTSECMSSIAGSYGYIAPGPCPACRWIPTLGKDSTNTKENSFAFSLMLTENILYFCMP >OIW17560 pep chromosome:LupAngTanjil_v1.0:LG02:164568:168012:-1 gene:TanjilG_08838 transcript:OIW17560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHAASAPAFCATYPHNLHYPRCIINLSVKARFSIRCSVPQTNNEAKVEYTPWLIVGLGNPGTKYHGTRHNVGFEIIDSVSRAEGIRLNTIQSKALIGIGSIGEVPVLLAKPQTYINFSGESVGPLAAYYRVPLRHILVVYDEMSLSSGVLRLQQKGGHGHHNGLKNVIGHLESSREFPRLAIGIGNPPGTMDVKAFLLQKFSSEERKQIDDSLEQGVEAVRTLVLNGFNHHVTRFNTTQKYKYHKV >OIW16968 pep chromosome:LupAngTanjil_v1.0:LG02:8561433:8565371:1 gene:TanjilG_32835 transcript:OIW16968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRFPSHQLSNGLFVSGRPEQPKEKLPTMSSVAMPYTGGDIKKSGELGKMFDIPTDGSKSRKSGPLNSAPSRTGSFAGTASHSGPIMQNAAARSLYTTSGNISASASMKKTNSGPLNKHGEPIKKSSGPQSGGVPRQNSGSVPPVLPTTGLITSGPLNSSGAPRKVSGPLEPTGSINKAHSFSVAHNPAVTNLTLDDEYSFRRNIPKPILWSVILIFVMGVIAGGFILGAVRNAILLIVVVVLFGVVAALFTWNSCRGRKAIVGFISHYPDAELRTAKNGQFVKVSGVVTCGNVPLESSFQKVPRCVYTSTSLYEYRAWDSRAANPEHHRFTWGLRSAERHVADFYISDFQSGLRALVKTGYGARVTPYVDDSVVIDFNAANKDTSPHFLRWLGERKLSSDDRIMQLKEGYIKEGSTVSVMGVVQRNDNVLMIVPPPEPLTTGCQWAKCIFPATLEGIVLRCEDASKIDVIPV >OIW17277 pep chromosome:LupAngTanjil_v1.0:LG02:574971:582977:1 gene:TanjilG_22389 transcript:OIW17277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRDAIEMYRSMRWAGVNPDSFTFPYVLKACTELLDFGLSRLVHGQVFRHGFGLDVFVQNGLVALYAKCGRIGNAKVVFDGLYDRTIVSWTSIISGLAQNGEAMEALRMFSQMRNTDVKLDWITLVSIIRAYTDVDDLEQGKTLHGCVIKMGLEDEPDLLISLTAFYAKCGQVTVARSFFDQMETPNVIMWNAMISGYAKNGHAEEAVQLFRDMISRNVRPDSVTVRSMVLACAQVGSLELAQWMDDYVRKSKYGGDIFVNTALIDIIDFKIRTIELDGKRIKLQIWDTAGQERFRTITTDIRNWIRNIEQHASDNVNKILVGNKADMDESKRAVPTSRGQALADEYGIKFFETSAKTNMNVEEVFFSIAKDIKQRLADTDSKAEPQTIKINQPDKSASGSQGGQKSACCGS >OIW16353 pep chromosome:LupAngTanjil_v1.0:LG02:23973237:23976896:1 gene:TanjilG_19069 transcript:OIW16353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEDKKGAKVLANSLHNLHLNPPSTINNSIPITHLQFPGLLPKKIKPPSLVSLCVGVIGRHLEDIITDLNEIATNLPADIKMALAAIARRRRLLNDDVLIALADTSWEILDVSGSDVSDSGLIKAAEVCRFIKALDISRCTKITATGISELVKHCRLLETLRCGGCPRSDSTARRCLNIFIPRLEYVEEDSWEELDTKEITTGAHSLRWLVWPNIDKNSLEDFSRECPRIVVNPKSSPFGFMGTQVPWEALQNIVLDDAVVKDIDPRTWTVHGIAVKPILPPSSSSSELSLAEKFRLAFEERDNRLAPKRAKNARQHQRRAARELILMSTRAKSMVLASQVSKSLNSRGS >OIW16783 pep chromosome:LupAngTanjil_v1.0:LG02:15883174:15885634:-1 gene:TanjilG_05517 transcript:OIW16783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQFRQIGEALGSLKAVMVFGENIQINQRQCCLLHDVLSFAYDSIADEIRQNLKFEEKNGKWKVLEQPLKEIHRVFKEGEAYIRQCLETKEWWAKAITLCHNTDCVEFHIHNLLCSMPVVIEVIESAAETSGWDQDEMQRKRLINSKKYRKEYRDTKLFQWKFGKQYLITQDLLNRYDTVWKEDRWFLFNKIHEKKLAGATKYEKKLIDLLLRNVERSESVEGKLLPSSILVGSKDYQVRRRMGNGSQYKEIQWLSESFVMRQFSGDIEALEPEITGLLSLSHPNILDYLCGFTDEEKKECFLIMELMSKTLCNHIKEIYGPRKRIPFLLHVAVDIMLQIARGMEYLHSRKVYHGELNPSNIFVKPRGTSPEGYLHAKVSGFGLYSVKDLNQKGNTNVQNGTLPFIWYSPEVLEEQEQSGGGEANSKYTEKSDVYSFGMVCFELLTGKVPFEDSHLQGEKMSRNIRAGERPLFPLNSPKYVINLTKKCWHTDPNQRPSFSSICRILRCVKRFLSMNPGYNSQPELPPLPPVDYCDVESALLRKFPSWASPESSKMSQIPFQMFAYRVIEREKISMSSKENSESGSDASACDELVTSGDEPFPSIIERKSLVIPDSMNRRLTTRKSLDLKLTKQPGTPRVRSARPPQISPSGRSLRTNSDNRLISSPRMIRRTSSGHASDSELS >OIW16487 pep chromosome:LupAngTanjil_v1.0:LG02:21271549:21273650:1 gene:TanjilG_32157 transcript:OIW16487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTSIHIVAMPYPGRGHINPMMNLAKLLIANNSNILVTFVLTEEWLGLIGSEPKPDNIRFATIPNVIPSEHGRANNFVAFIEAVCTKMEAPFEVLLNRLQPFPTYIIYDPFLFWVVAVANRFHIPVASVWVMSASVFAVFQCYDLLKKNGDYPVNASENGDKLVDYIPGNSSIRLADIPLSDESWRTSRLLETTLNIIPWVHKSQCLLFTTIYELEPRAIDALRAEFSIPIYTIGPSIPCFGDDHITTLSTNHDDLDYFHWLDKQPKASVLYISQGSFLSTSSAQVDEIANGLKESGVGFLWVSRGENSRLKNMCGDKGLVLPWCDQMRVLLHPSIGGFWSHCGWNSTKEGVFSGVPFLTYPIFMDQPINSKFIVEEWKVGWRVKNEVKDDTLITRDEIARLIRRFMDLDSDEGKDMRKRAREFQQICQNAIANGGSSETDVNAFLKQVLEAVKP >OIW16221 pep chromosome:LupAngTanjil_v1.0:LG02:22902974:22903716:1 gene:TanjilG_18936 transcript:OIW16221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKIVIQVHMEREKCRSKAMKIAAASQGVNSVSLEGESRNQVVVTGNGIDSVCLTNKLRKKFHHATLISVEDVIVNPTPNEAENNNSGRGEQNSEMENVLVPYCNNHWNYPPHYAMYHVVYDPYPNYYGCFIL >OIW17328 pep chromosome:LupAngTanjil_v1.0:LG02:984050:988379:1 gene:TanjilG_22440 transcript:OIW17328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIAGYDLDMSYITDQVLAMSFPSERMRAMYRNPLWQVKSVLDMRHQDHYKIYNLCIEESYDPAQFYGRVETYPFDDNHVPALEMIKAFCESVDLWLSSDPKNIAVIHCMAGKGRTGLMVCAYLTYCGMSADEALQLYADRRTTNNEGVSIPSQRRYVEYWESMLSVPRGAGNGSPIVNLPQSCTRELRRIRLYDTVNINTIFFVISELQQVPDQVYHPSVEISRSCCRQVKKGYQRTNSPRYYISYIEDDEKGNQSEPEEPHVVVQMDTESPIIYKKSCLDHYFDKPIRVTGDVRVIFYEKMVGGRLFYCCFNTAFIRNSLLQLTIREMDKVGKKGKSICGSAFCVELLFGPANSGRSSSSISIGDNFSDDSF >OIW17532 pep chromosome:LupAngTanjil_v1.0:LG02:2805486:2810998:-1 gene:TanjilG_22644 transcript:OIW17532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLTKEIMGSSLKIQGFLPHKNQGHFLGNPVPIFLSPMQNRRDLRLRKSVKFPVAAISEDLIKAYVKQHAEKPIQFKVRAVITVKNRSKQDIKDTIVKHFDSITDKIGRNVVLQLISTEIDPKTKAPKKSNESVVKDWSKKSKVRAERTHYTAEFIVDSNFGVPGAITVTNKHQREFFIESIAIEGFASGVVHFPCNSWVQPTTKDHHGNRIFFSNKPYLPGETPAGLKLLREKELKNLRGDGEGVRLLSDRIYDFDTYNDLGNPDNGVDFVRPTLGESQNYPYPKRCRTGRASTDTDIDAESVVDNPRLVYVPRDEQFHESKMETFFAKRVDAVLHTMIPSIKATLSANSQDFNDFSDVDNLYNEKCLNKLGFSDEMLKKLPQVVAKIQEHSKGILKFDTPKIIAKDKYAWLRDEEFARQVIAGVNPVNIERLQVFPPLSKLDPEIYGPRESALKEEHILGQLNGMTVQQAIEENKLFMIDYHDVYLPFLDKINALDDRKSYATRTIFFLTPLGTLKPIAIELSLAHGGPSSRSKQVVTPPMDATATWIWQLAKAHVCANDAGVHQLVNHWLRTHACMEPFILSAHRQLSAMHPIFKLLDPHMRYNLDINAIARQRLINAGGIIESSFTPGRYCMEISSAAYKNSWRFDKEGLPADLIHRGIAVPDSTQPNGLKLLIEDYPYAKDGLLIWSAIENWVRTYVNYYYHHPSMICNDKELQRWYSESINVGHADLRHETWWPTLNNGEDLVNILTTLIWISSAQHAALNFGQYPYGGYVPNRPPLMRRLIPQEGDPEYANFLDDPQKYFLNALPSLLQATKYMAVVDTLSTHSPDEEYLGERNQPSIWSGDAQIIDAFYQFSAEIGRIEKEIEKRNHDATLRNRCGAGVIPYELLAPSSGPGVTCRGIPNSVST >OIW17461 pep chromosome:LupAngTanjil_v1.0:LG02:2088315:2094471:-1 gene:TanjilG_22573 transcript:OIW17461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGGVPTTANTEAQYSSAKTSVWWDIENCHVPKGSDHHAIAQNISSALVRMNYCGPVSISAYGDTTRIPASVQHALSSTGISLNHVPSGVKDASDKKILVDMLFWAVDNPAPANYLLISGDRDFSNALHQLRLRRYNILLAQPQKASVPLVAAAKSVWLWTSLLAGGPPISDGVSQQLGNSNLASSSETSQIPVTNASQMHQQVDSYSEVHTRNQKFPNGGRGFDSRYQGKTNWRTQSQPNGPRAMNPPTVGLQDNHNNINSYQPGNFNPNVPLSGSATNYVPGNTDQSWNNNDNLQGGNQQNPYPQPPRPDSYPMQPPFEPSNSSSLNSHTFATSPVPPTTLGPSFTAGPITNVPDIGNLNISGYHNTAHSPRNVQQRRGESKQNPNSNASQKSRHKVHSSSSTKKLYQSYPHGPEPTPLTAVGNNNLPGNGAWGSPGLPKPSEYVQGLIGVVLLALNSLKNEKIMPTEANITDCICYGDPKHSNTDVKKALESAIEQKMVVKQNLGALQMYIGKNDKLWKCVNPLDGNPKKHTKETWDEIQKFLTTSSGRLAIMGTQCKYEAGIVIRNMCFKDLALGDVLQILNIMEKDDLIEEEDMFVNIVIIGVSMIMIASITIGLFAFPVPNTKTTGTRNTNITEICRKTDEEKACLEAIKHVGEKGTGFDYVKAAINVTRDEFLVLNMPNPLYFKMGLTLLQEQSYKDCLQILELGKEELESLYKMSNSSVDMNLMKQDDLNVINSLSAIISYQQTCYDELLQTNSYQPFQHLLKRTIKLTRMTLTFVNYFFYENPDINPKDEALDINMKMSLDLENIKHDGYPTWIKASSIKRKMLEDGFKQPSAVVAQDGSGQFSTITESLNACQNKSLGSCIIYVKKGKYEERVVIPKDLDHVLMYGDGPMNTIVSGINNSKIFATSFQAATFVVMGKGFICKDMTFTAPSEIIAAPALLVLSDHAAFFNCKIQGNEGTLFAVAQRQFYHDCEIHGHTDIIKGDSATIIQNSQIIVKPQSSNDSNQKEKVVSAQYKLDKYENTGFVFQNCTIIAQGGNNNSLSGTTYLGTPYKIYSTTLVMESYIGDVINEEGWCEWRDNYGTETATFLEYNNTGPGAKTGLRVSWNSYQNISEKGKVVNYTAAKFIQADQWLQSIGIPYQSSFMFG >OIW16568 pep chromosome:LupAngTanjil_v1.0:LG02:20417036:20418414:1 gene:TanjilG_17741 transcript:OIW16568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHNSFDSINLDQILSLFFRDLTSEGIIMKKTQEQNHKAVFLSNENDNNKGQEFKVVNDYNMDHIPLNDLEKQKSLLDNTVDFIFTSNFPEASEFIDRTLKIGGVATVLLNDNPSAAFYKPTNYKVLYMRRFGLIAVAMKKTGPQVTSVVADEITNLSAQRKLLGYATEAKKAALKNLEDVLLEPPRSASGKSRKYLKRTRYLPDLMGDSLESYPRRVFIDVGLPEKDGGSGTDWFPKNYPTRNKNFVIYKIETVTEGSSGKEVSQIEMSDWLRKNVKEEEYVVMKSEAEVVEEMMRSKAIMLVDELFLECKPHLPTKHNGDNVKEGNNRGSRRAYWECLALYGKLRDEGVAVHQWWG >OIW17112 pep chromosome:LupAngTanjil_v1.0:LG02:5211453:5214034:-1 gene:TanjilG_26767 transcript:OIW17112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDETYLERIHPPVTKTFSRNVAHSSVKTRLTNPSHIPQLTYDVPGSSLPHSLIPQGVRSGVDAYGLPNPSSQFTHSFGRGAIGAEWLKAFKGYIENPESMLLLTFFFDDHPLLLANSSWICSPLLIVMPMIMISSPLCRRIAQFSSSVILVSSSLSIASVFVTLCIYHSRITWIL >OIW16393 pep chromosome:LupAngTanjil_v1.0:LG02:24229993:24230907:-1 gene:TanjilG_19109 transcript:OIW16393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIWDYTRILLDKIQKFEPEYAGKIIGYFLSQDNCEQEMAKLASYHDYYIREVAFKAKMYLQSFAARPVMLPISPPLNPQQGFSHFSVISPRTPTSLPGFQVPSPSWDQLSVSRTNLELMAINSLDSMTRLTRQTELLSLENHIDSLDTETGGIAHDYFGLEASAAGFGVKTSRRLSSLSGFRVKTCHYFNKGFCRHGSSCRYYHGQVVSESFPQMYGNDAMTEDQMFSPRSLAQLEAEIVDLMKSRRGRPLSIALLPTAYQDKYNRMLKFDGYLTESQRHGKSGYSLTKLLVRLKNSIQLIQR >OIW17574 pep chromosome:LupAngTanjil_v1.0:LG02:91319:98332:-1 gene:TanjilG_08852 transcript:OIW17574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKINVKTLKGTHFQIQVKPQHSVGDVKRNIEAAQGGDVYPAAQQMLIHQGKVLTDDTTLEENRVSENSFVVIMLSKNKVSSSGGTSTASSAPTNPPTQPAVSLPPTSLPSSTSQSPASAVGEGESNAEQAPVLTPPATAVPSIYGPAESNLPAGSNVESTIQHILEMGGGSWDRETVIRALRAAYNNPERAVEYLYSGIPEQAELPAIGRSPTDEQGPNSSVPVPQPAVSSGGPNTNPLNLFPEGMPDVGAGDLDFLRNSQQFQALRAMVQANPQILQPMLQELGKQNPNLMQLIQEHQADFLRLINEPEGEENLQGELDAEVPETIAITPEEQESIQRLEDMGFDRDLVLEVFFACNKNEELAANYLLDHNNDFED >OIW17558 pep chromosome:LupAngTanjil_v1.0:LG02:174351:176255:-1 gene:TanjilG_08836 transcript:OIW17558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISLSQHDMVLIFGILGNIVSFMVFLAPLPTFYTIYKNKSSEGFQAIPYVAALLSASLLLYYGFLKTNAILIITINAIGIAIEVSYLLMYIIYAPKKQKISTMAMIIICDIGGISLTMLITIFAFKGSKREHAVGWVCAVFNIAVFAAPLSIMRRVIKTKSVEYMPFSLSLFLTLCATTWFFYGLFDKDYYIMLPNVLGFLFGISQMILYLIYKDGKKNRETNCTEQHELEGTVNSTQHCCDGNKLDFPYEKEMKENIV >OIW16384 pep chromosome:LupAngTanjil_v1.0:LG02:24178228:24179481:1 gene:TanjilG_19100 transcript:OIW16384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSPQSVVSPLKTSVSLSKEIEVNGKEVVVCNHNDESIGVVDVYIHQARDIQNICIYHKQDVYAKISLTSNPENTVSTKIINGGGRNPVFNENLSLNVTTIDSALKCEIWMLSRVKNYLEDQLLGFALVPLSEVIVKKDAKLEKEFSVSSTDLFDSPAGFIQLSLAYTGASPDVMAISATPTDFAIERDLESETCESMVRDLDKIEFPDPKIVNEDYLMVSEYYGIPCDESQCTNNSFVNMDSENHSSEEAGVNLVESFSTCSFESIQPTKADNDSPPTSVSTNNGVASPSVAASSDSFDAAAASATKFRVQEQVSGTKEKTVDVKDGDSNSSNSAVSESFPKPFVTVNIEGESKVVQQDIVDMYMKSMQQSLANMKLPFDFESGPNSSGSSTSEQKLPASKKGNPSRVFYGSRAFF >OIW17276 pep chromosome:LupAngTanjil_v1.0:LG02:560611:573670:1 gene:TanjilG_22388 transcript:OIW17276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKKLANQECGRDQGMISNDNKLQDLRHKIALRESELKLKAAQQLKESASIPDRDHNAVKLKNDAARKYTLVCSEAAQLEPKEPDTKRFKLSTSYGTPQAVGSQQEVAAKKSISLSTDSTWESCHPQERNKVDHSQKEIPLGRRESTIIRPQRQPDKHVGNSLQNMPCRSTDGDVNYGRNQTEKSSRLLDPSIAFNKNAMPANMISNSVPKNFEALSNAVLSNHNGNANVLEHRRSMDLQSFFGMEELIDKELEEAQEHRHKCEIEERNALKVYLKSQRSLLEANARCTNLYHKRELYATKVRSLILNNSTFSWSSEQHQHLEMGLDYLPRHGYEIPTSSCQRQAECNDTNNPSFDSNNQGINNGCSNTFYHRMNGANLGSEPGIEPDASTSEPLPQRGNTADGGYSPSGELDTSGNENEEMSPDGHVSTHLGVENHRNKDTMVKLMDIDTASNAKLSTDSPQDPLVLEATLRSELFARLGTRAMNSKIPCNNTEPAVELGAENEVGSGKSQVHHCVVPLSTAEDNDLKGIERHERSICLYSNETQTQHNIGENSLNSNYSAASGDQGGIPRQGHYSTNTINISPLIFRSAFSHLKKMLPFNSNKFLSKNISIHANDGENENATCLTSDETKWNNMLVNSMPVTVGNLLSEESSYSCSPAVDPFWPLCMFELRGKCNNDECPWQHVNDYGDGNVCKRQRTGSNNPDHLGRLQMHQQNSNGMTKVPKCRNSTILPTYIVDLDTLKGDQFGYKPVVAHGNAQGWQKCFSITITTSNLLQNGLPTDGPLLDGGAERIEVHRAWSKHLSSFQWRSGAGNQIKQAISDGGQAVEMALLILNQETNTLQGVRKALCMFELRGKCNNDECPWQHVNDYGDGNVCKRQRTGSNNPDHLGRLQMHQQNSNGMTKVPKCRNSTILPTYIVDLDTLKGDQFGYKPVVAHGNAQGWQKCFSITITTSNLLQNGLPTDGPLLDGGAERIEVHRAWSKHLSSFQWRSGAGNQIKQAISDGGQAVEMALLILNQETNTLQGVRKALSVLSKALETDPTSVVLWVVYLLICYANLKPNEKDDMFFFAVKNCEVSYVLWLMYINSQKKIDDRLAAYDAAFSVLCQHVSAAPKDRMHESACILDLFLQMSACLCMSGNVEKAIEISRGVFASATKSDEPHPHHLQLSDILNCLTISDKCVFWICCVYLVIYRKLPDAVVHKFECEKHLLDIEWPFVSLSEDDKEMAVKLVETAVESVGSYVYNESVKSELDLRSAQLFALNHIRSMVALDNIDCLRNLLDKYVKLYPSCLELVLVSARVKKHEIGVDSFMGFEEAINMWPNEAPGIQCIWNQYIENAIQNQRIDLAKEITAHWFHNVWQTQHLPNVRMDATDGGNSCGSLGLNSKSVPETSSPDLNLIDAVFGFLNLSLYNIFQNDETEACIAVDKARNAAMFGGLEQCLTKHIMFLLCDALSLKEGGINGAIKKVLEGYMDCSSQASLVPKALTRKFLENIKKPRVQNLISKILSPVSFDCSLLNMILQSWYGSSLLPQTGSDPKHLVDLVEAILKVVPHNFQLAINVCKLLSKSYNSSDRHSAGLWFWGCSTLVNAILDAIPIPPEFIWVEAGGFLQNAMGIEAISERFYKRALSVYPFSIMLWKCFYNLHKTIGDAKDVIEAAKERGIDLVTDSVSGC >OIW17144 pep chromosome:LupAngTanjil_v1.0:LG02:4749531:4755372:-1 gene:TanjilG_21121 transcript:OIW17144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPAWQRSLSHVVVASLSSFLYGYHVGVVNETLESISIDLGFSGNTLAEGLVVSTCLVGAFLGSLFSGWIADGIGRRKSFQLCALPMIIGAGMSATAKSLWGMLLGRLFVGTGMGLGPPVAALYVAEVSPPSVRGTFGGLTQIATCLGLMSSLFIGFPAKGVVGWWRVCFWVSIVPAAALALFMEICAESPHWLLKRGRSVEAEAEFEKLLGAVHVKPVMAELSKSNRGDEPDTVKLSDLLYGCYSRVMFIGSALFALQQLSGINAVFYFSSTVFESFGVPSYLANTCIGICNLLGSVIAMILMDKLGRKVLLLGSFFGMSGAMGLQVIAASSFISGFGAMYLSVGGMLLFVLSFALGAGPVPSLLMSEILPSRIRAKAMAICLAVHWLLEQIGPQLLYTFFGSFCLLAVIFVNKFVLETKGKSLQEIEISLLAQEAPQMN >OIW17331 pep chromosome:LupAngTanjil_v1.0:LG02:1008847:1010409:1 gene:TanjilG_22443 transcript:OIW17331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMCCHSRFILLLLLLLSSMLFLSFGYGSVRVKATSDHKYSGAENEEYDGEMGIMDYAEPEPNTNPRTGYLLSPPSPVPAPPPHS >OIW17379 pep chromosome:LupAngTanjil_v1.0:LG02:1438925:1439956:-1 gene:TanjilG_22491 transcript:OIW17379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYANSISPFSFRILTSQIPLTKSSISLFKPTNLFSFKPISFQKLPPITPTTTPSATSSSPSLQPIESLPSNLQEIIKLFQSVQEPKAKYQQLLFYGKNLKPLDPQFKTTQNKVQGCVSQVWVRAYLDQTKKNVFYEADSDSALTKGLAALLVNGLSGQPVDEIIRVSPDFVTLLGLQQSLTPSRNNGFLNMLKLMQRKALALYVEAEKNGAETGLKGGDSDDGFVEDVIENENLEVEAPLGGSDVYVGLGGRGKRIKEKIEKELDPIELDIEDVSYQHAGHAGVRGNGDGETHFNLKVVSENFEGKSLVKRHRLIYNLLQEELQSGLHALSIVAKTPSEVNQV >OIW17316 pep chromosome:LupAngTanjil_v1.0:LG02:896379:897695:1 gene:TanjilG_22428 transcript:OIW17316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVPRDSSESGSEVGNASSVENGVFGGDERCIKQASSPRGGGSRNTSPMSRSGSRNTSPSRQKVVKTKPRGLDEETLATFCKAVHADVQMEDNIWAMLPEDLLHEILARVPPFHILRLRSVCKRWNSLLQDISFLKFHSSVPSHGPCLLSFWKNSQTPQCSVFSLPLKSWYRIPFTFLPQWAFWLVGSSGGLVCFSGQDGLTFKTLVCNPLTQAWRTLPSMHYNHQRQLIMVVDRLDRSFKVIATTDIFGDKSLPTEVYDSKTDSWSVHQIMPAVNLCSSKMAYCDSKLYLETLSPLGLMMYRLGTGQWEHIPAKFPRSLLDGYLVAGTRKRLFLVGRIGLYSTLQSMRIWELDHANTMWVEISRMPPKYFRALLRLSAERFECFGQDNLICFTSWNQGKGLLFDVDKKIWSWIGGCALQSYNNQVCFYEPKFDASIY >OIW16706 pep chromosome:LupAngTanjil_v1.0:LG02:17903746:17905205:1 gene:TanjilG_24176 transcript:OIW16706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSISSELPPGFRFHPTDEELIVHYLCNQATSKPCPASIIPEVDIYKFDPWELPDKSDFGENEWYFFTPRDRKYPNGVRPNRATLSGYWKATGTDKAIYSGSKHIGVKKALVFYKGKPPKGVKTDWIMHEYRLVGSRRQTNRQIGSMRLDDWVLCRIYKKKNMGKSLDQREEYPIVQVNLIGANDETEQEMMKFPRTNSLANLLDQDFLGSMSQILYDGSYNSTFEYQINTANVGIHNFVKPQPVEFPNSYAADSGKYQVKQNSTINQTLFVNQLYDQTKHYQ >OIW17535 pep chromosome:LupAngTanjil_v1.0:LG02:2864385:2866865:1 gene:TanjilG_22647 transcript:OIW17535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERGGGGRGRGGVRRSSPMTKKWSPRPQSSIQTPPTVTVVADQNSSSHDNNNSHNQNINNDNSPKPYGVNNFSSSSSSSLSDDVSNKLTISETETETKTETEPFVFDLCRPKSTPTVVLKPSLFTKNRENRRFQQRKDNVDTVNMVLRPGMVILKGYLSLADQVNIVKRCRELGLGCGGFCHPGYRDGAKLQLKMMCLGKSWDPQTGQYTDNRLSDGAKAPDLPTEFNHLVEKAIEDSHALIKQHSKAKNPQNILPSMSPNICIVNFYAHSGRLGLHRDKDESEESLLKGLPIVSFSIGDSADFLYGDESDVDKAQKVVLESGDVLIFGGKSRHVFHGVAAINPKTAPQRLLEETNLQPGRLNLTFRQY >OIW16324 pep chromosome:LupAngTanjil_v1.0:LG02:23765342:23767859:-1 gene:TanjilG_19040 transcript:OIW16324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCKDMMGTQPIRFEPSIHVSYPIIPLNDLNPVVRGTIERYKKASATSTNAESVSEANTQFYQQESSKLKRQIRDIQNLNRHILGESLSSLSLKELKNLESRLEKGLSRVRSRKHETLFADVEFMQKREVELQNHNNFLRAKITEYERAQQQQQNMVQQGNVCETIASQPYDRNFFPVNLLDSNHQYSRQDHTALQLV >OIW16258 pep chromosome:LupAngTanjil_v1.0:LG02:23216980:23227850:1 gene:TanjilG_18973 transcript:OIW16258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASSSKMEEDKALQLCRERKKFVRLALDGRCSLAAAHVSYIQSLKNIGTALIKFSEPEAPVESSLYTSTNATPEPLPLTERAISRLSFSSSSISQRVDAPETFSPTPSPPSSSKFQVNHMRFSSISSKKVEEKPHVSVIGTVTSSSTPQNATPRFTERSETPASEDSSLPAGTPHWDFFGLFNPIDHQFSFQEGKEMHLDARHGDDVAKLRVEEGIPELEDDEEMASSHGNEGSHVSEDEFDDEPTTETLVQRFENRNRVNECIQANSLPVTTKHVTGDSASEVELVNDEKGNSPHLSPPKTAPAVDLPPPEIHKPEHEENDSENKVCPKNFFSSIKDIEVLFNKASESGREVPRMLEANKFHFRPIFPGKENGSMVSSYLKACFSCGEDPSQLPEEPAQNSVKYLTWHRTTSSRSSSNILGANSKDDMEDHVNNLFDTSCMISGSHASTLDRLYAWEKKLYDEVKASEIVRKEYDTKCKILRHLESQGEKTSTIDKTRAIVKDLHSRIIVSIHRIYSISKRIEELRDKELQPQLEELIEGLSRMWEVMFECHMLQFQIMSATYHNSHARITMHSELHRQIIAYLEDELHFLSSNLTGWIEAQKSYLEALTGWLQKCVSLQQKSSKRKRMPQSEVLRYYGPPIYATCYVWLEKLGKLPIKDVTDSIKSLAADTARFLPHQDKNERKGVNHRRMPAWKDDIVGESSNNLLSDDISEDWVSGFDRFRASLTRFLGQLNSLSSSSVKMYAELRQDIQNAKSSYHRWNSQSQNVRPFPLPKEVKRFVRLALDGRCSLAAAHVSYIQSLKNIGTALIKFSEPEAPVESSLYTSTNATPEPLPLTERAISRLSFSSSSISQRVDAPETFSPTPSPPSSSKFQVNHMRFSSISSKKVEEKPHVSVIGTVTSSSTPQNATPRFTERSETPASEDSSLPAGTPHWDFFGLFNPIDHQFSFQEGKEMHLDARHGDDVAKLRVEEGIPELEDDEEMASSHGNEGSHVSEDEFDDEPTTETLVQRFENRNRVNECIQANSLPVTTKHVTGDSASEVELVNDEKGNSPHLSPPKTAPAVDLPPPEIHKPEHEENDSENKVCPKNFFSSIKDIEVLFNKASESGREVPRMLEANKFHFRPIFPGKENGSMVSSYLKACFSCGEDPSQLPEEPAQNSVKYLTWHRTTSSRSSSNILGANSKDDMEDHVNNLFDTSCMISGSHASTLDRLYAWEKKLYDEVKASEIVRKEYDTKCKILRHLESQGEKTSTIDKTRAIVKDLHSRIIVSIHRIYSISKRIEELRDKELQPQLEELIEGLSRMWEVMFECHMLQFQIMSATYHNSHARITMHSELHRQIIAYLEDELHFLSSNLTGWIEAQKSYLEALTGWLQKCVSLQQKSSKRKRMPQSEVLRYYGPPIYATCYVWLEKLGKLPIKDVTDSIKSLAADTARFLPHQDKNERKGVNHRRMPAWKDDIVGESSNNLLSDDISEDWVSGFDRFRASLTRFLGQLNSLSSSSVKMYAELRQDIQNAKSSYHRWNSQSQNGKLNSQSQEDQSKSQSQVD >OIW16832 pep chromosome:LupAngTanjil_v1.0:LG02:14185146:14187397:-1 gene:TanjilG_06872 transcript:OIW16832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESCYWLLAFKFLKNIELTSYSLCLKIAKAKLLEDLEIYVLKPKKIMKIVHSNTVHEPESQSFELDESDLFTPAYKLQKKKVKDYQNSICIDLKRNERKLEDYMTAPKAEFCDSVIDFKGGYQARVKDVVKDRCVGDGVCTKDMFLFEMSVEEKAYNSFCSNDDKEMKKDNIEINVLNLPTTEKSDQVLANHDQSKDLMCKDEAVTQTLSDKVEETLPGDTVLLKELGKHEPRASDGEEEEVSRETESHSQSFESENLVEEAVLTSPTLASAIVESNCDTMPLGGGSFIHMLNPPVLGASCGKKEFHQVGGCKFKETQDTSEPDGKSSDEAILRQIHHSLGESSFSGVVHGSSCISYSGPVSYSGSISLRSDSSTMSTRSFAFPELPSEWNSSPVRMAKADKSNYRKHRGWRQGLLCCRF >OIW16165 pep chromosome:LupAngTanjil_v1.0:LG02:22426109:22426981:1 gene:TanjilG_18880 transcript:OIW16165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFDYLFGPKKSSSTLSSSSTLFGSIFPPSSYVGGRDWRKQEGKNYGASGTHSSKDESSGRISKKSTSNNYENEAVEPSYFSSSIYYGGQENYFPRSRTITEPHHVFSKKGEDDDPNGKDSSTASRGNWWEGRSNTLS >OIW16279 pep chromosome:LupAngTanjil_v1.0:LG02:23390240:23391259:-1 gene:TanjilG_18994 transcript:OIW16279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRDYPNCIYHMVDSDMSTSDDKLEIVERGTKDEKHKEDDKEEGEGGFIEKVKDFIHDIGEKIEEAIGFGKPTADVTGIHLPLINLKRADIVVDVLVKNPNPVPIPLIDIDYLIESDGRKLVSGLIPDAGTIKAHGEETVEIPLTLIYDDIKRTYADIEPGSIIPYRVKVDLIVDVPIFGRLTLPLEKTGEIPIPYKPDIDLEKIRFERFSFEETIATLHLKLENKNDFDLGLNALDYEVWLSDVSIGGAELTKSEKIEKSGISYIDIPITFRPKDFGSALWDMIRGRGTGYTMKGNIDVDTPFGKMKLPISKEGGTTRLKKKKEDRDYDDSDDDDEVR >OIW17084 pep chromosome:LupAngTanjil_v1.0:LG02:5717883:5720273:1 gene:TanjilG_20188 transcript:OIW17084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHWKRLMLKSIILGCFKAKHQSLDQNIVSKKTCSRRVSLSDLSNASAVSIMSDLSNSLIGSNLQIFTYHELKEITQNFSKSNLLGEGGFGKVYKGFIDEKLRPCLEAQAVAVKALNLDGKQGHREWLTEVVILGQLKHRHLVNLIGYCCEDEYRLLVYQYMERGNLEEKLFKGYLAALPWLTRIKIAIGAAKGLAFLHEEEKPVIYRDVKASNILLDADYNAKLSDFGLAIDGPEEDGTHITTRVMGTRGYAAPEYINTGHLTTMSDVYSFGVVLLELLTGKKTVDKKRPTREQDLVKWARPMLKDSHKLESIMDPRLEGQYSYQGAKKIASLAYQCLSQHAKLRPTMLNVVKTLEPLMDLNDIPIGNFVYVVPNEGVNEGSLKLNNDKDEEKCERKEKGHQRQRKGHGLKHRSSRAVYSDSALYKILGTSLYSPKQLPETHEHA >OIW16676 pep chromosome:LupAngTanjil_v1.0:LG02:18245923:18252975:1 gene:TanjilG_28733 transcript:OIW16676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLTLHHHHHHLLLHFLHLLLLSTTTSTLPPPSPSYPFGFSYHIDCGSPTNTTDLFNTTWLSDRYFTGGATAIVSEPLHFNHHHEKTLRFFPISSGKKNCYSLPFPTLPFPSYRFFLRTFIVYDNYDGKSHPPSFDVSVHGTVVFSWRSPWPQSVARDGAYSDLIASFPSSDTAALICFYSFATDPPVISSLEFFAVDPNSYDASSTGNSNVILVNYGRLSCGSNQWGPGFTNDVDRFGRSWQSDSDFRTRNSNKIVALSTRSDVSGADQKPNYFPAKLYQTAVTTNEENGGVLEYELSVDAKLDYLLWLHFAEIETRIRKVGERVFDVFINSENLTRIDIYKQVGSFTAFTWHHTVKNLSSSVLKVKLVGVVDAPLICGIENYALVPTDPSTVPQQVMAMKALKDSLRVPERMGWNGDPCAPTSWDAWEGVTCIMSKDKTALVISQIDLGSQGFKGFITDQISLLSDLVSLNLSSNSLVGEIPSGLGQKSLTQLDLSNNQLTGSIPDSIASSSLQLVLLNGNLLEGRVPEELYSIGVHGGAIELVSAMYEFFTLLSHPELMNNIYEFIYFLSFKSRRPSVRVMNERIYTGSTRFKGERIYELVDVIHKFGMREKCEELVHDRHTSNKTGKNKDSRRRNDYDFALPHELTSLAAKRNRYQRQKSLMVLEMESQHAKGLPSPFTT >OIW16695 pep chromosome:LupAngTanjil_v1.0:LG02:18663407:18666254:-1 gene:TanjilG_28752 transcript:OIW16695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKENNPLLQDLIKNLGHCAVIDGGFATQLESHGASINDPLWSAVCLIKEPHLIKKVHLEYLEAGANILVTSSYQATIPGFLSKGLTIEEAELLLIRSVKLAVEARDSFWDLAKRNHGHKHSKALVAASIGSYGAYLADGSEYGGCYGPDVSLDKLKDFHRRRLQVLVEACPDMLAFETIPNKLEAQACIELLEEENVQIPSWLCFTTVDGENAPSGESFADCLEVINKSNRVNAVGINCAPPHFIDNLICKFKQLTKKTIIVYPNSGEVWDGRAKKWLPSKYFDDHDFGSHATRWRDLGAKIIGGCCRTTPLTIQIISDALGEKS >OIW16848 pep chromosome:LupAngTanjil_v1.0:LG02:13037075:13038421:1 gene:TanjilG_31249 transcript:OIW16848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGEKRKYITSEELQHHNKPEDLWISIQGKVYNVTDWSKVHPGGEVLILNLAGQDLTDSFIAYHPGTAWKYLDSFFTGYYLKDFKVSEVSKDYRKLASEFSKLGLFDKKEHVTFCTLASVAAMFLIVLYGVLRCTSVWAHLGSGMLLGLLWMQSAYVGHDSGHYVVMTNSGFNKLAQLISGNCLTGISIAWWKWTHNAHHIACNSLDYDPDLQHMPVFAVSSSFFKSITSRFYGRVLKFDFLARFLISYQHWSFYPVMIVARVNLYLQTFLLLFSRRSVPDRAMNIMGILVFWTWFPLLVSCLPNWPERVMFVLASFTVCSIQHVQFCLNHFAANVYVGAPSGNDWFEKQTSGTLDISCSTWMDWFFGGLQFQLEHHLFPRLPRCQLRKISPLVIDLCKKHNLPYRSLSFWEANQWTIRTLRTAALQARDLTNPDPKNLLWEAVNTHG >OIW16423 pep chromosome:LupAngTanjil_v1.0:LG02:24449681:24450154:1 gene:TanjilG_19139 transcript:OIW16423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNERRRRRRRSGSEEKEKRNKFFINDNIDILTEILKRLDGPSLGVSSCVCRLWCTLTHNNDSLWEYLCFRHLSTPPPPSVRAMVAALGGYKRLYMVCVRPVLSRLGESERVRKRVWTRDDEVQLSLSLFCIDSYESSNGSDNSASSLMFLCNPVNV >OIW16120 pep chromosome:LupAngTanjil_v1.0:LG02:21937526:21941060:-1 gene:TanjilG_18835 transcript:OIW16120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVTLLWIVSSPGLEVSNSAGLFDSIRNAKLLGSSKSISHDLGSIRAKQDKKKRWRFCSLSADTNYACVGQSGLHGSINFPLLSNVLANPAAGEAAFSSEQKVYDVVLKQASLVKRKLGSTGEIEVKPDIALPGNLSLLSEAYDRCGEVCAEYAKTFYLGTLLMTPERKRAIWAIYVWCRRTDELVDGPNASHITPTALDRWESRLDELFQGRPFDMLDAALSDTVRKFPVDIQPFKDMIEGMRMDLKKSRYKNFDELYLYCYYVAGTVGLMSVPIMGISQYSQATTESVYNAALFLGIANQLTNILRDVGEDANRGRVYLPQDELSLAGLSDDDIFAGKVTDKWRYFMKGQIKRARMFFDEAEKGVTELNEASRWPVWASLLLYRQILDEIEANDYNNFTKRAYVGKAKKLLSLPIAYARSMVSPSRKVSPAMKA >OIW16466 pep chromosome:LupAngTanjil_v1.0:LG02:24648001:24665160:-1 gene:TanjilG_19182 transcript:OIW16466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMMMIRRTRIRILLLFFIVISFAFLFQVLSSPLTATEKHSNEYCAMYDICGQRSDGKVLNCPYPSHSVKPSELFSSKIQSLCPEITGNVCCTEYQFDTLREQVQQAVPILVGCPACLRNFLNLFCQLSCSPHQSLFINVTSTSTLYANLTVDAIDFFITQSFGQGLYQSCQDVKFGTMNTRAIDFVGGGATNFKEWFAFLGSKAPPGLPGSPYSINFKTTIPHSSPMQLMNASVYTCNDTSLGCSCGDCPSSSVCSTPQPSPPSIHPCSVTIGPLKVRCLDFSMAIFYIVLVFTLFGWVLLQRTRQNRRQGSNVEPLLNDMPSEGSSFNNLQKDETHPVEVQLSYVQGSLSNFYRTYGRWAARRPTVVLFSSLAIVLLLCLGLLRFKVETRPEKLWVGPGSKAAEEKDFFDSHLAPFYRIEQLIIATIPESEHGKPPSIITDENIELLFEIQEKVDGIRANYSSLLVSLSDICLKPLGEDCAIQSILQYFQMDSDNYDDYGGVEHAEYCFQHYTSTETCFSAFKAPLDPTTALGGFSGNNYSDASAFIITYPVNNAITKVGDENGKAIAWEKAFIRLAKEELLPMVQSSNLTLSFSSESSIEEELKRESTADVITILVSYIVMFAYISVTLGDRPTHLSFFYLSSKVLLGLSGVLLVMLSVLGSVGFFSAIGVKSTLIIMEVIPFLVLAVGVDNMCIIVDAVKRQPSGLPIEEQISNAMGEVGPSITLASISEILAFAVGSFISMPACRVFSMIAALAVLLDFLLQITAFVALVTLDSMRARDSRIDCFPCIKVNSSSVEQNEGIGQERDGLLTRYMKEIHAPFLGLWAVKILVVAIFVGFTLASIALCTRIEPGLEQQIALPRDSYLQGYFSNISEYLRVGPPLYFVVKDYNYSLESKHTKQLCSISQCDSNSLLNEISRASLVPKSSYIAKPAASWLDDFLVWISPEAFSCCRKFVNGSYCPPDDQCFRHMDLVNDRPSTEQFKEKLPWFLEALPSADCAKGGHGAYTNSVDLNGYQDGVIQASEFRTYHTPLNRQGDYVSAIRAAREFSSRMAASLEMDIFPYSVFYIFFEQYLDIWKIALINIGIALGAVFVVCLVLTSSFGSSAIILLVLVMIISDLMGVMAILGVQLNAVSVVNLIMSIGIAVEFCVHITHAFMVSSGDRNQRAKTALSTMGASVFSGITLTKLVGVLVLFFSTSELFVVYYFQMYLALVIIGFLHGLVFLPVVLSIFGPPTTHTVVKEQLQDISSASS >OIW17347 pep chromosome:LupAngTanjil_v1.0:LG02:1139550:1147425:1 gene:TanjilG_22459 transcript:OIW17347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPLTPTPLMDTIYDLIEEAKLRFLWWALCIFSISYFFTHTSKSMWMNIPISIVFVAALRILSNKVEFHWKVQPPTRRTYLSHLEKKQLSPNDGRLSSSPPRPKWKKKIDSPVVEAALNDFIDLILKDFVVDLWYSEITSDREFPEQIRDLVMDALAEVTGRVKEINLVDLITRDIVDLIGDHIDLFRRNQAAIGVDVMLTLSSEERDERLKFHLLNSKELHPALISPESEYKVLQQLVSGVLATVLRQREAQCPVIRSIAREIITCLVLQPVLDLASPGILSLKLYQDDTETDVKNNDNTDSPIPRDTWAFYTFPLSRSPNALTLFLYINEVIESLILVLHENGLSWMSGGDQSTTTATDQNHGHSVDGGVRHDSHTGSADWAQMLEAATQRRTEVLMPENLDNMWTKGKNYNRKKDKPLNSVGSESQLNVGSTIRSESSLDPDMELCFETARHQVDEVNDVKDVAAANKCKVPLMRSNSASALGFQTNKGGSTMSEFYTSEFEKHGEGFRGKSGQGHFVPKLRCRVMGAYFEKLSSKSFAVYSIAVTNGQEKTWFVKRRYRNFERLHRHLKEIPNYTLHLPPKRIFYSSTEDAFVHQRCIQLNKYLQDLLSIANVAEQHEVWDFLSVSSKVYIRPLGISYHNIRLVSYSFGKSSSMMRTLAVNVDDAVDDIARQFKGVPNGLIRKAGCSTSPTTEGSVTSNTWNSADELDKNISRHIASESVLSSDNEQEAHVGYENIDGEVAEDNGWHSDNELSSKDYSQQVVNHGKESSNLDQDRKHDVMMEAKVGKDVSAANLTLIPDNLEDPDGVPPEWTPPNVSVPILNLVDNIFQLKKRGWLRRQVFWISKQILQLVMEDAIDDCLLREIHWLRREDTVAQGIRWIQDILWPGGTFFVRLRAPQVLSGGSGIDQKLQTLSESGGIKMAKSGSGSFEQQLEAARRASDVKKLLFG >OIW16686 pep chromosome:LupAngTanjil_v1.0:LG02:18487215:18491448:1 gene:TanjilG_28743 transcript:OIW16686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSFKTAQTNSQLYCHSPFLLRGDETNRNPPRFSDLGELQHSAAVFQHEDAVDLSSSSMFSVKSSNVAIGGSNMQYGTLHTNLGPTEIASSGGGCMDMYQNGIAMATLPIGNGHVENWGDSGIADNSQHTDDTSTDIDTDDKNQCNRAQHGPLIVVDSKDETKPKPDDHKTLRRLAQNREAARKSRLRKKAYVQQLETSRVKLAQLEQELQRARQQGIFIANPGDHCHSAVGNGALAFDLEYARWVDEHQRFLNDLRSAINSQIGDSDLHLLVDGVMAHYDELFRLKSVGVKADVFHMLSGMWKTPAERWFMWLGGFRSSELLKIVKNHLEPLTEQQLAGIDNLQHSSQQTEDALSQGMEALQQSLIETLTCTSLGSTGSGNVADYMGQMAIAMGKLAALENFLRQADLLKQGTLQQLQRILTTRQAARALIVINDYVSRLRALSSLWLARPRE >OIW17060 pep chromosome:LupAngTanjil_v1.0:LG02:6375550:6379013:1 gene:TanjilG_15643 transcript:OIW17060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGPSPTTVEPRHRLSASFNEDSNKRRPQRNKDFKDVEKALHVPIQDRCLNCRPNWKLILAIVILGTLVTIFHPPAVYNTDHLTSSISRQTFLDNWKGGFDGIDPRYISHLNIEWDQISKVLVNLKDKDTYQGVGLLNFNGSEIGQWMQLVPEAEHVVLHLNHASNNITWEDLYPEWIDEEEEYEFPTCPTLPQIQVPGKPRLDLIAVKLPCNKSGRWSRDVARLHVQIEAARLAASSKGLYPVRVLLVTDCFPTPNLFTCKELIHREGSAWLYEPSLNTLRDKLQLPIGSCELAVPLKAKDNFYSERPQREAYATILHSAHVYVCGAITAAQSIRMAGSTRDLVILVDESITDYHRVGLEAAGWKIHTIQRIRNPKAEPEAYNEWNYSKFRLWQLTDYDKIIFIDADLLILRNIDFLFEMPEITAIGNNATLFNSGVMVIEPSNCTFQLLMDHINEIVSYNGGDQGYLNEIFTWWHRIPKHMNFLKHFWEGDEEEKKVTKTRLFGADPPILYVIHYLGNKPWLCFRDYDCNWNVDILREFASDIAHARWWKVHDAMPENLQKFCLLRSKQKASLEWDRRQAQKGNYSDGHWKIKIKDQRLKTCFEDFCFWESMLWHWGDKNWTDNSTVNNSSPAVKTEALSSL >OIW16656 pep chromosome:LupAngTanjil_v1.0:LG02:19106382:19108989:1 gene:TanjilG_23158 transcript:OIW16656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMDSSSDEEDDRHILIQQNERTKPRTPPSTTTAADTFHVEGYTSQSPRFNCKLQKRYIIAIIALFFVLLFFLLLLVDPRRTNLTSFRFDSLTDRMKESELRAIYLLRQQQLNLLTVWNRTFQSNASNSNLEDLKSAMFKQISVNREIQQVLLNAHKTGNTVEPEFDSGNTNLGDDISFDRCRTVNQSLTQRRTIEWNPKNDKFLLAICVSGQMSNHLICLEKHMFFAAVLNRVLVIPSSKVDYLYDRVIDIDHINKCLGTKVVISFEEFLDIKKDHLHIDKFLCYFSLPKPCYLDDERLKKLSSLGLSMSKPQPVWEEDIRKPKAKTVQDVLSKFTYDDDVMAIGDVFYAKVEHEWVMQPGGPIAHKCKTLIEPSRLILLTAQRFIQTFLGRKFIALHFRRHGFLKFCNAKKPSCFYPIPQAADCILRVIERADAPVIYLSTDAAESETGLLQSLVVLNGRPVPLVVRPARNSAEKWDALLYRHGIEGDSQVEAMLDKTICAMSSVFIGASGSTFTEDILRLRKDWGSASLCDEYLCQGEEPNVIAENE >OIW17485 pep chromosome:LupAngTanjil_v1.0:LG02:2347747:2350188:-1 gene:TanjilG_22597 transcript:OIW17485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHLSSMLNGLARSFSTKKGRSSRRHGEREAAEAMEKSAKKNDLILCSSGTVNVDGSNNFASVFSKRGKKGVNQDCCIVWEEFGCQKDMIFCGIFDGHGPWGHFVSKRVRKSMPSTLLCNWQETIAQTSLDSDSGIDYEKKEHQFNIWKHSYLKTCSAIDQDLEHYRKIDSFYSGTTALSIVRQGELMVIANVGDSRAVLATKSDDGNVVPVQLTIDFKPNLPEEAERINQCQGRVLSLDDEPGVHRVWLPDEESPGLAMSRAFGDYCIKDYGLISVPEVTQWTISSRDQFVLLATDGVWDVISNEEAIDIVSSTQDKSKAAKNLVECAMHAWKGKRRGIAMDDISAICLFFHSSHSHHQFLK >OIW16984 pep chromosome:LupAngTanjil_v1.0:LG02:9034694:9037653:1 gene:TanjilG_32851 transcript:OIW16984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGSGSVNHYYGGTSSSPITNIAQDHLLTILLLLPIDAILSLSMTCKRFKDIITSSDTLWKSLSSRDFGSTCVDALLYKYMHQISWMRLYKKTYQLDSVCCHRLFDTHGGDLDLPSARASHSLNFVSNCLVMFGGGCEGGRHRDDTWVAYIGNDFEKTLKWKTVNSGIPSGRFGHTCVEMSDFLVLFGGINDNGNRQNDTWVGQVTHNEKNGITFSWKMLDVGAIAPPSRGAHAASSIDDKRIVVHGGIGLHGLRFGDTWLLEVSENLCFGTWHEIVSHPSPPPRSGHTLTCIGRSKTILFGGRGLGYEILDDVWLLDTCQSYLKWTQIVYDLRNIPDGVSLPRVGHSATMVLGGRLLIYGGEDSSRHRKDDFWILDISPIQSITMHSTTLSSKRVSTKMWKRWRSNGYEPKRRSFHRACTDPSGRYLYVFGGMVDGFLQPAEPSGLRFDGELFLVELVL >OIW16312 pep chromosome:LupAngTanjil_v1.0:LG02:23688775:23690527:-1 gene:TanjilG_19028 transcript:OIW16312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENDDNDPFYYNNHHELNHSSFPFFREDHHSTLENFQGFVDPSHSSFTDSLHGNSMDYNTLSRPFDLSCNSSSEVMTSSIDENSKKHSSAGDLTMISENQSTLNSSVSSSSNEADQAVTEEDSAKSKKDKQPKEFEEDHGKSKKENKPKKKEKKEKEPRFAFLTKSEVDHLEDGYRWRKYGQKAVKNSPYPRSYYRCTTQKCNVKKRVERSFEDPSTVITTYEGQHNHHCPATLRGNAVTMFSSPSLFASTSIGPSFPQDFLAQLLPTYPNHQHQHHQNQNQNPMMFHNQNLSHNPQLQPQNQHQHQHQQQQLQFPRDYGLLQDLLPSFQGRQEP >OIW17303 pep chromosome:LupAngTanjil_v1.0:LG02:810184:814577:1 gene:TanjilG_22415 transcript:OIW17303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSNSLKDYLKRYESNAEEEKKSKKKKSKKKTQPQATGLLVVDEDPTWQKPIDLGEEKDDNSSDEEKPLIEEDIEVKRMKRLDQLRAKRHYNAISEDGSGWVSLSSDSVNPTNSSNDMSPPRKRRVRNDTSSPEPELNLSTYNRKGVDLSPTHQRLKRYDTPSPEHHSQPPHSKGLDSDFSPPRKHRVEDDDLSPPRKQNKGHPPSSESAHATDLSPDISPPRRPQVNTTKNHERTNLRDLSPPRRGRHDSPFEDNLHGSAALHLSNSRTSQKDVARSGLSDHSRTRSPGLSVKGFSRPSLSPDLSPPRKNQKDPSIPASVNERKTGLISGKDIREEIDRKKKDDLSRFKLMDTSISGRGAEPVYRDKIKGVRISKEEYMKSKQKVEEKPKEIEIEWGKGLAQKREAEAKMKDLELEKETPFARTRDDPQLDKMLKERLRWGDPMAHLVKKKYPEPVLMNFGESEKMKESGFVVPQDIPSHSWLKRGLEAAPNRYGIRPGRHWDGVDRSNGYEKQLFKRTNERQAKDKEAYLWSVSDM >OIW17493 pep chromosome:LupAngTanjil_v1.0:LG02:2409036:2413414:-1 gene:TanjilG_22605 transcript:OIW17493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNDSQLHHRSITADSKPDSPVTELLPETEDFRVRVCTEGNVELEGQNNHTLIVDRFDDLNNRTEKFSGSDSKSKSSLSEFDDLGHGFQVGDMVWGKVKSHPWWPGHIYNEAFASPYVRRTKREGHFLVAFFGDSSYGWFEPAEIIHFDPNFAEKSQQTNSRTFLKAVEEAVDEASRRSGLGLACKCRTGDNFRPTNYKGYLSVDVLDFEPGGFYSISEIRKARHSFRPNEALAFAKRLALAPCDGEDGSIGFIKNKATAFAYRKAVFEQCDETYAQAFGLQSSRPQNNTVKQTVRQPSRAPLSGPMVMGGGKSGTKSVKVKDSMKKDRYLFKRRDDPSNSFQITYREETPDATGRYVLQSSAPAVPHNLEKHEGFISHDGATSTSDAKAALIDETQPDGSGLASNAISSDVKPHLEKREESPEEIDHNLEQDDVSRKTSGRSDLSGEVTLLNPVDQNAKHSGPDFKPMEQDLLTIADGGNDMHQVESENNIYSAAVEAKHHEISSVKNIKGHKRPADDLNSATSAIGERKKKKKKDLNLQPTLGQMEKRSASGKYLSGKSVSTGLAPREDFRAEQLQGDVSARNLSHMDTTGGVNFELAQLLDDLQALALDPFHGVKRKAPAVVRKFFLRFRSLVYQKSLSLLPPTENEDPEARGAKSTLSVKASGSPDDNVRASPVVKPVKHIVRPDDPTKAGRKRAPSDRQEEIAAKRMKKIRDLKALAAEKAAAGQKTSEAQRGEGKESMSQAPPKLVKPDSDRKVQRPAKVVELTTLVIKFPPQTSLPSLAELKARFARFGPMDQSGFRVFWKTSTCRVVFLYRADALAAYKYSVANPSLFGNTSVRYFLREFGDSAPEVSEAAMARRDNSAANETPRLKDPAAVHRPTSVPSRQPLPQSMVQLKSCLKKSTGDESGQANSNGGSSKGNPRVKFMLGEEESSSKGEPLILGNRNNFNGSFADGGAPSNAMDFNSKNVVHIVSSLPPLLPTPTPLATAQFGKTPQHNLHNSELAMPSRNIPNFITTKATTSTTADISQQMISLLMRCDNVVNNLVGLLGYVPYHQL >OIW16201 pep chromosome:LupAngTanjil_v1.0:LG02:22772798:22773313:-1 gene:TanjilG_18916 transcript:OIW16201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFFNSNPFDWHYDDGGDLDHEILEIHGRSFSVFSIFSVLFIIAVIATALMLYSRRIFFSWAFSSSQTRHAPLTSPSQQGLEPETIKKLPIVLHRAPSDQDSARECCICLSAFRMGEKLKVLPGCDHCFHCECVDNWLQNHSNCPLCRASLQLHGSSFPTILIQEPPVRHS >OIW17417 pep chromosome:LupAngTanjil_v1.0:LG02:1735109:1738337:1 gene:TanjilG_22529 transcript:OIW17417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIVGLTGGISSGKSTVSNLFKSHGIPIVDADIVARVPLLPFHFPFCVCLCLFGILILNWDALKKGSGGWKKVVAAFGEEILLDNGEVNRPKLGQIVFSDPDKRQFLNRLLAPYISSGIFWEILKLWLKGYKVIVLDVPLLFEAKMNKFTKPIVVVWVDPEQQIQRLMARDKSSEEDARNRINAQMPLDVKRSKADIVIDNTGSLDDLNQHFQKVLLEVSKPLTWTEFLLSRQGALIILTSVTSGVVLCIKAFNNSS >OIW17196 pep chromosome:LupAngTanjil_v1.0:LG02:3809427:3836567:1 gene:TanjilG_06774 transcript:OIW17196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLKKGPWTAEEDHILISHIQLYDHGNWRALPKLAGLLRCGKSCRLRWINYLRPDIKRGKFSKEEEETILNLRQILGNRWSMIAARLPGRTDNEIKNFWHTHLKRKKFEKSEVLHNNNAISNSHPLQLETLATTTTCSSSGALMTMPLVTHDHACSTSSEVLINDTSPAETIGNNQFSEEMEFWLPKTVSTTTVAWRNDDRRKMKMMICNASASAAGTSNPDDADFNPYQVLGVNPIEKFDAIKAKYAKKRKEAEINGDEATASRLEKAYDKLMMAQLTNRKKGVTFGSFKVSKDIKYADKQPIVPWGPRFSKSSTNDIRINLAVSAVFTAWILVKRSAEYKPLQFLAFAAVYRLFEKLKSFESPVAKKYTEEGEDTGEGLRMGKRLLRALALVFGCVAFASLAFTVALNVIEYASGSIPAIVYNSQEMLLEARSRLGNTIGKKAKRKDREKQLEEARELASLHKRKGNTLHHTEDRDVEKPDEAVEAVNHGHN >OIW16718 pep chromosome:LupAngTanjil_v1.0:LG02:17755376:17759025:-1 gene:TanjilG_14589 transcript:OIW16718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCTSKPNGKKSSGHKRKNNKSSKRRGKSSNAAIPDMALKRVSNAGNRVGEFTLGDFVNLDFDRPSSATCRRSEVSNMKIHLTQLQYHSHTQIDANGKFQDEAWFDSVSIIESDSDDDFSSVHGDCYPFAGNALGGVPNTQLLHYESSSCIVDSGCKYEEFYESYLKIDGGKCKNGEKTQESSSKQSTVYMLSVQRKSIDVKERTELCASERFLFRPRAGLQIPFSTPEKPFPGSWSAVSPSVFKLRGESFFRQVRKLDKQKCPAPEYCPYVPIGVDFFVCSRKIDHIAQHVELPFVKEHDKVPSLLIVNIQLPTYPASIFLGDADGEGMSLVLYFKLSESFDQEVSPQFQESIKRLVEDEMEKVKGYTKESLVPFRERLKILVGVVNPEDLHLNSAERKLVQNYNGKPVLSRPQHKFYKGPNYFEIDLDIHRFSYISRKGLDSLRDRIKHGTLNVGLTIQAQKEEELPEQVLCCLRLNKIDFVNHGQIPTIVTLDNDNGPKEAALDQWGERKEKTE >OIW16583 pep chromosome:LupAngTanjil_v1.0:LG02:19685871:19687400:-1 gene:TanjilG_02789 transcript:OIW16583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSSTNSVNGFYTFLTRGIDDLERLFLSTNFMSIQFLQRVLSLLRSFHTQLTLLVHKLNLPVGDKWLDEYMDETSKLWEACHVIKSGISGMEAYYSAAFNIISSLDSHPLLTPQLSRQVMRAISGCRREAVGLEEENRGLMETRIEPLGLRFDERVSLESKLNGFNGFRGVLYAMRNVSSMLLMILLRGLIYCWPKISDFSFGGYEGGLFLGSGLMISVARLQQRVATEIGRMNAPPGMLMYEFRRSRVAMEEMRGELDRRQGMVVLDWEREVGVRERVESFRGCFGVLRTDAENIVGQLDDFFDEIVEGRKRLLDFCSHR >OIW16672 pep chromosome:LupAngTanjil_v1.0:LG02:18170067:18176404:-1 gene:TanjilG_28729 transcript:OIW16672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTAKSRNFRRRGGNTDSTDNDTNDTTSTTLPSKPPSSSSSKPKKPQAPKLLSFADETETPPLRTSSSAKHHHHRSSATTSSKPSSSHKITTHRDRISHSSSPSVPSNVQPQAGFYTKEALLELQKNTRTLVTSSTTSRISSDSKPNSEPVVVLKGLVKPSPSEPEARDSDSEEDEAKLGFSNGKGLSGGSGSFYPDEETIKAIRAKRERLRQARPVAPDFISLDGGSNHGAAEGLSDEEPEFRGRIAMFGDKIEGVKKGVFEDVDERRFKGNVARDDDRVDDDGGFNDEDEEKLWEEEQFRKGLGKRMDEGSAARADVVPVMQSAQQHSFVVPTAYSAVQSASVSLGVGGVMGAVPQSSFDVMHMSQQAEVAKKAMKQNMSRLKESHGRTMQLLNQTDQNLSTSLLNITDLESSLVLADEKYRFMQKLQNYVTNICDFLQHKASYIEELEEQMKKLHEDRASAISGRRETNNEDEMMEVEAAVNAAAAVFSRKGNNNLEAAKSAAEDAFTAVKKQRDLPVKLDEFGRDLNLEERMKMKVRAKSRQSKRSKSFDYSKLASMELGDHKVEGESSTDESDSETQAYQSQHDLLLQAADEIFSDACEDYGQLSLVKKRFEEWKKEYFTSYNDAYISLSLPLIFSPYARLELLRWDPLHKGLSVPLIFSPYAKLKLLRWDPLHKGLDFQEMKWYKLLFTYGLPEDGKDFVNDDGDVDLVPNLVEKVALPILHYEISHCWDMLSQQETSNAINATKLIVQHLSHESEALADLLVSIRTRLADAVAKLTVPTWSPPILAAVPDAARVAAYRFGVSVRLLRNICLWKDIFAMPVLEKLALDELLCGKVLPHFRSISENVHDAITRTERIIASLSGVWAGPNVTGERSQKLQPLVTYVLSLGRILERRNVPESETSNLARRLKKMLVDLNDYDHARNMARTFRLKEAL >OIW17534 pep chromosome:LupAngTanjil_v1.0:LG02:2846445:2852087:-1 gene:TanjilG_22646 transcript:OIW17534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEEADNQINLEEEIDTTNNAKKDNLKLKDRKISWAKLRRVDSLNLEAGRVSMTGHNSKMGLLTTLSFAFQSIGIVYGDIGTSPLYVYASTFTDGIKNKDDILGVLSLIIYTIVLIPMLKYVFIVLWANDNGNGGAFALYSLICRHVKMSLIPNQQPEDKELSNYKLETPSSQMKRAQKIKHKLEGSHYARIILLLVTIMGTSMVIGDGILTPTISVLSAVSGISTSLGQDVVVGITIAILIVLFAGQRFGSEAMFADLGHFNVRSIQLSFSFITFPSILAAYIGQAAYLRKFPENVANTFYDSIPRPIYWPTFVVAVGASIIASQAMISGAFSIISQALSLGCFPTIKVVHTSHKHHGQVYIPEINYMFMIACIVVCAAFKTTEKISHAYGIAVVGDMFITTSLVSLIMLVIWKKSIWQVALFYIIFGFTELLYFSAQLTKFTGGGYLPLVSAFFLTLVMGIWHYVYKEKYLFELKNKVSTEYLREIASNPNISRVPGIGFLYTDLVQGIPPIFQHFIDSVPSIHSVIVFVSIKAIPVSRVAIEERYLFRQVDPKEYRMFRCVVRHGYNDVLEDPVEFELQLVQHLKGFIQEESLILEVDQVATNEQVPSENEMEDNVAASVKEGNSTRTNSSSNRIIPSQVLSRPSSDSIQSLGLSATKLALSSPPILGAEEEVKFIEKEMEKSVVHMLAEAEVVAHPNSSFLNKIVVNYAYSFLRKNFRQREQLMAIPRKKLLKVGMTYEI >OIW16998 pep chromosome:LupAngTanjil_v1.0:LG02:9621598:9622077:-1 gene:TanjilG_32865 transcript:OIW16998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRTPYYFIISSRFEYENEMHCRVDMDRRNQNAGGVQGFAGGEGEGAMQPGEGDSGEGVRRSTMKFPYEIFLSLRNHTAMATSSATVSIKKPVTQVIFYMDGLLLDTENFYTQVREIILARYNKTFDWNLKAKMMGMKAIEVARVFVEDIGSSDSLSVE >OIW17113 pep chromosome:LupAngTanjil_v1.0:LG02:5216113:5225392:-1 gene:TanjilG_26768 transcript:OIW17113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHTSHLLIHSRKLKNASNLLHHQRALFVPCFSTDAQSSINTNRDMLKTRYHGYESSSRNGVPKQAPNFTKRNISMVTMGRGSIGESVFNGEISRSSQFQSRRCYASASDLPPHQAIGMPSLSPTMTEGNIARWLKKEGDKVSTGEVLCEVETDKATVELECMEEGYLAKIIYGDGSKEIKVGEVIAITVEDEEDIAKFKDYQPSASEPDDATAKETSAPPPPKKEVVEEPAQEPKSKVSKSSAPPSSGDRIFASPLARKLAEEKNVLLSSIKGTGSEGLIVKGDIEDYLASGVKEASTPSKAKTATDAALDFTDIPLSQIRKITASRLLLSKQTIPHYYLTVDTCVDKLISLRTKLNSLQEASGGSRISINDLVIKAAALALRKVPQCNSSWTNDYIRQYHNVNVNVAVQTEHGLFVPVIKDADKKGLSTIGEEVKQLAKKAKENSLKPQDYEGGTFTVSNLGGPFGVKQFCAIINPPQSGILAVGSAEKRVVPGSGADEFKFASFMVVTLSCDHRVIDGAIGAEWLKAFKGYIENPESMLL >OIW17508 pep chromosome:LupAngTanjil_v1.0:LG02:2529249:2529686:-1 gene:TanjilG_22620 transcript:OIW17508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHQGHGQNPNMGVIGSGAQLSYGSNPYQQSQMTGAPGSVVTSAGNMQSIGQPAGAQLGQHQLAYQHIHQQQQQQLQQQLQQFWATQYQEIEKVTDFKNHSLPLARIKKIMKADEDVRMISAEAPVIFARACEMFILELTRLCIL >OIW16890 pep chromosome:LupAngTanjil_v1.0:LG02:11592048:11616631:-1 gene:TanjilG_01755 transcript:OIW16890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFIGDSVSLNQWQSLVCMLHSDVPQLEIVEHGSDPITNYTFQDYGVSLVVFHSTYLVDIVEEPIGRVLKLDSLENGDMWKNIDILVFNTWLWWYRRGPKQPWDYIQIGANIFKDMDRMEAFQMGLTTWANWIDAEVDTTKTKVLFQGISPQHYNEGSSYEGSTLSLVGRNPVQDTVREMAIVGGTGVFRFAKGYAIAKSLWDISTSQHFVVEYDVTISFP >OIW16419 pep chromosome:LupAngTanjil_v1.0:LG02:24424423:24429781:1 gene:TanjilG_19135 transcript:OIW16419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVQEYLDKYMLSRKLEDAVNAAVRAKTPDPVLFISNHMRKSVQSVITKIKARQILDSRGIPTVEVDLFTNKGLFRASVPSGNSTAMYEALELRDGDKGVYLGNGVARAVRIINDKISEALVGMDPTLQSQIDQAMIDLDKTEKKGELGANAILAVSIAAAKAGAAEKEIPLYKHIADLSGKPTPMLPVPAFTVISGGKHAGNNLAIQEIMILPTGASRFEEALQMGSETYHHLKAVITEKYGAHDCNVGEDGGFAPNVSRQVFYVMDLLDFFREALDLVQEAISRTGHDEKIKIALDIAATNFCIGTRYDLDFKSPQKSGQNFKSAEDMIELYKELCSDYPVVSIHDPFDKEDWERIKHFSGLGICQVVGGDLLMSNTKRIERAITESACNALLLKANQVGTVTEVIEVVKQAKEAHWGVVTSHRCGETVDSFIADLSVGLAAGQIKAGAPCRGERLEKYNQLLRIEEELGEEAVYAGEDWKQ >OIW16355 pep chromosome:LupAngTanjil_v1.0:LG02:23985891:23991720:-1 gene:TanjilG_19071 transcript:OIW16355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQIVRRKKKGRPSKADLAHRSSQSPSSAHSDVRRSRRRRNMRYSNMIDYDDYLDEEEEDEDERRREKKKLKLVTKLNHDHDDDNNNNNSNEEEEEEVVERKKYEEMKEEEEEEEDELEEEGNEIEVEENEDQQQQEDKGRKVDSKGLHSVLGTPPKLLLPSGSGIPLPDKRTLELILDKLQKKDTYGVYAEPVDPEELPDYHDVIDHPMDFATVRKKLENGSYPTLEQFESDIFLICSNAMRYNALETIYHKQAQSIQELARKKFEKLRIDFGHSHSDLKSSDKKIRCNSLVKKLAKKPLGASQEPVGSDFSSGATLATIGDVQPTSHPLQGVIYERRGNADVLAEGNAFFIEANQEKAEDILSGKGTLSKLGRKSSLQDYEPRATYNMSNQPITRSDSIFMTFESEIKQLVTVGLQAEHSYARSLARFAATLGPTAWKIASEKIQQALPPGCKFGRGWVGEYEPLPTPVFMFNNRVHFKEPSFVKMQSTTESIKVDKNCKNVEPTIQQPANGQMFEVEKPSVHPGSGPTSEGKPSLLGSVAVRPNTPANLPYQQPNVQTRNPGKSVNKGLKQMELNSLPPSDQNNASLVAKLTSNAPAAVSKPRETIPSNTNISPPMPFKQSDTNGIVSGNLPNGKVRNDSMNRRMPALSESTSNQTGRAAPFIAHGPPSPQSTSNQTGRAIPFFAHGQPSRESVLNQTGRAAPFVSHGQPSPKSTPHQKGRATPFVSHEQPSSESILNQTGRAAPSVSHGQPSLESTSNQTGRASPFVFHGQPSPESISNQTGRAAPVFAHGRPSPESTSNQKGRASPFVSHGQPSPESTLNQTGRAGPFVAHGQEQNLSDPVQLMRMLAEKSQKQQQTSGSPNHSPVDIPPLTPSVPSGRRDGTGNASGSAARAWMSVGAGGIKQGPDSPRSSSPKNQISADSLYNPAREFHQHMSQIRGEFPSSRMSFQSEKNNFPFHALAHQPLHPVGVSQFQNRPMIFPQVAAPSDLSRFQMQPPWQGIRPHSQPKQKQGTLPPDLNIGFQSPGSPAKQSSGVMVDSQQPDLALQL >OIW16494 pep chromosome:LupAngTanjil_v1.0:LG02:21213168:21214034:-1 gene:TanjilG_32164 transcript:OIW16494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRPWEKSTVQVHSTSSSPSHSSSKLFSCSSFKDIQTLFLDEPLQQPSRSPTTKPSVFHRVRLANSLLRTWSTQQPPKLTRTLSNSQPEPVQVQFQHRPPKSRSLSQPQLEPVFEPDSPLSDPTRSIPGTEQRVVVYYTSLHVVRSTYDACKSVFSILSGYRVMVDDRDVSIDSGFTAELNRIMGQTGFTLPRVFIDGRYIGGAEEVKQFNEIGELKKMIEKLPVADLSECHVCGGHRFVLCNECDGSRKVYTEKIGFKTCNNCNENGLLRCPSCFSTPLLSSLDLTK >OIW16486 pep chromosome:LupAngTanjil_v1.0:LG02:21278793:21287467:1 gene:TanjilG_32156 transcript:OIW16486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDIPVHVVAVPYPGRGHINPMMNLAKLLVTNDPNILVTFVVTEEWLSYIASEPKPDNIRFATIPNVIPSEQGRAQNFLAFFEAVMTKMEDPFEVLLQRLQPRPTFIIYDMFIFWVVAVANRANIPVASFCTTSASFFSVLKHYHLLKQNGHYPVNVSENGDTSVDYIPGLPSIRLADFPFNDESLGTRRLLEMTLNVVAWVQKAQYLLFPTIYELETQALDALSAEFSIPIYTIGSAIPYFGDGNIASLSTNHGDHDGYLHWLDNQPKASVLYISQGSFLSNSSAQIDEIANGLKESGVGFLWITRGENSSLKKMSGDKGLVLPWCDQMRVLLHPSIGGFWSHCGWNSTREGVFSGVPFLTFPISLDQPINSKIIVEEWKVGWRVTNKVKGNILMTKDEISSIVKKFMDLDSDEGRDIRKRAKELQQIYQLAIANGGSSETDLKAFIRQIIQAAKP >OIW17355 pep chromosome:LupAngTanjil_v1.0:LG02:1237175:1239195:-1 gene:TanjilG_22467 transcript:OIW17355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLAKIHGPIMSLKLGQITTIVMSSPDTAKGVLQTNDQFMSNRKIPDSMKAANHDQYSIPFMPVSPRWRELRKICNGQLFSNKNLDASKELRAKKVGQLFNEICKYSLKGEAVDVGRLSFKTTINLLSNTIYSQDLIESVSEAGEFKELVANIMKEIGRPNLADCFPVLKIVDPHGIRRRTGTYFWKLLNIFKSLVKERLKQRKESGYCSRKDMLDSLLNNAEDNGQQMYKDMIERTDTITSTVEWAMAELLQNPNIMSKAKVELEEIIGKNNLIEESDIAKLPYLHAIIKETFRLHPAAPLLLPRVTKVDVEMNNYIVPKGAQILVNVWAIGRDPNLWDNPNLFSPERFLGSEIDVKGTNFELIPFGGGRRICPGMSYAIRMLFLILGLFINSFDWKLEDGIELDDMDMDEKFGLTLEKAQPVRVIPIKANNGVRV >OIW16959 pep chromosome:LupAngTanjil_v1.0:LG02:8177532:8179231:1 gene:TanjilG_32826 transcript:OIW16959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRLRKLGAAVGAPNAVVPSRETQEFALIAAGKDNPLDMLVIGGGATGSGAALDAVTRGLRVGLVEREDFASGTSSRSTKLLHGGVRYLEKAVFNLDYGQFKLVLHALEERKRVIDNAPHLCHALPCMTPCFSWFEVVYYWMGLKMYDLIAGRQLLHFSRYYSSKQAGELFPTLANKVNDRDLRGTVVYYDGQMNDARLNVGLACTASLAGAAVLNHAEVISLLKDDAGQRIIGARIRDNLTGNTSFNI >OIW16992 pep chromosome:LupAngTanjil_v1.0:LG02:9258560:9259396:1 gene:TanjilG_32859 transcript:OIW16992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMILRGSVCGSSNGLILGCNHRYTCGYGYYVYNPVSKESIQIPPPLITYPECIYAVGFVCYKEKRRGSFIGNCSYRVVIIQSFIVKLSQFRVEVFSSETKEWEQHNVSLPNGFAFAPHWLLSFEYNGWLYFMGRESIFVFDPYSNESYTLSYPEDADDMNIMSFGFLGSSCRSLRIGEIGLKYVMVWELQGDGNWHLIHKTDLSLYLPAKFCADYHKRIGGFHPDDGDIVYLCSYNHGAFVANLRTNKFEQIDGYDKCNMSPFQLELPLLMPSNASPK >OIW17579 pep chromosome:LupAngTanjil_v1.0:LG02:71334:77073:-1 gene:TanjilG_08857 transcript:OIW17579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATIDESSLRKALSDKQSALEAQGNAVRELKSSSAATKSEIEAAIEALNALKLDKSSIERQLQALISNGEGAVNKEAFRQAVVNTLERRLFYIPSFKIYRGVAGLYDYGPPGCSVKSNILAFWRQHFVLEENMLEVDCPCVTPEVVLKASGHVEKFTDLMVKDEKTGTCYRADHLLKDFCNEKLQKDLTISSDKAAEIKHLLATLDDLSAEELGAKIKEFGIVAPDTKNPLSDPYPFNLMFQTSIGPSGSSTGYMRPETAQGIFVNFRDLYYYNGSKLPFAAAQIGQAFRNEISPRQGLLRVREFTLAEIEHFVDPEDKSHPKYSEVADLEFLMFPRQEQVSGQSAKRVRLGDTVSKGIVNNETLAYFIGRVYLFLTRLGIDKERLRFRQHLANEMAHYAADCWDAEIESSYGWIECVGIADRSAYDLRAHSEKSGVQLVAQEKYSEPKEVEKLVIAPVKKELGVAFKGSQKNVVEALEAMEEKEALEMKVTLESKGEVEFEVCTLGKTVTIKKNMVNIQKEKKKEHQRVFTPSVIEPSFGIGRIIYCLFEHAFYTRPSKAGDEQLNVFRFPPLVAPIKCTVFPLVQNQKYEEVAKFISKSLTAAGISHRIDITGTSIGKRYARTDELGVPFAITVDSTTSVTLRERDSKDQVRVDVEKAASVVKEVTEGQTTWADVWSTFPHQSSTATDD >OIW17271 pep chromosome:LupAngTanjil_v1.0:LG02:496154:502381:-1 gene:TanjilG_22383 transcript:OIW17271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMISSVQATLFGKASFFRQTSSLRSLTFCLHFSSSTASVSADNLSRKKWRQPVFSALELGGVKVSREDVVKDDPTNNVPDSIFSKLGLQLHRRDQHPLGILKNAIYEYFDTNYSNKFDKFDDICPIVSLKQNFDDVLVPEGHVSRSLNDTYYIDPQTVLRCHTSAHQAELLRGGHTHFLVTGDVYRRDSIDSTHYPIFHQMEGFRVFVPDEWEASGMDATSFAAVDLKKCLEGLARHLFGAVEMRWVDTYFPFTNPSFELEIYFQEKWLEVLGCGVTEQEILNRNGKPNNVAWAFGLGLERLAMVLFDIPDIRLFWSNDERFTSQFSKGQLGVKFKPFSKFPPCFKDISFWINESFTENNLCEVVRGIAGDLVEEVQLIDNFTNKKGMTSHCYRIAYRSMERSLTDDEINDLQWKVREQVESKLNVVIR >OIW17421 pep chromosome:LupAngTanjil_v1.0:LG02:1766956:1772709:-1 gene:TanjilG_22533 transcript:OIW17421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAESVTQSSPPSHLHIKSTKAFFKNPKIPFAVALLFADAILVFLIITFVPYTKIDWDAYMSQVSGFLKGERDYRKLEGDTGPLVYPAGFLYVYSAFQFFTAGQVYPAQVPWWALCLLSLSKRVHSIFVLRLFNDCVAMTLLHAALLLLMHRRWNLGLIVFSAAVSIKMNVLLYAPPLLLLMLKAMDISGVLLALAGAALVQILLGLPFLVSYPVAYISRAFNLGRVFVHFWSVNFKFIPEPIFISKGFAIFLLAAHLTLLASFAHYRWCKYVSMRLRFALLFSSYSTKFGKSSSSSIKVLTKEHVVTTMFVGNFIGIVCARSLHYQFYSWYFFSLPYMLWITHYPTFVRLILFVGVEYCWNVYPSNSFSSALLLFLHLIILWGLCSAPPQYPYAQDKSSSHKEK >OIW17480 pep chromosome:LupAngTanjil_v1.0:LG02:2264941:2268097:1 gene:TanjilG_22592 transcript:OIW17480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSNKKFLKEHESSGKIPIQKSKGKPVDAKQSMSDLRNVINVLCMNEHNSFVETFLRRVVREVVEHKIQDYQLPRTRIHNQSGAKLFHLCFINKLPDKIFTLCNIIAEDKSPLQIALFDVSTNSIVNDGPLSSIKIEICALNGDFGSEDWTEEEFNANVLKEREGKGLLVNGDRFITLKNGVGFITKMTFTDNSRWLRNRKFRLGAKVMQSTSTGTNIKEGISEAFVVKDYRGESYKKHYPPALDDDIWRLAKIGKNGKIHMRLALHGIHTVKDLLQLYTINQSLLHEKFGKISKKLKLAIIEHAKTCVIDDYKLYSYQTEEQPIGLIFNSIYNLMEVTFDGQNYHSPNTLSPSEKSLVELVKQIAYKNVNNLKSIDETSLNCVRPATCLRACQSYAQDQVLQHINISTAYDIYQQETWSYNSEPFITSSYIDEGVHDYQTYVDPLPDQRDMSQNSYVEDDFFSGMYIEGDNWNLNGSHFLVS >OIW17153 pep chromosome:LupAngTanjil_v1.0:LG02:4521000:4524110:1 gene:TanjilG_21130 transcript:OIW17153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSEQRIPDETVQESKVAALESSGTTSGPLVGAAVGIHRRRRDEALVLILRVLSILTSVIALSFMVTAKESSIVSIYGFQLPVHSKWSFSESYEYLVGVSAAVVAHSLLQLLIGTSRFLRKSSVIRSRNHAWVIFAADQAFAYALMSAGSAASGVTNLNRTGIRHTALPNFCKPLHKFCDNVAISIAFTFISCFLLATSSVQNVIWLSQH >OIW17033 pep chromosome:LupAngTanjil_v1.0:LG02:7105130:7114759:1 gene:TanjilG_13852 transcript:OIW17033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNDVTTPLLTSSDHVTITVDSTSSTTNNHSPKPNPFAFIGCSSVPISPTPLTVDPFRNNTPRMEGVYEWVKVVVCLPLVVVRLVLFGLCLAVGYVATKIALGGWKDKRNPMPKWRAGVMWVTRMCARVILFAFGYHWIKRRGRPAPREIAPIIVSNHVSYIEPIFYFYELFATIVASESHDSLPFVGTIVRAMQVIYVDRSSPSSRKQVVQEIKRRASYDKFPRVLLFPEGTTTNGRNLISFQLGAFIAGYPIQPVIVRYPHVHFDQSWGSVSLAMLMIRMFTQFHNFFEVEYLPVIYPLDDKETAVHFRERTARAIATAINGVQTGHSYGDVLLYMKAQEAKKRRASYDKFPRVLLFPEGTTTNGRNLISFQLGAFIAGYPIQPVIVRYPHVHFDQSWGSVSLAMLMIRMFTQFHNFFEVEYLPVIYPLDDKETAVHFRERTARAIATAINGVQTGHSYGDVLLYMKAQEAKKENPSSYMVEMATVESLFHIRSLEAVEFVDKFLAMNPDSSGRVQYDDFLKVLRLQDCPLSEKVFAFIDVENRGTITFRQFLYASAHVMTQPEFHQACEVAFAERGGAVKAYIDEQELQDFIRHAIPGWKEDGVHELFELFDSNNDGRINKDDFLSCLRRNPLLIALFTPYLQHKECGCNGVIEIV >OIW16209 pep chromosome:LupAngTanjil_v1.0:LG02:22842448:22843101:-1 gene:TanjilG_18924 transcript:OIW16209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAPKLPISNHQSNPSSTISSQSQPPIATPAFRSFISRLTSSLRQGFSRRRPWTELMDHNYMSKPETLTEACSRIRINFNYFRVNYVTLIAIVLAFSLVTHPFSLLVLIGLLASWLFLYLYRPSDQPLVLFGRTFADRETLGMLVVLTVFVIFLTSVGSLLTSALMVGFSIVCAHGAFRVPDDLFLDDQEPASAGFLSILSGAASSAAASAAVSHV >OIW16424 pep chromosome:LupAngTanjil_v1.0:LG02:24454998:24456348:1 gene:TanjilG_19140 transcript:OIW16424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWLETINLGSYRQIFKENGVNGEYLEGMSMFTTEQILRFIRRCHMKWGDFITLCKELRRIKVACLKGEQNVRRPWWAPACLSAVFQKVAKSNRQSRVVSLKLEP >OIW17258 pep chromosome:LupAngTanjil_v1.0:LG02:393059:395463:-1 gene:TanjilG_22370 transcript:OIW17258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNSGGEKKLQEHEQNGPSQHEWETMARAWIGSFPEAKEVTMPEIEAWVNSNLASLPEGLRSAPRSDICVGLISVQNRIRFPINEERGAKQLDLSNARFQRTDQWIPVYSWLEALDKDKVVKSNEIVDWLTENPKVQEQLSSKHSRHHLIHYIKKCHSKILKRREKAQKRKV >OIW16580 pep chromosome:LupAngTanjil_v1.0:LG02:19629791:19634474:1 gene:TanjilG_02786 transcript:OIW16580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPITKKHLNVHLVSSTDSPEFTLFTQTLTQTSLVGLDAEWKPVRTHQTASFPTVSLLQIACQLQDGDSVVFLLDLFSIPLSSLWEPLREMFESPDILKLGFIFKQDLIYLSSTFCSQGCEPGFDRVEPYLDIMSVYNHLQQQKKHGRNVSKQSKSLSTICGEVLGFPLSKELQCSDWSHRPLTEEQKTYAAMDAHCLLEIFKFFQEKVAKEGDLAVTTELSNSDANLGLKVLFGKHDVCDRVSRTQFCEALAVVEATTSDVSQVSPSTGGMIQKSSSRVTSPMDEFLLKVVKVYSDMILLKGSDKKPKTSKKKGKKQSSINGIGKEKDSEQFDEWQGTSPWDPSVGGDGYPKFLCDVMIEGLAKHLRCVGIDAATPYSKKPEPRELIEQAQKEKRVLLTRDAKILRHEYLINNQIYRVKTLLKNEQLLEV >OIW16769 pep chromosome:LupAngTanjil_v1.0:LG02:15640448:15641962:1 gene:TanjilG_05503 transcript:OIW16769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDEMQQKIRKRVLQKLHTTFGDSDEENYAFGLDHVTDTEMFFLVSMYFSFPRGHGGPGKCFASGKPLWLIDVMKSSSDDYCVRSFLAKSAGIQTIVLVPTDLGVLELGSVRIVKEDFDLLHAVKSVFSAPSSFAMFSKPISANSEKRDGNALFPGLGTGDSNKSKSINKVEANGALKVFGQNFNTLNSGHTTHFREKLAVRKMERPPWRGHPNGNRGIGFPNVQNGIHGSNWGASTQGVRPPPSPVETPRSSTRNVPELANGARHDFMLNNCLPPQRLGQMQIDFSGATSRSNVIAESELSDVEASCKEGKPSTADERRPRKRGRKPANGREEPLNHVEAERQRREKLNQRFYALRAVVPNISKMDKASLLGDAIAYINELQAKLKIMESQRGDRFGSTSMDKSASEAANSKTETCDVDIETVQDRVIVKVSCPIHDHPVSKVIQAFKEAEISVVESKLAASNDTVLHTFVIKSRRPEQLTKEKLIAVFSGESNSLQPLSSVS >OIW17127 pep chromosome:LupAngTanjil_v1.0:LG02:4946863:4954525:-1 gene:TanjilG_27281 transcript:OIW17127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVFSKSSREENDEDALKWAALEKLPTYNRLKKGLLTTSCGVSNEIDVTDIGFQERQKLLDRLVKVAEEDNEKFLLKLKKRIDRVGLDIPTIEVRFQHLNVEAEAYVGSRSLPSFLNFGTNIVESFFTSLHILKSKKKHMTILKDVSGIIKPRRMTLLLGPPSSGKTTLLLALSGKLDPNLKVSGRVTYNGYGMDEFVPQRTAAYISQHDVHIGEMTVRETLAFSARCQGVGTRYDLLSELSRREIEAKIKPDPDIDVYMKATAAIGQEESLVTDYALKILGLDICADTLVGDEMLRGISGGQRKRVTTGEMLVGPANALFMDEISTGLDSSTTFQIVSSLRQYVHILNGTAVISLLQPAPETYELFDDIILISDGKIVYQGPCEYVLDFFESMGFKCPERKGVADFLQEVTSVKDQEQYWAHRDIPYRFITVMQFAEAFQSFHIGRRMGDEIAVQFDKSKNHPAALTTNKYGVNKKELLKANFSREYLLMKRNSFVYIFKICQLTLMALIAMTLFLRTEMHRDSLSDGGVYAGALFFTVVMIMFNGMAELSMTIAKLPIFYKQRDLLFYPSWAYAIPSWILKIPITLIEVAVWVFLTYYVIGFDPNVERLLKQYFLLVLINQMASGLFRAIAALGRNIIVASTFGSFALLTFLTLGGFVLSKKDIKRWWIWGYWISPLMYGQNAIVVNEFLGNSWNKLTPTSNKTIGIQILESRGFFTQAYWYWIGIGALIGFMIIFNIVYTLALTYFNPFDKPQATMTQESEGQEVELPFIGNSGRANAVMDSSHGKKRGMVLPFEPHSLTFDQIVYSVDMPQEMKDQGAIEDRLVLLKSVSGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGSIKVSGYPKKQETFARISGYCEQNDIHSPHVTVYESLVYSAWLRLPAEVDSNARKMFIEEVMELVELNPLRNSLVGLPGVSGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKRGGQEIYVGPLGRHSSQLINYFESIDGVSKIKDGYNPATWMLEVTTSAQELNLGVDFHDMYKNSELYRRNKQVIAELSNLALGSKDLYFATQYSQSLLVQCLACLWKQHWSYWRNPPYTAVRFLFTTFIALMFGTMFWNLGGKTSNRQDLFNAIGSMYTAVLFLGVQNSASVQPVVAVERTVFYRERAAGMYSALPYAIAQVIIELPYVFAQAVSYGGIVYSMIGFEWTLEKFFWYIFFMYFTLCYFTFYGMMAVAVTPNHHVASIVAAAFYAIWNLFSGFVVPRPRIPVWWRWYYWACPVAWTIYGLVASQFGDITTVMKSEDMSVQEFLRSYFGIKHDFVGVCAVVVSGTAILFAFTFAVSIKVFNFQKR >OIW16786 pep chromosome:LupAngTanjil_v1.0:LG02:15938376:15942109:1 gene:TanjilG_05520 transcript:OIW16786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNQSQQEEQKQKQSKYNKQKLQDNEAEENRLLHSDCETIPLSSPHSLPSISNNANAEEEDAVYAAKDKVHIFDLESATGSTVVPPFSWKKLWLFTGPGLLMSVAFLDPGNLEGDLQAGAVAGYSLLWLLMWSTIMGLLIQLLSARLGVATGRHLAELCREEYSNWARLVLWLLAELALIAADIQEVIGSAIALKILSNGFLPIWVGVIITASDCFFFLFLENYGVRKLEGVFAVFIGTMAFSFAWMFFDTNPSEEELLMGLLIPRVSSKTLRQAVEIVGCVITPHNVFLHSALVQSRDIDIHNKSQVQEAINYYSIESSVALLITLVINLFVITVFARVFYGTEQAKDIGLVNAGQYLEEKYGGGLFPILYIWGIGLLAAGQSSTITGTYAGQFITEGFLNLNIKKWLRALITRSCAIVPTMIVAIVFNTSEGSLDTLNEWLNVLQAIQIPFALIPLLTLVSKEEVMGTFRIGPVVERVSWTISVLVIMIYGYLLLDFFLSEVNGVLFGFLVCLCSAAWITFIAYLVKHSGAVSSMLARSPSSKGFFSLAGN >OIW17524 pep chromosome:LupAngTanjil_v1.0:LG02:2719146:2720459:-1 gene:TanjilG_22636 transcript:OIW17524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSDQNDTVFAAEDMNDDEDESHDDLLEEEEEEEEEFDDDVLPDYDDNEPSPSTSSALAVTIAVPPPISPATAITAAVSDSKQLLIEDKKPIDDSRRLFQRLWTDEDEIELLQGFLDYTSQRGSSHHNDTALFYDQIKSKLQLDFNKNQLVEKIRRLKKKYRNVLNKISSGKEFLFKSAHDQATFEISRKIWSNIGQISGGVVDDNALDEDEINLNPIQNPNNHNPNINLNLVQPGPKYEVVMLGNSGEKKSTPSRKRSRPRSGMMRIDEKPREFFNGGSGSGLNLNLNQDHKCISNSTPARVAANVNNNKNYENNCNSGKQHSSSSSIPGLIEETVRSCLTPVLKELVAGGGGVMGGGTFGARGFGIGGFGSMNSIPMPMPMMPLSYLGLGSGDVVDEKWRKQQILELEVYAKRLELVQDQVKAALEELRSAGGG >OIW16952 pep chromosome:LupAngTanjil_v1.0:LG02:10147965:10154191:-1 gene:TanjilG_08342 transcript:OIW16952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRFIVISAISTVLSFVGLQFCTDFSLDTVKSDGVVGWNLLHLDNANQYTELPLGLYTTIGLLANCTTNVFILLNLCLTAMFFTELYSSETRKLIERLIYYVVYKGTFLPLIVPPTIYQAGLWSTWLAVLCSLKMFQALARDRLERLNASPSATPWTYLRVYSALLFVFLVDVFWIRLCLVIYRTHRSSLFLLLFFEPFSIAFETLQAILVHGFQLVDIWCSSSDCRRPELFDKLAAAGSLLEWKGILIRNLGFFLDMATFFMALGHYLYIWRLHGMAFHLVDAVLFLNIRALLSAILNRIKGFIRLRIALGALHAALPDATTEELRAYDDECAICREPMAKAKKLNCNHLFHLGCLRSWLDQGLTEMYTCPTCRKPLFAGRPENEANSTTEEVSSDEQLARQISAGLDRANSARHTIPAGLYPNQTPNSTEGVPWRGAGLDAGWLHSWPNQGVDGAGPSTAIRTVGLGRVQMMMRHLASVGETYAQNAFEDATWSLWPINPSQASASGSTIPSPGGRLPGGNGSLHIRTASRSANNDVANILAMAETVREVLPHIPDDVIFQDLQRTNSVSVTVNNLLQI >OIW17213 pep chromosome:LupAngTanjil_v1.0:LG02:3422393:3422770:1 gene:TanjilG_02502 transcript:OIW17213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLLVLLIGLSEGRVFFWPIAHVHIKNELLNGINLELQCKSKDDDLGIHIVPAGNEYQFQFRPNYFGTTLFYCGLVWDGPVHTLDAYVYQRDNDLCIKDCYWLIYETQACLRYDNSTSLRCHKWT >OIW17309 pep chromosome:LupAngTanjil_v1.0:LG02:847215:847595:1 gene:TanjilG_22421 transcript:OIW17309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSYKQIWGAEGCSSSESGWTMYIDSPVQDDDAGCSNEYDGYREVYGENRRKKQGNKVDEESDDSMASDASSGPIQYQNSYARGESSQGIAVSKKDKQDHGSKCSSRKNNANKQEKKGVDSRSKKR >OIW17307 pep chromosome:LupAngTanjil_v1.0:LG02:835950:840069:1 gene:TanjilG_22419 transcript:OIW17307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSERASYNAGVAKGQTQEKASNMMEKAGNAAQSAKESCQEEKANNMMDMAGNAAQSAKETMQEAGQQVMATAQGAADAVKNATGMNKK >OIW16161 pep chromosome:LupAngTanjil_v1.0:LG02:22387257:22388851:1 gene:TanjilG_18876 transcript:OIW16161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKALILVGGFGTRLRPLTLSFPKPLVDFANKPMILHQIEALKAIGVTEVVLAINYQPEVMLNFLKDFEAKLGIKITCSQETEPLGTAGPLALARDKLIGDSGEPFFVLNSDVISEYPLKEMIQFHKAHGGEASIMVTKVDEPSKYGVVVMEETTGQVEKFVEKPKLFVGNKINAGIYLLNPSVLDRIELRPTSIEKEVFPKIAAEKMLYAMVLPGFWMDIGQPRDYISGLTLYLDSLKKKSSPKLASGSHIVGNVIVDETAKIGEGCLIGPDVAIGPGCIIEAGVRVSRCTVMRGARIKKHACISSSIIGWHSTVGQWARVENMTILGEDVHVCDEIYSNGGVVLPHKEIKSSILKPEIVM >OIW16302 pep chromosome:LupAngTanjil_v1.0:LG02:23611027:23628619:1 gene:TanjilG_19018 transcript:OIW16302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQENGTHLPMYQLLEGNTNVNDQELCSICSPKRSTRTTLRVSKYESRIVKQELHLLENSKGFSQTSEETDYDLNQLCFNFCKECGDSAGKGNFGVGSQGEGDDQGLRDEAKFLKACGTLAGTPAEIKKSSRKLNVSPFGRDSEPSGFHSWLPSASVEKLQLNVQPFEPPTPIKLCQELGDSTSSLEHTPSSCISNAEDTERESPDCIEGSRTEIIHSANLTAKNAVPISPWPATDTQRKNKSVRFECETDLSSCGKGKSPYPTPLKLFEEMQTPGTVYPASLDDLPNGKHRVRSQFVFPACGPGGNLFQCKILEEKDFDPNQDPSDSVEQSQNETRTQGKGLKTVSKEDEFEEEASLASYLKPASITQEEKNKTMETAYSQTQYFHKTPASDRPIIGVVAAHWNEDDVSHISRPKWWDGNGIPNSTTKYKEDQKVNWHATPFEERLEKALSEGSLISQRKLVSGKQVDFDEIEEGDTALSQMQSSNQAQSVVSF >OIW16396 pep chromosome:LupAngTanjil_v1.0:LG02:24249138:24250555:1 gene:TanjilG_19112 transcript:OIW16396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWAQVDLAEGGARNYISWEDLQVDEQRLALKSHNEVRVIVVDQHGKGHSKTVQGAVDMVPNHNTQRVKIYIYPGVYREKVLVPNNKPYISFIGRRNETSGAAITWNSKSSDKGPNGETLGTYGSATVGVESDYFCATQITFENSVVAAPGGVGMQAVALRVNSNRAMFYRVQIKGTQDTLLDNSGTHYFLKCLIQGKVDFIFGSAKSFYEKCRLQSIAENYGAIAAHHRDSPVQDTGFSFVGCRIRGTGKVYLGRAWGNYSRIIYSNTYMDDIINPTGWSEWNHPERRRYITTCSKFDIVIIHPRIISKHLVYISGLQCLVSINAMEKEQIEDIGCLGQNHSPTMKQNLFWTRDS >OIW17301 pep chromosome:LupAngTanjil_v1.0:LG02:798203:800637:-1 gene:TanjilG_22413 transcript:OIW17301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNNVGSQPGLPQHQPQFQTHPSTSSQPNPFGNAFNVAGSGLIRGGLGAYGEKILGSSSEYVQSNISRYFSDPQYYFQVNDHYVRNKLKVVLFPFLHRGHWTRITEPVGGRLSYKPPVYDINAPDLYIPLMAFGTYVVLAGVSLGLCGKFSPEALNLLFIKGLLGWFMQATLLKVTLLSLGSGEAPLLDFVAYAGYTFTGLCLAVLGRIISSYSYYFLMPWTCLCMGVFLVKTMKRVLFAEVRTYDSSRHHYLLLFIALAQLPLFMWLGNITVNWLI >OIW17182 pep chromosome:LupAngTanjil_v1.0:LG02:4266868:4271076:-1 gene:TanjilG_18137 transcript:OIW17182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSGESNSIDATVGGLVWVRRRNGSWWPGRIMGMDELSDTCLVSPKSGTPVKLLGREDASVDWYNLEKSKRVKAFRCGEYDECIEKAKASAASSNKKAVKYAHREDAILHALELESAHIDKEPLNLCSGSDKSGSEHGGSAGELPVMSNFGHDNEDVTDDLSDSEDNSNSAPKLSQSGISFEEPNHSDSLKVQSVQERRRRAPNDSEDDGTECVKRMRGLEDFGVGVVSKRKAQVQGTGTIETVKHVSDSLHNSTTGNCLPNGTSVNGGKDYSSTMKRKRSQVANVHEFLKRKNRHQPLTKVLESTTMVSVPIICDQLPSSSSCPPCEIPNGKISRLDSDDSKKVSSLATHYSDGTGSVCENLTSLNAHDRNNDPSQISHRVKENDTFGMLGIVGSDSSDKLFDVPFIGVIEEEKQTSGFSPILVTCSSDKPEVSALGHQACHGGQSAAASLRNEAQHESSCTSSAAGYHIICNGAEKDSSKWQSKGKRNVRHTSKNRKQFNHESSACLAGIKNANGFTPVTGQKVDRGSMGAPNVLYNYGPQVKCNRVAESQLDGFRDLSKHIRGTTAGSKLLFDESLTPQRSLPYCRSRFTANPPYHMDDIPGRNHCSDGSLYDVKLQVKSSYRPQHVPLVSLVSKLNGKAFVGHPLTVEVLDDGHCDKVLSDIGCDLEVGDICSVAKPNLISGRLPSKNLSRLSYGKSSKVKKSGLLNKKIRKLSSLTGLKQSDAQRKPMVDKLKGPVIACIPLTVAFSRIHEAVSGQTRTTNHALLTTNS >OIW17424 pep chromosome:LupAngTanjil_v1.0:LG02:1785650:1788156:-1 gene:TanjilG_22536 transcript:OIW17424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGWGINGNKGRCYDFWIDFSECMSRCREPKDCSLLREDYFECLHHSKEFKRRNRIYKEEQRKLRAAAKKGQEDGVVVDHH >OIW16649 pep chromosome:LupAngTanjil_v1.0:LG02:18989887:18993681:1 gene:TanjilG_23151 transcript:OIW16649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVACFRYATIDVHSVYLPPHKLVFDYDNQGWIQKEAYEVVNRGESLFSDVLTGLSQISDKRSSSVPVGSGLKTPELRCQVAELEGILQKEKVEFEESVHNILNQEKRKGQHGIDILEINRLRRQLLFQSYMWDHRLICAANLPNSSNETGLSSSVADDHERPKDENQTSVACVEERSFSSVNPTDSDAKVNKSPNLGGGQGGVDGEIFHSDALHEEIDIVKYTNHVKEDQPTLSINQSISYQSELFKPELGVRRTVSDGQFPAMPSLSDTLDAKWIGEDHLGFGIQKDNTSANPDTFMADYLVTTVHKEANNIGDLAYDQNGPKSIYLTSKSHDNMEDSLSWLGMPFLNFYRQFNKNFFASTQNFDTIVDYNPVYLSSFRKLELQGRARLLLPIGVNDTVIPVYDDEPSSIIAYALTSPEYHFQVTDEGERPKDGFELASSYFSDSSTFHSFTFADETAFDSQKSFGSIEDMLLSISGSCTSSMLDPMSYTKAMHARISFGEDGPLGKAKYSVTCYYAKRFEALRRVCCPSELDYIRSLSRCKKWRAQGGKSNVFFAKTLDDRFIIKQVTKTELESFIKFGPEYFKYLSESIGTGSPTCLAKILGIYQVTSKHLKGGKESKMDVLVMENVLFRRTVTRLYDLKGSSRSRYNPDSSGKNKVLLDQNLIEAMPTSPIFVGNKAKRLLERGVWNDTGFLASVDVMDYSLLVGVDEEKHELVIGIIDFMRQYTWDKHLETWVKASGILGGPKNTPPTVISPKQYKKRFRKAMTTYFLMLPDQWSPPNIIHSHSKPDLCEHKNTQLKTLAE >OIW16428 pep chromosome:LupAngTanjil_v1.0:LG02:24468830:24474623:1 gene:TanjilG_19144 transcript:OIW16428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRLSRRCSSLSALLRHATPLRRPLSSSHIASVADNDTNSRCYSVLTSEKSTNKVDLRRDLFLGKRYESTAAESSASNSPPAERYEYQAEVSRLMDLIVNSLYSNKEVFLRELISNASDALDKLRFLSVTEPELMKETLDFDIRIQGDKDNGIITITDTGIGMTRQELVDCLGTIAQSGTAKFLKALKDSKDAGGDNNLIGQFGVGFYSAFLVADRVVVSTKSPKSDKQYVWEGEANDSSYTISEETDPEKLIPRGTRLTLHLKRDAKDFAHPERIQKLVKNYSQFVSFPIYTWQEKGYTKEVEVEEDPAEAKTDNQDEKTEKKKKTKTVVERYWDWDLTNETQPLWLRNPKEVTKEDYNEFYKNTFNEYLEPLASSHFTTEGEVEFRSVLYVPAVAPSGKDDIINPKTKNIRLHVKRVFISDDFDGELFPRYLSFVKGVVDSNDLPLNVSREILQESRIVRIMRKRLVRKAFDMILGISMSDNRGDYEAFWDNFGKHLKLGCIEDRENHKRIAPLLRFFSSQSEEELISLDEYVENMKPDQKDIYYIASDSVTSAKNTPFLERLAEKELEVLFLVDPIDEVAVQNLKSYKEKNFVDISKEDLDIGEKNEEKDKEIKQEFGQTCDWIKKRLGDKVANVQISNRLSSSPCVLVSGKFGWSANMERLMKSQTMGDPNSLEFMRSRRVFEVNPDHPIIRNLDAACKTNPDDEDALRAIDLLYDAALVSSGFTPDNPAQLGGKIYEMMGLALGGKWSTPNQPQPQPHHVPEIVEAEVVESTEAGSNK >OIW17465 pep chromosome:LupAngTanjil_v1.0:LG02:2127390:2130626:-1 gene:TanjilG_22577 transcript:OIW17465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLITLQQNQLPFSPLASSLSDFSGTRLHTQLQLKKKTWQPKGSFYVSASSTKKILIMGGTRFIGVFLSRLLVKEGHQVTLFTRGKAPISQQLPGESDTDYADYSSKILHLKGDRQDFEFVKSSLSAEGFDVVYDINGREAVEVEPIVEALPNLEQYIYCSSAGVYLKSDLLPHTETDAVDPKSRHKGKLETESLLQSKGVNWTSIRPVYIYGPLNYNPVEEWFFHRLKAGRPIPIPSSGIQITQLGHVKDLARVFVQVLGNEKASKEIFNISGDKYVTFDGLARACAKAGGFPEPELIHYNPKDFDFGKKKSFPFRDQHFFASIEKAKSVLGWEPEFGLVEGLADSYNLDFGRGTFRKAADFETDDIILGKKSLISV >OIW16398 pep chromosome:LupAngTanjil_v1.0:LG02:24258026:24258586:1 gene:TanjilG_19114 transcript:OIW16398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLLCNGLLVFVGITRSFSGPSGDDDDDENSKNVQHDVTSKYVVEVDSQSQFLDSEGNEPLLEKTIELDEENIAAEEALEIKYCVEEVEEKVEKIISVGKEQEKESDQIILEVKEPEDEEEKEVLEEKESEIDYFMIEENIEEDGDEIEEENCISTEELNKKFDDFIRRMKEDLRIEAKQQLFVMV >OIW17286 pep chromosome:LupAngTanjil_v1.0:LG02:673259:673633:-1 gene:TanjilG_22398 transcript:OIW17286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHYQMEPSWGYYMRVRNMEDQMERVVRLASESAVVIFSISSCCMCHALKTLFCGMGVNPSVVELDEDPKGKEMESVLMRLLGNSTCVPVVFIGGKLVGTMDRVLASHINGSLVPLLKQAGAMWL >OIW17208 pep chromosome:LupAngTanjil_v1.0:LG02:3321552:3323767:-1 gene:TanjilG_02497 transcript:OIW17208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATIGRVIVPRIVSNTTNVTTTCYAFPYLPPTFSNTLSSSPSLKLLSDSRKFSPLQTRASSSDETSTSVDTNELFNDLKEKWDALENKSTVVVYGGGAIVAVWLSSTLIGALNSVPLLPKILELVGLGYTGWFVYRYLLFKSSRKELVTDIEDLKKKIGGTE >OIW17022 pep chromosome:LupAngTanjil_v1.0:LG02:7378038:7379871:-1 gene:TanjilG_15768 transcript:OIW17022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALCAASLTTEASAKVIDGKSVAKQIRDEVTAEVSRMKEAIGVTPGLAVILIGDRKDSATYVRNKKKACDSVGIISLEANLPEDSTEQEVLNYISRFNDDPSVHGILVQLPLPKHMNEQTILNAVRIEKDVDGFHPLNIGRLAMRGREPLFVPCTPKGCIELLHRYGVPIKGKRAVVIGRSNIVGMPAALLLQREDATVTIVHSRTSNPEEITSQADIIISAVGQPNMVRGSWIKPGAVIIDVGINPVEDSNDSRGYRLVGDVCYEEASKVASAVTPVPGGVGPMTIAMLLQNTLISAKRIHNFE >OIW16571 pep chromosome:LupAngTanjil_v1.0:LG02:20382125:20391530:1 gene:TanjilG_17744 transcript:OIW16571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTQGLDKNALRWVKEKEVPHPNIGFRSINDPINGVKSGSSRGFGLPPPSKFRSGHLPASALPVSTVLPADTGDSFSNSDNDDSIDSYEEVYGGRYSLDSSPQDLRAPNGAARRYGNFAQRGARGGSDYTYSEVSSSRETLVGRRGVTRDPLLRTANVRQSGFTEDESSDSAASSEFSTTQVGSINGSVLRSRTYVSEGYASSVPSKMNVQSAKEKNGRLSDDDDDDVPSAPPFCGPGQEITQTHEEIPSSGAQTTTRRAEPSTLKSMSEDKIEEHIGNENHEQFVRTAVGPETGTSSNSYPARLPTFHASALGPWYGVIAYDACVRLCLHAWAMQCMEAPMFLENECSLLRDAFGLRQVLLQSEDELMVKCNSEPSSEGVATKPKKLIGKMKVQVRKIKMGLDPPTGCSMSSLVAPNIKMESVRHRLSSFQSSLSSGWQALRRVRFVPLMPANANGSLARQSLAYVHASSRYIQQVSGLLKVGVTTLRSSSSSYEVVQETHACFLRLKSTVEEDAIRLQPGSSEAHVFFPDSLGDDLLVEIQDSKGKHFGRVLVQVATIADDPADKLRWWPIYHEPDHELVGRIQLYIHYSTSADDNSHLKCGSVAETVAYDLVLEVAMKVQGFGQRNLLLNGPWKWLLTEFASYYGVSEIYTKLRYLSYVMDVATPTADCLNLVSDLLAPVIMKSNGKTSLSHQENRILGETNDQIEQLLSLAFENYKSLDESSFSGITEVFKSSSGHAAPALDPAVKLYKLLHDILFPETQTAFCHYFQVAAKKRSRRHLSETDEYLTNNNEGCLMDSMTMSIAYQKMKTLCINLRNEIYTDIQIHNQNILPSFVDLPNLSASIYSTELCSRLRAFLISCPPSGPSSPVAELIIATSDFQRDLISWSISPIKGGVDAKELFHLYILVWIQDKRLSLLESCKLDKVKWSGVRTQHSTTPFIDDMYERLKETLTDYEVIICRWPEYTFVLENAIADIEKAIVEALDKQYADVLSPLKENMNPKKFGLKYVQKLAKRSTAAYVVPDELGIFLNSLKRMLDVLRPRIESHFKSWGSCLPNNGNTAPGERLSEVTVMLRTKFRNYLQAIVEKLVENTKLHNTTKLKKILQDSKETVVESDLKGKLQPLKDQLASTISQLHSVFETHVFIAICRGYWDRMGQEILSFLVTRKENRSWYKGSTVAVSVLEDIFASQMQQLLGNALQEKDLERPRSIVEIRSMLCKDAPNNKDNTFYF >OIW17305 pep chromosome:LupAngTanjil_v1.0:LG02:825696:829405:1 gene:TanjilG_22417 transcript:OIW17305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPSPNASPSSAVPPIGVSLPPSSNSIDPSTPLPSLPPSTTPPPMIPPPPLFAASPPPFAASPPPQATIAAPPPAQTSPSIQNLSPPPPVLASSPPPPPANVPKPPSATSPPPPKTKHTPSPPSRASQSPPSPPSRASQSPPSPDAPPPSTLPSTSPPSANVPPPSTLPLTSPPSADVPPPSTLPSTSPPSADVPPPSTLPLTTPPSVLSPPASLPSPAPNQTAAGGPTVALPSIPTEKPTARPANGNGTDIVSRKTASSHLGGSKTAGAVAVGIIVGFFVLSLLVMAVWFVKKKKKGTGSKVGFPKASPLASSHNSGTLFLRPQSPANFVGSASGIDFVYSPSEPNGVGSSRSWFTYEELIQATNGFSEQNMLGEGGFGCVYKGLLIDGREVAVKQLKVGGGQGEREFRAEVEIISRVHHRHLVSLVGYCISDHQRLLVYDYLPNDTLHYHLHGENRPVLDWPTRVKVAAGAARGIAYLHEDCHPRIIHRDIKSSNILLDQNFEAQVSDFGLAKLALDTCTHVSTRVMGTFGMVRIARYMAPEYATSGKLTEKSDVYSFGVVLLELITGRKPVDTSQPIGDESLVEWARPLLTETLESEDFEILVDPKLGKNYNRDEMFRMIEAAAACVRHSAVKRPKMSQVVRALDSLDEFSDLNNGVKPGQSSIVNTAQWQSAEIRMFRRMAFGSQDSSNFYNESQSSLRSRE >OIW16123 pep chromosome:LupAngTanjil_v1.0:LG02:21974133:21978130:1 gene:TanjilG_18838 transcript:OIW16123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFEKIKVANPIVEMDGDEMTRVIWKSIKDKLILPFVELDIKYYDLGLPYRDETDDKVTVESAEATLKYNVAIKCATITPDEARVKEFGLKQMWKSPNGTIRNILNGTVFREPIVCKNIPRLVPGWNKPICIGRHAFGDQYRATDTVIKGAGKLKLVFVPEGQTEATEFEVYNFTGEGGVAQAMYNTDESIRAFAEASMTTAYEKKWPLYLSTKNTILKKYDGRFKDIFQEVYEASWKSKFEAAGIWYEHRLIDDMVAYAVKSDGGYVWACKNYDGDVQSDFLAQGFGSLGLMTSVLVCPDGKTIESEAAHGTVTRHFRVHQKGGETSTNSIASIFAWTRGLAHRAKLDDNAKLLDFTLKLEEACVGAVESGKMTKDLALIIHGSKLSREHYLNTEEFIDAVANELKAKISA >OIW17002 pep chromosome:LupAngTanjil_v1.0:LG02:9763335:9765082:1 gene:TanjilG_32869 transcript:OIW17002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSFVSEPCYVAFHDEEWGVPVHDDKKLFELLCFSGALAELAWPTILGKRQLFREVFFDFDPYAVSRMNERKVTTPGSPANSLLSDLRLRSIIENARQMCKVMEEFGSFDTYIWNFVNHKPIVSQFRYPRQVPVKSPKAEFISKDLVKRGFRSVGPTVIYTFMQVAGLTNDHLISCFRFKECSATNAAVAKESSLNSEVKEKASEEEPSEVGILLAVNKLSFT >OIW16097 pep chromosome:LupAngTanjil_v1.0:LG02:21712805:21713992:1 gene:TanjilG_18812 transcript:OIW16097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNKFKLSDMIPNAWFYKLKDMNKSRKRNGSHVVKNKATRSSTDRRLRDSTSPTTSQRSLPRYSHYVPTIPSRTGKLYNTPIHTNDLDMPFIDSPRWSSKRKSPRKTIYKPSPKVVPSSFKPSSSCDSINQWTKTCQVQSPVYDVSSFESSSESDIHEYVYSESECDTFSVPDLLNGIDSNCSCRVSSSTNDIIIDMKNECFTGNPENLDGFDTISELGLAPIHTKPVMFDDKGAESAELRSSSSTELDVMQLRRTLPVKFSKEESSKTHRRRKGNRIARKSSANSTGVKLRVNSPKLASRKIQAYARTVPSSSSRKVSRNTNFPEGYAVIKSSFDPQSDFKESMVEMIAENNIRASKDLEDLLACYLSLNSSEYHDLIVKAFEKIWFDMAQLKM >OIW17074 pep chromosome:LupAngTanjil_v1.0:LG02:5934524:5935966:-1 gene:TanjilG_15657 transcript:OIW17074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNHVQLKKLQPEGFTDQGEGKRVNHDAPMVATDFGSAAIDGDPITIGEALEAVITSVGDKPVNQSDADAIGAAEIRATGEKNIRPEGIGATAQSAATLNSHVTRLKDMTKLSDVLTDATGKLPIDKAVTKEDAEAVYAAEVQSPRPGGVAKSMSTAATLNQQN >OIW16637 pep chromosome:LupAngTanjil_v1.0:LG02:19214080:19217381:-1 gene:TanjilG_01876 transcript:OIW16637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKVGKVIKCRAAVAWEAGKPLVIEEVEVAPPQRGEVRLKILYNSLCRTDVYWWEAKGQNPLFPRILGHEAAGIVESIGEGVTDLKPGDHALPVFTGECGECAHCKSDESNLCELLRINTDRGVMLNDGKTRFSKNGQPIYHFVGTSTFSEYTVVHAGCVAKINPAAPLDKVCVLSCGFCTGFGATVNVAKPKPDSSFAVFGLGAVGLAAAEGARLSGVSRIIGVDLVPNRFEEAKKFGVTDFVNPKDHNKPVQQIIAEMTNGGVDRAVECTGNIQASIAAFECVHDGWGVAVLVGVPKKDAEFKTHPMNFLDGRTLKGTFFGNYKPRTDLPSVVEKCMNKELEVEKFITHSIPFSEINKAFEYMLKGEGIRCLIRMEE >OIW16291 pep chromosome:LupAngTanjil_v1.0:LG02:23498853:23499407:-1 gene:TanjilG_19007 transcript:OIW16291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKHIAGEIMFEFPDKMLCHADSFFIGQPIPSLSIDDALIPGQTYFVIPIDLFSSHHTLSASSLAALGSYANNKSPIKFGECPFEYLKGSNGRVLIKVMPEFFIRLINGGDNEENNGGSPGSNSFLCSTPELQKHYEQLVKPKDQLWSPKLETISEYKLRFSPCRFIGLEWKEKEKTEEFFTRL >OIW16986 pep chromosome:LupAngTanjil_v1.0:LG02:9084264:9086377:1 gene:TanjilG_32853 transcript:OIW16986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKYEKLEKVGEGTYGKVYKALEKATGQVVALKKTRLEMDEEGIPPTALREVSLLQLLSQSIYIVRLLSVEHIDKVPKASTPSAQTKPILYLVFEYLDTDLKKFIDSHRKGPNPRPLPPSLIQSFLFQLCKGVAHCHSHGVLHRDLKPQNLLLDQQKGILKIADLGLGRAFTVPLKSYTHEIVTLWYRAPEVLLGSTHYSTGVDMWSVGCIFAEMARRQALFPGDSEFQQLLSIFKILGTPTEEQWPGVTSLRDWHVYPRWEPQNLARAVPSLGPDGVDLLTKMLKYNPSERISAKAALDHPYFDTLDKCQF >OIW17278 pep chromosome:LupAngTanjil_v1.0:LG02:584032:588931:1 gene:TanjilG_22390 transcript:OIW17278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTITTMTEVVSKPPSFLVSEFNSLLTDRVLHAPPSHEEQIVSHIYDSLLSQLTSNFKHVIIDLTVIAQQQKKHANIIANTICSRILKVPADQKLPTLYLLDSIVKNFGQQYVRYFSLRLSEVFCEVYRHVQPNMHSAMRYLFGTWSKVFPPSVLCKIESQLQFSDAVNNSQSSSINPLMASESTRATHGIHANPKYLRQMERSSAIMDTVGGERLESAGTLGNINKSHSVVDKGVAKAPGREEELSEWQRKQYSVHGRKRLRTSMTYSDSLNNGQQHPSPKSLIDAYGTDKRQETSGNKALSIEWLDRNGVDNKVLATSWQNTQEEEFNWEEMSPTLVDRRRNNAFFKERPFIVATNANSSEQDTKKSLSSGSQHPAVDDSSVVAEHALSSSAYGCVSHGQMSGLQNQINQTLGSSQHFDAWKIGNHPSNSSQHLFNSRGSEMSLLKPPIGNIPNTHVNPYGSRPTMPSIVPGLKYNVEARPPAFPASFEMSNSVNEHATGPPRLNHIFPLQNHVRSQFAVNANNTIVSHDPHKSLFTHKQPSDSVDNKNISKGQHHQFPNQLPGLVSSNQQNHGQAPQLQFLSSQASAASQFSHGGTLQGHGAPISASVSNPLPTMKFPLPGQYITNNSLHLQGGALPRLPPSRPPAPSQIPHPNASPFVSSQQPTPAYSNLISSLMAQGLISMTNEPTRQDSVGTKFDPDILKVRHEVAISDLYDNLPRQCKTCGLRFKCQDDHRSHMDWHVTKNRMSKNRKQNPSRKWFVSRRMWLSGAEAMGKESVPGFSPTETSVEEKKDDEELAVLAEEDQNTCALCEEPFEKFYSDETEDWMYREAVYLNAPKGTTEGMERSQLGPIIHAKCKSEASMSTSLEGVCVSHSIFLLPNSSKSV >OIW16623 pep chromosome:LupAngTanjil_v1.0:LG02:19449111:19453632:1 gene:TanjilG_01862 transcript:OIW16623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEENIICQWSVFRSLLAILQWWTFNVTVIIMNKWIFQKLEFKFPLSVSCIHFICSSIGAYVVIKMLKLKPLIHVDPEDRWRRIFPMSFVFCINIVLGNVSLRYIPVSFMQTIKSFTPATTVVLQWLVWRKYFDWRIWASLIPIVGGILLASVTELSFNMFGFCAALFGCLATSTKTILAESLLHGYKFDSINTVYYMAPFATMILAIPAMLLEGNGILEWLSVHPYPWSALIIIVTSGVMAFCLNFSIFYVIHSTTAVTFNVAGNLKVAAAVLVSWLIFKNPISYLNSVGCAVTLVGCTFYGYVRHMISQQPSVPGTPRTPRTPRTPRTPMSKMELLPLVNDKLDDKV >OIW17553 pep chromosome:LupAngTanjil_v1.0:LG02:223516:223864:1 gene:TanjilG_08831 transcript:OIW17553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCPLRLILIFLSATLAGFFVFRNLRSQPQIEDDDNIVPPQSTSDSSNPSSNGNSKVRVALESGFWTFVDMASGRYLWRNMASSSSKRSS >OIW17279 pep chromosome:LupAngTanjil_v1.0:LG02:590864:596216:1 gene:TanjilG_22391 transcript:OIW17279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWFRAGASIAKHAIRRTLSQGGSLYLVSRARVLPSSSTRREFHTTVFKSRAQAAPVPRPVPLSRLSDSFLDGTSSVYLEELQRAWEADPSSVDESWDNFFRNFVGQSSTSPGISGQTIQESMRLLLLVRAYQVNGHTKANLDPLSLEARDVSDDLDPGLYGFSEADLDREFFLGVWRMAGFLSENRPVQTLRSILTRLEQAYCGSIGYEYMHIADRNKCNWLRDKIETPTPNHFNRERREVIFDRLTWSTLFENFLATKWTSAKRFGLEGGETLIPGMKEMFDRASDLGVESIVIGMAHRGRLNVLGNVVRKPLKQIFCEFSGGVQPEDEVGLYTGTGDVKYHLGTSYDRPTRGGGRLHLSLVANPSHLEAVNPVVVGKTRAKQYYSNDVGRLKNMGILIHGDGSFAGQGVVYETLHLSALPNYTTGGTIHIVFNNQVAFTTDPSSGRSSQYCTDVAKALDTPIFHVNGDDVEAVVHACELAAEWRQTFHSDVVVDLVCYRRFGHNEIDEPSFTQPKMYKVIRSHPSALEIYQKKLLETGELTKEDIDKIHKKVTSILNEEFLASKEYVPKRRDWLSAYWSGFKSPEQVSRIRNTGVKPEILKTVGKAITTLPENFTPHKAVKRIYEQRAQMIETGEDIDWGCAEALAFATLLVEGNHVRLSGQDVERGTFSHRHSVVHDQTTGDKYCPLDHVIMNQNEEMFTVSNSSLSEFGVLGFELGYSMENPNSLVIWEAQFGDFANGAHVIFDNFLVSGESKWLRQTGLVVLLPHGYDGQGPEHSSARLERFLQMADDNPYVIPEMDPTLRKQIQECNLQIVNVTTPANFFHVLRRQLHREFRKPLIVMSPKNLLRSKACRSNLSEFDDVQGHPGFDKQGTRFKRLIKDQNDHSDIEEGIRRLVLCSGKVYYELDDHRKKVDAKDVAICRVEQLCPFPYDLVQRELKRYPNAEIVWCQEEPLNMGGYSYVLPRLISSMKAVGRGGYEDVKYVGRAPSAATATGFLKVHQKEQADIAEKALQQDPLNFPY >OIW17528 pep chromosome:LupAngTanjil_v1.0:LG02:2763021:2765696:-1 gene:TanjilG_22640 transcript:OIW17528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNNIGSMVGEKVVEAVNLLSGVHKEVEEINEELESIQVFINGADRMAATTEQENKGDNGMKRKVKELREASLRIEEVTEDYMITQQQQQSHDPGCVAIFHAATNFIKTMFRRLRVSYEIQDIKTSIREINERSGLQIQSFLEQGSTSGSQNVLSQHALRRNALYVEEDDVVGFESPKHELIGWLKETQPKRTVIIVVGMGGQGKTTLAKIVYDKVIGDFDCHAWITVSQAYSIEDLLRTMLKKLGENRNDVSVMDLESLTNEVRKCLSQKRYVVFFDDVWNKDFWSEIESVVLNDKNRSRVVITTRDMEVANFCKKSSFHIHNLQRLSSQESMKLFCKKAFQNEPDDICLAGLEEISSNIVEKCEGLPLAIVAIGSLIACNGKNSLQLQKLCKALNYELDKNPNSTSITNILGLSYDVLPYHLKPCFLYFGIYPEDYEVNSKRLIRQWIAEGFVKSDERHETLEEVGEQYLKELIQRSLVQAFLFSVDGKPKRCRVHDLLRDMILTKIKDLGFCHFVSDDADNQSKLNGKIRRLQITIYSVGIHLKDSNIEGSLIRSLHVFRNKGLPEDLGRILPTECIRLKVFELHWPNYIPENCGNLIHLRYLSLWNDQYMRSLPESIGNLQNLETLDLKGLFALSLPREINKLRKLRHLIRLSKMMGGVRGLESLQTLYLVDTEEWGEEFFEELQELKQLRSLGLLSVEPKYLSTLCCSINNMQHLEKLYIDVTGRTDYGCISPILTLQKLQLVGKLERFEEWIPKHRNLVKLTLTNSYLIDDPMKSLMHLPNLLSLFLWNAYEGKTLHFENGMFQKLKKLDLRCLVPLNSVHIGEGALPLLKQLKLEFIHELEEVPSGMDQLGKLQVFSIKSMPQKFVDLIRQNIWVQNNVPTVIY >OIW16684 pep chromosome:LupAngTanjil_v1.0:LG02:18422649:18426220:-1 gene:TanjilG_28741 transcript:OIW16684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNKLWERVKLPRNYEKALELIDKHLMYWPKLLIHKTKQRLTKMTQMRIRMRKLALKTREKIMTTPRKEKKREARREEKAEKAALLEKSIEKELLERLQKGLYPESDIYNYPFDAYNKVIDKEGVQAADEEDEEEAEVEYVEGYEDLEEEDDIEDFGAFAIDESQGDYEDDESAGNTDHEEAEAVANRVKRKTESIAKRLDKDTRDSKLKKAKVLVELEREDADERQRVVQ >OIW17119 pep chromosome:LupAngTanjil_v1.0:LG02:5012067:5014453:-1 gene:TanjilG_25406 transcript:OIW17119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSILPQQCHKTKPLTFQFQEQDSSSSQLTGQSYPEVGSAQSGQFSIQYRNSSACSTLSKTWAKSVDGLANSSAGSQDFAFPPSQMSHNQLLIHHAQLMGMAPVRIPLPLDLSEEPIYVNAKQYHAILRRRQYRAKLEAQNKLIKDRKPYLHESRHLHALKRARGSGGRFLNTKKLQESKANYADDGLDVSGYNNTLLNLSGNMSESKLPQMENYIDGASTTTCADVTSASSSDDIFQQKGSDFRLLCGYPSHIGRNMQGYSAGMGGGGGRNQHHLSVLM >OIW16491 pep chromosome:LupAngTanjil_v1.0:LG02:21252805:21255009:-1 gene:TanjilG_32161 transcript:OIW16491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVTLFYSEASLLKRLSRRLNSTLFFSSETWPQPPEHTGDQQQSYTDVPCPRRTKRERKPYVTPMKTLIERAKSERKSRKEQPVRVLEEPPDNGLLVPELVEVAHSVYHARRFLLSALWQLVRFIPVLRCESCDEVHIGHVGHEIRTCTGPKSRFQNALHVWRRGGVRDVVFFPKCFHLFDRVGKPRVGHDERFSVPRIPAIIELCIQAGLDLEKYPTKRRTKPVYCIEGRIVDFESVVEDGETERNFSFESVKPFVHSYSLVTKPLEKVFLESNSSNLDQLSNEERNQLKDLSMRTLDSWFEMTSGAKKIMEKYVVNTCGYCPEVQVGPKGHKLRMCKASKHQSRNGLHAWQEATIDDLVGPNYVWHVEDMSGLPLNNNLKRYYGKAPAVVELCVNAGAPVPDQYRSMMRLDVVSPERDEVDLVA >OIW17099 pep chromosome:LupAngTanjil_v1.0:LG02:5380915:5384563:1 gene:TanjilG_20203 transcript:OIW17099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLMCAAKLSPLLLQNCVPLVSQSSRTAFSSSFLFNRENVNVVCNAKKKLSFVDQILDYIEGGPKLRKWYGAPDILPKDSTISEDDEDDYLEGEVRDAVLVTDGDSEMGQMVILSLIVKRTRVKALVKDKRVALEAFGSYVEFLIIDYVMLVAKSMAGDTSDNRFLKKALRGVRTIICPNHKDNLRFFVVTKLQLSVYSDRSGIQSLLKSNAKKLAEQDESALKASGIPYTIIRTGVLKDTPGGKQGFTFDEGCAASGSLSKEDAAFVCVAALECVPQTGFIFEVANGENKVSDWKECLAGLMEKAT >OIW17070 pep chromosome:LupAngTanjil_v1.0:LG02:6124236:6127101:-1 gene:TanjilG_15653 transcript:OIW17070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKRSWFSLVKRLFIWDTHSTLEKKEKRRKWIFGKVKTKTLPSIKAPQLTKETRQSEVKEERSKQGLTVAIGSAATAANVAVEVVRLHSVYQCKEKQEEFHQPINTRHGAPQFTYQCQWEIEEFSAIIIQTAFRGYLARKALKALKGIVKLQALIRGRAVRRQAMSTLKCLQSIVSIQSQFCASRVQMVEGRWNCDANEEKEGSKVKIIRMDSYNEKRWDDSILLKEKLDASCIIKKEAVLKRQKIKEYSFNHRRSAESERSKVNGRWRYWMEQWVDTQLSKSKELEDLDSVFSSQTRAKQLKLRNIERQNQVEGLDSPSCSTIPTYMAATKSAQAKVRSTSSPRARTGGNMDMNSDSYSPCKKKLSIATSIKSEVLSSGKMSKFSSIQERSPSLKALSRPIKSSQTMKDLNISSY >OIW16256 pep chromosome:LupAngTanjil_v1.0:LG02:23195462:23196981:-1 gene:TanjilG_18971 transcript:OIW16256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNDEATVTTTPSSSVTTTTTADSLEKIQSAFQSLSTIIPTLSSSSNNPLSLLLDHSIYSHISSLLRDPNSGSGDNNLCRWLYDTIQSGVPDLHLLVLRFLPVIAGVYLSRIPCRKPQAGFEAVLLALYAHETTARAGQAVTVSVPDLSQPSVYHESKVVVKNNSTDLNLAVLSPTLEPHGTVRSSRRARIVGVALELFYSKISQIPIEPKIDFCEFCKIWAGQDGDMYKNFEEEEEGVNGEGAAEEEGGLKEGGGDEVLETEEEKSERKKIEGRVPLPWELLQPVLRILAHCLLGPNNKDKVLFEAANEACRCLFARAMHDVNAKAILPTRSLLRLSKSVVGNVNDVDPTELPISNVISL >OIW16598 pep chromosome:LupAngTanjil_v1.0:LG02:20028004:20031694:1 gene:TanjilG_02804 transcript:OIW16598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYPHYRSQFGDTTFTKVFVGGLAWETPTEEMRKYFEQFGEILEAVIITDKNTGNSKGYGFVTFRDAESARRACADPNPVIDGRRANCNIASLGRPRSPPPRGSGTYQGGGTGTVHGAGSNSGVPAAGPPALTPPLLYPQPYGYPSYIPEYGYHQATLYNTQIQQAQYYQQLYGQSSNSMASPYYYGYSVQAPRGTFSTPQAHRLPAGPSYVYYPTSPMEGSSAFRPPYQPATRQIPSSSSGNLI >OIW17106 pep chromosome:LupAngTanjil_v1.0:LG02:5321696:5322232:-1 gene:TanjilG_25814 transcript:OIW17106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLWMRRRNKTIVAPTIVVGFQSQVPFAPSAYQSIVTIDGHVHGSRAEATSTTYVKDKEKVRNEPKIIIFVDVSPEEIVQKSPFESFEDDGSSSFKETRVIEKASQNGAPLNQGFGNAADSQSTGKLPLSVDAFEKQMEDPTMMKKMKARRRNTSIIVMEITSIVMLAKMEESLMKIHV >OIW16513 pep chromosome:LupAngTanjil_v1.0:LG02:21002982:21003938:-1 gene:TanjilG_32183 transcript:OIW16513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHALPPSTIITISHEDFCLFHKMDRKLYWILVINLYRNPTESMQVLAMWLWLERLGYRHLVKKITSLPYTLINEVADETLSCLKYINATNFSYFSYSIPFTSEPCDISLLQSIVDKEISSQSLYDNKDYVLQGVAGVMNSVCVRAFSDIMQHAITGNTIEKKAESQRNMSDQSAKPPQQQQQKPLWFGSIAPSNLHSFSNMVQGGASHDHQIHVHNLAQENINIELVPADERTLFLTFSKGYPVEESEVREFFTKVFDDSVEALYMQEVQPNEQPLFARMIFRNKSTINMIIGGSSKAKLSINGKHVWARRFVPKRT >OIW16186 pep chromosome:LupAngTanjil_v1.0:LG02:22626211:22632436:1 gene:TanjilG_18901 transcript:OIW16186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFSLSTSPFRGNFIPLSLHSTSCFDFDLRFKPYLLSSNGFRRSRCCRSSFKFIQDSRLSRKRVIVCNVTEPHTEPDGNNEEEEDAHQNEETQALENSFEQNSPQPIDAEQLNKFSDENKDQNDVQEGTYKNEETQALENSFEQNSPQPIDAEQLNKFSDENKDQNDVQEEGTYKNEETRALENSFEQNSPQPIDAEQLNKFSDENKDQNDVQSVDSIEVASGSPLPGVKPRQLGEAIKIPKETIDILKNQVFGFDTFFVTSQDPYEDKFGNEYKFFLLVNPEDDKPVAVVVPRTTLQPETTAVPEWFAAGAFGLVTVFTLLLHNVPVLQSDLLSTFYNLNLLKDGLPGALVTGLVLGIHELGHFVVAQNTGVKLGVPFFVPSWQIGSFGAITRIRSIVPNREDLLKVAAAGPIAGFSLGLLLLLLGFVLPPSDGIGIIVDASVFHESFLAGGIAKLLLGNVLKDGTPISVNPLVIWAWAGLLINAINSIPAGELDGGRISFAIWGRKASFRFTGVSIVLLGLSSLINDVAFYWVVLLFFLQRGPIAPLSDEITDPDQKYVALGVTVLLLGLLVCLPYPFPFTDETLISF >OIW16792 pep chromosome:LupAngTanjil_v1.0:LG02:14854450:14855466:-1 gene:TanjilG_01357 transcript:OIW16792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKGKAQTTGPNQSVNVLRWTDEMDQVLMNAFTEELTKGNRYDGSWTSEAYSNDKPQAIKWRTMQIKHYDTLKELFGADRAIGKRAATARQRTPQMQHDNINLNDAQDDISMPEQVVEELDEGNFSPPNLESLSPTYAQSHQTTGTSGSRGTKLKAQMIELVEGQLEKMSSGLGLVVDALNKGNCISDKLHDVADRQVTIADRHAVIAERQVTTIEKRNEIFQKQLNIIQHTRLRVYSEAEV >OIW16220 pep chromosome:LupAngTanjil_v1.0:LG02:22892892:22893212:-1 gene:TanjilG_18935 transcript:OIW16220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAIDSVFDPLREFAKDSVRLVKRCHKPDRKEFSKVAVRTAIGFVVMGFVGFFVKLIFIPINNIIVGSG >OIW16399 pep chromosome:LupAngTanjil_v1.0:LG02:24262373:24265789:-1 gene:TanjilG_19115 transcript:OIW16399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWIRFSEGKRIFDCISSPNTAHPIPVHVEEIKVFQRLANDQFCNANRCIIITGRGYPDIPTRRFLRLLVENLHLPVYCLVDCDPYGFDILTTYRFGSMQLAYDTKHLRVPEIQWLGAFPSDPERFFVPKQCLLPLTAADKKKIEAMLLRCYLQKEVPQWRLELKLMLKNGVKFEIEALSVNTLSFLTESYIPSKIHSKYIPIIRLYRRLKLLSSFLETVFLRRLLTYPSVLFCVWSSSPFALEIIWEVYDFDLLLLAPEIVLKRVDFTVMRERFPEAMEYSTAEMTCILKVNAQCEDCRVKVMNVLRNIHGVYNITIDGDQGIVKVSGKVNPSTLLGVLEKYGRHGEIKFIKFDGEVVQTNPHNYGYHSYGMKGSSYPPPLGCPQPPPPPPPYRGPPPPPRPYGPSFLGPYWPPPPPSHDPRGGMQNKVLVTEKNHCAIM >OIW16971 pep chromosome:LupAngTanjil_v1.0:LG02:8807868:8811713:1 gene:TanjilG_32838 transcript:OIW16971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMATSTLTKPVFSSSSSSISKPTIKTSLVSFNTNPIILSLSKKKRSFVVNNVLKTVKPTQTNDVLGASNISKDVGSLVSKPTILVSEKLGEAGLQVLRSYGNVECVYDLSQQDLCTKISACDALIVRSGTKVTREVFEAGKGRLKVVGRAGVGIDNVDLQAATEFGCLVVNAPTANTIAAAEHGIALLAAMARNISQADASIKSGKWQRSKYVGVSMVGKTLAVMGFGKVGSEVARRAKGLGMNVISHDPYAPADRARAIGVELVSFDQAITTADFISLHMPLTPTTNKILNDDTFAKMKKGVRIVNVARGGVIDEDALVKALDSGIVAQAALDVFTEEPPSKDSKLVQHENVTVTPHLGASTKEAQEGVAIEIAEAVVGALNGELSATAVNAPMVAPEVLSELAPYVLLAEKLGRLAVQLVSGGSGIKSVKVVYRSARDPDDLDTRLLRAMITKGIIEPISNSIINLVNADFTAKQKGIRISEERVVVDSSPEQPVDSIQVQISNVESKFASAVSEGGQISIEGKVKYGVPHLTCVGSFGVDVSLEGNLILCRQLDQPGMIGHVGNILGEENVNVSFMSVGRTSLRKKAIMAIGVDEEPNKEALDKIGAVPAIEEFVFLKL >OIW16548 pep chromosome:LupAngTanjil_v1.0:LG02:20656240:20659742:-1 gene:TanjilG_08405 transcript:OIW16548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGDGGGGVRLWFRFRHSLLDLHSNGSYNLFTIFVILYLTCSTSMTHVHASIHDYENETFIHRSNSFFFHGGSEGLYASRVPSFNDTEDNSLNGKSFIRFESITFRRTKKSAENKNTMQQKTGLVEAIIVQVKDRNNIGGAYLNSDAICCTPEHADSGSCKVGEVIIRQNPDDPGGPKRLQTFFEGKNEETKMVLQTVDISSTGMYYLYFMFCDPELKDTTISGRTVWRNPDGYLPGKMMPLMTFYGLMSLAYLFLGLFWFLRFVQYWKDIIHLHYHITAVIGLGMCEMALWYFEYSNFNSTGSRPIVITLWAVTFTAVKKTVSRLLILVVSMGYGVVRPTLGGITSRVYFLGVAYFVASEALELVEHLGNINDFSGKARLFLVLPVALLDASFILWTFSSLSKTLEKLQIRKSMAKLELYRKFTNSLAVTVLLSVLWIGYELYFNASDPLSELWRRAWIIPAFWTLLAYVILLVICILWAPSQNPTRYAYSEETGDDFDEEVVKLAGSGIKVSGDMSIMLERKDRKALVAADHHVFGGHGEDKEEDKRE >OIW17146 pep chromosome:LupAngTanjil_v1.0:LG02:4732559:4736484:1 gene:TanjilG_21123 transcript:OIW17146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKEVELGASELEMASQHISQPQRNKGGLVTMPFIIANEALARVASIGLLPNMILYLMGSYKIHLAKATQILLLSAASSNFTPVVVAFIADSYLGRFNAVGLGSIITFLGMALLWLTAMIPQARPPPCNPATERCKSATNGQMAMLLSSLVLQSIGNGGLSCSLAFGADQVNKKDNTKNQRALEIFFSWYYASSAISVIIAFTGIVYIQDHLGWKLGFGVPAALMLLSTVFFFFASPLYVKNKAEGSLITGFARVIVVSYKNRKLPLPPTNSAEMYLHKKGSDLLVPTDKLRFLNKACVIHDPEKDIASDGLATNPWNLCTIDQVEELKAIIKVIPLWSSSIMMSLNIGGSFGLLQAKSLNRHITSHFEVPPGSFSVIMIVTIFIWIALYDRIIIPLASTIRGKPVRISAKRRMGIGLFFSFLHLVTAAIVESTRRNRAIKEGYINDTHAVLNMSAMWLFPQLCLGGMSEAFNAIGQNEFYYTEFPKTMSSIASSLFGLGMGVGNVISSLVFSIVENVTSKGGKEGWVLDNINKGRYDRYYWVLASISALNILYYLVCSWAYGPTVDQVSKVSEDISPHEKELSEFDNGDSNEQIIVSKSVENNGSNEEELIQLGVHHEKK >OIW17431 pep chromosome:LupAngTanjil_v1.0:LG02:1827762:1832298:1 gene:TanjilG_22543 transcript:OIW17431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHRRAKLLFLLCALCYSLNVFAAKSYYDILQVSKGASDEQIKRAYRKLALKYHPDKNPGNEEANKKFAEINNAYEVLSDSEKRSIYDRYGEEGLKQHAASGGRGGGMNINDIFSSFFGGGSMEEEEEKIVKGDDVIVELNASLEDLYMGGSLKVWREKNVLKPAPGKRRCNCRNEVYHKQIGPGMFQQMTEQVCEQCANVKFVREGYFVTVDIEKGMQDGQEVLFYEDGEPTIDGEPGDLRFRIRTAPHDLFRREGNDLHTTVTITLVQALVGFEKTIKHLDEHLVDISTKGITKPKEVRKFKGEGMPLHVSTKKGNLYVTFEVLFPTSLTEEQKTKIKETLG >OIW17376 pep chromosome:LupAngTanjil_v1.0:LG02:1415195:1417538:-1 gene:TanjilG_22488 transcript:OIW17376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPKEEAIAKTASNSFNFRSFNRSAWLEIKLFYVRISPCLIDRVPNHLTLFHPHRQIGVSLEINGSRVPAASDAPPLTLRRDRVDRGTSEVTYVSTDSVRVTGSLEFEVYEKEGLLFLCGSLERLEAGYGNAGSTAGWEIECHVAAGSVGSGSSAFFRPKVGVSAPSIEVYVAGCCSGVPVILSKTIQMSPRRRMPRHATLDAIPENEEMMMMMEKEYKGMIGLIPHGKLQDTGSEVDEYESDGKMGHAFYTHEMYAGEDGQLTWFNAGVRVGVGIGLGMCLGIGVGVGLLMRSYQTTTRNFKRRFF >OIW16370 pep chromosome:LupAngTanjil_v1.0:LG02:24089880:24094510:1 gene:TanjilG_19086 transcript:OIW16370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFDSFPIGTCSKDNQQIYQEWFNYADSDSDGRITGNDATKFFSMSNLSRQDLKQVWAIADSKRQGYLGFTEFIIAMQLVSLAQSGHPVTHDLLTSDVDLTNLKPPTMEGLDVLLSKRKHKQKDLDVNVISNIAGSSQLQPSPSSNWFSSKSTKTLPLSSVTSIVDGLKRLYVQKLKPLEVTYRFNDFVSPLLTNSDFDAKPMVMLLGQYSTGAHIGPEPTTDRFVVVMSGPDERSIPGNTIAVQADMPFSGLTTFGTAFLSKFECSQMPHPLLEHITFVDTPGVLSGEKQRTQRAYAFTDVTSWFAAKCDLILLLFDPHKLDVSDEFKRVISSLRGHDDKIRVVLNKADKVDTQQLMRVYGALMWSLGKVLNTPEVMRVYIGSFNDKPINDVLSGPIGKELFEKEQDDLLSDLKDIPKKACDRRINEFVKRARAAKIHAYIISHLKKEMPAMIGKAKAQQKLIDNLEGEFGKVQREFHLPPGDFPDVEHFRETLNGYNIDKFEKLKPKMIQTVDDMLGYDIPNLLKNFRNPYD >OIW17065 pep chromosome:LupAngTanjil_v1.0:LG02:6229965:6244420:-1 gene:TanjilG_15648 transcript:OIW17065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLQASLLFLPFSFPSNSQLRLPRHSTFSLPPLSFNPFSLYFSNLHLTRLRPRVPSTRFNSLPQPNPNPSQPEDDSTVDTRNVELTEEIEGSGSVSDSRFEGISVEEDSETVLLQSGVEELDLAAAAKTTESEGNGADLVEKKRDGFDRLPIVVFFVGLWVRWRENVKKVFSEFLGLWPFWRQEKRLARLIVEADANPLDAAKQSALFVELNKHSPEAVIKRFEQRDCAVDSSGVAEYLRALVVTNAIAEYLPDEESGKPSGLPTLFQELKQRASGNSDETFLSPGISEKQPLHVVMVEPKVSNKSRFAQELISTILFTVAVGLVWFMGAAALQKYIGSLGGIGTSGVGSSSSYSPKELNKEVTPEKSPLTRLFQPHPTAPISVRLLPIAVWLYDGHSLTTLNVKTFKDVKGCDDAKQELEEVVEYLKNPTKFTRLGGKLPKGILLTGAPGTGKTLLAKAIAGEAGVPFFYRAGSEFEEMFVGVGARRVRSLFQAAKKKAPCIIFIDEIDAVGSTRKQWEGHTKKTLHQLLVEMDGFEQNEGIILMAATNLPDILDPALTRPGRFDRHIVVPNPDVRGRQEILELYLQDKPVADDVDITAIARGTPGFNGADLANLVNVAAIKAAVEGADKLTAAQLEFAKDRIVMGTERKTMFISEDSKKLTAYHESGHAIVALNTEGAHPIHKATIMPRGSALGMVTQLPSNDETSISKKQLLARLDVCMGGRVAEELIFGQDYVTTGASSDLSTATELAQYMVSSCGMSDAIGPVHIKDRPSSEMQSRIDAEAKMLFYKKMKMMIGFEVVFQVVRLLRDAYDRVKALLKKHEKALHALANALLEYETLNAEEIRRTLLPYREGRLPEQQEQEEAEGELVLV >OIW17218 pep chromosome:LupAngTanjil_v1.0:LG02:3592840:3594366:1 gene:TanjilG_02507 transcript:OIW17218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSDEVAKSTENQQTEQVCSFFRKPVNKKNIRKRTVDNEDDEDSRNESSLLHIQKKTLKPDNKLYFSSSTSKSSASAEPSEESEKPVFHFESTREIQVQHDNKATATLETETDFSRDARAIRERALKQAEESLKGKGTRSEGGDKLYKGLNSYKDYKAGFRREQTIASEKAGGSHGPLRASAHIRVSARFDYQPDICKDYKETGYCGYGDSCKFMHDRGDYKSGWQMEKEWEEAEKARKMRLAAGEDAEEEGASLTDEDDEDALPFACFICRNPFLDPVVTKCKHYFCEHCALKHHAKNKKCFVCNQPTLGIFNVAQEIRRKMAEDK >OIW16974 pep chromosome:LupAngTanjil_v1.0:LG02:8834320:8837182:1 gene:TanjilG_32841 transcript:OIW16974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLLLQIPHFASTAPILSSKRKNGNFSIRVPRCSVSTLSEDTHLELKNNNNIHKPFPAEVSRTIMELSKVGTLSTLTKEGFPLGIGVRFAVDPQQGTPLFKFNSNHNTIPLSLHTPSTFHVQLNQSGLRTPQCTLQGTLAKPEDTVTIKQRLISLWNKRFGEQVDEDVIYTVNVDRVLQLEDFQEDGVWVTSSDYKNAQPDPLRDFADKLVSEINSNNMEDLTRFCNVFVDLSFQVSEAKLIWVDRLGFDMRLFSPQKGIFEVRIPFPREVSDEKGAKSTFNCMSQLAWEVERNFHPLDFEKIKQLKHIKS >OIW16733 pep chromosome:LupAngTanjil_v1.0:LG02:17279714:17279893:-1 gene:TanjilG_14503 transcript:OIW16733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSTIFHDTMYVGFSASTGLLASSHYIMCWSFKMNGPTSTFDISSLPRLPGPKKNKLL >OIW16978 pep chromosome:LupAngTanjil_v1.0:LG02:8901888:8905599:1 gene:TanjilG_32845 transcript:OIW16978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVDLRQVVAGILTITMFVMLIHMIKRDHFDSLVQDKFPGETDDADFENTKFEGTNVRKNIGLWKGNATEKIKPCWAKPSGDDVQQTEGFVSFSLTNGPEYHMSQIADAVIVARSLGATLVIPDIRGTQPGDKRNFEDIYDVEVFTKSMEGVIRVVKDLPDHISKKNIAAVKVPNRVTEDYIATHVEPIYKSKGNVRLATYFPSVNMRKAGKNGDTDSIACLAMYGSLELQPEIKDLVDSMVERLRTLSRKSDGQFIAVDLRVEMLDKKGCQGSDDREKDKSCYNAQEIAKFLRKIGFQKDTTIYVTESRWDDSLDSLKDLFPKTYIKESIIPADKKKKLQDSEDSELEKVIDFYISSESDVFVPAISGLLYANVAGKRIGNGKTQILVPADIIQDSSASASNFLSSYISKKNHFAYSCYC >OIW17095 pep chromosome:LupAngTanjil_v1.0:LG02:5441063:5441647:1 gene:TanjilG_20199 transcript:OIW17095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYRWALIAKRLPGRTDNEIKNYWNTNLAKKLEKQPTLSSWPLCASLEHNHKCEEVEKTRQTHVELEAPLPRRVKDVQCNKTLDNKGYSSPSCSNKREGSRNSDEATNTLNDFLIDMDQNKELIEDDSSSKVLEIEDQKRVGLTYSLTSTTLSNQYHILTSKFDPLETFLDVELERMASFMGQNEETYCNRGPL >OIW17391 pep chromosome:LupAngTanjil_v1.0:LG02:1525785:1528642:1 gene:TanjilG_22503 transcript:OIW17391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHHHSEFPEWEFLNNSDVSLLNFPPQLPSPLINPNHFSLHSPNHSVPNSNSETEPSDVDRNSEDSYVSDQLFPAGEPYLMKESSSSSSSSSSLNPVNAVAVVDDITTRSTSELLLDDVTGVGGGGGGGFDSKEEVEELVERATSVEEEEKEENRRVVWWKVPFEVFKYWVVRVSPVPMWSLSMAATAAFLGLVILRRRLYKMKRKTQTLKLNVALDDKKVSQLMGRVARLNEAFSAVRHVPVVRPSVPATSVILRPVMSMR >OIW17509 pep chromosome:LupAngTanjil_v1.0:LG02:2539050:2540177:-1 gene:TanjilG_22621 transcript:OIW17509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVPVLLDLNGKHLWVTCSYHYSSSTYQAPFCHSTQCSRANSHQCFTCTDSATTRPGCHNNTCALMTSNPVTQEAGFGELAQDVLAIHSTHGSKLGPMVKVLQFLFSCAPSFLAQKGLPNNIQGALGLGHAPISLPNQLFSHFGLRRQFTMCLSRYPTSNGAILFGDIYDPNNNYIDNSVEVLLDMVYTPLGISLQGEYLMQVSAIRVNKHIVVPTKNPSMLSSNHGDSRIGGVMITTTNPYTILHHSIYEVFTQVFANNIPKQAQVEAVGPFGLCFDSKKISGGIPNVEFVMDSPDDVWRISEENLMVQAQNGVSCLGFVDGGMHTRTEIALGAHQLEENLVVFDFAKSRVEFNSNPLKSHGKTCANLFDLNNA >OIW17343 pep chromosome:LupAngTanjil_v1.0:LG02:1113584:1114966:1 gene:TanjilG_22455 transcript:OIW17343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKALLVLVMFLATILLINAEAASKENEEKFDKNDGNHSSNGVEESKFPDFGGGFRRRGGYCRYGCCGWRDFYGRCRRCCNYPGEHVDVNINTEPHN >OIW17497 pep chromosome:LupAngTanjil_v1.0:LG02:2446244:2446885:1 gene:TanjilG_22609 transcript:OIW17497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTTNNGKDKNTEGHGKGNDNNPKEKLAMTRVPRGRPLGSKNKPKQPIVVAAENIDNVFKTHFLEFASGVNIAESLLNFSITHQMGLCVLSANGAVTNITLRKTPGAIMLLNGRFDIISMNGSFLPLGPTPTSWLNVLLASGDKGGMIGGSVVGSLIASGPVIVMVGSFGSAIYERLPLPSEENGEEEFSGGDPMLGLCGNCGQNPCGPLNL >OIW17405 pep chromosome:LupAngTanjil_v1.0:LG02:1654100:1656427:1 gene:TanjilG_22517 transcript:OIW17405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRPPPEDFLLKETKPHLGGGKISGDKLTSTYDLVEQMQYLYVRVQKAKDLPGKDVTGSCDPYVEVKMGNYKGSTRHFEKKTNPEWNQVFAFSKDRIQASVLEVTVKDKDVVKDDFIGHVLFDLNEIPKRVPPDSPLAPQWYRLVDRKSDKAKGELMLAVWMGTQADEAFPEAWHSDAATVSGADALSNIRSKVYLSPKLWYLRVNVIEAQDLQPTDKGRYPEVFVKAILGNQAMRTRVSQSRTINPIWNEDLMFVAAEPFEEPLILSVEDRVAPNKEEMLGRCAIPLQMIERRLDHKPVNTRWFNIEKHVVVMEGEKKKEIKFASRIHMRVCLEGGYHVLDESTHYSSDLRPTAKQLWKSSIGVLELGILNAQGLMPMKTKDGRGTTDAYCVAKYGQKWVRTRTIIDSFTPRWNEQYTWEVFDPSTVITIGVFDNCHLHSGDKAGGSKDSRIGKVRIRLSTLETDRVYTHSYPLLVLHQNGVKKMGEIHLAVRFTCSSLLNMMHMYSHPLLPKMHYIHPLTVSQLDSLRHQATQVVSMRLSRAEPPLRKEIVEYMLDVGSHMWSMRRSKANFFRIMGVLSGLIAVGKWFDQICNWKNPITTVLIHILFIILVMYPELILPTIFLYLFLIGVWYYRWRPRHPPHMDTRLSHADSAHPDELDEEFDTFPTTRSSDIVRMRYDRLRSIAGRIQTVVGDLATQGERLQSLLSWRDPRATALFVIFCLVAAIVLYVTPFQVVALLTGIYVLRHPRFRHKLPSVPLNFFRRLPARTDCML >OIW17287 pep chromosome:LupAngTanjil_v1.0:LG02:677516:687635:-1 gene:TanjilG_22399 transcript:OIW17287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYKCIVGAAAAAVTPIENDTFIEGLKSKLSSVTSLEESLGEVGFEKDENESTVSITVVGASGDLAKKKIFPALFALYYEGCLPKNFTIYGYARSKMTNAELRNMVSKTLTCRIDRSENCSEKMDEFLKRCFYHSGQYDSPENFAALDKKLKEHEGGRISNRLYYLSIPPNIFIDAVKCASLSASSGNGWTRVIVEKPFGRDSDSSAALTRSLKQYLTEDQIFRIDHYLGKELVENLSVLRFSNLIFEPLWSRQYIRNVQLIFSEDFGTEGRGGYFDNYGIIRDIMQNHLLQILALFAMETPVSLDAEDIRNEKVKVLRSMRPIRLEDVVVGQYKGHTRGGVTYPAYTDDKTVPKNSLTPTFAAAALFIDNARWDGVPFLMKAGKALHNKGAEIRVQFRHVPGNLYNRNIGTDLDRATNELVIRVQPDEAIYLKINNKVPGLGMRLDHSKLNLHYAARYSTEIPDAYERLLLDAIEGERRLFIRSDELDAAWSLFTPVLNELEEKKIIPEYYPYGSRGPVGAHYLAAKYKMLAKVHVVDCQLHIGVNYFFMLWHGYLIPWKTAYTDDKTVPKNSLTPTFAAAALFIDNARWDGVPFLMKAGKALHNKGAEIRVQFRHVPGNLYNRNIGTDLDRATNELVIRVQPDEAIYLKINNKVPGLGMRLDHSKLNLHYAARYSTEIPDAYERLLLDAIEGERRLFIRSDELDAAWSLFTPVLNELEEKKIIPEYYPYGSRGPVGAHYLAAKYKMLAKVHVVDCQLHIGVNYFFMLWHGYLIPWKTATPTMDFWCSSLSMDDEFKKLVIRMNPPRVTVDNNSSKTTTLIKVDSANKHGSLLEVVQILIDMNLIIRRAYISSDGGWFMDVFHVTDQNGNKLLQDDVADLIQQSLGARGHSFLSLRKSVEFQGTAEHTTIELIGRDRPGLLSEVFAVLEDLKCNVIAAEVWTHNSRMASVVYIADEATGSSIINPDRLAKIKQLLLHVLNGDIDKRSANTAVSVGSTHKERRLHQMMYADRDYDIYDMDNGLSIDKNNLNLNVTVDDCADKGYTVVNLKCLDRPKLLFDTICTITDMQYVVYHGTVIAEGPEAYQEYYIRHMDGCPINSEAERQRVIQCLEAAIRRRSSEGTQLELHGEDRVGLLSYVTRIFRENGLSFIRAEITTKDSKAMNVFYVTDASGIRVKSETIEAIRKEIGLTTMHVKQDVYLKSTSKEKGTFSFSNMFLSTSEKFLYNLGLIPMKK >OIW17035 pep chromosome:LupAngTanjil_v1.0:LG02:7161516:7164829:-1 gene:TanjilG_13854 transcript:OIW17035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWDEFCETGDHIVPHADDEHKDQFAIQGNTDSCEKSLQELQGIKRCSDYVSNYGTQGKEDLCLANLNPKERVLEKVSSWPHKPEGLFSSCDGDSCKELKRLTSDNTKMSDHCFKGSNVDSCSSELCAVDTIMGNKCVAEDDSVSQYSINHISQTDNELNFLDNDVWLDIGNFEDVDRTMNCDLTFGMESLDDEEGFSSWLSSSHGTEGSDDALKSGFNFASAEMCPLKSMSDYNIALKESIEGLPINDCNKKASLIDEKLRSQMDVDHDAVPAPLSTFSESDMISGNTDDMMPKEKGKMSKALVGGLKNLETGDSVRPHDHIEQFSDLKQHFGASSSGVTCQGSIHKHRPNMGSDSLGCTPIQNPLMNQNCCEDDYSLSAVGKFVHCNSRPLNRGFKSENMGNPLPFQNPGSAQKMSRKFENENEDHSEVGRGSIGFSQEIDSSNVQESSSMSSALDNISHEAASFCQLQRVMDQLDIRTKLCIRDSLYRLAKSAEQRHDVNTNGQIGGDAEMCEAIKTPDANRCTGFMGLETNTNPIDRSIAHLLFHRPSDPSTFPLNDTIHFKSNSMVHGSATNLPATTETPVCQQESSAGVEKKSSGVQPSQK >OIW16470 pep chromosome:LupAngTanjil_v1.0:LG02:24684879:24688645:1 gene:TanjilG_19186 transcript:OIW16470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQDNNNNNNNNHSNSDSNFTGSTSNNSTNNSNNNNNNNNNRQQPNIIIKKDTIMRRGPDNQAYYVLGHKTPNIRDLYTLGRKLGQGQFGTTYLCTENSTSIEYACKSISKRKLISKEDVEDVRREIQIMHHLAGHNNIVTIKGAYEDPLYVHIVMELCSGGELFDRIIQRGHYTERKAAELTKIIVGVVEACHSLGVMHRDLKPENFLLVNKDNDFSLKAIDFGLSVFFKPGQVFTDVVGSPYYVAPEVLLKHYGPEADVWTAGVILYILLSGVPPFWAETQQGIFDAVLKGHIDFDSEPWPLISDSGKDLIRKMLCSRPSERSTAHEVLCHPWICENGVAPDRALDPAVLSRLKHFSAMNKLKKMALRVIAESLSEEEIAGLREMFQAMDTDNSGAITFDELKAGLRRYGSTLKDTEIRDLMEAADVDNSGTIDYGEFIAATVHLNKLEREEHLVAAFQYFDKDGSGYITVDELQQACAEHNMTDVFLEDIIREVDQDNDGRIDYGEFAAMMQKGNPGVGRRTMRNSLNLSMRDAISPH >OIW17395 pep chromosome:LupAngTanjil_v1.0:LG02:1570154:1585129:1 gene:TanjilG_22507 transcript:OIW17395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKQGVKSRRFGFNSSKTCNSPSSKSPSSPSSSSKIVPFDAQRAKENVTVTVRFRPLNQREIQQGEEIAWYADGETVVRNENNPSVAYAYDRVFGPTTTTRHVYDVAAQHVVSGAMEGINGTIFAYGVTSSGKTHTMHGDQRSPGIIPLAVKDAFSIIQETPNREFLLRLSYFEIYNEVVNDLLNPEGQNLRVRQHTQGTFIEGIKEEVVLSPAHALSLIAAGEEHRHVGSTNFNLLSSRSHTIFTLTIESSPRGKNSEGEAVTLSQLNLIDLAGSESSKAATTGMRRREGSYINKSLLTLATVISKLTEEKPSHIPYRDSKLTRLLQSSLSGHGRVSLICPVTPSSSSTEETHNTLKFAHRAKHIEIQAAQNKIIDERSLIKKYQQEIQCLREELEQLKRGNVTVQSKDTEEDNIGLLKQKLEDGQVRLQSRLEQEEEAKAALLGRIQRLTKLILISTKTSNSTTFPNRPSFRRSHSFGEKELAYLPYKRGDFILDDENIDLYANLEGNYVTADDSFKEDENAKKYGLLNWLKSRTSIKSVDHIYLLREEHKILSGEVVLHSSTLKMLSEETARNPQNDQIHVEIKRLKDEIKAKSEQIGLLEKQISRSLTASVNMDRSGVSQVKSADKGIIQEKLKQKICECESLQETITTLKQQLADAMESINFSNVVNHSQHLSLTKDYSSELYLDKGNANFTNGGTVLQAKISEIEQLKQKVAELTESKEQLELRNQKLAEENSYAKGLASASVVELKALSKEFAKLIDYNQRLAAELAATKNLTTQRRSSGSVQNARRESHARLKRNDQAGSNSDIKRELALSKDQLYQKEIEIQRKMLTMKLKCRV >OIW16853 pep chromosome:LupAngTanjil_v1.0:LG02:13195043:13198808:-1 gene:TanjilG_31254 transcript:OIW16853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDHLVLVVDRLTCPVPVDAVAQPSQIHSDPSSSPSTVNHADEGGSEHGEGGDEEEPLIQMAECRICQEEDGVSNLESPCACSGSLKYAHRKCVQHWCNEKGDITCEICHQPYQSGYTAPPPRSNPDETTIDIGGGWTISGTPLDLRDPRLLAIAEAERQFLDAEYDEYAASNASGAAFCRSVALILMALLLLRHGLSATDGDASDDDPSNFFSLFLLRAAGFLLPCYIMAWAISILQRRRQRQEAEALAATQVAFVLQSGQRRGVQFAIAPGPTVHPEQV >OIW16558 pep chromosome:LupAngTanjil_v1.0:LG02:20536916:20540165:1 gene:TanjilG_17731 transcript:OIW16558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKVTDTLKILDNDGDSFAQRAEMYYKKRPELLGFVEESFRAYRALAERYDHLSKELQSANRTIASVFPDQVQYRVDEDSEEESETCTNASSPDLNNQTHDKKVIPKVPKIPKNDFRSPSMLLSRKGAPKENATTVSNFVASPTSGLTKNVAIGEIDKLQKEMLALQTEKEFVRSLYERTYEKYWDIEDQIIEMQKRVCSLQDEFGVGTIIEDNDARNLMAATAIKSCQETLTKLQEIQEQSSKEAKVEYQRVKEAHAMFEDLRDEFISKYLNGQDQNNREKTESMRAKQESMDEEMVGLEQKSHDVGLLRETMKKILEKDLGKSFSVTEMAEKIDELVDKVVSLETAVTSQTGLVKRLRSETGELQTNIKNLEQDKDRLIEGSEVTNKRLDELEKEIRRVKLLNRSVRSQDKSLQTHFTEASCNLEHLSGKLNDMKPDMEEENMLLHKKKRSVSVCEPENEPKHGNTLSFSDLAEIEDVKTLKKDITSDEDNNKSNLSDNVNFMHERNQKLMQHDKGDNKVSNGNIESHDLNIGEEDQPNWKQMFISGLDDREKILFEEYTSVLRNYKDVRIKLNDIEKKNRDSIFELALQLRELKNVVVNKDKEINYLQQKVNIPEATPDESPYTLTTEYKYTPHEAALRKAAEGGANLQHSEISPLNSDANAVRTPFSEKNNVETTTNNSSYAAIKMTLEKLMVSQDKHHNLTTFEQKFRLELDNLLEENLEFWLRFSTSVHQIQKFQNSIQDLKAEVRTIKENKNSEGHSHSKNHQPIQSQLRPIFRHLREIRTELSLWLEHNAVLQHELQGRYTSLCNIQDKIARAGNIKGSEGEKPKEELSGFQAAKFQGEVLNMKQENSKVASELQAGLSLVKGMKVDVEKTLDEIDQDIVINSNNHGHTKHSSSRTRIPLKSFLFGAKLKRQRQSLFACVSPAMHKHDDMPAPDDAPI >OIW17045 pep chromosome:LupAngTanjil_v1.0:LG02:6755034:6756379:1 gene:TanjilG_05708 transcript:OIW17045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGLQDKRVNFPFYLTAYDISLADDGWPNDAFNVISDSTSKSWEKLDSGGILSHTFELEVKTKGEFSSKPAVVKFRIPTKASLQEAYSTPILPLDILADRPPEKKFGWRLLAKYGSLISVISIMVLFVQLVASPSKSGAKGGKKKR >OIW16572 pep chromosome:LupAngTanjil_v1.0:LG02:20322772:20324773:-1 gene:TanjilG_17745 transcript:OIW16572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSFDCLSSLLCFEDNSIFDENDYVGSVETLEEDTMWYPSYRRNLNQTEHFAVEPNEFLLLPLQSDESLNLMVEKECYHLPREDYLNRVKNGDLDFGDRKEAIDWIQKAGSHFGFGPLCEYLSINYLDRFLSAYELPKDRAWTMQLLAVACLSLAAKIDETKVPMPLDLQVGESDFVFEAKIIQRMELMVLNTLKWRMQSITPFSFIDYFLSKVNDDQSQIRSSILRSIQLILSTIRGIDFLEFKPSEIAAAVAISVVGETKTVHTEKAISVLIPLVEKERVLKCVKMLQELSSNNVYADHTSGSSVPVPVPVHVPCLPQSPIGVLDAICFSYKSDDTNKSDDSKGGSCASSPHDSPSAKRRKLK >OIW16538 pep chromosome:LupAngTanjil_v1.0:LG02:20996263:20996651:-1 gene:TanjilG_32184 transcript:OIW16538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHALPPSTIITISHEDFCLFHKMDRKLYWILVINLYRNPTESMQVLAMWLWLERLGYRHLVKKITSLPYTLINEVADETLSCLKYINATNFSYFSYSIPFTSEPCDISLLQSIVDKEISSQSLYDNKD >OIW16539 pep chromosome:LupAngTanjil_v1.0:LG02:20995635:20996162:-1 gene:TanjilG_32184 transcript:OIW16539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VRAFSDIMQHAITGNTIEKKAESQRNMSDQSAKPPQQQQQKPLWFGSIAPSNLHSFSNMVQGGASHDHKIHVHNLAQENINIELVPADERTLFLTFSKGYPVEESEVREFFTKVFDDSVEALYMQEVQPNEQPLFARMIFRNKSTINMIIGGSSKAKLSINGKHVWARRFVPKRT >OIW16346 pep chromosome:LupAngTanjil_v1.0:LG02:23931371:23935059:-1 gene:TanjilG_19062 transcript:OIW16346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRPKSKDFKKQQRISEETEIELLKSWIQTQQVDSGSNPMSLPPLPKNAPIGRVDENTFSRYAGVANFNQLPLSKKTKDGLKDGKFVSMTDIQRASLPHALCGRDILGAAKTGSGKTLAFIIPVLEKLYRERWGPEDGVGSIIISPTRELAGQLFDVLKSVGKHHNFSAGLLIGGRKDVDMEKERVNELNILICTPGRLLQHMDETPNFDCSQMQVLVLDEADRILDSGFKRELNAIISQLPKRRQTLLFSATQTKSVQDLARLSLKDPEYLSVHEESVSATPTLLKQIVMVVPLDQKLDMVWSFIKTHLQSKILVFLSSCKQVKFVYEAFKKLHPGIPLKCLHGRMKQERRMAIYSEFCEKRSVLFCTDVAARGLDFNKAVDWVVQVDCPENVASYIHRVGRTARYKSGGKSVLFLLPSEIQMLEKFKAAKVPVHFNKPRKELLQPVSALLASLLAKYPDLQPRAQRAFITYLRSIHLQKDKEIFDVTKLPIDEYSASLGLPMTPKIRFLNQKIKSKAVSKEQISAEPESPKKENALMVTKKKLATDDSDDEEEENDLLLAADTLNEDDEAKASPNL >OIW16422 pep chromosome:LupAngTanjil_v1.0:LG02:24437998:24448430:-1 gene:TanjilG_19138 transcript:OIW16422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFSSEKYNSLNSKDGKGELSMLSFQASKIRLLRSLIIKSETMQVLDFTVFPKAEYDTPIFCANFFTTARTNIVVLDLNPLHDVINQGDYKEKYFRSLIPLGLKYAEVWLELVGSAVKETDESQILCNLQAQHRYLTWRAEKDPGQGVLKKLIGDTLAKDLLRNFLFNGVDELGSKKFSDYFPQYCCEDENKKRNTIGKSFESRPWDANGEFLGE >OIW16743 pep chromosome:LupAngTanjil_v1.0:LG02:17565366:17567384:-1 gene:TanjilG_14513 transcript:OIW16743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIIEVVSITPSLESQKLPTQTSLSLTFFDILWLRLTPVERVFFYEFTHPTPLFYDIVLPKLKHSLSVVLGYFFPLVGYLTWPVDSDKPIIKYNDGDTIFLTVAESDADFNHFVGSDLCEATEIQHLVPKLTISHEKANVLSLQVTLFPNSGFSIGITTHHAVLDGKTSTSFIKSWAYLCNMEEQSPFALPHELTPFYDRDVIEDPNELATKYASDWLKQNGPNNKSLKVWDLHVPEGAIRGLFHLSRSNIEKLKQLVLAKYKGNGKLHLSTLVVSLAYACVSRVKAEGNRSKKHGIAVNIDCRLRLDPPIPSTYFGNCIGGRFAVNETTELLGEDGLIVAVESISAALETLKDGVLSGAESWSSKLHDGLNTDEKIIGAAGSPSFEVYSIDFGWGRPKKVEMTSIDRTGAFCISDTRNGDGVEIGFVSNKQEMDAFASIFVKGLES >OIW16759 pep chromosome:LupAngTanjil_v1.0:LG02:15422837:15423196:-1 gene:TanjilG_05493 transcript:OIW16759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQTTTTMKSEFEDFLPVMAEKLDVEEFMSELCCGFKLLADQEKGLITSESLRRNSALLGMDGMSKEDAEAMVKQGDLDGDGKLNETEFCILMVRLSPGMMEDAESWLEKAIEEEIKKL >OIW16967 pep chromosome:LupAngTanjil_v1.0:LG02:8421968:8424971:-1 gene:TanjilG_32834 transcript:OIW16967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHREMVYVQQCKDANGFDKIILRELRGFSAEVYLYGGQVMSWKNELGEELLFVSSKANFKHPKSIRGGIPICFPQFSNLGSLEHHGFARNKFWTLDPNPPPPTTSNSRAFIDLILKNSEDDTKSWPHRYEFRLRIALGPTGDLMLTSRIRNTNTDGKSFTFTFAYHTYFYVTDVSEVRIEGLETLDYLDNLKNKERFTEQGDAITFESEVDKVYLSTPTKIAIIDHERKRTFVLRKDGLPDAVVWNPWDKKAKTISDFGDDEYKHMLCVQAACVEKSITLKPGEEWKGRQEISAVPSSYFSGQLDPRKVLFH >OIW16977 pep chromosome:LupAngTanjil_v1.0:LG02:8887687:8892426:-1 gene:TanjilG_32844 transcript:OIW16977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSCTLIVHFDKATPALANEIKESLEGNDVALKIEALKKAIMLLINGETIPQLFITIIRYVLPSEDHTIQKLLLLYLEIIDKTDSRGKVLPEMILICQNLRNNLQHPNEYIRGVTLRFLCRINESEIVEPLIPSILSNLEHRNSFVRRNAVLAIMSVYKLPQGEQLLDSAPEIVEKFLSTEQDPSAKRNAFLMLFSCSQDRAVSYLFSNIDKIIDWGEQLQMVVLELIRKVCRSNKGEKGKYIKIIISLLNANSTAVIYECASTLVSLSSAPTAIRAASSTYCQLLLSQSDNNVKLIVLDRLNELKSSHREIMVEMVMDVLRALLSPNLDIRRKTIDIALELITPRNIDEVVMTLKKEVVKTQSGEHEKNGEYRQMLVQAIHSCAIKFPEVASTVVHLLMDFLGDSNVASALDVVVFVREIIQTNPKLRISIITRLLDTFYQIRSARVCSCALWIIGEYCLSLSEVESGIASIKQCLGDLPFYTAAEEGEGHDASKSATKQVSSATVSSRRPAILADGTYATQSAALETAMSPPTLVQGSLSSVGNLRSLILSGDFFLAAVVSCTLAKLVLRLEEVQTSKAEVNKTSAQALLIMVSILQLGQSSVLPHPIDSDSHDRIVLCIRLLCNTGGEIRKIWLESCRQSFVKMLADKQHRETEEIKAKSQISNAQPDDLIDFYHLKSRKGMSQLELEDEVQDDLKRATGEFTKDADDANKLNRILQLTGFSDPVYAEAYVTVHHYDIVLDVTVINRTKETLQNLCLELATMGDLKLVERPQNYTLAPESSKQIKANIKVSSTETGVIFGNIVYDTSSNVLERTVIVLNDIHIDIMDYISPASCADVAFRTMWAEFEWENKVAVNTVIQDERDFLNHIIKSTNMKCLTPPSALEGECGFLAANLYAKSVFGEDALVNVSIEKQTDGKLSGYVRIRSKTQGIALSLGDKITLKQKGAA >OIW16608 pep chromosome:LupAngTanjil_v1.0:LG02:20186401:20187816:-1 gene:TanjilG_02814 transcript:OIW16608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTTTTEPTIAYETTTSTKTPKKLTLIPLIFLIYFEVAGGPYGEEPAVQAAGPFFALLGFLIFPFIWSIPEALITAELTTAIPGNGGFVLWADRAFGPFWGSLMGTWKFLSGVINIASFPVLCIQYLTKIFPIFDSGWPRYVALLGSSLALSFLNYTGLTIVGYAAVVLAFVSLMPFILMSLIAIPKIHPHRWVSLGQKGVKKDWNLFFNTLFWNLNFWDNVSTLAGEVDKPKKTFPLALLVAVIFTCVSYLIPLFAVTGSVSVDQSLWETGFHAQAAEIIAGKWLKIWIEIGAVLSAIGLFEAQMSSSAYQILGMAEIGMLPTVFGIRSKWFNTPWLGILVSMLIAIAVSYMNFTDIISSANFLYSLGMLLEFAAFLWLRWKSPTMKRPYQIPMKLPLLVIMCLVPSGFLVFIMVIATKTVFLVSGVMTVAGIACFFFIKLCKKKRWAKFYNSQPLNEEDESLTGFYYLN >OIW16114 pep chromosome:LupAngTanjil_v1.0:LG02:21897953:21899770:-1 gene:TanjilG_18829 transcript:OIW16114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLSNKIDREALKAGDHIYSWREAYIYAHHGIYVGDGMVIHFTRGAGQEIGTGSTVMDRFLFSSSPVHDTDNPCPKCGDQTRDGVISSCLDCFLSGGNLYLFEYDVSPVLFLAKARGGTCTLASSDPAEDVLSRALFLLENGFGGYNIFKNNCEDFAIYCKTGLLVFTSISVGRSGQAASYLAAASAVVSTPLRFLTTSFSGLALVGYGMYCASRLVSDIGVRRDVSKVPVERLVASSGLDQPEKIAEVAKED >OIW16776 pep chromosome:LupAngTanjil_v1.0:LG02:15750355:15754261:-1 gene:TanjilG_05510 transcript:OIW16776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTFIIFSLFTSLILRFSFTLINRRRRRNTAVGFFHPYTNDGGGGERVLWCAVRAIQDESPDLDCLVYTGDHDATPQSLMARAIDRFGVTLLSPPKVVHLYKRKWIEETTYPHFTMIGQSLGSVYLAWEALCKFTPFYYFDTSGYAFTYPLARLFGCKVICYTHYPTISSDMISRVRQHSLMYNNDALVAKSCLYGMAGSCAHLAMVNSSWTKSHIEKLWGVPDRIKRVYPPCDTSGLQVLPLERSAEIPTIISVAQFRPEKAHSLQLEAFSDAFKRLDSTLPKPKLQFVGSCRNKSDEERLEILKRKALELNVNEQVEFHKNITYRELVRLLGGAVAGIHSMTDEHFGISVVEYMAAGAIPIAHNSAGPKMDIVLDEDGEQTGFLACNVDEYADAILRIIRMPEMERLVMASAARKRARRFSEQRFYDDFKAALRPILCHVSE >OIW16403 pep chromosome:LupAngTanjil_v1.0:LG02:24299175:24300957:1 gene:TanjilG_19119 transcript:OIW16403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIAHCYLEGNADAVEFCPHNSYHNVFAASTYTLQEGGQPSRHGSVSLFDIDAETGRLDMVYNVETSGIFDIKWNPGGGHVSPCLAQADADGYLTIQMLQGNGVGGAYLKQMTSEKISDSMCLYLDWNPSETSITVGLSDGSVSIVSLCESKLEVQQEWKAHDFELWTTSFDIHQPNLVYTGSDDCKFSCWDLRDSPSNLVFQSSKVHKMGVCCIEKSPHDPNSLLTGSYDEYLRVWDLRFISKPVNETSISLGGGVWRVKHHPFIQGLVLAACMHNGFAIVAIKGDKAEVLETYKKHDSLAYGADWQRGEANQEGGGTSKPVVATCSFYDKLVRVWRPENDICLAL >OIW17448 pep chromosome:LupAngTanjil_v1.0:LG02:1967077:1967907:1 gene:TanjilG_22560 transcript:OIW17448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAETFLFTSESVNEGHPDKLCDQISDAVLDACLEQDPDSKVACETCTKTNMVMVFGEITTKANVDYEKIVRDTCRNIGFISDDVGLDADKCKVLVNIEQQSPDIAQGVHGHFTKQPEEVGAGDQGHMFGYATDETPELMPLSHVLATKLGAKLTDVRKNGTCPWLRPDGKTQVTVEYYNENGAMVPIRVHTVLISTQHDETVTNDQIAADLKEHVIKPVIPEKYLDEKTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFFFIY >OIW17562 pep chromosome:LupAngTanjil_v1.0:LG02:158597:163005:1 gene:TanjilG_08840 transcript:OIW17562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDSSVQNLAITEKKAEKPGGCVGIVFQLFEWKRKLAKKKLFSKKLLLPAPAKKLNGDKRMPNSKLHLIANENNGGFPSPKKGTSQRSDVDQKTEMQVPSLVARLMGLEAIPAAQRDKPKKALVSDNCGDEEKEFLGRVGVDLEVGVVKHDSRPQKLQKTGTSDRMAVTRFGAEALQIKSVLSRARKNNQHHHHHPKLASPLKSPRITSGKGTSRSSRLIGAATKILEPGLHNKSRFKGSLTYSSPMYPPKTGTVTNFIGTGSAVMQNQSCYDAASAKPSMEHTSCQNCGTFLDVVECTPEVRRPPAIVPDVIAPTSIVSAWKNARTFSPSHEHERDIVLLRSKEKIISVFTEQEGKNNAQVQQSCNGPATRRMPMPRESPATWNSSHKPFRTLDDDASSSALKQKTQTQEQMSSSERLSSGSAMSNMQVKRVSSSMSTVSGTKDFVAFNRNISSRTRMRSPMKVDSSKFDTEKKPRNRQHNSVSYAKILERKRRTLNVTQVEGTASVNSNGVRLTKHHSDALGGKRRDFSTSSKTSSNIKSKQGGQGKTVKVNDNKINEVVSFTFNSPLKQELGIRSATQESSSNNERKTCFRRPSTLRIDALGAFLEQRLKELTSQEDDDLATGAPPEKPSATIIQELISALGSEQLICHDDHHLFNENNGVHYEAKRDTLSGTSCNGNHLSPGSVLEASFSSSSLDESSGHGFQPDSTNYSYDQLEHLEFDQDILDSATSFNKGRICSEKLTDRANQIPKALQSLYSFGTSLTTSNLTHMKDVILNAELVLGLSTKHSEDDVVPQSVISWFLLNELDTMADDAMWTDFNVFVCCEDSKQRKELKGFLFDCVIEYLESNCCRYFNCGFEAWTKLPLCMKPEILHQEVTREMKNWACLVGMVPNEIIEWEMSHSRGKWTDFDMEAFEAGVDMNGDIIEILVEEIVEDLLGRNLSTICF >OIW17371 pep chromosome:LupAngTanjil_v1.0:LG02:1356865:1361224:-1 gene:TanjilG_22483 transcript:OIW17371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLRFSSAKRLRSSIQHSLNLELVQQYHHSIMPMNHATIARLPSDSMRNLYSIEEIKPSRSFARNKFSNLASESLVSSTKISASFNAKFSGFGFRSTLPFASSMTSVLNCRKYSSSVGGKGNSDGGTDIQAGSGASDTNVFGDSVVAGDWPEKIRDAWKNVVETATHAGEKVKDSYDELTPYGQQLLDSNPYLKEVVIPVGGTLTATLIAWAVMPKILRKFHQYALQSPVSVFPGSTSGEPVLYEKSFWGALEDPVRYLVTFIAFSQIGVMVAPTTIASQYLAPAWRGAVILSFVWFIHRWKTNVFARTLSGKALLGLDREKLLALDKISSIGLFVIGIMALAEACGVAVQSIVTVGGIGGVATAFAAKDILGNVFSGLSMQFSRPFSIGDTIKAGSVEGQVMEMGLTTTSLLSAEKFPVIVPNSFFSSQVIVNKSRAEYHAIITKIPLQIEDLSKIPQISDDVKTMLRSNAKVFLGKDVPYCFLSRIESSFAELTLGYNLKHMRKDELYSAEQDVLLQAVQIIKNHGVALGSTFQDISNK >OIW17195 pep chromosome:LupAngTanjil_v1.0:LG02:3801703:3804335:1 gene:TanjilG_06773 transcript:OIW17195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKRVKKTNVPKQPQEPEDWCFECKDGGNVVVCDHGNCGKVYHPHCIDQDESLSEVKTWVCGWHYCITCRDLAKYYCLGCANGSLCDKCIDDSKLTVIKRRKGLCSVCSELVLIIEQKLEQDSEGNKLSMNDRETYECLFKEYWEIVKGKEKLTGSDVLAALDNSKKDKSFLFESDSSEDEKEKEELISSDSDKGRTYKRKTIRRKKSKGKERKPSSSRGRSVLKKGHFCVKPRYHASVNAKNINLIYLKESLVQELSKEPESFTNKVVGAFVRVKMDPKDTKQKNSHQLVKVIGVLNDETSNGILFQVSNRANAIPISKISNNDFTAEEVQEKAILLHEDVTKHV >OIW17136 pep chromosome:LupAngTanjil_v1.0:LG02:4829848:4833588:1 gene:TanjilG_27290 transcript:OIW17136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQQRQDPNDDVGSVIFCNKRRVLLMGIYVLPLLGLKVKALEELATTKESEVKTQEKDRKAETATNENDRQSNLFVSLLNIIGIYCSGTLGALYALARKEKTTALATIETVSSKLKEKEELIGSLKRNYESELLNEREERSKKLGKAKEEQRALVNELSSANNIVSRLEQEVKGGKKLIEELKLQISTLESKVSKTDADKKEFEKNLKGKVDSIETLQERINQLNLDLKDKEDRVRNLSSLIEEKELGLRNLTSTNNQTKDDLSNARLQIQGLKDELLKSREELEAKDSLVNELNSRISSVTLEKDDSKNKYDAIEKEYNDLRFTSEKKVTSDTYLLREKEDEIYRLKDQLEYALSEAKRNRVIIADLTQERENLKESLENESKKDNDLKNELQIAQENLGKSRDEAAVLEEQLNESSKLQKELEFEVSKLSSRLNEVRELLKKSLDDAKHEAEMLTSELTTTKEQLKKTQEELRSVSDELKAAIESRDSLQTEVNGIYRMAETAAEDLEEEKKLVDSLNKDLCDLEKQFSEYKEARKSHEKHLKEATKSLEEMNRNAAILSTQLENAESLISSLENEKDALNKSLSEQRNATKEAQENIEYAQNIIKKLGDERESLQNRGKKLVEELSVAKGEILRLRSQINSSKVSVNNVQVHKDEGETETKFNTTSNEKPQKDEGESKVPKNKGVVNNVQVQKDEVENESKVTINTPKTSRRRKANNQ >OIW17511 pep chromosome:LupAngTanjil_v1.0:LG02:2550222:2551362:-1 gene:TanjilG_22623 transcript:OIW17511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQKTGMLPKRIILVRHGESDGNLDTTAYTTTPDHRIDLTGEGISQARLAGSQLRSVIASSASNWKVYFYVSPYARTRSTLREIGRSFSKKRVIGVREECRIREQDFGNFQERERMDVIKEQRQRFGRFYYRFPQGESAADVFDRVSSFLESLWRDIDMKRLNHDPSNDLNLIIVSHGLALRVFLMKWFKWTVAQFELLNNPGNCECRVMQLGTGGEYSLAVHHTDEELIKWGLSSDMIADQKWRAHACKGAWNDQCSWYLDGFFDDLADSEDDDGDGHDNSDKQDQTNSLSMHSRYT >OIW17512 pep chromosome:LupAngTanjil_v1.0:LG02:2555517:2577744:1 gene:TanjilG_22624 transcript:OIW17512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSWIRSELAEMEIITPTRESGLTEQLLPKNHSHFVHESRSGVKNTNFLLRGAVSRSFSINFLTYGFPISLLALSFWSFHFASLCSFGLLAYVGYVLFAFPSMFRLQQLNGMLLVFILLWSASTYIFNVAFTVSNNKSRKDMEIWETIGLWHYPIPGYYLLAQFGLGFLVAMCNVVNSSVLLLITDQGQLRTDESLVEERKMISFLNVIRWNFLSVYQSCGKYIAFVTILFSVYLCTPNYASFGYIFFLLLWISGRQIAGQTRKHLWYPMKVYAVMVFVSIYSIGVFSSSKMWLSRIIDIQTAFGYDPEASMLQNIWESLAVLVVMQLYSYERRQSKSSGSNDYDAPEEGACSFIRRLLVRHTEKLLSLALFYASLSPISACGFLYLLGLINFSRLPKSSQTPAKVFLVYSGLLVMVEYLFQILGDQAGMFPGQEHSQLSLFMGLQLYKPGFRGLESGLRGKVVVIVACMLQYNVFHWLEQMPHFNGNGGKWNEHCPLFDLVEVPNETTTCTLGSKQLENSTSSIVKRATRSYSCPILNSASSQGPDSVVERDSTKKPRHFHFWDSSKESFKWNRKRILFLRKERLEMQKTVLKVSLKFWIENMFNLFGLEINMIALLLASFALLNAISLLYIASLAVCILLHRLVIKKLWPVFVFLFASIITVEYLAIWMRLTFMNQQIKEQVPCHDCWRVSDTYFSYCKKCWLGIIVDDPRMLISYYGVFMLSCFKFRADQSSLTGLEMYQKVLSQWKSASVLSDLSFETKGYWTFLDHLRLYGYCHLLDFVLSLILIIGTLEYDILHLGYLGFALVFFRKRLKILKQGNIIFRFLRMYNFVLIVLSLAYQSPFVGDFSEIKYGSIECINELVGFHKYDYGFRITSRSAFVEIIIFMLVSLQSYMFSFQEFDYVSKYLEKEQIGAILRQQEKKAAWKTAQLRHIRKAEELKHLRSLQVEKMKSEMLNLQNQLHNVSTDANCSNPSLESDDLRDRGNSSPDLHRENELRRQDLDINTESIGPFDMNGPLMSNRPESQLVREYWMHRMDSPHGIVEVKDSNGFLDLETRSRYKIRVRKNPLFSAVRLIGKGVSQVQSLGNMAVNNLMNYLKIEHEELESNKDSSEDEVYYEIENQNIGVEPLEPTSSTHSVHEHTVPDTACLRIGIILRYMWSRMRSNNDVVCYFCFILIYLWYFSLLSVVYLAALFLYALCQNTGPSYIFWVIILIYTEVCILLQYLYQIIVQNCEFDFPVNLLQELGFPVKKITSSFVTNNLPFFLVYIFTLLQISITVKDGGWTTAADLSFLKRRNPSYIEDVMCSNCQERLQRLFLPLKNVLKMLIRNICWYWKSLTWGAETPPYFVQLSMEVNSWPKEGIQPTKIESKINKLLTILHDRRCKEENLLNLQSASKVRIQSIEKSEENENLCFVVFEVLYAAPPIEFNAEEWYSSLTPAADVSNEIRKAQDFGIFKEIGFPYRILSVIGGVKREIDLYAYIFGADLAVFFLIAIFYQLVMKANSEFLEVYQLEDQFPEEFVSVLMLEDIHASLYLVKCDVDLNRSNHEQGEKQTKMTKFCNGICLFFVLMCVIWAPMLMYSSGNPTNIANPIKDASARLDINTVSGRLTLFETTLCEKISWEKLVARTSLDPEGYLNAYNEKDIQLICCQPDASTSWLVPPVVQARFTKSLRWDMEIIFSWQFTRDRPKGKELVKYELTIEDRDLPESSEVTEVFNGTSNSFTVFNIYPRYFRVTGSGDVRSLEQSVELVNGDLVLNRGNPEWWSFYDTDISDAHGCGEFPGPMAIIVSEETPQGIIGETLSKFSIWGLYITFVLAVGRFIRLQCSDLRMRIPYENLPSCDRLMAICEDIYAARAEGELEVEEVLFWTLVKIYRSPHMLLEYTQPD >OIW16500 pep chromosome:LupAngTanjil_v1.0:LG02:21156538:21158050:1 gene:TanjilG_32170 transcript:OIW16500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSWVRGKCIGKGAFGTVDIAVAKSNGQLFAVKSVDLKTGLPGQIEALENEIKILRGMNSPHVIKFLGDDITCEGTTSYHNLHLEYMPGGTVADMDHADVDELLVRRYAWCLVHALRDVHELGVVHCDVKGRNVLLAGEGSVAKLADFGSAVEFTGEDHVLPRGSPMWMAPEVIRREYQGPESDVWSLGCTVIEMVTGKMPWEDDGFETLNRIGFSGELPEFPAELSELGHDFLEKCLRRDPKERWSCNQLLQHPFLVMDSSNNVTESSPRCVLDWFDSEFTESEEEEKELDSENENSAKGRIGKLAMEVRVNWEIEGWVEVRAIMSSEVEPSNKMEEEKEKGADWEIGNVARVEEEMKASSGLELGKVKNGRVKREKRRNGRLVWRCECEECNRNRKKRVIVGGGCGWRCRHKLDRINGIFSIYNLPWVDVMADGRNVGDGQ >OIW16309 pep chromosome:LupAngTanjil_v1.0:LG02:23670829:23672803:1 gene:TanjilG_19025 transcript:OIW16309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKQSVHDVTNQVVPHPYAFHVSGPRNLTTLNWRDLITSSWKDANYKRTVIACFIQAVYLLELDRQEKRTQENALAPNWWIPFKYKLKKTLIDERDGSIFGAILEWDQSAAFADLVLIRPSGAPKAVLVLRGTLLKSPTMRRDIEDDLRFLTWETLKGSVRFKVALDALKSVCDAYGGSNVCIAGHSLGAGFALQVGKVLAKEGINVETHLFNPPSVSLAMSLRNIGEKAEFVWSRLKSMLPSSSQAQVNKDGDKASSERLKSWMPMLSSFGLKEAADFGVAKWTPNLYVNNSDYICCYYNDPGGKGKENVGPKNGEIAAKLFVVSKEKQKFLEAHGLEQWWSSDAELQQVISNSKLISMQLRSLYTATPSQIVIHGKS >OIW16088 pep chromosome:LupAngTanjil_v1.0:LG02:21472009:21473362:-1 gene:TanjilG_18803 transcript:OIW16088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESQSEEHDSGKLLRAQSHIWNHIFSFITSMSLKCAVDLGIPDVIHNYGKPMPLSKLITSLSIHPSKTSFIYRLMRILIHSGFFSEQNITKQNELEVEYVLSDASILLLKDNPFSVTPFLQVILDPILTKPWYHLSTWLKNDDPTPFEKAHGMMFWDYAGHDPNLNHLFNDAMASDARFVTSVLIEKYKEVFQGFKSLVDVGGGTGAVTKAIASSFPQLECVVFDLPHVITGLQGSDNLKYVGGNMFEAIPPTDAILLKWILHDWNDEECVNILKKCREAITSKGKHERRVIIIEMVISENEKRDNKSIETQLFFDMEMMVLVSGKERNEKEWAKLIFSAGFSHYKITPILGLRSVIDIYP >OIW16237 pep chromosome:LupAngTanjil_v1.0:LG02:23044966:23048441:1 gene:TanjilG_18952 transcript:OIW16237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEMRKTHNKHHFGASKIPIFLQHYSLYLSICTIFTSTQAFDYGDALSKSLHYFEAQRSGRIPYNQRVTWRHHSGLTDGLEQGVDLVGGYYDAGDHVKFGLPMAFTVTMLSWGAIEYGQQIADAGELEQTMEAIKWGTDYFIKAHTSPNVLWAEVGDGDTDHYCWQRPEDMTTSRRAFKIDDNNPGSDLAGETAAAMAAASILFRKTNPHYSHLLLHHAQQLFEFGDKYRGNYDASVGVVKSYYASVSGYMDELLWAAMWLYKATDNEEYFEYIISKSHTFGGIGWSISEFSWDVKYAGIQILASKFLNEEKHKKHSDILEQYRSKAEFYICSCLNKNNNGSNVERTPAGLLYVRKWNNMQYVSNAAFLLTVYSDFLQNTNHKLKCHEGIVNHEEILTFAKSQVDYILGSNPMNMSYLVGYGPRYPKRVHHRGASIVSYKENKGFIGCAQGYDNWYSNETPNPNVLVGALVGGPDLEDNFEDQRYNYMQTEACTYNTAPLVGVFAKLLHIENQKLLNDYNSLLVAAF >OIW16657 pep chromosome:LupAngTanjil_v1.0:LG02:19119021:19124578:-1 gene:TanjilG_23159 transcript:OIW16657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSPSQLNWPQNGNDPCGQSWKGITCSGKRVTEIKLPGLGLSGNLGYQLQSLTAVTTLDLSNNKLSGAIPYQLPPNVTRLNLANNNFNGPVPYSLSGMTSLTDLNLGHNQLQQGLSVNFQQLTSLSTLDLSFNSLTGDLPQTVSSLSSISTMNLQNNQFTGPINVLANLPLENLNVENNKFSGWIPEQLKGINLKTGGNTWSSGPAPPPPPGTPPITKPIRDHKSGGHSTPSDSSTSGGGKKSGIGGGAIAGIVISILVVGAILAFFLVKRKSKKPSSSMEKFDNQPLAPLTSNEAHDMNYMQSSSVIDLKTFDPPPAPPIILKPPPLDRHKSADEDDFSNKPIIAKKTIPARANVKSYSIADLQIATGSFSVDHLLGEGSFGRVYRADFDDGKVFAVKKIDSSVLPNDLSEEFTEIVSSISHLHHPNVTELVGYCSEHGQHLLVHEFHKNGSLHDFLHLPDEYSKLLIWNTRVKIALGTARALEYLHEACSPSVVHKNIKSANILLDTDFNPHLSDSGLASYIPNANQVLNNNSGLGYDAPEVGLSGHYSLKSDVYSFGVVMLELLSGRKPFDSSRPRFEQSLVRWATPQLHDIDALAKMVDPVLEGLYPIKSLSRIADVIALCVQPEPEFRPPMSEVVQALVRLVQRANLSKRTYGADQGGSNQGGDDLEDM >OIW17500 pep chromosome:LupAngTanjil_v1.0:LG02:2476402:2481260:1 gene:TanjilG_22612 transcript:OIW17500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDIFGSVRRSFVFRSSPENEEPSHSLRGTLVDKISCCIRNSRVFSKPSSTTIRWRKGELIGCGAFGHVYVGMNLDSGELLAVKQVLIAASSASKEKAKAHIKELEEEVKLLKDLSHPNIVRYLGTVREEESLNILLEFVPGGSISSLLGKFGAFPEAVIRTYTKQILVGLEYLHKNGIIHRDIKGANILVDNKGCIKLADFGASKQVVELATISGAKSMKGTPYWMAPEVILQTGHSFSADIWSVGCTVIEMVTGKPPWSQQYQQEVAALFHIGTTKAHPPIPDHLSAGAKDFLIKCLEKEPDLRPSASELLQHPFVTGESTDTLPLSSTTLENFEASSSCAPNVDSFLCSSTVNTQGSGNKQLWRMSNDDEMCVIDDKDEFLQCDVKHKSLISENIESFNPMSDPSDDWGCKFDASPELEHREVGFDIDESYMPCGQSGANEGQNDSSFPSVPSLTEEEEELTESKIKDFLAEKALELKILQTPLYEEFYNTLNTSFSTNVINSPSDEPGGRKYLKLPPKSRSPSRAPSNTPSKAVDNARSPGSNDRSSSIVGSVNDHCSQDIPVSPLTERNRLSQQDSSSPSLSDSERLRKWEEELYQELERKREMKRQTGMGALHRQRERSRFASSS >OIW16911 pep chromosome:LupAngTanjil_v1.0:LG02:10722803:10725015:1 gene:TanjilG_19216 transcript:OIW16911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSPNIARLGDLLRSPRPLTATASPHFPSTASPPPPPPPPTLRATDHHRIITSLNRATIIVGTLNLAASSSPPPPCSCFRFSDSSATVCCDVLRFQPSCIGKEIRVTAWNFIPFKHHRRGGSGGFLEIIQWSFSDSSEGLKGLHHVDSLPLVPNHRNDDSSKAQYHVFGVVESVGPVSVVPCSMAVSISALNSSSKVNLPGFLVQLMCCECRLCCSKECNTDFISGTLAENQNGNGHSFTKMQIVYFCGNAYTWHATMTKLICTQIMVSSLKKKLIYITKDESRVMYVTADMSVFHVCRFSEKWMPCLKSDNIMGKGECGAYTGVIKDVYMQGMALELDHDVWLLLTDELHSSVHGLRVGTIISVRNVHFMDPKFSWTKIIILGACIKTSIIVESFSPLETGCNVVLQPRSMLGKFIQSLPFSARLWVLLLVSSLRKKFAGFLSDKEILGTQHKEGIVQMYASSLLPPSMIQTEVCMVLSWGFVGMT >OIW16627 pep chromosome:LupAngTanjil_v1.0:LG02:19371392:19371835:-1 gene:TanjilG_01866 transcript:OIW16627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDLFEIDLFVYTRLSSTNINEDIGVVPLNYFSLDFHDEDIDDDLANFISIDINQNIDQQHACNTLASIQNSLERLKYEEDASLSKEQCAICLEEFCNGDESKLLVRTQCLHVFHEDCMIKWLQRCSNVNQSYSCPMCRCQISSKN >OIW16772 pep chromosome:LupAngTanjil_v1.0:LG02:15711675:15712370:1 gene:TanjilG_05506 transcript:OIW16772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYQSCLPKCFWNFGAAHAVYLINRQPSQFLKNKCPYEILYNHELNLLNIKVFGCLCFASTLTHNRKKLDPRARKCVFLGFKQGTKGYIMFDYMNKETFLSRNVSFYENIFPFKNEENQHNQGMDISLPTSSYNNEDVFLNHDHVSISVYPSETPVTNNDPHEIHDEGESSLSNLDESLDFNNNNNQNLRKSSRQINAPNYLKGQQFLVMQAISLSFVIGSMILFFCFQLVG >OIW17225 pep chromosome:LupAngTanjil_v1.0:LG02:3639582:3642119:-1 gene:TanjilG_02514 transcript:OIW17225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSSIDSNILSLSMLEEDEHSHHQFSSISKLHSNVPTTTSVHDLLECPVCTNSMYPPIHQCHNGHTLCSTCKTRVHNRCPTCRQELGDIRCLALEKIAESLELPCRYISLGCPEIFPYYSKLKHEAICNFRPYNCPYAGSDCSAVGDIPYLVAHLRDDHRVDMHSGCTFNHRYVKNNPMEVENATWMLTVFHCFGQYFCLHFEAFQLGMAPVYMAFLRFMGDEREARNYSYSLEVGGNGRKLTFEGSPRSIRDSHKKVRDSHDGLIIYRNMALFFSGVDRKELKLRVTGRIWKEQQNPDGGVCIPNMCA >OIW17223 pep chromosome:LupAngTanjil_v1.0:LG02:3626146:3630003:1 gene:TanjilG_02512 transcript:OIW17223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLLSLTPKLHSLSFNNNPFYLLPSATCSYSSPPSKKSQHANTNHKYTNIKNLKKLNFFTNQSSFNNPFLLSLSSTPCCSSQKSHHSNHTDKNNIPRWISFTKNITFSQYKKWVSGFRDYSNAEKSENDVLYLQNGGLGVALLSITTNAKLRISPFVATLATNPTFVSGLVAWFIAQSIKVFLNFYVERKWDLRLFCASGGMPSSHSALCTALTTAVALCHGVADSLFPVCLGFSLIVMYDAIGVRRHAGMQAEVLNMIVADLFKGHPISERKLKELLGHTPSQVVVGALLGFLVSCFCCQAYVVKA >OIW17273 pep chromosome:LupAngTanjil_v1.0:LG02:509894:511566:-1 gene:TanjilG_22385 transcript:OIW17273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNTPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDALMKILTERGYSFTTSAEREIVRDVKEKLAYIALDYEQEIETAKTSSSVEKSYELPDGQVITIGDERFRCPEVLFQPSMVGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEISALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPSIVHRKCF >OIW16358 pep chromosome:LupAngTanjil_v1.0:LG02:24019318:24025059:1 gene:TanjilG_19074 transcript:OIW16358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIPFYDENLFMLNMKSDLEAKASEAIPSVERLTAATKDPIPPSSVLKQLAIAVEAGSKKKSMKDFIASSGSSSPAMERAASTLSAVMALVLREKEDKLTSDFSSNERVLHLISSLFDPEGDFLKRKINSDPEDPSIAFLPRDIHGAPPESLVVKLAEVIGSFKTVRKMALFWHRVIVELRKLWSEEQQLPGVPRDAIPDLKSCLLYQQFQVINCCISRKRLHIIATESLECMMKEANSTIKHSAKYNDGSPESPVLYARLSTGELVLRIGADCPAGDLTLLETGEPVYSPFTQEGPLLTEDLIREHEEFVLRTGSVGAGCSQLLSDMQAFKAANPGCILEDFVRWHSPPDWSENEAITEDSDAFYGGELSTRGQLSLRMRKEGNLWRQLWETSKPVPAVKQAPLFDEDLAVEGILNAFEDIHPLELFGQLFVSLLGLGFTIAEPMLLGNNDLSKLFYDCKEYVVATCQSSNWCEKVYDLVQVYETVETILLNPEESLKMIKQTEEATMTTGEPKGRFKRLGLIFGGKDMLWRNPVSNDQINDEEKSIRQSLSKFFDGKSSLFSKNPRKSGCPSPSEKPPSLLTDWTMV >OIW17567 pep chromosome:LupAngTanjil_v1.0:LG02:120245:123427:1 gene:TanjilG_08845 transcript:OIW17567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFPVGVIDKNNEELHKVLNAKMDQVNARRLARQAFKDIQLGIDHILFNLPPSTFVDLTNFAHINSFMLTVLQTPCNGLKMKESFEVNSRGLEIFCKSWLPTASRPKAAVFYCHGYGDTCTFFFEGIARKLVSSGYGVFAMDYPGFGLSEGLHCYIPSFDAIVDDVMEQYSKIKENPEFHSLPSFLFGQSMGGAIALKMHLKQRKAWDGAILVAPMCKFADDMVPPKFLTQVLIGMANVLPKLKLVPQKDLAEAAFRDLKKREMTAYNVVAYKDKPRVLTAVQMLKTTQEIECRLEEVSLPLLILHGEADTVTDPSVSKALYEKASSSDKKLKLYKDAYHSLLEGEPDEMIIQVLSDIISWLDVHSFKHSSSSS >OIW16689 pep chromosome:LupAngTanjil_v1.0:LG02:18527222:18527569:-1 gene:TanjilG_28746 transcript:OIW16689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKEGRFRETLLGKRVDYSGRSVIVVGPSLSLHRCGLPREIAIELFQTFVIRGLIRKQFASNIGIAKSQIRQKEPIVWEILQEVMRGHPILLNRAPTLHRLGIQAFQPILVHVTE >OIW17222 pep chromosome:LupAngTanjil_v1.0:LG02:3615636:3618247:-1 gene:TanjilG_02511 transcript:OIW17222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLIPFFFVCVLNIVSNFKIIALADDNGSSGIASSESSSSSSCKNTNYQTFLPPPFQNISSTICKPVWNTYELRYTRIGDTTTIILSAPYTLGWVGIGFSKDGMMVGSSAMVGWINKHGHANIKQYYLRGKKHSEVIADKGELPLNNVPAAVATNGAEIYLAFQLQTTTPFGKQPILLAFGTKLPQNHHLSKHEDKTAIIFDFSSGSTGPSSNGLIKMRKSHGIIGIIGWGLILPVGAIIARYFRHKDPLWFHLHSVIQLVGFAFGLGTVLLGLQLYSKMHAHIPAHRGIGIFVLVLSILQILALFLRPNKDSKIRKMWNLYHSWFGRMALIFAAMNIVLGMQAARAGNDWKISYGFLVSFVIVAVIILEVLAYLKRSENRSLHNFHMDPATEVPFPSNNLPKGKFFHLF >OIW16366 pep chromosome:LupAngTanjil_v1.0:LG02:24065414:24068748:1 gene:TanjilG_19082 transcript:OIW16366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSVASPSTNSTPLRKWKYEVFLSFRGADTRFGFTDHLYNGLKNKGIVTFRDKEGLERGELISEELFKAIEESLCAIVILSQNYASSTWCLKELKKILESKNNLGQHVLPIFYGVEPSDVKRQKGSFAEAFKIHERRFKDDKQQVQNWRGALTQVADLAGLSSINCYETELIENIAETVCAKLLRRLSFSFDGLVGIGSKVAEMKSLLEMGLEDDVCFVGIWGMGGVGKTTLASVVYEGIRDEFETSCFIANVREVSEKYGLAHLQKELLSPLIEIKNEYEGREKIRHFLSHMKVLIVLDDVSDKRQLDNLVGKHEWFGKGSRVIVTTRDKHLLTPKRLFKNYDIEMLSSDESLKLLCVNAFHNDQPEDGFLDISKGICNLCGGLPLALEVMGSFLCERSVFEWQDALNRMEQNVGDGDVILKTLQISYDGLRKGDRAIFLDIACFFNNMEENMLRTFLKDRGLNSILGLNMLLEKSLVSYNKTRIRMHDMLVQLGKTMVLDESYDDAGKRSRLWSQKDIDKVMGENEGGKEIQGIVFTSPKPYQADWDENCFAEMKGLRLLVLQNVHLLHGLRSLPSALKVLVWEGYAMNALPHIAQSNELVSLMLPCSKLSQLWNNTQVLGKLRVMDLRHSKDLTTTPEFDRIPNLESLILEGCVSLDQVHESFGNHKKLVKVDFTGCKNLMTLPSKLWMNSLETLILTGCIKLENLPEFDENMQSHQCFT >OIW17058 pep chromosome:LupAngTanjil_v1.0:LG02:6404022:6405782:-1 gene:TanjilG_15641 transcript:OIW17058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMVTSSELAAKPCTNAKLCSLKETCVRPGLTSENFGPDKQRSVYMTDSYSSESYEKYFLDSPTEELIQVSCSGILGNSIRPDGVSYQLRSSLGASMTANNPFDISFMSTTHRNAYQSNYGSGFLENTNLDHLDFDGEMRLKLQELERALLDDNDDEEDIFATVQSMEIDPDIAEWADPVQNMLLHDSPKESSSSDSNLSSISSTKEVSNSSPRTPKQLLYECARALSEGNKEEASSMINNLRLIVSIQGDPSQRIAAYMVEGLAARIASSGKCIYQALRCKEPPSSDRLAAMQILFEVCPCFKYGFIAANGAISEAVKDDKKVHIIDFDINQGSQYITLIQTLASRPGKPPHVRLTGVDDPESVQRSVGGLNIIGQRLEKLAEVLGLPFEFRAVSSRTSIVTPSMLQCRPGEAIVVNFAFQLHHMCDESVSTVNERDGLLRMVKSLNPKLVTLVEQDMNTNTTPFLPRFVEAYNYYSAVFDSLDATLPRDSQDRMNVERQCLARDIVNIVACEGEDRIERYEVAGKWRARMMMAGFTSSPMSTNVVEAIRKLIEQYCDRYKIKEGIGALHFGWEDKNLIVASAWK >OIW16292 pep chromosome:LupAngTanjil_v1.0:LG02:23509735:23512911:1 gene:TanjilG_19008 transcript:OIW16292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLPVCWCLASNELQSEENKYMEKNRKRKLKTPAQVAGLENFYNEHKYPTEEMKLEVAEELGLTEKQVSGWFCHRRLKDKRLLKDEAVANGRQDRSSGVIQDRGSGLGQDSCGSSKHGDYKYLDPKEVESQGLHNNGFSAADIAYGHRNHYTENISGMEDTSSESSSYLQDRLFPQGQDPYDMESSRYLTPNRALPPLNPKSAINMRHKPSGYLKVKGEIENAAITAVKKQFGRSYREDGPLLGVEFDPLPPGAFEGKNADPVHETYGVANPVLPNSPDISPVKRQPDLSSRYDTYYTKFSSQDSHIEGVDLGSLHDGSGFQDKQDKKARQSIKQRQTFYSNNHYPGRNSSLDLYEDDNGEASAYHSTKNHRMFITRGVEGMRSDSTSNQSDHYEENHMIKQTDLLPHGYDNFNLKNVQRSGHVKSKHSNSVRNSQIPVETEERGLSTRMAKEEMFKGGKKAKKKHRDADEARMLSKEITDAKRTKVDPLHQYHVKQAPIAEIDQRKIQRSAVPIPSSFSEDETADTSSSLG >OIW16397 pep chromosome:LupAngTanjil_v1.0:LG02:24251016:24255098:-1 gene:TanjilG_19113 transcript:OIW16397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVNGRYFVEWKEQFVSQERGNRVVHYYLKDSAGESVLAVVGTERSLRHMFYVVSEEFLEIYAKEGSISAGFKWRSRREVVDWLTSTLSKQHMQGDRDLSPGHNLVPAHDATDSNMNEVGALPTQTSDDKGLLTSNSIVQNSEIVWSGVAWTCGKQLKHYPAFSRNGIKIAIQSFVFVMAKGKNHYIAYLEDMYEDKRGQKKVKVRWFHHNQEVKGVYLVRNPHPREIFITPYSQVISAECVDGPATVLTCDHYLKCLPSFCPSSMDKIHLCFRQCRSNKVKPFDLSKLRGYYDQPILSCLQHDSFQNLEPDNNLAEDEEFSGGDDVKLGAKRARSDRGSPQTWIGHQGARKLIRSEQMMVYKTFLNANYTRPDRTLCNATYKIDDNIEILCQDSGIRGCWFRCTVVQMSRKLLKVQYDDLQDEDGSNNLEEWMHAFKLAMPDKLGMRHSDRPTIRPAPTYDKQELAIEVGTAVDSWWSDGWWEGVVCGIDNRGDDSVQVYFPGESLLMRVCKKDLRISRDWLGDHWVNIKAKPDITSSIFKVIDVNTKLSVSSSTAKDDAGSVGLADSFHDVSVGNMSNELDDTVEDKPVCFEGVAEDEDVDRVHDNKPSSQKRTQVDNIETNDGSDNEKNGDNQYVNNDHGHMEDCKGTEPMEVNV >OIW16997 pep chromosome:LupAngTanjil_v1.0:LG02:9539743:9550068:1 gene:TanjilG_32864 transcript:OIW16997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNVRTNNYLRHVEPLKHVSSGAGHVSHLNAVTLGESVAAEEVDFVIPSKDFAAQANIQSSEQYLEMYKRSIEDPAGFWSDIASEFYWKQRWGDKVWDENIDIRKGKIKIELGGHVFCFFDHLLQWFNGGITNISYNCLDRNIEAGLGDKIAIYWEGNEPGLDDSLTYSQLRDQVCQVANYLKDNGVKKGDAVIIYLPMLMELPITMLACARIGAIHSVVFAGFSAESLSQRIIDCKPKVIITCNAVKRGSKAIYLKDIVDAAINDSAQNGVSIDVSLVYENPVALKRVDTKWKEGRDIWWQDVIPRYPTTCPVEWVDAEDPLFLLYTSGSTGKPKGVVHTTGGYMVYTATTFKYAFDYKPSDIYWCTADCGWITGHSYVTYGPMLNGASVLVYEGAPNYPHAGRCWDIVDKYKVTTFYTAPTLVRSLMRDGDEYVTRSSRKSLRVLASVGEPINPSAWRWFYNVVGYSRCPISDTWWQTETGGFMITPLPGAWPQKPGSATFPFFGVQPVIVDEKGAELEGECSGYLCVKNSWPGAFRTLYGDHERYETTYFKPFAGYYFTGDGCRRDKDGYHWLTGRVDDVINVSGHRIGTAEVESALVSHPKCAEAAVVGVEHEVKGQGIYAFVTLVDGVPYSEELRKDLIVTVRKQIGAFAAPDKIHWAPGLPKTRSGKIMRRILRKVASRQFDELGDTSTLADPNVVNQLIELSDS >OIW16621 pep chromosome:LupAngTanjil_v1.0:LG02:19462471:19472259:-1 gene:TanjilG_01860 transcript:OIW16621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNSPQINDAVTKLSPSPLGTPKRQKGGNEEENSCAGENNKRRKPNGKATPRRKGSKNSTPKKNVSSTNGMASPGSAQKIDLRLEAKLSAEENSRMYAGRQIHPFFSPLKSGKKSQKLSESERSLCTVKREDKRTTSGPIHVFESFKDDNLSLDWRDWTFLGETTITNCGPESSNSSILVDSSESLNFDNLRGALISENSLPCSDQLSMMPEYLEEILPENATMLANEQTICPQMPEDSKLDLDVDEIGTSSGQASIFRRSDAEPLSRFQERMRFYYHSCEDRIECSLWTHKYKPTKASEVCGNDESVNFLRDWLHLWHERRYQSRKDSSIKDQCGTQNDDFNCSDSDYDLEDCNEKDSLQNVLLITGPIGSGKSAAVYACAQEQGFEVLELNASDCRNGVAVKQYFGDTLGSRGFKRSLKHQVSSQQKTLEFPPGPALPNCKATEEMGDGMIEMITISDDEVHSPRRSHGKNNVFTCYNAQTLILVEDVDILFPEDRGCIAAIQRIAETAKGPIILTSNSNNPGLPYNLDRLSVSFSLPSLGELLCQLYTVCVKEEVAISPLFLRKFIQSCNRDIRKTIMHLQFWFQSKKYSKGFLPCDLEVMSSDPGNNLFACEDKMVQTVYGSLPFDLEAGHQILPEIIPWSFPSELSKLIEKEVAKSITIMEEKSFLQGLVEKELYINGKQNDLDVLCMVPDFVNAEKVEMIDMNRSITDCSEFESQNDAISQLSNCSGLPVASSWQMGQSNLVLMSSNSRDEDPKNEHFLNIHDEAYKRQSFEGNGEYPFNVQLNQSYNNTPFRKLVFSGLEDSRQEQCQYFLETADDTFLNETCNLKHAFLNDIFTADISSVPEPIFVPETAIENGIKRMSGAMSSGHLAGPVEVSPNNELSPFTFSVCERLAKLSQKSDLSVNTEIPESSAKAVVRGFQDDNVLDKCNHADFKFKSSAFAESSPSMETDVVQNLWRKLRDGQTNLRQHATSEQLGAIEAVKLASGMSNLISESDLLFRNHQQKQCGIMEPPMDLSDEAAIGWYDEQMMMSTIAVHGFCFYAQLISDMGQKLGYENRVDLPSEILASTTNVMALGKLSRQDHTKRINKLSRKQLEVDTPRNYTKRDNKTSLFNVIQSIVPARLSLALKGTVFNEYISSLRQISVSEGIRISQDVEKKRGRRVRGSEHYLSKGKMMLSPEDISLVCEGDLYRKISSQHKQ >OIW16906 pep chromosome:LupAngTanjil_v1.0:LG02:10654779:10655618:-1 gene:TanjilG_19211 transcript:OIW16906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSQQAAVSFLSNLARVSFGLGVAGTVLNSTLYTVDGGQRAVLFDRFRGILDETVGEGTHFLIPWVQKPYIFDIRTRPHTFSSVSGTKDLQMVNLTLRLLSRPDTNRLPTIVQNLGLEYDEKVLPSIGNEVLKAVVAQFNADQLLTERPQVSALVRESLVKRAKDFNIVLDDVAITHLSYGVEFSRAVEQKQVAQQEAERSKFVVMKAEQERRAAIIRAEGESDAAKLISDATAAAGNGLIELRRIEASREVAATLAKSPNVSYLPGGKNLLMALNPSR >OIW17295 pep chromosome:LupAngTanjil_v1.0:LG02:764959:765135:1 gene:TanjilG_22407 transcript:OIW17295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTIISPFQSDSILIRLRLQTKIVFKSGQKLDGPDPEVLSVTQTLVKSENLSPITWVE >OIW17061 pep chromosome:LupAngTanjil_v1.0:LG02:6342784:6346693:1 gene:TanjilG_15644 transcript:OIW17061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNYPFGSSFHSYPRTDFDLESGIPIKRSRKPKNPSFRMLKSLGNRFHHYFKLHPLMGIFITLSFGITILIILSFYGSQHITKENGYVKVDMGLDDYPFPKLRNLVMVAGHSIYTSSSCGKIEKEDSWFLESYQKNPGQAATFVTHIQEGVEIVAKDDSALLVFSGGETRKDAGPRSEAQSYWAVADSKGWFGKQESVKWRALTEEHARDSFENLLFSVCRFRELTGTYPQNITVVSYDFKEERFAHLHRSAIGFPESRFFYAGTPAASNSKAAALKGEELVRTQFIRDPYGCRGSLYHKKLKRDPFHRSIPYPNGCPEIEALFRYCGATPFPGTLPWA >OIW17501 pep chromosome:LupAngTanjil_v1.0:LG02:2482378:2484569:-1 gene:TanjilG_22613 transcript:OIW17501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVARTPPKQNKVDRCMARLQELQYTVAGGTKVVSGVSLSPRSTRAYLRTSLRCKQESLRIKNGAPRRSPQGKFPRPNTLEGEWRQMSLPAMLVGETLGEILHASQFAREIVSAVARKTSTNDPKTPLPQQRSSQKKLHSENTELKARRKKEKQIKQQSDSPPSLQRARSRINFKVSPPKIREFDKENNKHLANRVSPRNRPWARKTVLFPNPLFTSTTSSSVQQQFCKTKSPIVSRNKGTPQHKFLIKSSSPPSAASKFQVKVQKSPPIVSIYSSTRATSLSKNSPKKSAASKSSRPFSPSRLVTRLASPLRSKKTVQKSDSLSKNSPKRSSASKFQRSFSPSRLATRLVSPLKSKKNVQQSHGFVSGLKQRPESTMLIPARRI >OIW16335 pep chromosome:LupAngTanjil_v1.0:LG02:23841074:23843581:-1 gene:TanjilG_19051 transcript:OIW16335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKNKIIHETTRKMSQLCRKVVHVNVRWGVLQRVSFVGHFFRFIWGRLVLCSVGKPAKYRRLPFGDSSPFPAATVEERFMQEHSTVMDSGYDTDSDLVNLKISLLGDCDIGKTTFVYVGNEQEKKSLQMEGLNLMDKTLFVEGARISCCIWDVAGDKRSLDQIPMACKDAVAVLIMFDLTSRSTLNNVVGWYSEARKWNKTAIPILIGTKFDDFVRLPPNLQWTIVTQARAYARAMNATLFFSSATHNINVNKIFKFIMAKLFNLPWKVERNLRVGEPIIDF >OIW16290 pep chromosome:LupAngTanjil_v1.0:LG02:23474844:23476223:-1 gene:TanjilG_19006 transcript:OIW16290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMVGLRDLFVVTPASTFHHHHEQPHYSDHHPPHHSINSSSNPATALGVGIVPLLAATPCLDNETMMSNRNRGSSGGIQGIQFWQDQQPHHYLKNHNVNVCHENYVQSGGSGGGGGITVSGTNSGGTTTCQDCGNQAKKDCCNQRCRTCCKSRGFDCPTHVKSTWVPAARRRERQLMQSVTGGGGCSTASTSGAKKPKLISSHTTNSHTSTSNTTPPRSFDTSSSHQDGGIKEPLPGQIRAPAVFKCVKVTAVEDGDDEYAYQAVVRIGGHVFKGFLYDQGVENRDVYPNLSELHLGGGSGGNGNGGGGSGRNGVSSSSPMMDPSVVYGGGLLGGSTYGNPIN >OIW16636 pep chromosome:LupAngTanjil_v1.0:LG02:19224607:19227908:-1 gene:TanjilG_01875 transcript:OIW16636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKVGKVIKCRAAVAWEAGKPLVIEEVEVAPPQRGEVRLKILYNSLCRTDVYWWEAKGQNPLFPRILGHEAAGIVESIGEGVTDLKPGDHALPVFTGECGECAHCKSDESNLCELLRINTDRGVMLNDGKTRFSKNGQPIYHFVGTSTFSEYTVVHAGCVAKINPAAPLDKVCVLSCGFCTGFGATVNVAKPKPDSSFAVFGLGAVGLAAAEGARLSGVSRIIGVDLVPNRFEEAKKFGVTDFVNPKDHNKPVQQIIAEMTNGGVDRAVECTGNIQASIAAFECVHDGWGVAVLVGVPKKDAEFKTHPMNFLDGRTLKGTFFGNYKPRTDLPSVVEKCMNKELEVEKFITHSIPFSEINKAFEYMLKGEGIRCLIRMEE >OIW16306 pep chromosome:LupAngTanjil_v1.0:LG02:23655132:23661315:-1 gene:TanjilG_19022 transcript:OIW16306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKGRDLVLKTLFTSNLNTVTAIHTHSHKPIMHSDSSNRYSFNPSLQWNPQVQQYFINAYGFDHFSRISTSLTRPSRYSCIRVNTLKSTTDAVVEKLRALIDESLSSSEEFEESEFEANPLKESSHHHDGLVSKCQVPGLDHVLFVWGSGPHNIEYEYQHDQSPPKEVIVSRKCAEAVLRGAQVYVPGVVACSAHVEEGETVAVSVAVEQQGSDGGWGIGMTRGTVLQGPQTDPYHFERSGLYIGQGTAMLSRAGMFRVSEGVGVDMKNRVYKLHSFHKVLEGEIFLQNLPSIVAAHALDPQRGERILDMCAAPGGKTTAIAILMKDEGEIIATDRSHNKVLDIQKLAAEMGLTCIKPCKLDALKSVCQRNEFDTSTGPCCSDANNGVTNHVSGSPNLQVDTTPSIVTERPKTDTLEENGKDAKADDKAYVSKADIRKNMRRMRNGPGRNQCLVAKVDRSKGFSPDSFDRVLLDAPCSALGLRPRLFAGEETVESLRKHAKYQRKMFDQAVQLARPGGVIVYSTCTINPGENEALVRYALDKYKYLSLAPQHPRIGGPGLIGRYEFPDGYVDEWLRPGEEDLVQRFDPSSALDTIGFFIAKFAVGSKDA >OIW17320 pep chromosome:LupAngTanjil_v1.0:LG02:927287:928426:1 gene:TanjilG_22432 transcript:OIW17320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNGGGKIGRQLEQPNTWRRKVDGEDFSRAIAKIAVAQVCETGGFQAFQQSAIEMLSDVGFRYILNIGKSAYHYANLAGRAECNIFDVIRGLEDMGSVQGFIGASDSDHCIESSGIVREIVQFVNEAEPIPFAHPIPRFPIVKERVLTPSYLQRGEEPPEEHIPAWLPAFPVPQTHSESPTGNGKGTEPHATKFDNERENGKVERPLLNLQQQMVSNMYEKSTLIDPADAEANKVAVEGNPFLAAPLRIGDKEVASIAPPSKLFNVVAKDNPVVENFVEDKSFSVLETFAPAIEAMKSTCYDSEEDRTKVLLNKKPTVRFKIGMQNKFLGSSSRLSHQREEHQKTLPWFTMEDEKDDKKRRAEKILRESLENSDLLVQL >OIW16746 pep chromosome:LupAngTanjil_v1.0:LG02:16214014:16215754:-1 gene:TanjilG_10636 transcript:OIW16746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPSFNTLEYSLDNQNHNHFYPQQQQMKFRIGETSSENNNLMVDYMPQQQPPPQISPSGFYGATSFDKLSFADVMQFADFGPKLALNQAKNCEETGIDPICFLKFPVLNDKKLMVNNIEAGDEEAQNDDERFNMMSMEDKSRVGASAEEEMIRQDEETWVSDDNNSMQIRFLGHEELQNRNSTVKENKNKRKRPRTEKTSEEVESQRMTHIAVERNRRKQMNEHLRVLRSLMPGSYVQRGDQASIIGGAIEFVRELEQLLQCLESQKRRRILGEAQSRQVGDPYLETQQPIQPPFCPTLPNDQMKLVELETGIREETVENKSCLADVEVKLLGFDAIIKILSRRRPGQLIKTIAALEDLQLIILHTNITTIDQTVLYSFNVKVASDSRFTAEDIASSIQQIFSFIHANTSM >OIW16524 pep chromosome:LupAngTanjil_v1.0:LG02:20922936:20925367:-1 gene:TanjilG_32195 transcript:OIW16524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYLCDFCGDQRPVVYCRSDAACLCLSCDRNVHSANALSRRHSRTLLCERCNSQPAFVRCTEENISLCQNCDWLGHGTTTSSSTRKRQTIDCYSGCPSSAELSSIWSFFSNIPSIGEACEQELGLMSINKNSNNSTWVPSESRNVSGSAQVSDLSSKDKSLFGISSMPESSSKPRLLDQLPESIDECIPKLNFSGKKSPGIFEDGDLYDNFNMDEVDLDLENYEELFGMTLSHSEDLFENGGFDSLFMTKEMSASAGDSNCQGAVAAEGSFVGLVNVVQPAYSNAASTDSMFSSKTEPILCITGRQSQSNISFSGISKDSGAGDYQDCGVSSMHLMGEPPWCPPCPESSLQSATRSNAVMRYREKRKARKFDKRVRYVSRKARADVRKREKGRFVKAGDVYDYDPLSETRSY >OIW16654 pep chromosome:LupAngTanjil_v1.0:LG02:19094729:19098250:1 gene:TanjilG_23156 transcript:OIW16654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKSCIKRLQKEYRALCKDPVSHFVARPSPNDILEWHYVLEGSEGTPFAGGYYYGKIKFPPEYPYKPPGISMTTPNGRFMTQKKICLSMSDFHPESWNPMWSVSSILTGLLSFMMDNSPTTGSVNTTTAEKQRLAKSSLSFNCKNAIFRKMFPEYVEKYNQQQLSEQVASEKVLSEASHDKSSSPVLEKNLNSTREDMKKVHDLKEVSKKKQPFPTWMMLLLFSIFGVVMALPLLQL >OIW16516 pep chromosome:LupAngTanjil_v1.0:LG02:20980793:20981632:1 gene:TanjilG_32187 transcript:OIW16516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQIYHENQTLQFCLLHTLNSLFQQKDAFNRATLNSISEKLALDDNSNTETWTPLSFIFKPHHNALTGNYDVNVLIAALEDRGKSVIWHDRRNGVSSIDLDAPQDVLMGIVLNVPVRRFAGIWKSRHWIALRKIDGVWYNLDSDLHAPQPFQDIQKVREFLGSTIGHGGEILLVMNQKQ >OIW16872 pep chromosome:LupAngTanjil_v1.0:LG02:12739157:12740746:1 gene:TanjilG_16452 transcript:OIW16872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDSRTCMVPRLYSSSCQAENNWSCRTYLPDLDSKNGKRPMEIDTEDEPHPKKSIKLLDSCHGIETGGISFQQESKDSVIPQMGQETIEPSIITEGVEENGAWTDQPNDEQEQHHGGDLLEFGDHQSDKQQQGWPGDSSDPGVQPSVEQLQQHARNLLNSSGQQYDGQQRHHAGDSSDSGSLLPRMNLDSSIACLSRCSRSDYGSLASLNRSFRNIIRSGELYKWRRLNGIMEHWVYFSCALLEWEAYDPIRQRWMHLPTMASNECFRCSDKESLAVGTELLVFGREMRSHIIYRYSLLTNSWSFGMRMNAPRCLFGSASLGEIAILAGGCDSDGHILDSVELYNSETQTWELLPSMIKPRKMCSGIFMDGKFYVIGGIGGSESKLLTCGEEYNLQTRTWTEIPNMSPGRSSRGSGMPATAEAPPLVAVVNNELYAADYADMEVKKYDKQRKLWVTIGRLPERAMSMNGWGLAFRACGNRLIVIGGPRTHGEGYIELNSWVPSEGPPQWNLLARKRSGNFVYNCAVMGC >OIW17332 pep chromosome:LupAngTanjil_v1.0:LG02:1013848:1021032:1 gene:TanjilG_22444 transcript:OIW17332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGKEGYRQDSDVQKAKLSREALTQLSNLVESQVRVTIFDELSMLMSRVGVMADYSEFSRFYDFVFFMCRENGQKNITVSKAVTAWKLILSGRFPLLHQWCDFVEKNQRYNISEDTWQQVLAFSWYTRDSLEGYDREGAWPVLIDDFVEHMYRIPASGYVNSDIHCNCDDPESQSCVFEDPLPGLRYFSGLKRKSLEDTGNNDMEYLNESDELISSNCKRSRVHGAVDWDDNSQEECMETSKQNSPFCSSKSPCAVEGCLSKGFAGLFSTSSCVQFGRERRSSFR >OIW16361 pep chromosome:LupAngTanjil_v1.0:LG02:24037591:24038133:-1 gene:TanjilG_19077 transcript:OIW16361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLLAQSSAFVTLLLLLLVMIMKIEGNILVHDYAINISEMNEVVAANDAAVDQEPPVTCRNRPFACSFGEFPPRSLCCGNSCVDVTSDTANCGLCGIRCSFNYKCCNRLCINTNINPLNCGRCGRVCPIGRLCLFGLCGGVQDPYPMPPPLTEPPTSMPDQNAAQSLNHQYPNDAPAIME >OIW16917 pep chromosome:LupAngTanjil_v1.0:LG02:10973293:10976226:1 gene:TanjilG_19222 transcript:OIW16917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDKEHNHLSLVPNYNTTNTITPKKESLRKPIIGGDRLKRDEWSEGAVSTLLEAYEAKWVLRNRAKLKGQDWEDVAKYVSSRANSTKSPKTQTQCKNKIESMKKRYRSESATSDASTWPLYSRLDLLLRGTGPVSSILPPPPKVAAAPSQSLYPSNNNQALMLLEPSLAVSQPPPPAAPPPHATAQNSHGSNGVDRLAKEDGLGTKSSDQVSNKNTLDTDSSTPALYSEKDNVRFNKKKMKMDSNKRQRKEHMDIAESLRWLAEVVVRSEQTRMDTMKEIERMRVEAEAKRSEMDLKRTEIIANTQLEIAKIFASVNKGVDSSLRIGRS >OIW16876 pep chromosome:LupAngTanjil_v1.0:LG02:12214575:12217037:-1 gene:TanjilG_15056 transcript:OIW16876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNISSNFINGEIPSSISSMKSLKSLVLADNQFNGIVLDLTGLASLEELNLSGNKFGPEFPSTAKKLMRINLRNNSLRCQIPPQLMQVDKLQQLDISSNEVFGNIPSFLFSLPFLQYLNLAANHLSGSLSLNISCSSALTFVDISNNFLLGKLPPCIGSKSSKRTVLYSGNCLSARRSNDQFPSSYCKKGAALAVKPPLGSQKDESKMQLGLVLGITGGAVGITVFLASLILFIFRKSRSERTDNNVDRHVSDKVSITAYPRPNVETGHVPQTILEFPPYSIFTSEEIEDATNNFHPSNLIEGSQGKLYKAWLRDGSMVLVHRVKLKQPLLKNIMQNLKGHPQTASTIFIVFEHVSNVSLKDHLTNRRKKELLKWPQRMTISIGIARGIQFLHKGIAPGIFGNNLKIENILLDGSLNAKVSGYSIPLPSKKGLDSTLDKQVESTNNAEKEDIYQFGVILLELITGKPITSSSEVEELKDELEKGLLEATSPMLMSATDPNIEGTYAYESMKTAVQITINCISKNSSNHPSIEDIIWNLQYSMQAQGSRTSSGNPSPNL >OIW17001 pep chromosome:LupAngTanjil_v1.0:LG02:9755690:9756088:1 gene:TanjilG_32868 transcript:OIW17001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGPPRVRSMNVAIRETEKKAVKKSVPESEKKPMALPLILKQQDNHHNQAVLKNMSMNASCSSDASSTDSSTHSGAKVVARRRATTVPVRKKVDKVRINNVGGTDSVDETDSNDSLQNKKRCAWVTPNTGIF >OIW16089 pep chromosome:LupAngTanjil_v1.0:LG02:21493085:21495045:-1 gene:TanjilG_18804 transcript:OIW16089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESQSGEYNSAKLLRAQSHIWNHIFSFINSMSLKCAVDLGIPGVIHSYGKPMPLSKLIASLPIHPSKTRFIYRLMRILIHSGFFSEQNVTKQDELEVEYVLTGASILLLKDNPFSMIPFLHVILDPISTKPWYHLSAWLKDDIPTPFEKVHGMTFWDYAGHDPNYKHLFNDFMASDARFVTSVLIEKYKEVFQGFKSLVDVGGGTGAVTKAIASSFPQLECVVFDLPHVITGLQGSDNLKYVGGNMFEAIPPTDAILLKWILHDWNDEECVNILKKCREAITSKGKHEGRVIIIEMVISENEKRDDKSFETQLFFDMEMMVLVSGKERNEKEWAKLIFSAGFSHYKITPILGLRSVIDIYP >OIW17330 pep chromosome:LupAngTanjil_v1.0:LG02:1005220:1006630:1 gene:TanjilG_22442 transcript:OIW17330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSCVYAYGYGGWTNAHATFYGGSDASGTMGGACGYGNLYSQGYGTNTAALSTALFNNGLSCGACFEIKCVSDPKWCLPGSIIVTATNFCPPGGWCDPPQHHFDLSQPIFQHIAQYKAGIVPIIYRRVRCRRRDGMRFTINGHSYFNLVLVTNVGGAGDVHSLAIKGSRTRWQAMSRNWGQNWQSNSYLNGQSLSFLVTTSNGHRVASYNVAPPSWSFGQTYIGRQFNY >OIW16995 pep chromosome:LupAngTanjil_v1.0:LG02:9434644:9435702:-1 gene:TanjilG_32862 transcript:OIW16995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPSVVMYNTIIDSLCKDKLIARALDLYSEMVVRGISPDIFTYSSLMYGLCIVGRLKEATDLLSDMVMKNIDPNIYTFNTLVDAFFKEGRVKEAKRLLSVMIKGGVKPDVVTYSSLMDGYCLVNEVSKGKHVFNSMPQMGVTPNVKSYNIMINGFCKLKMMDEAMQLFKEMHHENMIPDSVTYNSLIDGLCKSGRISHAWKLLDEMHDRGQPADVITYTSLLDALCKNQQLDEAFALLKKIEDRGIQPDVYTYTVLIRGLCNVGRVETAKEVFEHFFIKGYHPDVWICNVMIWGLCKEDSFDQALALKSKMEENHCLPDAVTFETILRALLEKNKYEDAEKLLSEMIARGLL >OIW16363 pep chromosome:LupAngTanjil_v1.0:LG02:24046431:24048756:-1 gene:TanjilG_19079 transcript:OIW16363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPISTTTTSYDSSSIEKIIGYTFKNKKLVEDSMTHSSFPGSVSYERLEFLGDPILGHAISNHLFLAYPNLQPAQLSLLRAANVSTEKLARVAVRHNLHRFLRHNSPPLIIKVKEFVEAVLQEDDPVCYGGMVKAPKVLADIVESLAAAIYVDVDFDLKRLWVIVRGLLEPIITPGDLVQQPQPVTLLFEVCQKNGKQVDIKHWRNGAKSVASVHVDGHFVASASADQKDIARLDAAKAALHKLSNLVPTSTSLLDFCPGIDGNFEIDAAKQVLHELCGKKKWSKPEYFIENDAGPPHEKKFVCSVKIATEEGRFEMTGDEKSRVKDAENSAASLMIRALQESNYL >OIW17148 pep chromosome:LupAngTanjil_v1.0:LG02:4667407:4671606:1 gene:TanjilG_21125 transcript:OIW17148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAENPNWWNMNPPSLSPPQYLLESSSIPFNSLTENPEPPQPWSQLLFTELPREEERVGFSHFQSKKFENWDDHILNPSTRDLNVDLVKQEGYQSVNVYEHANNEEFHQAFGAGLHWSHIVQASNNSPSSNLTSLSSTNLLDFTYNNADHTSECISTATAIACKKAKGQPSSSQPKVRKEKLGDRVTALHQIVSPFGKTDTASVLLEAIGYIRFLQGQIEALSSPYLGNVSKNIRNQHSVMSDIGLKRKGAPNQDTKDNPKDLRSRGLCLVPVSCTQHVGSENGADCWSSPYGSGF >OIW16870 pep chromosome:LupAngTanjil_v1.0:LG02:12716836:12717237:-1 gene:TanjilG_16450 transcript:OIW16870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCKFCTKKFIHLMILVVVDLTVLEILSMLEEAVGTRMYETKKEATLKTLEKKQIKVEEINKLLDKEILHVVEKLKKERFEFDSSSSQPDKDIAELRYSVAAKKAQYKESKHITKKLDKKNQELKMSIKELHEA >OIW16210 pep chromosome:LupAngTanjil_v1.0:LG02:22847359:22847850:1 gene:TanjilG_18925 transcript:OIW16210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVIHGTTTRSSSSTLNPNAPMFVPLAYRTVEDFSDEWWSLVHSNPWFRDYWLRERFQDPQNETDTSFPEFDFDEDYLFHQQHQEERREGKELISLGALKWEGERGWAEAPRYAEKVPKFVKPRVSPRAIHQPR >OIW16232 pep chromosome:LupAngTanjil_v1.0:LG02:22983361:22987502:-1 gene:TanjilG_18947 transcript:OIW16232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFLSVISLCFFLCLCVHRDLSGGSNSRGSDTIDEWGRLHPDAKRWPSSNGWKGFKNVADKVHEMGLKFGIHLMAGISTQAYDSNTPILDTKTGKAYEESGKTWHAKDIGIPERACKWMDNGFMALNVTSGAGKAFLRSLYELYISWGLDFVKLDCVFGEDLDFEEISYVSQTLKEIKPSIVFSLSPGVKATPNMAKVINGLVNTYRIAGDDWDKWSDVASHFDVARDFGAAKLIGAQGLNGKSWPDLDMLPFGWLTDPADNEGPHRLTNLNLEEQQSQMTLWCMAKSPIMYGGDLRNLDSWTKDGFASTDGARAWVATGNNGGQSPQGQDIYIAFFNLSDGGATISVKVDNLAKVLPGKKLRGLQGREVWSLKSVTLGDVLSAHVAPHGCALFVFDY >OIW16432 pep chromosome:LupAngTanjil_v1.0:LG02:24504972:24507211:-1 gene:TanjilG_19148 transcript:OIW16432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSDKTADQNVSSHNLQLIVTPRSNITAAEEIHDTQIHSSEPDGAESIPSMLTIINNVKNSGATTACASQSDTLSHDSRPLQSGQDIPSIIREKVSKDGYNWRKYGQKHVKGNRFIRSYYKCTYPNCQAKKQLEQQSNTGQITDTICIGHHNHRRTQLNTAQPLDHVLPAVEDKVSVEHGLEPQHIKPLRSLPISALSPTDELKAAHLQLTWAKDEVHNNESPEPKRRKKGNSNSDLTADPRSTSGSRVVVQTSSEVDFVNDGYRWRKYGQKQVKGNTNPRSYYRCSNPGCLVKKHVERASHDSKIVITTYEGQHDHEIPPGRAVSFNAATKTQTMTINVKPGTQSGRDAVCVETGERSCLDSESRLSEQLNGDSVSKLKGGDMVESGMIILHSEGNESKLLKQEQQNDNSGTKENSAGSDIICHSSSEVPCRSNEQLKDEVKTKSERSKDCIRVVGGNNDTQGQESEFSKQSTCDAESSQS >OIW17160 pep chromosome:LupAngTanjil_v1.0:LG02:3946144:3949180:-1 gene:TanjilG_18115 transcript:OIW17160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQTSAKVPAIIVFGDSSVDAGNNNFIPTVARSNFEPYGRDFQGGKPTGRFSNGRIPTDFISQAFGIKPYVPPYLDPKYSISDFTTGVTFASAATGYDNATSDILSVIPLWKQLEYYKGYQKKLGAYLGEKRAKETIAKSLHIISLGTNDFLENYYAIPGRASQYTPSEYQNFLAGIAENFIKKLYVLGARKISLGGLPPMGCLPLERTTNFAGGNDCVSSYNNIALEFNDKLNKLTTKLKQNLPGTRLVFSNPYDALLHIVNKPAQYGMFEMGYSCSRASLFSCMDASKYVFWDSFHPTEKTNGIIANYVLKNALAQFMQ >OIW17533 pep chromosome:LupAngTanjil_v1.0:LG02:2833442:2833787:-1 gene:TanjilG_22645 transcript:OIW17533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPPPQAHSPKAAEGAIGAARWEELKEYYKNLMKDLVEGAATIETFHQRLLMESSSFAMPLGVSSFSTYPSRLGSDCPRTIFI >OIW16404 pep chromosome:LupAngTanjil_v1.0:LG02:24309929:24314198:1 gene:TanjilG_19120 transcript:OIW16404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFVLAAAATIPKSSSITIGSHVTTLRHKIGKFQKENCVMISSNPNLKNLCTVSRRGFTYQEYKRNYVVHAASDQSFEYEHQAQDLKSNSSSLKDALSILYHFSRPYAAFGLAMMSTSMSLLAVEKLSDLSLTFFTGWLQINKPYLPLPSGKLSYTNGVFIVASSLILGLWFAWMIGSWPLFWTLSGSYVFSAAYSVDVPFLRWKKSPLLTAMNYVLDMAIIMPLGYFLHMQTHVLKRPTTFPRQLIFCTTIISIFAIVIALFKDIPDMEGDGKFGIRSLSLRLGQKRSMGHAILASVLWYRAKSVDLTNNDAIQSFYMFIWKVITNFIYR >OIW17239 pep chromosome:LupAngTanjil_v1.0:LG02:3203043:3206879:-1 gene:TanjilG_27436 transcript:OIW17239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANDHEEDFKDEKNPRPLDEDDIALLKTYGLGPYSTSIKNAEKEIKDMAKKVNDLCGIKESDTGLAAPSQWDLVADKQMMQEEQPLQVARCTKIINPNSEDAKYVINVKQIAKFVVGLGDKVSPTDIEEGMRVGVDRNKYQIQIPLPPKIDPSVTMMTVEEKPDVTYNDVGGCKEQIEKMREVVELPMLHPEKFVKLGIDPPKGVLCYGPPGTGKTLLARAVANRTDACFIRVIGSELVQKYVGEGARMVRELFQMARSKKACIVFFDEVDAIGGARFDDGVGGDNEVQRTMLEIVNQLDGFDARGNIKVLMATNRPDTLDPALLRPGRLDRKVEFGLPDLESRTQIFKIHTRTMNCERDIRFELLARLCPNSTGADIRSVCTEAGMYAIRARRKTVTEKDFLDAVNKVIKGYQKFSATPKYMVYN >OIW17400 pep chromosome:LupAngTanjil_v1.0:LG02:1620465:1622879:-1 gene:TanjilG_22512 transcript:OIW17400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLSTGVGSKSKPCTKFFSTSGCPFGESCHFLHYVPGGYNAVAHMIHIKPAAPPPSRDVVAPSLGPNGSGQPTVKSRICSKFNTAEGCKFGDKCHYAHGEWELGKPTAPSFDDHRPVGPPLARRMPGRMETPPGLGASFGANATAKISVEASLAGAIIGKGGVNSKQICRQTGVKLSIRDHESDPNIRNIELEGSFEQIKEASNMVKELLFTLQMHAPPKPTHGVPSRHAPPGSNFKTKLCDNFTKGSCTFGERCHFAHGAAELSNRGV >OIW17107 pep chromosome:LupAngTanjil_v1.0:LG02:5323267:5323701:-1 gene:TanjilG_25815 transcript:OIW17107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIDAHVPTSRSEATPTTYVKDEEEVRNEPKRIAFVDVSPHETVQKSPFESFKDDASSSFKEARVTEEASQNIDPLNQGFGNAAGSQSIGKSPLSVDALEKMMEDPTIQKKMKAKRRNATIIVMEITSTVMLAKMEESLMKIHV >OIW17076 pep chromosome:LupAngTanjil_v1.0:LG02:5826129:5829468:-1 gene:TanjilG_15659 transcript:OIW17076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSTTFSGTKLDTLLLNSSSLSRSSSSNSTHLSVFGKNRKTLIHRGVIRCNGSNSTSSDVIIKTDGDSSNAIALSALEQLKTSAADRYTKERSSIIVIGLSVHTAPVEMREKLAIPEAEWPRAIAELCSLNHIEEAAVLSTCNRMEIYVVAMSQHRGVKEVTEWMSKTSSVPVSELCEHQFLLYNKDATQHLFEVSAGLDSLVLGEGQILAQVKQVVKVGQGVNGFGRNISGLFKHAITVGKRVRTETNIAAGAVSVSSAAVELAFMKLPEASHHNARMLVIGAGKMGKLVIKHLVAKGCTKMVVVNRTEERVSAIREELKDVEIIYKPLSEMLTCAGEADVIFTSTASESPLFLKDHVTDLPPASPDVGGCRFFIDISVPRNVGSCVSDLESTKVYNVDDLKEVVAANKEDRLRKAMEAHAIIAEESKQFEAWRDSLETVPTIKKLRAYAERIRAAELEKCLGKMGDDISKKTRRAVDDLSRGIVNKLLHGPMQHLRCDGSDSRTLSETLENMHALNRMFSLETEIFVLEQKIRAKVEQNQKDI >OIW17515 pep chromosome:LupAngTanjil_v1.0:LG02:2624460:2627309:-1 gene:TanjilG_22627 transcript:OIW17515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLGLRNFTDRTQTGEALLDTENGEELMHVQSGIDIVFNTFPRQSHGTLYISTKQVIWLSDVEKDKGYAVDFLSISLHAISTAPDSYPVPCLYVQIETEADEDDSDYLDSASNAIQNLSKIREMRLIPSDPTQLDTLFQVFCECAGLNPEPNDEEGEEHDWIFNTDQIEDEEAEEEGYNSHNPANSLGQSNGNHDLARSVLEVGSLP >OIW16725 pep chromosome:LupAngTanjil_v1.0:LG02:16885627:16888716:1 gene:TanjilG_14495 transcript:OIW16725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRAYGVKKGKKRKHTEPKYDRETEEEQQVQEEESQQQEQQPEEDELSGIPIAPSELKSDKPKVTFILERASLEVAKVGKTYQLLNSDEHANFLRKNNKNPGDYRPDITHQVLLAILDSPLNKAGRLQAVYIKTEKGVLIEVKPYVRIPRTFKRFCGVMLELLQKLSISAVGKREKLLRTIKNPVTQYLPINSRKIGFSHSSEKVVDMNDYVKTVPTDMDFVFVLGAMAHGKIETDYTDDYISISEYPLSAAYCIGRICGALEGKWKIL >OIW16701 pep chromosome:LupAngTanjil_v1.0:LG02:17781822:17782955:1 gene:TanjilG_24171 transcript:OIW16701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKKYLKIPDSKKRGGLKDSILGGELTSSMHDGNVSTVGPKSLDKEALGIEASLKDSATSIEKKSASLSSSCFQLFCCPCAYICSCSSSNDLQIQQAL >OIW16506 pep chromosome:LupAngTanjil_v1.0:LG02:21063702:21065307:-1 gene:TanjilG_32176 transcript:OIW16506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQLTSSHSSSPSTSSIFSLDQSFKSATAIIHRILCSGGLPTHSSDQIIELDSMQSDKVQEFKAKKNTEAVTSPSTHGNVVARLMGLDLKVEIPFEEKNPTSLLHSKSMNSVDYLGESKAVKGLHRCDKSSSSFHEAPTFHLFQNENFLVLSFEGGSESKEFKSKGRKNEKGYAELKQKQRERRELKKNKRETVHDEKKGNLRKSVCDKSSINVGNDGELENIANTSLMFKVSSSQEVYIDSEIVRFSQCLKYKEVHNGEKVKRRKKRRTLCTDKKVETECSSEDSSPVSVFDFDREAPGADVDSCVVGSGWRRKLSPELENDQLFSLNSDSNLIIEERKVKTKNNNNERSMKKEKQNQECVHIWGEICRLVEYELVGSNHLKEEMRKQSDLASISADFESEIFDELLNDMIDQLVGNPLKTLQLQYL >OIW16897 pep chromosome:LupAngTanjil_v1.0:LG02:11907315:11908886:1 gene:TanjilG_01762 transcript:OIW16897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEGVEAQKERIMKRILLLVNCIIQVIGTCGGPLVIRLYYIHGGKRVWLSCFLQTCGFPILLVPLTISYIIRRRNRELVISNGTSKDKIFTMKLPLFLVFAVIGVLQGLDNILYSNGLAWLPVSTSVLIISTQLAFTAVFAFVLVRQKFTPYSVNAVIMLILGAVILALNGSGDRTAGETKKQYVKGFVMTLAAAVLYGFILPLVELVYKKAKQPITYSLVLEIQIVLSFFGTLFATVGMLINHDFKAIPIEAKHFKLGETIYYVVLVVTAILWQLSGLGAMGVIFCASSLMSGIMIAMSTPITEVLAVIFYKEKFQAVKGISLALSLWGFVSYFYGEFQETRKIKKDSIPETIQT >OIW17185 pep chromosome:LupAngTanjil_v1.0:LG02:4306602:4313940:-1 gene:TanjilG_18140 transcript:OIW17185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGGPSSKLGRAAPKRLHSSFPPPPSHRQPAPSSGRLSLGGSARNSGKATAPAVEESFSLVSGSNPLAFSMIIRLAPDLVDEIKRVEAQGGKARMKFDPNPNNPNGNIIDVGGKSFSFTWSREFGDLCDIYEERRSGEDGNGLLVESGCAWRKLNVQRILDESTKNLVKMRSEEADIKKKSRKAIVLEPGNPSMKSQLKALAAVESTPWKNYNKKKEAAMKKRKVKTLQVGGPPKTTHRSGLPSTTTNKGRHSSPFPSPPDHLAASTSPPRAVNFSKSLDDSVHSQMTGMQDANAIPEKEIPTRTNNAMRNTPRGKALEKADGDTLPNSTKKIEPIIKKGAKYHAPGRSILRPEVDLESIKKPRTEIRSSPDDNRRHTPARQEFHDQTSGPQGGSEERVTTGYLVESVHVKSKLEEESNTFEKVDAQHNSPDIFSDKKGSDHSEVKAGSSSDSDTDSETDSTDTGSDSGSHSRSRSRSPARTGTSSSDSESDASSSSKEGLEGSDEEVDIMTSTDEKDSKRKTEDSDQMVSLPFPVKSPDGRSLQNEVDEKQDGNDSDAVEIEKDFPEEQEAEMVPTAATISNEGGKYAEETKLSSPDYQQIQEQRKLIGRLFDEKENEVKDSSRYEQSDSSDRLSKSKHKRVSDVKNIDEKSEHKKRLKSENLTQEPFAPGMDAHMLESSHNISPVEFTEDTYKEPTIQAVNRADTSLGNSNAALQKGYSRAFPGKSTSDIPQTGQRSFDQTSLGNISNPFENSAKHGGSLRHSRKLPVREGSSMKKDKPHVDVQNEEIHATERKVARNSRDRSNGSKQSLYQDSHYQKPGEMVGMLKEGRQDAQSYMGTSPKGNSRIGVDKSPVINGRGISLQREPSDLELGELRESTPDESHIAKQFERKGSFKRSENRVSTSEDRNSDVVKVNPPLKAVLGSRKPSPAIVGYDSPNNVESMKQKIPDNHFEDSTRSHSRVMQSHSQHLKADHLEVGSRNKLAEMNSKFRSNESGIAQDNDLEGRSESNRRAPANAYKKENKRGIAPYPVKESNSVDEMADGGKDSVLADRNNGDQKKRESSSDENSCSFSKYEKDEPELKGPILTFSQYQEYVQEYRDKYDSYLSLNKIFEGYRDEFQKLGDDLEDAKGRDMNRYYDIVEQLRESYRRCGPQLKRRIKDFAESYNKD >OIW17438 pep chromosome:LupAngTanjil_v1.0:LG02:1867571:1872131:1 gene:TanjilG_22550 transcript:OIW17438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKRKFGFEGFGIHRQSTFDFEQSQPPQRLYVPPSSRHGGAHDNHEDTDLDNIDYDDNNDGNNNNNDVGVSGGGDDGEIDPLDAFMEGIHEEMKAAPRPKEKEKVEDRFKDDDEEDPMESFLRAKKDLGLTLASDALHAGYDSDEEVYAAAKAVDAGLVEYDSDDNPIVIDKKKIEPIPALDHSSIDYEPFNKDFYEETPSISGMSEQDVNEYRKSLAIRVSGFDVPKSVKTFEDCGFSTQIMGAIKRQGYEKPTSIQCQALPVVLSGRDIIGIAKTGSGKTAAFVLPMIVHIMDQPELQKEEGPIGVICAPTRELAHQIYLEAKKFAKSYGIRVSAVYGGMSKLEQYRELKAGCEVVVATPGRLIDMLKMKALTMTRATYLVFDEADRMFDLGFEPQVRSIVGQIRPDRQTLLFSATMPHKVEKLAREILTDPIRVTVGEVGMANEDITQVVHVIPSDSEKLPWLLEKLPEMIDQGDTLVFASKKAAVDEVESQLALRGFKVASLHGDKDQASRMDILQKFKSGTYHVLIATDVAARGLDIKSIKSVVNFDIAKDMDMHVHRIGRTGRAGDKDGVAYTLITQKEARFAGELVNSLITAGQNVSVELMDLAMKDGRFRSKRDARKGGGKKGKGRGGGGGSGRGVRGVDFGLGIGYNPESNSAPSNPVPSRSTAVNSIRSGVMSQFRNNFVAASSTTQSQGFTNNASIPAKRPALTGFVSGGSIGGDINTHQNTSSSSPATPTVNTTSRNSGVNSGQKSTNSSKPRERRRASGWDR >OIW16171 pep chromosome:LupAngTanjil_v1.0:LG02:22497993:22498989:1 gene:TanjilG_18886 transcript:OIW16171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKGRQGERVRLYVRGTILGYKRSKSNQYPNTSLLQIEGVNTKEEVAWYAGKRLAYIYKAKVKTNGSHYRCIWGKVTRSHGNSGIVRAKFKSNLPPKSMGSRVRVFLYPSNI >OIW17032 pep chromosome:LupAngTanjil_v1.0:LG02:7023451:7023762:1 gene:TanjilG_13851 transcript:OIW17032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNSKAIADTVSWYCAFVLLFLMLLTIFRDSSNDQLQDHANFRDKQLLSRPCDEIYVVGEGETLHTISDKCGDPFIVENNPHIHDPDDVFPGLVIKITPLLHS >OIW17087 pep chromosome:LupAngTanjil_v1.0:LG02:5636020:5636649:1 gene:TanjilG_20191 transcript:OIW17087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHILLLLLPLLLSISNASVNDFCVADLNGPDGPSGYPCKPPTKVTTHDFVYAGLAASGNTTNIINAAVTPAFVAQFPGLNGLGLSAARLDIASGGVIPLHTHPGASELLIVTQGRVTAGFITSDNSVYVKTLSKGELFILPQGLLHFQLGYGKRKAVAYAVFSSSSPGLQILDFALFASNFSSSLITQTTFLDPEQVKKLKSVLGGSG >OIW16760 pep chromosome:LupAngTanjil_v1.0:LG02:15454728:15458946:-1 gene:TanjilG_05494 transcript:OIW16760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSGTVLALALPSEDSECKTRDPHDFEEDFVEKDPTGRYRGFDEVDGREVAWNQVKVEDLLHSVDDLSKLYTEVHLLKSLKHENIIKFYDSWVDDKEKTVNLITELFTSGNLRQYRTKHKYVEMKAIKCWARQILQGLAYLHSHKPPIIHRDLKCDNIFVNGNQGEVKIGDLGLAIVMQQPTARSVIGTPEFMAPELYEEEYNELVDVYSFGMCILEMVTLEYPYSECKNPAQIFKKVTSGLKPASLNKVSDPQIKEFIEKCLVPVSERLSAEELLRDPFLQRENPKDPVLYPLSLFAKTPKAIDLSKSGSLSMDIGADYKQSSVSTYAESNQGSPNFPVFQVQRTNKNNEFRLQGTKNDDNSVSLYLRIADTSGRVRNIHFLFYLDSDTADSVASEMVEHLELADHDVAFIAELIDYLIIRLLPWWKPSYNHSSHGYQNVDGQTFMACPWSPVLTNVPSDLSIGKDGSSGSNTTPREDLSNTTPGEGFAIDGMSSFIKDADSDTCDGNYKSSPSLINLEDGYSQGSGASETVTGDASLKNESYLDSDVHGSLEGCNRFISELELADRFFEDCKLQATTYCDEYSKNSGSTCGASSAVSLTSSCSYMSLTEEDIDLELKLEIEAIESHYQLWIDELTRMKLDALEAAKRRWMAKKKVTVH >OIW16231 pep chromosome:LupAngTanjil_v1.0:LG02:22972754:22978048:-1 gene:TanjilG_18946 transcript:OIW16231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFLSVISLCFFLCLCVHSVLSENAITPPRGWNSYDSFSWIISEDEYLQNAKIISDQLLSQYGYEYAVVDYLWYRDLKGNSNSRGSDTIDEWGRLHPDAKRWPSSNGWKGFKNVADKVHEMGLKFGIHLMAGISTQAYDANTPILDTKTGKAYEESGKVWHAKDIGIPERACKWMDNGFMALNVTSGAGKAFLRSLYELYISWGLDFVKLDCVFGEDLDFEEISYVSQTLKEIKPSIVFSLSPGVKATPNMAKVINGLVNTYRVTGDDWDKWSDVASHFDVARDFGAAKLIGAQGLNGKSWPDLDMLPFGWLTDPAVNQGPHRLTNLNLEERQTQMTLWCMAKSPIMYGGDLRNLDSWKKDGLIINPTLLFINANSKDNAEACEPFLLLFVRFSSITTLNDVNNIEEEEKEENEDMENVKAEVSTSGIRAWVATGNNGGQSPQGQDIYIAFFNLNNVPKTISAKIDDLAKALPGKKLIGLQGREVWSLKSITIGDVLSAQVAPHGCALFVFDY >OIW16421 pep chromosome:LupAngTanjil_v1.0:LG02:24433774:24437364:1 gene:TanjilG_19137 transcript:OIW16421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIATLKVPSSSSSSSSSFFLGRKSNPCNFLSFSASNLSGDKIPVCAGASRSRYTRTIPPLILSPKAVSDSQNSQTCLDPDASRSVLGIILGGGAGTRLYPLTKKRAKPAVPLGANYRLIDIPVSNCLNSNVSKIYVLTQFNSASLNRHLSRAYASNMGGYKNEGFVEVLAAQQSPENPNWFQGTADAVRQYLWLFEEHNVLEFLVLAGDHLYRMDYEKFIQAHRETDADITVAALPMDENRASAFGLMKIDEEGRIIEFAEKPKGEQLKAMKVDTTILGLDDERAKEMPYIASMGIYVVSKNAMLDLLRDKFPGANDFGSEVIPGATSIGMRVQAYLYDGYWEDIGTIEAFYNANLGITKKPVPDFSFYDRSSPIYTQPRYLPPSKMLDADVTDSVIGEGCVIKNCKIHHSVVGLRSCISEGAIIEDTLLMGADYYETDADKRFLAAKGSVPIGIGKNSHIKRAIIDKNARIGENVKIINSDNVQEAARETDGYFIKSGIVTVIKDALIPSGTVI >OIW16650 pep chromosome:LupAngTanjil_v1.0:LG02:18995117:18995386:1 gene:TanjilG_23152 transcript:OIW16650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSQDQFEIKFRLSDGSNIAPKGYPSIATLKESNLAQMAKRQGVIPKTYEHKRGEACALPQHHGSSLCHHDGVTSNSRLKHTARTVRK >OIW17158 pep chromosome:LupAngTanjil_v1.0:LG02:3880533:3884458:-1 gene:TanjilG_18113 transcript:OIW17158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAQNPLGENTCGSLLNKLQEIWDEVGESDEERDKMLLQIDQECLDVYKRKVEQAANSRAQLRQSLSDAKLELFSLLSALGEKSFAGIPEKTSGTIKEQLAAIAPILEQLWKQKEERIKVFSDVQSQIQNICGEIAGDFSSNDQTGTYAVDESDLTLEKLDKYQSQLQDLQKEKSERLHKVLKLVSTVHDLCAVLGMDFFSTVTEVHPSLNDFKDVHSKSISNGTLARLATSVLTLKEDKQQRLLKLQELASQLIDLWNLMDTPLVERRLFDHVTCNMSTSVDEVTAPGALALDLIEQAEVEVERLDNLKASRMKEIAFKKQAELEEIFAHAHLEIDSEAAREKIMSMIDSGNIEPTELLADMDNQIAKAKEEALGRKDILDKVEKWMSACEEESWLEDYNRDENRYNASRGAHLNLKRAEKARILVNKIPALVDTLVAKTHAWEEAHDISFTYDAVPLLAMLDEYAVLRHEREEEKRRMREHKKYQEQQNTEPEPVFGSRSSPARPIGNKKVAGPRANGGANGTPNRRLSLNAHQNGSRSSVKDGKRDNNTRQSAPLNYASSSKEDAASHVSGTEPVPTSP >OIW17462 pep chromosome:LupAngTanjil_v1.0:LG02:2098761:2102195:1 gene:TanjilG_22574 transcript:OIW17462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSISQSSSLAVRDVDPLLKDLIDTKLSFRRNVASLAAQFKELRHRLASQDQSYTKQILTRQEAELKAKSMEVEIGILQRKLEERNEQIQDSGFSAMKYLKELDDLRTQLSAARASADANAASAQSAQLQYFELLQELNEKNKLLIEHEDYVLSLVKQLENIQKDLLARESSQMQLKDGVLRIEHDIMEVLAKAGENKDCALRKVLDEVSRKNFGKMDKFLVVKDVEIAKLKDDIEIMSAHWKLKTKRLESQLEKQQHIDQELKKRVLKLEFCLQEAHSETRKLQRMGEQQDKAIKELRDQLAATQQSGVVDSEKQISFWETYGFKIMVSMSIVIIVVFSKG >OIW17430 pep chromosome:LupAngTanjil_v1.0:LG02:1819876:1824428:1 gene:TanjilG_22542 transcript:OIW17430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIKTTSSSPSPPVTIMISSGGGSRGKGLVNSTSPLPRASFSNDNHNSPLRRRVSGGGGGGGGGGGSNNYCNSSMSKEEIATTTTMEEEEEEMNSEYVSYTVHIPSTPDRQPLSNSQTSINEEDCKNNNGSKSYISGTIFTGGFNSATRGHVIECCSTERDEEQSKSVLVCGMKGCDEVAIEGGKSSFVCECGFKICRDCYLECYGGKNSNGRCPGCKEPYNYASDDDDNDDDEERNSEAGDKALPLPSMAEFKLDKRLSVVKSFKAQNHPPDFDHSRWLFETKGTYGYGNAVWPKDGYGAGSGANGFEHPPDFGEKARRPLTRKVGVSAAIISPYRLLILMRLAALGLFLTWRIRHPNREAMWLWGMSITCELWFAFSWILDQLPKLCPVNRVTDLSVLKERFESPNLRNPKGRSDLPGIDVFVSTADPEKEPPLVTSNTILSILAVDYPVEKVACYLSDDGGALLTFEALAETASFARVWVPFCRKHQIEPRNPEAYFGQKRDFLKNKVRLDFVRERRRVKREYDEFKVRVNSLPESIRRRSDAYNAHEELRAKKKQMENGSDVSEPIKVPKAIWMSDGSHWPGTWASAEPDHSRGDHAGIIQAMLAPPNVEPEFGTEVDAENLIDTTDVDIRLPMLVYVSREKRPEYDHNKKAGAMNALVRTSAIMSNGPFILNLDCDHYIYNSLAMREGMCFMLDRGGDRICYVQFPQRFEGIDPSDRYANHNTVFFDVSMRALDGLQGPMYVGTGCIFRRTALYGFSPPRATEHHGWFGRKKIKLFLRRPNVSKKQEDVVVVPINSDHNDDDADIESLLLPKRFGNSTSLAASIPVAEYQGRLLQDLQGKGTQGRPAGSLAVPREPLDAATVAEAITVISCFYEDKTEWGKRVGWIYGSVTEDVVTGYRMHNRGWRSVYCVTKRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSRNNALLASPRMKFLQRVAYFNVGMYPFTSMFLIVYCFLPAVSLISGQFIVSTLSATFLVFLLGITITLCLLALLEIKWSGITLHDWWRNEQFWLIGGTSAHPAAVLQGLLKVIAGVDISFTLTSKSATPEDGDDEFADLYVVKWSFLMMPPIIIMMVNMIAIAVGVARTMYSPFPQWSKLVGGVFFSFWVLCHLFPFAKGLMGRRGKVPTIVYVWSGLLSIIISLLWVYICPPSGTQQDYMNFKFP >OIW16117 pep chromosome:LupAngTanjil_v1.0:LG02:21921577:21922959:1 gene:TanjilG_18832 transcript:OIW16117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVSVIELLPKYYGLVAIVLVLYCFLNFYMGFQVGKARKTYNVPYPTLYASESENEHAKIFNCVQRGHQNSLETMPIFFLLMILGGLKHPFICTALGLLHTVARYFYFTGYATGEPENRLQSKGLHLVALLGLMVCTLSLAWTLLAQPAIP >OIW17129 pep chromosome:LupAngTanjil_v1.0:LG02:4934615:4938073:1 gene:TanjilG_27283 transcript:OIW17129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSHGNLSRRALETNMPIMVRGVVYWQPPKQALEKVKELVWEPSISRYGADEGIPELRAALVKKLRLQNNLHKSSVMVTAGANQAFVNLVLTLCDAGDSVVMFAPYYFNAYMSFQMTGVTNILVGPGSAETLYPDADWLEKILSETKPVPKLVTVVNPGNPSGTYIPEPLLKRIADLCKNAGSWLLVDNTYE >OIW17569 pep chromosome:LupAngTanjil_v1.0:LG02:115887:116428:1 gene:TanjilG_08847 transcript:OIW17569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISSPALNTINRATPVQVVAPFTGLKSIPGFPVTRKTNNDITTIANNGGRVQCMKVWPPIGLKKFETLSYLPSLSPESLAIG >OIW17468 pep chromosome:LupAngTanjil_v1.0:LG02:2141628:2144759:1 gene:TanjilG_22580 transcript:OIW17468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNNPFTFNLDHFHNRCIHHYPFGLLNNGNYNVFGLPSSRVTTLRRGTKGDNDASAIIGGVSFKAREFSSFSETHVNDNNVERVYVKGGMNVKPIALERDDKDENTAEGREEEEEEEEEEEKSRLQCREEDAKIENSHESKNKDEDEETEVEKEAWKLLQEALVTYCDSPVGTVAAIDSSDQQQQQALNYDQVFIRDFVPSALAFLLKGEHAIVKNFLLRTLQLQSWEKTVDCHSPGQGLMPASFKVETVKIDDEDKEVLDPDFGESAIGRVAPVDSGLWWIILLRAYGKITGDYSLQERVDVQTGLKMILNLCLTDGFDMFPSLLVTDGSCMIDRRMGIHGHPLEIQALFYSALRCSRELLIVDEKSKTLFGEVITRLSALSFHIREYYWLDMKKMNEIYRYKTEEYSLEATNKFNIYPEQIPMWLMDWIPEDGGYFIGNLQPGHMDFRFFMLGNLWSVVSSLGTPRQNEAILNVIEIKWDDLVGNMPMKICYPALEHEEWRIITGSDPKNTAWSYHNGGSWPALLWQFTLACMKMGRTELAQKAVTLAEQRLPRDSWPEYYDTRTGRFIGKQARFYQTWTLAGFLASKMLLKNPEMASMLCWDEDYEILETCVCILNKKSGRTKCSRNAAKSQILV >OIW17382 pep chromosome:LupAngTanjil_v1.0:LG02:1453723:1456822:-1 gene:TanjilG_22494 transcript:OIW17382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYCRSDAACLCLSCDRNVHSANALSKRHSRTLLCERCNSQPASVRCSEEKVSLCQNCDWLGHGSSTSSSTHKRQTINCYSGCPSSEELSSIWSFVLDIPSTSGSSCEQELGLMTINENSIKSSSVAPENQNGTGSDQVNDLPGKDKYRDGASSKAESSTKPPVLDHPAGTSNQCLPKFYCPGTKCPSICEDNMYPDFDMDEVDLNLENYDELFGMTLTHSEDLFENGGFDSLFGTNDISAEGSDCMGAGAAEGSSVELVNAMQPACSNAESADSILSTKTEPIICFTSRQVPSNISFCGIAGESNAGDYQDCGASSMLHMEEPHWHPRPENSLHSSANRNNAVLRYKEKKKIRKFDKRVRYASRKERADVRKRVKGRFVKVGDAYDYDPLGPTRSY >OIW17463 pep chromosome:LupAngTanjil_v1.0:LG02:2102762:2104471:-1 gene:TanjilG_22575 transcript:OIW17463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRILDETTKTSTTTFPPTNPTSTTPITTLPDSPAIITVPSTSPVTVSPPNPVSMPGTVPSTPPSIPLTPTNPANSQVPVTNPGTAPITVPGTPPAATTNNPVSSNPPSLGNTNAPNTTPGQGWCVAKTGVPQTTLQSALDYCCGMDSVACSQIQQGGSCYNPNSLQNHASVAFNSYYQKNPAPTSCDFGGAATLVNTNPSSGSCIYTSSSASSTTSSSGTGISGSGAPASVFGSQSPPPDADFSHSAGLKPFIGCMVLLISLVTGKISV >OIW17519 pep chromosome:LupAngTanjil_v1.0:LG02:2678698:2694827:-1 gene:TanjilG_22631 transcript:OIW17519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVENETRQNGKALGKHFHELRLASALESEVIVERECEFEMRKTGEEILSLKWGSSLYAVAASYLLGIYLLVIYLSLYLKLNYIPIVQHLVRLRWEELSPAERMNFANLSIDLMSEIADPCENWTLKSQTAALVAEIVRREGPNLWQELLQSLVSLSSKGPVQAELVSMMLRWLPEDITVHNEDLEGDRRRLLLRGLTQSLPEILPLLYTLLERHFGAALSEAGREQMDTAKLHAAAVTATLNAINAYAEWAPLPDLAKSGIINGCGFLLSAPDFRLHACEFFKLVSPRRRPADASVSEFDQAMSSIFQILMNISREFLYKSGSSPGSIDEGECEFAEYICESMVSLGSYNLQSIAGDNTSLLLYLEQMLGFFQHFKFAIHFQSANFWLVLMRDLTSKSKISTHSAADSSAVSSSGSGEVENAKKKALSFVNDDFCGAILDTSFLRMLKREKILPETALSLGVLELWSDKVEGNFGQYRSRLLELIRFVSSYKPLIAAAKVSEKINAIMKSLLLSPALSQDLAVLESMQLALENVVSAIFDGSNDFAKANTEVQLALCRAFEGLLQQFISLKWTDPALVEVLGRYLDAMGPFLKYFPDAVGSVINKLFELLTSLPLIIKDTLTLNARHARLQICTSFIRIAKAAGKSVLPHMKGIADTMACLQREGRLHQGEHNILGEAFLVMGSAAGIQQQQEVLVWLLEPLSQQWTQLEWQDKYLSDPQGLVRLCSETTFKWSIFHTVTFFERALKRSGLKKANWNSENNSTPDSTPLNPMASHISWMLTPLLKLLRAVHSLWSPSVNQALPGEVKAAMTLSDVERFSLLGEGNYPKLPKSSLTFTDGSNIDMTKEGYAEPNESDIRNWLKGIRDSGYNVLGLSTTVGDSFFKYLDVHSVAVALMENIQSMDFRHMRLIVHSILIPLVKSCPFDMWEIWLEKLLHPLFVHSQKVLNHAWSSLLQDGRAKVPDVHDILSGSDLKVEVMEDKLLRDLTREVCLLLSVIASPPLNPGIPSVDQSGHVNRLDMSSLKSLDTFASSSMIGFILKRESLALPTLHICVEVFTWTDGEAVTKISSYCSALVALSIATNHMELVEFVSRDLFTAIIQGLALESNAIISADLVGLCREIFVYLCDRHPAPRQVLLSLPSITPHDLHAFEESLTKTSSPKEQKQLMKSLLILATGNKLKALAAQKSVNVITNVSGTVRSRSAVNDSESKVDDGDLGLAAIM >OIW17236 pep chromosome:LupAngTanjil_v1.0:LG02:3171341:3174175:1 gene:TanjilG_27433 transcript:OIW17236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMMNNNIGHFDDTTLTKVFVGGLAWETKKEALREYFEKFGEILEAIIIYDKITGKSKGYGFVTFKKKEAAKKACDESIMIINGCKANCNLASLGARRPTRSSSSTIFSTPTTIPPPQSQGGSKGGALRNCNEVQCYFPIGTPAPASPFHHQPVQLAEVNALKGGYEN >OIW16560 pep chromosome:LupAngTanjil_v1.0:LG02:20515714:20523989:1 gene:TanjilG_17733 transcript:OIW16560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHSESMQCVRVAVNIRPLVTSELLIGCTDCISVVPGEPQVQIGSHSFTYDHVYGSTGLPLSAIYDDCVFPLVDALFHGYNATVLAYGQTGSGKTYTMGTNYTGEGSNDGIIPRVMETIFKRVQTMKQSAEFLIRVSFIEIFKEEVFDLLDPNSSKGEVLCTAKVTVPARVPIQIRETVNGGITLAGVTEPEVKTKEEMASFLSRGSLARATGSTNMNSQSSRSHAIFTITMEQKNGDDVLCAKLHLVDLAGSERAKRTGADGMRLKEGIHINKGLLALGNVISALGDERKRKEGGHVPYRDSKLTRLLQDSLGGNSKTMMIACVSPADTNAEETLNTLKYANRARNIQNKAVINRDPVGAQVQKMRSQIEQLQAELIFYRGDAGGPFEELQILKHKISLLEASNAELQRELQENRLTCDNLAQRASDAQVEKDQLVLKIESVRNGKSWDEVDSNSNQDYDLVKSYVSKIQDLEGELLRLKNSNVKSSHFIDWAESDDGGFRSKNAVFACGNEYSSDCDTRSVDITDDLVDHAKESEHSSLQEKLDRELKELDKKLEQKEAEMKRFNNSDTSVLKNHYEKKLHELEQEKKFLHKEIEELRCNLSNISSTSDAGAQKLKEDYLQKLNALEAQVSDLKKKQDSQVQLLRQKQKSDEAAKRLQDEIHRIKCQKVQLQHKIKQESEQFRLWKAAREKEVLQLKKEGRRNEYEMHKMLALNQRQKMVLQRKTEEASLATKRLKELLESKKHSSRETAGGNGPGIQALMQAIEHELEVTVRVHEVRLEYERQMAERAKIAEEIARLKEAEMMKQNNTSDGLISISPGARNSRIFALENMLETSSPTVVSMGSQLSAAEERERVFSGKGRWNQVRFLSDAKNVMNYLFNIACSSRCLLRDREVTCKEKDMEIRDLKENVVRLRCSLQQSEMHNAGLIHQLKLQSSMGGHKYDLRKLENRRSIMLFEDMDISDAESEGYDVDATDDEWVESGKLQVRKRKSKSGRLSMEDNHTNSSSEDVKDNSKGLDCASEKTASDVCCSCSKSSSCKTTKCKCRALGGSCGSSCGCLATKCTNRASVSNESQEPTQYGVEGTGNDSRIEETDKDHILATQGAELLQGALIARPAETNNDRGPRKPLSDIGNTVAKSNAQKGNPRKKWRKSSIVLVSDPPHSLQPSNSEVPKKENDNISETNVSSSRPQNMHSYRPESSSIPSKAENNVIGTNIPLRIPRAMQRQVSSKVSVPLGDINAIKPDESVNNKEHEVVDARSSSRQKRTLEKENSGR >OIW16969 pep chromosome:LupAngTanjil_v1.0:LG02:8567009:8568571:1 gene:TanjilG_32836 transcript:OIW16969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKVRVVGGALLFLCVLLCFSISIGYGDDENEEQRKVSYLWVWERLRSAYSMYSSLFPGTNIGNYWHMVKAFLSHAYALFFPPNIDFRRGDEVETVAEDGGVKEAISKSIGKSKATLEDVAKSAANIAKDKVVNNKKKTSLSKNRESEHQNEL >OIW17393 pep chromosome:LupAngTanjil_v1.0:LG02:1537041:1539002:-1 gene:TanjilG_22505 transcript:OIW17393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPTSKSKSKDKKAGKETPKSSAKSSGSGNAVTGIPASAYNPLLGTFHTLEISPMSSTSPTHSNGRFRNINEMDEHPVGSLVAGVECDSVSNNDSWSGESEDHKERSSNPPVRLEAVPGADNDKREKIRQKNERKHQRQKERRAQELHDRCNGYLMSRKLESLAQQLVAMGFSQERATVALILNEGRVEESVAWLFEGGEESDIHKDKDMGGDNLKIDISEELARIANLETRYSCSKQEVERAVVTCEGDLDKAAETLRDLKLDLPSALPKPEEIADPPTINNSKQPEVVNQSQRLQKKPIPSPNQPKKDDKDFNYTKVSVMDGVSSDSSNRNLQPLRRSQPKSEWVKPQQAAIPTDKRWPGAGSNPSVSYTLASPLQLSPKPAKAEASNMAFEGDFKNLQLGTTREPVILMQQPQSVNARQVLAASMTSSPSTRPIAASWLPTDSVEAVRANAFMSRTPSTRDIGSNYVSSNQMYNQLQYQHRQQQFVTGSSNSVDLQATDWGNSMWNRTATSPTLAAASLGLFSGLGSAGTSGANSSVDWSTGGTMKFDYTNIDWSLDRGLSPPRSNAYSPFTRSNSAHLYSSNASGVVAQQPSIRSLPSNASMVPVPGLQNTGVSSAETSAAAGSPEWSSPFEGKDLFSSPRQFVSYPYL >OIW17262 pep chromosome:LupAngTanjil_v1.0:LG02:433938:434346:-1 gene:TanjilG_22374 transcript:OIW17262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSNLMGGGGAIRNHEERWLLCFSVCFEVGIPIKAEFIAIEQGGSDSIPREANSLADFMAKTGALLGSVFSDFGFCFDWCFIFS >OIW17108 pep chromosome:LupAngTanjil_v1.0:LG02:5331461:5332645:-1 gene:TanjilG_25816 transcript:OIW17108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKWDKHQRKQHYIENPSFSSSLLDVIYRSIDEGVQTKEKEEKLIFYRETMRKQNRENVSVCEVEKPSMQKVKRVENWMEKKVKEKVVMGRNSLTELERRTRRNSNTLSMFSSSSSSESSSIGGFSSSESESFYGVQKLKAIRTSFSYEKTNFEKTQKPKHHENNGFGKTKSKALRILYGDLKKPISPGAKLASFVNSLCTSGGNAKKAKIFSSSSRTSSVHEGSKSATQAQASASSTCSSVSSFSRSCLSKTPSSTRSGGKRTVRFCPVSVIVDEDCRPCGHKNLHEVEESMKVKNKSNTNEELRFHVMQESIRVEKLARELLKNYQKKNEEDFDIMHCEEEEDDDGVSCGSSDLFELDNLSAIGIERYREELPVYETTHFNTNRAIANGFIM >OIW16332 pep chromosome:LupAngTanjil_v1.0:LG02:23826076:23826351:1 gene:TanjilG_19048 transcript:OIW16332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSVDLEGKESTQIEKCSSKTTFLNTIFHYGFMDDAKKNILSNWKQMMRAKKREKARLNLDLIKNTAGFNDNMEAMRDFEKLVGCTRRQ >OIW16748 pep chromosome:LupAngTanjil_v1.0:LG02:16289960:16290524:1 gene:TanjilG_10638 transcript:OIW16748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKNGEASPPPPPPPPPVPRVAVVVFLLKGRSVLLGRRRSSVGNSTFALPGGHLEFGESFEECAAREVKEETGLVLEKVEFLTVINNVMMLKEAKKCHYVTIFMRAVMDEDSKEVAMNLEPDKCDGWDWYKWDQLPHPLFGPLHNMVNQGFNPFPI >OIW16193 pep chromosome:LupAngTanjil_v1.0:LG02:22667732:22672090:-1 gene:TanjilG_18908 transcript:OIW16193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSNGVETVIEFLRKNGFSEAESALKQDIIDKVGGSHLTSFDYDKFYFPMLPPLPPVRLRSSEPAGGVFSVSSDDEFFSIGSIISRVSSSEFINPHGICSVSQTRNGSEYSSDRLSQFGTACDYHDFDMQNESYWYNENDDECFMTPNFEGADAFGCRSEDKFVMTSKTENQYDHSLGLNHNYEESQFEGNGGYMDKACLNNSSLGDGNDTFSESYCHMDNKNQINEDSTSFSYRNLNEINLNDFRLKVVGDITSFNSAPQQTVNQNLDYYTKNDSNDGYKGPYDLTNEVAGVDPPNAYEAPDGGELAEECQDPKIIAYGEDTTTDVEVLKYSQDDEHEVFDLRIIHRKNRTGYEENKEMPIVLNTIIAGRYYVTEYLGSAAFSRVVQAYDLQRGIDVCLKIIKNDKDFFDQSLDEIRLLRLVNKHDPADECHILRLYDYFYYQEHLFLVTELLQANLYEYQKFNQESGGEAYFTLSRLQIITRQCLEALQYLHNLGIVHCDLKPENILIKSYKRCKVKVIDLGSSCFKTDNLCLYVQSRSYRAPEVMLGLQYDEKIDIWSLGCILAELCSGEVLFPNDPIVMILARMIGILGPIDLEMLVKGQETHKYFTEEYDIYHVNEETNQLEYIIPESTSLEQHLHVTDTMFVDFVKYLLNINPKRRPTATQALKHPWISYMYKSK >OIW17052 pep chromosome:LupAngTanjil_v1.0:LG02:6521477:6525950:1 gene:TanjilG_02420 transcript:OIW17052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLAFPSSPLCLKTLNSHVTRSSSFISLFSTTTTATTTTTRLRKFSNISFTISSLATTLSSQPHAYDSTQLENKKHQLLTSIQDTQRGLFTTPLQRSSIEEALVNVEGYNRGEPINLAKLDGTWRLQYTSAPDVLVLLEAAARLPFFQVGQIFQKFECSGQSNGGVIRNVVQWSIPNLLEEQEGATLLVSANFTVVSMRNIYLKFQEITVQDIKISEEVQALIAPALLPRSFITLQILQFLRAFKVEIPVTNPGRESVGGLYYLSYLDDNILLGRAVGGGGIFVFTRSQSLY >OIW16395 pep chromosome:LupAngTanjil_v1.0:LG02:24239613:24246422:-1 gene:TanjilG_19111 transcript:OIW16395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALESWVFGHQLLLTGYRLPYISHPKRIIPIKVALDTNNAYPFPSLSKSFILSSYNNTNVFCGTRLLRCLGTSSTSSIGDSLNGTNSVSKVNLNFIQQLLNRGIILAAIVCGVFVFGCWRALAVEDVANAGYRVIDQSILLLKSTWPKVLPVLRMFKEHGLVLALLLSLSAFFSMAETSITTLSPWKVRELAEKESENGVFRLLRSDVTRFLTTILIGTTVVNIGATALVTEAATAIFGEAGVSAATGVMTVAILLLTEITPKSIAVHNATEVARFVVRPVAWLSVVLYPVGRVVTYLSMGMLKLLRLKGISEPYVTEDELKLMLRSAELSGAIEEEEQDMIENVLEIKDTHVREVMTPLVDVVAIDASLSLLDFHDLWVTHQYSRVPVFEQRVDNIMGIAYAMELLDYAQKGELLESTTVGDMAHKPAYFVPDSMSVWNLLREFRIRKVHMAVVLNEYGGTVGIVTLEDVVEEIVGEIFDENDSKEEIQKKTGYIVMRAHGVFDVDANTSIDQLSEDLNIKMPEGHQYETVSGFVCEAFGYIPRTGECIKVVLEREEEEEDDESNAVHQDQKEKNHIFKVEILAGNARKVRAVRFERINGDDEMAHSKEVTRVFPKITKRKCSSDEDDAAEYDGNAFAKRTRDDMCNEYVDDLENCNTD >OIW16886 pep chromosome:LupAngTanjil_v1.0:LG02:11459118:11490644:-1 gene:TanjilG_01751 transcript:OIW16886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERRPSKRSKITRGEDDYMPGNILEIELDKFMTFGHLKCKPGPRLNLVVGPNGSGKSSLVCAIALGLCGEPQSLGRATSIGSYVKNGEESGYITITLRGDHKEERITITRNVVPKKDVAETIQRFNIQVNNLTQFLPQDRVCEFAKLTPVQLLKETEKAVGDAQLPEQHHALVEKSCALKQIELAETMKKKLPWLKYDMKQSEYLEAKERQKDAENALKEAAKILSDLQEPIKVCEFAKLTPVQLLKETEKAVGDAQLPEQHHALVEKSCALKQIELSLEKNEERLKQMKELNGELEKDVERVRQRDELLAKAETMKKKLPWLKYDMKQSEYLEAKERQKDAENALKEAAKILSDLQEPIKKQKKERDALDAKCRKVMSCIAENARKRTEHVEKENLLEVELQGKYKNMEELRRGVESRQERLHKAREELAAAELELENVRPYEAFQDELKRLKDEYLELDSSARQVRQSKSQVENEMRHKRSSLMQCKERLRDMNNKNTKCLHLLQKSGAENIFEAYKWVQEHRSDFNKEVYGPVLVEVNVSSQLHAAFLEGQVANYIWKSFITQDSSDRDLLVKHLKPFGVPVLNYTGVDGQQREPFEISEDMHALGIYSRLDQIFDAPGAVKEVMIEQFILDHSYIGSKETDQKAAEVPKLGISNLWTPENHYHWSKSRYGNHISAVVDQVGRPQLLLTNLDVGEIEKLSSQERELEESIATLEENVKRFQEKERSLLNQAASRRKQWEDISITAKNEKSKRKAITERIAQKRLLLESKEKEDNIDTLMAKLFDQASNYKIERFHNAMKIKDLLVEAVGYKKCLLEQRMTFFELDAKIGELEANLKQYENVAVQTSTHFNNCKKEAENFQQQLTDYLKHAKSIARLTPELEKEFLEMPTTIEELEAAIQDTISQANSILFVNPNILEQYEHRQRQIGDLAAKLEANKKESMKCLAELNDIKGKWLPKLRNLVAQINETFSHNFQEMAVAGEVSLDEHDMDFDQFGILIKVKFRETGQLQNLSAHHQSGGERSVSTIVYLVSLQDLTNCPFRVVDEINQGMDPINERKMFQQLVRAASKPNTPQCFLLTPKLLPDLQYSDACSILNVMTGPWIEQTSKVWTNGDRWGIITGLVQ >OIW17566 pep chromosome:LupAngTanjil_v1.0:LG02:124490:126112:-1 gene:TanjilG_08844 transcript:OIW17566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTEINHMVKRTVVSTKAVEQGKYFPLSVLDHCMENKNIKVVYYYQTSQERVVGEITKNLRETLSEMLTYFPIVTGRLIRNERGYWKIKCNDAGVRMVEAIAKGSVEGWLTNLDSKNELKLVYCDDMIQKSYYWSTFSVQVTEFEEGGLAIGLSCTHLLADLTSATNFMKAWTDISLIGKMIDPPMFHPLPYITLGNKKSNHQQCMELINHYKSLIEKPVPIKKDIYTTVSLCFSDQMVQACISMAQNTYLSSSIVTPFEALAGLFWVSLSKIKGLRNGLVDMSICVDVRKVLGLDHGFFGSCMMYNKVHAEGIVGENNISEAAKAIGDAVAKVDNEGIMDLIEWFENNDIKFSALMNGYDVICASLEGVNPYLAEFQEGFKPIHVSHYIEPVLGEGQVLILPAPSGEGPLSRVVMVTLGEEEAIKLCEDNLISQFSPTILMKY >OIW17169 pep chromosome:LupAngTanjil_v1.0:LG02:4043040:4043240:-1 gene:TanjilG_18124 transcript:OIW17169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVKPTVALRALFVGGIAAFAKIAGAMKAAGGVKLGAAAAAMTAAATAAVSGSKQEQKDASQQSPK >OIW16736 pep chromosome:LupAngTanjil_v1.0:LG02:17328027:17328888:1 gene:TanjilG_14506 transcript:OIW16736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLGTLFFLFFGLLIGFSGATSNETIVSLSLKQGRNDTFLIARHRKLLQPVEVVKPNTIWDDKCSKEYIAINQSPTPPLSNGIPTYTVEIINNCFSGCEISDIHVSCGWFSSVTLVNPEVFKRLDYDDCIVNDGKPLQNRSLVSFRYANTFPYPFFVTKVTCI >OIW17483 pep chromosome:LupAngTanjil_v1.0:LG02:2311704:2314257:1 gene:TanjilG_22595 transcript:OIW17483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSQAQVAAVPLGTLIGRELRTDKVEKPFVKFGQSGLAKKGEDYFLIKTDCYRVPGDLSTLFSVFAIFDGHNGISAAIFTKENLLNNVLSAIPQDISRDAWLEALPRALVAGFVKTDIEFQQKGETSGTTATLVVIDEWTVTVASVGDSRCILDTQGGAVSLLTVDHRLEENEEERARVTASGGEVGRLNVYGGNAVGPLRCWPGGLCLSRSIGDTDVGEFIVPIPHVKQVKVSNYGGRLIIASDGIWDALSNDLAAQSCRGILAELAAKLVVKEALRSRGLKDDTTCLVVDIIPSDLPVLPPIPGKKPSMLTSLLFGKKSGNTTNKKKPSMLTSLLFGKKSGNTTNKAANKLSSVGVVEELFEEGSAMLAERLGKDFPFNKNSGTFRCAVCQMDQPPGDDLSVKSGPFFSPSSKPWEGPFFCTKCQKKKDAMEGIVIDEGESRHNSKVVA >OIW17192 pep chromosome:LupAngTanjil_v1.0:LG02:4406255:4417795:1 gene:TanjilG_18147 transcript:OIW17192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSFSGSRFPSTNPSFPSPPSATASFCLDSYTTNSNTPSHSFEHKAPSDVKVGNFTRKSGKRVMGMNPIEVGDGGKKNKKKGKEKIYWVCSNCGYTAGQWWGVCRSCTVAGTMKEFHETKTSEVDSKVNGFMVLEDDGVGSWLPQHEGELRPLRLVDINRGLNHQHWRIPLSGSFGNEVSRVLGGGLVPGSLTLVGGDPGVGKSTLLLQIAAMISEGGNNGEVSPVLYVSGEESVEQIGNRADRLMIESDIYVYSSNDIEDILKKVRDISPRALVVDSIQTVYLKGIMGSPGGVIQVKECTSALLRFAKTTNIPVLLIGHVNKSGDIAGPRVLEHIVDVVLYMEGEKCSAHRMLRAVKNRFGSTDELGIFEMSHSGLQAVSNASEMFLSEQHLDSEILAGMAVAVIMDGSRTFLIEIQALCLSGSTGSRQVNGIHASRADMIISVLIKQAGLRLRENAVYLNVVSGLTLTETAGDLAVAAAICSSFLELPIPNDIAFIGEIGLGGELRMVPRMEKRIHTVAKLGYRMCVVPKTAEKALGTESLENMKVVGCRNLKDVINTVGASCIVSSLVQISGALAYPLLAPEAALNRLDGPSLEEFVAEHYTAPRMVLAASGVDHDELLSVAEPLLSDLPNVPRPEESKSNYVGGDFRRQGSQDKGLRWVRKIRCPIFFFFSFSRSGEAAMRTRRRDIVDRPKKLETSATRPLL >OIW16357 pep chromosome:LupAngTanjil_v1.0:LG02:24001571:24014208:-1 gene:TanjilG_19073 transcript:OIW16357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDMDEIDSVPQVYMACILHGHRIGVSYYDSSLRQLYVLEVWDDGDKGFPVIDLVKYQANPLVIYTNTKCEESFLSALQRSDEVSEAPTVKFVKSSVFSYEQAWHRLIYLQVAGMDDGLNVKERIYYLSSMMDMGSEVQVRVSGGLLAILENERIVDTLEQTEFGNTSITIDSVAEISLNKFLKLDAAAHEALQIFQTDKHPSHMGIGRAKEGFSVFGMINKCVTPMGRRLLRNWFLMPILDLEVLNYRLNSISFFVCSEELVSSLRETLKSVKDIPHLLKSICALLHINKIFEVGISEGLREELMHLNLDIVEKASSCITTELAYIYELIIGIIDVNRTKEKGYTTVVKEGFCDELDELRQIYEELPEFLEEVSSLELAQLPFLCKNKLIPCIVYIQQIGYLMCIFEEKLEETTLENLVDWEYTFWDADGETKRYFYRTPKTRELDNLLGDIYHKILDMERAITRDLFSHILLFSTHLIKVATFAAELDCFLSMALVAHQNNYVRPWLTEENVLDIKNGRHVLQEMTVDTFIPNDTKILQDGRINVITGPNFSGKSIYLKQVALIVFLSHIGSFVPADAATVGLTDRIFCAMGSRLMTAEQSTFMIDLHQIGMMLSGSVTSNSSLTVFVSTHLMDLMQGHSLTKSEQIKFHTMSILRPDDNLAHIEDIVFLYRLIPGHAHHSYGLHCALLAGVPDRIIERAAFVLDAVTNNQYVERLCSENISAQDQQYKVLFQFQE >OIW16479 pep chromosome:LupAngTanjil_v1.0:LG02:21360521:21363748:-1 gene:TanjilG_32149 transcript:OIW16479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSSLDTTHANSYNNFTFSTHPFMTTSLSELLASPMDDNSNSNNNNNNKNHGSGGLSDRIAERTGSGVPKFKSIQPPSLPLSPPLFSPSSYFAIPPGLSPAELLDSPVLLNSSHTLPSPTTGAFSAQTQSYNDWKNNSRSNQQIIKEEEKKFSNFTFQTQSQQPWSYQEATKHDNFSSGRSMMKTENSSSMQSFQPEFSSVQTNNHNYQQQQPQVQTLSRRSDDGYNWRKYGQKQVKGSENPRSYYKCTYPNCPTKKKVERSLDGQITEIVYKGSHNHPKPQNTRRSSSSSSSVSMIPSNPISSEIQDQSYATNGSGQMDSVATPENSSISIGDCDFEQSSQKCRSGGDEYDEDEPDSKRWKNEGENEGISAPGSRTVKEPRVVVQTTSDIDILDDGYRWRKYGQKVVKGNPNPRSYYKCTHQGCPVRKHVERASHDLRAVITTYEGKHNHDVPAARGSGNHSVNRTMLNNNTTNPNNAAIRPMPLNHSSNNSLQSLRPQAPDFTLEMLQSPGSFGFSGFGNPMGSYMNQQQQQLSDNVFSSRAKEEPRDDTFLDSLLC >OIW16457 pep chromosome:LupAngTanjil_v1.0:LG02:24607033:24607578:-1 gene:TanjilG_19173 transcript:OIW16457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTPNDCLHFYQQNFHTTTTTTTTTTNPPPHHTQLTPEGRVSKPTRRRTRTSRRTPTTLLNTDTTNFRAMVQQFTGGPTAPLGFWSTPHPNGIMLPPSSTFHHQQHQQQQQLYQHYSIESNGGRGGDNINNNRFVEGLISNNNNQTPVNSNNNNNGSGDEGNGLIFMDDHHGPSRFFFPSS >OIW16327 pep chromosome:LupAngTanjil_v1.0:LG02:23786127:23787241:1 gene:TanjilG_19043 transcript:OIW16327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVLGAMARHLDTIVGPGVMLLYPLYASMRAIESPSTLDDQQWLTYWVLYSFTTLFELSCYKILLWFPIWPYMKLVFCLWLVLPMFNGAAYIYENYVRQYIKNIASYGGSSNYPEEYKKVLQMMTFDARKAVERYIDRYGPDAFERVVRVAEKEAKKH >OIW17120 pep chromosome:LupAngTanjil_v1.0:LG02:5041100:5044859:-1 gene:TanjilG_25407 transcript:OIW17120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLIRRRKGSETDKVQNQKESNVEEEEIKEELEEDDKKKVAIEKKKKKSEEKRKWSCVDSCCWFVGCICSVWWLLLFLYQMMPASFPQYVTEAITGPLPDPPGVKLKKEGLMVKHPVVFVPGIVTGGLELWEGHQCAEGLFRKRLWGGTFGEVYKRPSCWVDHMSLDNETGLDPPGIRVRPVSGLVAADYFAAGYFVWAVLIANLARIGYEEKTMYMAAYDWRISFQNTEVRDQALSRIKSNIELMVATNGGNKAVIIPHSMGVLYFLHFMKWVEAPAPMGGGGGPDWCSKYIKSVLNIGGPFLGVPKAISGLFSAEARDIAVARAIAPGFLDNDMFRLQTLQHVMKMTRTWDSTMSMIPKGGDTIWGDLDWSPEEGYVPNKRKQNTNNTQLTDQQTNKTNVGNYGRMISFGRNVAEAPSSKIEMIDFRDAIKGKNVANSSCRDVWTEYHEMGIEGIRAVAEHKVYTAGSIIDLLQFVAPKMMARGSAHFSYGIADNLDDPKYEHFKYWSNPLETKLPNAPDMEIFSLYGVGLQTERAYVYKLSPNAECYIPFEIDPTADGGGEDSCLKDGVYTVEGDETVPVLSSGFMCAKGWRGKTRFNPSGSRTYIREYDHSPPANLLEGRGTQSGAHVDIMGNFALIEDIIRVAAGATGEELGGDRVFTDIFKWSEKIKLPL >OIW16962 pep chromosome:LupAngTanjil_v1.0:LG02:8202054:8204148:1 gene:TanjilG_32829 transcript:OIW16962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRDKDESNERKKDVFIVASRRSCEELIFDGKVTVNGNVCNTPQTRVDPAKDVIYVNGSRLPKRQPRKVYFALNKPKGYICSSGEKESKSVIGLFDDYLKNWDKNHAGVPAPRLFTVGRLDVATTGLIIVTNDGDFAQKLTHPSTKTSKEYIATIDGSVHKKHLLAISEGTTIEGVHCIPDSVELLPRRQDVQRDRLRIVVHDGRKHEVRELVKSAGLEVSFNDRFPPFNIIQKAITTHAEAYVKS >OIW16204 pep chromosome:LupAngTanjil_v1.0:LG02:22811501:22815270:-1 gene:TanjilG_18919 transcript:OIW16204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKVQNFFKDRKYPFIFTLLLLLICVTLLLFTDTTTISNFNFNPFYFYSDSSAKNKEQPLLNQYITVPKDSNVNIEWKLCKGGLLVVDYIPCLDNFEAIKALKSRKHMEHRERHCPNVSVQCLLPLPKGYKVPVPWPKSRDMIWYNNVPHAKLVEYKKDQRWVEKYGEYLVFPGGGTQFKGGADHYIDFIEKTLPAIQWGKHVRVVLDVGCGVASFGGYLLDKNVITMSFAPKDEHEAQIQFALERGIPATLSVIGTQRLSFPDNGFDLIHCARCRVHWDADGGKPLYELNRILRPGGFFVWSATPVYRNNERDQQAWNATTAITKAMCWTVVTKARDPSGHGLVIYQKPTSSSCYEKLEVKNRPLCKNEGGKNSSWYAILNSCLTPLPVDGAGNVQNWPMPWPQRLTNKPPGLSTDSDADDKFDKDSKHWSKLVSDVYVNSLSINWSSVRNVMDMNAGYAGFAAALNDLPVWVMNVVPIDAPDTLSIIYDRGLIGMYHDWCESFNTYPRTYDVLHSSFLFKYLERRCDIVDVVVEMDRILRPDGYLLVQDSMDILNKLSPILRSLNWSVTMHLNQFLVGRKSFWRPTVVETE >OIW17071 pep chromosome:LupAngTanjil_v1.0:LG02:5968447:5971911:-1 gene:TanjilG_15654 transcript:OIW17071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLVDYASSSDDDLAEPTEEQRKEEEPQLPRRNLPPPLPHPRNQTKSESSMDQHPGKKPHSSVPSVEKLPDASLLLNSPAFSSNLINASDHSSRVAAALAENALRKRDSTGMASSSVRSKVPRGNRPHSRNVPDTSGGMLVPPQISGRGAPGAPPKPPHLQVEPRADMSTHRASILASSICCLHSQAL >OIW16375 pep chromosome:LupAngTanjil_v1.0:LG02:24117693:24120666:1 gene:TanjilG_19091 transcript:OIW16375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANDNEPAKLLLPYLQRADELQKHEPLVAYYCRLYAMERGLKIPQSERTKTTNALLVSLMKQLEKDKKSTQLVPEDNLYVEGFALNVFGKADKQDRAGRADLNTAKTFYAASIFFEILNQFGALQPDLEQKQKYAVWKAADIRKALKEGRRPIAGPPNGDEDLSVPSSTPSNRYDLGTNETTVTSSGPESDLSTNYHNPVNYQNLPSTHPVPKFHDTVNDNHPTNIPPSMQFHEGVNNNKHSSDVSPSSHPYASAGYPSQDYPSFQDYHPPPPSQDYHPPPPSQDFQPRPPSQDYQPPPPSQDYQPPPPSQDYHSPPSSQDYHPPPPMRSETSYPEPYTHQQYSPKSSQHLGPNYPSHETSSYPNFQSYPSFTESSLPSVPSNYMYYQSSDPSFSSQSAPLTTNHPSSVQHSSSSRNGVVLEPAPTAPTYQYDSNYQPTPEKIAEAHKAARFAVGALAFDDVSIAVDYLKKSLELLTKPSAGQ >OIW16958 pep chromosome:LupAngTanjil_v1.0:LG02:8172401:8172670:1 gene:TanjilG_32825 transcript:OIW16958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRLRKLGAAVGAPNAVVPSRETQEFALIAAGKDNPLDMLVIGGGATGSGAALDAVTRGLRVGLVEREDFASGTSSRSTKLLHGGIGCD >OIW16707 pep chromosome:LupAngTanjil_v1.0:LG02:17909785:17912261:1 gene:TanjilG_24177 transcript:OIW16707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDTNVEEVMKHESLDDPPKIDVASDNNSVDDAKDSDKDDKNDGNRMPSAHREEEAIKKKYGGLIPKKPPLISKDHERAYFDSADWALGKQGAQKPKGPLEALRPKLQPTQQHARSRRSAYAPADDSEVDGCPINTSSEDPSAAEDVGNDNSCTAQDQSSHQ >OIW16866 pep chromosome:LupAngTanjil_v1.0:LG02:12492074:12493735:-1 gene:TanjilG_16446 transcript:OIW16866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMAFFPPNFMLQTPDNDDQQPPPSLSSMLTTCTPHDYHGVASFLGKRSMSFSGIEVAEKVTAEEDFSDDGSQRGEKKRRLNIEQVKTLEKSFELGNKLEPDRKMRLAKVLGLQPRQITIWFQNRRARWKTKQLEKDYDVLKRKYDAMKSDNDALQSQNQKLEDEILTLKSREPTESINLNKETEGSCSNRSENSSDIKLDISAKTPTIDSPLSPHLKRRPFFLSSSASRPTAVTKFYQIASNSVFQCQKIDQVAKEENLSNMFCGMDDQSGFWPMLEQQHFN >OIW17440 pep chromosome:LupAngTanjil_v1.0:LG02:1892884:1897512:-1 gene:TanjilG_22552 transcript:OIW17440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAKDILGLPKNSFPALEKKSRPHKESQRKPDGISREVYALTGGLSSLMPAIDASHLKKKPPSDEKITWQWLPFTSSARKDNLQLYHWVRVVNGAPPTGDYSFAKYNKSVGIIKYTEEEYEKHLNDPMWTKEETDQLFDFCERFDLRFVVIADRFPSSRTVEELKDRYYSVCRAILIARAPSSGDVAANPLVKEPYNVSQEIERKRALSMVLSQTRQQERRDEEVLVEAKRIVELRMPAKAAEESQLAVASNPGAEIIERTIPGETASSSMVVPSTFTDNAATLASLRTLRVYLRTYALEQMVQAASSSAGLRTIKRVEQTLHDLGVNLKPRVPTKAVCAEHLELRKEILILLNLQKQVQYKEAEGSSFRDGSYGESPETPKDRTFIPESMSFGGERVGKKDHKRKGPGAPSPSAHKRPRKMKASDL >OIW16678 pep chromosome:LupAngTanjil_v1.0:LG02:18293862:18295173:-1 gene:TanjilG_28735 transcript:OIW16678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKHNNVIPNGHFRKHWQNYVKTWFNQPARKTRRRLARQKKAVKIFPRPTAGPLRPVVHGQTLKYNMKVRAGRGFSLEELKAAGIPKNLAPTIGIAVDHRRKNRSLESLQANAQRLKTYKAKLVVFPRRARKVKAGDSTPEELANATQVQGTYLPITGEKPTVELVKVTDELKAFKAYYKLRLERTNKRHHGARLKKAADAEKEEKK >OIW16093 pep chromosome:LupAngTanjil_v1.0:LG02:21562771:21564255:1 gene:TanjilG_18808 transcript:OIW16093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTASHFQYFLFIVLVTLATLVVIPTTHAKLSPNYYNKVCPKALTTIRSVVKKAIARERRIGASLLRLHFHDCFVNGCDASVLLDDTPSFAGEKTSFPNNNSLRGFDVVDEIKAAVDKACKRPVVSCADILAVAARDSVAILGGQKYWYQVLLGRRDARNASWDAANKNLPPPFFNFTQLLGLFQGHGLNLKDLVVLSGAHTIGASQCGVFRDRIYNDTNIDPTFAANLRNICPQTGSDTNLAPLDSTPKKFDTVFYTSLLYKKGLLHSDQELFKGDGSVSDNLVQLYSKNSHAFAADFGPSVIKMGNIKPLTGNNGEIRCHCRKVNDF >OIW16720 pep chromosome:LupAngTanjil_v1.0:LG02:16478924:16489114:1 gene:TanjilG_14490 transcript:OIW16720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWSHLAKRASSTRKFKLPSQSPSSTRFSHPSNFLGKIITTQTQSHVPSTYPVLGFGKVGVFTTINELGRCNFSLSEYPFGSYSPLNLTKCYSSVAEAIESTDTEEECSGSNEVHNLSEQMVKVEKGRGKGKGKVKPQLENVGHKYKMLRKRQIKMETEAWEEATREYQELLEDMCKHKLAPNLPYMKSLFLGWFEPLRDAIVAEQEVCKECKHTMTHATHFNDLPAGMMAVITMHKLMGLLMNNSNGVGTTRVIQAALQIGETIENEARIYKYMEKTKKNNKKNTNTDMPDVEFDRAAIERDNLAEDQTKKVKDQSKLRKKVSTLMKKQKMQQAMGIVSGQDDWKPWGQESQVKVGSRLIHLLIETAYIQPPVNQLDGPPDIRPAFKHTLKTVQNDSQKDVRRYGAIECDPLVHKGLEKTALDTLGNTKWRINKRVLSVIDQLWANGGRLADLVDREDIPLPEEPDTEDEGEIRKWKWKVKAAKKENNERHSQRCDVELKLAVARKMKDEEGFYYPHNLDFRGRAYPMHPYLNHLGSDLCRGILEFAEGRPLGNSGLRWLKVHLANLYAGGVDKLCYEGRVAFTENHLDDVFDSADRPLEGRRWWLEAEDPFQCLAACMNLSEALRSPSPETTISHMPVHQDGSCNGLQHYAALGRDKLGAAAVNLVRGDQPADVYSGIAARVLEIMKKDAKKDPQTNPNALHARNLLSQVDRKLVKQTVMTSVYGVTYIGARDQIKKRLNERCAIEDDSELFSASCYAAKTTLTALEEMFEAARSIMSWLGDCAKVMVKRQRTAFPPNFVHSLDGSHMMMTALACKRAGLNFAGVHDSYWTHACDVDEMNRILREKFVELYEAPILENVKYS >OIW16805 pep chromosome:LupAngTanjil_v1.0:LG02:15299221:15301987:1 gene:TanjilG_01370 transcript:OIW16805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSCVKPLMQGKESPVVLLHGFDSSCLEWRYAYPLLEESGFETWAVDILGWGFSDLEKLPPCDVVSKRNHFYQFWKSYIKRPMILVGPSLGSAVAIDFAVNYPEAVEKLVLIDASVYAEGTGNLATLPRAVAYAGVGRLHCLMPWWDDATVDFMTSGGYNVVSLIGKISMRYHDCSSDITLFH >OIW17527 pep chromosome:LupAngTanjil_v1.0:LG02:2738092:2738736:-1 gene:TanjilG_22639 transcript:OIW17527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSERAILTPTNENVHKLNDIIINHFLGEDHNLLSFDEVEGDTNNLYQQEYLNSITPGGLPPHVLKVKKGAPLMLLRNIDPKGGLCNGTRLLCRGTYMNLLDVEILTGQHAGHRAFLPRIKLKTSDNVGLPFVLIRKQFPVRLSFALTINKAQGQTIPNVGIYLPKHVFGHGQLYVALSRGVSKATTKILIKEGKIQGEEGDFTKNIVFKDILLH >OIW17097 pep chromosome:LupAngTanjil_v1.0:LG02:5395236:5400737:-1 gene:TanjilG_20201 transcript:OIW17097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRGRPLFDLNEPPAEDNDERDGVVCFQPQKTLPSTNPHGSDLFTASSAAQGIANNHAFQHASSVSGFQPFVRPKSASITEADSNSSKYEDVKVTESRIMSLANEQSTEREEGEWSDEEGSANVSGSSNLKRQSKDTEEQPLPAMVDGSVAVPSNGKSSNIKISDSTNEEKSSRAPIGLESNSSSSEQKSNSIPNSESNIKNEASIDAQEEPILVPKQKEVKGIEASHALRCANNLGKRKIDQRKEEMLGKKRNRQTMFLNLEDVKQAGTIKTSTPRRQISASPIVSRTVKEVRTIPAQLERVGIVKDQKQVDSSFGEGGTNAETHESKSDSNVENSGLPGKSRRLNSETEPPIEVNLPPIPRQGSWKQPTDSRQQKNAVLSNRKTGLIGHSSNDVKLGNKKHLPMKKQIPVGNQSQDTSVERLIREVTSEKFWHNPEETELQCVPGRFDSVEEYVKVFEPLLFEECRAQLYSTWEESTETVSRDTHIMVRVKANESRERGWYDVKVLPVHEFKWSFKEGDVAILSSPRPGSVKFKQNSASLAQGDGESEVTGRVAGTVRRHIPIDTRDPPGAILHYYVGDSYDPSRGDDDHIIRKLKIGSIWYLTVLGSLATTQREYVALHAFRRLNLQMQTAILQPSSEHFPKYEQHTPAMPECFTPNFVEYLRRTFNEPQLAAIQWAAMHTAAGTSSGTTKRQDPWPFTLVQGPPGTGKTHTVWGMLNVIHLVQYQHYYTSLLKHVAPESYKHANDISSDSVPLGSIDEVLQNMDQNLFRTLPKLVPKPRMLVCAPSNAATDELLSRVLDRGFIDGEMKVYRPNVARVGVDSQTRAAQAVSVERRTEQLLVKSREEVMGWMHQLKTREAQMTQQLHCLHRELNAAAAAGRSQGSVGVDPDLLVARDQNRDALLQNLAAVVEGRDKILVEMSRLALLESRFRPGSGFNLEEARASLEASFANEAEVVFTTVSSSGRKLFSRLSHGFDMVVIDEAAQASEMAVLPPLTLGAARCVLVGDPQQLPATVISKAAGTLMYSRSLFERFQQAGCPTMLLSVQYRMHPQIRDFPSRYFYQGRLTDSESVVKLPDEVYYNDPLLKPYIFYDIRRGRESHRGGSVSYQNIHEAQFCLRLYEHLQKTLKSLGLGKITVGIITPYKLQLKCLQREFEGVLNSEDGKDLYINTVDAFQGQERDVIIMSCVRASSHGVGFVADIRRMNVALTRARRALWVMGNANALVQSDDWAALINDAKSRNCYKEMDSLPKDFMVSKGSAHTPLPGKGSSNMRGLRSAAPRYRPMDMHMESRLAAPSEDDDKMSPQVSSRN >OIW16737 pep chromosome:LupAngTanjil_v1.0:LG02:17347355:17349325:-1 gene:TanjilG_14507 transcript:OIW16737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVKDNNPMIPELEREENLIAAARHIIKALGSNNNLTSDAKKILADLGSQLSSISISNSKDEEEEEDRNDIENRFGVVHEKIMRWDEDQSMIWDLGPEEASDYLNAANEARELVEKMESLHLSKEDKEYEFLLRAYSVLQSAMSRLEEEFRNLLIQNRQPFEPEYVSFRSIEEDVIDGNSMVSFGDESIEESLRRDSVSRASEELIIDLVHPDVIPDLRCIANLLFASNYVQECSHAYTSVRRDALDECLFVLEMERLSIEDVLRMEWVALNSKVKRWIWAVKIFVRVYLASERWLSDQIFGEGGPVSIACFVDASKASILQIMNFAEAITISPRQPEKLFRILDMYEVLADLMPDIDALYSDEVGSYVKVECHEVLNRLGNCVRATFLELGNAIASNASSTAFVGGGVHPLTRYVMNYLMILTDYNETLNLLLKDQEEEDVISLSPDMSPGTEEDSKSRGSPDRVSSMALHFRSVASILESNLEDKSMLYREAPLQHLFLMNNLHYMAEKVKGSDLRRIYGDEWIRKRNSKFQRHARKYERASWSSILNLLKDEGIHFPGTNSISKSLLKEKLRSFYIGFEDIYRIQTAWHIPDVQLREDLRISTSLNVIQAYRTFVGRHSNHISDKYIKYTADDLENYLFDFFEGISKMVAKCS >OIW17572 pep chromosome:LupAngTanjil_v1.0:LG02:109037:109318:1 gene:TanjilG_08850 transcript:OIW17572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METESCDTVLIRPLVKAKVQLGIESYSVQAKKGSVLSEQLVSLKEQSMAILKDFITRHNVPQDVPDELLEASSEEDDNILEKPQVKSKKTKLT >OIW17389 pep chromosome:LupAngTanjil_v1.0:LG02:1512366:1518999:1 gene:TanjilG_22501 transcript:OIW17389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFVLRKAWELFFTSTTPTPLSSSISNFNYSKDLSELSYSAFSSDIGHLEKLPFDILMQILKLLGPKEVAKLSIICKSLRYLVKDNRLWVHFLQIHQSHPSWDSLFFAETTLIYGFPLPSLIGQRPQLSFMQIYGQREQVPGAIIIDGGSGYCKFGWSKYECPSGRSATFLEFGNIETPMYTRLRHFFATIYNRMQVKPNTQPVIVSTPLCHYEDTEAAKASRRQLKDAIYSALFDMNVPAVCAVNQATLALYAAKRTSGIVVNIGFQVTSVVPILNGKVMRKFGVEVMRLGSLKLTGFIREKMELNNIRFESLYTVRTLKENLCYVALDYEAELLKDTQASFEATGEGWFTLSKERFQTGEILFQPHLAGVQATGLHQTIARCMNRCHSAELAGDNDWYKTIVLCGGTACLPGLTERLEKELRALLPPYMSNGIRVIPPPYGADTPWFGAKIIGNVSTFPGRGCVAKKKFPQKSTRFNLLW >OIW16850 pep chromosome:LupAngTanjil_v1.0:LG02:13122505:13123511:-1 gene:TanjilG_31251 transcript:OIW16850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQRLTYRKRHSYATKSNQHRVVKTPGGKLVYQTTKKRASGPKCPVTGKRIQGIPHLRPAEYKRSRLSRNRRTVNRAYGGVLSGGAVRERIIRAFLVEEQKIVKKVLKIQKAKEKQASKA >OIW16264 pep chromosome:LupAngTanjil_v1.0:LG02:23297792:23297953:1 gene:TanjilG_18979 transcript:OIW16264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKRSSQVQFMNADCPIHHTHRETLLELSPISQGLLTINNILMIRSMLKLPCA >OIW17211 pep chromosome:LupAngTanjil_v1.0:LG02:3341032:3344689:-1 gene:TanjilG_02500 transcript:OIW17211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASETKIVNLAEEAKLAREGVKAPSYALLTISKSLLAGGVAGGISRTAVAPLERMKILLQVQNSHNIKYNGTIQGLKYIWGTEGFRGLFKGNGTNCARIIPNSAVKFFSYEQASKAILQLYRQRTGNEDAQLTPVLRLGAGSCAGIIAMSATYPMDMVRGRITVQTEKSPFHYRGMFHALSTILKEEGPRALYKGWVPSVIGVIPYAGLNFAVYESLKDWLVTSNPFGLVQDSELSVVTRLACGAAAGTVGQTVAYPFDVIRRRMQMVGWNHASSVVAGDGRAKAPLEYTGMVDAFRKTVRYEGFGALFKGLVPNSVKVVPSIAIAFVTYEVVKDILGVEIRISD >OIW16417 pep chromosome:LupAngTanjil_v1.0:LG02:24402888:24408053:1 gene:TanjilG_19133 transcript:OIW16417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDKAIDKSPLIQTFSSSRGESLKRTGNVWSAVAHIITGVIGAGVLSLAWSVAQLGWVIGIFFILLFAITTFVSSNLLSDCYTFQHPQYGNIRISSYMDAVKFYLGEKREIVCGVLVHGGLFGSTTAYIITSGTCIRAILKSNCYHKEGHQAPCEYGYTGYMMLFGLVQVIMSFIPDLHNMAWVSVVAAIMSLTYSFIGLGLGLATVIENGRIMGSVTGVPAANIADKLWLVFQALGDIAFAYPYSIILLEIQDTLKSPPPENKTMKKASMIAIFVTTFFYLCCGCFGYAAFGNQTPGNLLTGFGFYEPYWLIDFANACIVLHLVGGYQIYSQPIYSAADRWCSRKYPNSGFVNNHYKLKLPLLPAFPLNPFRICFRTSYVISTTGLAILFPYFNQVLGVLGAISFWPLAIYFPVEMYFVQKKIEAWSTKWIVLRIFSFVCFLVSVMGLVGSIEGIISQKLS >OIW17155 pep chromosome:LupAngTanjil_v1.0:LG02:4494365:4496136:1 gene:TanjilG_21132 transcript:OIW17155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYFVGGYFGNGGNQFSPEKPKSGEPFAVDDLLDFSNADAIMSDGFFDNATGNSNESSTVAAPVDSGNSTISGTIVPCAFAAGDSQFSGSELCVPYDDMAELEWLSNFVEDSFSAEEELKTLQLLSGGATVSFTTTTAKPNTSDSSSSDNDTSRNTPFLHSETPLPGKARSKRSRAAPGDWSTRLVHLVKPPKIKKEVLLNSNENNNNNVESCGRKCLHCGADKTPQWRTGPMGPKTLCNACGVRFKSGRLVPEYRPVASPTFVSTKHSNSHRKVMELRRQKEVQRHHVHHQHQQLISQSSIFGVSNHGDDYLINHHPHHQNCAPEFRNVIQ >OIW16588 pep chromosome:LupAngTanjil_v1.0:LG02:19770435:19771355:-1 gene:TanjilG_02794 transcript:OIW16588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLGKRPRNPMKRTTSMSEIITFDLNTSIDVEPKNTVGVSVGGLDQNNRVQRRHSFNSVETPEFLRACSLCKRCLVPGRDIYMYRGDSAFCSTECRQQRMKHDERKEKFIVASNKKQQVVNPTPPSGSQVATKGETVAAL >OIW17498 pep chromosome:LupAngTanjil_v1.0:LG02:2461443:2468689:1 gene:TanjilG_22610 transcript:OIW17498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGSVADPGYEYEGSGLSGPTLIPKRFVWPYGGRRVILSGSFTRWSEHIPMSPMEGCPSVFQVVCTLTPGYHQFKFNVDGVWRHDEHEPYVSGSYGVVNTIYLVREPNISPAILSAETPSRSHMEVDNDVFGHVEANPRIPDSDLEVSCHRISTFLSTHTAYELLPESGKVIALDINLPVKQAFHILYEQGISMAPLWDFGRSQFVGMLSAMDFILILKELGNHGSNLTEEQLETHTIAAWKEGKFQQHRALESNEGSHPWRFVNAGPHECLKVVALKALQNKVSTVPIIHSASEDASFPQLLHLASLSGILKCICRHFKYSSGSLPILQLPIGSLPMGTWVPKVGEPNGQPLAMLRPNSSLGAALSMFVEAKVSSIPIVDDNDSLLDIYSRSDITALAKDKAYARISLDGISIHQALLLGQDANSPYGLYNGARCHMCLRSDSLHKVMERLAKPDVRRVVIVEAGSKRVEGIVSLSDVFRFLFRIG >OIW16582 pep chromosome:LupAngTanjil_v1.0:LG02:19662433:19665688:1 gene:TanjilG_02788 transcript:OIW16582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHSDTRRHSPPLPSHVDREIWQACAGAAVQIPNVNSRVYYFPQGHLEHASPPLNPHYSLSSLSVVHCRVSNVQFLADPFSDEVFAKILLEPVSNRFANDSHNEVGGDGGKVVSFAKILTPSDANNGGGFSVPRFCADSVLPPLNFEADPPLQILNVTDVHGKVWQFRHIYRGTPRRHLLTSGWSKFLTLKKLVAGDSVIFMKNSKGLVFVGIRRVFQFSGTEKNRADEEEEEGDSGGYWRRGRKKVTVKAVAEVAEAAAQGMPFEVVHYPSAGWSDFVVKAEVVEEAMRGAWGHGMRVKMTMETEDSSRMTWFQGTVTSASVPDNGPWRGSPWRMLQVAWDEPEVLKNAKRVSPWQVELVSPQPSLRTAFPPTKKFRFAQVAGVLSDREGDPYFPMTWFTNSTMEHLNQTLLSSETFPAGMQGARHDVVSASKNFNFTNSIDNHYLRLGSSSFVNNTMPMLETVSTELNIGSSQSDDLSPNSQSSLHSFCTEFAGAYNCNTAKVGSGSILLFGKIIQPVVSDIHDACCMGDNGNKGCGETEGIDNPPDHSLTYSKLLNRLDVQSELPLPVEASFL >OIW16331 pep chromosome:LupAngTanjil_v1.0:LG02:23822021:23822854:-1 gene:TanjilG_19047 transcript:OIW16331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMSKSSKMLQFINYRMRVTIQDGRQLVGKFMAFDRHMNLVLGDCEEFRKLPPAKGKKPAEEREDRRTLGLVLLRGEEVISMTVEGPPPPEESRAKAVNSAALGGPGLGRAAGRGIPAAPVVQAQPGLAGPVRGVGGPAPGMMQPQISRPPQLSAPPVSYPPGAPVMRPPGQMPGYPGGPPPQMRGPMPPMPPGQYAPRPGGPPQPYPGPPPQFGQRPMGPPPPGQMMRGPPGPPRPGMGGPPPPRPGMPPPGGVPVYGPPRPGMPPPPNHQNQQQQ >OIW16633 pep chromosome:LupAngTanjil_v1.0:LG02:19255013:19264324:-1 gene:TanjilG_01872 transcript:OIW16633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQHHSHHFHRTRYAPLSSQHHHHHHHNPNHLPPPPSLPPPPPPQPPHAHPSSYPPQFHPNHPVHISNSHNHHNHVPRRSFSDFDQSSWNPNPRDVPENRPPRNYSPVDFDRQLHHRPVERVLPPPPPLPQYQPIDNRRYDRDDGSSRLRMERMDVYESKPREREREEFVWSRGGEENYHHRDFGLKYDSPSQSVRDIDVDLKPEGYVRVYDVGEVEVTRGDRRNVIGESKKWINDRQGPRELRDSSKNEIGAAGNKNGFQIVSGKRDYYGNELGRYNSRGNIREGAHEFTHTSPHKQIQKKSALLRLQTLKPNHRNRENEQLRYTGYAAENNSSFVRGKEQHQAENNSNYFRGKEQHGYGHGVKAEERKRSPVELDISFESNSLVAKAIVAPSSSAVVCDTNKTPVSDTDMCSAEKRRKVLVSDSDRSGLPTAKLSAGSVRLGSSPSKANDTSSSAKDLSSQKNVSDTCSPPCAIVADNSHGKNEATLSNVTTNVCAEKSSTMVVKKKKIVRRVVKKVIKNPNSTVSPSPSADTHHGAVQRDSLTFKLPNSSAPDKIETSLKEKSTTVDKVSIADCLHSLPNEGNVLPEDMKEGLSPLSLGPHSRSQECKTNEDSDSGKSARFEGDGNISNSPSHVSSSIDDKQSDFDCLVANNSARDLLSIPNIDEVTKSLNGITSEINDMVYDNKQLCQNEVSLSLVNYPNIGFPQNSYLVDVGDEMNCRLVCSSDNIVNTDLINTCDSANDRVYCLNSNYLTGSEKTHTGSVENFTVSESGNDGIVGKAYCENKAPTITQNVNSEENPDTAVPSSGMIAILSSGNTGIEEGPNCIQHSSLLKQGSDNESANSEDSITVHCFNTGKLVPPSDATISPENCDTEETLSNLKFSVGFDEGKTNKINEREVKTHLNILCSKMEGVSTDPVNPDSYATDVDRASNFLKNPSPSQDLDKSVQSLDFNSKPSADEVTTLHGKLEVSEAECYIENNVNDDANKVSRVSKRKKLKKVTAGHPRFTDAIVVTTSCADVPTSFSNNQPHEKESALSSMGTLSIAQSIPSSEDISKLPNNILVGGSFDSIDADRGIVSSEHLELQHSDIASYSLCENVAILNVQFSMLQGRPKENSIPILPISSTQTDTLIIGHIKGEKTDLQAVEENYQYRGLVQISPSALMESNDLNMESNDLNTKDDLLPQQNLMSCPTNGDGVNTINSDELIEDVPDALSNMCSKGMASEDYSKVQSQGLNSYDSELNGCKNQAGGIIPKTSQGLSFTLSKTKSKAKTSASSTHISKPRTWHRALNTSASLPGIKPLVATVPPKGPILVRKGNFQKTSYIRKGNSLVRKPSPVSASPQISSAKQSTSFDLDELPKSTRSERQVDVIGPILKAGVTSAPQQRQRTPPAPINTMSEENMSPPLVGPPSSVCYDAPNSCENATKHYETPNNQTGPSNNEESQVEANDENVSSLNAKRVVYIKPKTNKLVATSTPQDVSVSTDDKAQTTFSDGYYKRSKNQLVRTTFESRINQTVAMPNSTVNSDRQVAHKVLCNRRFSKRRSNKVAGSSCKPLRASLVWTLRGGNSSKNDSDSRYYQKVLPHLFPWKRATYLRGFVNNYSSSSNRSSLSAISKKLLLLRKRDTVYTRSTHGFSLWKSKVLGVGGSSLKWSKSLEKHSKQANEEATLAVAAVERKKREQEDATFIGSQAKRERIFRIGSVRYRMDPSRRTLQRISDGEPLSSASVPSGLTAKRAYIPKRLVIGNDEYVRIGNGNQLIRDPKKRTRKLANEKVRWSLHTARQRLARKQKYCQFFTRFGKCNKDGGKCPYIHDPLKIAVCTKFLKGLCSTPNCKLTHKVIPDRMPDCSYFLQGLCTNRDCPYRHVNVNPKASICEGFLKGYCANGNECRKKHSYVCPTFETTGTCSDGTKCKLHHPLRQSKGKKRKRSEDQNSRGRYFGSSPIHVYEPGMVVAPKQHQQNDDDLEELPDYIGLDGYFDVDEEVTENIDQSFEQAACDSDSLDLQLDNLDDLIKPVLIMKSSQS >OIW17318 pep chromosome:LupAngTanjil_v1.0:LG02:910986:913177:1 gene:TanjilG_22430 transcript:OIW17318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVCSCFRVDDFEDYMNPNNPAYRNCSCLGCFTENLLNVYTLIFRRGEVHAIPSSIQEAASMTSTASLDNSMSDIYRSLPRPLPYDADPRVFRSQRDGLVSRCEKGSSHSHEESEPLRSDVDVDPESLNSAGKRNDNAREDGSKEYSSQSTLRLSSAKLTTGAGLVYSSSEEEDVCPTCLEEYTEENPKIVTKCSHHFHLGCIYEWMERSETCPVCGKVMAFDESE >OIW16882 pep chromosome:LupAngTanjil_v1.0:LG02:11367505:11369948:1 gene:TanjilG_01747 transcript:OIW16882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCIRKATVDDLLAMQACNLFCLPENYQMKYYLYHILSWPHLLYVAEDYNGRIVGYVLAKMEEETTECHGHITSLAVLRTHRKLGIATKLMTAAQNAMEQVFGAEYVSLHVRKSNRAAFNLYTETLGYKIHDVEAKYYADGEDAYDMRKQLKGKQIHQHHGGGHHHHHHHAGGCCSGEAKADKTETKGNAKVA >OIW17201 pep chromosome:LupAngTanjil_v1.0:LG02:3242593:3245655:-1 gene:TanjilG_02490 transcript:OIW17201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVELSYGERFMAAGGAAFISAIIVNPLDLAKTRLQAQAATIPTLHPNSIVQDVRCPCIPMQGGVTSSKRYKGTLDVLYKVIRQEGVMRLWRGTNASLALAVPTVGIYMPCYDIFRNMMEEFTTQNAPNLSPYVPLVAGSVARSLACVSCYPVELARTRMQAFRVTQNGKPPGVWKTLLEVINPAPGTSILQKLHMYRFWWTGLGAQLSRDVPFSAICWSTLEPLRQRLLGLVGDGASAATVLGANFSAGFVAGTLAAATTCPLDVAKTRRQIEKDPKRALKMTTRTTLLEIWRDGGLRGLFTGVGPRVGRAGPSVGIVVSFYEVVKYALYHRHGTSS >OIW16284 pep chromosome:LupAngTanjil_v1.0:LG02:23434361:23439361:-1 gene:TanjilG_19000 transcript:OIW16284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTALNHRLCISGATASDGYVRFRQTKTTAEICSICYSFSSRLPTVALRCPMRVEAVGPTGGNSPWERSDDVSNGPCSYAVGDRRVEDLAADGGNDEAVGVCEEGNKAVKVAVAAGVTVVMGVGNRVLYKLALVPMKQYPFFLAQLATFGYVIVYFSILYIRHHAGIVTDEMLSMPKAPFIAVGLLEALGAATGMAAAAILSGASIPILSQWIWCWEFIKGRWFQTTFLWLHVAFSSFVGSNLFGRDPETEGCDGAPLLPLLFIIVNMGFNISLLHLLKISSAVVSSLASTFSVPISIFMFTLPLPYLGVASSLPTGFLAGAIVLVVGLLIYTWTPSNGSSGASFSAST >OIW16413 pep chromosome:LupAngTanjil_v1.0:LG02:24378388:24384580:1 gene:TanjilG_19129 transcript:OIW16413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSNSAVDLMESTSKVHYSGLHNDGLKQRRTDAEQSVITSETGMHKQPFIIGVAGGAASGKTAVCDMIIQQLHDQRVVLVNQGSFYHNLTEEEVTRVQDYNFDHPEAFDTEQLLRVMDKLKRGQAVEIPKYDFKGYKNDVFPARRVNPADVIILEGILVFHDPRLRALMNMKIFVDTDADVRLSRRIKRDTSLKAWDIGAVLDQYSKFVKPAFDDFILPTKKYADIIIPRGGDNHVAIDLIVQHIHTKLSQHDLCKIYPNLYVIHSTFQIRGMHTLIRDSQITKHDFVFYSDRLIRLVVEHGLGHLPFTEKQVTTPTGSVYSGVDFCKRLCGVSIIRSGESMENALRACCKGIKIGKILIHREGGDNGQQLIYEKLPNDISDRHVLLLDPILGTGNSAVQAISLLLRKGVPEANIIFLNLISAPQGVHMVCKSFPRIKIVTSEIEIGLNEDFRVIPGMGEFGDRYFGTDGDDEQQQVVVPS >OIW16453 pep chromosome:LupAngTanjil_v1.0:LG02:24592258:24594696:1 gene:TanjilG_19169 transcript:OIW16453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSILSSSPSTFLPSFTSHSYLHPKPLFHSHHHHLNLTTQQHFTPLRVNSTLSMDKEIPQSQRPLTFLKQSESDSSSTIRARFEKMIRETQDSVCNAIESTDGGAKFKEDVWSRPGGGGGISRVLQNGAVWEKAGVNVSVVYGLMPPDAYRAANPSSSSSSPPSPVPFFAAGISSVLHPKNPFAPTMHFNYRYFETDAPKDAPGAPRQWWFGGGTDLTPAYIFEEDVKHFHQTQKSACDKFDPSFYPRFKKWCDDYFYIKHRDERRGLGGIFFDDLNDYDQELLLSFSTECANSVIPAYIPIIEKRKDLPFTDEHKAWQQLRRGRYVEFNLVYDRGTTFGLKTGGRIESILVSLPLTSRWEYDHKPEEGSEEWKLLDACINPKEWV >OIW16217 pep chromosome:LupAngTanjil_v1.0:LG02:22871306:22872656:-1 gene:TanjilG_18932 transcript:OIW16217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASYHFLLLVLVVAARIYETEAANKLSTNFYSCNCPKLLPIVKKGVKKAIGNEARIGASLLRLHFHDCFVNGCDASILLDDTRNFVGEKTAAANNNSARGFNVIKDIKANVEKECPGVVSCADILALAAQYSVVYLGGPSWEVGLGRRDSTTASRSDANNSIPGPFLSLTALIKNFANQGLSVKDLVALSGAHTIGLASCRTFAGHIYNDSSIDASYAKFLQSKCPRSGNDIHEPLDYQTPIHFDNLYYKNLLNKKALLHSDQELFNGSSTDNLVKKYATDTAAFFKDFAQGMVKLSSIKPLTGSKGQIRINCEKVN >OIW17510 pep chromosome:LupAngTanjil_v1.0:LG02:2543679:2543861:1 gene:TanjilG_22622 transcript:OIW17510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLGESVIVSVSYHLWVSSPRDPTTRRGTAKSEKRIDKRKTNVPHFLDEHYTRYTKKYYQ >OIW17353 pep chromosome:LupAngTanjil_v1.0:LG02:1198279:1203214:-1 gene:TanjilG_22465 transcript:OIW17353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASASPEEDQSVTEVTDNYETTCWGCGLHILLPSCTSIFKCGWCGAITDQNKKKRDDKCVRWRLLRDRCVLCVVVTFMLLVIFGGVWAIYPIVFSLSLLCGVFHSTIIAILSIATISFFSLAAFRCAGTPPNILWGSYPTVGKGDLENYTFCQYCSKPKSPRAHHCRSCGKCILDMDHHCPFIGNCVGAANHRNFIFFLISAVSSTIYISIMAAYASTRIWPPLKYSVGRPNAMSQQYLAWRVIKEIIFAFLGSALLLSSRGLVLLYLFISSLSLQIGLVVLLWQQLSYIYHGKTYLSNLSSSTDNEEEKKDCQNIVRFFGFQYPATRFLPTFHVVRKRHVK >OIW17326 pep chromosome:LupAngTanjil_v1.0:LG02:975339:979973:1 gene:TanjilG_22438 transcript:OIW17326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWIGQRFFGRNPEIKNINGEDRIRNEAIVMSHGSGECYACTQIGVPAFHSTSCDSYQQPNWEASAGSSLVPIQNKSKGSSVRVEPRFKSSSLIKILDPKSKSVMIWNRMLLLARVIALAIDPLFFYVFSIGRDGKPCLYMDGKLAQGAMLARSGVDALHLCHMWMQFNMAYVSRESLVIGSGKLVWNARSIATHYIRAFKGFWFDLFVILPIPQVVIWFIVPTLMKQERTNVIMAIMLSMFLFQIIPKLYHCIYLIKKMQKVTGYVFGTVWWGFGLNFIVYFLGSHMFGGMWYMLAIQRVGSCIKQQCHRTQGCNLSVGSIGNPCTGNIKLEGKQPVCLSADGPFKFGIYEWALPIISTDSIVIKFLYPIYWGMINLSTVGNVLEPSRNWLEVIFSIYVVVTGLLIFTFLVGNIQVFLHTVLEKNRKMQLRSNDMEWWMSRRQMPSELKQRVRHFERHRWAAMGGEDEIDLIRDLPEGLRRDIKRYLCLDLIKKVPLFHNLSDLALDNICDRVKPIVFTKDEKIIREGDPVPRMVFIVHGRIKRNQSLSKGAVASSILQPGGFFGDELLSSCLRRPFIDRLPASSATFICIESAEAFGLDAADLKYITGHFRYEFSNERAKTAARYYSSNWRTWAAINIQLAWNRYRQRTRAALLRNNGINTDQRLMQYAAMFMSIRPHDHLE >OIW17336 pep chromosome:LupAngTanjil_v1.0:LG02:1039587:1048910:-1 gene:TanjilG_22448 transcript:OIW17336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKQTWKSHDPTDFMIQHSDLEKSCEFEFRAGNIVESCNFMIEIAIWWSVGFYLVVSGGDLLMQDAPRFYRYRFRILSNDEKATTGAGAMFPIENGSGYLAKERILLPEDMSRFSAPVRSAMAEFTSDGGFRSTEEVVVVSSSCKEGRGSSSRRRGSMRPPSMDADDFMNLLHGSDPVKVELNRLENEVRDKDRELSEAQAEIKALRLSERLREKAVEENLEIKKINDEKKASMAAQFAAEATLRRVHAAQKDDDMPPIEAILAPLEAELKLARQEMAKLQDDNKALDRLTKSKEAALLEAERTVQVALAKASMVDDLQNKNQELSKQIEICQEERKTLDRELARAKVTANRVAVVVANEWKDANDKVMPVKQWLEERRFLQGDMQQLRDKLAIVERTAKSEAQLKEKYLLRLKVLEDSLRGNSNSNNHGTSEGRCISNGSSRRQSLGGADNFSKLTSNGFLPKRTPSSQPRSSLSSSTILKHAKGTSKSFDGGTRSLERSKILLNGTPPSYSVSQSIEETKDREANDDWKGSSDDKPSDSPTVGTGDSVPGVLYDLLQKEVMALRKAGHEKDQSLKDKDDAIEMLAKKVDTLTKAMEVEAKKMRREVAAMEKEVAAMRVEKEQDSRAKRFSNVKAPVNSAQHQLISGRIVTSMLRTSVNDIGHGSRITNEFQESLFPSFSILFAVHICSAQME >OIW16276 pep chromosome:LupAngTanjil_v1.0:LG02:23366353:23368388:1 gene:TanjilG_18991 transcript:OIW16276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTFSDLHTESGLKSLDEFLSGKTYISGDELTKDDIKVYASVLEKPSDSFTHAATWYDVVSSHLALRFPGTAQGVKFSVKSAPAEVAAPAKVDAPAADDDDDLDLFGDETEEEKKAAEEREAAKKPAKKKESGKSSVLLDVKPWDDETDMKKLEETVRSIELPGLLWGASKLVAVGYGIKKLQIMMTIVDDLVSVDTLIEERLTVEPCNEYIQSCDIVAFNKI >OIW16888 pep chromosome:LupAngTanjil_v1.0:LG02:11521096:11540945:1 gene:TanjilG_01753 transcript:OIW16888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKFKFQFLVLILTIIVPTALSKFEAIEPLLKRLDSKKVPPSVQEAAAKGVLKRLIPTHLSSFEFNIVSKDACGGVSCFLINNHNKSGKYGPEIIIRGTTAVEIASGLHWYLKYWCGAHVSWDKTGGIQTTSIPKPGSLPLLKDEVLIKRPVPWNYYQNVVTSSYSYVWWDWERWEKEVDWMALQGVNLPLAFTGQEAIWQKVFKDFNISSEDLNNFFGGPAFLAWARMGNLHGWGGPLSQNWLDQQLVLQKQIISRMLELGMTPVLPSFAGNVPAALAIIFPKAKITRLGDWNTVDGDPRWCCTYLLDPSDPLFVEIGEAFIKKQIKEYGDVTDIYNCDTFNENTPPTNDTTYISTLGAAVYKAMSKGDSNAVWLMQGWLFYSDSSFWKPPQMKALLHSVPFGKMIVLDLFADVKPIWKTSFQFYGTPYIWCMLHNFGGNIEMYGVLDAISSGPVDARVSANSTMVGVGMCMEGIEHNPVVYELMPEMAFRDDKVQVLEWLKSYSRRRYGKADHQVEAAWEILYHTIYNCTDGIADHNHDYIVVFPDWDPTTNFKSGIPDHQKKIEFLPPGSRRYLFQETPSEMPKVHLWYSPDDVIKALQLFLAGGKNFAGSLTYRYDLVDLTRQVLSKLANQVYINAVTSFQKKDIDALRSHSNNLLQLIKDIDVLLASDDNLLVGTWLESAKKLAVTASEIKQYEWNARTQVTMWYDTNGTTQSKLHDYANKFWSGIVENYYLPRAATYFSHLSESLKQNKKFNLVEWRKQWISHSNKWQEGNELYPVKAKGDALAISQALYEKYFAN >OIW16333 pep chromosome:LupAngTanjil_v1.0:LG02:23829794:23832148:1 gene:TanjilG_19049 transcript:OIW16333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICTLKHPGIAIRGSGLVFREKGITKSSVQSCSLFSRGKIPQRSMVSVQKPLHLSSVIGVGNLVKPLRSEKSDFVCGAYEADRSEVEGIEGPSEAAKKVKIGIYFATWWALNVVFNIYNKKVLNAYPYPWLTSTLSLACGSLMMLISWATRIAETPKTDLEFWKSLFPVAIAHTIGHVAATVSMSKVAVSFTHIIKSGEPAFSVLVSRFILGETFPVPVYLSLVPIIGGCALAAVTELNFNMIGFMGAMISNLAFVFRNIFSKKGMKGKSVSGMNYYACLSILSLVILTPFAIAVEGPKVWAAGYQTALSQIGPQFLWWVVAQSVFYHLYNQVSYMSLDEISPLTFSIGNTMKRISVIVSSIIIFHTPVQPVNALGAAIAVFGTFLYSQVFSR >OIW16444 pep chromosome:LupAngTanjil_v1.0:LG02:24553394:24556507:-1 gene:TanjilG_19160 transcript:OIW16444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGTKGKIDLAFEYQSQASILRPSIHSRRANLTVKFQDLYEFSVEGNVDDVNVLNEVREKVRQRGRVWWELEASKGANWYLHTTIGQGSALTSSLKLSALANAITLKKLIRKGVPPVLRPKIWFSLSGAAKKKSTVPESYYDDLTKAVEGKVTPATRQIDHDLPRTFPGHPWLDTPEGHAALRRVLVVYSFRDSDVGYCQGLNYVAALLLLVMKTEEDAFWMLAVLLENVLVNDCYTNNLSGCHVEQRVFKDLLVKKCPRIASHLEALEFDVSLVTTEWFLCLFSKSLPSETTLRVWDVIFYEGAKFIFNVALAIFKMKEDELLVTHHVGEVINVLQMTTHHLFDPDDLLTVAFDKIGSMTTNNISKQRKKQEPEVMKELDQRIRRLNFLKMEGK >OIW17575 pep chromosome:LupAngTanjil_v1.0:LG02:88563:89923:1 gene:TanjilG_08853 transcript:OIW17575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMMRPALFRSSLRSFATSSKSSHHNNHRENHKYLESNSFLGSWQAPKDPKEAEAKLALLRRQYAKQMKEVRKEYIREVELMALDKQRKDEARREALRVANEERHKLKAEAAKVRAQERQIAQQQFRETLLKERAKKLEHWRTQTKKHGEKKTEKKELLRKQSWIWIDEGDLEKKILDAAAAQH >OIW16823 pep chromosome:LupAngTanjil_v1.0:LG02:13703778:13704818:1 gene:TanjilG_06863 transcript:OIW16823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLPWYRVHTVVLNDPGRLLSVHIMHTALVAGWAGSMALYELAVFDPSDPVLDPMWRQGMFVIPFMTRLGITNSWGGWSITGGTITNPGIWSYEGVAGAHIVFSGLCFLAAIWHWVYWDLEIFSDERTGKPSLDLPKIFGIHLFLAGVACFGFGAFHVTGLYGPGIWVSDPYGLTGRVQPVNPAWGVEGFDPFVPGGIASHHIAAGTLGILAGLFHLSVRPPQRLYKGLRMGNIETVLSSSIAAVFFAAFVVAGTMWYGSATTPIELFGPTRYQWDQGYFQQEIYRRVGAGLAENKSLSEAWSKIPEKLAFYDYIGNNPAKGGLLKYKYIDRILTMNKKNLWNDER >OIW16235 pep chromosome:LupAngTanjil_v1.0:LG02:23019696:23020795:1 gene:TanjilG_18950 transcript:OIW16235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGATPNSQGEEDKKPNDTSAHINLKVKGQDGNEVFFRIKRNTQLKKLMNAYCDRQSVDLNSIAFLFDGRRLRAEQTPDELEMEDGDEIDAMLHQTGGALIA >OIW16512 pep chromosome:LupAngTanjil_v1.0:LG02:21010282:21011232:-1 gene:TanjilG_32182 transcript:OIW16512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHALPPSTIITISHEDFCLFHKMDRKLYWILVINLYRNPTESMQVLAMWLWLERLGYRHLVKKITSLPYTLINEVADETLSCLKYINATNFSYFSYSIPFTSEPCDISLLQSIVDKEISSQSLYDNKDYVLQGVAGVMNNVCVRAFSDIMQHAITGNTIEKKAESQRNVSDQSAKPQQQQKPLWFGSIAPSNLHSFSNMVQGGASHDHQIHVHNLAQENINIELVPADERTLFLTFSKGYPVEESEVREFFTKVFDDSVEALYMQEVQPNEQPLFARMIFRNKSTINMIIGGSSKAKLSINGKHVWARRFVPKRT >OIW17363 pep chromosome:LupAngTanjil_v1.0:LG02:1291115:1295967:1 gene:TanjilG_22475 transcript:OIW17363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFTSSLSSKNLPLSLFHSSNSTNSTNSSFLTFPSPKPLLLSSSFSLRASKNTSNIKKSDSKENQIEDVEEEIEEELPWIQEKALDVVEFTGSVTQAIPGPRVGPTSLPWILAIPLSYAAVTFVIAFVKTLNKFTSPKAKRRKLVGKNATLCKSLDDLFKKGRDEVKLDALKELENKTGFDLEGILRKYIRYALNEKPFNPDLVADLIQLRSATMLNDSQVADILNEISRRVVRDKGPIVLDKSGYTEKGFKRKLAVQALFGKIFYLSELPEFCSRGSSLIVKEIFGVTDEDADKLRIHTISEAGSIDSLERMVDASDSEDSGEASTDAS >OIW17503 pep chromosome:LupAngTanjil_v1.0:LG02:2498455:2500901:1 gene:TanjilG_22615 transcript:OIW17503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHYKVVVQMQSLIYLTSTKILFKPKPLSLFHFSSLSHNNLHYESQQQQQQHSNDNNITNILHSLIDSHRYNEAHHRFSLFISSGLLLNHRTSNVVVSRLLNSNTPHKTWSLLLSLFHAKPDYVPSLIIYNRLMNQFCTFHQPHYAHLLFFDLESRGHAANVVTYTTLINGYCVVGEIGDACKVLDEMRERGVEPNSMTISVLIRGVLRKRDLEWGRELMGELWERMRVEVGSEVKVAAFSNLVDSLCGEGFFGEVFMIVENLPYGSSLCEEDAYGQMIHSLCKVGRYHGASRIVYIMKKRGLFPSMVSYNYIIHGLSKDGDCMRAYQLLEEGVEFGFVLCEHTYKVLVEALCQALDVDKAREVLKVMLCKEGVDKTRIYNIYLRALCVVKNPTELLNALVFMLESQCQADVITLNTVINGFCKMGRVDEPLKVLNDMLIGKFSAPDVVTFTTMICGLLDAAKVDEALDLFHRVMPENGLKPGVVTYNALLRGLFKLKRPNDALKVFNDMVCGGITADSTTYTVIIEGLCESDQIEEAKSFWLNVIWPSGIHDSFVYAAILKGLCRSGKFNEAFHFLYELVDSGVSPNIFSYNILINCACDIGLKREAYQIVREMKKNGVNPDCVTWRILNKLHGKVRKHPGSEDPTLSTIYEG >OIW16505 pep chromosome:LupAngTanjil_v1.0:LG02:21068592:21071067:-1 gene:TanjilG_32175 transcript:OIW16505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVQEEKDYQNGFDPSALPPFKIAEIRAAIPKHCWVKNPWRSLSYVLRDVLVIAALMVAAIHFNSWYFWPIYWVAQGTMFWAVFVLGHDCGHGSFSNSPMLNSIVGHILHSSILVPYHGWRISHRTHHQNHGHVENDESWVPLTESLYKSLDNVTRMMRFTVPFPMLAYPFYLWRRSPGKVGSHFNPYSNLFAPNERKEVVISTICWSMMFSLLLYLSTIISPILLLKVYGIPYWINVMWLDLVTYLHHHGYKQKLPWYRGKEWSYLRGGLTTVDRDYGWINNIHHDIGTHVVHHLFPQIPHYHLIEATQAAKPVLGEYYREPEKSGPVPFHLMKYLMQSISTDHFVSDAGDIVFYQSDPGLNNNSGTKSE >OIW16427 pep chromosome:LupAngTanjil_v1.0:LG02:24466512:24466874:1 gene:TanjilG_19143 transcript:OIW16427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRQNNDPRSVNSSIALLQQRFRELEKVKERREGKQLVRLLSHDSSISSQNSLQHSKLMDPPHRPSLNDSLSLGLNLTSKKSDHNTMKLSPPPSLNSWPKKVASTSTNFDSSEVDTSLHL >OIW16199 pep chromosome:LupAngTanjil_v1.0:LG02:22736101:22759312:-1 gene:TanjilG_18914 transcript:OIW16199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIGVRRLTVLGEFKPFGLIAEALDGKTLDSVTDNFDYFLFDPQTARDRVAEDDCDEVGSALSNRGDHELFIRGNRIIWSTGARVFRRFTLSSSIVKVCWCRLGHSAEALLCILQTDCLTIYNTSGEVVSLPLHSAITSIWPLPFGLLLQQEVEANISSHVPFSSTSPLLSTRDMLLSASNHIQKGEGTSKSSHLILMDPLDEQQPTFIEERGKLNIMKEYDEKTIWSSDQVPLMASYNKGKMQHSLWVAEIINSNIDESSTGLLNVDPMSVLPKHLSFRRIWQGKGAQAAACKVFMATDDDAAPVVCFLHQEQRKLLSVRLQCVEINNETVFDVKPDMSWTIAAVAASPVTVTCPRLKAGPLPYSDIFVLAPENVLLLYSGKQCLCRYVLPSFLNKDKLLHDLEFPETSSLSNDLKITGLADAVDGRVNVIVNYRQVFRCALQQSPSSLLASDCNTALAEGLSSSSYRHFLGRLWKDGDPAHLSEAESSVDSEWESFCGVIMQICRKSNIYQKQSGSGSHSAWDFLLSSKFHDNFCKVNSMFGRSCAVLIDQQESNFPRSSVVDRQSSEKPFHTELLLECLESLHALYESLKLDNLRKRDLELLATLLCNIAQFLGKDNYLDHYIRDFPGLYKKFLLHGTSSSHKVSPSLFRWLENCLQHGYGYANISDLPPLVRKDGSSVVSLARKIVSFYSILTGAKLLGKKLSSGVYCNIAIGSHSLNEELTVLAMVGERFGLQQLDSLPSGVSLPLRHALDKCRDSPPNDWPAAAYILLGRQDLAMSTLARECKYREIQTPSNVNVISMSTPYMLNLHPVTISSTISDAIGLEGTKFEDTDSVDGSTTDGMEHIFNSSTQLRYGRDLRLNEVRRLLCSSRPVAIQTSVNHSASDQDLQQAQLWHLAQRTASLPHGRGAFTLATIYTLLTEAFTVPKLVLAGRLPAQQNATVNLDPNIRNIQELKSWPEFHNAVAAGLRLASLQGRMSRTWIIYNKPEEANSVHAGLLFALGLHGYLRVLVITDIYQYLNQGHETTTVGLMLGLAASYRGTMQPAISKCLYIHIPGRHPSSFPEIEVPTLVQSAALMSVGILYEGSAHPQTMHVLLGEIGRRSGGDNVLEREGHAVSAGFALGLVALGRGEDTLGFIETLVNRLFLYIGGKVHSERSHFSTISMDENRGSAQMMDGTSVNIDVTAPGATIALALMFLKTESEAIVSRLSIPNTCFDLQYVRPDFIMLRVIARNLIMWSRVHPSKDWVFSQIPEVVRCGVEGLGVDGNDIDDMDAEAFVQAYVNIVAGACISLGLVFAGTRNGNAQELLYEFAVYFLNEIKPVSPTSGKVFPKGLSRYVDRPTLETCLHLIVLSLSVVMAGSGHLQTLRLLRFLRSRNCADGQSSYGIQMAVSLAIGFLFLGGGMRTFSTNNSSIAALLVTLYPRLPTGPNDNRCHLQAFRHLYVLATEARWIQTVDVDTGLPVYAPLEVTVRETEHYAESSFCEVTPCLLPERAILKRIRVCGPRYWPQVIDFAPEDKPWWNFEDKNNPFNSGVLYIKRKVGACSYVDDPIGCQSLLSRAMHKVFGLTSSKASDTTTNIHSGPGSVTVDQLVGTFSSDPSLIAFAQLCCDPSWHNRSDVDFKDFCLQVLFECVSKDRPALLQASVYLSLYTTVEAMVNQVGTGAIVFGDSLSISGFKLALIYIEALMTGKLCASKGGIVQSTFVGSLRKQVEELLNCSQPLKDDFHQYLKMGKWPDGESSDKRSILLSWFLQWFDVPASSIVKTTIDRVKPMLTSSSSIPLLRLSFPRTHINAISQIDRCLS >OIW17080 pep chromosome:LupAngTanjil_v1.0:LG02:5802001:5803855:1 gene:TanjilG_20184 transcript:OIW17080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLVLALGDLHIPHRAADLPAKFKSMLVPGKIQHIICTGNLCIKEVHDYLKTLCPDLHITRGEYDEETKYPETKTLTIGQFKLGLCHGHQVIPWGDLDSLAMLQRQLDVDILVTGHTHQFTAYKHEGGVVINPGSATGAYSSMTYDVNPSFVLMDIDGLRVVVYVYELIDGEVKVDKIDFKKTSTTQSAP >OIW17298 pep chromosome:LupAngTanjil_v1.0:LG02:785780:786029:-1 gene:TanjilG_22410 transcript:OIW17298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRLSSNEVQKDQLEARNMNFQLDLQQQKDTASNIVNVLDKLVDAVGGIADKL >OIW16304 pep chromosome:LupAngTanjil_v1.0:LG02:23640178:23644384:-1 gene:TanjilG_19020 transcript:OIW16304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERALGILGPDNRENGIQVDIGTPQKKDYFLCAETPGAARAWVSTLHATQLVLKAHKEAVNSLSGNGSTELGTVATVVAAANSTALESSKEIEEAMKISLRNALGMMTNKTTDGPTPMDNLTIMKETLRVKDEELQNLARDLRTRDSTIKDIADKLSETAEAAEAAASAAYTMDEQWRILYAEIERLKKEFEKKQEVFVQKLKESEEKITGLSKEREQLTKQRDDAIQEANMWRSELAKAREHEVILEATVVRAEEKVRNADANAEASIKEAVERESAAIKEKQELLEYVNVLQAQLQRQHFDTSQVFEKTESSSDTKHVDPTEENVDKACLSVSRAIPAESVVHMATDEVNVRPVGDSEWNDIQATEARVADVREVASETDGNSLDIPVISQPGTNHHHEQEQGPNSFHQP >OIW17036 pep chromosome:LupAngTanjil_v1.0:LG02:7252681:7255509:-1 gene:TanjilG_13855 transcript:OIW17036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHFGRSGPPDISDTYSLLVLNITFRTTADDLFPLFDKYGKVVDIFIPRDRRTGESRGFAFVRYKYGDEASKAVDRLDGRMVDGREITVQFAKYGPHAERMHRDDYRDRDYRRRSRSRSYDRYERDRYRGRDRDYRRRSRSRSASPDYKSRRKGRYDDERHSRSPSRRSPARHSPSPRRSPSPKKSISPQRIASPRKSPRVESPDNHSRDGRRSASPHSVSPHGRPDSSRSPSPRNSNGDVSVAHLELCSEIELPNLSPTNIVFYLF >OIW17564 pep chromosome:LupAngTanjil_v1.0:LG02:136654:146491:1 gene:TanjilG_08842 transcript:OIW17564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRLSFRPRPLDIHKKLPIVKSIKEFEDDDTPTSTRNSLFLRIAPDVDNEVHPVPSRRLASEIPTPQFVVVDTYERDYSCTFSQPNTYLRARGAWAEIGDFVEYDLDNEDEDWLLEFNEERKIMTPEMFESLIFKFEVLDLKARERAGLITPTLGSPIPVLLRLDAAIEVLQAQPVKYAIIQSVYDYWKEKRERWQKPILRRLQPPPPANDANPFNVFRPREKAHRLHTRRMQRRENNLPSFEKLRQVRRNLDQAKSMLQALIKREEKKREVVDSEVTLQRMQMKYKHETEFLEDSLALPGFTEEEYFDSDDMITGHPHTWSSAVPSLPSYDTNLLTVPTVLPKQEFKRQYVPQGWPRKLDPLDPVMLFTKPLLPEKLAMAGILPPSDSSTANGVSAQSYEFRGRIGRGGRIIFDRRNPLLQTAIDCGNSYYIPPKPRPSFNM >OIW17522 pep chromosome:LupAngTanjil_v1.0:LG02:2711278:2712374:1 gene:TanjilG_22634 transcript:OIW17522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTIYSLRRFYHVETLFNGTLALTGRDQETTGFAWWAGNARLINLSDKSLINDRYTKKDVRSNEATTKYLLMDGASSFILVHGFSWLYGSSGGEIELQEIVNGLIKKRMNRNIAAMPKLGAKTNLIDPMDKSGILKQKQQLDQRMQLHYKAAIEQIEQVQIDVT >OIW17435 pep chromosome:LupAngTanjil_v1.0:LG02:1857318:1859590:1 gene:TanjilG_22547 transcript:OIW17435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIRTWVVPPASEDVTPRILFDRSSEDVYSDPGSPMLRRTKAGTYVIANIQKESDEGRYIILNGAGATPEGNIPFLDLFDINTGKKERIWESEKEKYYESVVALMSDQEEGDLNLDQLKILISKESKTENTQFYLVSWPEKSLVQITNFPHPYPQLASLQKEMIRYQRKDGVQLTATLYLPPGYNPSTDGPLPCLVWSYPGEFKSKDAAGQVRGSPNEFPGIGPTSALLWLARKFAILSGPTIPIIGEGDEEANDSYVEQLVASAEAAVKEVIRRGVAHPKKIAVGGHSYGAFMTANLLAHAPHLFCCGIARSGAYNRTLTPFGFQNEVRTLWEATNTYVEMSPFMSANKIKKPILLVHGEEDNNSGTLTMQSDRFFNALKGHGTLCRLVILPHESHGYSARESIMHVLWETDRWLHKYCVSNTSDVGEDHDTGSVKENDNKGTTDAESKVVATGGGGSKEGSDLEYGGFHSLPRSSLW >OIW16339 pep chromosome:LupAngTanjil_v1.0:LG02:23863848:23865778:-1 gene:TanjilG_19055 transcript:OIW16339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFFSCCASQEKIDKKSLKKNIQDYHDAKTQPSFANISFKTDSGKRKYIEDEIAKMGKGNITSQIFSYRELSFATKNFHPDKMIGEGGFGRVYKGRMKNTNQVVAVKQLNRNGFQGNREFLVEVLILSLLHHPNLVNLVGYCADGEQRILVYEYMVNGSLEDHLLELAQERKPLEWHTRMKIAQGAAKGLEYLHDVANPPVIYRDFKASNILLDENFNPKLSDFGLAKLGPTGDKTHVSTRVMGTYGYCSPEYASTGQLTTKSDVYSFGVVFLEMITGRRVIDHSRPYEEQNLVTWAQPLFKDRRKFTSMADPLLEGKYPIKGLYQALAVAAMCLQEEADTRPLISDVVTALDVLATKHAEVAKEIYSEV >OIW16293 pep chromosome:LupAngTanjil_v1.0:LG02:23516322:23523650:1 gene:TanjilG_19009 transcript:OIW16293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDLSPVKGFEVSERVNLGLSECFELILERIDEWKGSGSLALRCYKAPPLGGSRESYKKDERHDCSMLCQAITALKKGAYLLKYGRRGKPKFCPFRLSNDESILVWFSEREEKHLKLSHVSRIISGQRTPIFQRYPRPEKEYQSFSLIYNDRSLDLICKDKDEAEVWFSGLKALVSRSHHQKWRSESRSDGVSSEANSPRTYTRRCSPLNSPFGSNDSLQKDSGDHLHSPYESPPKNGSGKAFSDVVLYAIPPSGFFPPDSASGSVHSVSPGGSDIMHGHMKTMGMNAFRVSLSSAFSSSSQGSGHDDGDALGDVFIWGEGTGDGILGGGTHRVGSCLRVKMDSLIPKALESAVVLDVQNIACGGQHAALVSKQGEILSWGEESGGRLGHGVESDILHPKLIESLSNTNIELVACGEYHTCAVTLSGDLYTWGDGTYNYGLLGHGNQVSHWVPKRVNGPLEGIHVSSISCGPWHTAVVTSAGQLFTFGDGTFGVLGHGDRKNVSLPREVESLKGLRIVRAACGVWHTAAVVEVMVGNSSSSNCSSGKLFTWGDGDKGRLGHGDKEAKLVPTRVASLDELSFCQVACGHSLTVVLTTSGHVYTMGSPVYGQLGNPQADGKLQARVAGKLSMSFVEEIACGAYHVAVLTSRTEVYTWGKGANGRLGHGDTTDRNTPTLVEALKDKQVKSIACGTNFTAAICLHKWVSGVDQAMCSGCRLPFTFKRKRHNCYNCGLAYCHSCSSKKCLRASMAPNPNKPYRVCDNCLNKLRKSIEADPSRSSASRRGSISLGSLQLIDKKDDKLDTRSRNQLARFSSMESFIQMESKSSKKNKKMEFNSSRVSPVPNGASQWGALNISKSLNPVFGPSKKFFSASVPGSRIGSRATSPISKRPSLPRSTTPIPTLGGLISPKIVVDDVKKTRYSLSQEVIKLRSQVESLTQKAQHQEIELERTTKQLKDAIAVAREETAKCKAAKEVIKSLTAQFKDVVERIPVGAARNVKSPSSIASFGSIPGSDEVSYASIDQLSIQATSPEADFTALNNQLLSNGSSTISNRSAGHNKQSQSHATNRSGSRTKDRNSRYETEWVEQDEPGVYITLTSLPGGVIELKRVRFSRKRFSEKQAEQWWAENRARVYEQYKVCIIDKSTVGTGSEDLAH >OIW16433 pep chromosome:LupAngTanjil_v1.0:LG02:24508538:24510082:1 gene:TanjilG_19149 transcript:OIW16433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQSPGHSPLHLSSPSPSPSLTQISQFHNPNPKSSSSSSSSRNHPTVLDEDSYVEALEKIIERDYFPDISKLRDRLDWLEAIKTGDPVLIRDAQLKIIERRQGIAKVTNSNTLDGRNTRTPGSTFMRNFTPLDEFDEKTLKTPSLSVPERDNDEGVVGGGLVDTELGLDQFLRRYTSEDNHSFSKILEKVNRKRKERFGYLMNEGEKYNDVEAIEGDAKRERITDGYGTSYQPPSTLEGWNYTVKNLLMYHPADRGEVPLTEEERAVRIKGMTKEISRVNTRFHGKVMDSRPKDDGGVDVLYTPIAGATPVPMSLRDVEKLKKYDLEDLRKTPNPFYLESEKKAENGYSYVKTPSPAPGADESPFITWGEIEGTPMRLDLEDTAIDIGGSADGPHYKIPSAPARDAKAHSLSREAARKLRERSKMFHKPPLASPGRGGSASPNIRTLSPAAQKFMRNAIAKNSSSVDETLRASYRGSSPALATPRSGRSVSRFGKEGSMASGSPSVRDGSNPPW >OIW16837 pep chromosome:LupAngTanjil_v1.0:LG02:14407593:14411736:1 gene:TanjilG_06877 transcript:OIW16837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESWSCVEEEKGYLFSDEMDFSLDAFMRSRKALVEWDNKGPCNFEGDGFASDREIVWEFVAKEGQQSMEFVDLGFPDLFRKSFNSGQAHEISTCDLDSNSSKRGNSSTHVIALVSSLGEEVSESKHLRSLVESKTHGSSLIDLKLGSLADYRGASNDEIAEEGFTLSSMHPTMLTKRARTSSSPIQAPVCQVYGCNVDLSSSKEYHKRHKVCDVHSKTAKVIVNGIEQRFCQQCSRFHLLAEFDDGKRSCRRRLEGHNERRRKQQFDYMSSKQHKIHQSFQGTDYLGSSLQKRSQFPFQDIFRSGIILSGKYDQISHSGHVKLEHESIYSSQEALALKHGQELSGCALSLLSAQSQNPPRHSTGNLSPSSLIFQSTCLQNRDDQVSETPSGINSVDKYVPTESFRCGMNSKEDITSGSSILSNDYCQPSASCNVKYRPSYEHGTTVDLFQLSSNLQRVEQQRNGLIKWENDDFCFPTV >OIW17387 pep chromosome:LupAngTanjil_v1.0:LG02:1490551:1494134:1 gene:TanjilG_22499 transcript:OIW17387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGIDDNVAVAADWGLPNPNPRIHFSRMLGGDNVTRSISDPAGNGRTEFVFPGSHEQAETGKGDINDSSQDGDSGDQLTDSSFIADQKSNSRGALMERMAARTGFNAPRLNTESFRSTDLTLSTDIQSPYLTIPPGLSPTTLLDSPVFLANALAQPSPTTGKFPFISNGNIRYSELSSDAPEKYKQNLDDFYASSFAFKLATDSSSSFYYGAERKVNPTAVPQQSVPGFEASVQSENSFQSQSVDDIKIQTENGSGSHHQPDFIESPPQDDNGFKSLSGDRRASDTVGGIVERSTPPEEQADEEGDQRGNGDSVVADVGGTPSEDGYNWRKYGQKQVKGSEYPRSYYKCTHTNCLVKKKVERSHEGHITEIIYKGAHNHPKPPSNRRSSIGLVIPNTDMQVDNSEHVGPHNGGNGDLGWVNVQKGVIAGAANWKHDNPEVTSSASVGPEYGNQYTNFQNQNGTHIDSGDAVDASSTFSNEDEDDRGTHGSVSLGCDGEGDESESKRRKLESYATEMSGATRAIREPRVVVQTTSEVDILDDGYRWRKYGQKVVKGNPNPRSYYKCTSTGCTVRKHVERASHDLKSVITTYEGKHNHDVPAARASSHVNATSSSAVPGQGHVHRPEPSQVHNGIGRLERPSLGSFNLHGRQQLGSSHGFSFGMNHQPMLSNLSMPGFGTGQGKLPAMPVHPFLAQQRPTSFMLPKGESNVEPIPERGSSLYQEIMNCMPLGPHM >OIW16771 pep chromosome:LupAngTanjil_v1.0:LG02:15708841:15709077:1 gene:TanjilG_05505 transcript:OIW16771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPIGSDSVRESASGGAPLSVTSFASYAHGQWAPVGLVSVKTGHGSSGASVRTGHGTPDDLDSVRTGHGTPDDPVSVR >OIW17370 pep chromosome:LupAngTanjil_v1.0:LG02:1351252:1353956:-1 gene:TanjilG_22482 transcript:OIW17370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSGKVVCVTGASGYIASWIVKLLLHRGYTVKATVRDTNDRRKIDHLLSLDGAKERLQLFKANLLEEGSFDNVVQGCHGVFHTASPFYHDVTDPQAELIDPALKGTLNVLNSVAKSPSVKRVVVTSSIAAVAYNGKPRTPDVVVDETWFSDPDFCKESKLWYVLSKTLAEDAAWKFAKENKIDIVTINPAMVIGPLLQPSLNTSAAAVLNLINGAETFPNSTFGWVNVKDVANAHIQAYEIPSASGRYCLVERVLHFSEVVKTLSDLYPTLKLPEKCANDNPYMPTYQVSKEKTKTLGIEFTPLEVSLKETVESLKEKKFSKI >OIW16447 pep chromosome:LupAngTanjil_v1.0:LG02:24564241:24569679:-1 gene:TanjilG_19163 transcript:OIW16447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRTESPASINGRDVKMVPTNDIVKKKAMSANTVQNQAVTNGASMVRRDPTLALTNTLKSGTAKDELPPLEDLKVLPSDEGFSWANENYNSWQRSIDVWSFVLSLRIRVLLDNEKWAYLGGFTEEKQKSRRRKTASWLRECVLQLGPTFIKLGQLSSTRSDLFPQEFVDELAKLQDKVPAFSPTKARGFIESELGAPVDILFKEFEDQPIAAASLGQVHRAILHNGEKVVVKVQRPGLKKLFDIDLRNLKLIAEYFQKSESLGGPTRDWIGIYEECATILYQEIDYINEGKNADRFRRDFRNIKWVRVPLVYWDYTATKVLTLEYAPGIKINQLDSLTSRGYDQFKISSRAIEAYLIQILRTGFFHADPHPGNLAVDVDETLIYYDFGMMGEIKSFTRERLMELFYAVYEKDAKKVIQSLIDLGALQPTGDLSSVRRSVKFFLDNLLNQTPDQQQTFSAIGEDLFAIAQDQPFRFPSTFTFVLRAFSTLEGIGYTLNPDFSFAKIAAPYAQELLDVRQKRRPGQQLVDEIRRQADDARTYTMSMPYRVQRIEEFTKQLEEGDLKLRVRVLESERAARKATILQMATIYTVLGGTLLNLGVTFSSQGNVAIANGSFIGAGAFIVLILRSMQRVKKLDKFEKMM >OIW16867 pep chromosome:LupAngTanjil_v1.0:LG02:12501412:12503081:1 gene:TanjilG_16447 transcript:OIW16867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGKEHPLALESRLENLNIMQEDRYEKFEGISSYMSSRDESSVRKSPMNCHASMPSSPNHNLFATCNFDFIILSKLQIHSSKKFVDGEVSYNESQELEDEEAFSYATQLGFSIVLSMSLQSAIELGVFDILQKAGPGAQLSTKQIASHSPSYPGVENVVGDMFQSVLKGNAIFMKRLEDL >OIW16741 pep chromosome:LupAngTanjil_v1.0:LG02:17464950:17469627:-1 gene:TanjilG_14511 transcript:OIW16741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGRFGVDPTSKKIKRTTSIPEFTNPMRIRDHAVQKMNNNCSTNLIRTCSLPTETEEEWKKRKELQTLRRMEARRKRYEKQRNLKAMKERNRGGAGGGSEEMIVSEEGGGGGVDEFNSLRRTTSLTAKVGRLGLNGLPPPSPSPPPVSIGSSQGTTGSSVISESESQQGLGTKAADARSSIGGDSSPDSPSVTLRNQFNNQSSPENRTKDTVRNLLEDMPCVSTKGDGPDGKKIDGFLYRYGKGEEVRIVCVCHGSFLTPAEFIKHAGGGEVANPLKHIVVSPSML >OIW17140 pep chromosome:LupAngTanjil_v1.0:LG02:4797899:4799201:-1 gene:TanjilG_21117 transcript:OIW17140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGTGSFGKRRNKTHTLCVRCGRRSFHLQKSRCSACAYPAARVRKYNWSVKAIRRKTTGTGRMRYLRHVPRRFKSGFREGTEAAPRKKSAAASA >OIW16829 pep chromosome:LupAngTanjil_v1.0:LG02:14036387:14040128:-1 gene:TanjilG_06869 transcript:OIW16829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKAINRQKVLLHHLNPSSSIHANESSSSLSVSACLAGDSAAYHRTSAFGDDVVIVAAFRTALCKSKRGSFKDTYADDLLAPVLKNLSSFSRPNMYHEHQAVIEKTNLNPSEVGDIVVGTVLAPGSQRASECRMAAFYAGFPETVPIRTVNRQCSSGLQAVADVAAAIKAGFYDIGIGAGLESMTANPMAWEGSVNPKAKLFEQAQNCLLPMGITSENVAHRFGVSRTTQDQAAVESHRRAAAATAAGRFKDEIVPVSTKIVDPKTGEEKSVTISVDDGIRPNASLADLGKLKPVFKRDGTTTAGNSSQVSDGAGAVLLMKRSIALKKGLPILGVFRTFAAVGVDPAVMGIGPAAAIPPAVKAAGLELNDIDLFEINEAFASQFVYCRNKLGLDPEKINVNGGAMAIGHPLGATGARCVATLLHEMKRRGKDCRFGVISMCIGTGMGAAAVFERGDSVDELRNARKVEANLFLSKDAK >OIW17412 pep chromosome:LupAngTanjil_v1.0:LG02:1707226:1707525:-1 gene:TanjilG_22524 transcript:OIW17412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKVMRLASEKGVVIFTKSSCCLCYAVNILFHELGVRPMVHEIDQDPEGREMEKALLRLGCTAPVPAVFIGGKLRGSTNEIMSLHLSGSLTQLLKPYQP >OIW17327 pep chromosome:LupAngTanjil_v1.0:LG02:982042:982443:-1 gene:TanjilG_22439 transcript:OIW17327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRKLRSDCLHQVRFSDTPMSVRFGVPTSVKLHAPRSGLVRQPRLVFMCQARSFFLRLSHVYSVKLHAPWSRILGQVPCASITFPRSGSMRLGHESSVKPHASRSRFLGQALCSLVTLPQSDLLCLDHFGHPP >OIW16578 pep chromosome:LupAngTanjil_v1.0:LG02:19610136:19615836:1 gene:TanjilG_02784 transcript:OIW16578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERSEPALVPEWLRSVAGAGNTAQHFASPSTNTDTSSVAHHTRNRYSKTDSDFDSSRSLFFERTSSSNSRRSTINGSAKHPYSSFNRSNRDREKDRSSFGNRLDRDSSDPLATIFSVGKERDTLPRSHSMVSRKHNEILTRRVAADTKSGGSGNLNNANGIISGGNIGTSIAKSVFDKDFPSLGGEEKPEIVRVSSPGFGATTSQSLPAGSSALIGGERWTSALAEVPTIIGSSSTGSIAIQQTVAIPPVSVVSSITAGRNMAEALAQTPSRARSAPQVLDKTQRLEELAIKQSRQLIPVTPSMPKALALNSSEKSKPKSAVRNAEMNVAAKIVPQQPCALHIASQSVRGVNSKVDSPKTSGKFTDLKSVVWENGASKDVPNPTNHSNSKPGNEHAVAAAAASAPSRNTNTLKCPLERKPASMDLKLGSTLDKKHLLSQVKSRNDFFNLIKKKTLMNSATVIPNSVPTGSSMMEKSGEDNREVVSPSESPQSLRNGGEVISNGDCHAHEEVNRFSDNEEKDPIPSATIYPDEEEAAFLRSLGWEENSDEGEEGLTEEEINAFYQECKKLAPTTFKLYPGMQPLSKLFESYAPNLHEASAELSLSDPGSEA >OIW16314 pep chromosome:LupAngTanjil_v1.0:LG02:23699357:23700469:-1 gene:TanjilG_19030 transcript:OIW16314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYANSGLLIEARRVLNGHVEFDVVACNSMILGLAKCGEIDESRKLFDAMPTKSEVTWNSMISGYVRNGKLNKALKLFHMMQQARVEPSEFTMVSLLNACAHLGALQLGEWVHGYIKKNDFELNAIVVTALIDMYCKCGSIENAIQVFEASPTRGLSCWNSIIIGLAMNGHEREAIKLFSKLESSSNLKPDSVSFIGVLTACKHLGAIDKARDYFALMMNKYEIEPSIKHYTCMVEVLGHASLLEEAGELIKGMSIKSDAIIWGSLLSSCRKHGNVEMAKRAARKVRELDPTDASGYILMSSVQAASNQFEEAMESRLSMKERSAEKEPGCSSIEVCGEVHEFLAGGRLHPKTLEIYSLLNDSSFALQEID >OIW17073 pep chromosome:LupAngTanjil_v1.0:LG02:5940995:5944765:-1 gene:TanjilG_15656 transcript:OIW17073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLSYGSAPCCQVVNSNWKSVDKSSNSRKSKVSYFANHGVDCNSYRISLCGSVRFYDMVLQQRGFRPQNSAEGSRRIKLKVYSGNYDGYVIGEEESRDISGLEEHATKVLIPGLPDESKGESEVSISSCFREWKPKLNIHYEKAGCDNLGSSHVLFLPGFGVGSFHYEKQLKDLGHDFRVWALDFLGQGMSLPFEDPAPLSKEGTTSNGNISSWGFGDETEPWATKLVYSADLWQEQVRYFVEEVIGEPVYIVGNSLGGYVALYFAACNPHLVKGVTLLNATPFWGFLPNPVKSPRLAKIFPWAGTFPLPSNIKRLTELLWEKISDPKSIAEVLNQVYVDHSTNVDNVFSRIVEITRHPAAAASFASIMCAPQGELSFSETLSRCRTNNVPICLMYGKEDPWVTPFWGVQVKNKVPEAPYYQISPAGHCPHDEVPEVINFLLRGWIRNLESQGSLSLPLLEELDSIKHTIDRELEFPREGSKKSVMVKFLGSSVSLWDRIRSYIKSDSKFRNLAAKSQ >OIW16788 pep chromosome:LupAngTanjil_v1.0:LG02:15978752:15981085:-1 gene:TanjilG_05522 transcript:OIW16788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFIKAQKSRAYFKRYQVKFKRRRDGKTDYRARIRLINQDKNKYNTPKYRFVTNKDIIAQITSASIAGDHVLAAAYAHELPHFGLEVGLTNYAAAYCTGLLLARRVLKTLELDEEYEGNVEGALDGGLDIPHSDKRFAGFDKEKKELDAETLIEDEPEKYQTHFSEYIKRGIEADGLEALYKKVHAAIRADPTAKKSAKQPPKEHKRYNLKKLTYEERKNKLIARLQALNSAAGAGDDDDDEDDE >OIW16347 pep chromosome:LupAngTanjil_v1.0:LG02:23936546:23939713:-1 gene:TanjilG_19063 transcript:OIW16347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIQYNSHHAPSHSDLHHAPNNPKPKTRFKRFGAPKPKRSTPETPLLKWKINDEDKTNSSSLTESGRSSTKKESEVDVSARRLAAGLWRLHMPDMEMNGYRRNKDRLGLQHGISHVGLPFRGLPNGITHGSDQKNICQSPRTISGTKSGHFCEPEPHFQFSNTEMEGVTKWDPSCLKTSDEAQHIYSHMKLVDHKVSAISVVHALEAELEQARSRIQELETERCSSKKKLEHFLKKVSEEKTQWRSREHEKIRAYIHDMKSELNRERKSRQRIEIFNSRLVNELANVKLSAKRYMQDYEKEKKARELIEEVCDELAKEIGEDKAEVEALKRESSKFREEVEEERRMLQMAEVWREERVQMKLIDAKIALEEKYSYMNKLVADLETFLKSRGLNPNSKEMREAQSLQQAAAAMNIQDIKGFSYEPPNSEDMFAIFDENFGEQIERDIEPCVSHSSISYASKIPRASPEANVGSKDGIQSHSDVFMGDNGDIEGEESGWETVSHAEDQGSSYSPEGSAQSLSKNHRESNVSRRSVLEWEQNTGEETPITEISEVCSIPTKQPKKVSSITKLWRSGLNNGDNYKIISVDGINGRLSNGRLSNVGITSPDYGSGKGGLSPQDLLYRLSSPESGNPHSHLGMKGCIPRSTQKNSLKSKLLEARVESQKIQLRHVLKQKY >OIW17472 pep chromosome:LupAngTanjil_v1.0:LG02:2189797:2205201:-1 gene:TanjilG_22584 transcript:OIW17472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFETAYSSLSLPNSTTFLSSSSSSSNIRRLKPISLPSRFFFNFQSERIVLTPKKLVVMKPCAVRIEEKNSNVAGSEGREWEKVSAVLFDMDGVLCNSEEASRRAAVDVFAEIGVHVTVDDFVPFTGTGEANFLGGVASVKGVKGFNTEAAKKRFFEIYLDKYAKPDSGIGFPGAFELISQCKNKGLKVAVASSADRIKVDANLAAAALPLSMFDVIVSADAFENLKPAPDIFLAASSILNVPPSECIVIEDALAGVQAAKAAQMRQCIAVRTTLSDEVLESAGPTLIRDDIGNVSLDDILSGGSVRYNKSMQGLETLNNSSQSSSTVLLGKTDDEDGKTTGGTDEGIFSIEGLQGSRRDILRFGSLGIAISCLVFTLSNWKAMQYASPKAVWNLFFGVTKPSLEEKEGNSRYDRIQQFVNYITDLESRGNAQIVPEFPPKLDWLNTAPLQFGRDLKGKVVLLDFWTYCCINCMHVLPDLDFLEKKYKDMPFVVVGVHSAKFDNEKDSEAIRNAVLRYGITHPVVNDGDMYLWRQLGINSWPTFAIIGPNGKLLAQLAGEGRKKDLDDFVEAALLFYGKQNMLDNTPITLNLEKDNDPRLLTSPLKFPGKLAVDVLNNRLFISDSNHNRIVVTDLDGNFVVQIGSSGEEGLQDGSFDDATFNRPQGLAYNPKKNILYVADTENHALREIDFVDEKVRTLAGNGTKGSDYIGGGKGDTQLLNSPWDVCFHPIEEKVYIAMAGQHQIWEHDILDGITRAFSGDGYERNLNGSSSTSTSFAQPSGLSLSRDLAEIYIADSESSSIRAVNLKTGGSRLLAGGDPIFSDNLFKFGDQDGIGSEVLFQHPLGVVCTKHGEIYITDSYNHKIKKFDPTSKRVSTIAGTGKAGFKDGTAVTAQLSEPSGIVEGDNGRLFIADTNNSLIRYLDLNNDEYALSTLELKGFQPPKPKLRSFKRLRRRTSADTETINIDAISSNEGNLSIEISLPNEYHFSKEARSRFSVDIEPEDAVNIDLLDGFLNPEGSATLHFKRSSNSASMARINIKVYYCKEDEVCLYQSLLFEVPFREGAPNSTKADVTLAHFVKPKTLTSSSLRPVAL >OIW16590 pep chromosome:LupAngTanjil_v1.0:LG02:19826727:19827740:-1 gene:TanjilG_02796 transcript:OIW16590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEIDFFNISTQLESDPFRGELMEVLAPYMKSPSTTTISSLSPPTSPISYLPSISTSTSHSSPYFNSCSSFQTHPNFYTEDCPTMTHLFETGLSNSQNFTGFEQPSSVLGFNHLTPSPINQIQAQVQTQNKINNNSDTLTFLSPKPVPMKQVGFAPKPTKLYRGVRQRHWGKWVAEIRLPKNRTRLWLGTFNTAEEAALAYDKAAYKLRGDFSRLNFPNLKHQGSCVGEYKPLHSSVYAKLDAICDDLKKQGKPEKKLVRSSKKSKVISKEEDNNDNNCKVETSLSSVVTDSEGSVGSSPLSDLTFDDFMEAQWEAPSEHFNLMKFPSYEIDWDSL >OIW16212 pep chromosome:LupAngTanjil_v1.0:LG02:22851902:22853994:1 gene:TanjilG_18927 transcript:OIW16212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNAFRLLYGHCCKPTASGDSESLGPHGVSSATVGVSALAHDLFHFDITSQVPEGLSKHVVSSKKAQANWYRKLGDAWKESKPPPKTPEEAARLVIKTLKRHQKADVEGLLAFYGLPLPHTLVEVVAQPPTSLPGGVKYEIHTLPVDAKAVADGDTVTVYVSITDPRESSIVPGNVHTAAVQRAEARARRDYTKADALHKQIIDSGYRVIPVQDEEILAKKYRIRLRGIDAPESSMPFGKEAKTELTKIVQNKSLRVLIYGEDRYGRCVGDIYCNGMFVQELMLKKGLAWHYKAYDKRPELETWEKEARARRVGLWASKNPEKPWDWRKDRRGAK >OIW17142 pep chromosome:LupAngTanjil_v1.0:LG02:4783040:4788230:-1 gene:TanjilG_21119 transcript:OIW17142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEIKSEFRNSLTFCINYNLSPSDLVSNWEAYYLNRLLNEPIVQNAEMDGFLLHLQNVKKEDVLKEETGLHSYSIRDVEMILNNDDETKDNTPGTPTGHHQDIYSIAHDTTPLSYGGALSYGKPSTLVTPFSKRIDKCVVKFSINTIPDEENGKQEPKHENAEIDEDDVIRRVIPPKRCSMLIHESGPKSGCRFMYDRTEDKINAMENRIRKHTRALVASGLYEEPTDPTIASQRSIFAVGMICCDGEGRLNDKSIMLQSSAEYSGGECVRLDLQRLSHFSVFPGQVVGIEGHNPSGHCLVASKLVDSIPTSGADDENLNSAKRQAIDKENQPTGLICKQRELSMIIAAGPFTTTDNLLFEPLVELLAYAKRRQPQLLVLLGPFVDTEHPDIKKGTTDRTFDDMFHFDIMRKLEDYVECMGSSARVLLVPSIRDANHDYVFPQPAFDINVGDLKSQIASLTNPGIFEANEVKVGCCTADIIKQISGEEISRTAADGKPIDRMSRLANHIINQQSFYPLYPPAESVPLDLSLAPEALQLSLVPDVLILPSDIKYFVKVLNGESEGINHMKCIAVNPGRLSKGEGGGTFLELDFNGGSDKIRASIVGI >OIW16550 pep chromosome:LupAngTanjil_v1.0:LG02:20683402:20696460:1 gene:TanjilG_08407 transcript:OIW16550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METFDRNSNQYATISSNSSSASSSGGVNSNSNDNNANSGSSSGIVNWYGVNLPSVRTLRSPLSYLLEHSGIITSGPPSEAVIVNNGVTGSVLRSRLQAQSLDGGGGGVGTPCTNSAGEVAIRIIGAGENDHNVVGSRTPSSSSWNRRGEVGYGGYDDVVSGRNGMPGLVDSGGGEDHGGIAAAQRVPRVSSSSSLAGSGQVGGDATGNGLESNGRESSSYQRYDIQQIAKWIEQILPFSLLLFVVFIRQHLQGFFVTIWISAVMFKSNEIVKRQTALKGDRRVSILAGISVAFMLHVMCIYWWYRNDDLLYPLVMIPPNATPFWHSIFIILVNDTLVRQAAMALKCFLLIYYKNGRGHNYRRQAQMLTLIEYTLLLYRAMLPTPVWYRFFLNKDYGSLFSSLTTGLYLTFKLTSFVEKVKCFFSAMKALSRKDVNYGVCATMEQVNAAGDLCAICQEKMHAPILLRCKHIFCEECVSECAGINKNIPQLGFLAVAEPDF >OIW17234 pep chromosome:LupAngTanjil_v1.0:LG02:3771747:3773165:-1 gene:TanjilG_02523 transcript:OIW17234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPTPIRPWFRLGAVRTAPAHAPAHAPEARPIFSIPTFRSTYSAPSSPQTHPTKPHEPTPPQPQPRVVAAYRGSAPSSPDKKVVPQTQPTKPHEPTPPPSQPQLHIVPTYRGSAPSSPDKKVASQTQPTKPHEPTPPPPQPHIVAAYRGSAPSSPDKKVAPQTQPTKPHEPTPPPPQPHIVATYRGSAPSSPDKKVAPAPSSSLPNSPIQKTSLPNTNTNHYSISSSTSSPSHKIPNTSSSAKTIQAESVYNSPIHSPRLKPTAPPPSPLILPPSQFNSETKIPKEAEQKTVLVQKTVEKPKQWLNGNGTELHRESWNHGKGKDIETNEIGYHKKVSALDSEGSGMKVITIAGENRGAYMELVHSHKQHEPKYLHKKGNDSSKINGDGVESEGLSSGGEESSGRKDKNHKGKTTSSFPMAAYMNSNVQCVNNSLLYHASCSHHDPGVRLTLSKKPHGEGYHLKKDVDDYNS >OIW17050 pep chromosome:LupAngTanjil_v1.0:LG02:6486455:6490429:-1 gene:TanjilG_02418 transcript:OIW17050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSVYGARLTTFEDSEKESEYGYVRKVSGPVVVADGMAGAAMYELVRVGRDNLIGEIIRLEGDSATIQVYEETAGLMVNDPVLRTHKPLSVELGPGILGNIFDGIQRPLKTIAKRSGDVYIPRGVSVPALDKDTLWEFQPKKIGEGDLLTGGDLYASVFENTLMQHHIALPPDAMGKITYIAPPGQYSLKDTVLELEFQGVKKKFTMLQTWPVRTPRPVASKLAADTPLLTGQRVLDALFPSVLGGTCAIPGAFGCGKTVISQALSKYSNSDTVVYVGCGERGNEMAEVLMDFPQLTMTLPDGREESVMKRTTLVANTSNMPVAAREASIYTGITLAEYFRDMGYNVSMMADSTSRWAEALREISGRLAEMPADSGYPAYLAARLASFYERAGKVKCLGGPERTGSVTIVGAVSPPGGDFSDPVTSATLSIVQVFWGLDKKLAQRKHFPSVNWLISYSKYSTALESFYEQFDPDFINIRTKAREILQREDDLNEIVQLVGKDALAEGDKVTLETAKLLREDYLAQNAFT >OIW17502 pep chromosome:LupAngTanjil_v1.0:LG02:2488011:2489966:-1 gene:TanjilG_22614 transcript:OIW17502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGKWKLNVNIPSWVPFNFNPLSSQYPFPTTCYSLFNNHSHITSLLSLCASNGNLNLGSSIHAHIIKQPPLFDFDITPRNALFIWNSLLSMYSKCGQLQNAVKLFDHMPVRDTVSWNTMISGFLRKGGFDAGFRLFKNQMSESGGVCCRFDKATLTTMLSALDGVELSSVTKMIHGLVFVGGFEREITVGNALITSYFKCVCLSQGMQVFDEMLERNVVTWTAVISGLAQNGFFKDSLELFSRMRCAGSVSPNVLTYLSSLMACSGLQALGEGRKIHCLLWKLGMQSDLCIESALMDLYSKCGSLEAAWKIFESAEELDEVSLTVILVAFAQNGFEEEAIQIFMRMVKLGIEIDPNMVSAVLGVYGVDTSLALGKQIHSLIIKKNFIQNPFVSNGLVNMYSKCGDLNESLQVFYQMTQKNSVSWNSVIAAFARHGDGLRALQFYQEMRAEGTAPTDVTFLSLLHACSHAGLVEKGMEFLESMTRDHQISPRSEHYACVVDMLGRAGLLKEAKRFIEGLPENPGLLVWQALLGACSIHGDSEMGKYAADKLFLATPDSPAPHVLMANIYSNEGKWKERASAIKRMKEMGVVKEVGVSWIEMEKKINSFVVGDKLHPQAEIIYWVLSGLLKHLKDEGYLPDKRCILYHMGQNF >OIW16798 pep chromosome:LupAngTanjil_v1.0:LG02:14953779:14955894:-1 gene:TanjilG_01363 transcript:OIW16798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPDFHLIFFPDLLHPPLFTFQAILYTLLFILIILLFFSPGGLAWALTLPKSLIPGPPGHPVTGLLSVFSGLTPHRSLSNLAKRYNAEPLMAFSVGLTRFVISSEPETAKEILGSSGFGDRPVKESAYELLFHRAMGFAPYGEYWRNLRRISATYLFSPKRINAFGGFRKEIGLEMVKEFEIMMKGNDEGRVEVKKVLHYGSLNNVVMSVFGKRYDFYGDGVELEEMVSEGYELLGVFNWSDHFPLLGWLDLQGVRKRCRCLVKKVNAFVGEIIEEHRMKMVSGEYVVGKTVRDFVDVLLDLEKDFKLSDSDMIAVLWEMIFRGTDTVAILLEWVLARMVLHPEIQAKAQKEIDKVTGNSSKLISDEDIANLPYLQCIVKEALRVHPPGPLLSWARLAIHDVKVGENLVPAGTTAMVNMWAITHNAKVWNEPEEFKPERFMGQDVNILGSDLRLAPFGAGRRVCPGKAMGLASVHLWLAQLLQRFNWVPYGDGNVDLSECLKLSMEMKNPLVCKIVPRVVDA >OIW16694 pep chromosome:LupAngTanjil_v1.0:LG02:18642023:18643127:1 gene:TanjilG_28751 transcript:OIW16694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLSCNGCRILRKGCSDDCIIRPCLEWINSPQSQANATLFLAKFYGRAGLLNLINAAPQPLRPGVFKSLMYEACGRIVNPVCGSLGLLWTGEWAHCQAAVDAVLNGSEISAVELSDWQVTPGIKHVFPAHDIRHVSRDTHVDQLRGERPRFRGTGNVIKPKAQVGSVDSARLWKLGSGLTQEQGNKEGWETESEETVEASLMSRDEPSRTGENKVCWELTLG >OIW16129 pep chromosome:LupAngTanjil_v1.0:LG02:22029853:22031172:1 gene:TanjilG_18844 transcript:OIW16129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLSWTKGRVFRRAHKGKALNSVGDLEVEIVTPTHFRCPVTLDMMKDPVTLSTGISYDRDSIEKWFEEGNNTCPVTKRVLTTFDMIPNHALRKVIQDWCVEHRSFGIERIPTPRIPVTRYEVENTCTRIMSCAQHGDVNKCLELVRKIKAWGKESERNKRCIVTSGASLVLVKVFDLFSSHGGSIENNNVSILEEILGVLTWMRPLSQEGKSILVSLNSMSCMVWFLNGKTLSSRQSVVLLLKEVPLVALEKTEGVVEGLVKMIAEPIMHAATTKACLAIIFNMVSSSKNRELITQRFVELGLVSILLDALVDAERGVCEKALGVLDCICDFKQGKEMAKSNALTLPLVIKKLLRVSELSSSFSVSIVFKLCGKTEEGLLIEALQVGVFQKLLVLLQVGCDESTKEKASELLKLLNGYRSKAECVDSSSLDFKHLKKPF >OIW17072 pep chromosome:LupAngTanjil_v1.0:LG02:5958325:5961310:1 gene:TanjilG_15655 transcript:OIW17072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADFSPCGTPTGLNAREPFDLQVGGRMYYNSEDMLWRRTLEEQSVFRQALELQSRRLMVNEENGNNDGNGNGKKSPNDLQECLEHKVPDSRFASPTKAIGNYMAAFSNGPNEIVDSDASTASDSSKFSAGTLLPPASGLDMGSFKSFNYQIPRFPSGHRTIGMFASTGGPIGI >OIW16379 pep chromosome:LupAngTanjil_v1.0:LG02:24134156:24141132:-1 gene:TanjilG_19095 transcript:OIW16379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKGMANSDDNDEPQSLCLSNYHLDDDNDTPLSFIVLPIKWSESDEDDSVEQKKVFIHGYVHPNRLKKMFPVKAWSFNLSSLKPILSLQTKDGNWIKLKSPRKSFEATIRTILITIHFLHYAKKYPKLSSSRSVWDNLSKVKELSSYKLKPSLSDLLNHMHLISEVAKRDDILAKSKLLLTILEENPGSQKLSDEKARDMMDEANEESEPEDDVCALCDNGGNLVCCDGACKRSFHATVEHGRESMCASLGLTKKEVDKKTFYCSNCEHKQHQCFACGKLGYSGVAKGAEVIQCDSATCYHFYHPHCVAKLLPQVVKHDVEGLERNIADGNHFTCPVHYCCVCKEGENKKDPELQFAVCRRCPNSYHRKCLPREISFDNENDEDIIPRAWEGLLSDNRILIYCLDHEIDDELGTPIRDHIKFPNLKVIAREINTAKEKMKHPAIDRVISKKNNVDLDNSSDKSTSKVTGKLSPAKVGSKKSENIISSSDISRKPKSKETSKRCSFENKRSISEKYKMPNNEEDQPLCALINKDFMGIKPDIQGPLLDADSERRLLALVEEVKSEVTLDSVKKQFASDYTPYSLRKAEKAIVNGKLGGSHNPIQKALRKLEGGCKIQDVQPVCNPDVVKQTFNWKIVDRLHWYAQNGDTIVDFCCGVNDFSILMKKKLEESGKKCSYKSYDILPTKNNFSFERRDWMTVRPNELPTGSQLIMCLSLCFGTKTALANNFLDKALEFEPKLLLLIVPQEAERLDKKLSSYDLVWEDKNFQSGLSFYVTGSVDVNDKQVGQWNAKPHVLSFWSRRDWTTKHKVIAQEHGHVSYSHEVLEMDTENHMLSYDLLQSTDDKEDQASMDEGKKSSARHGNVDQESKKEPKCMKSKSGKTSRKRKHIEEDYSLPAKRQAVNGMTGEVPNRIQPNPNNRSSSAEGSEPEPVITCPNVEVSDNTRIKEGSSVVSALSGSSGTSKGEHGGKGEEEKQQIESDETEPSSATKRSYKLNHVRMMGSETPTVIRSCTCQGSVPEPGYVARQLSFASGPNLEYSLRHSVGWLDE >OIW17537 pep chromosome:LupAngTanjil_v1.0:LG02:2874865:2880717:-1 gene:TanjilG_22649 transcript:OIW17537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRYYIIGRDKSRSHWKVLKIDRLEPSELSITEDPTIFSEIECIELLNRIREGNKSTGGLKCVTTCYGIIGFVKFLEPYYMMLITKRRKIGTICGHAIYAVTKSEMIPVPHATVQSKVAYSSDENRYKKLLCSVDLTKDFFFSYSYHVMLSLQKNLSDSITTGKSLYETMFVWNEFLTRGIRNTLQNTSWTVALVHGFFEQVKLSISEREFYLTVIARRSRHFAGTRYLKRGVNEKGRVANDVETEQIVFADAHDGCPMQISSVVQIRGSIPLFWSQETSRLNIRPDIMLSKEDHSFEATRLHFENLAKRYGNPIIILNLIKTREKKPRESILRAEFVNAVRSINKNWRGGNRLKFLDWDLNLHSRSKASNVLTQLGKVAAYALKFTGIFYCQVTPRLEGLLGYSNSEKCVDEGTVINNHLLTGDVSGDSGVKPRMLQAGVLRTNCIDCLDRTNVAQFAYGLAALACQLQALGFIESPYIDLDSHLAKETMKIYESMGDTIALQYAGSAAQKKIFSEKRGQWKPATKSQEFIRNLQRYCNNTYFDGNKQKAINLFLGHFQPQQDKPLLWQMDSDQHYLAGKHVPNSEDNTVRPTIKRSMSDGIILSESDTTIRNMGVTICKHSSAEVNNSHPSESAADMLTCESDICHCRQIYSGMVKEKSCETDEVCFDGDGDLCDFSDVFDFDWLTSSPNSHADEVLGRCSSISSENIVNEGRTDTSTCASESGSSMKVSICYVSARIITECHTLLQQQPSLYPTRWGRLYGSTDDIMLYY >OIW16203 pep chromosome:LupAngTanjil_v1.0:LG02:22790649:22797799:-1 gene:TanjilG_18918 transcript:OIW16203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWPPWLTSPNHSGTTPPPSPSPSRSRSRSSNFSCSSFKDIQSLITEKPEPEPSSPNSPSLFRRMRTSTSVLRAWASRPSSKLREQRLKVKALSQRLSSEPVPIRVHDVIIKGNTKTKDWVIEAELKVLETATTMLELMKASEIAMARLQSLEIFDSCKVKLEAGPPELPHTANVVVHVVETDSKVSGEAGAYMKPSVSSWTTEGSLKYKNLLGYADIWDASLAYGANQATEMSVGVYAPRLKGLLTPLVARIFMLSQDWQEFSSYKRQLLGASLGLISTKHHDLVYTLGWHILTDPSQISSRSIRRQLGHGLLSSLKYTFKIDTRNSPIRPTKGYAFLSTTHFGGLAPDHQSLRFLRQEFDVRFAVPFEFYNTVLNLGISAGAVFPWGRGFLNKPSPLPERFYLGGDFSPVCTLGGPATLWGFKTRGLGHTEPRRQSRDGANDENGGSCGRDFIGGDLAVTAFADLSFDLPIKWLREHGIHGHVFAGVGNTAKLTENEYKYFSPGKFVESFRTSVGCGIVIPTRLFRLEGNYYSVLKKDEHDHGKSGFRFSFSGPS >OIW16216 pep chromosome:LupAngTanjil_v1.0:LG02:22868126:22870538:1 gene:TanjilG_18931 transcript:OIW16216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIESDPTRRVSSRKRIPFSDFTNTFTSNSHSSLSIKPSPSSPFNKNSTKRIVSTNTSTILDTPSNPNSPPSPILSTPPLKSSSLRGTGDFSIKYSLRRHSNQRKDKGNAVAIPLSRTPNLNISNSWETSDSVEGENVPKAITLTVPLRKKHRSVSSEQDVLKDPVLQDFIKKQRAYFKAIDEFKLSEEEVESGDELD >OIW16268 pep chromosome:LupAngTanjil_v1.0:LG02:23316663:23317814:-1 gene:TanjilG_18983 transcript:OIW16268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQLTDEQISEFKEAFSLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMARKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQINYEEFVKVMMAK >OIW16937 pep chromosome:LupAngTanjil_v1.0:LG02:10556370:10557670:-1 gene:TanjilG_18625 transcript:OIW16937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPPDPLRRARTENVTAKIDRDQGSKFIPQHTSDEQAHPLRGATQPLRPHLTPCPSLAKRVSQATNTPRCAHISRGFGYVQDDAHIFRNDQPAPGHVRPRFDMEDDSVRLPTLPWTSEPACLGKLDGALGGIVRPNKRVNGPCFLKGQAYTSRGLSSGRTRPHLDFNRANSPTTHLGLIGLVPLRAVDLPLRASPNTTYFRAQRDTLESSASRTEAHNYPTSTV >OIW17523 pep chromosome:LupAngTanjil_v1.0:LG02:2713290:2715488:-1 gene:TanjilG_22635 transcript:OIW17523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIATMILVLFVLATFPCYFQLLVESQTPLGYLGQWQLLQASIGISAMHMQLLHNDKVIMFDRTDFGPSNLPLPYGHCRVDPYDKALTTDCTAHSLLYDIATNSFRPLMVQTDTWCSSASVLPNGTLVQTGGFNDGERNIRMFTPCWDETCDWIEFPGYLSQRRWYATNQILPDGRVIVVGGRRQFNYEFYPKNQNNNSPIHLSFLQETSDPNDENNLYPFLHLLPDGNLFIFANTRSVLFDYNQNRVIKEFPPIPGNDPRNYPSSGSSILLPLDENKDYIEAEIMVCGGAPRSSFEGALQGNYMQALSTCGRLKVTDQNPTWVMEEMPLARVMGDMILLPNDEVVIINGVSLGTAGWEHGRGPVVTPVLFRPYENIGSPWRFSIMPPASRPRLYHSSAVLLKDGRVLVGGSNPHVFYNFTEVEYPTDLSLEAFSPPYLSPMVDQVRPNINYMTSAILGYRVFSYITFTVTRYTSASEVSVRIIAPSFTTHSFGMNQRMVVLKMVGVTQVNVDTYYATIVGPSTAQIAPPGYYMLFVVHAGIPSSGLWVQVQ >OIW16338 pep chromosome:LupAngTanjil_v1.0:LG02:23854962:23861906:-1 gene:TanjilG_19054 transcript:OIW16338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSRRKVQAIQITSNSLPYSTVPSSSPQSREVSCSLKSTSVGLNEYSAMRYKRNLTFKILPRMSLRNFPNASTGILEEMTEKQKQNSLHSYEAQVRMASMASCNRGFSVTAAERKLSGGDYKGWAMATDKLTESKKGMNCMKNIVRESGSSYAANRFPSDGQKLAVSMNGGKSLVLEDQSEHVLSSIKYPDLNNSNVFTDSKRLVHQFDDSESSLEIQKGRPNPQGSHLVGSGKIHEEKTAGVNGDHGLDTTTRDSTNATFARQARVTAQSKLRDRLCSIYEDVLVVDNISLAEEVAKMLTVKYRHLIHACDTEVAKIEVKEETPVDHGEIICFSIYSGPEADFGGGKSCIWVDVLDGGGKEILYKFAEFFSDPSIKKVWHNYSFDCHIIENYGFKVSGFHGDTMHMARLWDSSRRLNGGYSLEGLTGDRRVMSKAQLNHDKDLLGKVSMKTIFGQKNVKKDGTEGKMITIAPVEKLQRDERIPWICYSALDARSTLKLYESLKSHLSDMPWKLDGVPVSGKTMYNFYTEYWRPFGELLVIMESEGMLVDRPYLENIEKVAKAEQEIAATRFRKWACKYCPDAKYMNVGSDLQLRQLLFGGTVNRKDPNLALPNERIFKIPNVDKVIEEGKKVPKKFRDMTLKSIGYYLETETYTESGWPSVSGGALKTLAGNISAEYDIIDEACNSDLEDQDGQPSESQIAPAEIDNSAYGTAFAAFPTEEEGREACHAIAALCEICSINSLISNFILPLQGHNISGKDNRVHCSLNINTETGRLSARRPNLQNQPALEKDRYKIRQAFIAAPGKSLIVADYGQLELRILAHLANCQSMLEAFKAGGDFHSRTAMNMYPYIREAVEKRQVLLEWHPQPGEDKPPVPLLKDAFGSERRKAKMLNFSIAYGKTPVGLSKDWKVSVKEAKNTVDLWYNDRKEVLQWQYERKKEARQFHCVYTLLGRARRFPMMDEASTYQKGHIERAAINTPVQGSAADVAMCAMLEICNNKQLKELGWKLLLQVHDEVILEGPTESAEVAKAIVVDCMSKPFNGKNILNVDLSVDAKCAKNWYSAK >OIW17116 pep chromosome:LupAngTanjil_v1.0:LG02:5139749:5140165:-1 gene:TanjilG_25947 transcript:OIW17116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLPVSMALLQKEPNKPTIPLQTHITTVPPWQPRRKIYLKKRQKLQLVRLGGNNKQQRRGMLVRVARMIRVKWLKLYYIRMLKKLKEYYQNFVKQLAETGANIETFLFMESNFVIPTGLTISGCPSRHGLDHPRTISV >OIW16207 pep chromosome:LupAngTanjil_v1.0:LG02:22830204:22836232:-1 gene:TanjilG_18922 transcript:OIW16207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHGIRQIRTGWADGPEFVTQCPIRPGESYTYRFTIQGQEGTLWWHAHSSWLRATVYGALIIHPKQGETYPFPKPKRETPILLGEWWDANPINVLKQATQTGGAPNVSDAYTINGQPGDLYKCSTQGTTIVPIDSGETNLIRVINAALNQPLFFTIANHKFTVVGADASYLKPFTTTVIMLGPGQTTDVLIKGDQPPSRYYIAARAYQSAQNAGFDNTTTTAILEYKSAPCPAKGVAAITPLMPSLPAYNDTNKVTAFSKSLRSPRRVEVPTEVDENLFFTIGLGLKNCPPNFNSNQCQGPNGTRFTASMNNVSFVLPNNISILQAHQLGVQGVFTTDFPTNPPLQFDYTGNVSRSLWQPISGTKVTKLKFGSRVQIVLQDTSIVSPENHPIHLHGYDFYVVAEGFGNFDPKKDTSKFNLVDPPQRNTVAVPVNGWAVIRFVADNPGAWIMHCHLDVHIGWGLATVLLVDNGEGLLESLETPPEDLPLC >OIW17046 pep chromosome:LupAngTanjil_v1.0:LG02:6779889:6782406:-1 gene:TanjilG_05709 transcript:OIW17046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNPINNTKNQSPYSTTPSPIFQGAQSNQPILNPNHGTENSGTHIMGMPAEPTSHPDNRKAALQSGANEKQQQQPQDQYQYNQNPYVQHSPVEKPSSSPMESILHSVDYWSKKAGVMANNVWHNLRTSPSVSSAALGKVNLTVKAISEGGFESLYKQTFSTYPNEKLKKSFACYLSTSTGPVAGTLYLSNIHVAFCSDRPLCSTAPSGLETWSYYKVIVPLGKIGAVNPVIMKDNPSEKYIQIVTVDMHDFWFMGFVNFDKATNHLSEAISHFVVPGTAVPSTSY >OIW17299 pep chromosome:LupAngTanjil_v1.0:LG02:789437:791298:1 gene:TanjilG_22411 transcript:OIW17299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAAKKTKKTHESINNRLALVMKSGKYTLGYKTVLKSLRSSKGKLIIIANNCPPLRKSEIEYYAMLAKVGVHHYNGNNVDLGTACGKYYRVCCLSIVDPGDSDIIKTLPGDQ >OIW16766 pep chromosome:LupAngTanjil_v1.0:LG02:15545729:15546244:-1 gene:TanjilG_05500 transcript:OIW16766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQQQQPLCSAAEDDVTATTTKPDNSSGGGTRHPVYRGVRKRRWGKWVSEIREPRKKSRIWLGSFPVPEMAAKAYDVAAYCLKGRKAQLNFPDEVHHLPPLPPAPACTARDIQAAAAKAAHMMMKATSSPEKSGIASSSAGDGNGGSGDDFWAEIELPELMNSKWWNLLNN >OIW16871 pep chromosome:LupAngTanjil_v1.0:LG02:12719807:12720193:-1 gene:TanjilG_16451 transcript:OIW16871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDQELVMDLTILEKFIHLMILIVMDLIVLEILSMLEEVVGTRMYETKKEASLKTLEKKQSKVEEINTLLDQETLPALEKLKKEKFQLDSSNSQHDKDIEELRYSLTAKEAQYKESKYITKKLEQEN >OIW17166 pep chromosome:LupAngTanjil_v1.0:LG02:4017937:4018398:1 gene:TanjilG_18121 transcript:OIW17166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLALKEWSERWLHIVEGGGDIADEVEYMQWYQKITRKYIGRVTSSLESEYQRTVTTMREIAKIADVVSAEGLGSYNRGLLDEVKNVVHRCLTEQLEEIPKDKMKRKGNRKRRQKDDLSMEYEPEQ >OIW16681 pep chromosome:LupAngTanjil_v1.0:LG02:18348975:18354758:-1 gene:TanjilG_28738 transcript:OIW16681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLHYAQPSKLKTKKIVFEAIFAASDSATLEQLKELSSKRRVIEESINEKRSITEAIAREMSGGLVSRYEQDIHKIEQYLPILGNLLFYVDAISTNIRIAQWNAAIKIRWSSGLNSSSFFNLTGPKFFQIDNLRFELGMTLFLYGGILRERALELLSTDLVQSPNFFRQAAGIYHHLAHDVLPPLQPLLPPEKPPEALAEVSTIMSLICLAEAQAAAVRRAEEKGTSPSLLAKLHHGVALFLEEAIGILSTVVKQHKDISSRLLEFISSCKSLHEFKGQEYVAQSLKASDQIGAAIAVLHSALINAKKKIPREESWKSIYQKQIHDASEVLRKFEHENYVVWSQNIPSVHELPLPEVRWPNALAKLFKACFGHSLTILDQISISFDHDPIFLGQYEFFFGHVHVLLGES >OIW16244 pep chromosome:LupAngTanjil_v1.0:LG02:23079556:23081688:-1 gene:TanjilG_18959 transcript:OIW16244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFLGGRLAGKEAAYFFQESKQAITKLAEKNRFSNPKTNNNNKVRVDDPSSTTVDVLPEILRHSLPSNIFKNDTVSSSSFSPSKWVLPSHSKTQSSISSDSHNPLRAYFSLPQLTFGPKRWQFPVAENYVSASTANELRKDRYIIHANPEKLKAAAEGLANVGKAFIVATAVVFGGAALVFGMVASKLNLHDISDVKTKGKDVVEPKMENIKELFVPLKIWAENMSRKWHFEREDVKQKTIVKELSKIWGAKTSD >OIW16451 pep chromosome:LupAngTanjil_v1.0:LG02:24583412:24586859:-1 gene:TanjilG_19167 transcript:OIW16451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQSTDSNSVLNRRLPCDSDGVCMVCKTKPTAVIDSLTCNTCITPWHLPCLPLPDRPPTMSDAHNWCCPDCAVPTSVPQPLPPPSSDDPSSLLMASIRAIQEDDSLTDEQKAKKRMDIMLGSSKIALSNNPSSSTVAGINAALDIFDGSFNCSICMQLPERPVTTPCGHNFCLKCFQKCFSQGNRKCANCRGAIPLKMATNPRINSQLAFAIRMAKMARSGCAVPGGVPKVYHFIHNQDRPDTAFTTERAKKTGLANACSGKIFVTIPPDHFGPIPAENDPTRNRGVLVGDTWMNRMDCRQWGAHLPHVAGIAGQSAYGAQSVALSGGYVDDEDHGEWFLYTGSGGRDLSGNKRTNKNQSFDQKFENMNEALRVSCLKGYPVRVVRSSKEKRSAYAPDEGVRYDGVYRIEKCWRKVGQQGCKVCRYLFVRCDNEPAPWTSDDCGDKPRPLPKIKELKGAVDLTERKAAPSWDFDEEKGSWLWKRPPPESKKSAGTVSPVEGQIRAVKRKVKVASVKEKLLKEFACQICRKVMCNPLTTPCAHNFCKACLEGAFSGQSFIRKRAVQSGRSLRAQKNIMKCPSCQNDIAEYLQNPQVNRQMMGIIESLQCEAEQLEENSSESSDKNDENQKNGSEVSKPGEDDDDDDDVDDDAVDDDSSEKVLEEIKDNDLNLPQKRRKVAKGAVVVNEEEHIDEVEQDSVKACT >OIW16229 pep chromosome:LupAngTanjil_v1.0:LG02:22955918:22956529:-1 gene:TanjilG_18944 transcript:OIW16229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPFINSDPTPPNDAVPTQPRFRGVRKRPWGRFAAEIRDPWKKARVWLGTFDSAEDAARAYDAAALSFRGPKAKTNFPFSSSNPTQIAICNRDFDNRRGGRFAEAVNRPTSSGMSSTVESFSGPRVPSSSIVIHNRRRVDPVAGDDCHSDCDSSSSVIDDEDCVLTSSFRKTLTFDLNLPPPEDDNGGGDFTTDELLVTALCL >OIW17228 pep chromosome:LupAngTanjil_v1.0:LG02:3710420:3710787:1 gene:TanjilG_02517 transcript:OIW17228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSGYCDLIDELEKLFDIKGELHSKNKWLITYTDDENDTMQVGDDRWPEFCNMVKRIFIIPKEDVKKIKCKDSATSSEIEETLLSTE >OIW17470 pep chromosome:LupAngTanjil_v1.0:LG02:2160600:2161433:-1 gene:TanjilG_22582 transcript:OIW17470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTCSNTKPTQALLATFITFFILLSKVNSSDDISFNFKKFVQNQEDLIIQGNASISETGVLRLTKVENGEPIAMSVGIAEYVSPIHIWEKERVASFVTTFSFIMEAPNPNLVSDGLTFFLAPLDFPQGRNGGYFGLFNGTQYDSSYQIVAVEFDTHGAPEDQWDPPYQHIGIDVNSVTSETTVQWDAKYGGIVANVEIRYEASTKTLTATLIYPSDQTSYIVSSSVDLKAILPEWVRVGFTATDVSTWRETHDVLNWSFASTLENDITLDNNARFIA >OIW16484 pep chromosome:LupAngTanjil_v1.0:LG02:21297604:21299787:-1 gene:TanjilG_32154 transcript:OIW16484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATDATPKFKNPDFRPDFHPEQLTPSTHDGLHFWQFMIAGSVAGCIEHMAMFPVDTVKTHMQALGSCPIKSVSVRQALNSILKSEGPSALYRGIAAMGLGAGPAHAVYFSVYETCKKRFSNGDPNNSLAHAASGVCATVASDAVFTPMDMVKQRLQLSNSGYKGVWDCVKRVMSEEGFGAFYASYRTTVLMNAPFTAVHFATYEAAKKGLMEVSPESVDDERWVVHATAGAASGALAAVVTTPLDVVKTQLQCQGVCGCDRFKSGSIGDVIKTILRKDGYKGLMRGWIPRMLFHAPAAAICWSTYEAGKSFFQDFNQQKDTGTVT >OIW16152 pep chromosome:LupAngTanjil_v1.0:LG02:22299991:22308232:1 gene:TanjilG_18867 transcript:OIW16152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSDSYQNIMSDVAIQSILKNHEYGDELGFLIERERELSRLRSGSAPPTVEGSLTAVGGLFDSHVAAGMGLGGGRGYLGSEENIRADPGYANYYYSNGANLNPRLPAPLLSKEDWRFSQQRLRGQKVGGIGDRRRLSGDEERSMFSVQPERKSAVEWGGNGDGFIGLPPSSGLGSRQKNFASVFQDEINNAAFALKQPHRPPSRNAFDDIPEEPETHFAFLEQELDALQSGGNKTGMPASKNLVGIASQTYASTLGASLSRSTTPDSQLLPRAVSPCLPPIGDGRSNSADKRTSNGQSSFNTVSSSVNETADLVSALSGMNLSATDTFDGEKHHQSPRHTEVDYNHDVNKHSYLNKLDSFSFQSHSANQSQLNEDWRFSQQRLRGQKVGGIGDRRRLSGDEERSMFSVQPERKSAVEWGGNGDGFIGLPPSSGLGSRQKNFASVFQDEINNAAFALKQPHRPPSRNAFDDIPEEPETHFAFLEQELDALQSGGNKTGMPASKNLVGIASQTYASTLGASLSRSTTPDSQLLPRAVSPCLPPIGDGRSNSADKRTSNGQSSFNTVSSSVNETADLVSALSGMNLSATDTFDGEKHHQSPRHTEVDYNHDVNKHSYLNKLDSFSFQSHSANQSQLNVSKSGGFGLDLNNASMYANEQLDPRKAGGISINSYFNGPSTPTPTSRGDSPAHYQNFDDMSNSYPNYGLSGYTVNPSSPSMMASQIGSGNVLPLFDNAAAAAIRMNAFDSRALGRGTALGNHAARSTNQLPLMDPLYPQYSRSDEFAVTQIAALNESAINRGYTNNSFTNLIGLQRGDLESLIASQKSHIGGVPYLAKSPSLNHNSYRNPSYGLGMSYPGSPVAGSSFPNSLYGPGSPMSQTERNMHLSGMRNVAGGFMGAWHSDAVSGLDENFASSLLDEFKNSKTKCFELSEIAGHVVEFSADQYGSRFIQQKLETASTDEINMVFHEIMSHALSLMTDVFGNYVIQKFFEHGTEVQIRELADQLTGNVLTLSLQMYGCRVIQKAIEVVALDQQTKMVAELDGHIMRCVRDQNGNHVIQKCIECVPEDATHFIVSTFFDQVVTLSTHPYGCRVIQRVLEYCHDPKTQQIMMDQILQSVRMLAQDQYGNYVVQHVLEHGKPHERTAIINELTGQIVQMSQQKFASNVIEKCLSFGTPTERQALVDEMLASTNENEPLQVLMKDQFANYVVQKVLETCDDQQLELILNRIKIHLNALKKYTYGKHIVARVEKLVAAGGFSCIHVAYSHLPLF >OIW16151 pep chromosome:LupAngTanjil_v1.0:LG02:22289666:22290751:-1 gene:TanjilG_18866 transcript:OIW16151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLDTGSELSWLHCKKLSNFNSIFNPLLSSSYTPTHCTTSTCKTRTQDFPIPVSCDPNKLCHAIISYADASSIEGNLATDTFFIGGTAQPSSIFGCMDTGYSSNNDEDSKTTGLMGMNRGSLSFVTQMGIPRFSYCISSGDSSGVLLFGGATTFPWLGPLHYTPLVKTTSSLPYYDRAAYTVQLEGIKVSEKLLQLPKSVFVPDHTGAGQTMVDSGTQFSFLLGSVYTALKNEFVAQTKGVLTLLDDANFVFQGAMDLCYRVPASWASLPPLPAVTIVFAEAEMRVSRERLLYKVGDVAKGSNDSVYCFTFGNSDLLGIEAYVIGHHHQQNVWMEFDLINSRVGFTDTRCDLASQRLGIGP >OIW17296 pep chromosome:LupAngTanjil_v1.0:LG02:770685:776451:1 gene:TanjilG_22408 transcript:OIW17296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNEVEDVEEGEISDSASVEEISEEDFKKQQDVVKVNDNKPKEDSTARVWAVNDLYTKYPTICSGYASGLYNLAWAQAVQNKPLNDIFVMDVDNSNKLCSSSVKEDVGVNGQVVIDLDKEDGELEEGEIDGDADPEVGNVQSVLNSEGKSVREVLEGFTIANVEEAFAENCGKLQSVLQSLHAVSDKDDIIVRLLFNAIEVIYSVFCSMESSQKKQNNDKILRILYFVTDQQAHLLTPEQLKEIQVMIGALDSIGALDNGEPIGKEKESQSNEIKTLETQDRRAGELISSSKPLDSISIGVSEPLKFGQSNFKGRGVLVPLFDLHKDHDIDSLPSPTRETPSFFPVSNAFSVAEGVVRHGLPTRAIASFPVSKPFSAGEEMIRSELPPSKTEAVNMEVDSEGSKLHSYVTDALKAVSSYQQKFGRSTFFTSEELPSPTPSGDCEDVAVDTNEEVSSASVAGSAISIKPPSQSLNQLPTSSASTDRSSMHGLSSSRIDEAGSRSYSRKTSVKSRDPRLRLINSDASALDLNHQPSLMNNVPNMENGRTIISRKQKAAEEPSLDVAVSKRLKTSLENPEHKTRDPRTAARKRGWLEETSAVGSQSIVRNNVDAEPKMTMTTVNSSCTGSGNFNLTSNGNQQAPMATSNTTTSIPAAWKDLAVSPAILVNILMERQRLAAEAKKKSDDYSINVLHLASANSAMGTGPTMSIGTSMTTGLQQNSVGMLPISSPATTTVRSPQDDSGKIRMKPRDPRRFLHRGTIPKSGILASEQSKEVVIPTSNTPDTGDNVSAPKLEVRADTKLTASQSIAPPDIAGPFTRNLKNIANTISVTQQSSNNAPATQTFSSAPALTSDRVEQKPVVSSSQNLQASIGSAPETCASVSSTPQSSWGDVEHLFDGYDEKQKAAIQRERARRIEEQNKMFAARKLCLVLDLDHTLLNSAKFVEVDPVHDEILRKKEEQDREKPHRHLFRFPHLGMWTKLRPGIWNFLEKASKLFELHLYTMGNKLYATEMAKVLDPRGTLFNGRVISRGDDIDSVDGEERAPKSKDLEGVLGMESAVVIIDDSVRVWPHNKLNLIVVERYTYFPCSRRQFGLPGPSLLEIDHDERPEAGTLASSLGVIERIHQNFFASQSLEEVDVRNILASEQRKILAGCRIVFSRVFPVGEANPHLHPLWQTAEQFGAVCTNHIDDQVTHVVANSLGTDKVNWALSIGRFVVHPSWRRWSSDHIDFAEELMEDCVSESWGLCFWDWC >OIW17469 pep chromosome:LupAngTanjil_v1.0:LG02:2145468:2147441:-1 gene:TanjilG_22581 transcript:OIW17469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLENMLLEAAGRTNSPVRKRNKPGNSRVKRDGAGSDSRVEDSDEGGASKKPSRSASHVPLKKRLDLSRRGSSTSKNEHGGGELVEDGVPVCEGGSGSSEESDVGSDLYKNEDDKQRLAKMTELEREMILSDRAAKKGEKEFKEKLKMKRDNNNNNVNQSHHPSSSSKVRSSVRHAEKTAAKGDVLSELRAKRMKQQVLDTRGKSVGSVSKPNSTNKGVKPKPTSPSSSSQSESGVRSDSERESSDDGGLADSDDDKNMVESNIPTFEDIKEITIRRSKLVKWLNEPFFEDLIVGCFVRIGIGRSESEPVYRLCMVQNVDAGDPNRPYKVENRVTHKYLTCIWGSENSAKKFQVAVISDSAPLEKEFRQLLREVERSCSQMPSKLNVLEKREAIRRTNTYIYSAATVKQMLEEKKSASSRPLNIAVEKDRLKNQLEIAKSKNDGAEMDRILAKLQNLEVLRKARENDAKAIRLTEMNRKNKVENFKNLSEHKNINVNLKAGEEGSDPFSRRWTRSRNYYTSEPGKENKDGEKNEAKGEKDKTVGEVSMGEEKQVTTKVSIEATEVALQDTANERKLIDTLAPADQITESHMLHDFDLPISLDALKNFGGPQGLKNGFLARKQKIEATVGCQVHENDGSRHALTLTISDYKRRRGLL >OIW16245 pep chromosome:LupAngTanjil_v1.0:LG02:23091480:23093347:1 gene:TanjilG_18960 transcript:OIW16245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLKLVFLLLLKYVCFIVESKCIKGCDLALASYYVPVWPIVSLGNITSFMHSNVLTNPNVVTSYNKDKVFNGDVMLALYRTNVPFPCDCIGGEFLGHVFEYSAVEGDTYGLIAMKRYSNLTTVEILKRFNSYDPNHIPVNAKVNVTVKCSCGNSQISKDYGLFITYPLRPGNNLQELSKETKIDAKLLQSYNPGVNFSQENGIVFIPGKGVAKGVAVGISIAATCGLVLLVICIYDRYFKKKEGEKAKLSIENSIGFSTQDAYGSGEYETSGSSVHASALTGIMVAKSLEFSYQELAKATNNFSLDNKIGQGGFGAVYYAELRGEKTAIKKMDVQASSEFLAELKVLTHVHHLNLVNTTLKL >OIW17018 pep chromosome:LupAngTanjil_v1.0:LG02:7562905:7563508:-1 gene:TanjilG_21137 transcript:OIW17018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGANILDLVERLPKSSQILLTFHSAAKWKAYFDASKQANELMVVDFTATWCVPCKYMESVIREFAAKYTDVEFIKLDVDELMEVAQAFQVQAMPTFILIKKGKVVEKVMGPKRAELQKLIEKHRN >OIW16371 pep chromosome:LupAngTanjil_v1.0:LG02:24096140:24098780:1 gene:TanjilG_19087 transcript:OIW16371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFYNALFRVSRTISRTSTLHSASHRSQQYSSTFNNHFHSLLSQSPTKLIPFQFNLSSTLKSSSFTSRFGFSSPASSETTEKEKHNNGHNGESRNDDQAKTGEKVKKIDQAGQAVDQTEESGSNSDSQTDKRRGSKRTAFSDSDSDSESEDNLSRDDLIKLVTEKEELLKLKHKEIETMKDKVLRTYAEMENVMDRTRREAENSKKFAIQNFSKGLLDVADNLGRASSVVKESFSKIESPDGSAEVVKLLKTLLEGVEMTEKQLSEVLKKFGVEKYDPINEPFDPHRHNAVFQIPDGSKPPGTVAAVLKVGYMLYDRVIRPAEVGVTQAVEDNNATE >OIW16632 pep chromosome:LupAngTanjil_v1.0:LG02:19286992:19292788:1 gene:TanjilG_01871 transcript:OIW16632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSPATVTGVLFKPPPSLGNARIFEFQRNGRKLRRRFLKFVISAELSNSFSFNFGLDSMHLNSFQSHDLSQLSWMGPVPGDIAEVEAYCRIFRNSERLHSALMDALCNPVTGECSVSYEISSHEKPQLEDKIVSVLGCMVSLVNKGREDVLSGRSSAMNPYRVSDVSSMEDDLPPLAIFRSEMKRCSESLHVALENYLIPDDERSLNVWRKLQRLKNVCYDSGFPRRDGYPCHTLFSNWSPVYLSTSKEDTESKDSEPAFWAGGQVTEEGLKWLLDKGYKTIIDIRAEDVKDNFYQAAVHDAISSGRIDLLKIPVEVKTAPTMEQVVRFASYVSDCSKRPIYLHSKEGALRTSAMVSRWRQYMTRSTSQIVSNPSVASYDVLSRYTNGYVKPQASSMTVEKSSLEKDNNSLQERLDASHSSIGTFDRNTSQNKNNDKAQGNGALTGITSDNEKPSEATVASGVGSIPIFFTNINPLKAQVPPCNIFSKTEVSKFLGSSKISPPSHADYEIKRLECLPQFRNMHIQKLQGRVVVSSVDNLVPRTSGPKSFNGSAHVDHPSGETQITVGGNGKLVSGNTSGSVRRAVNGFSEGGIHHMTNANVSTSAIVNNDSDNVTTNSQIVEDGVVKSELALYDDDLGSIEGNMCASSTGVVRVQSRKKAEMFLVRTDGISCTREKVTESSLAFSHPTTQQQMLMWKSMPKTVLLLKKLGDELMEEAKEVASFLYHQEKMTVLVEPDVHDIFARIPGLGFVQTFYSQDISDLHEKVDFVTCLGGDGVILHASNIFRGAVPPIVSFNLGSLGFLTSHSFGDYKQDLRQVIHGNTTRNGVYITLRMRLRCEFFRNGKAMPGKVFDILNEVVVDRGSNPYLSKIECYEHGRLITKVQGDGVIVATPTGSTAYSTSAGGSMVHPNVPCMLFTPICPHSLSFRPVILPDSAELELKIPEDARSSAWVSFDGKRRQQLSRGDSVRIHMSEHPLPTVNKFDQTGDWFRSLIRCLNWNERLDQKAL >OIW17348 pep chromosome:LupAngTanjil_v1.0:LG02:1149708:1155921:-1 gene:TanjilG_22460 transcript:OIW17348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEIYTVKVEEGRVEIDGKPSLGPVYRCIYAKHGLLELPLHLDSPWDFFRDTVKRNPSNPMLGRRQKTDSKVVGPYVWLTYQEVYDAAIQMGSAMRSLGLNPGDCCGIYGSNCPEWIIAMEACNSCAITYVPLYDTLGPSAVEFIINHAEVSIAFVQEKKISSILSCLDQCSSNLKTIVSFGNVSTTQKKEAKEHGASCFSWEEFLELNNNMKVNFDVTVELLLNDKKGSMDLDLPSKKKSDICTIMYTSGTTGEPKGVIIKNEAFMAEVLSIDHILMLTDRVAGEDDVYFSFLPLAHVYDQIMETYCIHKGSSIGFWQGDVRFLIEDIQTLKPTIFCGVPRVFDRICSGINSKVSSGGALQSTLFHYAYNYKLRYLEKGLPQHKAAPLFDRLVFDKTKQALGGRVRILLSGAAPLPRHVEEFLRITSGSTLSQGYGLTESCAGCFTAIGDVFSMMGTVGIPMTTIEARLESVPEMGYDALSSEARGEICLRGITLFSGYHKRQDLTEEVMVDGWFHTGDIGEWQPNGAMKIIDRKKNIFKLSQGEYIAVESIENKYLQCPLITSIWVYGNSFESFLVAVVVPERKALEDWALEHNLTDDYEHLCDNLKARKYIMDELNSIGLKHQLRGFELLKAVHLEPIPFDIERDLITPTFKLKRPQMLKYYKDHIDQLYKEAKV >OIW16546 pep chromosome:LupAngTanjil_v1.0:LG02:20641378:20643763:-1 gene:TanjilG_08403 transcript:OIW16546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSTSFSGAKLETLLLNKCYPSSSARSNATHLSVFGKNRRTVSVIQKGGIRCDASGSDVLGDSSSNATTVSAIEQLKTSAADRYTKERSSIVVIGLSVHTTPVEMREKLAIPEADWPRAIGELCGLNHIEEAAVLSTCNRMEIYVVALSQHRGVKEVTEWMSKTSGIPVSELCQHRFLLYNKDATQHIFEVSAGLDSLVLGEGQILAQVRQVVKVGQGVSGFGRNISGLFKHAITVGKRVRAETNIAAGAVSVSSAAVELALMKLPETSDGSARMLVIGAGKMGKLVIKHLVAKGCTKMVVVNRTEERVAAIREELKDVEIIYKPLSEMLNCVGEADVVFTGTASENPLFLKDHVKDLPPASQGVGGHRLFIDISVPRNVGSCVSDLESVRVYNVDDLKEVVAANKEDRLRKAMEAQAIIGEESKQFEAWRDSLETVPTIKKLRAYAERVRVAEFEKCLGKMGDDIPKKTHRAVDDLSRGIVNKMLHGPMQHLRCDGSDSRTLTETLENMHALNRMFSLETEISVLEQKVRAKVEQNRSEA >OIW16711 pep chromosome:LupAngTanjil_v1.0:LG02:17589433:17593266:1 gene:TanjilG_14582 transcript:OIW16711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQIPFTQLTFILICTLSIPNLVHCKTLKRDVKALNEIKASLGWRVVYAWVGDDPCGDGDLPPWSGVTCSTMGDYRVVTELEVYAVSIVGPFPTAVTNLLDLTRLDLHNNKLTGPIPSQIGRLKHLKILNLRWNKLQDAIPPEIGELKSLTHLYLSFNSFKGEIPRELANLPDLRYLYLHENRLTGRIPPEFGTIKNLRHLDVGNNHLVGTIKELFRIEGCFPTLRNLYLNNNYFTGGIPAQLANLSSLEILYLSYNKMSGVIPSSVAHIPKLTYLYLDHNQFSGTIPDPFYKHPFLKEMYIEGNAFGPGVKPIGFHKMLEVSDSDFLV >OIW16239 pep chromosome:LupAngTanjil_v1.0:LG02:23055096:23058090:1 gene:TanjilG_18954 transcript:OIW16239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLAASHGGKEGADDIAAVDFRGHPADKSKTGGWLAAGLILGTELAERICVMGISMNLVTYLVGVLNLPSAKSATIVTNVMGTLNLLGLLGGFLADAKLGRYLTVVIFATIAAVGVSLLTLATTIPSMKPPPCIGVRKQNHECIEASGKQLTLLFAALYTIAVGGGGIKSNVSGFGSDQFDITDPKEEKKMIFFFNRFYFFISIGSLFAVVVLVYVQDNIGRGWGFGISAGTMVIAVLVLLCGTPFYRFRRPQGSPLTVIWRVFILAWKKRSLPIPSQPSFLNGYHEAKVPHTEKFRFLDKAAILDENSSKDGTNPWIVSTVTQVEEVKMVIKLIPIWSTCILFWTIYSQMNTFTIEQATFMNRKVGSAVIPAGSLSAFLIITILLFTSLNEKLTVPLARKLTHNVQGLTSLQRVGIGLVFSIVAMAVSAIVERKRRENAVENHTTISAFWLVPQFFLVGAGEAFAYVGQLEFFIREAPERMKSMSTGLFLTTLSMGYFVSSLLVSIVDKVSHKRWFRSNLDKGKLDYFYWLLAVLGVLNFFLFLLLSMRHQYKVQHNIKPNEVEEKELVSANDVITGKEEA >OIW16414 pep chromosome:LupAngTanjil_v1.0:LG02:24392488:24396360:1 gene:TanjilG_19130 transcript:OIW16414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVGAGIYVGDGKLMVLGKKVLSDVDENIMVTPASGGALINGAFLGVASHHNATRTVFPIGKLEGLRFMCVFRFKMWWMTQRMGSCGKEIPIETQFLLIEAHNDSDIDGGLENQATASTYVVFLPLLEGDFRAVLQGNDQNELEICVESGCPAIEEFDGTHLVFIGAGSDPYDVITNAVKTVEKHLQTFSHRERKKMPDMLDWFGWCTWDAFYTNVTSEGVEQGIRSFEKGGVPAKFVIIDDGWQSVGMDPNGIGWKSDHAANFANRLTNIKENHKFQKDGKEGQRVEDPALGLRHITKDIKEKHAIKYVYVWHAITGYWGGVQPGGTGMEHYESKMAYPVSSPGVQSNQPDEALDIIAINGLGLVNPEKVFHFYDELHSYLASAGIDGVKVDVQNILETLGAGHGGRVKLARKYHQALEASVSRNFPDNGIICCMSHNTDGLYSAKRSAVIRASDDFWPRDPASHTIHIASVAYNTIFLGEFMQPDWDMFHSLHPMAEYHGAARAVGGCPIYVSDKPGHHDFNLLKKLALPDGSILRAKLPGRPTKDCLFSDPARDGKSLLKIWNMNDFSGVVGVFNCQGAGWCKVEKLNLIHDENPGTVTGIIRAKDVDYLSKVADDKWIGDTILFSHVGGEVIYLPKDASIPITLKSREYEVFTVVPVRELNNCVKFAPIGLIKMFNSGGAIKEFNYGSTNVVIKVRGSGQFGSYSSIRPKLVKVDSEIVEFRYEEESGLVIIDLRVPKKELYQWNISIDF >OIW16326 pep chromosome:LupAngTanjil_v1.0:LG02:23783266:23784380:1 gene:TanjilG_19042 transcript:OIW16326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVLGAMARHLDTIVGPGVMLLYPLYASMRAIESPSTLDDQQWLTYWVLYSFTTLFELSCYKILLWFPIWPYMKLVFCLWLVLPMFNGAAYIYENYVRQYIKNIASYGGSSNYPEEYKKVLQMMTFDARKAVERYIDRYGPDAFERVVRVAEKEAKKH >OIW16452 pep chromosome:LupAngTanjil_v1.0:LG02:24590044:24591377:-1 gene:TanjilG_19168 transcript:OIW16452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVGRGVSTSLDGVRDKNIMQLKKLNIALFPVHYNDKYYADALASGEFSKLAYYSDICVGAIACRHEKKENGGQVRVYIMTLGVLAPYRGLGIGTKLLNHVIDLCSKQNISEVYLHVQTNNEDAISFYKKFGFEITETIHNYYTNITPPDCYVLTRYTAPSPTKK >OIW16985 pep chromosome:LupAngTanjil_v1.0:LG02:9044832:9047984:-1 gene:TanjilG_32852 transcript:OIW16985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRIPSHQLSNGLYVSGRPEQPKERTPTMSSVAVPYTGGDIKKSGELGKMFDIPVDGSKSRKSGPITGGAPSRTPSFGGAGSNSGPMQPNAAVRAVYTSGPMTSGGTGSNSLKKSNSGPLNKHGEPIKRTSGPQSSGVTRQNSGPLPPVLPKTGLITSGPISSGPLNSSGAPRKISGPLEATGSMKLSGSAAVHNQAVTILSEPVEFSFRRNIPKVVLWLLILLFVMGFIAGGFIYGAVHNPILLIVVVVLFGLVIASFTWNIYFGRRAIMSFVANYPDSELRSAKNGQFVKVSGVVTCGNVPLESSFQKVPRCVYTSTSLWEYRGWDSNASNPSQRQFSWGMRFLDRRVVDFYISDFQSGLRALVKAGHGAKVTTYVDEEVILQVNPTKEELSPEFLQWVGERNLSSDGRIMHLEEGYIKEGSTVSVMGVVQRNDTVIMIVPPPEPITTGCQYTKCTFPASLEGIILRCEDTSKTDVIPV >OIW16373 pep chromosome:LupAngTanjil_v1.0:LG02:24103761:24108061:1 gene:TanjilG_19089 transcript:OIW16373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSTQTLFHLTPSFSSFSSTSNSLLLLRSNNTSPFKKSNYSLNLSSHIHLSHHYHINNSSPFTVSASSSSSVAVGTENDHLPAELKVTQIEQPNSTVKLHVEVPPLVCQDCYKRVIVEFTKQAKIPGFRPGKSVPESILVGYVGSKNVKKATIESILRRTLSHAMASVTGKALQDSVRIATKFSEMEETYSSLGSLRYDVIVDVAPEIKWISDNAHRNLKVVVEIDSDIDAQKTFEQEFRRRYKSLGALKVVTDRGLQIGDVAVIDISATTIDQDESNIKNIPSAESKGFNFDTEDGDNVLPGFLDSIIGIGRGETKSFPLVFPENWKQENLRGVHALFTVECKELFYRDLPELDDSIADKLISGCTTVDQVKELLLQRCLEVEQTAKEQATDNAILNQLSKMVEIDIPESLFEEQGRQLYGASLLEIQAKMTLNEQQLASLSSPKAVNEYLHHQKDNITNLIKQNLAVGDVYRRENLQVATEELVKEVENSIVEFKRQNQEYDEERVKEQVQEILEGAKVLEWLREHAEIQYVTK >OIW16498 pep chromosome:LupAngTanjil_v1.0:LG02:21176815:21178678:1 gene:TanjilG_32168 transcript:OIW16498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHEENESEITYLKKKLEVQMGRNELLDKENQELKQEVSHLRSQMISLRAQNIERKSTMWNKIQKSLDENNQDAHQHKTTIQVIMSEKSSENENVHTNERSTIVPSPPPRPAPTTLIPSHKNEKGVKFQPTIAAPPPPTPPKSSIRVKAVRRVPEVIELYRSLTRKDGNMENRMHLNGTPAVAFTRNMIEEIENRSTYLSAIKSEVQSQGKFISYLIKELESASFQDVSEVEAFVKWLDGELSSLVDERSVLKHFPQWPEQKVDALREAACNYRDLKNLESEVSSYEDNPKEPLTQTLKRIQAMQDRQSHFQICRLERSVSSKERTRESTSKRYRNFQIPWEWMLDTGLIGQMKLSSLRLAREFMKRVTKELESYEALQEDNLLVQGVKFAFRVHQVVYISNTSTS >OIW16929 pep chromosome:LupAngTanjil_v1.0:LG02:10327531:10327749:-1 gene:TanjilG_18617 transcript:OIW16929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSLDIVKQFYVKSIVSSEARANRYIWVNGEQVYYDKYMINDYLDNTCTPYPSNEHDLCAYQEKISATLSVE >OIW16801 pep chromosome:LupAngTanjil_v1.0:LG02:15084430:15085576:-1 gene:TanjilG_01366 transcript:OIW16801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGVSSGGGQSSLGYLFGSGEAPAPKPAPTNAQPEVQTVSNVPPSKPVTAPKTIDPNKPAGINSSSIDGLNTGNFITDRPSTKVHAAPGGGSSLGYLFGGPGDAK >OIW16774 pep chromosome:LupAngTanjil_v1.0:LG02:15735314:15736953:-1 gene:TanjilG_05508 transcript:OIW16774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINSSYAGLDSGHYPIRSAEGTGGAYFMLDSTGQKYVSVFKPIDEEPMAVNNPRGLPLSLDGEGLKKGTRVGQGALREVAAYILDHPISGHRSLFGDEKGFAGVAPTIMAKCLHKGFNHPGDLTAKIGSLQMFVENNGSCEDMGPGAFPVKEVHKITVLDMRLANADRHAGNILISKEKENDQAVLIPIDHGYCLPTSFEDCTFEWLYWPQARQPYSPETIDYIKSLDAEEDIALLKFHGWDLPLECARTLRISTMLLKKGVDRGMTPYAIGSLMCRESLNNDSVIEGIVQEALDSVLPGTSEVTFMDAVSYIMDQHLDEIANSVS >OIW16252 pep chromosome:LupAngTanjil_v1.0:LG02:23168920:23170510:1 gene:TanjilG_18967 transcript:OIW16252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPAERVYEEFEPPYDWAHDQSSDTLILMLPGFTKEHLRVQIASTGTLRLSGERQIRDNIWRQFHKQFSLPSDSDTNGVSAKFESGMLYVKLPKLIKPTQPPTSTTPKTTTTPTTTSQKVPKPPQQSTTTPTSTQQKVPKPPQQPTQTQQKVPKPPQQPTPPQAQPPRANGQKLLEKTSEANIEKTNKPSAPTPNLVQKAAEAKPEKTEPTPMASLQGPKKEANDSQKEKGKSEATTSKAEGVHEAKIEKRSQTLKMLSRQTQEYKNAVSDLVEELKKQKKLANLVVVIFVVLVIGLYIKNAIKSSFGGPKIEEL >OIW16273 pep chromosome:LupAngTanjil_v1.0:LG02:23348117:23348434:1 gene:TanjilG_18988 transcript:OIW16273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMWRVLGAVRRKRSKVADEKMFEEGNGVEVEVQIFGHERERRQHGWARFSVIHSILHVPISILSCVSHPQVNGSDGVWVSGEFSQISEMNHLMVSDSMRYAILM >OIW16431 pep chromosome:LupAngTanjil_v1.0:LG02:24502214:24503860:1 gene:TanjilG_19147 transcript:OIW16431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHASEHHTSSSMYHEPLQQMEAYCLPQYQTLNHQLYDSGGGHGITNFSNPISSELYCTLESSSGTGSFTVYNSTSAVSFTANGSPISWQDSHSYPPYQHHNNHSPDNTYISSMSGSCITNDLSSIRHKLRELESVMLGPDSDNIDDSYDTAFNDGANFVSSEMEILRQTMVGICSKNLKNVLIGCAKAVADSDLLMAQWLMDELRQLVAVSGEPIQRLGAYMLEGLVARMYSSGSSIYKSLRCKEPESAELLSYMHILHEVCPYFKFGYMSANGAIAEAMKHEDRVHIIDFQISQGCQWITLIQAFAARPGGPPHIRITGIDDSTSAYARGGGLHIVGKRLSKLAEHFKVPFEFHAAAMSGCDVQLHKLGVRPGEALAVNFAFMLHHMPDESVSIQNHRDMLLRLVKSLSPKVVTLVEQESNTNTAAFFPRFLETLDYYTAMFDSIDVTLPREHKERINVEQHCLARDLVNIIACEGVERVERHEVLGKWRSRFAMAGFTPYPLSSLVNCTIKKLLDNYSDRYRLEERDGALYLGWMNRDLVTSSAWK >OIW16533 pep chromosome:LupAngTanjil_v1.0:LG02:20822921:20823316:1 gene:TanjilG_32204 transcript:OIW16533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKFSVPRYLFTIIIALSIIFSSGTAEHDEPSILRLPSQVPSGDGLHDLCAGTSPLSCPAKCFRTDPVCGVNSVTYWCGCAEAACAGVEVSKLGFCEVGNGGSSSLPGQALLLVHIVWLIVLGFSVLFGLL >OIW16507 pep chromosome:LupAngTanjil_v1.0:LG02:21059220:21061310:1 gene:TanjilG_32177 transcript:OIW16507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMEEYGRQEGLYHKISALLEFSAVDDLIGFKDAVEKEGHDVDGVGLWYGRRVGSNKVGYEERTPLMIASMFGSLSVLTYILRTGRVDVNRASRSSGDTALHCAVAGGSAASLEIVKLLLDASADVNAVDANGNRPCDLIFSVTNPIFNSRKRLLKTLLDGTHGTYQACLTFEEMVGQIEEQQRQDMKNKPHVSKDGTEKKDYPLDLSLPHIKSGIYSTDEFRMYTFKVKPCSRAYSHDWTECPFVHPGENARRRDPTKYPYSCVPCPEFRKGSCSKGDTCEYGHGIFECWLHPAQYRTRLCKDESACTRKVCFFAHKLEELRPLYASTGSAIPFPRSYSPNTSSLEVGSVSPIAFGSTSVMIPPSSTPPLTPCGASSSMPGAMRQNQPDVAVPTLQLPKSRLKTALTTRNIDLDIKLLELENRRLRQQLMMDDMSSLSSPSNRKNSVPNNSPYFPVSSSGDRAGESNRLSGVKPANLEDSFGSLDPPILSKFHEISFDGAGSLFQSPTGIQMHQNVNQQPQIYSNVIGSLPFRADQSGNAATVAFNSRMAAFSKQSQSYIERSVVNRHSEFSSPATAEAAKYTFSDWGSPDGKLDWAINGEELNKLRKTASFGFQSNNAPLTIATTTTLANIDEPDVSWVHSLVKDDPLLESDQFNVEDQQQQQQQQRQYNLHDGTNVVPAWFVQMYMEQEQMVV >OIW17137 pep chromosome:LupAngTanjil_v1.0:LG02:4822714:4827232:1 gene:TanjilG_27291 transcript:OIW17137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVVGFDFGNESCIVAVARQRGIDVVLNDESKRETPAIVCFGDKQRFIGTAGAASTMMNPKNSISQIKRLIGKQFSDPELQRDIKSLPFHVTEGPDGYPLIHARYLGEAKAFTPIQVFGMMLSNLKEIAEKNLNAAVVDCCIGIPVYFTDLERRAVLDAATIAGLHPLHLIHETTATALAYGIYKTDLPENDPLNVAFVDIGHASMQVCIAGFKKGQLKILAHSYDRSLGGRDFDEVLFHHFAAKFKEEYKIDVFQNARASLRLRAACEKLKKVLSANPEAPLNIECLMDDKDVRSFIKRDDFEQLSVPILERVKGPLEKALADAGLTVENVHMVEVVGSGSRVPAINKILTEFFGKEPRRTMNASECVSRGCALQCAILSPTFKVREFQVNESFPFSISLSWKGSAPDAQDSGPDSQLSTLVFPKGNSIPSIKALTFYRSETFSVDVQYGGDMSGLQTPTKISTYTIGPFQSSKSERAKIKVKVRLNLHGIVSLDSAILLEEEEVEVPVSKESAVENTKMDTDDAPATAAPPPTSNDSDVNMQDAKGSADTPGVENVTSQTDSETKVQAPKKKVKKTNIPVAELVYGAMTSVDVQKAVEKEFEMALQDRVMEETKDKKNAVEAYVYDMRNKLNDKYEEFVTAPEKEGFVAKLQEVEDWLYEDGEDETKGVYVAKLEELKKQGDPVEERYKEYTERGTVIDQFVYCINSYREAAVSNDPKFDHIDINEKQKVLTECVEAENWLREKKQQQDSLPKYANPVLLSAEIRKKAEAVDRFCKPIMTKPKPAKPATPPTPATPPPQGDANANTHENAGATGGEVPPESSEPMETDKSDNTGSA >OIW16435 pep chromosome:LupAngTanjil_v1.0:LG02:24518049:24519260:-1 gene:TanjilG_19151 transcript:OIW16435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLFYLFVILQLSLPNVAFSSHCTTQTTTKTFQKCMNLPTQEASIAWTYHPYNLTLELVFFGGFISPSGWVGWGINPTSPEMTGTRALIAFPDPNSGQIVLLPYILDPTVKLQKSPLLSRPLDIHLISSTAAMYGGKMATVHNGATIQIYSTIKLKPNKTKIHLVWNRGLYVQGYSPTIHPTTSNDLSSVATFDVLSGSSAPEHKDLRTLRVVHGTINAISWGIMLPIGAIIARYLRHIEALGPAWFYAHAGMQLLAFTLGTVGFGIGIQLGQLSPGVEYGLHRKLGIAVFCLGGLQTLALLFRPNTTNKFRKYWKSYHHFVGYSCVVLGVVNVFQGYEVMGASRSYVKLSYCLALSTLVGVCIALEANSWVVFCRKSKEENMRREGLIGSSDKGNSAIHNSK >OIW16808 pep chromosome:LupAngTanjil_v1.0:LG02:14722986:14723255:-1 gene:TanjilG_28519 transcript:OIW16808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLNCFLFGLNPKIGRELAIQQPFSVIHAIGLAMLVESKLVAARFGVGRFTHPTQNYIGIVQIALINSNPTTLLIKSLTTAQMQECHAL >OIW17378 pep chromosome:LupAngTanjil_v1.0:LG02:1423554:1425860:-1 gene:TanjilG_22490 transcript:OIW17378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVNSKVLLRRRSHLSSPSTTLRRRSSTVSSDSTESAINEVIKLLQPSNKNKLNSDKINTLLFKTLSSHNHFLQISLRLGSSSKAINFLEYLSHNAPSNHHFSLSSVFQGAIELSTEQQRPSLYSVYHGQPNSENKLIDLYKYRKSKNWTFPLTPKSALLLFQCFEKAQLVNDLIVFFKELDPSAKSTRLCNGLLRVLLKSGRVEDARNVLDEMLEPDNMKFAPDGFTGEIVFGELVNGRSVAADEEIVRLVTLLGERRVFPDTFRLTQLITKLCRRWKNGIAWEVLHRVMKLGGQVEAASCNAMLAGLGRERNIGRMNELLAEMEEMKISPNVITFGILVNHLCKARRIDEALQVFDRLRGKGESNIVGVEPDAVLYNTLIDGLCKVGREEEGLRLLEEMKTKSKHRPNTVTYNCLIDGLCKAGNVDNARGLYNQMIADGLQPSVVTLNTLVDGFCKHGMVFSAVEFFNEMKGKGLKGNAATYTVLISAFCGVNNIDKAMQYFDEMLCSGCSPDVIVYYTLISGLSIAGRMDDASVIVSKLKESGFCLDTACYNVLISGFCKKKKVERVYDLLNEMENTGVRPDTITYNTLISYLGKAGDFPTADKVMKKMIKEGLEPSVVTYGAVINAYCLSNNVDEAMKHFKEMCSTSKVPPNTVIYNILIDALCNLNDVKRALSLMDDMKVRGVRPNTTTYNAILKGVRDEKMLDEAFVLMDRMIEDACNPDYITMEILTEWLSAVGEIEKLKRFVQGYQVSSCSESSAKLFVG >OIW16570 pep chromosome:LupAngTanjil_v1.0:LG02:20393353:20396545:-1 gene:TanjilG_17743 transcript:OIW16570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSDSNSVSEILTPESDKNRVRVKRKTLQTVLEQCQKALELINNENNDSDCETTEPDQLQFPPDPEADQLCDLLKSRVECPDFLEKIEHAQASVSQNIAEEGNSWDLISENDLWESEDVDSDQEDYVLVRQEDIVEGIACFMATYLLSLKQTKDLTPNQLQDALSKTFSVKTKKGKLRKAWDGSKVIYNVASWGATAIGIYQNPLIVRVATKAFWTSCQVISKLL >OIW16213 pep chromosome:LupAngTanjil_v1.0:LG02:22855323:22856963:-1 gene:TanjilG_18928 transcript:OIW16213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSVDIGDYKEEIEESNGSKVPEFASIDISTSRKTLLTSENPQGNISPILNRIKFFKFGSASAKFKRLAIEKDQISQLVPSSVSLRERLAMKLNWVSLKKMCMEWMKDPMNMALFAWILCVAVSGAILFLVMTGMLNAVLPNKSTRNAWFEVNNQILNALFTLMCLYQHPQRIYHLVLLSRWNPEDISRLRKVYCKNGTYKPHEWAHMMVVIILLNVNCFAQYALCGLNWGYKRSERPSVGVGICIAFAIGAPAVAGLYTIISPLGKDYDSDMDEEAQVQISEARKKEQLRGKSFERRYSFALRDQQRVVESRPQWSGGILDIWDDISEAYLSLFCTFCVFGWNMERLGFGNMYVHIATFILFCTAPFWIFILAAVSIEDDTVRQALVGVGIILCFFGSLYGGFWRIQMRKRYNLPAYNFCFGKPAASDCTLWLCCCWCSLAQEARTGNSYEIIEDKFFRKENDTNGDKLPISTLPREDVASNKSGSSSPLGGNPASMIKPSSPLSSRGYYSPGTPLSTVKEENSERGQDGIMKPPTPPLIHRESL >OIW16569 pep chromosome:LupAngTanjil_v1.0:LG02:20399275:20411617:1 gene:TanjilG_17742 transcript:OIW16569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNKIAELPQGNGNGGASLYSPDCDPQPRPPEHLGSHSGKGNDNKDLGSVIVNGFITMDKFCVHLVVTYPFSLVASKYMIIQFIFAITLEIIFNPKKRRLSARRLSLSEVIKSGSLFISSKGIGWTSWKKRWFILTRSSLVFFRNDPNALSQKGSEVNLTLGGIDLNNSGSVVVKADKKLLTVQFPDILDGRAFTLKAETTEDLYEWKNALEDALAQAPSAGNVNGENGDFNNDQFDSLDLSLDQLKDVKERRDSTKSTVIGRPILLALEDTDGTPTFLEKALRFIELHGAKVEGILRQAADVEHVKHRVHEYEEGNTEFSEEEDAHVIADCVKYVIRELPSSPVPASCCKALLEACRTGRANRVSAMRIAIWDTFPEPNRYLLQRILLMMQAVASHKAENKMSSSAVAACMAPLLLRPLLAGDCEIENDFDVGGDDSAQLLQAAAAANHAQAIVITLLDEYGSIFGEGSEYPGPEMFTDSEESGSECEEVTDDDLSYDDDDYYDDEEDESIQESEVDDDDIISETDSENGDSMANDKYDDKDHCLSSSKSTKVSEYEEADQVLSPIKNAYADKSNKPVDIVQDFSTDQNTMNNSNCPSSSRMEKSITVPNGPAPRRCTIFGRTAARKNLSMEYISYPDEDEVVIERLEAIKTKLQCQISEEVEVNTQLQSDVEKRKKALQERRLTLEKDVARLQDQLQKEKNSRATLEAGLRFPQKPLSDLTVIDEKTKADLDELVLIEVDLTNLEQKIDELGMRLNGDYKMINFTIFTGYLFDRKNKPDTEVAATLQSQSSISKQDTHFTGAENLSEKKPESMHLPNKHSLTSKKSGTWSESFAYEWNSLIIDLIVLDRLVDEMVQQQGASSTPSALTRLSSKLNFLKDHRSLPVSNEGQESPKVEKVSELLLPLPSPKKSRGFEFHLSLGSPSKSRGYEFFLPLLSPRFNKSRGCEVQSPKGPGKEVQSLNHTEKLRKSDSQPDHIPDRENQDLPLYLRRGKSEGHYHT >OIW17499 pep chromosome:LupAngTanjil_v1.0:LG02:2471054:2475195:-1 gene:TanjilG_22611 transcript:OIW17499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSQNDTHVVKNDDNGPELVSKVEKSELVSDGLKEVEFVHPWPEWVQLMERLVHQNYFDHRRKDEDQLVQELGFDVSDAVGDDYGGDSGGVDFTKDFKSVHAACINFGKDRFDIFRSLSRKDIQILVGYGCPNADKKVVFSAKLLRKHVHLDEGDVCSSCSLRNTCERAYLITNKEDEARTIDIMRLLLTFGFDPINGSVTNKLLLKQKSLRTVVRKLLHEVVKLSSVPIDPNLTPPVIRKPPPKVKQPPPTPKRRVGRDDVEMKKGDWLCPKCDFMNFAKNNICLQCDAKRPKRQLLPGEWECPECNFLNYRRNMVCFHCECKRPHDEFHLENKMQDKKYSSEGRLDKISSRQEVSNAWNFDFDDNESDGADVAAFEYADAHARDKDFPSDNQAQHGNHRGLEDDFEKNNRMQGSHDGEYSNPGLHRPGVGFDDFEDEDDIDSYELETETPNSSRMEASKNNFYEAGDSLEFEDIEDDDDRLHKHHNMASRKSGRSHSIRKKPSFSESDDDELDFDTDEQRSVHSNFKSSHVSAAGQRRKGRGPTKKLSFGSESDEDIGAAGLYSDEDDDLNDRYSSRKNRGSKHEPFRENKGIRHDSGRRNFTEDRKSGYTGGRKADMFSDNFDGSSRQSYRDGRGSQGQSYRDGRGSQGQSYRDGRGSRGNDHNRKRFEDFDGSPRQSYSNGRRSGGNDGGRQRYGDKELDTGRFNKYSSNEKDFGEFKNSRRVIER >OIW17333 pep chromosome:LupAngTanjil_v1.0:LG02:1023596:1025608:-1 gene:TanjilG_22445 transcript:OIW17333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILAEFAGNLAGKVVVDRNRNVKVSRVRGFVVLFMGLFMLSFGWDQVECFPKWGKPVFYNGNCLKIWPMLLPFMSGFLGCYERFSIDWGTIKQLGYKRVRLITLFFTTLVLFVPAVISFLLFESEEKNADFENLAWPLVNTVVFGVLLSENYSADKLVTSIDSQKEFLVTFVCTIVLELFYFPELTLWGLLVCGLLLYVAVRELDPFVSNDRGSGEESSELLSDMILKPIRHVLSERKSRKIALFLLINTGYMVVEFVAGFMSNSLGLISDACHMLFDCAALAIGLYASYISRLPANKHYNYGRGRFEVLSGYINAVFLVLVGALIVVESFERILDPQEISTNSLLVVSIGGLVVNVIGLIFFHEEHHHAHGGSGSCSHSHSHSHSATLTVCSDDSGHHHHHDSDHMKAECHADKHCNQSAKHDANPPDHHHDHDHDHHHDHDHHHDHDHHHDHAHHHDHGDYHDKNDHQHHHDDDHHCHEASLVPLLEGHSAAGSDCSSKINCGSQQKTLSKAKEPQEHSHHHIDHNMEGIFLHVLADTMGSVGVVISTLLIKYKGWLVADPACSIFISILVISSVIPLLRNSAEILLQRVPRVHEHELKSALTNVLKVRGVYGIQKFHVWSFTNTDVVGTLHLHVSEDTDKAATKSQVSNLLYEAGIKDLTVQVEFVG >OIW16382 pep chromosome:LupAngTanjil_v1.0:LG02:24157718:24164111:1 gene:TanjilG_19098 transcript:OIW16382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWNSRALSLLLFHSFFLIASVSAQISNTTTAWNTLSGSPPLVIARGGFSGIFPDSSAYAYNLAIITSVPDVVLWCDVQLTKDGAGICFPDIKLDNATDISFIFQNQSTNYLVNGVPTGGYFSVDYTLKDLSNVFLTQGVYSRNSLFDGNQLPILTVDDLVTAVTPPGLWLNIQHDAFYSQHNLSTRNFVLSVSRRVIVNYISSPEVGFLRSITARFSPKITKLVFRFLGQDDTEPSTNQTYGSLLQNLTFIKSFASGILVPKGYIWPVDASLYLQPHTSLVTDAHKLGLEVYASDFINDIPFSYNYSYDPVAESLQFIDNGNFSVDGLLSDFPITPSEAIDIVMSSYDADCFAHLGSNAKQIDNTLIISKYGASGDFPACTDIAYKQAVSDGVDILDCPVQISKDGTPFCSSSINLIDSTVVAQTNFSTLATTIPEIKPTGSGIFSFSLNWDDIKSLTPSILNPFTKYSLFRNPKYKNVGSLLTLSEFLSFTKNQTSLPGVVIIVENAAYLAEKQGFGVTDLVIDALSKAGYDKPGAQKVFIQSTDSSVLIKFKENTNYQRVYKIDEAVGDAATSAVDDIKRFASSVVINKKSVFPLTDSFLVTSTNTVSKLKSSNLSVFVETFSNEFVSQAWDFYSDATTEINSYIQGSDIDGVITDFPKTANRYRKNKCLNLGKNTPIYMQPVQPGGLFGVIDKGYLPPAAAPLPSLSDSEVTEAPLPPVTKVVQASSPAPETNPGTKPKGNAQPKVIACFFLPSLAVLVTSLLLI >OIW17135 pep chromosome:LupAngTanjil_v1.0:LG02:4836304:4838201:1 gene:TanjilG_27289 transcript:OIW17135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLSLLVGTRVSRMSLVYFFDYVTITASTVTGWCVIASFLLSSIAGAVYMLYLIERAKKCLDFSATLYIIHLFICIVYGGWPSSITWWIVNGTGIAVMALLAEYLCIKRESREIPITRYRSNV >OIW16581 pep chromosome:LupAngTanjil_v1.0:LG02:19642037:19643986:1 gene:TanjilG_02787 transcript:OIW16581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLLGPPQLYKPKPQPPQNPTTTTTVTATSTPSDPFIDLMVAEFNRTTVTPPPQMGYTENFSPTFLSSGNPCLDFFFHVVPDTSSETLHQRLQLAWSHNPLTTLKLVCNLRGVRGTGKSDRQGFYSAALWLYDHHPKTLASNVPSFADFGYFKDLPEILYRILEGSEVRKMQKEEWNLRKRGGSKANSRGKRGLEIGKKLKKKDIKNGKSLVSRELRVLNEKARAKIEKERASSAREDKKIALGKKLVNRYSTDLNFRLLHDSVSDHFAECLKLDLEYLKSGSLNKISLAAKWCPSVDSSFDRSTLLCESIAKRIFPRGVYTEYDGIEEAHYAYRVRDRLRKDVLVPLRKVLELPEVFIGANKWDLIPYNRVASVAMKFYKEKFLEHDKERFEKYLEDVKSGKKTIAAGALLPHEIIGSLGDGDGGEVAELQWKRMVDDLLKKGKMKNCIAVCDVSGSMCGDPMEVSVALGLLVSELSVEPWKGKVITFSENPELHLIEGDSLELKTQFVRNMDWGMNTDFQRVFDLILQVAVNGKLKEDQMVKRVFVFSDMEFDTASVNPWETDYQAITRKFNEKGYGSAVPQIVFWNLRDSRATPVPATQKGVALVSGFSKNLLALFLDNEGDLSPDDAMEAAISGPEYQKLVVTD >OIW16412 pep chromosome:LupAngTanjil_v1.0:LG02:24365880:24372851:-1 gene:TanjilG_19128 transcript:OIW16412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSHVEVVPLSTISELQSRKTTSKDQDIEKGDVQQHSVVYVYRTKVADLDRNIIVTWCKSTIEHTLSMSVEKNPLEENKYMCKIDLESGQSWGKKGLKSFEIDGTRVDVFWDFREAIFSTGPQPSSCYYVALVYKKEVLLLLGDLANNAYRRTKSKQSSEEVTLLCKKENMYGKKIFCTRVMLEEGKIEHEIVIETSLSGPDDPEMWICINGMLACRIMNLNWRFRGNEVVMVNNLHVQIFWDVHDWLFNDIGSGPGLFIFKPDFFELTNYPNSRECPEKGEDNNNKVDLLEECPFRRGFCHLLRSIWKSQIVCLKNSREFSYSVTNTYSNLQKKIPETPSLLEEVNINGGKDVHRRRFLHFETSQAVNGFQNDLTFDDDDEDIEQREEVAEDVEEVPIDQNGDSSEQDLINIDRFTNDVEESAIRLLAARALTAVELRKKLLGKRFSPNAVEAVIKKFLSRYDACIVLVLYLLYLMLVIRRIKYGAFQLHLYPLRLCFLVVEQGKALFKKGVSKADADNAVEVVFKDNNDCAEEQNSVIGLSKHSIDHLYAQATKQWFRGQNVPKETRKARIIRWLQYRGFDWNVTSFILKKLERQEHEHEHEHEHDPP >OIW16464 pep chromosome:LupAngTanjil_v1.0:LG02:24631915:24633954:-1 gene:TanjilG_19180 transcript:OIW16464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDKVVVPYTVRVPRKCTPQCYHDRVLPVLIKKRAVRLTKFDYRLSNKLDEDLQKLRCRVNYHALKFTDYIQVMGKLLVDRMRMKSKHFIALHVRFEPDMLAFSGCYYGGGENERIELGEIRKRWKNLRASNPEKVRRHGRCPLTPEEVGLMLRALGFGSEVHLYVASGEIYGGEETLAPLRALFPNFHSKETLATKEELAPFLSFSSRMAALDFIVCADSDVFVTNNNGNMAKILAGTRRYMGHKPTIRPNAKKLNRLLMNKNNITWEEFASGVRTCQVGFMGEPNELRPGSGEFHENPSACICHNSELKTLSLGISIPQNHTLENMNNNNNNKDIEEQSSEEEWSTTDSSLPLEMRTDQAELQEFFSD >OIW16794 pep chromosome:LupAngTanjil_v1.0:LG02:14870323:14872123:-1 gene:TanjilG_01359 transcript:OIW16794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLKYLGAQRRRLFTARKYLNVSGFSSLHTGPNCSVELHSQEEEAVIALGSNVGDRLHNFKEALKLMKKSGISITRHACLYETAPAYVTDQPRFLNSAVRAVTKLGPHELLAALKKIEKDMGRTDGIRYGPRPIDLDILFYGKFKVGSDILTVPHERIWERPFVMAPLMDLLGSDIDSDTVASWHSFSGHSGGLSGLWEKLGGESLIGEEGMYRVMPVGNGLLDWSRRTSVMGVLNLTPDSFSDGGNFQSVKSSVSHVRSMISEGADMIDIGAQSTRPMASRISVEEELDRLIPVLEAVTAMPEVEGKLISVDTFYSEVALEAVSRGAHFINDVSAGQLDPNMFKVIAGLDVPYVAMHMRGDPCTMQNSKNLKYDDVCKEVVSELYSRVREAELSGIPAWRIIIDPGIGFSKKTEDNLDILTGLPDIRADIAKRSLAISHVPILIGPSRKRFLGEICSRPAAVERDPATIASVTAGVLGGANIVRVHNVKDNLDAVKVCDAILRQKSHPLKFRQ >OIW16164 pep chromosome:LupAngTanjil_v1.0:LG02:22421192:22422570:-1 gene:TanjilG_18879 transcript:OIW16164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYKVDHEYDYLFKVVLIGDSGVGKSNILSRFTRNEFCLESKSTIGVEFATRTLQVEGKTVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDITKRQTFDNVQRWLRELRDHADSNIVIMMAGNKSDLNHLRAVSTEDAQSLAEKEGLSFLETSALEAYNVEKAFQTILFDIYHIISKKALATQEASSTSVPHGTTINVSNMSSNTGNKACCSN >OIW17407 pep chromosome:LupAngTanjil_v1.0:LG02:1663936:1668950:1 gene:TanjilG_22519 transcript:OIW17407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELKEESKSKEESVKLFVGQVPKNMTEDELLQMFKEFSLVQQVNIIKDKVTRASREHKLFIGMLPKIVSEVEVSALFSNYGTIKDLQILRGSQQTSKGCAFLKYETKEQAIAALEAINGKHKMEGSSVPLVVKWADTEKERQTRKAQKAQSQASNVPHADSQHPSLFGAVPMGYVPPYNGYGYQAPGGYGMMPYRLPPMQNQPGFHNMMPHVNQGNALRPGLGPNMNHRNYPLLPSSYVGSYPAVPGVQHPMAYHGRMISPRPMSCSPGSVSPVGGNSISSTSSGAGKHAGGSQVEGPPGANLFIYHIPQEFGDEELATAFQPFGRVVSAKVFVDKTTCVSKCFGFVSYDSPEAAQSAISMMNGCQLGGKKLKVQLKRENKEKKSY >OIW17410 pep chromosome:LupAngTanjil_v1.0:LG02:1688350:1691033:1 gene:TanjilG_22522 transcript:OIW17410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKIAYSFPEEVLEHVFSFIHCDKDRNAISLVCKSWYEIERWCRKRVFIGNCYAVSPTALIKRFPEVRSIELKGKPHFADFNLVPEGWGGYVCHWIDAMANGYPWLEEIKLKRMVVTDESLELVAKSFKNFKVLVLTSCEGFTNHGLAAIASNCRGLRMLDLQESGDEDLNGNWLSHFPDSYTSLVSLNISCLSNEVSLSALERLLGRCPNLKSLRLNRPVPLDRLANLLRWCPQLVELGTGVCSTEMRPEVFANLAEAFSRCRQLKCLSGFWDVLPTYLAAVYPICSRLTSLNLSYAILQSSDLIKLVSQCPSLTRLWVLDHIEDAGLDALAASCKDLNELRVFPSDPFGLEPNVVLTERGLVSVSEGCPKLQSVLYFCRQMSNAALHTIAQNRPNMTRFRLCIIEPRTPDYLTLQPLDSGFGAIVEHCKDLQRLSLSGLLTDRVFEYIGTYAKKLEMLSVAFAGDSDLGLHHVLSGCDNLRKLEIRDCPFGDKALLANAAKLETMRSLWMSSCSVSYGACKLLGQKMPRLNVEVIDERGPPDSRPDTCPVEKLYIYRTLAGPRSDMPGFVWTMKDDSPPRRE >OIW17029 pep chromosome:LupAngTanjil_v1.0:LG02:6864652:6872014:-1 gene:TanjilG_13848 transcript:OIW17029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLDATRADLTLLVLYLNKAEARDKICRAIQYGSKFVSNGEPGTAQNVDKATSLARKVFRLLKFVNGLNSLISPTPPGTPLPLTLLGKSKDALIATFLFLDQFVWLGRSGIYQNKERVELIGRISLFCWLSSTVCTSLVEIGELGRLSASMKKLEKELKNSNKYDNEQYRAKLKKSNDRTISLVKAGIDTVVAVGLLRLAPNNVTPRVTGALGFVSSLISCYQMLKRRNLEYIQLKADVATTFGDVKSLAMVDGGPLLELNFDSFLSHAQLISFDAPHVGVSNSQHPKFVKDL >OIW16111 pep chromosome:LupAngTanjil_v1.0:LG02:21881072:21882489:-1 gene:TanjilG_18826 transcript:OIW16111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWSRWCSSGLLRQRVSAIVNVSQGRRFHLLDHPLLGMRDFGVECGRRRKGEVKSLSLSMMSQFKRGISSSSPSTSDGDRNSDNSSDENGGSGCSNGIEESPISLSEAKKLMRLVNVESLKMKLGMEGKEVISYCELLEACESMGVVKNSEEAAAFAKVLDDAGDILLFRDKVYLHPHKVVDLVRRAVPLALTSENDPIREELKNLQEKKEEIDVLAHKQVRRILWSGLGCGIISVSLFFRLTFWEFSWDVMEPIAFFTTNTGLVIGYAYFLFTSRDPTYQDFMKRLFLSRQRKLHKMYDFDVERFKELQCKCKTPLDAKTILKNRIGVELDLDDALHRD >OIW17488 pep chromosome:LupAngTanjil_v1.0:LG02:2373326:2374979:1 gene:TanjilG_22600 transcript:OIW17488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDIKHRTIKVNGINMHVAEKGQGPIVLFLHGFPELWYSWRHQILSLSSQGYHAVAPDLRGYGDTDAPPSVTSYTCFHIVGDLVALIDSLGVDQVFLVAHDWGAIIGWYLCLFRPDKIKAYVCLSVPYLPRNPKVKTVDSMRELYGDDLYICRFQEPGKMEAEMAEVGTAYVLRNILTTRKTDPPVLPKGEFGTGFNPDMPETLPSWLTEDDLAYYVTKFEKTSFTGGLNYYRNFNLNWELTAAWSGMKIKVPVKFITGELNMGYNLVKDYIHGGGFKEVVPNLEEVIVQKGVAHFNNQEAAEDISNHICDFIRKF >OIW16488 pep chromosome:LupAngTanjil_v1.0:LG02:21267672:21269922:1 gene:TanjilG_32158 transcript:OIW16488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRKHFTRLDEPRLPPHHLPHSSSAAVHNLPIGTLEDRIQSRHREIQSLLVDNQRLAAIHVSLKQDLAVTQQDVRRLSAAASEVKAERDAQVREIYEKSLRMDAEVRAIASMSSELDQVRADVRELAKERRELSLQLESMEDESVKVRNDSQSVVKADIDAIRHEIQRGRNAIELEKKTHASNLEHRRVMDNNMIIMTREIEKLRAELANAEKRARAAAPANPSPGYHANYNNHGMGYGGLPYPPDSYSMHQIQAGVDARHQYASGASLHHPYDLHHTQGPR >OIW16671 pep chromosome:LupAngTanjil_v1.0:LG02:18161562:18163940:1 gene:TanjilG_28728 transcript:OIW16671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKREEDQGHYSSQAINNNNFQSYQEQILLQQQQQMLHHQQQNSDMNNIFGGGRGFTIPHEVSQWSIPQVHSFNPNQVREHDQFLVPPPPMPSPYSSFFNRRVPSLQFAYHEVPSDHLRMLSDTLGPMVQPGSVPFGLHAELGKMNAQEIMDAKALAQSKSHSEAERRRRERINNHLAKLRSLLPNTTKTDKASLLAEVIQHVKELKRQTSLIEETSPVPTESDELTVDTADENGKFVIKASLCCEDRSDLLPDLIKTLKSLRLRTLKAEITTLGGRVKNVLFITGDNEDSSSSGEQCMQQQQQYCISSIQEALKAVMEKNGGEESASGNVKRQRTNNINILEQRSS >OIW16278 pep chromosome:LupAngTanjil_v1.0:LG02:23384297:23384716:1 gene:TanjilG_18993 transcript:OIW16278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSNNKKTSDAKNSRKKTSLRPRVVEVSRNENYLLAKLSMQLASMVFISYSPQYFSPEKDVVYEMYKKYCGVSDDSHLEVNTVKKLTQMLNDLPVNKMNSEDLLVLDESLNSLKQKVIKKSEENIARVTNTLASSFNK >OIW16450 pep chromosome:LupAngTanjil_v1.0:LG02:24579677:24582481:1 gene:TanjilG_19166 transcript:OIW16450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQKNHVSWACLISGYTQNGMPDEACNLFKGLICAGLFPTHYAIGSALRACQERASISLKLGMQIHGLISKSPYASDAVVSNVLMSMYSSCSGSIDDARRVFDEIEIKNSVSWNSIISVYCHNGDAISAFDLFSRMQREATEFTFTPSEYTFCSLVTAANSLVDCGLVLLEQMLTRIQKSGFLHDLYVGSALVSVFARYGLTDCAKMIFEQMYDRNAVTMNGLMVGLVRQHQGEEAANIFKEMKDLVHMNAESYVVLLSAFTEFSNLKEGKRKGQEVHAYLIRSALVDTKILIGNALVNMYAKCGDIDNAWSIFRLMPCKDIVSWNSMISGLDHNERFEEAVSCFCTMRRNGMVPSNFSVISTLSSCASLGWIMLGRQIHSEGIKWGLDLDVSVSNALLTLYAETNYINECRKVFFLMPEYDQVSWNCFIGALATSEASVSQAIKYFMEMMQTGWRLNRVTFINIFTAVSSLSSLELGRQIHALILKYSAADDNAIENALLAFYGKCEQMEDCEIIFSRMAERRDEVSWNCMISGYIHNGVLHKAMDLVWHMMRGGQRLDGFTLATVLSACASVATLERGMEVHACAIRACLESDVVVGSALVDMYAKCGKIDYASRFFELMPVRNIYSWNSMISGYARHGHGQKALKLFTQMKQHGQSPDHVTFVGVLSACSHVGLLDEGFQHFKSMDEVYGLAPRIEHFSCMVDLLGRAGDVSKIEDFIKTMPMDPNILMWRTVLGACCRANGRNTELGRRAAMMLIELEPQNAVNYVLLSNMHAAGGKWEDMAEARSAMRKAAVKKEAGRSWVNMKDGVHVFVAGDQTHPEKEIIYEKLKELMNKMRDAGYVPETKYVLYDLDPENKEEVLSYHSEKLAVAFVLTRKSELPIRIMKNLRVCGDCHTAFKYISKIVNRCIVLRDSNRFHHFDGGLCSCGDYW >OIW16416 pep chromosome:LupAngTanjil_v1.0:LG02:24401005:24401352:1 gene:TanjilG_19132 transcript:OIW16416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSNMTLLSASLSAIVIAGPLLGMMLFSFIASSALVIVCSPLFLLFSPLLLGVAFVFVATLAGFAIAAAMGLIALSMLGWVAKETRVIKFGDSGYRMRGKKMDYYIDKLSATTA >OIW17024 pep chromosome:LupAngTanjil_v1.0:LG02:7403788:7409840:-1 gene:TanjilG_15770 transcript:OIW17024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVEVIKPQDGDEIHNCLIKLRSNPQRLRDKVYIGCGAGFGGDRPLAALKLLQRVRELNYLVLECLAERTLADRYQIMASGGHGYDSHMDPLGAQQKVLEIASNLGLSVSVAVAHEVSLTNIGSGFSSEKSYIMEGGISTYLGAAPIVRCLEKYKPNVIITSRLADAALFLAPMVYELGWNWDELVHLAQGSLAGHLLECGCQLTGGYFMHPGDKYRDMSFPQLLDLSLPYAEISLDGQVCVAKAEGSGGILNFNTCAEQLLYEVGDPGAYVTPDVVIDFQDVSFLPLSSCRVLCLGAKPSSISVPDKLLQLVPQDCGWKGWGEISYGGYECVKRAKAAEYLVRSWMEEIFPGLNHHILSYIIGFDSLNAFSNNGDESSMRTSEDIRLRMDGLFEQKEHAVQFAREFTALYTNGPAGGGGISTGYKKEILLEKLLVRREDVFWRTAVRSNTSIQSNKVLDPENNLRHTSILPWKLQIETEKSSSESVFPCRSSAPALNGLKIPLYNVAHSRAGDKGNDINFSLIPHFPPDIERLKLIITSQWVKSVLSPLLNLSPSLELVERDQRDKWVKENVQVEIYEVKGIQSLNVVVRNILDGGVNCSRRIDRHGKTISDIILCQQVVLPP >OIW17168 pep chromosome:LupAngTanjil_v1.0:LG02:4037448:4038518:1 gene:TanjilG_18123 transcript:OIW17168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKMKKRILASQNVDYCKSADCQDRLGDLPDCLIHHILSFVETKDAIRTCVLSKRWRHLWASVPCLNFTSKSFARLVDFRKFVLWVLSHRDSSQVKVLIYSRFGVDYATDQYLLNRVIEYAAVHGVKEIRINLQAKTFGSPPVEIPLALFACRSLKMLEFKDCHPTNLSSPIGCKSLEILHLERFSMHPAAADFSNPFASLAELFGFTTLTTLHLSSFSLCYTGIDCLDPFRNCVNLKTLHLSEMSFQSDLNPRDFVISAPQLKNLSLMCNRFKCKIIVAAPQLTNFSYLYSTPCAFFEFGLPSLDGLTIDIHEPHDQLEKSHHKKRQETLHGLINMLRGQCNAEAVKLSFCTVAV >OIW16356 pep chromosome:LupAngTanjil_v1.0:LG02:23999526:24001404:1 gene:TanjilG_19072 transcript:OIW16356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGVSFASAGAGIFDGTDQRYRQSIPLTKQVDYFELVNEEMTHKVGAPVLVKHLSKSIFGVVIGSNDIFGYFDSPNLRKKSTPTQYVDSMLFLLKVQLQRLYDHGARKFEVVGVGALGCCPKFRLKNQTECFEEANYWSMKYNERLQSMLKEWQFENKDITFSYFDTYAALSDLTQNPTSYGFAEVKAACCGLGELKAKAPCLPVSSLCSNRQDHIFWDQFHPTEAASRIFVDRIFNGPSTYTSPITLKQLVAA >OIW16680 pep chromosome:LupAngTanjil_v1.0:LG02:18343712:18344397:-1 gene:TanjilG_28737 transcript:OIW16680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVKHYSRCSLVREIVVVWNKGVPPNLSDLDFAVLVMIRTCDDVERGFNVWRQHPDCIVGFYPRLIDGGRLKYGEKYVRKHNEYNMILTGAAFIDSQLAFKSYWSEERKQGREMVDKLFNCEDVLLNYLYANTSSSLRIVEYVKPAWAIDTSKFSGVAISQNTQGHYGLRSSCLMKFSEMYGSLTGHRWGFDSRKDS >OIW16914 pep chromosome:LupAngTanjil_v1.0:LG02:10845558:10849221:1 gene:TanjilG_19219 transcript:OIW16914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEESVGSEELPVFSDIRRYYCQYCGICRSKKTLITSHINLHHKDMEDAKDEKDNDGGDGLKSNNTCEECGASFKKHAYLLQHMQSHLVERPYVCTFDNCQASYRRKDHLTRHLAQHEGKTFKCPIQNCNLLFSLQSNMKRHVKEMHNESSTSTSAEGQKQYVCPEVGCGKVFKYASKLRKHEDSHVKLESVEVLCLEPGCMKHFTNPKCLKEHINSCHLYTTCDICGTEQLKKNMKRHLRMHEADNSSEVFKCVQKDCDCSFSSKSNLHKHEKAVHFKDKPYVCGFSDCGMRFAYKHVRDKHEKTGKHVFTLGDFEEADAEFRSRPRGGRKRVCPSVEIRDIILYLELGAWSLELGAWSLELGAWSLELGAWSLELGAWSLELGAWSLELGAWSLELGAWSLELGVVSFHCLALKKSSSK >OIW16530 pep chromosome:LupAngTanjil_v1.0:LG02:20847160:20849540:-1 gene:TanjilG_32201 transcript:OIW16530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNFKSKYHDELIANAAYIGTPGKGILAADESTGTIGKRLAGINVENVEENRRYLRELLFTAPGVLPYLSGVILYEETLYQSTAAGKPFVDVLREGGVLPGIKVDTGTVELAGTNGETTTQGLDGLGKRFQKYYEAGARFAKWRAVLKIGPNEPSELAIHENAYGLARYAVLSQENGLVPIVEPEILVDGPHDIHKSAEVTERVLAAVYKALNDHHVLLEGTLLKPNMVTPGSDSPKVAPEVVAEHTVRTLQRTVPAAVPAVVFLSGGQSEEEATLNLNAINQVKGKKPWTLSFSFGRALQQSTLKAWSGKEENLKKAQDALLIRAKANSEATLGTYKGSSELVEGASESLHVKDYKY >OIW17477 pep chromosome:LupAngTanjil_v1.0:LG02:2246640:2247686:-1 gene:TanjilG_22589 transcript:OIW17477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLLHSPTTATATAADSTCSTPYASAPSSPGHTGPHSTGFFYSAPTSPMHFAITTLHSHSSPIPISHDFEFSSRFASTGFKSSGSMSSADELFFNGQIRPNNISTHLEPLLDLEQEDEEENDNDVVFDDSHVVVRGRDLMSFRDKSLRRKARSLSPSRNTDLEWIENNDNVTLTNENENGSLEIEEEDDEDGKRGSLEISTHTVSASSSRSSSAGRSSKRWVFLKDFLRSKSEGRSNNKFWSTISFSPTKDKKVINQNQDETPKPKVNSQTFSKRVVGKPANGVGKRRVTSPSPHELHYKANRAQAEELRKKTFLPYKQGLFGCLGFSSKGYGAMSGFARALNPVSSR >OIW16311 pep chromosome:LupAngTanjil_v1.0:LG02:23677673:23683175:1 gene:TanjilG_19027 transcript:OIW16311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNEWINGYLEAILSSGAPTIDEQKVVTPKESGHFNPTKYFVEEVVSSVDESDLHRTWIKVVATRNTRERSSRLENMCWRIWHLARKKKQLEGEELQRLANRRWERELGRRDATEDMSEDLSEGEKGDSVGEKIQSETPKKRLQRQISNLEVWSEDKKEKKLYIILVSLHGLVRGENMELGRDSDTGGQIKYVVELARALAKMPGVYRVDLFTRQISSPEIDWSYGEPTEMLTAGSDDDDNLGESSGAYIIRIPFGPRDKYMPKELLWPYIQEFVDGALAHILNMSKVLGEQVGGGQPVWPYVIHGHYADAGDSVALLSGALNVPMVLTGHSLGRNKLEQLIKQGRQSKEDINSTYKIMRRIEAEELSLDAAELVITSTKQEIDEQWGLYDGFDVKLEKVLRARGRRGVNCHGRYMPRMAVIPPGMDFSNVVNQEECSEVDGDLTQLTSGAEGSSPKALPAILSEVMRFFTNPHKPMILALSRPDPKKNITTLLKAFGECRPLRELANLTLIMGNRDDIDEMSSGNASVLVTVLKLIDKYDLYGQVAYPKHHKQSDVPEIYRFAGKTKGVFINPALVEPFGLTLIEAAAHGLPMVATKNGGPVDIHRALNNGLLVDPHDQHAIADALLKLVSDKNLWHDCRKNGWKNIHLFSWPEHCRTYLTRVAACRMRHPHWQTNTPEDGQAVEESLNDSLKDVQDMSLRLSIDGDLIAASASGGPEMQDQVKRLLSKMKKSDCGQNQDAGSGNKIKKPDSDSSDGNTQLDNVTGKYPLLRRRRRLIVIAVDLYDKNGAPEKKLIELVQGIIKAVQLDPQTARSTGFAISTAMPMQETVDFLASGNIQVNEFDALICSSGSEVYYPGINTEEGKLLADPDYQVHIQYRWGVEGLKKTIWKLMNTSEGGKTSEKTSSPIEEDLKSSNAHCISYKIKDLSKAKKVDDLRQKLRMRGLRCHPMYCRGSSRMHVIPLLASRAQALRYLFVRWRLNVANMYVILGESGDTDYEEMISGTHKTIIMKGVISKGSEELLRSPGSYQRDDIVPNESPLVISISETSEDKIANALKQLSKSGGM >OIW16163 pep chromosome:LupAngTanjil_v1.0:LG02:22409258:22417972:-1 gene:TanjilG_18878 transcript:OIW16163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTEARVGVAVDGGVRKLVQPQKKQVGTVSQLLAGGVAGALSKTCTAPLARLTILFQSLFERDNRVCLLDVFWKELVSLKHIQGMHSNVATLRKASMWNEASRIIHEEGFRAFWKGNLVTIAHRLPYSSVNFYSYEHYKKLLKMVPGLKGHRDKASSDLCVHFVGGGLAGITAATSTYPLDLVRTRLAAQTNVIYYRGIWHALQTIVKEEGVLGLYKGLGTTLLTVGPSIAISFSVYETLRSFWQSHRSDDSTVVVSLACGSLSGIASSTTTFPLDLVRRRKQLEGACGRARVYNMGLFGVFRQIIRTEGVRGLYRGILPEYYKVVPGVEHKNSEEEEKGFIITEFAMSTQIGIDEAVLDDIIRRLTEVRLARPGKQVQLSESEIKQLCLASRDIFLHQPNLLELEAPIKICGDIHGQYSDLLRLFEYGGLPPNANYLFLGDYVDRGKQSLETICLLLSYKIKYPENFFLLRGNHECASINRIYGFYDECKRRFNVRLWKAFTDCFNCLPVAALIDDKILCMHGGLSPELTHLDEIRNLPRPIAIPDTGLLCDLLWSDPGRDVKGWGMNDRGVSFTFGPDMVAEFLAKHDLDLICRAHQVVEDGYEFFADRQLVTIFSAPNYCGEFDNAGAMMSVDENLMCSFQILKPAEKRTKFMMPNKM >OIW16981 pep chromosome:LupAngTanjil_v1.0:LG02:8962690:8966063:1 gene:TanjilG_32848 transcript:OIW16981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFCISPWLHLIVFIVHYSSQRAVTTALWCNFLVFSLKFGVWFATSSHVMLAEVVHSVADFANQALLAYGLSSSRRAPDALHPYGYSKERFVWSLISAVGIFCLGSGATVVNGFQNLWTAQAPENIHYAALVICGSFVIEGASLLVAIQAVKKGAAAEGMKLRDYIWRGHDPTSVAVMTEDGAAVTGLLIAGASLVAVNVTGNAIYDPIGSIVVGNLLGLVAIFLIQRNRHALIGRAMDDNDMEKVLQFLKNDPVVDALYDCKSEVIGPGFFRFKAEIDFNGVMVVQNYLKRTGCEEWASQFREATKQGDDAALMRIMSNYGEEVVTALGSEVDRLETEIQNLVPGIRHVDIEAHNPVDVTLSAETSR >OIW16456 pep chromosome:LupAngTanjil_v1.0:LG02:24602961:24605150:1 gene:TanjilG_19172 transcript:OIW16456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDSKMKMKMKPPPLDRPVRVYADGIYDLFHFGHARSLEQAKKSFPNTYLLVGCCNDETTHKYKGKTVMTESERYESLRHCKWVDEVIPDAPWVVDQQFLDKHTIDYVAHDSLPYADTSGAANDVYEFVKAVGRFKETQRTEGISTSDIIMRIVKDYNQYVLRNLDRGYSRKDLGEKRLRVNRRLKTLQEKVKEHQEKVGEKIQIVAKTAAMSRNEWVENADRWVAGFLEMFEEGCHKMGTAIRDRIQERLRGQQSGDGSFLLENDKDDKDDDEYYYEEDEEYFEEYYDDDELNPQSNGKDESEK >OIW17464 pep chromosome:LupAngTanjil_v1.0:LG02:2109795:2112768:-1 gene:TanjilG_22576 transcript:OIW17464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRCQSLNISFLIPYLDPLSGTTFTNGANFAVVGSSTLPKYVPFSLNIQVMQFLHFKARTLELVAAGSRNLISDEGFRNALYLIDIGQNDIADSFAKNMSYAQVIKRIPSVITEIENALKSLYNQGARKFWVHNTGPLGCLPKILSLTQKKDLDSFGCLSSYNSAARLFNGALQHLTQKLRNQLNGATIVYVDIYAIKYDIITNAAKYGFSNPLIVCCGFGGPPYNFDARVPCGQPGNQVCDEGSKYVSWDGTHLTEAANTLIASRILSSAYSIPKLPFDFFTHH >OIW16778 pep chromosome:LupAngTanjil_v1.0:LG02:15804023:15808154:1 gene:TanjilG_05512 transcript:OIW16778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPLSSRTPHPISPFKPKHLALKNAPSRNHRRRSSKKEAQLVSGSVSELRTDSHPVPVKSDEFITEEDEDEDEESEGIIEEDDEILNKSKTVLVENEKPTPIASVTEASDSHLSNTRFDQCSVSQLSLKAVKDAGYEKMTIVQEATLPEILKGKDVLAKARTGTGKTVAFLLPSIEFVAKLPPSDRDQRRSPIHVLVICPTRELASQAAAEATKLLKYHPTIGVQVVIGGTKLGLEQKRMATKPCQILVATPGRLRDHVQNTAGFATRLMGVKILVLDEADLLLDMGFRKDIEKIIAATPKQRQTLMFSATVPEEVRQICHIALRRDHKYINTVQEGSEETHSRVGQTHLVATLDKHFSIVYTLLMEHIVDDLEYKVLVFCTTAMVTKLVAELLAKLKLNVREIHSRKPQSYRTRVSEEFRKSKRIILVTSDVSARGVDYPDVTLVIQLGLPADKAQYIHRLGRTGRRGKEGRGVLILAPWEEFFLLTTKDLPIEKAAAPLVDPESKKKVERALAQTEMKSKEAAYQAWLGYYNSNKKVGKDKHRLVELANEFSRSMGLDTPPAIPKLVLGKMGLRNIPGLRAK >OIW17383 pep chromosome:LupAngTanjil_v1.0:LG02:1461072:1464050:1 gene:TanjilG_22495 transcript:OIW17383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFETSSESDRKQRDDSIDLLDKDLCICCNQGGEVLVCSEKGCPVTLHVNCFESEPKFDDSGNFYCPYCWYKRAVDKCQELREKAMTAKKALSNFLDKNVFTSAEMAQTDGGSKRKAAVVGDGDNMHDGTDGVHGQNLQAEINQQRKLHNSDIDQADANDDVGPCREEEMPIHIKAVQDSMNLEKFDKPDTSETRETRNVEQKETKDSRHNMEDECERIVEAGEEVEPMGASRLGTDAGVQSQNIACDNGAEESIAHPAENKGKLPQVEQKVSSTGSSMSEANDSEFEAISLKKRCAKRKVQETAYPQKVAPAKKSLLHEHNTADKNASDVNEEVTSSRTLRQQQKSPSYVCSRNQPFLAGRRKKLRWTVEEETALKEGVSKFSTENGKIPWRRILEFGTRVFDKTRTPVDLKDKWRNITAKEGSWGALKVSLNFGAL >OIW17408 pep chromosome:LupAngTanjil_v1.0:LG02:1672436:1672813:1 gene:TanjilG_22520 transcript:OIW17408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMKKGTCEDTCYTLLEASGDSEADSYLSKGEHAYEDYTADDDAESCSYDGSEICDAAELNGYNESLNHDDEDEKKDDSFYGTSYCEDDEMQEQHYKSCVSDDSRQELLDEAEKNRLFWEACLAS >OIW16810 pep chromosome:LupAngTanjil_v1.0:LG02:14763215:14763571:1 gene:TanjilG_28521 transcript:OIW16810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQPNPPTTDIDSKLMGCKNQNLPRPTIFQDAPSLSHSQLTLAVPNNMLEEMSKYPKVAYSWVVENVTSYMYTSGVNIIYLYYQVLHQVLHQVSLSFWKGDDACNVFDEMPQRLLRGI >OIW16556 pep chromosome:LupAngTanjil_v1.0:LG02:20570769:20575926:1 gene:TanjilG_17729 transcript:OIW16556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGRPRKPLKPEDTTALSAKAEKLRLLQSQFLTNHHNHIYTKEALDLNAKLVENNPEWHTAWNYRKLAVETLLSRSESDPDYIRSILDEELRVVESALRKNFKSYGAWHHRKWVLSKGHSSIDNELRLLDAFQKLDRRNFHAWNYRRFVTALMKRSDEDEMKYTKELIDDNFSNYSAWHNRSILLSNLLKRKAEGYFPKENVLEEEYELVQNALFTDPDDQSGWFYHLWLIDQTVKTDAPILVSSWPSHGSTITLQGNKCLYGSGSSLLNCTLSDIGKLPVILYFSQAVEGIDSSTVTVKSEVLNGDLVWKPLSTSNSNTAQVWVTYLNVGNMELQLSKNYSLAISLGHSKGVVSSSGYHFGHPMHVAFKLCIQTDYTEPAEGQCEKKTSWNENDFKKFDHFRESESIVSTDQLTSEKDHHNQTTSNWCVEAIDKEVDKFRDLLSDSDCKLGKLTLARLLTALDSLSSTRVEKMVHADEVLQLYGDLMKLDPTHSLYYKDKHSLTLLHQITSTRESLQPYCHYYKDAAKTIAGHVCLRLQNLSLSRIGCMENLLWVQMLDLSHNELQSIEGLEAMQLLSCLNLSHNKFGSFTALGPLRLLRSLKVLNISYNEIGSHSIDTRRYLCSSPLSHTEEFAWDRFEILAGSFNATKFWEAFLIFGSLNLTELDVKGNAVADEKFRLFLVKVLPTLKWLDGGELS >OIW16483 pep chromosome:LupAngTanjil_v1.0:LG02:21320410:21322381:-1 gene:TanjilG_32153 transcript:OIW16483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRNPWADGPSYVTQCPIQPGGSYTYRFSIQNQEGTLWWHAHTSFLRATVYGAFIIYPKLGSPNPFSMPKREFSLLLGEWFDRDPMLLLRQAQFTGAAPNTSIAYTINGQPGDLYGCSSRETVHVPVHAGETILLRIINSALNQELFFSIANHIMTVVGMDAAYTKPLTTRVLMIGPGQTINVLVSADQTPGRYYMAARAYQTAQNAAFDNTTTTAILEYKNRNKQKRQSSRPILPALPAFNDTATSTAFTAGIRGLSKIKVFTNVDVNLFFTVGLGLINCTNPNSPRCQGPNGTRFAASINNVSFVLPRTTSLNQAYYQRIPGVFTTDFPPVPPIQFNYTGNVPRGLWTPSRGTKLIKLKYGSNVQIVLQDTNIVSTEDHPMHVHGFHFFVVGSGFGNFNPTTDPARFNLNDPPVRNTIGTPPGGWVAIRFKADNPGIWFLHCHIDSHLNWGLATALLVENGVGPSQSVIPPPADLPQC >OIW16410 pep chromosome:LupAngTanjil_v1.0:LG02:24352058:24353399:1 gene:TanjilG_19126 transcript:OIW16410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGWLPLSLSRTKSEPPSSSLLSEWNSYASSSSPSSQLDLESAVRSANDTVTATFNVVSKGVRDIPGNFQSATSNIPSGKSLVYFGLLLITGIFFIFIAFTLFLPVIVILPQKFAISFTLGCGFIIGSFFALKGSKTQLTHMFSNERLPFTVGFICTMVGTIYVSMVRHSYILSVLFSVLQVLSLGYYVISYFPGGSTGMRFITSAITSKIMKCFGS >OIW16936 pep chromosome:LupAngTanjil_v1.0:LG02:10528023:10534250:1 gene:TanjilG_18624 transcript:OIW16936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARCRLVLWFGVVWHIGLIGCVEASSVARFTWKDVVLGDAFSGTTRARRGHGGLSLEPVGGRWTTRAALAARAGCRVLIGGQIGNWPFGVSSLGVE >OIW16525 pep chromosome:LupAngTanjil_v1.0:LG02:20907434:20917010:-1 gene:TanjilG_32196 transcript:OIW16525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENHKPVGRLGALSTQKGKPTGSVDELCKIIWTVEADLDDGHLLYITGDPAVLGCWKPNMALLMSPTEHANIWKVEFQIASGLNFRYNYFIKGKSRSSSDIVWKPGPVFSLSVPLTALEDNKIMVRDLWIGSDSQMSSAHAWCPCTEETYLLELPSIYFPVKDEGKMASLLETDVLKSETPSLEDQLFYDNEGTVIAKDKDSHSTNTLSEYYQPVEEPWLLHSSPSIVSKDKMESNEIYDTEKEPVKLTDTEKLLPEESSSIIPKDPVSTIILINSSICTMQRIAVLEDEKLVELLLEPVKSNVQCDSVYVGVVTKLVPQMGGAFVNIGNSRPALMDIKQNRDPFIFPPFRLRTKKEQNELKGKNDNHNSEGDCLKSEDGCLKSVHNDYDEHEGDDDLYISEVLKENVNGSIVDDDVEADFEDDIEGETDNSSLPFGMNGSVNSHILQMKDKKRATHMASGENNWVQVRKGTKIIVQVVKEGLGTKGPTLTAYPKLRSRFWVLSACCDKIGVSKKISGLERTRLKVIAKTLQPEGFGLTVRTVAAGHSLEELQKDLDGLLSTWKNIMEHAKSAALAADEGVEGAVPVILHRAMAQTLSVVQDYFNDNVKKMVVDSPRTFHEVTNYLQEIAPDLCDRVELYDKKVPLFDEFNIEGELDNILSKRVSLANGGSLIIEQTEALVSIDVNGGHGMLGQGNSQQKAILDVNLAAANQIARELRVRDIGGIIVVDFIDMADEVNKRLVYEEVKKAVERDRSMVKVSELSRHGLMEITRKRVRPSVTFMISEPCACCHATGRVEALETSFFKIEQQICRSLATMDRKANPENPKSWPKFILRVDHQMCEYLTSGKKTRLATLSSSLKAWILIKVARGFTRGDFEVKPFTDERPEKNQHQVTISILKSSEARTRKPGRKLTLVPSKKSKAKGK >OIW16519 pep chromosome:LupAngTanjil_v1.0:LG02:20960519:20961430:1 gene:TanjilG_32190 transcript:OIW16519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALHRSFRAQPQGSSIPERTTEEPLPSKVAQSTVTCFYQTNVAGFWRNVSVLWCKNLMNHYLHITVDSVGGELHYTCKIDVKPWHFWSKKGYKTFEVEGNQVEVYWDLRSAKFSGCPEPISDYYVALVSDEEVVLMLGDYKKKAYKRTKSRPALVEAMLLVKKENVFAKKSFSTKARFDEKRKESDIVVDSSTSGPNNDPEMWISIDGVVLIHVKNLQWKFRGNQTVIVNKQPIQVFWDVHDWLFSGPGPGPGLFIFKPEAETEKEGSGVEGCDSDSSSVGYYSTLNIATFEFCLVLYAYKLE >OIW16420 pep chromosome:LupAngTanjil_v1.0:LG02:24431306:24432249:1 gene:TanjilG_19136 transcript:OIW16420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIATLKVPSSSSSSSSSFFLGRKSNPCNFLSFSASNLSGDKIPVCAGASRSRYTRTIPPLILSPKAVSDSQNSQTCLDPDASRIKAGAPCRGERLEKYNQLLRIEEELGEEAVYAGEDWKQ >OIW17481 pep chromosome:LupAngTanjil_v1.0:LG02:2281167:2285056:1 gene:TanjilG_22593 transcript:OIW17481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKRSFQQKGGEGDFQVLAQITKRRCTENSNLEELCRPIINQTETSFKLVFKNEVPPIFFTQSKINAKDDKPIEIALYDTISKSIVTEGPLSSIRIEICVLNGEFGSNGSEDWNSDEFNAKILSQRKGKEHLLKGDRVITLKNGVGIIKNISFTDISRWIRSGRFRLGAKVFQRTLNEANIKEGRSKPFMVRHYRNEARMKNQCSSLNDEVWCLKMIKRNGKIHQQLCSNEINTVKDLLQLYTTNQVSLQKIIGKNSWDSIIKQAKACHIDNDKWYIYHSSAAEQSISLVFNCIYEVVEVSFNGQNPCSIESLNLKDKFLVERVKQQAYTNVKDNLIPLETTTDGLLENFASVQPVQHSAMGQALEQV >OIW17165 pep chromosome:LupAngTanjil_v1.0:LG02:4013098:4013400:-1 gene:TanjilG_18120 transcript:OIW17165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYILCFKLLFFVCILEENKVKSANILERAKEEIEAVFHLDKSPKHDTETHGRNDDIDEETSSDEIKAPGVFERVKEEFEAVVEAIHPKKESDTHDSPSK >OIW16536 pep chromosome:LupAngTanjil_v1.0:LG02:20791869:20792246:1 gene:TanjilG_32207 transcript:OIW16536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMALIGIVENRNTKNKMIKTTEADKTASLELKRRNEELEEELRKSRAREEQIQRQLHAVWERLRVAEEAEERLCTQLGDLEAEGVHQARQYHARIVSLMEQLSHTNSLLNNNNINASSISVPLSL >OIW16283 pep chromosome:LupAngTanjil_v1.0:LG02:23428395:23431887:-1 gene:TanjilG_18999 transcript:OIW16283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHPCPKATPSYSTGASAAESGRELLVQHLLVKEDNQKLILDLQQRISTGEDLSDLAVEYSICPSKEDGGMLGWVRKGQMVPEFEEAAFNAPLNKVVRCKTKFGWHLLQVLSEREEALLQDIQPDEFHVKIQDPNFLEEAQLIDVREPGEVAKASLPGFKVLPLQQFGSWGPEITTKFDPQKDTYVMCHHGMRSLQVAKWLQSQGFRKVYNISGGIHAYSVQVDPSVPTY >OIW16739 pep chromosome:LupAngTanjil_v1.0:LG02:17424652:17426643:-1 gene:TanjilG_14509 transcript:OIW16739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPPFLFSQTQSTVLPNPSTFFSQNLLSSPLPTNSFFQNFVLKNGDQPEYIHPYLIKSSNSSLSVSYPFLLFTTAMLYQVFVPDLTISTSSSSHKSETKTSHVISSYSDLGVTLDIPSSYLRFFLVRGSPFITTSVTKPTTLSITTTNKIVSLHSFNDKTKHTLQLQNNQTWLIYTSYPIVFYHKDYAIESNKFSGIIRFAAWPDSTPKYEEILDKFSSCYPVSGDATIKNPFRVVYKWQRKRSGELLMLAHPLHVKLLSSSLAFNNVTVLNDFKYRSVDGDLVGVVGDSWVLETEHVPITWHSKNGVKKESYNEIVSALFKDVKELNASNVTTNSSYFYGKLVGRAARLALIAEEVSYLEVIPKISDFLKEMIQPWLDGNFKGNGFLYERKWGGLVTKQGSIDAGADFGFGIYNDHHFHLGYFLYGIAVLAKIDPAWGQKYKPQAYALVTDFLNLGQRFNSYSPRLRCFDLYKLHSWASGITEFEDGRNQESTSEAVNAYYAAALLGLAYRDTRLVATASTLTALEILAAQTWWHVKSEDKLYDEEFTKDNRIVGILWANKRDSKLWWASSECRECRLSIQVLPLVPVTESLFSDAGYVKELVEWTLPSLKNKSNVDGWKGFTYALQGIYDKENSLKKIRMLKGFDDGNSFSNLLWWIHSR >OIW16247 pep chromosome:LupAngTanjil_v1.0:LG02:23105020:23120074:-1 gene:TanjilG_18962 transcript:OIW16247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIMTPAPIDQQEDEEMLVPHTDLAENNHQSMEVVAQPETANNTVESQPVEDPPSSRFTWRIDNFSRMNTKKLYSEIFVVGGYKWRVLIFPKGNNVDYLSMYLDVADSTSLPYGWSRYAQFSLAIVNQIQNKYSVRKDTQHQFNARESDWGFTSFMPLGELYDPSRGYLVNDTLIVEAEVLVRKIVDYWTYDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDMPSGSIPLALQSLFYKLQYSDTSVATKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEDKMKGTVVEGTIQKLFEGHHMNYIECINVDYKSTRKESFYDLQLDVKGCRDVYASFDKYVEVERLEGDNKYQAEQYGLQDAKKGVLFIDFPPVLQLQLKRFEYDFMRDTMVKINDRYEFPLQLDLDRENGKYLSPDADRTVRNLYTLHSVLVHSGGVHGGHYYAFIRPTLSEQWYKFDDERVTKEDIKRALEEQYGGEEELPQTNPGFNNTPFKFTKYSNAYMLVYIRETDKDKIICNVDEKDIAEHLRVARDEDLVEQIGKDIYFDLVDHDKVRSFRVQKQMSLNLFKEEVAKEFGVPVQFQRYWLWAKRQNHTYRPNRPLMPVEEAQSVGQLREVSNKVHNAELKLFLEVELGLDLRPIAPPEKTKDDILLFIKLYDPVKEELRYVGRRFVKSTGKPSEIIKMLNEMAGYDPDEEIGLYELPQTNPGFNNTPFKFTKYSNAYMLVYIRETDKDKIICNVDEKDIAEHLRERLKKEQEEKEHKKKEKAEAHLYTIIKVARDEDLVEQIGKDIYFDLVDHDKVRSFRVQKQMSLNLFKEEVAKEFGVPVQFQRYWLWAKRQNHTYRPNRPLMPVEEAQSVGQLREVSNKVHNAELKLFLEVELGLDLRPIAPPEKTKDDILLFIKLYDPVKEELRYVGRRFVKSTGKPSEIIKMLNEMAGYDPDEEIGLYEEIKFEPNVMCEPIDKKLTFRASQLEDGDIICFQKAPAMDSEEHVRYPDVPSYLEYVHNRQVVHFRSLDKPKEDDFCLEMSRLYTYDDVVERVAQQLGLDDPSKLRLTPHNCYSQQPKPQPIKYRGVDHLSEMLVHYNQTSDILYYEVLDIPLPELQGLKTLKVAFHHATKDEVVIHTIRLPKQSTVGDVLDDLKTKVEMSHPDAELRLLEVFYHKIYKVFPPTEKIESINDQYWTLRAEEIPDEEKNLGPHNRLIHVYHFTKDTAQNQMQIQNFGEPFFLVIHEGETLDEIKVRIQKKLQVPDDEFAKWKFAFFSLGRPEYLQDSDIVSSRFQRRDVYGAWEQYLGLEHIDNAPKRSYAANPNRHTFEKPVKIYN >OIW17420 pep chromosome:LupAngTanjil_v1.0:LG02:1754272:1757984:-1 gene:TanjilG_22532 transcript:OIW17420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAQSVTQSPPPSNRKIRFAVYQFFADAILVFLVIAGVSYTKIDWDAYMSQVSGFLKGERDYRKLEGDTGPLVNPAGFLYVFSAFQYYTAGQVYLAQVLFLILYIINLAIILFIYVKTDVVPSWAICLLSLSKRIHSIFVLRLFNDCVAVTIFHAALLLLMYRRWNLGLIVFSAAVSIKVDVLLYAPPLLLLLLKAMDISGVLLALAGAALVQILLGLPFLVSYPVAYISRAFNLGRVFVHFWSVNFKFIPEPIFLSKGFAIFLLGAHLTLLASFAHYRWLRHEGGLLNFLRTRFVSLRLRFPLLFSSYSKKFSKSSSSSSKVLTKEYIVTTLFVGNFIGIICARSLHYQFYSW >OIW17274 pep chromosome:LupAngTanjil_v1.0:LG02:523588:527760:1 gene:TanjilG_22386 transcript:OIW17274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIPWDDVVVIQHGKDDNDPTVVTVNCPDKAGLGCDLCRITLEFGLHITRADISTDGRWCYNIFWVIPNRTSVEVDWERLKNRLLSVCPSCLFSYHLNLQPATPSPPLVYLLKVWCVDQKGLLHDITEILCNLQLLIQKVKVMPTPDGRALDLFFITDGMELFHTKQRRDYVCGYLIDALGESCISSELQLAGPEYGHPQGFSSLPPPFAEELFTSELLGKKVSMHPLSQDMTTLKKPTIVVDDSLSPSHTLLQIQCVDQKGLCYDIMRISKDSDIQVAYGRFSSSVKGFRTIDLFLQQSDGKKITDRETQKTLCSSLKEEMLHPLRVIIVNRGPDTELLVANPVELCGRGRPRVFYDVTLALKGMGVFIFSAEVVRHSTQERQWEVYRFLLDESRDFPLTSSKARSQIVDKVRRTLMGW >OIW17232 pep chromosome:LupAngTanjil_v1.0:LG02:3758648:3760848:-1 gene:TanjilG_02521 transcript:OIW17232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPVFVGNFEFDTRQSELERLFSKYGRVERVDIKSGYAFVYYEDERDAEDAIRVLDNFPFGYEKRRLSVEWARGERGRHPNGARENQRPTRTLFVINFDPIRTRDRDIERHFEPYGKIHHVRIRRNFAFVQFETQEDATKALECTHMSKILDRVVSVEYALRDDSERGDRYHDSPRRGGYGRSPSPVYRRRSSPDYGRPRSPVYDRRRSPDYGRPRSPDYGRPRSPDHVRPRSPDHVRPRSPEHGRYRRY >OIW16651 pep chromosome:LupAngTanjil_v1.0:LG02:19013022:19014942:1 gene:TanjilG_23153 transcript:OIW16651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMYGKNKSNLFEDDFTDQLCKLNLNETSEFPMPNGNAETKNKNIATQRHRRIHASSTPGKPVFSFISNVGLGRNLATKRFPSKWDDAEKWLMNTTTSTTTTSFHDSPPYVKLSLSESSNNSKKSSRQCDTFKQQMENRVTVNEERVSKPVPTFQRSASFERYNPFGAFNEGVSAYPTDHMALKDKFTDSIEPIMQNFRYSEPTQEGFLFRNLAEEAMQDACTEVIHDVHHRDVGTEMTPLRSSTTSRCHTPIKSSSPTRHITPETRSGLLALPNPNGTSYTVEECHFSKVKLGTINDLISSNWSTSEEEEEGEEEITKSLRHNSTQNGDSECRAATWEEDEKNKSYLRYQREEAKIQAWVNLQNAKAEAQSRKLELKTPSAVL >OIW17289 pep chromosome:LupAngTanjil_v1.0:LG02:697473:699735:1 gene:TanjilG_22401 transcript:OIW17289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNITKTIFILTTLLSLFTPFINCDESSTKTIVKYTKSGKKLCDKGWECKGWSIYCCNLTITDYFQVYQFENLFSKRNTPLAHAVGFWDYHSFINAASLYEPLGFGTTGNKTMQMMEIAAFLGHVGSKTTCGYGVATGGPLAWGLCYNHEMSPSQKYCDDYYKLTYPCNPGADYYGRGAIPIYWNYNYGAVGEALKIDLLSHPEYIEQNATLAFQAAIWRWMTPIKKSQPSAHQAFVGNWKPSKNDTLEHRAPGFGVTMNILYGEGVCGQGDVDQMNNIISHYLYYLDLLGVGREQAGPHEVVSCAEQKAFNPITKASSS >OIW16820 pep chromosome:LupAngTanjil_v1.0:LG02:13583692:13591706:-1 gene:TanjilG_06860 transcript:OIW16820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANKFENNDSSLTINTPSKPSPIGQRIVEVDVIDISSLQVEGLDQGSDVNLSSRSQRSDGVNINHGESNIFTPPPSSAKKRKKKKAYWPKILDESKTNTKRTYKSMNTPKPSTPKQRKKYVRKRPSCMGPLFPQDSVSLAFMEKPSKVEEKVEEIGSNNSLFKNEFGIEYNSLQTYQKLRSLVGSCLIESRQIGVNFPRSGKKKRKVRERACLEKLLQPYNKGKRSKTFKRKKRCWNVLNPEGKIVVSRVMKSLIKKLKSLKKKREKKKETNKKTTNQVVVYKEHQIVPYKGPHSKYQGEVELDGETLRVWNLLLDGKAYIEHEKQKYWEEKRYMYQRKIGIFMTRMHNTLGDRRFLSWKGSVLDSVVGVFLTQNVSDYLSSGAFMNLAAKFPAKTTSYGSETTVDFDEEIEDNKVEVEDNKVPEFDKEIEGNKVEEVEDQNAKDSCEVDNKGAENNSSKVKIISDKKKLAEEEKDKVKKEKEVHWDMLRKMYTKSTRHSDHEDIVDWEAVRCAKLSEFAEIIKCRGQHNIIAAKIQRLLNHLKDTYGNLDLEWIRYAPPMDVNPNCGYVAFTLLMGKCGNNVIAEIPKTVILQLWKANKNTNFEQANGLYYREYLLSIYGLGLKSVECIRLLALQHSAFPVDVNVGRIVVRLGWVPLKPLPESMQIHDLEKFPKEEDVQRYLWPRICNLNRKTLYQLHYQMITFGKVFCGKGRPNCNACPMREEGCKYYESKLASKKLALPGPTSDKRPIDNKMLVTSMHHDNPMQWSTLPRNSTLTFISELNNSSFTEQQTSNECEPIIEMPASPLEPEIEGLDDIELNNDDEFYEGDVTEEIDLTINLSSQESRTHDYYSLEDCENEINMSRDLVTLPENVANTPLPKMKREKRTRTERWVYILPDDHPLLEKRTPRLADDRTPYLLVVYTKGELKGSCESTENNSQEEEDDNQTVPGTLLVFADYDSLKNPINVPRRWLWDLHRCFTYFGTAITTIVKGK >OIW16267 pep chromosome:LupAngTanjil_v1.0:LG02:23311867:23313918:1 gene:TanjilG_18982 transcript:OIW16267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANTPPPSPRRSRSPILFAPQVPLAPLQRGNGPPFNNQMWQNEPHSIVNQPPEQGIPVMITWSYGGNNVAVEGSWDNWTSRKALQRGGKDHSILVVLPSGLYHYRFIVDGEQRFIPDLPSVADETGHVCNLLDINDYVPENPESVSEFEAPSSPESSYGQAFPAEEDFAKEPMAVPSQLHRTVLGMENTDVVSSSKPQHVVLNHVYIEKNMASKSVVAVGLTHRFQSKYVTVVLYKPLKR >OIW16104 pep chromosome:LupAngTanjil_v1.0:LG02:21808485:21813044:-1 gene:TanjilG_18819 transcript:OIW16104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPADSPYSGGVFLVSIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRAKYEATARSWTQKYAMG >OIW16350 pep chromosome:LupAngTanjil_v1.0:LG02:23956137:23958540:-1 gene:TanjilG_19066 transcript:OIW16350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPITNTFPTMTNWFSRMVEAVTRCKEDFSSSVFAAIYGSSVGVFMIVLERVLFAAFTCTLALGGSIIGTIAGAIRGQTTEAGFLDGAGKGAVTGAIAAIELVNYVAVGEPLSEVTMLSSFLNGKVFIDWICPAAAHAYEFHHINTSETSYIEVSDIYDNFGGFKGIPQNLILKLPFEQYNSSKMMKLHNKISCTICLQDFEDGELVNILPKCGHIFHLECIDKWLIQQWSCPMCRTYVHHHSNC >OIW17281 pep chromosome:LupAngTanjil_v1.0:LG02:605507:614203:-1 gene:TanjilG_22393 transcript:OIW17281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGSRGLFGWSPPHIQPLTPVSEVSEPPESPSPYLDPSAEASGTQQVEVEEEMEEPEEMEPPPAAVPFSGLFACSDRFDWFLMCVGSVAAAAHGTALVVYLHYFAKIIHVLRMEGSQEQFQRFTELALIIVYIAAGVFAAGWIEVSCWILTGERQTAVIRSKYVQVLLNQDMSFFDTYGNNGDIVSQVLSDVLLIQSALSEKVGNYIHNMATFFSGLVIGLINCWQIALITLATGPFIVAAGGVSNIFLHRLAENIQDAYAEAASIAEQAVSYIKTLYAFTNETLAKYSYATSLQATLRYGILISLVQGLGLGFTYGLAICSCALQLWVGRFLVIHGKAHGGEIITALFAVILSGLGLNQAATNFYSFDQGRIAAYRLFEMISRSSSSVNQDGTAPDSVQGNIQFRNVYFSYPSRPEIPILSEFYLTVPAKKAVALVGRNGSGKSSIIPLMERFYDPTLGEVLLDGENIKNLKLEWLRSQIGLVTQEPALLSLSIRDNIAYGRGATMDQIEEAAKIAHAHTFISSLEKGYDTQVGRAGLALNEEQKIKLSIARAVLLNPSILLLDEVTGGLDFEAERAVQEALDLLMLGRSTIIIARRLSFIRNADYIAVMEEGQLVEMGTHDELLTLGGLYAELLRCEEAAKLPKRMPVRNYKDTAAFRIEKDSSESHSIKEPSPPKMLKSPSLQRRSNVSRATDDILNSQESPKVRSPPPEKNLENGQAFDAADKEPSITRQDSFEMRLPDLPKIDVQSVHRQTSNDSDPESPVSPLLTSDPKNERSHSQTFSRPHSPSDDVSVTMRERRDLRHRKPPSIQKLAELSFAEWLYAVLGSIGAAIFGSFNPLLAYVIGLVVTAYYRIDEKNHLQQEVNKWCLVIGCMGIVTVIANFLQHFYFGIMGEKMTERVRRMMFSAMLRNEVGWFDDEENSADNLSMRLANDATFVRAAFSNRLSIFIQDSAAVIVGLLIGALLHWRLALVAFGTLPVLCLSAFAQKLWLAGFSRGIQEMHRKASLVLEDAVRNIYTVVAFCAGNKVMELYRLQLKKIFKQSFLHGMAIGFAFGFSQFLLFACNALLLWYTAICIKNGYIDPPTALKEYMVFSFATFALVEPFGLAPYILKRRKSLISVFDIIDRVPKIEPDDSSALKPPNVYGSIELKNVDFCYPSRPEVLVLSNFSLKVSGGQTVAIVGVSGSGKSTIISLIERFYDPVAGQVILDGRDLKLYNLRWLRSHLGLIQQEPIIFSTTIRENIIYARHNANEAEMKEAARIANAHHFISSLPHGYDTHVGMRGVDLTPGQKQRIAIARVVLKNAPILLVDEASSSIESESSRVVQEALETLMMGNKTTILIAHRAAMMRHVDNIVVLNGGRIVEEGTHDSLAAKNGLYVRLMQPHFGKAMRQHRLV >OIW16727 pep chromosome:LupAngTanjil_v1.0:LG02:17099814:17100095:1 gene:TanjilG_14497 transcript:OIW16727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVTLPLPSRPEVLSLFRSLLRVAHNFPDYNIREYIKRRTIDAFRQNRNLSDSPSISSAFSEGKSQLDVAKRQAIVYSLYAPPLKSVMELQNP >OIW16388 pep chromosome:LupAngTanjil_v1.0:LG02:24203452:24210416:1 gene:TanjilG_19104 transcript:OIW16388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDASTTNESNSVSDPNDPNKKFGSGQNNSARYKLMSPAKLPISRSPCITIPPGLSPSSFLESPVLLSNMKVEPSPTTGSFSKLHQTVHGSMPSAMAATFPVTTTCLNSNTVEERKSNIFEFKPNMVPVDFNNHVSEQYTQAKGPGKAQSFASSPLVEGDIAVPSNELSLSSPVQMVSSGASTPVEVDSEELNHKGNTATALQASQVEEVKGSGPSVAPERASDDGYNWRKYGQKLVKGSEFPRSYYKCTHPNCEVKKLFERSHDGQITEIVYKGTHDHPKPQPSRRYSSSTIVSMQEERSGKPSLAGRDADPNSTPDLSAVATNDCQEGAALVSNRTNEEIDDDDPFSKRRKMELGIADVTPIVKPIREPRVVVQTLSEVDILDDGNTTMDASTTNESNSVSDPNDPNKKFGSGQNNSARYKLMSPAKLPISRSPCITIPPGLSPSSFLESPVLLSNMKVEPSPTTGSFSKLHQTVHGSMPSAMAATFPVTTTCLNSNTVEERKSNIFEFKPNMVPVDFNNHVSEQYTQAKGPGKAQSFASSPLVEGDIAVPSNELSLSSPVQMVSSGASTPVEVDSEELNHKGNTATALQASQVEEVKGSGPSVAPERASDDGYNWRKYGQKLVKGSEFPRSYYKCTHPNCEVKKLFERSHDGQITEIVYKGTHDHPKPQPSRRYSSSTIVSMQEERSGKPSLAGRDADPNSTPDLSAVATNDCQEGAALVSNRTNEEIDDDDPFSKRRKMELGIADVTPIVKPIREPRVVVQTLSEVDILDDGYRWRKYGQKVVRGNPNPRSYYKCTNAGCPVRKHVERASHDPKAVITTYEGKHNHDVPAARSSSHDMAGPAPAGTRIKLEESDTISLDLGMGISSAAENRSNGQRKMLLSEYGQNQTQQTSNSNFKFVHTSAGPVYFGVLDNGANPYGSRGNRNDDASLNHASSYPCSQNIGRVLTGP >OIW17571 pep chromosome:LupAngTanjil_v1.0:LG02:110285:111685:1 gene:TanjilG_08849 transcript:OIW17571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPIITIQEKSLISPHSSTHLSLPLTFFDLLWLRFHPVERIFFYSFPTTHSNDPSFFFDKIVPNLKTSLSLTLQKFLPLAGNIIWPSHSPKPIIQYNPGDAVILLIAESNVDFDHVLQNSPCDASESRFLLPNLESSDSAASVISLQVTLFPNRGFSIGVSTHHAVLDGKSSTMFIKAWATLCRSGGEEEAPLEPFFDRDVIKDPTKLDLLLTENWTEDPFDDTKKTRSLEILSFVFKPRVENSVRAKFDLSKRDLENLKKRVLSKWDEVDHDDEVNSKPHTVSSFVVTCAYVSTCIARAIQQAENSDQKKFAFGFAVDCRARLEPPVPENYFGNCVNLHLVDAKPEDYAKEDGYVIVAKKIVSKIKNLDKKGVLEGVGTLFSKHERRARLGIELIGVAGSSRFGVYETDFGWGRPQKVEITSLDRSLVIGMDGSKDGKGGIEVGLVLNKDVMDIFSNLFHAGLI >OIW16722 pep chromosome:LupAngTanjil_v1.0:LG02:16498370:16501272:-1 gene:TanjilG_14492 transcript:OIW16722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEKEKLELDRNQDPMSYSSAMNLDWRFGGADLPNSSVGLIAMGNSMNVSRGDLIGSSSCSSALVVDSFGPNFWDHTPNSQNIGFGDINNVRNNGSSSHRIGFGRSGHDHGALEMGWNPANPLLKGDGFIPMGRGVFPQSLSQFPTDSGFIERAARFSCFGGGNFGEMVNSYGAPQSISLYAGPGTMHGTRNALAGHGLKPAPGEQSQETDLNVVEAAKDVSPCVEHLATKGSPLKNDKRSESCGITHDERKQALVRPANESDRAESNDDDGGGQDDSTMLEGTSGEPSNKGPNSKKRKRSGQDADNDKGNGATELAGEGANDNSESPQKGDQQPTSATKASGKNAKEGSEASGPPKEEFIHVRARRGQATNSHSLAERVRREKISERMKLLQDLVPGCNKVTGKAVMLDEIINYVQSLQRQVEFLSMKLATVNPRLDFNVEGLLAKDILHQRPGPSSALRFPLEMSMAYPQLHPSQPGLIQSTLPSMANTSDIFCRNIHPQMVPSSGGFKEPNQLTDAWEDELHNVVQMSYAPTIPLNNQDVDGNVAANQMKVEL >OIW16496 pep chromosome:LupAngTanjil_v1.0:LG02:21188262:21190774:-1 gene:TanjilG_32166 transcript:OIW16496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATACVADLSRAAACRDSVAASHFKSISIFIIFFTSMFGMSSPVLLARIFQGKSLYDRAIAVIKCFAAGVILSTSLVHVLPNAYTALADCHVASRHPWRDFPFAGLVTLVGVILALSVDLIATPHMSHAPYAPVVMQEKESAVELGCDGGGGETEKVEEELVKLKQRLVSQVLEIGIVFHSVIIGVTMGMSQNVCTIRPLVAALAFHQIFEGIGLGGCVAQAGFSFGTMAYMCFMFSVTTPLGIMLGMALFSLTGYDDSSPNTLIMEGLLGSISSGILIYMALVDLIAVDFFHNKLMNTNPGLKKASFVALILGSASMSILALWA >OIW16775 pep chromosome:LupAngTanjil_v1.0:LG02:15737985:15738182:1 gene:TanjilG_05509 transcript:OIW16775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQKNSDPQIPNSSDFPPIPKINKIRYPEISNSHQITNGSESKAIKSYERERGHSYTLDKQQQRK >OIW16094 pep chromosome:LupAngTanjil_v1.0:LG02:21612659:21614005:1 gene:TanjilG_18809 transcript:OIW16094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASHFQYFLFLVLVTLATLIVIPTTHAKLSPNYYNKVCPQALPIIRSVVEKAIIRENRIGASLLRLHFHDCFVNGCDASVLLDDTPSFVGEKTAFPNNNSLRGFDVVDEIKAAVDKACKRPVVSCADILAVAARDSVAILGGQQYWYQVLLGRRDARNASWDAANNNLPPPFFNFTQLLGLFQAHGLNLKDLVVLSGAHTIGASQCGVFRDRIYNDTNIDPTFAANLRSICPQTGGDDTNLAPLDSTPNRFDTVFYTSLLYKKGLLHSDQELFKGNGSASDKLVQLYSRNSNAFAADFGPSVIKMGNIKPLTGNKGEIRCHCRKVNNF >OIW17380 pep chromosome:LupAngTanjil_v1.0:LG02:1441457:1445148:-1 gene:TanjilG_22492 transcript:OIW17380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRIISTPASKFRELRRVHSKKTTAATDVEHAHHILWRYQILDPDSDIVTYWNKVFLVTSLLALFVDPLYFFLPTVGGPACMKADHKLRILITVLRSFADLFYVLHMVMKFRTAFVAPNSRIVGRGELVMDPRQIAMRYLKSDFIALQIVIWLVIPGSTNARSSNHANTTLALFVLIQYVPRLFLIFPLNQRIQKTTGVIAKTPWIGAAYNLVLYMLASHVTGATWYLSSIGRQFSCWKTQCELEDKSHALSCLPSFLDCSSVELPERQYWLNITRVISKCDAKSKINIKYKFGMFADAFLNDVVTTSFKERYFYCLWWGLRNLSSYGQNLDTTTYLLETLFCIVLCIAGLILFSLLIGNMQTYLQSMSVRLEEWRIRKNDTEEWMRHRQLPTDLQERVRRFVQYKWLATRGVNEESILLSLPMDLRREIQHHLCLSLVRRVPFFSQMDDQLLDAICERLASSLSTADTYIFREGDPVDEMLFIIRGQLESSTTNGGRSGFFNSITLRPGDFCGEELLTWALMPNSTLNLPSSTRTIKAISEVEAFALQAEDLKFVASQFKRLHSKKLQHAFRYYSHQWRTWGSCFIQAAWRRYKKRKATRELSMKESYYYMEMNETESDYMERDDSEIYEGGEIGRSSGNVKKVQNFGATVLASKFAANTKKGNHKIPDRDPVPQLFKPDEPDFSMDHEEV >OIW16928 pep chromosome:LupAngTanjil_v1.0:LG02:10269920:10271536:1 gene:TanjilG_18616 transcript:OIW16928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDLFSGSFTRFRNEQVSPDHAIQMTGATPSSPPLTDRVNLDMFFDEVESVKEQLKELEQLHENLRESNEKSKTVHSAKAVKDLRSKMNDDVSLALKRAKLVTVRLEALDRSNEASRSLPVSGPGSSSDRTRTSVVSGLRKKLKDSMESFNNLRQQISSEYTETVQRRYYTVTGENPDDKTIDLLITTGESETFLQKAIQQQGRATIIDTIQEIQERHDTMKEIERNLNELHQVFMDMAVLVQSQGEQLDDIESHVARANSYVRGGVQQLHVARNHQKNTRKWTCIAIIILLIIILIIVLSIVLKN >OIW17452 pep chromosome:LupAngTanjil_v1.0:LG02:1987979:1990562:-1 gene:TanjilG_22564 transcript:OIW17452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVNEARILLGFPPNSHPTPSQVKSAYKKKVWESHPDLFPSQEKPLAESRFKLISEAYVCLLPGGNGGASSSVGYSRVVRTGVPRTQGGRKHHAMVKVPFILIILGTFALGGLNASRYNQSYG >OIW16344 pep chromosome:LupAngTanjil_v1.0:LG02:23904777:23923083:1 gene:TanjilG_19060 transcript:OIW16344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSIASQLQSIRSLVQSDSHSLKRPFSRPSILFDPKEAADIDLQSIFNIALEGLEVLISTDERFRNYKNDLFSHRSKELDRELMGIEENNQLNASIASYLKLLSGCFHLPSALKTIEYLIRRHKVHVYNTEELILCALPFHDTHEFVRIVQILDTRNNIKWGFLDGVKVSGAPPPRTVIVQQCIRDKGVLEVLCNYASPSKKFQPSRHVIGFCTAVFVEVLGTSVTVNDDVVKRILPFVVSGLQSGIKGTSDHKAGSLMIVGLLGNRAALAPKLLNSLIRSVAEVAREEAKELTDLQWFRLSLIALINLVQSQNVDILPTKALEILKEIRDLAEVLLELSKEFNIEKFLLVLLDSLINCSSSDEYCQRALLSIIEKVPISGSVYHVVTKILSTCVKLSQKAGDPTSSMSDTKGPDGHLCCDSLSCGMEEPKPVLLHYPSADIRRATLLDLNSSGMLKSKAVASENLIDIEEAILRQLDDKDLTVVQAALSIDGLPNVIESSKLLDALQKVLRKCFGMLVLGSTDNISLTVNVCVICLNNAISYFHDLSDYSNKVAAMIFPLLLVLPQTQHLNLKALGFVEKINWPLYQNIAVSSPGEVTSIPGSLSSINLRTINNMAENFMVQPEDHIAWFVDNCSDLELSKTLFFFVVLQSLLIKPKGDDFSALFESVFPILKAEWISLVTPGDVLLEEFNSEMLDWDCSAFFDHLSVASLRPLNTKLLVCIFWRLLSALISVVPSDILLDDDNKWVSRIKDLFVFFATSQFKNSFHEHLHYLAAHCKISPARLLSKFFTEEDVPVAVQVESLQCYAFLCSLSQDRWQIELLAEFPSVLVPLAGDNQAIRIAAMNCIDGLRALWCRIEPPGKKNGSNFLGECNFLGELLRLMDEQKTLILSDRKFLPSLFASMLSLSCHNILVPQNIENRFDQAAKEKILGFILRSVPKFSNYGKLMILSLLKGIGSVIMHIKEYCDVLDTSCQKLSKIEIQMKCLLLESCVMSYSSGGNDLQGHLLKALQLDATTSDDPAYVEPCITVLNKLNNEFYKGLKNEVKELLFCELVFLCRNANGAVQSATREAIMRIDIGFSTVGHMLDLILKHENYVVSSMYEKTAKKQRLTVNQEADLPPSDICRGVDPVYVISSLLDVLLLKKGITSRDLLLGPLFKLLSTVFSEEWVNNVLSSETRLNQPLSSSSEANTAIICHIQQTLLIILEDIVRSLKSMAPLKGKLTNVVDIKLLIECARTSNDVATRNHVFSLLATLTRVLPEEVLGHILDIIEIIGQSAVIQIDTHSKHVFEDLISAIVPCWLSKTDDMEKLLKIFVDILPEIVEHRRLSIVLYLLRTLGEGKSLASLLSLLLSSLVSRKATFFLNSETPDALAFYTREWEFKFAVQICEQYTSITWLPSLVAVFEQRGTSNVGRGQFLELFLAMQFTLQKFQEPEFVLKLESGDDIDFIQRALGQLMEQIVFLLDVVDARKKQLNLPVIVRKELKEIMRAVVRNITLVMTPSAYFKSIINLLQHADKNVGKKALGLLCETARSHKNASLKLKGKKGSRSNPSFSWLHMNESSQESLNNMCLEIVRVLDDSSDVSLKVAAVSALEILADRFSSNNSIFSVCLGPVTRCITSNIPVVTSSCLRATAALINVLGPKALAELPQIMDNVMKSSRQVLSKSDTKHKTNDVLSASNESHLFSVLITLEAVVDKLGGFLNPYLTNIMELLVLHPECVSGTDAKLESRAHGVRKLLAEKIPVRLVLPPLLKLYPAAVEAGDNSLTIVFDMLATLVGAMDRSSIVAFHAKVFEFCLVALDLRYRSPPSVQNIDVVEKNVINAMVALTLKLTESMFKPLFVKSIEWAESDVDETASAGSLDRAISFYAMVNKLAESHRSLFVPYFKHLLGNCVHHLDDGGDVKASGLNRKKKKAKTQEGDSVSIKSWHLRTLVLSSLHKCFLYDTGNFKFLDSGNFQTLLKPIVSQLIIDPPASPEDSMNIPSVKEVDDLLVVCIGQMAVTAGSDLLWKPLNHEVLMHTRSEKLRPRILGLRIVKYLVENLKEEYLVLLAETIPFLGELLEDVDLSVKTLAQEILQEMESMSGESLRQYL >OIW17037 pep chromosome:LupAngTanjil_v1.0:LG02:7273611:7281786:1 gene:TanjilG_13856 transcript:OIW17037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEDERDTEIGSSIDSTESRWVFQEDEDVSEIDEFDADLPMIDSEDEENEEHRLIRTGPRIDSFDVEALEVPGAHRNDYEDLSMGKRIVLIFQTLGVVFGDVGTSPLYTFSVMFRKAPINGNEDIIGALSLVLYTLILIPLVKYILVVLWANDDGEGGTFALYSLICRHAKASLLPNQLPSDAQISGFRLKVPSPELERSLKIKERLETSLTLKKILLLLVLAGTSMVIANGVVTPAMSVLSSVNGLKVGVDAIKQDQVVMISVAFLVILFSVQKYGTSKMGLFVGPALFIWFCSLAGIGIYNLIKYDSSVLRAFNPIYIYYFFARNSTKAWYSLGGCLLCATGSEAMFADLCYFSIRSVQLTFVFLVLPCLMLGYLGQAAYLMENHADAGQAFFSSVPNGVFWPTLFIANIAALIASRAMTTATFSCIKQSTALGCFPRLKIIHTSRKFMGHIYIPVLNWFLLAVSLVFVCSISSIDEIGNAYGIAEVGVMTMTTILVTLVMLLIWQINIIIVLSFAVVFLGLELIFFSSVLWSVTDGSWIILVFAVIMFLIMFVWNYGSNLKYETEVKQKLSTDLMRELGCNLGTIRAPGIGLLYNELVKGIPGIFGHFLTTLPAIHSMIIFVSIKYVPVPVVPQSERFLFRRVCQKSYHIFRCIARYGYKDVRKENHQTFEQLLMESLEKFIRREAQERSLESDGDGDSNSEDECSGSRVLIAPNGSVYSLGVPLLTDFTETSIPIFEASTSEDVESQSSNHPVLDAEQSLERELSFIRKAKESGVVYLVGHGDIRARKDSWFLKKLLINYFYAFLRKNCRRGIANLSVPHSHLMQVGMTYMV >OIW17264 pep chromosome:LupAngTanjil_v1.0:LG02:440002:442647:-1 gene:TanjilG_22376 transcript:OIW17264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWWVDMKAALGQRINLEGILCSTTVILRDPKLALPHISVPDIRYIDWAELHRKGFKGVVFDKDNTITAPYSLTPWPLLESSLQHCQSVFGPDVAVFSNSAGLHEYDYDGSKAKMLEGTIGIKVIRHRMKKPAGTAEEIEKHFGCESSKLIMVGDRPFTDIVYGNRNGFLTILTEPLSLTEEPSIVKQVRKLETSFVSYWSSKGLKPLDQKLLPDPMFLLCLDDLPLNLPPPHALFLVGGWNSRREG >OIW16825 pep chromosome:LupAngTanjil_v1.0:LG02:13708320:13708541:-1 gene:TanjilG_06865 transcript:OIW16825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHQPASSFYEAQTGEFILEAQELLKLRETITSVYVQRTGRPLLLVSEDMERDVFMSAAEAQAHRIVDLVAVE >OIW16405 pep chromosome:LupAngTanjil_v1.0:LG02:24322072:24326171:1 gene:TanjilG_19121 transcript:OIW16405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGVVQDVPQHKHHSNVPVTDEIDDSPIEQVRLTVPITDDPTQPALTFRTWFLGLASCLLLSFVNQFFSFRTNPLYLSSVSAQIVTLPLGKLMAATLPTRRFQVPLTKWYFTLNPGPFSLKEHALITIFASSGSSGVYAINIITIVKVFYHRGIHPAAAFMLAISTQMLGYGWAGIFRKFLVDSPYMWWPSNLVQVSLFRAFHEKESRPKGGNTRLQFFFLVFVSSFAYYIIPGYFFQALTSISFVCLIWKKSITAQQIGSGMYGLGIGSFSLDWNTVSGFLGSPLAVPGFAIINTLVGFALLIYVVIPLCYWNNTYYAKKFPLISARTFDSTGARYNVTRILNTKTFDIDMGSYNNYSKLHLSIIFALEYGLSFATLTATLSHVALFHGKTIWQLWKKTTSAVKGELGDVHTRIMKRNYEQVPEWWYVTILALMIIMSLVACEGFGKQLQLPWWGFLLSLGIALVFTLPVGVIQATTNMQTGLNVITELIIGYIYPGRPLANVAFKTYGYISMSQALGFLGDFKLGHYMKIPPKSMFIAQLVGTIVASSMYFGTAWWLLTSIENICDETLLPEGSPWTCPGDVVFYNASIIWGVVGPQRMFTKEGVYPELNWFFLVGLLAPLPVWLLARKFPNHKWIELINMPIIFGGAGGIPPARAVNYIMWGIVGIFFNFYVYKKFKTWWARHTYILSAGLDAGIAFTGVLLYFTLQNYNVYGPKWWGLEADDHCPLAKCPTAPGVVAPGCPIL >OIW16628 pep chromosome:LupAngTanjil_v1.0:LG02:19351697:19353755:-1 gene:TanjilG_01867 transcript:OIW16628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELVETPKLQQQKKQQHFHVLVVDDSVIDRKLLERLLRDSSCKVTFMESGDKALKYLGLLDDIDNDSSTSSQPLPLQDGIKVNLIMTDYCMPGMNGYDLLKRIKGSSWKDVPVVIMSSENVPSRISR >OIW16954 pep chromosome:LupAngTanjil_v1.0:LG02:9885021:9885224:-1 gene:TanjilG_00095 transcript:OIW16954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNCPKLMFLPNDFHRLTALGYFRIEGCPELCRKCQPQVGEYWSKISRINQIFIDQPEDLKEDEEEE >OIW16230 pep chromosome:LupAngTanjil_v1.0:LG02:22958897:22962027:-1 gene:TanjilG_18945 transcript:OIW16230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPENREPLRNAASNHSGDNIEGGLDFYEAIGRLKINGNRDIDAAAQSTPLPERPGEPDCLYYLRTGMCSYRGNCRYNHPANVLLLDFQGTHYGEELPERIGEPDCEYFLKTGTCKYGSTCKYHHPKDRKGAAPISFNSLGLPMRQEEKSCPYYMRTGSCKFGLACKFHHPQIGVSPVAGSPTSAILPASGLPYVGGFSGWQLPRMSYLSGQGIQCYVPPFLSSPQGIIPAQNWNTYMGSTSSTMSTAFLGSNFVYDSINLGGSLLGGQIISPTLPERLDQPECKYFMSTGSCKYGFDCKFHHPKERIAQSFMNPLGLPVRPGHAICSYYRLYGICKFGPTCKFDHPVLTTPQNYGMTTSPTSPVIDTSLISSPMGLSTVQLAETSPSKLSTTDKLQHSDTKAAEDSSE >OIW17089 pep chromosome:LupAngTanjil_v1.0:LG02:5582714:5591020:-1 gene:TanjilG_20193 transcript:OIW17089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLLWLLLLSSAESKCTQGCSLALASYYMYSGSTLTSISQVMSSQLLQIPEDIVTYNKDTIPNKDSVQAFIRVNVPFPCDCIDGEFLGHMFQYDVKTGDTYQLVAETEYANLTNIDWLMKFNSYPANNIPDTGTLNVTVNCSCGEKNVSNYGLFITYPLRPGDTLDSVSKSVDLDSGLLQRYNPGVNFNQGSGLVYIPGKDQNGSYVFLNSSSEGLAGGVIAGIVIGVLAGILLLVAGIYVGYFRKKKIQKEELLEQDSKSLFVQNDETARTAATTGISVDKSVEFSYEELASATDNFSMANKIGQGGFGVVYYAELRGEKAAIKKMDMQASKEFLAELKVLTHVHHLNLVRLIGYSIEGSLFLVYEFIENGNLSQHLRGSGRDPLPWPARVQIALDSARGLEYIHEHTVPVYIHRDIKSANILIDKNFRGKLAQLAKACTQDNPQLRPSMRSIVVALMTLSSTTDDWDVGSFYENQNLVNLMSGR >OIW17291 pep chromosome:LupAngTanjil_v1.0:LG02:707967:720009:-1 gene:TanjilG_22403 transcript:OIW17291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLPNLTLILQAALSPNPDQRKAAELSLNQFQYAPQHLVRLLQIIVDNNCDMGVRQVASIHFKNFIAKNWSPYEFDPQQKISQSDKDVVRDHILVFLTQVPPLLRVQLGESLKTIIHSDYPEQWPHLLDWVKHNLQDQQVFGALFVLRILSRKYEFKSDEERTPVYRIVEETFPHLLNIFNKLVKIVNPSLEVADLIKLICKIFWSSIYLEIPKQLLDQNIFNAWMMLFFNELERSVPLEGQPVDPELRKSWGWWKVKKWTIHILNRLYTRFGDLKLQNPENRIFAQMFQKHYAGKILECHLNLLNVIRVGGYLPDRVINLILQYLSNSISRNNMYALLQPRLDFLLFEIVFPLMCFNDNDQKLWDEDPHEYVRKGYDIIEDLYSPRTAAMDFVSELVRKRGKENLQKFIQFIVEIFRRYDEASLEHKPYRQKDGALLAIGALCDKLKQTEPYKSELEPMLVQHVFPEFNSHVGHLRSKAAWVAGQYAHINFSDQNNFLKALHCVVSRIQDSELPVRVDSVFALRSFIEASKDLNDIRPILPQLLDELFKLMNEVENEDLVFTLETIVDKFGEEMAPYAIGLCQNLAAAFWRCMNTTEADDEADDPGALAAVGCLRAISTILESVSRLPHLFVEIEPTLLPIMRKMLTTDGQEVFEEVLEIVSYMTFFSPTISLDMWSLWPLMMESLADWAIDFFPNILVPLDNYISRGTANFLTCKEPDYQQSLWNMILSIMADKNMGDNDIIPAPKLIEVVFQNCRGQVDHWVEPYLRTTVERLRRTEKIYLKCLFMQVIADALYYNAALTLSILQKFGVASEIFSLWFRLLQQVKKSGARANFRREHEMKVCCLGLTSLVALPADQLPGEALGGVFRATLDLLLAYKDLVAEAAKEEVAENDDYMDGFQSDDEDEDANSSDKEMGGDAEDGDGADTVTLRKLAEQVKSFRPSDVDDDDSDDDYSDEELQSPLDEVDPFVFFVDTVKAIQSSDPLRIENLTRTLDLNYQTLANGVAQHAEQRRAEIEKEKLEKASATIAS >OIW17227 pep chromosome:LupAngTanjil_v1.0:LG02:3685331:3705740:1 gene:TanjilG_02516 transcript:OIW17227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQPESTPKKVVFIMRDQRGNRISCTLWGQFATQLLKYEEDHKLDPIVVILTLAKIKEARGGLQDKFFYNATVKTIGEIIHVCEESVSLTYGTIDKLFSNGWYYEGCPHCNQKTDTISIPGNCVGCGKYLDDVVARYRIEVRVSYGHDSMKFVLWNRFGDDDIYTQLWKLCAGPLVNVPHAEERVYYFPQGHMEQLQASTNQELNQQMPHFNLPSKILCRVMHIQLLAEQETDEVYARITLLPESDQNEPTSPDPCPPETQKQIFHSFSKILTASDTSTHGGFSVLRRHATECLPQLDMTQQTPTQELAAKDLHGFEWKFKHIFRGQPRRHLLTTGWSTFVTSKRLVAGDAFVFLRGENGELRVGVRRLARQQSLMPSSVISSQSMHLGVLATASHALMTRTMFVVYYKPRTSQFIIGLNKYLEAIKNKFSIGMRFKMRFEVEDSPERRFSGTIVGVGDVSAGWSNSLWRSMKVQWDEPATIPRPERVSAWDLEHFVPSTAVNITQPVVKSKRSRPSDVQSSEITANSPASTFWYHGSSLSHEPTQFGGVGEVRSNENHAMWSLRQKEINGKPMNTNSSTSRVCMEGLWPSSPHLNVSSNYFPELKNNKSVVTTQSSCVINPPASCRPNNGSMHDEVENGKTTENHTDIWVFGVNLSSNLTNVEFPEKELECPAIVPSDPKDFVSIGACESANGQNLNNSLSTKEQKQIISGASPNERQNKQTSVPSSRTRTKVQMQGVAVGRAVDLTMLSGYCDLIDELEKLFDIKGELHSKNKWLITYTDDENDTMQVGDDRWPEFCNMVKRIFIIPKEDVKKIKCKDSATSSEIEETLLSTE >OIW16275 pep chromosome:LupAngTanjil_v1.0:LG02:23362196:23365079:1 gene:TanjilG_18990 transcript:OIW16275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQINEGHNKTYPADALLHWSQWQLLDSLLPTGGFAHSFGLEAAVQCHLVSNPDELKTFIIHVLENTGSLFLPFVYSASVSPKMETWHNLDKILDATLTNEVGRKASTSQGSALMRVASAVFSEIPSLKTMRDATLGSGTVSFHHAPIFGLICGALGFDITTSQRAYMFITMRDVISAATRLNLIGPLGAALLQHQVAPIAEVTLEKWMNRGVEDACQTAPLLDTVQGCHGLDRSERSCKRRWNKQLKQRMNLDPFTPEEVAKIIELHAKLGNNLTQIAEEIPGRSFEDIRNLCRSLDFQIKLTLSSQQQVYVSQAASNPDTTGQSSIPQVPSPTSITSQQGSNNSGVSGDASMLSLNEQVDSYSTDEDSLYGAAKRFLK >OIW16885 pep chromosome:LupAngTanjil_v1.0:LG02:11443393:11450095:-1 gene:TanjilG_01750 transcript:OIW16885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIASFSHLISHSRFSPLHTHTQNNIFHCSHSSQSTFTITTQNKPSIFFSSNLKLHSPPIFTPTHLLHSPATTVFSFGNGSGSPGSGGGGGGGDGGDGGEEEERDRNREEAMLVLAEAGRSLENFPADLTAAVVAGRVPGSIVRRFFELEKSGVFRWLMKLGGFKERLLADDLFLTKLVMECVVVIFTKAAAELEKRKENFTKQLDYVVANVFTAIVTGFVLVWFPAPTVSLKPPLAVSAGAIAKFFYGCPENAFQVALAGTSYTLLQRIGAIVRNGAKLFVVGTGASLVGISMTNALINAKKVVNKGFSAENLPVISTSVAYGVYMVVVSNISFEDNLSFNHPEVLIGS >OIW17334 pep chromosome:LupAngTanjil_v1.0:LG02:1029719:1031074:-1 gene:TanjilG_22446 transcript:OIW17334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGDFAGSYEYNMNSGAARVAMAIMPLLKLLCLIVIGLVLANPRKQFIPKATFKLLSKLVFALFLPCLIFTELGESITLKNFGNWLFIPVNVLVSTAIGCFLGYLVVLICRPPPELRRFTIIMTGFGNTGNLALSIVVSVCHTKDNPFGPSCYTRGVAYVSFSQWVAVILVYTLVYHMMEPPMQYYEVVEEGAEIVEEQTLNDISRPLLVEAEWPGIEDKEDEHSKTPFIARIFKSISGISSTSIPDLETTTETSANSPRSIRCLAEPRVVRRIRIVAEQTPIQHILQPPTIASLLAIIIGMVPQLKSVFFGLDAPLSFIKDSLEILGGAMVPSVMLILGGMIGEGPNESKLGLRTTIGIIVARLLVLPLLGIGIVALADKLHLLVLDDAMYRFVLLLQYTTPSAILLGAIASLRGYAVSEASALLFWQHIFALLSLSVYIVIYFRIVTYI >OIW17517 pep chromosome:LupAngTanjil_v1.0:LG02:2638684:2641995:-1 gene:TanjilG_22629 transcript:OIW17517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECNKDEATRAKEIAECKMQSGDFVGALKFASKAKRLFPDIQNIVQIITVCEVHCAAQNKAFGSDMDWYGILQTERVADEATVKKQYRKLALLLHPDKNKSVGAEAAFKLIGEANRVLSDCVKRSLYDRSCRFSIGTAAPNPPSHNSNGSSFVPKHDGNARNSQSTFNSHSSDAHQWDEKLAFWTSCQHCSTRYQYYKTIVNATVRCQQCSKPFTARDMGYQGVPPGYMWTSFHKQKEAPKHMPPKASESNGGKTCGRGQSDNFVRSNPVSMSKCTAGVDGHHKDGYVASGRTKAGIGTSKVAAPKPKESQTSANARSKRARQSELDSRDVNNVENGNGLKNTNVRENDNDPSVNNAGVNQRKASKEKQHVPFTETAQDGDFANPSKRPRQYESFNAPKVEKGEHDHVQVKEASKSDRDGGKSKADNCSAVNSNVSPHQEIICPDPDFSDFDKDKAEDCFAANQFWAIYDNSDSMPRYYALVKKVFSPFKLQIIWLEPDPDDQGEINWDDAGLPVGCGKFKLGNSQRTADLAMFSHQMHCIKGSGKGSYLVFPKKRETWALFRDWDIGWSSNREKDSEFQFEYVEVLSDFDENVGIEVAYLGRVKGFVSLFQQTVQSGISLFRVPPNELYRFSHRVPSYKMTGDEREDVPRGSFELDPAGLPSNLFVVGDPCDVKMKDAMLNNGVSCSHHESSKCKVEQAMPNESLDKEKLRKSNDAERVSSILRRSPRSKRKGMGNAQVSSSECVIIDDDEDIDHKDCGQPEGTAAAHKANEKVKTPRKQEKNNYERETLKVRRSPRDISKKNARVDKGECTTSKVTDNHSNANKNMKESSFTQSGGSGHTPLTKAGECATSKVADNHSNVNKNVKGNSFSKSGGSVQSPLKKDCNVAEASRYDFKKEKSEEKFQCGQIWAIYGDKDRMPAVYAQIKKIESAPNFKLHVSLLEPFSSPKDFKRTVSCGTFEVKKVKPQILSLSEFSHQLKVEPVVNNLYEIYPRKGEVWALYKDQNYDLTCSKPGRGECHMVEVLAYTDEIIQVVILTAVSSSQPFFRAPRIQRSKAGVIEIFKEEVGRFSHQIPAIRHSGEDNVHLRGCWELDPASIPGFI >OIW17096 pep chromosome:LupAngTanjil_v1.0:LG02:5415579:5421825:1 gene:TanjilG_20200 transcript:OIW17096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETTTAITTQSASSSSLIQSSIIDNQNLNPQQNPSSSISISQQHLIPSPSTLDYQQHKSMQQSQTLNQLHSAFQLQQTLQRSPSMSRLNQIHTQNQQFGGVMRQQNGLYGSQMNFGSSASAQLNLSRSAIIGQTGHFPMLSGAGSQFNMLSSPRQTGGLVQGSQYSSGNSAGQPPQGMGMMGSPNLSSQLRASGALAYAQQLRMNQGQIRQQLGQQNSRNTPQVQGLPRSSSLAFMNSQLSGLSQNGQPSMIHNSLTQQQWLKQMPAMSGPASPLRLQQHQRQQQLASSNQLQQNSMALNPQQLSQLIQQQKPMGQPQLLQQQQPQQQPLQQQQQPLQQQQLQSQQQLQPQQQQLQPQQQQLQSQQQQSQLQATVHQQQQQYSPRIPGPTGQKSLSLTGSQPDATASGTTTPGGSSSQGTEATNQLLGKRKIQDLVSQVNPQVKLDPEVIDLLLELADDFIDSATTHSCILAKHRKSSTLESKDLLLHLEKNLDLTVPGYSSDEKYHNEHLSNDLHKRRQDMVRTLMESSNSETSMNNTKEMSRQGLHNPVGAQQLIKPPSSGQLVPHSTDSQMLPQMTRF >OIW16147 pep chromosome:LupAngTanjil_v1.0:LG02:22225561:22229908:-1 gene:TanjilG_18862 transcript:OIW16147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELLFETYGVPSIAFGVDAAFSYKYNQQQGVCAKDGLALCPGFITTHVIPFVDGEPVYKGCCRTNVGGFHVTDYLKQLLSLKYPYHFARFTWEKVEDLKMEHCYIAPDYASEARLFQKGAKEAEEKTRCWQLPWVPPPTEQPPSEEEIARKAAIRERQGQRLREMAEAKRSSKINELENELQGLDFLLHQLDQVEESDIPSFLSETGYVSRQEIESAHSKVTQSLRKAKGEPKNEQVETEKADLSTDEKYSLINIPDDILTPEQLNEKKKQLAIKSMSEGRQRLKQKRYEEELERERKQQLEEEKRRENPELYLEQLHARYKDLSERVDQQKRLKTNGGNTNGNNLSGSVGRGERLNAAQRERMRLLTTAAFDRGKGEDTFGARDEDWQLYKLMSKDNNDDDEGPDEDEAELARVSSRLVDLDPTFIPKSEAGTSQPAEAPHSRPLTKEDFQIFLGLERSRCPEILFNPNWIGVDQAGLDEMTGVSIRRLGYKDEGFEERLTSSILMTGGSSLFPGMVERLESGIRMLRPCGSTIKIATALNPIMDAWRGAAKYASESQFHTQTFSRMDYYEKGEDWLRDYQPQYTL >OIW17439 pep chromosome:LupAngTanjil_v1.0:LG02:1874441:1890912:1 gene:TanjilG_22551 transcript:OIW17439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTPFTHSSLLFIFFIATLSISHTSADSIYGCGGFVQASSSLVKSRKQTDSKLDYSHVTVELQTLDGLVKDSTQCAPNGYYFIPVYDKGSFVIKINGPEGWSWDPEKVPVVVDNHGCNGNEDINFRFTGFTISGRVVGAVGGGSCSVKNGGPSNVKVELLSPSGDLLSSVLTSQSGSYLFTNIIPGKYELHASNPDMKVEVKGSTQVELGFGNVVVDDVFFVPGHSISGFVVAQGNPILGVHIFLYSDDVSEVECLHGSANGPRQGAALCHAVSDADGKFTFNSIPCGSYELVPYYKGENTIFDILPSSVSVNVKHQHVIVPQKFQVTGFSIGGRVVDGNEVGVEGVKITVDGHERSITDNQGYYKLDQVTSKHYTIEARKEHYKFKKLEKYMVLPNMAAIEDINAISYDICGSVRMVGAGLQAKVALTHGPENVKPQKKQTDGNGNFCFEVLPGEYRLSAIAASPENAPGLLFLPSYIDVVVKSPLLNVQFSQTLVNIHGAVTCKEKCGPSVSVTLVRQANKHNEERRTIRLSTESSEFHFSDVIPGKYRLEVKHSSLDSVTLEDNWCWEQSFIDVNVGAEDLEGILFVQKGYWVNVISTHEVNGYITQPDGSTVNLKIQKGSQHICIEYPGVHEFNFVDSCILFGSSSVKIDTSNLSPISLKGEKYLLKGQINVQSSSLNALPENIVVDIQKDGIGSFDSAKAILKSHEKDQTNTAIFEYSVWVNLGEKLTFVPRDSRNDGEKKFLFYPREHHVSVADDNCQAYVPTFSCRLGVYIEGSVSPPLSGVDIRVFAAGDSSIASLKSGELVLETTTGTDGSYVTGPLYDDIGYNVEASKPGYHIKQVEPHSFSCQKLSQISVRIHHIDDAEEPIPSVLLSLSGDNGYRNNSVSGVGGTFPFDNLFPGMFYLRPVLKEYAFSPPSQAIELGAGEVKEVIFQATRVAYSATGLVTLLSGQPKGGVSVEARAESKGYFEETVTDSSGNYRLRGLHPDTVYVVKVSKRDVLGNSNIERASPDSVSVKVGTEDIKGLDFIVFEEPEITIVSCHVEGNTTDELHKHLMVEIKSASDSTKIESVFPLPISNFFQVKGLSKGRHLLQLRSALPPSSLKFESDIIEVDLEKNIQIHVGPLRYRIIEDHLKQELTPAPVLTLVVGFLFIALVLSIPRLKDWYDATVDIPTPGLSAKDVRPYLISDGGNVDVVSVEDGVISLKLEGACESCPSSTTTMKMGIERVLKEKFGDAVKDIRQVFDQEPTEITVQAVNNHLEILRPAIKNYGGSVEVLSIEGGECHVKYIGPDSIGSGIKAAIKEKFPDILNVTFST >OIW16502 pep chromosome:LupAngTanjil_v1.0:LG02:21143932:21145875:1 gene:TanjilG_32172 transcript:OIW16502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCSVAVSSSPVFSPSSSLFCTKPSILSSSPDSHTLSLSHLKPSSSGTASCCSSPSNSTSSPSSPFRLRLSKPPVISSTSPNGAVLKRKRPARLDIPVSSLTFGVPATPSAVARDVVEAEGDGFSVYCKRGRREYMEDRYSAADNLRGESKMAFFGVFDGHGGAKAADFAAKNLEKNVLDEVISSDQEDIEEAVKRGYLNTDSDFLKEDLTGGSCCVTALIRNGNLVVSNAGDCRAVISRSGVAEALTSDHRPSREDEKERIETLGGYVDLCRGVWRIQGSLAVSRGIGDRHMKQWVTAEPETKVLRIEPEHDLLILASDGLWDKVSNQEAVDIARSFFAGNNKPQPLLACKKLVDLSVSRGSMDDTSVMVIKLEQYI >OIW16126 pep chromosome:LupAngTanjil_v1.0:LG02:21997657:21999708:-1 gene:TanjilG_18841 transcript:OIW16126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDVTITATTEFDFGTLTLTSLKLLGDAHLKNGTVCLTRDLAVPNSGAGRALYSSPIRFRQPGAFSPASFSTFFSFSVINLNPSSIGGGLAFLISPDDESIGDAGGFLGLGGGGSFVAVEFDTLMDVEFNDANGNHVGVNLNTMVSSEVCDLGEIGIDLKSGDTVNAWIEFDGSTKGLSVWVSYSNLKPKEPILTLNLDVDQFFNDFMYVGFSASTQGSTEVHNVEWWSFSSSFDLSAVAAAPPPPPVVSLMNPGANSIKSPPPSLASSTPSNAQQKDSKSSCHNGLCKQGLGAVAGVVSAGAFALALFGGAFIWVYSKKFKHVEKLGSIGSEFIKMPKQFSCKELKAATKCFNENRIIGHGAFGTVYKGILPENGDIVAVKRCGHSGQGKNEFLSELSIIGTLRHRNLVRLQGWCHEKGEILLVYDLMPNGSLDKALFEARIPLSWPHRRKILLGVASALAYLHQECENQVIHRDIKTSNIMLDEGFNAKLGDFGLARQTEHNKSPDATVAAGTMGYLAPEYLLTGKATEKTDVFSYGAVVLEVASGRRPIEKDATRVGKVGVCSNLVEWVWSLHREGRLLTAADERLEGQFDREEMRRLLLVGLACSHPDPLSRPTMRSVVQMLVGEAGVPIVPRIKPSTGCSTSHSHLLLSLQDSVSDCDGIITISTSSSENSFNGGDIV >OIW16330 pep chromosome:LupAngTanjil_v1.0:LG02:23815933:23817129:-1 gene:TanjilG_19046 transcript:OIW16330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKNEFLTPKAIANRIKAKGLQKLRWYCQMCQKQCRDENGFKCHCMSEGHQRQMQIFGQNPHRIVEGYTEEFESTFLEHMKRSHRFSRVAATVVYNEYINDRHHVHMNSTQWATLTEFVKHLGRTGQCKVEETPKGWFITYIDRDSETLFKERMKNKRIKADMVDEEKQEKEIMKQIEKAEQLMQLPTTESGQPSQVEPPRELNLEDGVKIGFSLGSSAKPLTKEKHEASAKVVFDEVEEEKPEEKNPGRNLKRKESGGGKSTLAELMRDEEKKKEKINRKDYWLHEGIVVKIMSKVLAEKGYYKQKGVVRKVIDKYVGEIEMLESKHVLRVDQAELETVIPQVGGRVKIVNGAYRGSIARLLGVDTDHFCAKVQVEKGPYDGRVLKAVEYEDICKIA >OIW17159 pep chromosome:LupAngTanjil_v1.0:LG02:3932359:3940978:1 gene:TanjilG_18114 transcript:OIW17159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSQKVETSYQDTVHDVAMDYYDSKVIGNILVVADGNNNVTLWKEAIDGDWQQVIIVDP >OIW17554 pep chromosome:LupAngTanjil_v1.0:LG02:216238:218576:-1 gene:TanjilG_08832 transcript:OIW17554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPSDISVILPRVLIVSRRTVCKNKFVDFVGEYHLDLIVEYGAVPVIVPRVSGVHMLLESFEPIHGVLLCEGEDIDPSWYEQDTSCLSEEELDEIRRLHVSDTSIDKEKDSIELSLAKLCLERNIPYMGICRGSQILNVACGGTLYQDIGKEISKKCTEDKRVMHINYDDYDGHRHEVKVVENTPLHHWFKDSLEEGEMDICVNSYHHQGVKRLAQRFVPMAFAPDGLIEGFYDPDAYNPQEGKFIMGLQFHPERMRKPDSEDFDYPGCPFAYKEFAKAVIAYKKKLNSLTSVEKPLKLDKEMESKRKVIVRSFSLAKDLYIHGINSIKESELEAGAEFLESNKALSVQQEIRLKQMGATVRNAGSYKERVKLNEEREKLARNVMGKMSIENLSDLLSFYHTMSQICSQVMEIKIHGIGNDQ >OIW16458 pep chromosome:LupAngTanjil_v1.0:LG02:24608234:24610815:1 gene:TanjilG_19174 transcript:OIW16458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKTSLSLSFSLYYLLMENKAELQIQSDSVSDPVPPQPQPCIGNEKGDNTTLIPQSRRPALSSLQIPPWSLDTALSSFANTDGPTLSTPASTRGLPPRPSSAKFRTSIKTSLPQRIFRAKTCTGVGDTERTLLILPDTSPSHAPLDKPSTSRSLSLNNKVFFSSSTKAAHSVPVTPITTSAAEDAHGRQPDSGSGSRIMEVMHHMTRSFSVPVNGKSTNVRRTDSRCLIRVISVRAHLETVEGTSNDDASVSEIAIEDTTEDIPEEEAVCRICWVELGEGGNTLKMECSCKGDLALAHKDCAVKWFSIKGNRTCDVCKQDVQNLPVTLLKIYNPQNSARQPSFAQLSQQGNTNYYRQVQLFQLATMVVIFGDQVPVKLTDKRRKKF >OIW16400 pep chromosome:LupAngTanjil_v1.0:LG02:24267486:24270799:-1 gene:TanjilG_19116 transcript:OIW16400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSPLNTAPLIQQDEDEWDTDGFVIPSLGIEDSDQGKPYVEDIESSNSNVKDKKEENIYLGPHGAPPSQSKQQELNSSSNRKQRFKQKLKEADKRSSGTDRENKLDNLKELVGGGKVSMDMSKASPKDWLDPHCQESQFERRCYVESATDCSETIAREQA >OIW17568 pep chromosome:LupAngTanjil_v1.0:LG02:117278:118634:-1 gene:TanjilG_08846 transcript:OIW17568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCYDLHKNAHTASEGMKGSLPITDQNGSVICPKPCRVGVLTNVPIQPLRWHFSQHVEVSDSKAGAELLDLILKKESYDEEYANQVASSPPYFFGSPPVRVGNPLINDNRFGDEEHPPMPGISSRLGLPSPSSASHNTGYGRTNYGPKPAAVRVEGFECRVARIPASPQLLQLLS >OIW16923 pep chromosome:LupAngTanjil_v1.0:LG02:11121111:11123382:-1 gene:TanjilG_19228 transcript:OIW16923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLASRGKEDDPLSEWIEERENPALDGAQNAEWLPIIDTDDENENMEVDSDDVGSDEHSGGLSPPSEDGGNDARNVEGGESDEEGEQMHNDPYEEMSLHRRDRNLIDLTTSRSGMVHSSDSFNDNNRTESQSRRGKKKQNITLEDASSSSLAQSFSDFSIDETSQSSQWYNPMHPVYYPHGYYIHPQYESGQVSSH >OIW17491 pep chromosome:LupAngTanjil_v1.0:LG02:2394723:2399190:-1 gene:TanjilG_22603 transcript:OIW17491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIGIGNNDDVVGDNESSFASVSCSICLDVVVVDNGDRSWANLQCGHRFHLDCIGSAFNVKGAMQCPNCRKIEKGKWLYANGCRSYPEFSLDDWTHDEDLYDLSYSEMSFGVHWCPFSNLTQLPSSFEEGEFSSTAYHEIVGQHTIFAEHTAVSPASDPCPYMAYIGPIHPSTSNPGVTVSEASNSNHWNGPPAHRRTPTTYTFPGMNIHYPSWEHHSSHLSSATGNLGAPADQTSVSPSSQRLAMGSGSFMHPFLVGHSSAARPGSLVTSAMIPPYPGSNAQTRNRVRALQPSYQTQQLPNSTTMRTPIAPGTRRTSSHSGSTQLAPVALSPDHGVGFLFVPSGSSGHHFQEETHLPSHFHAWERDQLPPLSFNHINRDPSWRAYQHGSDPGIRSSNFRLRHGVERMPSQNL >OIW16738 pep chromosome:LupAngTanjil_v1.0:LG02:17374155:17381573:-1 gene:TanjilG_14508 transcript:OIW16738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAEGGGEEEEADRILELPGQPKVSFQQFSGYVTVDNVAGRALFYWLTEAAQKPLTKPLVIWLNGGPGCSSVAYGASEEIGPFRINKTASGLYINKFSWNTISNLLFLETPAGVGFSYTNRSSDLLDTGDRRTAKDSLEFIIQWLERFPRYKNRELYISGESYAGHYVPQLAKEIMIYNSQTKQPLNLKGIMVGNAVTDTYYDNLGTVNYWWSHAMISDQTYQQLMSTCDFHQQKESEQCETLYSYAMDQEFGNIDQYNIYAPPCNNSDGSSTTRRNMRLPHRPHLGFRNLYGYDPCTEKYAEIYYNNPDVQKALHANTTGISYKWTACNELLNRNWNDSDKSILPIYRELMAHGIRIWVFSGDVDSVVPVTATRYSLSQLKLTTKVPWYPWYVKNQVGGWTEVYEGLTFATVRGAGHEVPMFKPRAALQLFKSFLAGKPLPRS >OIW16468 pep chromosome:LupAngTanjil_v1.0:LG02:24671555:24680840:1 gene:TanjilG_19184 transcript:OIW16468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKNVDLFDAYFRRADLDRDGRISGHEAVSFFQASGLPKQVLAQIWGFANQSQSGFLGRAEFYNALKLVTVAQSKRELTPDIVKAALYGPAASKIPAPQINFTATAPAPTSAPAPAPAPASTPAPAPTSAPAPAPAPTPTSAPAPAPAPITPLGPRPPLVSVAPTSTVSVAPGQGVPVGGARPQISSGAGTGITQLGPGLPPTSGSNASVPPSDQVVKDSKSVNNNVFASGSFFGGDMFSTSTSFQPNQVSSPQPFSSSAVVPLSGRNQHSTATSTSTRDSLQTQPVGAQHLQQNQQATSVSQTRNMLNPSVILPVRSHDSASTQPQPPWPTMTQTDIQKYTKVFVEVDTDRDGKITGEQARNLFLSWRLPREVLKQVWDLSDQDNDSMLSLREFCIALYLMERHREGRVLPGVLPSNIMLDLPPAGQHSTHQSAAGWGNQSGFQRPQVATGSGARQLNPTAGRPPRPAAAPQADEGPQNKQPKSKVPVLEKHLIDQLSSEEQSSINSKFSDATEADKKVQELEKEIVESREKIEFYSAKMQELVLYKSRCDNRLNEVMERISADKHEVEILTKKYEDKCKQVGDVSSRLTTEEATFRDIQEKKIELYQAIVKMEQDGNADGTLQAHVDHIQSNLDELVKSLNERCKKYGLRAKPTTLVELPFGWQPGIQEGAADWDEDWDKLEDKEFSFVKEFTLDVKNIIVPPKQKLPPEVNRKTADTDSPRLEASPKSDRKSEKPQTTDEQEGGNGSVDNKSEDGSTKSAPNSPFAKSTIASPHKDVADSNIRTADEDSSPHDQDSVHETQSDHSGVKSVFSGDKFFDEPNWGTFDTNDDIDSVWRFNTNSNEEERALDKGGDNYFFDSGELGLNPIKTGSSQVGDLFPKSSGFGFDDSVPSTPLFSSSSSPQRPKEWSETAFDSFSRFDSFKTHDSSFSTTWEAAQQFDSVRGSTDFDKVHGFPAFDDPDPFGSGPFKTSSESQTPRKGSDNWRAF >OIW16833 pep chromosome:LupAngTanjil_v1.0:LG02:14203230:14205300:-1 gene:TanjilG_06873 transcript:OIW16833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKNTNGEDISIELASPSLQPFTNCSDVNSTVDAYISSLRELQHDGVLMVGIGLESHFTVPNISLVRTILDKFATLDLPIWLTEVAISKTLDKDAQANDDGNMSDTPLSIRGSSGVNNIYDICYIFCF >OIW16318 pep chromosome:LupAngTanjil_v1.0:LG02:23728352:23732213:1 gene:TanjilG_19034 transcript:OIW16318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFFSEYGDANRYKILEVIGKGSYGVVCSAIDTHTGEKVAIKKIHDIFEHISDAARILREIKLLRLLRHPDIVEIKHIMLPPSRRDFKDIYVVFELMESDLHQVIKANDDLTKEHYQFFLYQLLRALKYIHTANVYHRDLKPKNILANANCKLKICDFGLARVAFSDTPTTVFWTDYVATRWYRAPELCGSFYSKYTPAIDIWSIGCIFAEVLIGKPLFPGKNVVHQLDLMTDLLGTPSLDTISRVRNDKARRYLTSMRKKQPVPFAQKFPNADPLALQLLERLLAFDPKDRPTAEEALADPYFKGLSRVEREPSCQPITKMEFEFERRRVTKEEIRELIFREILEFHPQLLKDYINGTERTNFLYPSAVDQFKKQFAHLEENGGKSSPVMPLERKHVSLPRSTIVHSNMVPPKQQSNIASTKNRQTAEDYNNNLRDAENPVVRSIHGMQRVPLAKPGKVVGPVVPYEYASVVKDSYDPRTFIRGSVLPTQPMPPTYYYQRSTSGSQERSAPTEADKGVSLQAKHAQQQCGANAKIVPDIAINIDSNPFYMTRAGVNKIEQDDRIAIDTNLLQPKSQYGAISAAAAAAATGHRKVGPVQYGMTTRMF >OIW17139 pep chromosome:LupAngTanjil_v1.0:LG02:4805301:4805981:-1 gene:TanjilG_21116 transcript:OIW17139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFQSYTVLTRAFDSYIAAKDPSSYKAVVLGGVGSGALQSFLISPVELVKIQVQLRGICGTKLPPSRSCKGPIRVVQNIWKNEGLVGMYRGLFITMIRDAPSHGVYFWTYEYMKEQLHPGCRKNCDESLNTMLVAGGLAGVTSWVFCYPFDVVKTRLQAQTPSSLKYKGIFDCFAKIVREEGHAALWRGVETAVARAFVVNGAIFAAYEATLRIMFNNYNQTRDTI >OIW17401 pep chromosome:LupAngTanjil_v1.0:LG02:1625892:1627577:1 gene:TanjilG_22513 transcript:OIW17401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFHFRKLRLTFTFPIFNFSPKTHLKPSQNPRFLQTTKKSPILQPEKKFTPTTIREAQSALLEYLHSTRSLQFTDADNMCKNSPFFIQDLLSKVKIRKDSVSDMKKSISRYLCYHPINEFEPFFESMGLRPSEYVCLLPKDMIFLNDDVVLMENYHTLCNYGVPRNRMGRIFKEAPQLFRYEQGVLSKKIQAYENLGVAPSTLVKIVTLSPCLLVGGVNVQFVKVVEKLKGLVAKGGSDWIERHLLDCNWGLMLELLCLLGKVCSEEQLGDIIHNHPCVVESGGTTLSLIGFLLKFGLSVNQICLVFLEFPEIRVDQFLKNLRQCFLFFNEIEMEAAEIGKIFQFHSLMLGSSTLKKTNSLLCNLNVGKKRLCRFVEENPQEIRNWAVGRKVQPLENTNEDQISKEHKIEFLLGLGYVENSEEMKKAFKLFRGRGTELQERFDFIVEAGLDYKVVRDMIVVYPQILNQSTDRINFKIELLVKEGYPISSLVNFPSFLSYTPGRVKLRLSMYNWLKDHGAADPGLSLSTVIACSDKVFVKQYVNRHPSGPRAWQDLNAQIHFE >OIW16964 pep chromosome:LupAngTanjil_v1.0:LG02:8267385:8279591:1 gene:TanjilG_32831 transcript:OIW16964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQIPNLDNAPLNLTSIREQSQKELVNILKHEHGVELRHLSADPVQTDCSKVVYLVRSQPNLMRFICSNIQNDVSKGLQREYHVYFVPRRTVACEKVLEEEKLHQLITIGEYPLYIVPMDEDVLSFELDLAYKECQVDGDTGSLWHIAKAIHKLEFSFGVIPNVRAKGKASVRVADILNRMQTEEPVNSSDTAMPEINTVILLDREFLHVSNGSVELDASIMGLQQEGKKTKVPLNSSDKLFKEIRDLNFEIVVQILRQKATSMKQDYTEMTTTTQSVSELKDFVKKLNSLPEITRHINLAQHLTKFTSKPSFLGKLDMEHTIVESESYDMRELLHSYGFEHIATLNNLEKAGLFKKQETKSNWPTIKRALQLVVEDTDTANPNDISYVFSGYAPLSIRLVQHAIRSGWVADGRRALVLVVFVGGVTFAEISALRFLSAQETKSNWPTIKRALQLVVEDTDTANPNDISYVFSGYAPLSIRLVQHAIRSGWRPVEEILKLLPGPHLETKRGGFSNSPSFDTLSGASTSIAKVADGRRALVLVVFVGGVTFAEISALRFLSAQEGMAYDLIIATTKIVNGQTLIETFMEKGGEFISVDEIMPNQCYA >OIW16241 pep chromosome:LupAngTanjil_v1.0:LG02:23062654:23066358:-1 gene:TanjilG_18956 transcript:OIW16241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHPLFPRLLSSYINCLKVGAPPEVVARLEEICAKCDESSHYRTGNGSTGEDPSLDQFMEAYCEMLIKYEQELSKPFKEAMLFFSSVECQLKALAVSTTDFGESGPSSQNRADIVHEHNMNTQAEERELKVQLLQKYSGYLGGLKKEFMKKKKNGKLPKEARQQLLEWWNRHYKWPYPSESQKQALAESTGLDLKQINNWFINRRKRHWKPSEDMQYAVMDATNYYMENVMCKPFPMDAMPMLL >OIW16999 pep chromosome:LupAngTanjil_v1.0:LG02:9623667:9624236:-1 gene:TanjilG_32866 transcript:OIW16999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYPFSDFKYSDGLTVVGISFCTAIVCEAISWVLIYRTNSYKNLRSSIDKASKKLETMKTDSTKINIKKSKTKKIDRVETSLKESSRDLSLFKFKSGGVVALVLFVVFGLLNSLFEGKVVAKLPFQPFGLVMKMSHRGLQGNDPTDCSMAFLYFLCSISIRTNLQKFLGFAPPRGAGAGLFPMPDPKTS >OIW16714 pep chromosome:LupAngTanjil_v1.0:LG02:17647307:17649596:-1 gene:TanjilG_14585 transcript:OIW16714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIYNLYDVVSVSEIRSSISSQIRKNTHVTNPKVIDMLLFNGMEELRNVVEHLKQRHYIIGQYVVGGRAFEQEELSIKNQGTSTFLKNFYDTNYF >OIW16591 pep chromosome:LupAngTanjil_v1.0:LG02:19901120:19902058:1 gene:TanjilG_02797 transcript:OIW16591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGREAKASPSTDLLVCFPSRVHLTLMQNPICSPVRTQDHKNRHHLKKSSTTRTIGQASPLTWTNTKSEITEPTSPKVNCAGKIKVMRPKTTATKSWQSVMEEIEKLHNNNKHKKHCKLAPSLGFKKEVMHLFTCLHGMRLDLRCFGTLNPKGEDNDDIEDEGYGEKEKDKEKENHVVVEENHNETSGTVFPKWLMVLQENNQGNVLDKEDTNGSSAQESEEHTGPPPNALLLMRCRSSPVKSWLKLNAEKNNEEREVVENEHIEEGSVKEKRKSLKSLMEENRKNENLVVMRYDSDSYKISSPEIDRESKF >OIW16692 pep chromosome:LupAngTanjil_v1.0:LG02:18603703:18608486:1 gene:TanjilG_28749 transcript:OIW16692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSNPFASLCLSSLLLTLVLCVNGVSTSSHNDTKIGEGYRLVSIAETHDGGLVGFLQVNQKTSIYGSDISLLRFYAKHETNDRLRVHITDANKQRWEVPYNLLPREQPPALAQTIGRSRKNKDPITVSEYSGSELLFTYTSDPFSFKVKRKSNGETLFDTSSDASDPFSSLVFKDQYLEISTKLPKDASLYGLGENTQPHGIKLYPSDPYTLYTTDISAINLNADLYGSHPVYMDLRNAGGKASAHAVLLLNSNGMDVFYKGTSLTYKIIGGVFDFYFFSGPSPLNVVDQYTQLIGRPAPMPYWAFGFHQCRWGYHNLSVIEDVVDSYKKAQIPLDVIWNDDDHMDGKKDFTLNQGNYPRPKLLKFLEKIHSIGMKYIVINDPGIAVNTSYGVYQRGLANDVFIKYDGEPFLAQVWPGAVNFPDFLNPKTVSWWVDEIRRFHELVPIDGLWIDMNEVSNFCSGKCKIPEGKQCPTGTGPGWICCLDCKNITKTRWDDPPYKINASGIQAPIGFKTIATSAVHYNGVLEYDAHSLFGFAESIATHKGLLGIEGKRPFILSRSTFVGSGKYVAHWTGDNQGTWENLRYSISTMLNFGIFGVPMVGSDICGFYPQPTEELCNRWIEVGAFYPFSRDHANYYSPRQELYQWESVAESSRNALGIRYRILPYLYTLNYEAHVSGAPIARPLFFSFPTYTECYGLSTQFLLGSSLMISPVLEQGKTEVKALFPPGSWYSLLDLTSAITSKDGIYITLDAPLHVVNVHLYQNTILPMQQGGLISKDARMTPFSLVITFPEGATDGEAKGNLFLDEDELPEMTLGNGYSTYVDFHATVKQGTVKVWSEVQEGKFALDKGWYIESVSVLGLDGRGALSSLEIDGKPLSGVSDVKVSVSEHVHLDGHEKGEKKTVMVALKGLNISIGKNFAVNWKMG >OIW16545 pep chromosome:LupAngTanjil_v1.0:LG02:20638584:20639452:1 gene:TanjilG_08402 transcript:OIW16545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELQDTAASRISISFPLGLALLFASFIFLVGFFCCCLHWNKLQYFFGFSTFNNSQVQLMQQDLTSYHQKLDFPVKVMKQEHAQSLPVLMPGDEVPKFIAMACPRQPPRDESITIHVQKEAPSDFCSGN >OIW16098 pep chromosome:LupAngTanjil_v1.0:LG02:21716331:21720009:-1 gene:TanjilG_18813 transcript:OIW16098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSMKENKEDCKVEHGYVETDPTGRYGRFGDVLGKGAMKTVYKAIDEVLGIEVAWNQVKFNEALRTPDDLQRLYSEVHLLSSLKHHSIIRFYTSWIDVDNKAFNFVTEMFTSGSLREYRKKYKQVSIKAIKSWSRQILQGLVYLHEHDPPVIHRDLKCDNIFVNGHLGQVKIGDLGLAAILRGSQPAHSVIGTPEFMAPELYEEEYNELVDVYSFGMCVLEMFTSDYPYSECTNPAQIYKKVTSGKLPMAFFRIEDMEARKFIGKCLGPASQRPSAKDLLLDPFLMSDETSLTAKFGIQKPLLNNIEMGKLHLSDDLTRTEMKIIGKLNPEDDAIFLKVQIPDKDGSVRNVFFPFEIFTDTPIDVAMEMVKELEISDWEPFEIANMIEGEISALLPQRRESNCSDACHTFSYQVDDDEGPHHHFRSFSSCSSSQESITGLVSGNYWPHDDLLDDASSRCSSQGTYSNLNYYSIDDQEYNVSSIGKDKVPIIKSHKCTRFSPNEDLSNCNQCKVLVRSQTTSTNKSKNVIDNHRMTRNKSLIDLRSQLLHRSLVEEVHKRRLFKTVGSVENIGYQAPYDDSTKKLTSRVARENNLRDENI >OIW16669 pep chromosome:LupAngTanjil_v1.0:LG02:18048045:18053412:1 gene:TanjilG_28726 transcript:OIW16669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVRLGLIVAASIAAFSVKQLNVRSSKPDHGEARHQDEVTEEEQVTSFADVLRELDKEEEEEEKEEVKLISSIINRPNDYEEDDILPEFEDLLSGEIEFPLPTDKAKKDKVNEIEMANNAIELQRLRQLVKELEEREVKLEGELLEYYGLKEQESDVVELQRQLKIKTVEVDTLNVSINSLQAERKKLQEELKNGANKELEVARNKIRELQKQIQVEANHTKGQLLLLKQHVSGLQTKEEAGVKKDAEIEKKLKAVNDLEVELVELKRKNKELQYEKRELTVKLTFAESRVTELSNMTESEMVAKAKKELSDLRHANEDLQRQVEGLQMNRFSEVEELVYLRWVNACLRYELKNYQAAPGKLSARDLNKNLSPKSQERAKQLMLEYAGSERGQGDTDLESNFSHPSSPGSEDFDNVSIDSSTSKYSNISKKTSLIQKFKKWGKSKDDSSALSSPARSLSASSPRRMSMSVKPRGPLESLMLRNVGDSVAITTFGQVDQEPTDSPKTPTTTSDSLNSVASSFQLMSKSVDVSVDEKYPVYKDRHKLALAREKQLKEKAEKARVQKFGDNTNLSIAKVERDRSIPLPPKLNQIKEKSTFVSGSPNDQSDDGKNVDNQSISKMKLAQIEKRPTRVPRPPPKSSSGGAVSTNSNPSSGVTSGLPPPPPPPPGAPRPPPPPGGPPPPPPPPGSLSRGAMDGDKVHRAPQLVEFYQTLMKREAKNTSSLSASSTSNASDAKSNMIGEIENKSTFLLAVKADVETQGDFVMSLATEVRAASFLDVEDLVAFVNWLDEELSFLVDERAVLKHFDWPEGKADALREAAFEYQDLMKLEKQVTTFIDDPKLSCENALKKMYSLLEKVESSVYALLRTRDMAISRYKEFGIPVNWLSDSGVVGKIKLSSVQLAKKYMKRVASELDILSGPEKEPTREFLVLQGVRFAFRVHQFAGGFDAESMKAFEDLRSRIHTTQAGEDNKPET >OIW16178 pep chromosome:LupAngTanjil_v1.0:LG02:22541018:22545696:-1 gene:TanjilG_18893 transcript:OIW16178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDALINGLAGAGGGIIAQLITYPLQTVNTRQQTERDPKNEKKNLGTLQQMCQVVKNEGWERLYGGLTPSLVGTATSQGVYYYFYQIFRHKAEVAALQQKMLGVGDGSVGMLSSLIVAALSGCVNVLLTNPIWLVVTRMQTHRKDSSKALSGQKLSDATEQTTLSAVERPPYGTSNVVQEVYQEAGVLGFWKGVLPTLIMVSNPSIQFMLYETILGKLKKRRAQSKTGSNGVTAVEIFLLGAVAKLGATVVTYPLLVVKSRLQARQAKDGDKKQQYKGTLDAIIKMIRYEGFYGFYKGMGTKIVQSVLAAAVLFMVKEELVNKIRSLLAKGASNNVKRKLM >OIW17322 pep chromosome:LupAngTanjil_v1.0:LG02:950534:952492:1 gene:TanjilG_22434 transcript:OIW17322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVEEIETMIPELEREEKLISAAKHLVNALGSNNNLTSDAKKILADLGSQLSSMCMPSEKEGEEEEDASSVEDRFDFIQEKIMRWEEYQSMIWDLGPEEVSEYMNAANDTLQLIEKLEGLQLSKEDKEYKILLRAYNVLQTAMARLEEEFKNLLIQNRQPFEPEYVSFRSSEEDAIDENSVVSLGDESIEELLHRDSVSRASEEVIIDLVHPDVMPDLRCIANLLIASSYVLECSHAYTIVRRDALDECLFILEMERLSIEDVLKMEWGTLNSKVKRWVWAVKIFVRVYLSSEKWLSDQIFGAGEPVSIACFVDASKASMLQLLNFGVAMSIGPHKPEKLFCILDMYEVLADLMPDIDALYSDEVGSYVKIEFHEVLQRLGNCVRATFLEFGNAIASNASSTAFVGGGIHPLTRYVMNYLRTLTDYTETLNLLLKDQEEEDAISLSPDTSPRTEEDSRSQGSPGRVSSMALHFRSVASILESNLEDKSMLYKEASLQHLFLMNNLHYMAEKIKGSELRRIYGDEWIRKRNSKFQQHAMKYERASWSSILNLLKDEGIHVPGTNSISKGLIKERLTSFYLAFEDAYRIQTAWFIPDVRLREDLRISTSLRVIQAYRTFVGRHSSHIRDKYIKYTADDLENYLLDFFEGFQKWL >OIW16604 pep chromosome:LupAngTanjil_v1.0:LG02:20116890:20120366:-1 gene:TanjilG_02810 transcript:OIW16604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRYEILKDIGSGSFAVAKLVRDNFSKELFAVKFIERGNKQICHRDLKLENTLLDGSTAPRVKICDFGYSKSSVFHSQPKSTVGTPAYIAPEVLTRKEYDGKIADVWSCGVTLFVMLFGAYPFEDPEDPKDFSKTIGRILSVQYSIPDYVRVATECRHLISQIFVANPEKRISVPEIRNHPWFLRNLPIELMEGGRLENNDVNNPSQSDEEVLSIIEEARKSLSIPKVGGLFIEGSMDLDDLDADVDIEDIETSGDFVCPPL >OIW16208 pep chromosome:LupAngTanjil_v1.0:LG02:22836699:22839203:-1 gene:TanjilG_18923 transcript:OIW16208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPVLGEEHWDGVIVTQSNFLALQAFKQELVDPKGFLRSWNDSSYGVCNGSWVGIKCAQGQVIVIQLPWKGLRGHITNRIGQLQGLRKLSLHDNQIGGSIPIALGLLPNLRGVQLFNNMFIGSIPSSLGFCPLLQSLDLSNNLLTGTIPHSLGNSTKLYLLNLSFNSLSGSLPSSLTHSASITFLSLQHNNLSGSIPNSWSGNQLREISLSHNQFSGAIPNEIGRLSRLKTIDFSNNALNGSLPETLSNLSSLTLLNVENNHLGNQIPEALGRLHNLSVLILSRNQFSSHVPQSIGNISTLIQLDLSQNNLSGEIPVSFDNLSSMNLFNVSYNNLSGPVPTLLAKKFNSSSFVGNIQLCGYSPSTPCPLETPSEGQGILAPPAEKSKHHHHKKLGTKDIILIAAGVLLAVLIILCCILIFCLSRRRSTSNAESGQATGRAAAAARTQKGVPPAAGEVEAGGDDNGGKLVHFDGPLAFTANDLLCATAEIMGKSTYGTVYKATLEDGSQAAVKRLREKITKGQKEFESEVSVIGRIRHPNLLALRAYYLGPKGEKLLVFDYMPKGSLASFLHTGGSETSIDWPTRMKIAQDIARGLFYLHSNENIVHGNLTSSNVLLDDSTNAKIADFGLSRLMTTAANSNVIATAGALGYRAPELSKLKKANTKTDVYSLGVILLELLTGKPPGEALNGVDLPQWVASIVKEEWTNEVFDAELMRDASTNGDELLNMLKLALHCVDPSPSTRPEAHQVLQQLEEIRPEIDVSASSGDDFAIPSTSE >OIW16265 pep chromosome:LupAngTanjil_v1.0:LG02:23299928:23301394:-1 gene:TanjilG_18980 transcript:OIW16265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKRKLRSSDPEPEPQDIQEHQTLEDAQDHVPVEEENPEVEEQHQQDEEEEPEEEGGGDDEDEILEEKEHDAEPSNDAVAAASESTKVEVNGDNNNEEEEEEEDLDLEDEPVEKLLEPFTKEQLHALVKQAVEKFPDFVENVRQLADVDPAHRKIFIHGLGWDSTAETLTSVFSKYGEIEDCKAVTDKLSGKSKGYAFILFKHRSGARRALKQPQKEIGNRTTSCQLASAGPVPAPPPVAPAVSEYTQRKIFVSNVSADIDPQKLLEFFKQFGEVEDGPLGLDRQTGKPKGFALFVYKSVDSAKKALEDPHKQFEGHVLYCQKAVDGPKGKQGYHQQPHHQHQHHQHQHQHHNQHHHQPHYQRKDKNRYTSGGPPHGGGGHLMAPSGPAVGGYNPGVAAAQGLNPVLGQAISALLTTQGAGLGLGNLLGGFAGAPVNQPVPQAGYGNQPAMNYGNQPGMQQGYQNPQMGQNSGVRPHPGAGAPYMGH >OIW16115 pep chromosome:LupAngTanjil_v1.0:LG02:21901582:21914408:-1 gene:TanjilG_18830 transcript:OIW16115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLSTSGLGQQGHEGGEKKCLNSELWHACAGPLVSLPTAGTRVVYFPQGHSEQVSATTNKEIDGHIPNYPSLPPQLICQLHNLTMHVEQKDTFLPMELGIPSKQPSNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDFSLQPPAQELIARDLHDVEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNEKNQLFLGIRHANRSQTVMPSSVLSSDSMHIGLLAAAAHAAATNSCFTVFYNPRASPSEFVIPLSKYIKAVYHTRVSVGMRFRMLFEIEESSVRRYMGTVTGISDLDPVRWPNSHWRSVKVGWDESTAGEKQPHVSLWEIEPLTTFPMYPSLYPLRLKRAWHPGTSSFLDGRDNTTNGLMWLRGGPGDQGVGLLPWMQQRLDPTLIRNDQNQQYQAMLATAGSQNIGSGDLLRHPMMNFQQPFYLQQFGNSNPPLHLLQQQAIQQSVSHNILQPEAQLLTENLSQQFLQKPPIKREDQAQKQQHTYHNLHLIQTDQLHHSNMPSPSYSKQGFLDSNMKFSPSVSSGQNMLGSLCPEGSGNLLYLSKSGPTMLTEQQLHQQSWAPKYLSLQVNDFGNSVSHGQYSGKDTTMVSPHCNSDTQNPTLFGVNIDSSGLLPTTVPHYTNSSANTDSLTMPLEESGFKGSLYGCVQDSSELFRSAGQVDPQNQTHTFVKVYKSGSVGRSLDISRFSSYHELREELGQMFAVEGKLEDPLRSGWQLLFVDRENDVLLLGDDPWESFVNNVWYIKILSPGDIRTMEEQAEESLGPSSGQRMNSSGADYQDNVSGLPSIGSLEY >OIW17529 pep chromosome:LupAngTanjil_v1.0:LG02:2773967:2776702:1 gene:TanjilG_22641 transcript:OIW17529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKNTQQPSGDKSLQQFFKVFLSQTSSTQLRIPRAFNKFLNGITTNEVTLMDHENNSWDIDMARIEDTFIFENGWQQFAKEKCLEEGEFLVFEYDGKSTFYVKIFSKSGCRKEFETCNKVVPIVNMDEGCDQICQKNKRGRKRKHSSIGLQINEKSNLEGPSKVAKKSKPTIANGANGEGYNKKHSTDSSKCAPPNNPHFIVHFSSTTKLKRVEIHKTILRKWDMKLRSTLSLKNENGKVWLVKISTTLDGRYYLGIGLSDFVKSNNIRKGNQCEFEFVIGERNVVKEILVHAPK >OIW16465 pep chromosome:LupAngTanjil_v1.0:LG02:24644425:24647376:1 gene:TanjilG_19181 transcript:OIW16465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYDALVNNNSGLFGSLSSSVDTDEAIDLTDELLVEGCWVETTTGGGDHIHQLHQDIELLNGGSLVGKRWWIEPSTTTSSLSSSVKERLVVAVGYLKEYTKNTNILIQIWVPQRSNSRLPPFEFNHDHLVTPTNVKFFRNQQVHHQLQQYDVRGCLTLPVFQRGTATCLGVLQITTTTNHNSSPFTTYHRPQQLIHNNLSLPLDHAVVDFRSCQNLIPTSSVKVYDDELYQAALNEIVEVLTSVCKTHNLPLALTWAPCIQQGKYGCGHSATDQNYVYCVSTVDSACFVVDLDILGFQEACSQYHLYRGQGIVGTAFTTAKPCFAIDITAFSKAEYPLSHHANMFGLHAAFAIPLRSLYTASADFVLEFFLPKDCHDPEEQRHMLNSLSMLVQQGCRSLHVVTEDEFTLPLPSPPPPPPPPHNKEEAHMIEKLLFASTLSSSQVESSSWIAHMMEAQQKGKGVSVSLEYELEEPKEEFKVTTNWDGSNELIQAQTTQVFSEFTEQSSGSRSRASTVEVGTGGGEECYSFSGRHSSTTTTTTTNSGGGGRKTGDKRRTKAEKTISLPVLRQYFAGSLKDAAKSIGVCPTTLKRICRQHGITRWPSRKIKKVGHSLKKLQLVIDSVQGAEGAIQIGSFYTSFPELCSDSSNKMHTEYDNNNNNNNNNGFYNGDVCSQNFAASSMINNTNNNGGVDVLMMTESSPDHHHDHAFVNRELSIQEDTKLYFTRSKAAPSGWRGALRVKATFLDEKIRFSLQPKWGFRELQVEIRKRLNLNDVRNIDLKYLDDEGEWVVLACDGDLEECKDLHRSSQGRTIRLSLFQASPVHNNNNNLSNTFSGGRSTTPSSSS >OIW17284 pep chromosome:LupAngTanjil_v1.0:LG02:638962:652318:-1 gene:TanjilG_22396 transcript:OIW17284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKVIADIEARIATWTFLPYENGEGMQILRYEHGQKYEPHFDYLLDKLNQQFGGHRIATILMYLSNVKRGGETVFPNSDPTLSQPKDESWSECAKMGYAVKPKKGDALLFFNLLPNATIDNRSLHGSCPVIEGEKWSATKWIHDKVIADIEARIATWTFLPYENGEDMQILHYEDGQKYEPHFDYLLDKFNQQFGGHRIATVLMYLSNVKKGGETVFPNSDPTLSQPKDESWSECAKMGYAVKPKKGDALLFFNLLPNATIDTRSLHGSCPVIEGEKWSATKWIHVRDFDNSFKQVYSENCKDENEECSMWAKIGECEKNPLYMVGSGTIKGHCMKSCNACSS >OIW16354 pep chromosome:LupAngTanjil_v1.0:LG02:23977949:23979838:1 gene:TanjilG_19070 transcript:OIW16354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKRALVGAAAATLRRSCVSTVRSSSTISSAVDSMLLRSLKDHYLEVSKMNLPPKVGPPSPFTIVKGALDSNGPVLKRSYNDEEVSVYVTRLASDEDEDGAIHQLFVHVDVSKPGQKESLNFLCGLYEDAVGIHSVSIRPKLQDNAYLIIPSQYTGPVFEELDENMRDAFHSYIEERGVNESLFKFLQAWLYVKEHRNLLRWFKTTGLFIDGKKPATSA >OIW17397 pep chromosome:LupAngTanjil_v1.0:LG02:1599373:1602921:-1 gene:TanjilG_22509 transcript:OIW17397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCCGGAEEESSGPPASQYTAPPRGGSTYGEGGGNDRAEPRSNAVKSGGPQKVLPIEIPSIPLVELNSLTGNFGDKSFIGEGSYGRVFYAKLSNGTDAAIKKLDASSTEPEDDFANQLSIVSRLKHDNFVELIGYCLESDNRILVYRYASMGSLHDVLHGRKGVQGAEPGPVLSWNQRAKIAFGAAKGLEFLHEKAQPSIVHRDVRSSNVLLFNDYEAKIADFSLTNQSSDTAARLHSTRVLGTFGYHAPEYAMTGQITQKSDVYSFGVVLLELLTGRKPVDHTMPKGQQSLVTWATPRLSEDKVKQCVDPKLNNDYPPKAIAKLAAVAALCVQYEADFRPNMTIVVKALQPLLNAKPPGPDSNA >OIW17133 pep chromosome:LupAngTanjil_v1.0:LG02:4858949:4866315:-1 gene:TanjilG_27287 transcript:OIW17133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSFEVTELPVRSAKFIARRQWVVAGADDMFIRVYNYNTMDKVKVFEAHTDYIRCVAVHPTLPYVLSSSDDMLIKLWDWEKGWVCTQIFEGHSHYIMQVTFNPKDTNTFASASLDRTIKIWNLGSPDPNFTLDAHQKGVNCVDYFTGGDKPYLITGSDDHTAKVWDYQTKSCVQTLEGHTHNVSAVCFHPDLPIIITGSEDGTVRIWHSTTYRLENTLNYGLERVWAISYLKGSRRVVIGYDEGTIMVKLGREEPVASMDNSGKIIWAKHNEIQTVNIRSVGADVEIADGERLPLAVKELGTCDLYPQSLRHNPNGRFVVVCGDGEYIIYTALAWRNRSFGSALEFVWSTDGEYAVRESTSKVKIFSKNFQEKKSIRPTFSAERVFGGTVLAICSNDFICFYDWAECRLIRRIDVNVKNLYWADSGDLVTIASDTSFYILKYNRDVVASYLDSGRPVDEQGVEDAFELLHETSERVRTGIWVGDCFIYNNSSWRLNYCVGGEVTTMFHLDRPMYLLGYLASQNRVYLIDKEFNVIGYTLLLSLIEYKTLVMRGDLERANEVLPSIPKEHHNSVARFLESRGMIEDALEVATDPDYRFDLAIQLGRLEVAKGIATEVQSESKWKQLGELAISTGKLEMAEECLKHAMDLSGLLLLYSSLGDAEGISKLATLAKEQGKNNVAFLCLFMLGKLEDCLQLLVESNRIPEAALMARSYLPSKVSEIVAIWRKDLNKVNPKAAESLADPEEYPNLFEDWQLALAVESKAAETRGVYSPAEEYINHADKSHVTLVEAFRNMQIEEDEQPLENGDSYHELTEQNEEEDYTQEHEEQNGEVDYTQENEEQDGEEESQEEAVVADADSTDGEILTNKGAPSA >OIW17121 pep chromosome:LupAngTanjil_v1.0:LG02:5085204:5088129:1 gene:TanjilG_25408 transcript:OIW17121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNCIACSKQLNSNGSLHQQEDEEAVHTPSTKHAIKALTSQIKDMAVKASGAYKNCKPCSGSSNSNRNRNYADSDIGSDSARFNLAYRRPGSSNSTPRMWGKKVEARLKGLSSGEVTPTSVSGRTESVMFTEEDEPKEWIAQVEPGVLITFVSLPQGGNDLKRIRFSREMFNKWQAQRWWAENYDKVMELYNVQRFNQEAVPFPTPPRSEDESSKIESVRDGPVTPPLSIERAPRHLHYQAMGTGYSSSDSPDHHQTKPQPCYQTSGLASTPNLSNIRGQKTERSSIDGSARTSSSREEDRSSELSISNASDMETEWVEQDEPGVYITIRLLPGGARELRPEKSLEKCMPDYGGKRTVLGYKSSTYEIVDHSGAFSHNFFSGLTDFDLKALQYCAF >OIW16562 pep chromosome:LupAngTanjil_v1.0:LG02:20496868:20497751:-1 gene:TanjilG_17735 transcript:OIW16562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPPIGKFYGLEKWVEDDNDESSGVGEGYVNPEPSRSLIKAIEMNDRRSKSERKSTEACRSHRDAERRRRQRINDHLTTLRSLLPNTVKSDKASLLAEVVQYVKRLRKQADDVALSEPGSVCSGSVFPGVYDEARVSYCDGEPNRMKVTMCCEDRPGLNRDLTQAIRSVRAKTVHAEMMTIGGRTKNVVVIQWEAQEENEVGALERALKAVIENRALVEPLMGRVVVGQKRTRDSYGWPLEVDYAFLLGTTHNSGEDISTQYK >OIW17486 pep chromosome:LupAngTanjil_v1.0:LG02:2358955:2361338:1 gene:TanjilG_22598 transcript:OIW17486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVADDAQRNKRLAIIGVSTFLLVAMVIGVTVTISSNKNVIDEEDPKDNSKSHVSSTMKAVISLCQPTDYREECVNDLSKEAGNTTDPRELIQIAFQITINRIGEGLKKTNILQEVEKDPRAKGALVTCKQLMDLSVGEFNRSLDKLGKFNLNNLDNILTSLKVWLSGAVTYQETCLDAFENTTSEAGNKMKEILQTSMHMSSNGLAIINELSKTLASLHITKTSGRRLLQDADDLPVLGHGDDDLPEWVEDGVGVRRLLKMNARKYMANVIVAKDGSGNFTKISEALKLVPKKNQKSFIIWIKEGVYHEYVHVTKDMTHVVFVGDGAKKTRITGNKNFIDGINTYNTATVAVQGDYFVGIGVGFENSAGAHKHQAVALRVQADKSIFYKCRMDGFQDTLYAHALRQFYRDCTISGTIDFVFGDAVAVFQNCTFVVRKPMQNQQCIVTAQGRKERYQPSGIIIQGGSIVADPKYYPVRFDNKAYLARPWKNFSRTVFLETYIGDLIQPDGFMPWQTATGIPTNTDTCFYAEVNNRGPGADITKRVKWEGVKKLTPQIATSFFPSKFFHGDDWIRVTKIPYFSGEKTSKE >OIW16988 pep chromosome:LupAngTanjil_v1.0:LG02:9113828:9114244:-1 gene:TanjilG_32855 transcript:OIW16988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLSKEFGAQWVGITLAKAQGHAPNMTRQPRSGLLDHAPNMTRQPRTGLLGNAPTLVCQLRSGLFGHTPSLVRQSRSRLLALASDLHGHAGKATAPGMDNMVIVTMCTLAHLTSPKWHDSFVHVIHMGLILFLLGYIA >OIW17059 pep chromosome:LupAngTanjil_v1.0:LG02:6385181:6393207:1 gene:TanjilG_15642 transcript:OIW17059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHPGQPALIGGAHSESPSLSRSNSGTLGGQGGAPGSSETSFPSLVSSRNQFNVLGNMSNATSLLSQPFSNGLPDYGPGSSQPECVDIGAESDPLSSIGNGLSFGNPSSSLLVQSNMVNPGLSGQGNGQQFSDPSANQLLLNQQHSQQLENQRFQRSHQSMQQFSAPQNTQQQQQQHFQSMQGGIGGIGLAKLESQINADQFGRHQQLPSLRNLAPLKLEPQYIQAVRNLPPVKMEPQHTDQPLFMHQQQQQQQLQHKSRQSSPADAAASQMNSVNRQRLLQLQQHRQQQLLKTVPQQRSHLSQQFQQQNMPIRSPVKSVYEPGMCARRLARYMHQQQHRPEDNSIEFWRKFVAEYFAPNAKKKWCVSLYGSGKQATGVFPQDVRHCEICNRKPGRGFEATAEVLPRLFKIKYESGTLDELLSVDMPREYQNSSGQIVLDYAKAIQESVFEQLRVVREGQLRIVFSPELKIWSWEFCARRHEDLIPRRLLIPQISQLGAVVQKYQSCTQSSSVPELQKNCDMFVGSARMLAKALEVPLVNELGYTKRFVRCLQISEVVNSMKDLIDYSINTGTGPMDSLAKFPQRTSTSSELHIHAQQYEDELQQQSGDELQQQSWPHQQQQHLVTHTSNGYQNPSQNASFNGVASINNTFNSASASTTTSTIVGLLHQNSMNSRQQNSMNNTSSPYGGSSVQIPSPRSSNTVLQAQPSPSPFQPATPSSSNNPPQASINQISRANSLAKISLQQQQPLLSGDADANDAQSCVQKIIDEMMSSQMNGTGGMVGAGSLGNDADNVNGIPPVSNEAVHSNAGDEVSGYGTTGVGPSGMANENRHVKGSNSVMNGRIGIAYIARNQRYE >OIW16735 pep chromosome:LupAngTanjil_v1.0:LG02:17321217:17323562:1 gene:TanjilG_14505 transcript:OIW16735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEIPTQIKAWTYSEYGKSADVLKFDPAVPTPELKDDQVLIKVVVASINPIDYKRLAGFFKDTDSPLPTAPGYDVAGVVVKVGSEVKKFKVGDEVYGDINREALIQPKVIGSLAEYTAAEEKLLSHKPQNLSFVEAASLPLTIETAYEGLERAGFSAGKSILVLGGAGGVGTHVIQLAKHVFGASKVAATSSTGKLELLRNLGADLAIDYTVENFEDLPEKFDVVYDTVGLLEKAFKAVKEGGKVVNIVPPSTNEKAIFFILTSDGTVLDKLKPYLESGKVKPVLDPKSPFPFSQTVEAFSYLETGRVTGKVVIHPIQ >OIW16248 pep chromosome:LupAngTanjil_v1.0:LG02:23124159:23133461:1 gene:TanjilG_18963 transcript:OIW16248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDDMLIHFSSNSSNQSDQSLPTKIAKLEARMVGKGSSNVSHQSTWTTLPSPAGKFLGAAEVLVEPSTSSDSDDDNGGEFLIQANTAKRLKREEHADSNVFERVEVVTDGRQISLEAAETKANVDVNRKKPGRGRGGSGSSRGRGSRVNDQTRTQIPPPAVLASNGQIDNDGRLKGRFLSDNYASLEVEVASLRAKVVALEEDLRKSKQENSDYQNLSRQLETELKGVTDHEQQMKPKRTKIISDLLISVSKAERQETRLKVRQDSLRLGNVGVIRAGTVISETWEDGQALKDLNAQLRQLIETKEAIERQRKLFKKKQPDKGDGTDAEAVLAEDVLIHDEIYKSRLASIKREEETILRERDRYELEKGKLTREMKRIRDEDGSRFNNFQILNHRYALLNLLGKGGFSEVYKAFDLVEHRYVACKLHGLNAQWSEEKKQSYIRHAIREYNIHKTLIHHHIVRLWDIFEIDHNTFCTVLEYCSGKDLDAVLKATPILPEREARVILVQVFQGLVYMNKRTQKIIHYDLKPGNVLFDELGVAKVTDFGLSKIVEDDVGSQGMELTSQGAGTYWYLPPECFELSKTPLISSKVDVWSAGILFYQMLFGRRPFGHDQSQERILREDTIIKARRVEFPSRPTVSNEAKDLIRRCLTYNQADRPDVLTIAQDPYLTYSKK >OIW17270 pep chromosome:LupAngTanjil_v1.0:LG02:486686:492343:1 gene:TanjilG_22382 transcript:OIW17270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQNIGAGNSDDAFYRYKMPRMITKIEGRGNGIKTNVVNMVDIAKALARPPSYTTKYFGCELGAQSKFDEKTGTSHVNGAHETAKLAGLLENFIKKYVQCYGCGNPETEILITKTQMLQLKCAACGFVSDVDMRDKLTTFIIKNPPVVKKGSKDKKAMRRAEKERLKEGEAAMRRAEKERLKEGEAADEELKKLKKEVKKKVSSSSKNGTVKSTSSKKKGSGSDEDHVSPTHSPINEKEAASDEDDDDDVQWQTDTSIDAARQRIQEQLSAATADMVMLSTTEPEKKKKSASKASGDSNGNSMDHGKLVGEVKASLKKGIGAKELQSYLASLPGSAQEKMSALYEAILNGTEKGFAKEAIKKRSYLAAAVAEEGSQSLLLHAIEEFSGKSSSNALKEVALVLKALYDGDVLEEEHIVQWFQRGLKGDNKGSQIWKNVQPFIDWLQTAESESEEE >OIW16864 pep chromosome:LupAngTanjil_v1.0:LG02:12242071:12247279:1 gene:TanjilG_16444 transcript:OIW16864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTSANAAGGRSAPTLDKDVDYAQYFCTYAFLYHQKEMLSDRVRMDAYFNAIFENKHHFNGKTVLDVGTGSGILAIWSAQAGARKVYAVEATKMSEHARALVKAYNLQDVVEVIEGSIEDISLPEKVDVIISEWMGYFLLRESMFDSVICARDRWLKPTGVMYPSHARMWMAPIRTGITDHKMGDYQLAMNDWHNFVDETKFSYGVDMSTLTNHFSEEQRKYFLQTSLWNNLHPHQVIGTAAIIKEIDCLTATVTEIEKVRSKFSLTIIGESTKLCGLGGWFDVHFRGRSEDAASQEIELTTAPSVDYTTHWGQQVFLLHPPTHVGEGDDLSISFLMNRSKENHRLMEVELGCEIQQKSGKLLAPFKTKFYIE >OIW16378 pep chromosome:LupAngTanjil_v1.0:LG02:24130474:24133072:-1 gene:TanjilG_19094 transcript:OIW16378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMRMVPLRNANEVLLSSSSSSSIYRKAPLFPLTTSVSFNPITTSPKHLTRRCFGSVRCSFANVDNAKIKVVGIGGGGNNAVNRMIGSGVQGVDFYAINTDAQALLHSATQNPIKIGELLTRGLGTGGNPLLGEQAAEESKEAIANALKGSDLVFITAGMGGGTGSGAAPVVAQISKEAGYLTAYEAIERLQKNVDTLIVIPNDRLLDIADEQTPLQDAFRLADDVLRQGVQGISDIITIPGLVNVDFADVKAVMKGSGTAMLGVGVSSGKNRAEAAAEQATLAPLIGSSIQSATGVVYNITGGKDLTLQEVNKVSQVVTSLADPSANIIFGAVVDDRYTGEIHVTIIATGFSQSFQKKLLTDPRAAKLLDKVLEGKENKAKMVPPPINSSTSPSTVESRASSPKLFF >OIW17056 pep chromosome:LupAngTanjil_v1.0:LG02:6473946:6478444:-1 gene:TanjilG_15639 transcript:OIW17056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKVCWPYFDPEYENFSNRINPPRVSVDNDSCHDCTLIKVDSVNKPGILLEVVQILTDLDFIITKAYISSDGGWFMDVFHVTDQQGKKITDSKTIDIIEKALGPKGQSTEGVKSFQGKRVGVHSVGSHTAIELIGRDRAGLLSEISTVLANLHFNVIAAEVWTHKRRIACVLYVNDATNHSVDDPNRLSHMEEQLNQILRGCEDDEKVARTSFSTGFTHMDRRLHQMLFADRDFESPGVTTTDVDCPPSYRPQISIEHCEEKGYSVVAVKCKDRAKLMFDIVCTLTDMQYVVFHATISSDGPNASQEYFIRHMDGYMLDTEGEKERVIKCIEAAIQRRGVSLELCAKDRVGLLSEVTRILRENGLRVCRAGVSTIGEKGLNVFHVRDAYGNPVDMKIIEALHREIGQTVMVNVKKVPANAKAPATQGWAKTSFFLGNLLERFLT >OIW16629 pep chromosome:LupAngTanjil_v1.0:LG02:19313835:19315074:-1 gene:TanjilG_01868 transcript:OIW16629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYTNKVFDSEEASEMVKDLRETFGSGKTRSYQWRNSQLKALLNLIEEHEQDINQALYSDLSKSEIESFVQEIDG >OIW16830 pep chromosome:LupAngTanjil_v1.0:LG02:14101342:14108678:1 gene:TanjilG_06870 transcript:OIW16830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNSHPHPWSPLQAPKHLAKKLSLDPKTLSLASSDFGHINYETPLAVFEPTSETDIIDLIKYSNSLPKPFTIAPRGQGHSTHGQGLTHDGVVLNMNRLSDSRNGSEIVVYDEYVDVGAEQLWIDVLGATLKHGLTPLSWTDYMYLSVGGTLSNAGINGTAFRFGPQISNVLELHVVTGKGDLVKCSHVSNSELFYGVLGGLGQFGVITRARIALEPAPTRVKWLRLLYTDFSEFTKDQEHLISFHEKHDTSGADHVAGYILANLPPPRDVSFYSEHDLPKITSLITQYGIVYSLELGKFYDNDSQSHVEEELANLIKGLKFIPTFLFEKDLSYQEFQNRLQVDIEFLKSKGLLDIPHPWMDLFIPRSRINDFNEGVLKDIILKQNISVASIIFYPMNRNKWDDRMSAVTPNEDVFYALGLFRGCFDKKELEASEAQNWQILQFCKDTGIDVKVYLASYKTQLEWVEHYGSKWELIKERKDEFDPKRLLSPGQKIFN >OIW16243 pep chromosome:LupAngTanjil_v1.0:LG02:23072932:23078774:1 gene:TanjilG_18958 transcript:OIW16243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESVSVSGGEVGGAGGAYSYEALKRLDQLWSNICLPQQVVQKPQQVVSTIPSLFSSSGLADKAEGSYDVLVCGGTLGIFIATALSARGLRVAVVERNVLKGREQEWNISRKELLELAEVGVLEEDDIERVTSVKCNPFTTKQFEYKIQYSVGDLDLASASYFILVVFVINHCRPVKLIEIVKERFISLGGEIFEGRSVSGIDVYEDVAVLKLSGDKILTSRLIVDAMGNFSPVVKQIRSGRKPDGVCLVVGTCARGFNNNSTSDVIYSSSSVKKVGNSNAQYFWEAFPTGSGPLDRTTYMFTYVDPQPGSPKLEELLEDFWDLMPEYQGVSLENLEILRVIYGIFPTYRDRAMSAKQKSNVPADFINELLYANFNCMQDVVQFWPLSKTLGLVMLTNPQLLPSIFKQVGVAVLLDWSRHFLMLAYFTFLSTFADPVVR >OIW16166 pep chromosome:LupAngTanjil_v1.0:LG02:22436154:22437652:1 gene:TanjilG_18881 transcript:OIW16166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKASHYAHFDKDNNHNGFNTTELETEFGFPSEFPYEFDSFGLENLNSPLESVEGSTETESSDEEDFFAGLTRRLSQASLHETRLSQASLHETRLSQLTVPISNINKTEIQKKVRVISGSPQSTLNGIGYWSGQSPGSGEESPNESSRVPSPNTTPFANDALDSVYTAAEHVARLKINDDVPNHDFQKRGFHSIFPQHVAADNHATQLFNHNNLNHASRMSYFQLKEQCGSVWGRESNPTFSTYQQQLQVQNKVRDFGYGCVKCTHPLPQPAWNFQQQNQLVQGHVGSGSRPVLNGGSSVKRGCAGTGVFLPRQYVVPSEPRSKTTCAPVIVPAKVIHVLNSNIEVPNATAQRFVNAYGADYNVLLARRNAILMQQKLSLGREEATSFKIRLPHEWTY >OIW16387 pep chromosome:LupAngTanjil_v1.0:LG02:24195559:24198055:1 gene:TanjilG_19103 transcript:OIW16387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNALKTISDSLKLVDTKKENLKKAYDDLQSHSSLFSFPHTWSQIDSHFNSLHNSLTQRFLLLQQNHPSSSNSVSPNQNPKVSNFSSFLNDPTTQNGTTTTNSTHMEQMGALCKVNDGKGLRNYLVVNYKDIASINPQLVAALKCSHDPASLVLDSLDGVIGANGLKDSGEVKRMKKVCGVLFQVLRVVCRNVSDEVRKRANRLFLEWKRSLVKDNADAFGAMAFLHFVATYGLLSELSTDELTTFSAVAATNDELSELYHNIGLTDKVPGLVQKLIDKGKHILAVKYVFQFNLVDKIRPVPILHAYVNETQKRSTRLSQEGKSLAEITTREIHGLKSVIKVIENHNLESEFPRASLEQRIEELKKQKANPPQHEQQQNRVKRPRISAPVGPAAVVNSVGSASSTIHQYGQPIFHSTGLLPEHPNPYLGLPARPYGMVPPTPAISPYPGPSTGPYGPAVVPMGPTGNPGRGYPHLTPSVPNVPPSGFGLQHYYRGSYPR >OIW16601 pep chromosome:LupAngTanjil_v1.0:LG02:20093766:20095048:1 gene:TanjilG_02807 transcript:OIW16601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEATPDFCSWDELIPDALGVIFTHLSLQERLTIVPSVCKSWASAVAGPYCWQEIDIEDWSSYCEPDKLDRMLEMLITRSSGSLRKLCVSCIQTERIFAFIAENAGSVRTLRLPRCNMSDSMVEHFTGKLSMLSFLDVSYCIKIGAHGLETIGKNCKLLEGFCRNMHPVETAGKAFEDKEAIAIASTMPKLKHLEMVYHLISTEGVLQILSSCPKLEFLDLRGCWGVNLENISVEQNFPKVKVLEPLVLDYYESDYWEDFSESSEYLAWEFVAGDMNAYYDDESDIDDGMLDDEGRLEELEFRFYQGIENAAMFWPPSP >OIW16236 pep chromosome:LupAngTanjil_v1.0:LG02:23023857:23029287:-1 gene:TanjilG_18951 transcript:OIW16236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADITHLPMEQLQDLEYCLDSNPPWAEAILLAFQNYILMLGTSVMVPSLLVPAMGGSDGDKARVIQTLLFVAGINTLLQALFGTRLPAVVGGSFAYVIPIAYIISDSSLQRISDRHERFIHTMRAIQGALIVASSIQIILGYSQVWGLFSSPLSMAPVVGLVGLGLFQRGFPLVGVAQSLFNCHDLCVGQLRRNWYTDAVVDALIWYKLQYLKHVRPFRDIPIFERFPVLICVPIVWIYAVILTASGAYQHKSPQTQHNCRTDRANLISTAPWFMFPYPLQWGPPTFSAGHSFAMMSAVIVSMVESTGAYKAASRLAIATPPPAYVLSRGIGWQGIGVLLSGLFGTGTGSTVSVENVGLLGLTRVGSRRVVQISAGFMIFFSTLGKFGAVFASIPIPIFAALYCILFGLVASVGISFLQFTNLNSMRNLVIIGLTLFLGISVHQLFDQYWNPSRHGLVHTNAGWFNAFLNTIFLSPATVGLIVAVFLDNTLEVERSKKDRGMPWWVKFRTFRGDNRNEEFYTLPFNLNRFFPPT >OIW17207 pep chromosome:LupAngTanjil_v1.0:LG02:3317315:3320920:1 gene:TanjilG_02496 transcript:OIW17207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLSCLLGLSHAKCDFKAIFNFGDSNSDTGGFFAAFPAESGPFGMTYFNKPAGRASDGRLIVDFLAQAFGLPFLSPYLQSIGSNFRHGANYATLASTVLLPNTSLFVTGISPFSLAIQLNQMKQFKTKVEEIHQQGTSSCDCTSEAKTPSPDIFGKSLYTFYIGQNDFTSNLASVGIGGVKKYLPQVVSQITSTIKELYNLGGLTFMVLNLAPVGCYPSFLVQLPHNGSDLDDFGCMVSYNNAVVDYNNMLKDALKQTRKTLPKASIIYVDNYKVLLELFHHPTSHGLKYGTKACCGYGGGDYNFNPKLYCGNTKVINGSTVTATACDDPYNYVSWDGIHATEAANKLITYAILNGSYSDPPFPLHKHCDLQPIG >OIW17177 pep chromosome:LupAngTanjil_v1.0:LG02:4205641:4212638:1 gene:TanjilG_18132 transcript:OIW17177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRYDGRSGDPTSYRERRSDSGLGAASGYGGSVHSSSSKTNDDGGSPRKLNLDGLPHFEKNFYSESPTVRAMTEDEVEEYRRRREITVEGRDVPKPVKTFHDVGFPEYVIQEIEKAGFTEPTPIQSQGWPMALKGRDLIGIAETGSGKTLAYLLPAIVHVNAQPILDHGDGPIVLVLAPTRELAVQIQQEATKFGESSRIKSTCIYGGVPKGPQVRDLQKGVEIVIATPGRLIDMLESNHTNLRRVTYLVLDEADRMLDMGFDPQIRKIASQIRPDRQTLYWSATWPKEVEQLSRKFLYNPYKDWYDSVVGNNDMRNTRKDTGIEVMGVGLDRRWSSKENDVRGCQKEGKTRKFCPERIARQTRGHGSAWPKTETIPEHVLYNLDHNQSMVLYLYFVKCENFMEFTINVIIVQYSMLHHLELPNVDIIFVRLVKLLEDIMDGSRILIFMDTKKGCDQITRQLRMDGWPALSIHGDKSQAERDWVLSEFKSGKSPIMTATDVAARGLDVKDVKYVVNYDFPGSLEDYVHRIGRTGRAGAKGTAYTFFTAANARFAKELITILEEAGQKVSPDLAAMGRGAPPPLSGHRGFHDRGRGYGGGRPWN >OIW16160 pep chromosome:LupAngTanjil_v1.0:LG02:22378169:22383436:1 gene:TanjilG_18875 transcript:OIW16160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVSGGVEVRHGQKMVYMCGYLPGATTQRLPLLSPVAVNFPGTCYSWNDVCGGGCGFAMALSESGKLITWGSTNDLGQSYLTSGKHGEIPEPFPLPPEAYIVNAAAGWAHCVTVTDCGEVYTWGWKECIPSGKVFGEPSIGISLEQDVPGWQSSLSTEQVSPRCQGSRSTEGTASTTSGEDSMKRRRVSSAKQTAESSSSGDDTLTALPCLVTLNPGVRIASVAAGGRHTLALSDIGQVWGWGYGGEGQLGLGSRIRMVSSPHLVPCIDSTSYGKDRSTTLARGRMCSEGQGLRAPGSYVKGIACGGRHSVVITDAGALLTFGWGLYGQCGQGTTDDELSPTCVSSLLGIRIDGVAAGLWHTVCTSAAGDVYVFGGNQFGQLGTGSDQAEVLPRLVDSPNLENMHAKNISCGSRHTALLTEGGKVFCWGWNKYGQLGLGDVIDRKIPSEVAIEGYVPKNVACGWWHTLLLA >OIW16383 pep chromosome:LupAngTanjil_v1.0:LG02:24166347:24171949:-1 gene:TanjilG_19099 transcript:OIW16383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQTNEAGFFRTISSSSISNLLPKSLSSNFNRKSSSSSNPKIPISELENTPPKDPNIPINHHHSLKHQLHSKTPFSNNVKLTDSKPTLESDPSVKASSNFEVTFEVTILILRWVVVRIRPSNTDGREGDGTVKKVSSDTLCVGDRQFIFNSVFDSNSNQEDIFQTVGVPLVKNAIAGYNTSVLSYGESGSGKTYTMWGPPSAMFEEPSPQSQKGIVPRIFQMLFSELEREQHMSEGKQFNYQCRCCFLEIYNEQIGDLLDPTQRNLEMKDGSKHAFNIENLTEEYVTSYDDVTQILIKGLSSRKVGATSLNSKSSRSHIIFTFVIESWCKGTSSSGFSSSKTSRISLIDLAGLYRNKVDDAGRQHLRENRNVKKSLSKLGHLVDALTKKTHSGKGEQIPNRDSCLTRLLQESLGGNAKLSLICSIFPDNKDNGETLHTLRFGQRVRSIRNEPVINEIKEDDVNDLSDKIRQLKEELIRAKADGHSSFGSMNGFFQGHNVRESLNQLRVSLNRSLLLPHIDDTTDEEVHVDEEDIRQLHQQIVEMYNSCEENPKEISVSEDCVQYYSVDGSCDTDKICGDEVEKEEVCSGEMSSRLCHEDSVVSGNTLYASVDDLESISSASRAIKSTFRDSISVSSCYQSPILEEPPLSESPKIRNTKRKSQMSLSSSCLGSWNNVAVENSSSNEVVLGQQFIQGDHLRSSLRSSKVSPGPTESLAASLQRGLQIIDYHQQNSALTKSSVSFSFGRSILTCCPETDMGEKSSNDGRTANLLCESCRKKISDQDSSEVQDSLKPSIDTDKVPKDLETVMAKSILREKELENVCKEQAARIEELNRLVEKLKGEKVDSVIVYESNKQTKHEEECNNSQKDENKLLMGTSSDNHLAAIIEEKCEIKEVQEELTQRVSSFDATEKEELLKEIQNLRCKVQLYDDAPVKKSTDKLRSSLISRSIQVRKSGVFFRDNGGEELEKERQRWTEMESEWICLTDELRVDLDSIRQRAERVELELRLEKKCTEELDDALKRSVIGHARMVEHYVDLQEKYNDLAAKHNAIMEGIAEVKKAAAKAGKKGHARFAKSLAAELSALRVEREREAKFLKKENTSLKIQLRDTAEAVHAAGELLVRLKEAEHAATVAEENFTRLQQDNEQLRKKMEKVKRKHKMEMITTKQYLAESKLPESALKPLYREGSDAAVHNNADDDQAWRAEFGAIYQEHY >OIW16175 pep chromosome:LupAngTanjil_v1.0:LG02:22521181:22522652:1 gene:TanjilG_18890 transcript:OIW16175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEINNNNNNTEWSIEENKQFESALAIYDKDTPDRWLKVAAMIPGKTVFDVIKQYKELEEDLSEIEAGRVPIPCYITSAFTFELISNQNYEGCRKRAATIRGSDQERKKGVPWTEEEHRRFLMGLMKYGKGDWRNIARNFVVTKTPTQVASHAQKYYIRQKDSGGKDKRRPSIHDITTVNLAETTTSYKNNPLLSNESPMSKVQLDCINHYNDGSFMVFDPSCDDLLKLQGQDLYDCAFHEAYSKESKLHIPSFKKASRDFSNEAVFGIHAL >OIW17342 pep chromosome:LupAngTanjil_v1.0:LG02:1108826:1110319:1 gene:TanjilG_22454 transcript:OIW17342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVDEMDVSSEWSWEQDKAFESALVNHPEDAPDRWEKIATDVPGKTIEEIKQHYELLVEDINQIESCCVPLPSYNSSSDGSPSHASSVEGAGEKGGRLNSDSNHGTKTSRSDLERRKGIAWTEDEHRQLFLLGLEKYGKGDWRSISRNFVLTRNPTQVASHAQKYFIRLNSMNKDRRRSSIHDITSVNNGDVSASQVPITGQTNDSEGNSTGKPGKEAQMPPASIRVPSIGIHAAPAIGKPIGGPLVSAVGTPINLPAAGHMAYGVRASISGAPMNISPMAYPMSHTSAPHR >OIW17494 pep chromosome:LupAngTanjil_v1.0:LG02:2416531:2427576:-1 gene:TanjilG_22606 transcript:OIW17494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRASSGLQYPERFYAAASYVGFDGSTSPTKSKFSNSTALLLYTLYKQATIGPCNIPEPSTWKIVDHSKWASWNQLGNMSSTEAMRLFVKILEEEDPGWYSRASNSVVEPVIDVQINHNSKVEPVIENGNSYPEIKTISTENGSQVTQDKDVVVEGFGSVVVYDEWIASPVSGQRPKARYEHGAAVVQDKLYLYGGNHNGRYLSDLHVLDLRSLAWSKIEAKAGAESSVTLSPCAGHSLIPWENKLLSVAGHTKDPSEVIQVKVFDLQTSTWSDLKTYGKAPVSRGGQSVNLVGSSLIVFGGQDAKRTLLNDLHILDLETLTWDEIDAVGVPPSPRSDHTAAVHVDRYLLIFGGGSHATCYNDLHVLDMQTMKWSPPTQKGDVPTPRAGHAGVTVGENWFIVGGGDNKSGVSDTVVLNMSTLSWSAVTSAQGHSPVASEVYVLKPSCKSTVQSKTAENSIPDRVSAVQNAADTPHAEAEFGARHEGKVREIVVDNAGATKKSNGDPISAMKAEIEELESTLSTEKLQTLQLKQERAEAESRNSDLYKKNPSLFDLFPVYLSLKEVIKSKVVVFDYNIVFGGQDAKRTLLNDLHILDLETLTWDEIDAVGVHPSPRSDHTAAVHVERYLLIFGGGSHATCYNDLHVLDLQTMEWSRPIQQGEIPSPRAGHAGVTVGENWFIVGGGDNKSGVSETVVLNMSTLAWSVVTSVQGRIPVASEGLSLVVSSFNGEDVLVSFGGYNGRYNNDVYVLKPSHKSTVQPKTIEGSIPDSVSAVQNVTNTPDVESELEAFASLAEKKSKGDLISVLKAEREELESSLGKEKLQTLQLKQELGEAESRNSDLYKELQSVRGQLAAEQSRCFKLEVEVAELGQKLQTIGTLQKELELLQRQKAASEQAALNAKQKQSSGGVWGWLAGTPGQKEDEDDDE >OIW16660 pep chromosome:LupAngTanjil_v1.0:LG02:18802451:18804789:-1 gene:TanjilG_30793 transcript:OIW16660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPRRPFIPPAIRSVVTVNASASAPLLDFKGGKGMSGFFDVELKVRDYELDQYGVVNNAVYASYCQHGRHEFLESIGINCDAVARGGDSLALSELSLKFLAPLRSGDKFVVKVRVSGSSAARMYFDHFIFKLPNQEPILEARAIAVWLDKNYHPVRIPAEIKSKFVKFVRNEDS >OIW17028 pep chromosome:LupAngTanjil_v1.0:LG02:6833744:6839622:-1 gene:TanjilG_13847 transcript:OIW17028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNTNNFSTLFHNFTSNRPNKRFFTNLYFITFSIFLCSLFYFLGLWNNSTTTTISATRYTDSATANTICSHSNNITSSTLSIPSSSSTKIDFQAHHFIPDPPPTTARVPHIPPCDLTFSEYTPCEDSKRSLKFPRSKLIYRERHCPSPDEILRCRIPAPHGYRSPPRWPASRDWAWFANVPHKELTVEKKNQNWVQFEKDRFRFPGGGTMFPRGASAYIDDIGKIINLRDGSVRTAIDTGCGVASWGAYLLSREILTVSFAPRDTHEAQVQFALERGVPALIGVIASIRLPYPSRAFDMAHCSRCLIPWAHYGANPGNSLSVYGVKVVNIYHFPYPTNIDTDRGTGEVIPYECDAKLFLASQWWNADGVYLTEVDRVLRPGGYWILSGPPINYQKHWKGWERSRESLKDEQDGIENVAKSLCWKKLVQKEDLAIWQKPTNHIHCKLQQKILKNRPLCEAQDPDTAWYTKLDTCLTPLPEVNDIKEVGGGRLANWPERLTSIPPRISSGSLKETTAETFNEDTQLWKKRVAYYKTLDPQLAERGRYRNLLDMNSYLGGFAAALVDDPVWVMNVVPVEAEINTLGAIYERGLIGTYQNWCEAMSTYPRTYDFIHGDSVFSLYQNRCNMEDILLEMDRVLRPQGSVVLRDDVDVLVKVKSIADAMQWHTRITDHEEGPYQRQKILVAVKEYWTASPPDQIQQSKS >OIW17471 pep chromosome:LupAngTanjil_v1.0:LG02:2173641:2180664:-1 gene:TanjilG_22583 transcript:OIW17471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHIAFKNFLVVTDLDGNFVVQIGSSGEEGLQDGSFDDATFNRPQGLAYNPKKNILYVADTENHALREIDFVDEKVRTLAGNGTKGSDYIGGGKGDTQLLNSPWDVCFHPIEEKVYIAMAGQHQIWEHDILDGITRAFSGDGYERNLNGSSSTSTSFAQPSGLSLSRDLAEIYIADSESSSIRAVNLKTGGSRLLAGGDPIFSDNLFKFGDQDGIGSEVLFQHPLGVVCTKHGEIYITDSYNHKIKKFDPTSKRVSTIAGTGKAGFKDGTAVTAQLSEPSGIVEGDNGRLFIADTNNSLIRYLDLNNDEYALSTLELKGFQPPKPKLRSFKRLRRRTSADTETINIDAISSNEGNLSIEISLPNEYHFSKEARSRFSVDIEPEDAVNIDLLDGFLNPEGSATLHFKRSSNSASMARINIKVYYCKEDEVCLYQSLLFEVPFREGAPNSTKADVTLAHFVKPKTLTSSSLRPVAL >OIW16449 pep chromosome:LupAngTanjil_v1.0:LG02:24576040:24578824:-1 gene:TanjilG_19165 transcript:OIW16449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKVTLSSPFLFKTSFLPNSLSPLNIILPSSKTNVPPPPPLKIHAKLGGADEDIKKGSKKKFITKDEEPQQYWQTAGEREGENPMKTPLPYIIIFVSKQKAVYVDNLVQEEKEPQGEVHNSECQEKDQLGTSEDSQVGWSFQHDNSDGSISDEESLIEIELPTGQCGLQQKKRELSSPEALFSQKSIIKGFLAEFNEEENLIEIDISMGSIKYSRFEIKAA >OIW17312 pep chromosome:LupAngTanjil_v1.0:LG02:868884:871773:1 gene:TanjilG_22424 transcript:OIW17312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIGSFEPKSERKRSRKNKAVVDENAPLLPKSQESDVGFDDFNGASFSGAVFNLSTTIIGAGIMALPATLKQLGMVPGLVAIIFMAFLTEKSIEFMIRFTRAGKSTSYGSLMGDSFGKYGKALVQLCVIINNIGVLIVYMIIIGDVLSGTSASGEHHSGIFEGWFGVHWWTGRTFVVLFTTLAIFAPLASFKRIDSLRFTSALSVALAVVFLVIAVGISIVKIISGGVAMPKLFPDVTDAASFLKLFTVVPVFVTAYICHFNVHTIDNELEDSSQLRGVVQTSLGLCSSVYIMISFFGFLLFGDGTLDDVLANFDTDLGIPFSFVLNDAVRISYTAHLVLVFPVVFFPLRLNIDGLLFSKSKPLVLDNFRFASLTISLIGVVFLGANFIPSIWDAFQFTGATTAVCIGFIFPAAIILRDRHNIATKGDKILAVIMIVLAVFANAVALYSDAYTLFKQNKTSRA >OIW16667 pep chromosome:LupAngTanjil_v1.0:LG02:18009182:18014062:1 gene:TanjilG_28724 transcript:OIW16667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASENATRSNSAADSYIGSLISLTSKSEIRYEVRSFGTEGRKKDGQQIPAGDKVYEYILFRGSDIKDLQVKSSPLQPTPQVNNDPAIIQSHYPRPVITPTSLPDIGGRSLTYLSSHATQLVVPGSNFQGPLPLYQPGGNIGSWGASSHAPNGNGGGLAMPMYWQGYYGAPNGLPHLHQQSLLRPPGLSMPSSMQQPMQYPDFSLSLPTGTSKLPELPSSLLPVSNSVRSVTSASLSPSNLSPPPSSLPAAPSALPAALSVLPHAPSALPPIPSTLSPAPSASLASETFPVSLTNKAPNVSLPAVTPAANLPSLTPLTNSGSEVNTIVPPISSKPNAILGSGLTYQTVSQLPPSIAGSSNSIHTEAPTPSLVTPGQLLQFGPTVVSSAHLSQTTHKDVEVVQVSSTSSFQPYLSVSTENQSPILPLPVTSRSSHRPTGAPIQTHHGYGYRGRGRGTGVLRLVSKFTEDFDFMAMNEKFRKDEVWDDLGKSNKSHSKEKDGEENSFYEDYNQDEDNGDSSNFKPVYNKDDFFDSLSSHALDQASQNGRIRYSEQIKIDTETFGDFARYCGGRGGRGPFRGGYPSRGGYYGRGYGYSGRGRGRGMPSHSS >OIW16508 pep chromosome:LupAngTanjil_v1.0:LG02:21046549:21047553:-1 gene:TanjilG_32178 transcript:OIW16508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSMSSSISEEPLLAPNPDRFCMFPIQYPQIWEMYKKAEASFWTAEEVDLSQDLRHWDSLTDGERHFIKHILAFFAASDGIVLENLAGRFMTEIQVAEARAFYGFQIAIENIHSEMYSLLLETYIKDSADKNRLFHAIETIPCVAKKAEWALRWIDGSESFAERLVAFACVEGIFFSGSFCAIFWLKKRGLMPGLTFSNELISRDEGLHCDFACLLYSLMNKKLPEERVKGIVRDAVEIEREFVCDALPCALVGMNGGLMSQYIEFVADRLLGALGCGKVYDVQNPFDWMELISLQGKTNFFEKRVGEYQKASVMSSLNGGDGGAHVFKMDEDF >OIW17513 pep chromosome:LupAngTanjil_v1.0:LG02:2598914:2601684:-1 gene:TanjilG_22625 transcript:OIW17513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRLLVTFFIFSFASFCSCSRPLEAIPNACSVMDYGAIGDGITDDSKAFLKAWDAACAMSGSIGTVVVPQGKTFLLKPLKFTGPCKFSSINFKLEGNIVAPMSTDAWTKGDKTKWIEFSDIDSLVINGGGQVNGRGSVWWKSCHDDYCKRPKALSFQNCNNLQLSDTQHIDSPKGHISITKSDNVIVSNLIITAPEYSKNTDGIDISGSNHIVIKKCTIATGDDCIAIGNDTSNIDITNIACGPGHGISIGSLGRYGAYDTVENVYVSDCNIRGATNGVRIKTWQGGSGYVRNVTFEKITITNTKNPIIINQDYQDTVMKEFKKKGSGGLEISGVRFKDVKGTSASKVAITLDCNSSKGCHDIIMDDINLTSESSPSEITASCTNANGEETSVSPKVSCLENKTPSSY >OIW16827 pep chromosome:LupAngTanjil_v1.0:LG02:13960466:13963254:1 gene:TanjilG_06867 transcript:OIW16827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGFDVSAYAHSSVHKALILKDYIGLQEILVGLPKLSNPYEIKTEAASIAEDEKTAAISAVVNRHDVPNGDTPLHLAAKLGELVAVEMLMAAGANDSLKNKQGWSALREAIINKQDKIAMIMIKYSWNDYDEKWHRRLPRYIGTMRRMRDFYMEITFHFESSVIPFISRIAPSDTYKIWKRGGNMRADMTLAGFDGLKIKRSDQSILFLGDGLDDGKKIPGSLCMVSHKKKEVIIASSSSEVVKPTDREVRQSLARKSKSKIVRVGIDVSQALLVPQVTWRRKERKEMVGPWKAKVYDMQNVILSVTSKAIPGSAPEGKVSLQDSKKNNEEIDKILTQEEKKQLEAAMNNSSYENDHKINVKDEKKGLPSGHKEKNHHKGKQGEAPSVSSASSSSHRDEAGETEFKKGMIPVLWLSPNFPLKIEELLPLFDILAEKVKAVRRLRELLTTKLPKDTFPVKVALPVVSTVRVIVTFTKFEELQHVDEFESAPSSPTGAGHEQTEEAHSSSSWFHWIKGSSQSISSGSESCRIHESHDLFSIPSDYKWIDMDAKLKSYDRTKPKAQKS >OIW17386 pep chromosome:LupAngTanjil_v1.0:LG02:1478400:1486213:-1 gene:TanjilG_22498 transcript:OIW17386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSSGLTFKLHPLVIVNISDHYTRVKSQMNPPISHHNTTTTTNGGDAVASSAPPRVYGCVIGVQKGRTVEIFNSFELLYDSSNNSLDRPFLEKKQELCSVFVFSSCYKKVFPHFYILGWYSTGSDAEESDMLIHKALMDINESPVYLLLNPSINHSQKDLPVSIFESELHVIEGVPQLIFVRSSYTIETVEAERISVDHVAHLKPSDGGSAATQLAAHLTGIHSAIKMLHSRIKVLHHYLLAMQKVAAHLTGIHSAIKMLHSRIKVLHHYLLAMQKGDVPCENSLLRQVSSLLRRLPAIESGKFQDDFIMEYNDTLLISYLAMLTNCSSATNELVDKFNTAYDRHSRRGGRTAFM >OIW16705 pep chromosome:LupAngTanjil_v1.0:LG02:17855654:17857180:1 gene:TanjilG_24175 transcript:OIW16705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDASSLSMAFSSLNPQISLSKVSNFQRFTNPTTLIPSWVSSTTKPNTTLTFVSSNTKKFPPFIPCCSTKPGTSANSDTHSTPENEPSSLSSQASESDAANEKEAFLSSSSYSGSSPSPSSPSPSSYSRGLVFDLGPSNSWDNAEIGSPVVKRFLSDEEERWYMWYHGRNCDGENPSCDLIGLAISSNGVHWERGGGSATSSSDVGSVMNCGKDWWAFDTDGIRPSEIVIMSSNRVRASSAVYWLYYTGYSSESAEFFPHHSLGFSLENPDRCFINDVNCGDGDGDGNGKGKVLKSLPGLAISQDGRHWARIEGEHHSGALIDVGSEKEWDALFISSPQVVYHGSGDLRMYYHSFDVEKGHFAVGIARSRDGMRWLKLGKIMGGGKPGLFDEFGVMNACVTRNRRDGTYIMAYEGVGADGRRSIGLAASLDGLKEWTRVHDVPILKPSDKGCWDDKDVGSPCLVQMDIEANEWRLYYRGVGNGGRVGIGMANSEGMDMRIFRRWTGFHV >OIW17354 pep chromosome:LupAngTanjil_v1.0:LG02:1205230:1232447:-1 gene:TanjilG_22466 transcript:OIW17354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPAPESIFLEDFGQTVDLTRRIREVLLNYPEGTTVLKELIQNADDAGATRVSLCLDRRSHGRDSLLSDSLSQWQGPALLAFNDASFTEEDFVSISKIGGSSKQGQSWKTGRFGVGFNSVYHLTDLPSFVSGKYVVLFDPQGVYLPRVSAANPGKRIDFTSSSALSYCMDQFSPYCAFGCDMQNPFAGTLFRFPLRNADQAARSKLSRQAYSPEDISSMFVQLFEEGVLTLLFLKSVLCIEIYVWDAGEPEPKKIHSCSVSSVTDDTVCHRQALLRLSRCLNTTSEMDAFSLDFSTEAVCGVETERKTDRFYVVQTMAPASSRIGSFASTASKEYDIHLLPWASVAACISDNSLNNSVLRTGQAFCFLPLPVRTGLSVQVNGFFEVSSNRRGIWYGDDMDRSGKVRSNWNRLLLEDVVAPAFIHMLLGVKDLLGPTGIYYSLWPVGSFEEPWDLLVQPIYKNIGNAAVLHSDLDGGRWVSPSQAFLHDEKFIKSTDLGLALMQLGMPVVHLPNSLFDMLLKFNSSKVVTPATVRQFLRECETFNYLSKQYKLLLLEYCLEDLVDDDVGKEAYNLPLLPLANGDFGSFLDASKGISYFICNELEYKLLQPVSNRVIDRSIPPNILCRLSAIAKSSKTNLTLCSIHHFAQLFPGFMPSDWKYKSKVFWDPESCQKPTLSWFLIFWQYLGNQSEILQLFSDWPILPSTSGHLLRPSRQLKMINGSNLSDALHDILVKLGCNVLNPIFVIEHMDLSNYVCGGSAAGVLESIFNAFASVDVMQVSLNSLIAEERNELRRFLLDPKWYVGGSLDEFSIRFCKRLPVFQVYGSESAQDSQFSDLENPRKYLPPLDVPEFILGIEFIVRSSNLEEDILSRYYGVERMGKAQFYKQHIFSRVGELQAEVRDSIMLSVLQNLPLLSLEDISIRGLLRNLEFIPTLTGTLKCPSVLYDPRNEELYALLEDSDSFPSGAFRESEILDSLRGLGLRTSVSPDTVLESARRIEHLMHVDQQKAYSRGKVLFSYLEVNALKWLPDQVVDNKGAVNRILSQAATAFRSRNIKSDLEKFWNDLRLISWCPVLVSTPFQSLPWPVVSSSVAPPKLIRPLNDLWLVSASMRILDGECSSTALLYSLGWMSPPGGGVIAAQLLELGKNNEIVTDQVLRQELAMAMPRIYSILTGMIGSDEIEIVKAVLEGCRWIWVGDGFATSDEVVLDGPLHLAPYIRVIPVDLAVFKNMFLELGIREFLQPDDYASILCRMAARKGSSPLDTLEIRAVTLIVHHLAEVYLNERKVHIYLPDVSGRLFLARDLVYNDAPWLLGSEDPDGSFGKVPTAPWSSKSTVQKFVHGNISNDVAEKLGVCSMRRMLLAESADSMNFGLSGAAEAFGQHEALTTRLKHILEMYADGPGTLFELVQNAEDAGASEVRFLLDKSQYGTSSILSPEMADWQGPALYCFNDSVFSPQDLYAISRIGQESKLEKAFAIGRFGLGFNCVYHFTDIPMFVSGENIVMFDPHACNLPGISPSHPGLRIKFVGRQILEQFPDQFSSLLHFGCDMQHSFPGTLFRFPLRTAGVASRSQIKKEVYTPEDVGSLFAAFSEVVSETLLFLRNVKSISIYVKEGAGQEMHLLHHVHRTSIDEPETGSTEAQEMFNVFKEKRHVGMNRSQFLKKLSLSIDRNLPYKCQKILITEKSTSGHNSHFWITTECLGGGNASNGTSEASKVNCHNFVPWACVATRLNSVKLCGDLVDGSEVDGDHVASPDLFQSSSSSTHPLEIFEGRAFCFLPLPISTGLPAHVNAYFELSSNRRDIWFGSDMTGGGRKRSDWNIYLLENVVTPAYGRLLEKVALEIGLCDLFFSLWPTTLGLEPWASVVRNLYRFVAEFNIHVLYTEARGGQWISTKHAIFPDFTFPKATELTKALSGASLPVVTIPQSLLERFMEICPSLHFLTPKLLRTLLIRRKREFKDRNATILTLEYCLYDLQEPLQLDTICGLPLLPLADGSFTSIDTKGVGERVYIARGDEYGLLKDSIPHQLVDCVIPEEVHRKLCYIAQTDSTNISFLSWQLLEKLLVKLLPVEWQHVRKVSWTPGIHGQPSLEWLQLLWNYLKLYCDDLLMFSKWPILPVGDDCLMQLTPNLNVIKNDGWSEKMSSLLSKVGCLFLRHDLQLDHPQLEYFVQSPTARGVLIVFLAIAGEPQKIEGIFTDVSDGELHELRSFVLQSKWFSEEQIDDTHIDIIKHLPIFESYKSRKLVSLCKPIKWLTPTGVRDDLLNDSFIRTESEMERVIMRRYLQIKEPTKVEFYKDHIVTCISEFLSNQEVVSAIMHDVRLLIEEDIYFKSALSAIPFVLAANGTWQQPSRLYDPRVPQLKKMLHGNVFFPSDKFLDPEVLDTLVSLGVRRTLGFTGLIDCARSVSMLHDVGDTEASKHGRELLIFLDTLALKLSNTRESNNGDQQDLMAVESSSAMDDSVVYDSFPEHENSLVNDVDSFVSSLTDDMVEEEFWSELKLISWCPVISDPLVRGLPWLQPTNLVVPPTFVRPRSQMWMVSSSMHILDGKCDTTYLQTKLGWMDCPTVVVLSRQLIELSKSYHQLKIHSLLDTGFDAQLQKEIPCLYSKMQECIINIDDLNKLKAGLDGISWVWIGDDFVSPNALAFDSPVKFTPYLYVVPSELSEFKDLMIKLGVRLSFGISDYLNVLQRLQSDVHGVPLSIDQLNFVRCVLEAIAECCLEKTLFEPFESPLWIPDASGVLMHAGDVIYNDAPWLENSSLVGRHFVHPSISNDLANRLGVQSVRCLSLVSEDMTKDLPCMDYDVVNDLLALYGNNEFLLFDLLELADCCKAKKLHLIYDKREHPCQSLLQHNLVVSGGYFYMFDPRGLVLAAPSTNAPSAKMFSLIGTDLTQRFSDQFSSMLIDQNDLWSLSDSTIIRMPLSSDCLKVGSDSGSNRIKHITDIFMEHGSRALLFLKSVLQVSISTWEVGSPHPLKNFSISVDPSSSVMRNPFSEKKWRKFQLSRLFSSSNAAMKMHVIDVSLYSGGTTIIDRWLVVLSLGSGQTRNMALDRRYLAYNLTPVAGIAALISRNGHHANVFSMSSIMSPLPLSGYLNIPVTVLGCFLVCHNRGRYLFKYQDRALAEGHFDAGNQLIESWNRELMSCVCDSYVEMILEIQKLRRDVPSSIIDSSACPAITLSLKAYGDQIYTFWPRSERHVLIGELGNCDNTPSGATVVPKADWECLKERVIRPFYSRVVDLPVWQLYSGNLVKAEEGMFLSQPGNGMIGSLLPATVCSFVKEHHPVFSVPWELVTEIQAVGFPVREIRPKMVRELLKRSSKSIVLRSVDMYVDVLEYCLSDFQQTGSSSLSRDDVPTDHVSNNVLFQETDVRSTSQPDSNAHRPIGIPNQGAASSGDALEMVTSLGKALFDFGRVVVEDIGRAGAPLAYRNAVSGVSLNRDQKLIPVAAELKGLPCPTASSHLKKLGLTELWLGNKEQQSLMLPLGEKFIHPKVLDRTLLCDILSNLSLQALLKLQNFSLNLLANHMKQIFHKDWVNHVMGSNMVPWLSWEKLPSSGGQGGPSPEWIRVFWKSFRGSQELSLFSDWPLIPAFLGRPVLCRVRERHLVFIPPLQHLTLSNGSSEGESTESYVDGARVARDNTSDAELVESYISDFHRFKNEYPWLLPMLNQCNIPIFDEEFIECAASCNCFPLPGRLLGQVIVSKLVAAKQAGYFTEPTNLSSSNCDELFLLLSDEFFSNGISYAQEEIEVLRSLPIYKTVVGSYTKLQGQDQCMVPSNSFLKPYDDHCLSYATDSNESYFLRALGVVELLDQQILVRFGLPDFERKPQSEQEEILVYVYKNWHDLQSVQSVVDALKETKFVRNSDEFSTDLLKPVELFDPGDAILISIFFGERRKFPGERFSTDGWLRILRKLCLRTATEVDVIIECAKRVEFLGIECMKSGDLDDFEADTTNSSTEVSAEVWALGGSVVEFVFSNFALFFSNNFCDLLGKVAFVPAELGFPSVGSKKVLASYSEAILSKDWPLAWSCAPILSKQHIIPPEYSWPALHLRSPPAFSTVLKHLQVIGKNGGEDTLAHWPIASGMNIEECTCEILKYLGKIWDSLSSSDVAELRGVAFLPAANGTRLVTADALFARLMINLSPFAFELPAVYLPFVKILKDLGLQDTLTLSSAKDLLLNLQKACGYQHLNPNELRAVMEILNFICDQIVEGNTFDGYNWKSEAIVPDDGCRLVHSASCVYVDSYGSRYVKCIDTSRIRFVHEDLPERVCFVLGIKKLSEAVIEELDENQQLLTLGSIGYVSLATIKHRLSSRSLQSAVWAVVNSMVGYIPAFNCLSLDKVQCLLNSTAENLEFVKHLKTRFMLLPNSVDVTRAAEDLIIPEWKNESGHQTLYFMNQSRSSILVAEPPNYISVIDLIAIVVSQVLGSPIVLPIGSLLVCPEGSEIAVVNVLKLCSEKKEVEPINGSNNIVGKEILPQDARLVQFHPLRPFYSGEIVAWRSQHGEKLKYGKVPEDVRPLAGQALYRFKIEVAPGVTQVFLSSQVFSFKSVSASSPLKEALVDDSPVVGSNKPHGELPESSRRGESSSQVQPLREQSGKVSASELVQAVNEILSAVGINIDVEKQALLQRTINLQENLQESHTALLLEQEKVERATKEADTAKAAWICRICLSAEVDITLVPCGHVLCRRCSSAVSRCPFCRLQVTKAIRIYRP >OIW17583 pep chromosome:LupAngTanjil_v1.0:LG02:43851:47859:-1 gene:TanjilG_08861 transcript:OIW17583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFSKVSTPNPAAALSVSQSLRSSKKCFFLAFQPSTFSKTNRLSSSLNTFNPVKTSLRIRCSQTDGNGIAAKRTVLHDLYEKEGQSPWYDNLCRPVTDLLPLIASGVRGVTSNPAIFQKAISSSDAYNDQFRELVNAGKDIDSAYWELVVKDIQDASKLFEPIYDQTDGADGYVSVEVSPRLAHDTEGTIKDAKWLHKVVDRPNVYIKIPATAACVPSIKEVIANGISVNVTLIFSLARYEAVIDAYLDGLEASGLDDLSRVTSVASFFVSRVDTLIDQLLEKIGTPEALNLRGKAAVAQAALAYQLYQRKFSGPRWEALVKKGAKKQRLLWASTSVKNPAYPDTLYVAPLIGPDTVSTMPDQALQAFIDHGIVSRTIDSNASEAEGIYNALQKLGIDWKVVGDQLEVEGVDSFKKSFDSLLVSLQEKANSLKLVSQ >OIW16136 pep chromosome:LupAngTanjil_v1.0:LG02:22098944:22102867:-1 gene:TanjilG_18851 transcript:OIW16136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNKFATMLRRNNNKMVVILVYAVLEWVLIVLLLFNSLFSYLITKFAESVGLKPPCLWCSRVDQVLQPGKSTNLLKDLLCETHAAEISKLGYCSNHRRLTETESMCVDCLASRPNHHENSLGKRHKISFVSWESHENGESKNIKKCSCCNESLSSQIYPPYLLMNPSWGDENFTSKGSLIVESIDDEKEGDREKNGEDHDHEDEGIRDEHHTFSDIESFILREVAEDRSSSFSNLHSDGKDAEKEEKDDLIITELDPSGADNFIHQLVDTSITEASLLEDRSLELINMYFEDYVVCDTHRLTPVTLIDSITYMNFESSCKLDEDLGENEQKIQTFATKSPIDAQLSILEEASLLKMDNNAEKTSMREFESLDTSMTSLANFIVFDFEELRQSSVLEVQTQRITSEEAQISPNEDNNFDTALEEQDNKQVDLPRSQEPVYFYESTQEDGSSSSGDDAEIQNAFGEFIAQNNLSKSESLSNGDNNIDTNMEEPYNTAGCTIDLSLSQEPVGLCDCTDEDESSTSDDDNDDDDIEVQNAFDEFIAQNNLNNFPILSNGDNNVESATEKPAYTPQENLTPSEDLASSCQCIAEDQSSTSEDDTEDPGAFCKFIAHNNLGPDKTGANNNEYAEMVEETISVEEKSYQMSKCLESYEAEEDKLPETPRFVNGLHKKSMLFEKRESGGEESLDGSVASEVECGDPVLTIDRLKTALKAERTALGATYQELEEERSASAIATNQTMAMITRLQEEKAAMQMEALQYQRMMEEQAEYDQEALQLLNELMVKREKEKQELEKELEEYRQKVMDYESKERLRVLQRMKDGSVRSRDPCSCSCSNVDYADDHELSIDLKRETRDEDNCCEENSHNNTTADTVSNLEDMALDCVNHISVLDNSLAEFEEERASILDQLKALEEKIISLEDNEEFLDDINLIEHSSTYDENGLNEKCNFSSPEDNMYPNGFSDEKHSPKRTMGPLAKRLLPYLDAADNEAREEEANSFDTQLEPESADMQNSVHIQEMDSMKVSIEEEVDRVYERLQALETDREFLKHCVGSVQNGDKGVDLLQEILQHLRDLKTVEHRLQNLGNDPLE >OIW17490 pep chromosome:LupAngTanjil_v1.0:LG02:2391588:2393221:1 gene:TanjilG_22602 transcript:OIW17490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAKGKKKVVTFTIDCTKPVEDKIMDIASLEKFLQERIKVGSKAGALGDTVTVTRHKSKITVTSHDNFSKRYLKYLTKKYLKKHNVRDWLRVIAANKDRNVYELRYFNIAENEAEEED >OIW16182 pep chromosome:LupAngTanjil_v1.0:LG02:22594144:22596056:-1 gene:TanjilG_18897 transcript:OIW16182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKEQDVSLGANKFPERQPLGIAAQSQDEPKDYQEPPPAPLFEPSELTSWSFYRAGIAEFVATFLFLYITILTVFGVQRSPTKCQSVGIQGIAWAFGGMIFALVYCTAGISGGHINPAVTFGLFLARKLSLTRAVFYIVMQVLGAIVGAGVVKGFEGKHRYTQYNGGANFVAPGYTKGDGLGAEIVGTFVLVYTVFSATDAKRNARDSHVPILAPLPIGFAVFLVHLATIPITGTGINPARSLGAAIIFNKDLGWDDHWIFWVGPFIGAALAALYHQVVIRAIPFKSK >OIW16394 pep chromosome:LupAngTanjil_v1.0:LG02:24237566:24238177:1 gene:TanjilG_19110 transcript:OIW16394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQKIVIEVPLHCAKCKKKILKICTTAKGFMSLTTHRGEEDRVEIIGEGVDAARITQRLREKVNKYAKLVRVTKVEEGH >OIW16664 pep chromosome:LupAngTanjil_v1.0:LG02:18892781:18896875:1 gene:TanjilG_30797 transcript:OIW16664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNSEEGKSVQTEKPSPPDHANQANQPNVHVYPDWAAMQAYYGPKFSIPPYYHPSMASGHAPPPYMWGPPQPMMTPYGPPYAAIYPHGGVYTHSAVAIGPHSHGQGVPSSNAAGTPSSVETPTKISGNTDKVLIKNGFEGLAMSIGNDNAESEECGVENRLSQSVDTEGSSDGSDGNTSGHYDICQFDAHANQSRKRSCQGTPTTDGEEKTEIQNNPVPKETAASNKIMSVKPISVAGKFVGSTVSSGMTTALELRNLSTINSKANPTSGLQPCGVLPPEVWIQAEAEELARKVDNLNAENASLTSELNQLAESSEKLRAENATLREKLKSAQLVEAEEVILNSIDIQRATPISTENLLSRVNNSGSDDRTAEDENDFGENKPTSGAKLHQLLDNRRANVVAAS >OIW17538 pep chromosome:LupAngTanjil_v1.0:LG02:2893278:2903451:-1 gene:TanjilG_22650 transcript:OIW17538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGGAIISDFISDGGAPARSRILTADYLWPDLKKNGSEKNKRKKKVLINLDDDFEADFRDFNEDSEVDDDDDVFMMDSKKKPSAFRSTKPSNSISHGSTAEKPVVFEAQEQADKCVKRKRKNQYRGIRQRPWGKWAAEIRDPRKGVRVWLGTFRTAEEAARAYDAEARRIRGRKAKVNFPDEALDASPKRSKASPQEKLTKEKMNTVQPNGNLSQKFNVVGSNQDNIYTSMDLVEQKPLVNHYANMGSFLRRGHEINSLASSDDLTRYFSSDQGSNSFDYSDLPEISSMLSAPLEGESHFVQNANQQQNTLHSNSRDASARSLSDELAEIESELNFFKMPYLEGSWDDASLESLLAGDTTQYSGNLMNLWSIDDITMGGGEYAKLPLIQPYLFPGYKQYTDGINFASGGAGALVETHQGIVIDLNTQLSYFKIVSNTLKQKLGEAEAKTLLAKAVYLFNIGGNDYSVFMAENSSVSTSYTPKKYVDMVVGNLTSVIKSLANGTEDSCLEEASSSLSKLHNSVLSVETQKLEKKLKGFKYSYFVYFDISFQVLNHPSKYGFKESDVACCGSGPNREYYSCGGKRAVKDYELCENPSEYVFFDSVHPTERVYQLFSQLLWSGNQSITGPHNLKTLFEE >OIW17375 pep chromosome:LupAngTanjil_v1.0:LG02:1393043:1412019:1 gene:TanjilG_22487 transcript:OIW17375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLENEDQNLLDQPTNHERHKNRNIKFSYTREFLLSFSELDTCKELPSGFDRSLLSEFEDALIDRHRSTGGLSTNSLRRNEYSSSLPTRGDTNSFLRGTRGKWDIRSSGPSDKDSDSQSERESDSGKHLSNQSRKSWQGPEHDGLLGSGSFPRPSGYEPGLSDPKFRANVNNQLNRANEPYQHSGPYKAPRSRRDTTHDNYNDETFGSSGECADEDRAEEERKRRTSFELMRKEHQRTFQEKQKLNQGKNKDAFDISSLVDDNDKRLVKRNNESTELPVILSALSGDSEKSSLAHIASTSRPLVPPGFASTLLERNFGVKTSTNNHAIEVGQLEPGVTSGTRIFSVNNERKSSIKRADDDQLHHRNTGLNVLTKNENILIISPAVDIQDINIGNSDQLRKQSALSETLETSRTSEFIQLHAEVKRTEAIGGFNQDDSHSILNKVLVNALSLKSGKTTGVIEHDDIADETRSPNAFHQSSKFAHWFVEEEKKPLDDFTHRPNDLLSLIVGGEKGGLDSDLEKSRHVAPVSPFQNAEPLYEHLTSNVAHNLIDNSEQLYKSHKPELVPAVLTCEDLEQSILSQVNENGLSLQESMEDNNSYTKTEQANSNRDNHASQQLLSLLQQGNCHKDIEQSSILDVGFSARVCNTEGAAIANIHDDPGEANADVSNSSKQLTLETLFGSSFMKELQSVGAPLSVQRGSVESAGADVSDSIMSLFPASDNGLPHVGKHTLNRHVSGILPSERTHQRLGFDDPQGDVNSLQLQSKFVKASGINGPDDIHFPEEDSLTAVGGPLQNFLFAGNSAKTDLSQDIPVDITGKQAALNPAFRVEQPVMGNQGLAYSRSPYDMRESGIPYQNLNVQRSSHLQPPQLNHTDPMFNQLNSHAHVNPFMKFMTPEGMVHRESPPNHQFHGNMHRPPFDQPSNALTGFDPPGHHSMLQQMHMQGNLPPPRLLRGFPRGVPPPAHPSNPITSFIQEPNPMQRFPLSRQSPFDGPGMPLQGKHTLNRHVSGILPSERTHQRLGFDDPQGDVNSLQLQSKFVKASGINGPDDIHFPEEDSLTAVGGPLQNFLFAGNSAKTDLSQDIPVDITGKQAALNPAFRVEQPVMGNQGLAYSRSPYDMRESGIPYQNLNVQRSSHLQPPQLNHTDPMFNQLNSHAHVNPFMKFMTPEGMVHRESPPNHQFHGNMHRPPFDQPSNALTGFDPPGHHSMLQQMHMQGNLPPPRLLRGFPRGVPPPAHPSNPITSFIQEPNPMQRFPLSRQSPFDGPGMPLQVPDVVRGRNHPETLQKLLEMELRNRRLEFSYTREFLLSLSELDSCKELPSNFDRSLLSEFKDALVDRHRSTGVLSTHSFRQNEYSSSPPTRGDTNSFLRGTHGKWDSRSSGRSDKDSDSQSERESDSGKRLSNQSHRPWQGPGHDGLLGSGSSPRPSGFAHELSGPKFRATGNYQLNRANETYQRSGPYKAPHSRRDTRDNLNDETFGSSAECADKDKAEEERKRRASFELMRKEQQKAFQEKQNLNPDKNKDVFDITSLLDDNDDRLVKRSNELAEPPVVSSALSDDSEKFSLAHIVSTSRLLVPPGFASTLVERNSGVKTSTNNRSTEVGQPEPGVTRGNHIFSENNEGKLSTKQADDDRPNHRITSLNVPIKNEKEDMLNISSALDIQGINIGNSDQLRKRSALSETLVASDTGEFFQLSAEVKGTEVIGGSIQGDSHAMLEKLFVNALSLKSGSTSSFVEHDDKAGETRSPHAFQSSKFAHWFVEEEKKPLDDFTHRPSDLLSLIVGGEKGGLQVSDLEKTQHVAPASLFQNAEPPYEHFTSTVAHTAIDNNPEQLYKSNIPELVPAVLTCEDLEQSILSQVKENGLSLQQPMQGKDSYTNTEQSNSNSDNHASQQLLSLLQKGTCHKDTEQSSIPDVHFPDMVCNTEGAAIANIHDDPGEASADVSKSSKQLTLETLFGTAFMKELQSVGAPLSVQRGSVESAGADVSDSIMSLFPASDNGLPHAGKHALNRHGSAILPSERTHQWLGFGDPQGDVNSLQLQSKFIKASGINGPNDIHFPEEDSLTAVGDPLQNFLFAGNSGKTDLSQDIPIDITGKRAALNPAFRVERPFMGNQEGLAYSRSPYDMREPGIPYQNLNVHRSSRLQPPQLNHMGPMFNQLDSQSHPPHMSPFMKLKTPDGMVHHESLTNYHFPGNMKLPPFDQPSNARTGFDPPAHHSMLQQMHMQGNLPPPHLFRGFPGGVPPPVQPSNPMQSFPFSGHHQSPFGVSGMPLQASDVASGRNDSEMLQRLFEMELRSRARPGI >OIW16138 pep chromosome:LupAngTanjil_v1.0:LG02:22138344:22139675:1 gene:TanjilG_18853 transcript:OIW16138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPATLTGGRANAQVPSVCGGSIGKVGGGFLCGTRKIKEYQTSETKETSTVSKETKLISKINRNLSSRAFSMVKMVSWRKVKPEADDEEEDYGDPDEQILWRKNILMGERCRPIDFSEKILYDSEGNVLPDLSHQNNKQYQVSTQVCLQL >OIW17003 pep chromosome:LupAngTanjil_v1.0:LG02:9766290:9768294:-1 gene:TanjilG_32870 transcript:OIW17003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCSSWIDTSLDLNLNSYRAHEEVTKEVESKLFSLGMTKFPVKEECTIIKLEMELKRVSAENKKLVDMLSVVCENYNNLRSQLMEYMSKNPEKEFNPSSKKRKSESSNNNSNLMGINNFESSSTNEESCKKQREEPKISTVYVRTESSDTSLVVKDGYQWRKYGQKVTRDNPCPRAYFKCSFAPTCPVKKKVQRNVDDQSVLVATYEGDHNHTHPLAPTVTTLDLVKSKCSNDSKSTKPKIDSSHQVVPNIMVQQMATSLTMDPNFREALVAAISGRFLQNN >OIW16949 pep chromosome:LupAngTanjil_v1.0:LG02:9995718:10000085:-1 gene:TanjilG_08339 transcript:OIW16949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRSSNSSKKTGQSNSDLFRSASSKATSKESERIDSLFYSYANGSTGLIDPEGIETLCADIEVDHTDVRILMLAWKMRAEEQGYFTLDEWRRGMKDLRADTVSKLKKALPDLEKEVRRLSNFADFYSFAFKYCLTEEKQKSIDIESICQLLTLVLGSTFPAQVNLFVEYLKSQNDYKVINMDQWLGFFRFCNEITFPTLSDYDADLAWPLILDNFVEWIREKQK >OIW16149 pep chromosome:LupAngTanjil_v1.0:LG02:22281957:22283551:1 gene:TanjilG_18864 transcript:OIW16149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAIDLTGDGGVIKTIVRKSKPDAVAPTEDFPVADVHYEGTLADTGEVFDTTHEDNTIFSFEVGKGSVIKAWDIAVKTMKVGEIAKITCKPEYAYGSAGSPPDIPPDATLIFEVELVACKPRKGSSLGSVSEERARLDELKKQREIAAAAKEEEKKKREEAKAAAAARVQAKLDAKKGKGKGKSK >OIW16254 pep chromosome:LupAngTanjil_v1.0:LG02:23180481:23183570:1 gene:TanjilG_18969 transcript:OIW16254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHRRPHDGAASLRLRRHNNRTSTTSPKASDALPLPLYLTNAIFFTLFFSVAYYLLHRWRDKIRSSTPLHVLTFPELASILSLIASFIYLLGFFGIHFVQSFISRPSNDVVWEDDVTDVVIPNLPPLHVVDDEEIVNSVVEGKTPSYSLESSLGDCRRAVAIRREALQRVTGRSLQSLPLEGFDYESILGQCCEMPVGYVQIPVGVAGPLLLDGFEYTVPMATTEGCLVASTNRGCKAIYASGGASSVVLKDGMSRAPVVRFSTAKRAAELKFFLEDPLNFDTLAIVFNRSSRFARLQHIQCTMAGKNLYLRFTCSTGDAMGMNMVSKGVQNVLDFLQNDFPDMDIIGISGNFCSDKKPAAVNWIEGRGKSVVCEAIIKEEVVKKVLKTNVAALVELNMLKNLAGSAVAGALGGYNAHASNIVSAIFIATGQDPAQNIESSHCITMMEAINDGRDLHISVTMPSIEVGTVGGGTQLASQSACLNLLGVKGANKESPGSNSRLLATIVAGSVLAGELSLMSAIAAGQLVQSHMRYNRSSKNVCQM >OIW16442 pep chromosome:LupAngTanjil_v1.0:LG02:24544879:24545658:1 gene:TanjilG_19158 transcript:OIW16442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFSMIFILSNTLLLLSSSAFAKTASPPSLSLSPTPAPAPAPDFVNLTELLTVAGPFHTFLNYLESTKVIETFQNQANNTEEGITILVPKDSAFSSLKKPSLSKLTDDQIKQVILFHALPHFYSLADFKNLSETGSTPTFAGGDYTLNFTDDSGSVHISSGWSITKVTSAVHSTDPVAIYQVDKVLLPEAIFGTDIPPAPAPAPTPDIAPAADSPTAQSAESKSSSPSSTPDGSSSYKITTFGVLANLALGTFGVMLLL >OIW17445 pep chromosome:LupAngTanjil_v1.0:LG02:1928904:1931479:-1 gene:TanjilG_22557 transcript:OIW17445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHSHILPLVFCIFFSTFFLSIQARHHSHTKQKHSHSHKSYETSPPPSQNYSTPSNILDVRTFGAIGDGISDDTESFKMAWDTACESELPHNIILVPQGFSFIIHSTIFTGPCQGDLVFKVDGTVMPPDGPDSWPKNNSKHQWLVFYRINGMSLEGSGLINGRGQKWWDLPCKPHKGPNGTTLEGPCDSPIAIRFFMSSNLTMHGLRIENSPQFNVRFDGCENVHIESIFIKAPKDSPNTDGIHIENTNDVKIYNSLISNGDDCVSIGSGCYDVDIKNITCGPGHGISIGSLGNHNSRACVSNITVRDSVIKFTDNGVRIKTWQGGSGSVSGVTFNNIHMESVRNPIIIDQFYCLTKDCTNKTSAVTVSNILYTNIKGTYDIRSPPMQFACSDSVPCTNLTLSDIELLPSQGDIVHDPFCWNAYGDLQTLTIPPVFCLIEGTPRFVLENDISHC >OIW17189 pep chromosome:LupAngTanjil_v1.0:LG02:4383265:4387454:-1 gene:TanjilG_18144 transcript:OIW17189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYLLGAFKPACNVLITFNDGKNRKQVPFKKENGQTVTVPLFQSQENIVGKITIEPMQGKKIDHNGIKVELLGQIEMYFDRGNFYDFTSLVRELDVPGEIYERKVYPFEFSTVEMPYETYNGVNVRLRYVLKVTISRGYAGSIVEYQDFVVRNYTPAPAINNSIKMEVGIEDCLHIEFEYNKSKYHLKDVIIGKIYFLLVRIKIKNMDLEIRRRESTGSGTNTHVETETLAKFELMDGAPVRGESIPVRLFLSPYELTPTHHNINNKFSVKYFLNLVLVDEEDRRYFKQQEITMYRLQETS >OIW16960 pep chromosome:LupAngTanjil_v1.0:LG02:8184309:8188467:1 gene:TanjilG_32827 transcript:OIW16960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRLRKLGAAVGAPNAVVPSRETQEFALIAAGKDNPLDMLVIGGGATGSGAALDAVTRGLRVGLVEREDFASGTSSRSTKLLHGGVRYLEKAVFNLDYGQFKLVLHALEERKRVIDNAPHLCHALPCMTPCFSWFEVVYYWMGLKMYDLIAGRQLLHFSRYYSSKQAGELFPTLANKVNDRDLRGTVVYYDGQMNDARLNVGLACTASLAGAAVLNHAEVISLLKDDAGQRIIGARIRDNLTGKEFDTYAKVILNASGPFCDSVRKMGNKNAQEVIAPSSDVHITLPDYYCPGGMGLIVPKTKDGRVVFMLPWLGRTIAGTTDSSTNITYLPEPHEDEIEFILETISGYLNITVRRADVLSSWSGIRPLASDPTAGNTESISRDHVVCEDQPGLVTITSGKWTTYRSMAEDAVNAAIKSGKLTPTNGFIAQHYKGMKSPHGGKVVPGAMDSAAAMHLSHAYGKLAACGCHCSEVAHCARHEYCESAIDFIARRTRLAFLEIKAAKRALPRVIEILVDEHKWDKSRQKEELQNATEFLKTFKSSDNAQFDDAKHK >OIW16103 pep chromosome:LupAngTanjil_v1.0:LG02:21800528:21801370:-1 gene:TanjilG_18818 transcript:OIW16103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVQSTLKLQNLKLPTSSSNFESNPLCKTRKKTKKVVRIIVTDHDATDSDSSSDEEEEKKQKRVKREITQITMHFPFSDSPSSSSCSRSCSSFSSSSEQGGCKKFKSTKKSLSSTTTSAIVHHSNKFRGVRQRPWGRWAAEIRDPNRRKRVWLGTFDTAEEAATMYDKAAVELKGPNAITNFPTPVKEEEVITQAAAGESLSFDGGALYPDTVASPTSVLPYDGDSTAFDGFSYGDVDAFGFNIDMPLSLPDVNVMMTCQQFGKEEVFGEFDFDEFMTWP >OIW17406 pep chromosome:LupAngTanjil_v1.0:LG02:1657332:1659557:-1 gene:TanjilG_22518 transcript:OIW17406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNLYGDYNQKIDYVFKVVLIGDSAVGKTQLLARFTRNAFNVDSKATIGVEFQTKTLIIDNKTVKAQIWDTAGQERYRAVTSAYYRGAVGAMLVYDMTKRQSFDHMARWLEELRGHADKNIVIMLIGNKCDLAGLRAVPTEDGEEFAQRENLFFMETSALESTNVETCFLTILTEIYQINGKKTLTTNDNADQNGSAGLMKGSRIIVPSQEMGAGRKQGGCCFAS >OIW16520 pep chromosome:LupAngTanjil_v1.0:LG02:20956063:20957116:1 gene:TanjilG_32191 transcript:OIW16520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLQNDIDLLNPPVELEKRKHKLKRLVQTPNSFFMDVKCQGCFNITTVFSHSQTVVVCGNCQTVLCQPTGGKARLTEGCSFRKKGD >OIW16107 pep chromosome:LupAngTanjil_v1.0:LG02:21828173:21829334:-1 gene:TanjilG_18822 transcript:OIW16107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKASVPVKSVVYALSPFQQKIMSGLWKGLPDKIHHKISENWISATLLLTPIVGVYTYVQTYQEKEKLSHRY >OIW17257 pep chromosome:LupAngTanjil_v1.0:LG02:377777:378058:-1 gene:TanjilG_22369 transcript:OIW17257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPQPEPVSYICGDCGMENTLKPGDVIQCRECGYRILYKKRTRRSKLHSLT >OIW16225 pep chromosome:LupAngTanjil_v1.0:LG02:22919370:22920832:1 gene:TanjilG_18940 transcript:OIW16225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFTCIYIATTWLLLTHILLLIIVVSEANKKVPAVIVFGDSSVDSGNNNEIGTVLKSNFRPYGRDFEGGNPTGRFCNGRVPPDFIAEAFGVKSAIPAYLDPAYSIKDFATGVCFASAGTGYDNATAQVLNVMPMWKELENYKEYQAKLRGYVGVEKANEIIREALYLMSLGTNDFLENYYILPTTRFHFTVSQYQDFLLGIAENFVKELYKLGARKISITGLVPMGCLPLERAANIFGDHACNEEYNNVALGFNKKLDRLISKLNRKLPQLKVVSANAYDIVNDIITRPSAYGMFFLIFALTYVICFNFFP >OIW17226 pep chromosome:LupAngTanjil_v1.0:LG02:3649535:3664605:-1 gene:TanjilG_02515 transcript:OIW17226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSSDQRCKRSAGPKWRCSATASPGKSYCEKHLLQQRKNQIEKHKIREKKGEEESNNDKEKKRKRRTVKNIAGDDRSSGSELEALELEFGAEKGASRRSKKTKKLIDKTEENEESASGKGLMNLYQVKRGSKPKNGAQDAKKEGLLNKAVEGGSLMCHQCQRNDKSGVVNCSSCNRRRYCYECIKNWYPGKTREEFETVCPCCWGNCNCKACLREVPVLPRPEVNDSVKLQRLLYLLCKALPVLRHIHREQNLELEIETKIKELGNQLQEIDITRTKLHERERMYCDNCNTSIIGLYRSCPNPSCSYDLCLMCCQELRKGCQPGGIEAETSNEQFAGRARHDSTRNQSKNDHGKKYGWESQLEPTNFDFQDNPSSPFPEWEANCDGNIPCPPKQRGGCGTKFLELRRIFKANWVEKLLNNAEDLTRDYTPPSVDITEECSLCQPKLVEGKINLQIRRAAYRNGSNDNFLYCPNALDISDDEIEHFQRHWMRGEPVVVRNILDKTSGLSWEPMVMWRALRETGSNVKFKEETRSVTVIDCLDWCRLEINIHQFFKDYLEGRKHKNHWPAILKLKDWPSSTSFEERLPRHGAEFLSALPYRDYTDPKSGLLNFATKLPESSLKPDMGPKTYIAYGFSEELGRGDSVTKLHCDVSDAVNVLTHTTKVKVSPSQREEIKKLKKKYAKEDSHELFGEALGDVGGRPKSKASSHDQNGENVVNRISPSNQMDKCIFSRDEDMGGKLEIENSEQCEVNMACSRTYRNVTESSSSVEDGVSITSAEVSDDSRIFNQEHVQSTSSSASSNMNNKKDGMRIGFVDENLSGNPKLRETKRGPQKDSLETESEAELALGGAVWDIFRRQDVPKLIEYLRKHQNEFRHLYNLPVDSVIHPIHDQTLFLNERHKKQLKREFNVEPWTFEQHLGEAVFIPAGCPHQVRNRQSCIKVALDFVSPENVRECLHLTEEFRLLPKNHRAKEDKLEVKKLTLYAVSAAVREVKELMTAKE >OIW17167 pep chromosome:LupAngTanjil_v1.0:LG02:4022069:4027296:-1 gene:TanjilG_18122 transcript:OIW17167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILSSIWVLTFFSFIYVNGVLPIEATHHSFRELQNLSSDYYKQPYRTAYHFQPPKNWINGPLRYKGLYHLFYQYNPKGAVWGNIVWAHSVSKDLVNWAPLDPAIFPSQPSDINGCWSGSTTLIHGGKPVILYTGINPLNQQVQNLAYPKNLSDPFLREWVKSPKNPLMAPTSANKINSSSFRDPTTSWLGKDGYWRVLIGSKRNTLGLAILYKSKDFVNWAKAKYPLHSVQGTGMWECPDFFPILNDSPIGIDTSFNGDNIRHVLKVSLDDKKHDYYMIGSYDIVKDKFIPDKAFKDSESFLRYDYGKYYASKTFFDDGKNRRILLGWVNESSSVAHDIKKGWSGIHAIPRAIWLDKSGKQLVQWPVVEIEKLRANPVNWPTKLLKGGHLLQINGVTAAQADVEISFEVNNLGEAEVLDNWTDPQSLCSQKGPTYKSGLGPFGLLVFASKGLQEYTSVYFKIFRYQHKNLVLLCSDQSRSSLDNNNDKTNYGTFVDVDPLHEKLSLRSLIDHSVVESFGGEGKACITARVYPTLAINDEAQLYVFNNGTADVKLTRLSAWSLKKAQINGKIKIDKTGL >OIW16095 pep chromosome:LupAngTanjil_v1.0:LG02:21627663:21629057:1 gene:TanjilG_18810 transcript:OIW16095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNKSNVLMERYELGRLLGQGTFGKVYYGRSVITNQSVAIKVIDKDKVMRTGQADRILREISIMRLVKHPNIIQLFEVMATKSKIYFVIEYAKGGELFNKVEKAKLKEDVAFKYFRQLISAVDFCHSRGVYHRDIKPENLLLDENENLKVSDFGLSALTESKRQDGFLHTACGTPAYVAPEIIKRKGYEGARADIWSCGVVLFVLMAGYLPFNDPNVIELYRKIGKAEFTCPDWFPREVRRLLRKMLDPNPDTRISITKIKESSWYRKGLSATSRQTRRLGKNKTTSAAVTNHSCQCDERDSLAAEARQELVVPTSINAFDIISLSPGFNLSRIFEDEFQKREARFSSRQPASAIVSKLEEIAKRLKLKINRRAAGLLKLEGLNEGRKGILSIDAEIFEITPSFHMVEVQKSNGDTLEYQKILNEDIRPALKDIVWVWQSDQQEQPQQSEQHQQIHDQQQEQPQ >OIW17573 pep chromosome:LupAngTanjil_v1.0:LG02:99685:103464:1 gene:TanjilG_08851 transcript:OIW17573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMVVFTIFIAILVTMLLKLVYETLSCYWLTPLRINKIMEMQGIHGPKPCFFTGNILDMSSLVSKTTSKDMKTISHDIVGRLLPHFLLWSTQYGNRFLYWNGIEPRLCLTETELIKEFLSKYSTVSGKSWQQRQGSKNFIGEGVLMANGEDWYHQRHIVAPAFLGDRLKGYAGNMVECTKEMLQSIEKVLEFGESEVEIGEYMTKLTADIISRTEFGTSYQKGKKIFHLLTVLQSRCAQASRHLCIPGSRYFPSKYNREIKSLKMEVERLLMEIIQSRKDCVEIGRSNCYGNDLLGMLLNEMMEKKKRGNGKSINLQLVMDECKTFFFAGHETSALLLTWTVMLLATNPIWQHKVRDEVKRVCNGGIPSLHQLSKLTLLHMVINESLRLYPPASVLPRMAFEDIMLGDLYIPKGLSIWIPVLAIHHSQKLWGKDANEFNPERFTSKSFIPGRFLPFASGPRNCVGQTFALMEAKIILAMLVSKFSFTISENYRHAPVVVLTIKPKYGVQVCMKPLEP >OIW17267 pep chromosome:LupAngTanjil_v1.0:LG02:464603:469723:-1 gene:TanjilG_22379 transcript:OIW17267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGDNGKWLRMKMRKRIIMEELKKVGSIAVPMVVVTMLQYLLQVVSLMMVGHLGKLSLSSVALATSLTNVSGFGILSGMAGGLETLCGQAYGAKEYKKFGTYTYTAIISLTLVCAPITVIWIFLDKILILIGQDPTISHEAQKYAIWLIPSLFGCAILKPLTRFFQTQSLITPMILSSGVVLCFHIVTCWTLVFKLKLGIVGAAIASSLCTWLNVVLLLCFVKFSSACEKTRITFSKNALLGVGVFFRFAVPAAIMVCLTISTLHFTICYSIGAATSTRVSNELGAGNTEAVKVAVFASMFLAITEAVIIGATLCSCRHILGYAYTKENMIVDYVAVITPLLSLSVCMDSFQAVLSGVARGGGWQYLGAYVNLGSFYLVGVPVGKYGKG >OIW17263 pep chromosome:LupAngTanjil_v1.0:LG02:436580:439050:1 gene:TanjilG_22375 transcript:OIW17263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHHSSAQRLVAEEELDQLKVVIDNPSMKKTQMNSSENPCSRTRDLHIARRDIGRYKESRWTAESAKAHAESELSNAKKKVTHISSLIDESSYKAKAQMMDIETLDKNGKHEHGVLGEKMNENYEYARVMKELEYVKKELFRLKLDVASVLDAKSRAEKEIEESSSKVISCSTIAEALRKEIEEANEEQVLAELARLEALKELADVEAQRANEANEFSSKLESARMKLKKVMEEIDESKELEMKLAVTISDVDVLQNELNLVKEMEKRVKRDESMKQHAANFRKEEQSDSVDSTVLETVTEELEAAKKELALVREECFQFMASMDVIRNELNHVTDVTDRLKKEEGRVDSTVQNLNSKLLRAKSKLEAVSTSEAKARSIVISLSHTLENLKTETEEAKKKKEVTSQEVTTTKSEIEKSEFEMDKIEEKIQGVMQELEVAKTSEVLTLEKLKTLTESTMKERDIAAKHSSFITISKFEYEYLTNHASAAEEIADKKVAAAQAWVEALKASEKEILIKTKITQIELKESKIVEEMEVYTKGKLHSRSASNDELDNLPRKRERSSSKSIQKGVPRKSIKFNANITPAMQVKFQKSASPGSRHVGHFTIKKKKAIPNLAKFFRGKNNTRTT >OIW16595 pep chromosome:LupAngTanjil_v1.0:LG02:19979448:19979839:1 gene:TanjilG_02801 transcript:OIW16595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENEERASKSYIAMRCSAKAAMLLLRLKSIQRFTDEEMQKRKIYELKIQLLKKRSNNKIKLCTLLELIVQLLLLLSVWTFFFVIFVKAFGAYDWCDYTYH >OIW17394 pep chromosome:LupAngTanjil_v1.0:LG02:1546407:1550512:-1 gene:TanjilG_22506 transcript:OIW17394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAPPSWKNDLSKLTKFIEMLEEKVFASVKDEAEYIAKLSAKLKTLSSAPPQSAESNLSVSNPSGSGAEREVNVEWQEQLYQKVQTMRSTYVTKLDFVYKELCRKLQQLESVPQQPKTSDIEKLRHHKQSLECIFSALKVNRNQITPDYKQKLDQIEKYIHSISKAKMIPSHHQKQHSADVHSVQQFVPSHSRNSEGEISEKKPTLQSTSPQNSYSTNQSKSTQTIQTHLKDQQVANRFNISQQQAKETSIIKGCGTDVQQQTNGQKKSPEAFVIRTPGVSASLLFDEFSNVNGTSHNATVISDDPSTAMQRLIKVLSSMSDEALMASSGEIGAVVRLNDCISTLEPLNLSPDSEIDTNLEGVNDADLQTRYMTYDDFVQRGREMNRFINSMPTSETFFHRAGAENPYSSSFTSQENYTLFDEIKKINNRLIDTEVVIDEEKTFISASGRVDEHSKEGMLVKLIFNSTSVDVNLISQYASSNKKSIIKPLRILVPSSYPLCSPFILDEMPLKISEEMDDLSMKAKFRLRLSLQSLHQPMSLRDIATSWDHCAREAIFEFAKLHGGGTFSSKYGGWEICHYDG >OIW17102 pep chromosome:LupAngTanjil_v1.0:LG02:5246327:5255156:1 gene:TanjilG_25810 transcript:OIW17102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPVTGIDHNDDGKLDKSSSALPPRKQIANPVVYKLVRVEGDGRLVPATDDEVMEVEGFLECDNSEMRVVADNGQSLECISIERSSSGKLRLECSKGTAEADLGKLNSKLQYIEQILQKVKHEEKLRLSCGASQFSADKFHVMDGKIQSDPPDQEIPSVASSLNCTRSNQSGSFDQCSRPSEGAVESGSSAPAVYSKLKRDFSMFEGEICLDKLSIRELHELFKVTFGRETTVKDKQWLKRRIAISLTNSCDVSATTFIVKDNKFVRKCEDSSENGNAEASITLENMPAEEDVNFKDSSAAEDSGFEDNLVVAETRLGNHNMEHKSGSGDHQSEQRAAKRIRKPTKRYIEELSENESRDHHPRLLGSNKNIGIGYTKPARNASSEMRTSITRLDTLGGCCIQIPCVSRVRKSYPRRNMTSLMWCLCTAAVVEAGYIFLEMEAAIFLFQIFLQTGMGEAEKLANRVLGEHGLHGSNSDNKSPDKVLKLTSTPGKFHQPSAPEPGKEQCAVKCTIEVQQELKPKQTDPSSRTSDDDITTVPTAKGGMRRKHHRAWTLVEVMNLVEGVSQCGAGRWSEIKRLSFSSYSYRTSVDLKDKWRNLLKASYAPADDGMNSRKHGTIPIPESILARVRELAEMNLQVPPNLSSSKLTTGNVLVDRSGLL >OIW16323 pep chromosome:LupAngTanjil_v1.0:LG02:23755648:23764462:1 gene:TanjilG_19039 transcript:OIW16323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFYVSTKGIKRVGNGSPKNTATAAAAAAATGRRISNRTVIPAVVVLGILSIFAFLRVAVLALDSSFICSSFDCLGWRFFSNGDTTMKLRAELIRALMEANDGNVNERGADTFNELVNVMASKQDLKAFAFNTKAMFLQMERKVQSAKKQESVSWHLASHGFPKGLHCLSLKLAEEYAVNAMARSRLPPPEYASRLVDPTFHHLVLFTDNVLAASVVVASTVENSANPEKLVFHIVTDKKTYTAMHAWFSINTIKSAVVEVRGLHHYDWSEEANAGVKEMLETNHLIWKHYYNNYIENDLHDGEELNRYLEALGPSTLSLLNHLRIYLPELFPDLNKVVFLDDDVVVQHDISSLWELDLNGKVSGSVFKSWCEDGCCYGNKYANFLNFSHPFISSNFVSDLCAWTYGMNVFDLQAWRRTNITETYHHWLRLNVKSGLGLWYPGVLPPALIAFEGQVHPIDSSWLVTDLGYRHQYEEISIERLEAAAVVHFNGPAKPWLEIGIPKFEELQ >OIW17141 pep chromosome:LupAngTanjil_v1.0:LG02:4792838:4795949:-1 gene:TanjilG_21118 transcript:OIW17141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRAVEQEQESYRSKLSHFKGMFENTGRHTKSLSIESVTKLNPSEEDVTSSSSSSSSSSRSQGSKPLHNYDKGSRQRIITKEEIAAKETHEKLLQEMEQMKERFAKLLLGEDMSGGGKGVSSSLALSNAFTNLAAAVFGEQKRLEPMPPERKARWRKEIDWLLSVNDYIVEMVPSQQLSKDGTIMEIMTTRQRSDLHMNIPALRKLDTMLGASIDLSQPIFVDEQDCLDNFKDQKDFFYVKREEGDVDKDNKNNDKWWLPMPKVSPNGLSDEARKFLQYQKDCVTQVLKAAMALNAQTLVEMEIPESYIESLPKNGRASLGESIYRSITDDFFDPDQLLCTMDLSSEHKILELKNKIEASIVIWKRKKNQKDSKSAWGYAVSVEKRELFEERAETILLFIKHRFPGIPQSTLDISKIQFNKDVGQAVLESYSRILESLAYTVLSRIEEVLNADYQTQNPTKVGKMNTMRNTIPRSEKLLSIKQEVQEEELHASMTQSNNIDIKKDPSTSPNELDNEIDSEKPTKLTNIVTDKKTSYLENLGGVRSPTCYQ >OIW16197 pep chromosome:LupAngTanjil_v1.0:LG02:22726578:22729615:1 gene:TanjilG_18912 transcript:OIW16197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSSAQNQQHQPFPNLYGGATSAPPPTPSTNLNDDKNMFSTSAAADTLSHFLNRLPPNLSLPTKRRSSPTITCPPSFSLSLSSNEVLSSVSKLGFAQLTDHSILSELANSAESEALALFELSRTQKESLIPKNNWPFGYEPGEDDEEDEKRHKDSFRFDSSCTTESSELELTSLREFARALEKVGIKIIDSLTDAMGLENPVGDDPTRFCSIIWVSECVNQPGNLCGFYPFIVGLQYQLRCQKYSLLSDSGWVSVLPHVDSILVTVGDIAQVWSNGKLKKVRGRPMCMVGDENDAPYITMSLLITLPTETNVAPLLPKATIGNISINDQNEKEEEEEEGNNGGNGELERVFNSFDFEDYAWRIYHERLYLKDPLDRSY >OIW16945 pep chromosome:LupAngTanjil_v1.0:LG02:9938980:9939807:1 gene:TanjilG_08335 transcript:OIW16945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLLPKSDLIHIREVWNDNLEEEFELIREIVDDYPYIAMDTEFPGIVLRPVGNFKNSYDYHYQTLKDNVDMLKLIQLGLTFSDEEGNLPTRGTNGQCIWQFNFREFNVNEDVFANDSIELLRQSGIDFKKNNEMGIDAKRFGELLMSSGIVLNDNVHWVTFHSGYDFGYLLKLLTCKNLPDTKVGFFNLINMYFPTVYDIKHLMKFCNSLHGGLNKLAELLEVERVGICHQAGSDSLLTSCTFRKLKENFFSGSLEKYAGVLYGLGVENGQSSSH >OIW16437 pep chromosome:LupAngTanjil_v1.0:LG02:24524363:24524707:1 gene:TanjilG_19153 transcript:OIW16437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIPPPVRAARIVNYLKPYVLKMHFTNKYVSAQVIHTPTATVASSASSQEKALKSSLEIKRDVAAAGKIGKILAERLLLKDIPAVSVHLKREQKYHGKVKAVIDSLREAGVKFI >OIW16596 pep chromosome:LupAngTanjil_v1.0:LG02:19994437:19997984:1 gene:TanjilG_02802 transcript:OIW16596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNGCSIVWFRRDLRVEDNPALMAGVRAGAVVAVFIWAPEEEGQYQPGRVSRWWLKHSLAYLDSSLRNLGTPLITKRSTDSVSALLEVVKSTGATQLFFTHLYDPLSLIRDHRAKEVLTAHGITVRSFNSDLLYEPWDVNDEHGQPFTTFDAFWGRCLNMPYDPPAPFLPPKRIIPGDISRCSCDALVFEDESERASNALLARAWSPGWSNADKALTTFINGPLIEYSENRRKADSATTSFLSPHLHFGEVSVKKVFHLVRIKQVLWANEGNKAGEESVNLFLKSIGLREYSRYVSFNHPYSHERPLLGHLKFFPWVVNEGYFKAWRQGRTGYPLVDAGMRELWATGWLHDRIRVVVSSFFVKVLQLPWRWGMKYFWDTLLDADLESDALGWQYITGTLPDGREFDRIDNPQFEGYKFDPNGEYVRRWLPELSRLPTQWIHHPWNAPESVLQAAGIELGSNYPLPIVAIDEAKARLQEALIQMWQQEAAYRAATENGTEEGLGESFESTPIAFPEDIQMEEGHESVRNNTPAASTRRYEDQMVPSITSSLVRVEGEETSLDVQNSAEESRAEVPINVNAQQNTRETVFHTANRNTQLQHNNNNTTWFRNGAEDSTAESSSSTRRERDGGEVPVWSPTTSSYPEPFVDDENGLGGSSSYLQRHPQSHQLMNWTRLPQTG >OIW17000 pep chromosome:LupAngTanjil_v1.0:LG02:9699047:9701336:1 gene:TanjilG_32867 transcript:OIW17000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANAIKAAIGDAVMTFLWVLCSSTLGIATSAITKALDVQNISYNGFAYPSFFVTAFLVLALVFIFTIIGDALGGASFNPTGTSSFYVVGLGSDTLISMALRFPAQALGAAAGAIAITEVIPKEYKHMLGGPFLKVDLHTGAVAEGVLTFVITFIVLLIILKGPRSQLVKILLISISTIALVMVGSPYTGPSMNPANAFGWAYLNNKHNTWEQFYVYWICPFVGAILAAWLVRAVFPAPDAKKKQKKA >OIW17085 pep chromosome:LupAngTanjil_v1.0:LG02:5691684:5695961:-1 gene:TanjilG_20189 transcript:OIW17085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAVSTLALYASSPSSVCSTPHPCQINAHASYDFELGSRSSSPPSQTAASTSHKPVVMGGLSCLFSSSAVTKHAPLSSSFSGPVGDELGSELKEFSSKFIGSSSWKRDHHHHHHHQHSPVSVFHGPVSYSSSSTLGSSRSLFDGFVRNALGSCLDYDSPSFKVDGGLDELTFKLEDTFVDGGFESYAKKLLLDAQLRHKIFCEEFVIKAFCEAEKAHRGQMRASGDPYLQHCLETAVLLALIGANSTVVAAGLLHDTLDDSFLTYDYIFETFGAGVADLVEGVSKLSHLSKLARENNTACKSVEADRLHTMFLAMADARAVLIKLADRLHNMMTLDALPVAKQQRFAKETLEIFAPLANRLGISNWKEQLENLCFKHLNPEQHEELSSKLVDSYDDAMITSAIERLEQALKDEGISYHVLSGRHKSLYSVYCKILKKKLSIDDIHDIYGLRLIVDKEEDCYKALTVVHQLWCEVPGKMKDYICRPKFNGYQSLHTVVIGEGKVPLEVQIRTKDMHLQAEFGFAAHWRYKEDDCQHSSFVLQMVEWARWVVTWQCEAMSKDRSSVGCAYSIKPPCKFPSHADDCPYSYKPDCRQDGPVFVIMIESEKMSVQEFRANATVMDLLERAGRASSRLRTYRFPVKEELRPRLNHVPVSDPKCRLKMGDVVELTPAIPDKSLTEYREKIQRMYDRGLTVPTTGPSASTMVGSRG >OIW16927 pep chromosome:LupAngTanjil_v1.0:LG02:10201988:10205975:1 gene:TanjilG_18615 transcript:OIW16927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAQIHQLEQEAYSAVLRAFKAQSDALSWDKEELITELRKELRVSDDEHRELLSRVNADEIIHCIREWRQTGCYQPARRSTSQPVHDILPSPTISASRKKQKTSDSGQSLPGLSSMQSMQYTSAGPTVGRHFINHNSSSAFASGAPAEAAAFDHLIGKKVLTRWPADNRFYEAGRHALVYDINTADETWEWVDLKEISQEDIRWEGEELGLLHRGGHSMLGRGPKKVVSRGGDILGAGRRRGHPRFQARRDFLPPQNGIGRRVMDDIELLNTDSLVKEVERIFAASPPDSIELEKAKQVLKEHEQALVDAIARIADASDGESGND >OIW16584 pep chromosome:LupAngTanjil_v1.0:LG02:19692134:19692358:-1 gene:TanjilG_02790 transcript:OIW16584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRRAYLPSCGRITVELGAPSTMCVPVQPCVDHIATTQQVHDACSVSAPLPQRIFMCSQVHVKPGAPSTYCEL >OIW16406 pep chromosome:LupAngTanjil_v1.0:LG02:24327865:24334030:1 gene:TanjilG_19122 transcript:OIW16406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGGGGGGGGGSSNYRVPIPDNVRKTIHNIREITGKQHTDDEIYAVLRDCSMDPNETAQKLLYLDTFHEVKRRRDRNKEGLSSRASEDSRSKQGRQGRGGRGASRGYSSNFHDGGGMRNPAIRRENGVNHIAERSHAPSTQPVLLKTKTNTASQATRLSAVAPQGTANQSNGKPDHGSAGQSLIGSATSVPKSSSVANNTDNEESVQPQAAATVAASPPKTFSSVTSLDQEKSLSSSDQLQTSASVSGVYSSSFDPVLGLPNSQKPGVSGAINREVGSNRISVGPNHVKGNKDLHKADDLPASKNEKSGSLSLTSKKNASQKSNEVESNWLSEPSSLNGSSSLSSSRSSQPPPTNVTEVSTTEACSQSSAELRQHVTFPNHFQVPKALKSGLTFGNFDTSGPNERSYSGIGGYNNTASLESSLGNDESATSSNISASMTAQGDHIDYPHSSSYLIEKTPAPVGNSITHSDSNYDQPKAEALLAPEGHPIQTVQSAQNYGLNFLPTMLGTQLVQFEGTEAQVQETPLFPYFVGASSQAVSSPSQTPPSQNSIPVSPQSVSVFRPPYPTNYFPYGHYYPPIYVSPMHQFLGPNGFPQQPSAGSMYLPAAAAAAAGIKFPVPQFKAGADTGNTAHIGIPSGSFITPPFGYAAGPTVNTGSSTGNEDIAVSRLKENQIYTTGQLSEGSAMWIPAPGQDISSLQVNPLYNLIPQGHFTFPPTQAGHGAFAGLYQPGQTVASPSTLLQQSQAVAGPVENVGPPSVSYQQQPQPAQINWNSNM >OIW16797 pep chromosome:LupAngTanjil_v1.0:LG02:14911266:14924130:-1 gene:TanjilG_01362 transcript:OIW16797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWTSSSKTRPKPDIYSTFVVHDDDGDDDGGGETNWRRKPVSSEPQDDPFATMVFKDNGHDDDDEDSSLPPLFKRLPKDFGGASIDYDDDEDSGDFGTMIVKTDRRNRSSPAGSTWKAQSSSMESALNRFRGSDDNYGDGGDDDDDGGGFSTFVSRSTVKSGERDSVSGTMVRRSSGGSGSGGSAMERAVASMQAMGEIGMEKQKKGSGSSQNEEGRQSITTKVSTSSIPDSVIREDPTTKYELLNELGKGSYGAVYKARDLRTSEMVAIKVISLSEGEEGYEEIRGEIEMLQQCNHPNVVRYLGSYQGEEYLWIVMEYCGGGSVADLMNVTDEALDEGQIAYICREALKGLEYLHSIFKVHRDIKGGNILLTEQGDVKLGDFGVAAQLTRTMSKRNTFIGTPHWMAPEVIQESRYDGKVDVWALGVSAIEMAEGTPPRSSVHPMRVLFMISIEPAPMLEDKEKWSLYFHDFVAKCLTKEPRLRPTAAEMLKHKFFEKWKSGSAAMLPKLEKARQVRTSMALQVQTPAPVASEDQLNDEYGDTVPSRPHNIGVQGAADPSSHSTMRKLHRLDDMDTSEGNFGTVIVHGDDVQKKTTHDVDSADSFSAFTSGTVRRLDESGVKSVKEFTADSASFRRSSPTTDNIQSSLSRLSNSTEQNLKKESSYRAQAGIGSDLNNSTLKNETVNYKAFALQDKLWSIYAAGNTVPIPFLRATDISPIALLSDNVLGGMQSDTSGTGTVEALQELFSGDGQSKKGRRGQNEQMPLPPSIYQRLTSSSTLLNLAQALAYHKMCYEDMPLQELQANQEQRTIQNLSDTLRTILRL >OIW16567 pep chromosome:LupAngTanjil_v1.0:LG02:20422600:20425068:-1 gene:TanjilG_17740 transcript:OIW16567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEGGNLGCVVVTVDGSEESMQALRWALTNLKLRSPTPDSTEIGFFTILHVQLPTTIATGLNPGPIPFGGPIGLEVPAFSAAIEAHQKRITDAILDHALGICSEFNLTAKVKTNVVVGDPKEKICEAVEELHADLLVMGSRAIGPIKRIFLGSVSNYCTHHAQCPVIIIKGNEIDNKRS >OIW17531 pep chromosome:LupAngTanjil_v1.0:LG02:2796764:2802982:1 gene:TanjilG_22643 transcript:OIW17531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDLEKAILIMFDESGSIDHELKKRAENYCNEVKENKSSICRLCIEKLCFSNLVQVQFWCLQTLHDMIKSCYLSMAPEEIYMIRSSVFSIVYLEPVDDNNLRRVLEGPAFIKNKLAQVLATFIYFEYPLIWSSVFVDFLPHLSKGNLVIDMFCRVLNVLDDDLISMDYPRTAEELAVATRVKDAIRQQCVSQMVRAWYDILSMYRNNDEEICTSVLDSMRRYISWIDIGLIVNDAFIPLLFDLVLAGSLSDSLRGAAVRCLLAVVSKRMEVHSKLSLLQNLQISRLFRLVAEDGDTELVSDISALLTGYAVEALDCFKRINSEDAKGVSMELLNEVLPSVFYVMKNFELDATFNVIQFLSGYVATLKSFDPLREKQLFHVGQILEVILVLIRYEPSYRANLDVMDKIGKEEEDRMVEFRKDLFVLLRTVGRVAPDVAHLFIRNSIANAISSSSDSNVEEVEGALSLLFALGESLSNEAMRTGSGLLSELVLMLLSTKFPCHSNRLVALVYLETVTRYVKFIQDNTQYIPMVLTAFLDERGIHHPNINVSRRASYLFMRVVKLLKVKLVPFIETILQSLQDTVAQCTIMNSTTEVLSGSEDGSHVFEAIGLLIGMEDVLPEKQCDYLSSLLRPLCQQVETLLINAKLLTYEETNAKICIIQQIIMAINSLSKGFSERLVTKSRPAIGLMFKQTLDVLLQVLVVFPKVEPLRSKVISFIHRMVDTLGASVFPFLPKALEQLLAEIEPREMIGFLVLLNQLICKFSTSVCDIVEEIFPAVADRIFSVIPRHGLPSVPGAVTEEIRELQELQRTLYTFLHVITTHDLSSVFLTPKSKAYLDPVMQLLLYSSCNHKDILVRKACVQIFTRLIKDWCAQPYEEKVPGFRTFMIEAFGINCCLYSVLDRSFELRDANTFVLFGEIVLAQKVMYEKFGNEFLVHFVSKGFSAPHCPPDLAEQYSQRLQGGDIKALKSFYQSLVENLRLLQNGSLVFR >OIW17154 pep chromosome:LupAngTanjil_v1.0:LG02:4517843:4518750:1 gene:TanjilG_21131 transcript:OIW17154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENTSCPIELEPRTLSQMQLTQAREVAAEIVQKLEPIEASTLFLEELIYPIKEIRKIGENERQVEELIDCIEKDEFIHAEKACHCKCSCNVENTLHSDIKEPLSAPF >OIW17453 pep chromosome:LupAngTanjil_v1.0:LG02:1993622:1995963:1 gene:TanjilG_22565 transcript:OIW17453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSKIIASAVSLILVVGVVIGVVAIVSRDNGNNTTSDGHQMNSHNKAVTALCNDADDKKLCHEVLKPVSNSSNPKEYVETVVKTTMDSVIKAFNMSDRLTVEHGKSNPSLKMALDDCKDLLQSAIHELEASGVFVRDGNVQDILTHSAELKNWLGAVIAYQQSCLDGFDTDGEKKIQTQLHADSLDSVGKLTGLALDVVSGISKVLSSLNMNLNLKPASRRLLEVDHEGYPTWLSASDRKLLTAKVEGGNNGFIPHAIVSKDGSGKFKTILDAINSYPKDHQGRYVIYVKGGIYNEYITVDKKKPNILLYGDGPSKTIITGRKNFNEGVKTMRTATFSTVADGFIAKGVAFENTAGAIGHQAVALRVQGDRSAFFDCAIRGYQDSLYAHAHRQFYRNCEISGTIDFIFGYAAALIQNSKIIVRKPNPNQSNIVVADGTDQKNMPTGIVLQNCEILPESGLQADRLTVKTYLARPWKAYSRAVFIENVIGDLIQPQGYIPWAPEPNTQFSYFAEFGNTGPGANTLGRAKFAKGQINKQEAERFIAENWIQASTWLPPTGIPFDGSFTKQ >OIW17190 pep chromosome:LupAngTanjil_v1.0:LG02:4395825:4398854:1 gene:TanjilG_18145 transcript:OIW17190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGATNEKEMTLEGGFMMPHANSFGHTFRNYDAESERQEGVENFYRRNHIYQTVDFVRKMREEYGKLKRVEMSIWECCELLNEVVDESDPDLDEPQIEHLLQTAEAIRKDYPNEDWLHLAGLIHDLGKVLLLPIFGGLPQWAVVGDTYPVGCRFDESIVHHKYFMENSDYNNPAYNTKYGIYSEKCGLNNVLMSWGHDDYMYLVAKENKTTLPSAALFIIRYHSFYALHREGAYEHLMNDEDVENLKWLNIFNKYDLYSKSKVRVDVEKVKPYYLSLIEKYFPAKLKW >OIW16537 pep chromosome:LupAngTanjil_v1.0:LG02:20762686:20767057:-1 gene:TanjilG_32208 transcript:OIW16537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLQSNNMQHESGKLEQIVYQFLLKSLHIILDSRVPSLRPSDRSGELSTGSRARRSDKWFNLALGDRPSALDNLNFWHRNLMDPMIIDIILVHEGTGTSVETVIERWVVQYECPRVTAPQTGDITGSYKKTYKKSIVLFRSLYSQMRLLPAYRIFRQLGTSSYTCNFDIIYKVSSFSDPFSLAEGGNLEEYNFPPVEAPPGRLIISVTYRATLSEYNLQCSPALLPKIITDYVGSPNTDPLRSFPTSEKGFHPTSFPLRGVAPPSSAPLERPHTWTSGFHKAAPFVQNQPYLGSPPVYRGSPKPYDFPSPTAGNYGVRVHNYQIQNWQRFTGYDEHQLSPPFSSSPSPSPPAYLSSGIPMQTRMRSETAPVTIPLPMMSRSSRNLSPNFSDPSKNSLPPLSPRKIDSSPQESPSGVRSFRKVEASRIGEAHHGMTNYAGLKIARDNKDDSGRFSGLLSSSDSPRIGFSRSSSRLSFQDDLEDGDFSCPFDVDDVDIPDVQPRHNVFGKIAPEITSTSLPIGKKSQDAAVGVLVHMLRTAPPLRQDSSCYSSYSMKTEHEGGIVTASGLFLPRKAADALEELRSYKEMRDLLLSNSGNRILNKDEA >OIW17323 pep chromosome:LupAngTanjil_v1.0:LG02:954763:956432:1 gene:TanjilG_22435 transcript:OIW17323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFFNKIGNLLKHSAAKHINQDLSASTPSIFQAIRSMSSAKVFVGGISYSTDDTSLRESFARYGEVLDAKVIIDRETGRSRGFGFITYATSEDASSAIQGMDGQDLHGRRVRVNYATERERPGFGGGGGGYGGGGGGYGGGGGSYGGGGYGGGGGSYGGGGYGGGGGGYGGGGGSYGGGGYGGGGYGGDGGRSVDSGASNYQFNENSGADLGSASGEPSSNASFGYDGQFGSNQNDSTVTNNGESTEPLEENHPRENNDEANDYAQNRG >OIW17152 pep chromosome:LupAngTanjil_v1.0:LG02:4580591:4581268:-1 gene:TanjilG_21129 transcript:OIW17152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQTTYSSETITTTTNINNNTSSHSKKLENAKKTEPKRPRDNSKHPVYHGVRKRNWGKWVSEIREPRKKSRIWLGTFETPEMAARAHDVAALSIKGQSAILNFPELVNMLPRPITCNPRDIQAAATKAAGMVKLDPVVVHTLEGSEEELSEIIELPNIEEKSFDSVNSRTEFTLLDTVDSWMYPPMWSEETELFITFSEESFAQHNFIANNSFEFETEMQIPIWS >OIW16415 pep chromosome:LupAngTanjil_v1.0:LG02:24398268:24400445:1 gene:TanjilG_19131 transcript:OIW16415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAEAAGHKEGLKLFVTGGARAQKAVGIWLFGSAAWVFSMVVLGGITRLTRSGLSMTDWKFTGSLPPLSDEEWLQEFEKYQQSPEYKRVNKGMKIEDFKFIYWMEYAHRMWGRGLGIMFALPYSYFLHKGFITLRLGLRLSALFALGAGQGFIGWWMVKSGLEEPPSEYSQPRVSPYRLAAHLTSAFAIYSGLVWTALSVVMPEPPAESLTWVRGAVKVRRLALPVGLLVGLTAISGAFVAGNDAGHAFNTFPKMGDVWIPDDIFEMKPLIRNFFENTSTVQLDHRILATATLVSVCALWWSTRKLDIHPAVRSVIGSTLGMAALQVTLGVSTLLSYVPVSLGSAHQAGALTLMTFMLLLNHTVRKPSLSLLKSLPQVVKAN >OIW17482 pep chromosome:LupAngTanjil_v1.0:LG02:2302013:2309015:1 gene:TanjilG_22594 transcript:OIW17482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTEQVFPFSVVSVVEDVLQHHGGRLSDVNLASRKAEEASLRRYEAAGWLRKTVGVVGGKDLPAEPSEDDFRLGLRSGIILCNVLNRIQPGAVIKVVEAPNDSVLIPDGAALSAFQYFENVRNFLVAAEEMGLPTFEASDLEQGGKSSRVVNCVLALKSYAEWKQGGKLGIWKYGGIGSSKPPISGKTLLRKNSEPFMKSLWTMPSGDKDVMSDHSSYIDSGHDRNEGSSFPSLHSLVREYLCDKRPEEIPMVVESLLSKVVEEFERRMQIQNETLKMTQEEKIPSEVECSISKATSVDEEMEEKEDAEELQVKEELEEESYDTYNDHDESSSQDFKKQELVEQQTKSIQELKTIVYETKSGMQFLQKRYQEEVIYLSKHLHTLASAASGYHKVLEENRKLYNQVQDLKGNIRVYCRVRPFLGDQPSHYSSVSNVEEGSISIMTPSKYGKEGKKTFNFNRAFGPSATQAEVFSDMQPLIRSVLDGYNICIFAYGQTGSGKTHTMSGPNELTEETLGVNYRALGDLFLLSEQRKDTIFYDISVQMLEIYNEQVRDLLTMDEIRNSTHDGINVPDANLVPVSSTTDVINLMNLGHKNRAVGSTAMNDRSSRSHSCLTVHVQGRYLTSGSTIRGSMHLVDLAGSERADKSEATGDRLKEAQHINKSLSALGDVIASLASKNAHVPYRNSKLTQLLQDSLGGQAKTLMFVHISPEPEALGETLSTLKFAERVSTIELGAARVNKDNNNSDVKELKEQISSLKAALARKEGEPERFQQSGNSSSHEAPKLKSYGSSPIRFGSHKPRDDSSSLEKKDTSKLKRRSLDIHDMYRNSPQWPHSVNNVTNVKEDDKESSASSEWIDKIMMKRNDSLTSDDSLVGQWDAETKQFSPLLSPSSLSETSKMCLEPSLHGYDMTITDESDELDIATSDSSESELNWLSSHIPKPTTISNGLPSKPKKSSTQPKPSRIPEPRG >OIW16619 pep chromosome:LupAngTanjil_v1.0:LG02:19486120:19491827:-1 gene:TanjilG_01858 transcript:OIW16619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENEDQNGEGRLSMIRKMKPYLAMVSLQFGYAGMYIITMVSFKHGLSHWVLSVYRHIVATLIMAPFALVLERKVRPKMTLPIFLRLAVLGFLEPVLDQNLYNMGMKYTSTTFASATVNVLPAITFIMALVFRLETVNLKKIHSLAKIIGTAVTVSGAMVMTLYKGPELQIIKGQGGSQHHSASTDASNQHWVLGTLMLIASCCGWASFFILQSFTLKLYPAELSVTSWICFLGIFEGAIASLIFERDMSVWSIGWDSRLLACVYSGVVCSGMAYYIQGVVTRERGPVFVTSFSPLCMIITAALGSIILAEQIHLGSIIGAIIIVTGLYTVVWGKSKDSVNTKDAGRDKELSIKDGTISGSNILDSIEVNVPDQMRGEGKSVLHGTRS >OIW17429 pep chromosome:LupAngTanjil_v1.0:LG02:1815570:1818153:1 gene:TanjilG_22541 transcript:OIW17429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLGARNGMERLRTIWTPEMDWYFVDLLLEQVGSGGKFDDQLFSRRAWKHMSSVFNAKFRFQYETDVLKNRYKTLRNLYRGVRNILSQPGFSWDEKRKMVTADNNVWEEYLKVHPNARSYRIKSIPYFKSLCTIYGDAMGEKGDNAPDGSSTSINSVENMAIIPYLAKDVGEDAVDNLHGTEVDEEYGFTILENATDESGQKTPKETTTPFGTRTRTSWQPSTDSYFIKLMLAHVRKGDRVDGVFSRQAWMEMLSSFNEKFGFDYSLEIIKNRYKTLRRQYNLITNLLHMEGFVWDEARQMVTADDSVWQDIIKVHADARQFMTRPLPHYKDLCVICDPFFNEKEFLEHQNVAVVKNETPKSGQSPFESNSNEDQYSGVRELAHNGQKQKRQLETCSDSATPKKPRDDEQSMVVMLQEMATAISSLTKKRNDDNSISIENVIHAVQALPDMDEDLILDACDFLEDEIKAKTFLALDAKLRKKWLIRKLRT >OIW16376 pep chromosome:LupAngTanjil_v1.0:LG02:24121455:24124571:-1 gene:TanjilG_19092 transcript:OIW16376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSIFLLLLSLVLIPTSVVVVVVGLQHVHEEDGFISVVISDKGLDFAKQFLIEQAISSIVPSQLSDIEKKVNVPLVGKAQVILSEITIKDIKINSSSVKTGESGIVLIVSGATADLTMNWRYTARTSLVPIGISDSGTATVKVEDLQVGLTVNLRNQEGTLELILLDYGCKVGDLSIKLSGGAAWLYQVLVEVFEGNIASSVEEAISQKIREGITQLDTILQSLPKTVSLDKTAVLNVSFVGNPVLTNSSIELEINGLFTGTSKVLVPQTYHRGPEISVPRPGSPRMIEISVHENVLKSASSVYFTADSMQWIVDEVPDQALLNTAEWRFIVPQLYKQYPNADMNINVSVSSAPVIQVTNQDIEAAIIVDVIVNVLEAGEVIPVVCISVDISASVDVKIAKSNIAGRLALDKFSIYLKWSKIGKLHIQVIQSVVSSVLKTVLIPFLNSQLKKGIPLPMLNGFALENAAILYAHPWVTVSTDVAFLGHSFLRQKTAYDVL >OIW16653 pep chromosome:LupAngTanjil_v1.0:LG02:19052968:19059301:-1 gene:TanjilG_23155 transcript:OIW16653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQIFDRISDSSDKNKKKLFLSLFATLLLVTSVVTIGVEVNSHKNSNNNNATSSSLSLSHHSHTIIKSACSSTFYPELCFSAISSEPGITHKVSTHKDVIELSLNVTTRAVEHNFFILEKLLKTQKGLSNREKTALHDCLEIIDETLDELQEARDDIRLYPNKKTLYQHADDLKTLISSAITNQFTCLDGFSHEDADKKVREVLEKGLVHVEHLCSNSLAMTKNMTDNDIANYEQKMMVVGKKNRKLMEEEETGVKWPEWISTGDRRLLQATAVKADLVVAADGSGNFKTVSAAVAAAPEKSSKRFVIRIKAGVYRENVEVPKKKTNIMFLGDGKTNTIITGSRNVKDGSTTFHSATVAIVGGQFLARDITFDNTAGPSKHQAVALRVGADLSAFYNCDILAYQDTLYVHSNRQFFVNSLIAGTVDFIFGNSAVVFQNCDIHARRPDSGQKNMVTAQGRVDPNQNTGIVIQKCRIGATSDLEPVKKNFPTYLGRPWKEYSRTVIMETTISDVIDPAGWHEWNGNFALNTLVYREYQNTGPGAVTTNRVAWKGFKVITNAAEAQAFTAGSFIAGSNWLPSTGFPFTLGL >OIW17064 pep chromosome:LupAngTanjil_v1.0:LG02:6272471:6275456:1 gene:TanjilG_15647 transcript:OIW17064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGSMFFDVGAEPVADKQALLDFVRNIDHTSLLNWDKTLSVCKSWIGVTCNTDQSRVVALQLPRVALSGPIPTNTLSRLSALQTMNLMSNNITGRFPSGFSKLKNLTYLYLQHNKFSGPLPSDFSVWYNLNVANLSNNYFNGSIPFSVSTLTHLTSLALANNSLSGEIPDLDIPSLQELDLSHNNFSGVVPESLQRFPSWVFSSNNLGSAHALPHSFPAQSSNVHPTRKIKGLKEPALLGITIGVCVMGFAVIAAFMILCCYRKGGADGQTAKFYKKAAYPKKESPKSHDKNNVVFFEGCNLAFDLEDLLRASAEVIGKGTFGSVYKAALEDAAAVVVKRLKEVTVGKREFEQLMEAVGRIRHDNVCALRAYYYSKEEKLMVYDYYKQGSVYAMLHGKRGEGRVPLDWDSRLRIAIGVARGIAHIHAQHGGKLIHGNIKASNIFLNSQGYGCVSDIGMTTLMSPTPTSRATGYHAPEVTDTRKMTPASDVYSFGVLLLELLTGKSPIHVIGGEEFVNLVRWVNSVVREQWTGEVFDVELLRYANIEEELVEMLQIGMACVVRVPDQRPTMCEVVIMVEEICNGISSGSRSEGSTPTALVAAEITTTIPL >OIW16515 pep chromosome:LupAngTanjil_v1.0:LG02:20985322:20985696:1 gene:TanjilG_32186 transcript:OIW16515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKTCYFNDKTSLSCKQNSSRKAMRSQQVRNCMFRIPCQTEFSPIVLVKDLAGRVTSALRLVSLRRCLRRDLSSSSLGRSKSAGSSVDSYRTAAVEDCIEFIHSSFSRSNSSATISCLDSTHAP >OIW17427 pep chromosome:LupAngTanjil_v1.0:LG02:1801527:1805023:-1 gene:TanjilG_22539 transcript:OIW17427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMESCDCIDTPYPPDELLIKYQYISDVLIALAYFSIPVELIYFVQKSAFFPYRWVLMQFGAFIVLCGATHFINLWTFSMHSKSVAVVMTVAKVSCAIVSCATALMLVHIIPDLLSVKTRELFLKNKAEELDREMGLILTQEETGRHVRMLTHEIRSTLDRHTILKTTLVELGRTLGLEECALWMPSRSGLNLQLSHTLTCHVQVGSTLPTNLPIINEVFSSPRATRIPHTCPLARIKPLVGRYVLPEVVAVRVPLLHLSNFQINDWPDLSAKSYAIMVLILPTDSARKWRDHELELVEVVADQVAVALSHAAILEESMRAHDQLMEQNVALDLARQEAEVAIHARNDFLAVMNHEMRTPMHAIIALSSLLLETELTPEQRVMIETVLKSSNVLATLINDVLDLSRLEDGSLELEMGKLNLHGVLGEVVHLIKPIASVKKLPITLILAPDLPVFAIGDEKRLLQTLLNVVGNAVKFTKEGYVSIRASVAKPESLQDSRPPEFYPASSDANFYMRVQVKDSGSGILPQDIPHLFTKFAQSRSVSARPSSSEGLGLAICKRFVNLMGGHIWIESEGLDKGSTVTFIIKFGISGNTDSSDNNAAKIGQAYSGSGGLARYKLFVKDGDNTGFSS >OIW16362 pep chromosome:LupAngTanjil_v1.0:LG02:24041741:24042298:1 gene:TanjilG_19078 transcript:OIW16362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKVKKSEVIVLFVLALLLVITPSLPSSLRPTYLYFIFNLLIIALGAEAGLLSALEDIKQKPTMPSEVIISEKREGSNITITSGSDVSEHNEKKPKVVEKFVSAKIHVGVVKVDKVNKCPSMPSIFFIGSGEADFEEVIDDDEEIVAEDEIVEGVNGQELFTKAEAFIGNFYKQLKMQGEESWIY >OIW17478 pep chromosome:LupAngTanjil_v1.0:LG02:2255412:2256218:-1 gene:TanjilG_22590 transcript:OIW17478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPESLSAEQVVGSRTIIGIDTHEHSDNLCPKKLALSPPELHMIDGDTLSDLLEKKLQELTTRINLPQCILATEEPFADSRSSLQDQVLSFVSSTPTEQHRSFHPYHFSDKLDRVHGYHSSDGGPVFHKNQQFQKVMEDQSYSNKSENGIDPGCRHPISVTVSESPSV >OIW16263 pep chromosome:LupAngTanjil_v1.0:LG02:23287305:23294264:-1 gene:TanjilG_18978 transcript:OIW16263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTAEKEIGEDHNKEIIAPKSPWKKTPVVDGNVADVSVMMGNESWPALSDAQKPKTLDIASAAKPQDAAASVTSTGEIAPRSSSVQKSNGSENFNQSHKLSSSRYQKPGVKRNSSGPPPIPVPMAYHPPGPPYFHMIPPPHIGVPGYAFLPVPGPFPSVENPAVKPAPQAPRQAFVPPAHAVDAKNAQPQFQGDLNFNSSSGRPNIQEQGDHLNHAWHPQRPFHSRANVPMQQGPAPLWCVPMGPPGSIRGLHPQQFVPYAVNPAPHSLPPETMALRTSIVKQIDYYFSDENLQHDQYLISLMDDQGWVPISSVAGFKRVKRMSTDIAFILDALQSSSIVEVQGDKIRKHKDWSQYIQVSSGDSGSSVAQIQQSQLVEDATNSFENADAVEDKTKEASDANPEDVAQNASLVDHVQSNTDTLQASQTNEEQDTEGHHSLNKPLAITGENVKFSDFSTANNSLCGLSQETETKTFEDNETGDVLADMAISDLSNDFSNTFMFDEEIELEQKRKTTELSSTKGFDEDDDEMTVVEQDVQKLVIVTQNSDLKQASKSSNKESKSISNELASAINDGLYFYEQELKHRRSNRRKHSYENKDRYLKSPSHTSAVSNIKVGENISENGVLDESGSVNSRRKPKGFHKQQSSFNHRFFSSNFRNHGTGHNSHGIISESPPSNSVGFFFGSTPPESHSLMPSKLSVSPSGGLFGSSPPVGSIPKSFPPFQHPSHQLLEENGFKQQKYLKYHKRCLNDRKKLGIGCSEEMNTLYRFWSYFLRDLFVPSMYNEFKKIAKEDAAANYNYGIECLFRFYSYGLEKEFREDLYRDFEQLTLDFYDKGNLYGLEKYWAFHHYRKARGQKESLNKHPELDKLLKEEYRSLDDFRAKEKNKVDEAVKVTG >OIW16532 pep chromosome:LupAngTanjil_v1.0:LG02:20831637:20833634:-1 gene:TanjilG_32203 transcript:OIW16532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSLHYFISIFWLINLLASIIQYQVAVCSTRNVPNPINVTKHFSFPDFNLTNNPLLVHSIRLIGNAKFSTKKGALQFSNEAKGKDIKHQPGRGIYSFPIRLFDPSTNTPASFETAFSFQVNNSTKNQTGFGGGSALTFIIVPDEFTVGGSGPLVGMLNGGICENDYKALVIDFVTRMKPESGDPTNNESNNLGTTLSTRIINVSDVGLPLKDGFVHYVWISYDGPKKIVDIRLGAEKERFPFKPIFSESMDLSPYLNEYMFVGFSASTGNNNQIHSILSWNFTSTSQAFLRFPSAENCKSKISVQNTTTTEKKPDKKKSRKDEIPRGFFIFMAAVALSSIVGFSFILRRRGNAAKPNNSLEAKVDRPSPPNKPCQFTFSQFSFATRSFSEVEFLGSDTRGQYYKGKLSNGSSVAVKRFSGKFLNTYISYRKRLLKEIATISNVRHPNLVLVKGWCEDNNEIMVAYDFVHNGSLDKWLFGTGVLPWARRLKVIKDVADGLNFLHTKKLAHKNLKCSSVFLDLSLRAVLGDFGFVLIGAESKKFESLVSIGADVFEFGVLVLEVVAGRRRVEEEKHEEKAEERNLLDFAWNLHQTNEKVKLVDRRMGPLINMEQAIRVLEIGLLCTLNEKEGRPSMQEVVEFLLNRDKPIPYLPTTSPGALLPNKLK >OIW16298 pep chromosome:LupAngTanjil_v1.0:LG02:23563599:23566108:-1 gene:TanjilG_19014 transcript:OIW16298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSGSLGLEFSQLKELEVIDAYDNMFNSSLPLFVLELPKLKHLNFGKLINLVHLDIANCGMIGPIPSELSKLYKLDTLFLQTNHLNVLHELTLLNLFINMFNGEIPHFIAELPNLEVLKLWQNNFTGVIPSMLDQNGKLTELDLSTNKLTGPVPKSLCLGKRLKILILLNNFLFGSLPSDLGQCYTLRRVLFRTELFDRINTERRNPLRYRDLSTNNFSSSIPAEIRNCVLLTYLDLSQNQLSGPIPIQVSQIHTLNYLNVSWNHLNQTILMELGAMKGLTSADFSHNNFSGSVPNIGQFSVFNSTSFEGPCPACRWIPTLGKDSTNTKENSFAFSLMLTENILYFCMP >OIW17559 pep chromosome:LupAngTanjil_v1.0:LG02:171785:173141:1 gene:TanjilG_08837 transcript:OIW17559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDQELFLKASMVRGIEDNKTIPRVAFMFLAQGALPMAPLWEKFFKGHEGFYSIYLHQHPSFNETVPQDSVFHGRKVPSQPVTWGTSSMIDAERRLLGNALMDSSNQRFVLLSESCIPLFDFKSIYNYLINSTLSFVNSFDDKRKAGRGRYNPKMSPSINITNWRKGSQWFELHRDLATHIVSDTKYYKLFQQHCLQPCYPDEHYIPTFVHIMFPELNSNRSLTYVDWSRGGAHPRKFGLHDITNEFLNQTSYGSRCVYNGKTTNMCFLFARKFDPSTLHPLLQVSSSHQ >OIW16730 pep chromosome:LupAngTanjil_v1.0:LG02:17165562:17166329:-1 gene:TanjilG_14500 transcript:OIW16730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSMASEEVLKAVFPFLEGVDLASAMAVCKQWRDIARDDYLWKCLCAKRWPSICKQPNPPTGTYYNLYKTFYKRQHHRTLLPPRISFDDLEFFIDVWAENILVFSDVVPGSVLQPGYKLPQSGICDMLKFHLEGSEYKMTLPVEPAFSIPLGQNQDASVSVMVVRKDSNKVARVINKSMFDYIDRSSYKALAFDYVDISPVYPFLSGIRAWISLLFMEDGNEDVMRVFGIQMDFCDVANSKEEVLWLMDMLDWK >OIW17293 pep chromosome:LupAngTanjil_v1.0:LG02:746202:753389:1 gene:TanjilG_22405 transcript:OIW17293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEDESRAQTSTTLYEPDPESGYTPKDEEKANNNNSSNWLQLSVGSCYQSHSAAMTTTKHHQISKGDHHQMAPIIGSGLIELDLLPDNRSHNYNWSSSPTMFSGRSCQGGSSFGSASLLLEQTSMSMGMPSGPFSAQAFGNIQQQNHSMPIMPSSSFVTTSSSSYSLQSSSSFRPLGSYFATPFPHFPSSSSSSGFHQLDLVAGPTSDVTTVRVVDPPRRPHSGIWFMLQASQNQDGKMTVRLILKYLVSKLRLENESEIEITCRGQQLVPFLTLQHVRDNIWTQRNDTKTLLSDSSSSTSDHVMVLHYCRTIS >OIW16717 pep chromosome:LupAngTanjil_v1.0:LG02:17746471:17747167:1 gene:TanjilG_14588 transcript:OIW16717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKVDFHGYLNGASSISEFTPKRPSLTIVRRNMRGYCMPVSGVIRGPKFASKSVRQEVENFLLDAVNMRFFERLNLAWKIIFPSSVYRKSSNARIAKQRLKMILFSDRCALSDEAKQKIVSNVAHALSEFVEIESQDKVQLSVSADTDLGTIYSVTVPVRRVKPEYQDVDESGMITNVEYKDTGVSSGSVDVTFDFFVPDEMS >OIW16987 pep chromosome:LupAngTanjil_v1.0:LG02:9096138:9100005:1 gene:TanjilG_32854 transcript:OIW16987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQLMKSDNDNHCLKKVKLETQDEIPPLHTNKRPRLDSSPKSGGSSEDSFSVPPALYDPLDEPSPLGLRLKKSPSLLDLIQMRLSQQDDDHRISVKKDHKGAKGAGTSTVDSKLKASNFPATVLKIGTWEYKSRYEGDLVAKCYFAKHKLVWEVLDGSLKNKIEIPWSDIMALKANYPEDAPGTLEVVLSRRPLFFREINPQPRKHTLWQATSDFTGGQASIHRRHFMQCPQGLLGKHFEKLIQCDPRLNFLSQQSDLVLGSPYFELGTDQIESSGGFDKRNEERASLFGLHDLESGSAVQSTSSKSEHNHGKTVENVSQEITSPSSVMNTNAMKDFRSRGPETLKFLSNLDQIKLPGLHPSMSMNDLVSHIGNCISEQCDSQYNRSILEEFTQSLFNDTQLTTEASDEQYIMSRVNSLYCLLQKDPSAAQDTTMIRNGNGFDVNEGGKFGMSHNISTTHVSSSSLSCKSEEVEFEGENDDGSGCKQEGSGISRKESFGELLINLPRIASMPQFLFQMAEDSGNHVR >OIW17290 pep chromosome:LupAngTanjil_v1.0:LG02:702935:706131:1 gene:TanjilG_22402 transcript:OIW17290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFHNGSSEVASLYSKQGKKGINQDAMLVWENFSSKEGTIFCGVFDGHGPYGHRVAKAVRDSFPLKLIAQLDLHHKNQDRLSDHSSIAGSYNSDIGRLVDEKPTLTDNELDGTDTILTLRESFLKASKFMDKELKLHPDINCFCSGTTAVTLVKQGKDLVIGNVGDSRAVLGTRDHNDSLIAVQLTIDLKPNLPREEERIKLRRGRVFSLQNEPDVFRVWLPNNDFPGLAMARAFGDFCLKDFGLIAVPDVSHHRLTEKDEFVVLATDGIWDVLSNEEVVDIVASASQSNAARVLVESAVKAWKTKYPSSKIDDCAAVCLFFDSSSELKSSYTTDESIPEASIEQSEHSSLLGEKGIGGDAQ >OIW16467 pep chromosome:LupAngTanjil_v1.0:LG02:24666682:24668488:-1 gene:TanjilG_19183 transcript:OIW16467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGICWTCFSSSSSSSSSPHNPTSATTTTTTGALSAGQILPTDTLRIFTFVELKAATRNFRPDTVLGEGGFGKVYKGWLDEKANSKNGTIIAVKKLNSESVQGIEEWQSEVTFLGRLSHPNLVKLLGYCLEETELLLVYEFMQKGSLENHLFGRGSSVQPLLWDIRLKIAIGAARGLAFLHTSEKVIYRDFKASNILLDGSYNAKISDFGLAKLGPSASQSHVTTRVMGTYGYAAPEYVATGHLYVKSDVYGFGVVLVEMLTAQRALDPTRPSAQLNLTDWVKPYLHDRRKLKSIMDSRLEGKYPSKAAFRIAQLALKCLAPEHKQRPSMNEVLESLERIEAANKDPKLQSNRTVATRHVHHRSPLHPNQEGR >OIW16747 pep chromosome:LupAngTanjil_v1.0:LG02:16233846:16265350:-1 gene:TanjilG_10637 transcript:OIW16747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLDDLTFPYLAAVLLKQFVKKHWQEDEDAFEPPVVSSAEKEIIRRMLLLTLDDPHRKICTAVGMSVASIALYDWPESWPELLPFLLNLINNQTNLNGVHGAMRCLVLLSADLDDRMVPTLIPALFPSLLTIVSSPQIYDTYIRTKALSIVYSCISMLGMMSGVYKTETSSMILPLLKPWMDQFASILGIPVQSENPDDWSIRMEVLKCLNQFVQNFSSLIKSEFEVILGPLWNTFVSSLRVYEQASIEGTEDSYDGRYDSDGAEKSLDSFVIQLLELLLSIVGNPRLGKVVVANVKELVYYAIAFLQMTEHQVHTWSVDANQFIADEEDTTYSCRISGILLLEEVVNSFGDDGVLAIIDAGKQWFTESQIRKAAGSASWWRIREATLFALSSLSEHIFESEESGFETSSLKHLVEQIITEDYLIGPLEHPFLYARIFISVVKFSSVISSGVLEHILHAAMKTITMDVPPPVKVGACRALSQLLPEANNEIVQPQLLSLFSSLTDLLNQASEETLHLVLETLLAAVKAGRESATLVEHVISPVILNVWALHVSDPFISIDALEVLEAIKCTPGCIHPLVSRIMPYVGPILNKPQEQGDGLVAGSLDMNAPGDVIKAIYDICFDAVIRLILQSDDHSEIQNATECLSAFISVGRQEVLVWGSDSGSTMRSLLDIASRLLDPNLESSGSFFVGSYILQLILHLPSQMTVHIQDLVAALVRRMQSASEALTSSLLVVFARLVHISVPNVGQFIDLLISIPAEGHANSFAYVMSEWTKQQGEIQGAYQIKVTTSALALLLTSRHNELAKTQVQGHLIKSGMGITTRLKAKSAPDQWVMLPLQTKIVALLADGLSEIQEQVLAGDDEDSDWEEVQADGIENAFSVSTSALGKPTYEHLEAMAKIFNEDQEDQYEDDLLSIADPLNQINLANYLVDFFINFSQSDRQLLDYICKSLTETQQNAIQTALRR >OIW16497 pep chromosome:LupAngTanjil_v1.0:LG02:21182710:21183408:1 gene:TanjilG_32167 transcript:OIW16497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSQSESPNKPINSLSQLVQNMLSNNNSNIMLAAIVSLLLVILFVLLLHIYAKLFLAPALPRRRRRTLATVEPSRFHHFHFIEASPISNKGLDSSIVSRIPMFVHEAESEELECVICLSCFEEGEIGRNLSKCGHNFHLECIDMWLSSHCNCPICRAPIIVQNNDGDGDDSVVEIVIESPSYEISESRSDNYGNGGVNSVSQTSSSLLGFSLKKMLSKVFQYSNINELHGSQ >OIW17187 pep chromosome:LupAngTanjil_v1.0:LG02:4355142:4370364:1 gene:TanjilG_18142 transcript:OIW17187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITVSIKWQKELFKGVELDMTQPPYVFKCQLFDLTGVPPERQKIMVKGGLLKDDADWSTLGVKAGQKLMMMGTADEIVKSPEKGTVFVEDLPEEEQVIAVGHTAGLFNLGNTCYMNSTLQCLHSVPELKSALIKYSHSGRSNDVDQTSHMLTIATRDLFNELDKSVKAVAPMQFWMVLRKKYPQFGQLHNGVFMQQDAEECWAQLLYTLSQSLRSPGSSENLDDAVKALFGIELSSRVHCQESSEESSETESVYSLKCHISHEVNHLHEGLKHGLKSELEKASPALGRSAIFLKESCINALPRYLTVQFVRFFWKRESNQKAKILRKVGYPLVLDVYDFCSDDLRKKLESPRQILRNEEGKKFGLKVTEKSSVDKESDAQMADAEGSSNGGGEPSVAPMEEGEKETQMTGIYDLVAVLTHKGRSADSGHYVGWVKQENGKWIEYDDDNPKPRVEDDITKLSGGGLSIQQLKLWLNFNDRVIKSAIIHHIDYWIELAMECNVQVLDLTLYGVANLHPNSVRYCMPWSVALLRSLVKLCLDGCISLDEEFLIFPIEFSSLKVLSLVRVHFGDKRVFQNLLSSCPCIEYINLSSCVGIDSVCIQGLSKLKEVKISGNIMGIEIDAPTLQSFHFSRGRVDIHNTIKINKCVNLKELSLICFNITHQWFLQHCHLFSSIQTLELKFCTMPHRVNVSFPQLKIIKLQNCDTLGNAYIDAARLYKCSFIYSSASNMLPMVSFVNCSTQLEISVKLCIHGYAGAFDYCKLKEFFLELQCRNVSAIISLWNIEGDENELHDFSIQPPLLKHLHLYFLCEKDVSFSLVTSLLWSCHPSIISCRLSNRKLIKVLCEAIMNGKECSCSSRHIKCWWHDLKDVKITCSSGTDGNCFDCKTFLEALPTLTRGDACFKFE >OIW16116 pep chromosome:LupAngTanjil_v1.0:LG02:21919236:21920578:1 gene:TanjilG_18831 transcript:OIW16116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVSVLELLPKDYGYVAIVLVLYCFLHFYLGFLVGQARKKYNVPYPSLYAIESENKHAKIFNCIQRGHQNSLETMPIFFTLMVLGGLKHPLISTALGLLHNVARYFYFTGYATGEPNNRLKHGGGFHFLAILGLMFCTISFGWTLINQPVSP >OIW17254 pep chromosome:LupAngTanjil_v1.0:LG02:319059:319566:1 gene:TanjilG_22366 transcript:OIW17254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMISFRGSTFHPPLRSCGVFLHLEAPGMVVVLLKDILLLKKILFYHHVLVLRLLLFLIWCVMEGLGKLAQDYPKNIVWKKGFIRLLCVTKTSKVYEGSITFGDVAVKSSERDNVKDSTLHNPFATEFATIVWSTKT >OIW17292 pep chromosome:LupAngTanjil_v1.0:LG02:723769:735838:1 gene:TanjilG_22404 transcript:OIW17292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGEEEEEDMNIDRREEGTPIRYLPLDHLYSATSPCRRVTVMSKKVKAQQSQNDNVVLLLTKTTSSLPPPHLPILKPKPPLLYVYSRRRRKRDSKTKSFYDSLNDRVNFDEARFNKKRRIGNSELEKLAVDFSSFSSGNFDASRFRECRNSEKKIGASSFKNFPKLVSESRSLKKWAGLSFDNADPESFIGLRCKVYWPMDDKSYSGYVKGYDTETKIHNVKYDDGDEENLILSKENVKFHVSHDDVKHLNLSYAKVRDSNVSDYDIEEMLALAASLDDCQDFEPGDIVWAKLTGYAMWPAVVLDESLASNCKGLKMLLGGRSVPVQFFGTHDFARVRVQHVKSFISGLLTDLHSKCKKLSFIEGIEEAKRYLGEQRLPRAMIELQKGCIVDDCNNVSGEDGGYTNSDEDRLNDKGTWMEMESTSTFPYEVGDMQIKSLGKIVKDSASFRDGRSIWPEGYTAVRKFTSVTDPKVSAPYKMEVLRDPESKDRPLFRVTIDGGEQFNGCTPSACWNQIYKRINKMENDDSEGSVAEGGVERGYKSGSDMFGFSNPKVSKLIQGLSKSKISSKNSISKSGSRRYNGLPLGYRQVHINWFDLDKCNVCHMDEEYENNLFLQCDKCRMMVHARCYGELEPVNGVLWLCKLCRFGSASPPPCCLCPLIGGAMKPTTDGRWAHLACAMWIPETCLADVKRMEPIDGLDRISKDRWKLLCSICGVSYGACIQCSNNSCRVAYHPLCARAAGLCVELENEDRLYLLSFDDDEDQCIRLLSFCKKHRQPSIEPSFADGRIARVVGPCSDYEPPPNPSGCARTEPYNYFGRRGRKEPEALAAASLKRSFVENQPYLVGGYCQHGSSNNIETSGRGVCAKFFCSQERLRTSLIDTSNNILSTAEKYKYMRETFRKRLAFGKSRIHGFGIFAKHPHKGGDMVIEYTGELVRPTIADRREHFIYNSLVGAGTYMFRIDDERVIDATRAGSIAHLINHSCAPNCYSRVISVNGDEHIIIFAKRDIKQWEELTYDYRFFSIDERLPCYCGFPKCRGIVNDTEAEERAAKLYAPRSDLTDWRGE >OIW16856 pep chromosome:LupAngTanjil_v1.0:LG02:13289979:13291951:-1 gene:TanjilG_31257 transcript:OIW16856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALIGLVLVSLLSMFSSAYGYGGWVNAHATFYGGSDASGTMGGACGYGNLYSQGYGTNTAALSTALFNNGLSCGSCYEIRCVSDHRWCLPGSILVTATNFCPPNNALPNNAGGWCNPPQHHFDLAQPVFLRIAQYRAGIVPVAYRRVACRRRGGIRFTINGHSYFNLVLITNVGGAGDVHAVAIKGSRTRWSPMSRNWGQNWQNNNYLNGQTLSFKVTTSDARTIISYNVAPPGWSFGQTYTGAQFR >OIW16723 pep chromosome:LupAngTanjil_v1.0:LG02:16778140:16778571:1 gene:TanjilG_14493 transcript:OIW16723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVESPTSFSNHRVTIGCKKPHWHDDRRRRRRGGPRIHPPNLVAGTTETPSLLPSIVRTKMHAPIAANVMNNNTASAKPHPRRNAPPHGRVNPIREPVANIVKGSTPSAIVVIHVVLPPRWSRTLHLGPGGTKLEALHLIHNLK >OIW17578 pep chromosome:LupAngTanjil_v1.0:LG02:78182:79491:1 gene:TanjilG_08856 transcript:OIW17578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQKALKECDYYTCKYAECASGRTLSVVWQCRQQAKQLNVCLHQFTNDAVLEEMKKDYMLQQGGESSARI >OIW17184 pep chromosome:LupAngTanjil_v1.0:LG02:4295555:4302483:-1 gene:TanjilG_18139 transcript:OIW17184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSSMDDMSLIPQAQRHHMVVREIGEEIDLEIGPGDDDPSFGNTTLIGAPLRESSAEEHGETRQRGLISQLPNDAQDMSKTQPGKRKKKVVKRWRDEWADTYKWAYVDMKDGTARIFCSVCREYGRKHRRNPYGNEGSRNMQMSALEEHNNSLLHKEALRLQMASKEKIIVDKPVHLKAVMSKTSGSILEATLKRDANEVEFIQAVQEVVEALERVIAKNSLYINIMERLLEPERMIVFRVSWLDDRGETHVNRGFRVQFNQSMGPCRGGLRFHPLMNLSTAKFLGFEQTLKNALSPYKLGGAAGGSDFDPKGKSDNEIMRFCQSFMSEMYRYLGPDKDLPSEEVGVGTREMGYLFGQYRRLAGQFQGSFTGPRIFWSGSSLRPEATGYGLVFFAQLMLADMNKELKGLRCVVSGSGKIAMHVLEKLIAYGALPISVSDSRGYLVDEDGFDYMKISFLRDIKAQQRSLRDYSKTYSRSKYYDEAKPWNEQCDLAFACASQNEIDQSDAIQLVNSGCRILVEGSNMPCTPEAVQVLRKASVLIAPAMAAGAGGVVAGELELNHECSLMHWSPEDFESKLQEAMKQTYQRAIKAATDFGYKKESPE >OIW17454 pep chromosome:LupAngTanjil_v1.0:LG02:1999061:2000431:-1 gene:TanjilG_22566 transcript:OIW17454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHDDPRNGVVSDNNNNNNHHTSKKPKLTRPISEPEIRNEFSHHQHGIARINNGSFCSCPRSVLTAHNNWLLRFLQQPDDFYFNTLRTGILHSRALIKTLINADAIDDVSLVDNATTAAAVVLQHVGRRFGRGEFRRNDSVIIFHCAYQAVKKSIQAYVTSVGGSVIEVRLPFPVRCNEEIIEEFRKGLERGRENGGRVRLAILDHITSMPSVVVPVKELIQICRENGVEQVFVDGAHAIGSLRIDVNEIGADFYVSNLYKWFFSPPSVAFLYCRDSRSLRDVHHPVVSHEYGNGLPVESAWVGARDYSPQLVVPAIFDFVDRFEGGIEGIMKRNHDEVVKMGTMLAESWGTNLGSPPEMCASMIMVGLPSRIGVTSDDDALRLRSHLRGYHGVEVPVYYQGVRDGDVDPRDKDGYITGYVRISHQVYNAVEDYLKLKNAINQLLGEGKTCNMLPK >OIW16110 pep chromosome:LupAngTanjil_v1.0:LG02:21866956:21877330:-1 gene:TanjilG_18825 transcript:OIW16110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAVAASASRHILQRDARPTISFGGSSGCGIQIRYLLSVSGITRFSNHTRIDRGYSFGVSLIQQQRRTCPSLIFSIKGFLSDSFSSPLHGRVTRPYLQQSNSTLGGRALFSTSTKADDGSASKKTSASTIAKSPQGENIADMKILRTLASYIWMKDNLEFRFRVIAALSFLVGAKVLNVQVPFMFKLAVDWLTSATGNTGDLASFTAANSTAVALFATPVAVLIGYGIARTGASAFNELRTAVFSKVALRTIRLVSRKVFSHLHDLDLRYHLSRETGALSRTVDRGSHAINFLLSAMVLNVVPTILEISMVSGILAYNFGAPFAWITSLSVAAYVIFTLTITQWRTKFRRDMNKADNDASTRAIDSLINYETVKYFNNEDYEAGKYDEYLKKYEDASLKTQESLAFLNFGQNVIFSTALSTSMVLCSHGIMSGTMTVGDLVMVNGLLFQLSLPLNFLGGVYRETIQSLVDMKSLFRLLEERADIKDKDDAKPLSFNGGSIQFENVHFSYLTERKILDGISLVMPAGKSVAIVGTSGSGKSTILRLLFRFFDPHSGSIKIDGQDIREVTLQSLRKSIGVVPQDTVLFNDTIFHNIHYGRLSATKEEVYEAAQHAAIHETIMNFPDKYSTVVGERGLKLSGGEKQRVALARAFLKAPAILLCDEATSALDSTTEAEILSAFKSLANNRTSIFIAHRLTTAMQCDEIIVLENGKVIEQGPHEVLLSNGGRYAQLWGQQNNTEDAIDTTIKLGA >OIW17441 pep chromosome:LupAngTanjil_v1.0:LG02:1899477:1901583:-1 gene:TanjilG_22553 transcript:OIW17441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVIDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIASKA >OIW16448 pep chromosome:LupAngTanjil_v1.0:LG02:24572545:24574301:1 gene:TanjilG_19164 transcript:OIW16448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDGSIELLSAEEDKHGGVTVNIDDPHPIHPLIFASSLKASLSNWTQQGKKGVWIKLHIQHSNLVDSAVKAGFRYHHAEPHYLMLVYWIPDIPDHLPANASHRVGVGAFVTNTKREEKDGKFKGTGVWKMPTGVVNEGEDICAAAIREVKEETGVETEFVEILAFRQSHKSFFEKSDLFFVCMLQPHSFDIQSQDSEILATQWMPIEEYARQDFMQKNQLFDYIAKICLSKLDGEYSGFCRVLTTTSSGKRTYLYYNNDDDWHLSASKEEQGN >OIW17067 pep chromosome:LupAngTanjil_v1.0:LG02:6213796:6215724:1 gene:TanjilG_15650 transcript:OIW17067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECNKDEAVRAKEIAERKFSEREFTDAKMFALKALNLYPALEGVSQFLTTLEVYIAAEDKINGEMDWYGILGVDPFADDQAVRNQYKKLALALHPDKNKSLGAEGAFKLLLEAWSLLSDKSKRLAYNQNRSSKGFQHNAPNHFGSQSEAPSSNDFCNLNKNATPNVRTGNNDAQVPSSSVPPHENAGTFWSVCKECRTQFEYFRIYLNDVLKCPNCKEPFVAIEISPPPDVVKSSNWSSRQHNQNSRQSAGKNNSANPGRTWAVSQNSASNASSGISSFNNVDKPKKKMRKHEARNMLIHKAQTEICKKVQEWRSTSEAMVRNKDKGNKRQKGPVDNKTTGSEKHDGSSIDGKRHIDNHSVPVTSDGGVKEKQAGITMDVPDPDFHNFDMHRAEDSFEEDQVWAVYDVDGMPRYYARIRKVISSKPFRMQISWLNSRSNTELGPIDWIESGFDKTCGHFWTGRHEMNDSLNSFSHKVRWTKGNRGVVCIFPGKGEVWALYRNWSPDWNKTTPDYVIQKYDMVEVLEDFNYEEGVLVSPLVKLDGFRTVYQKQDQVRKIPKVELFRFSHQVPNYLLTGQEAPNAPKGCQELDPAAIPSDILQTITEADEALNSAGNCKEEKLPTLPEKHVSGKEDDVSTPSIP >OIW16131 pep chromosome:LupAngTanjil_v1.0:LG02:22047817:22050422:1 gene:TanjilG_18846 transcript:OIW16131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSILFLFFFLFVIAGAADLASDRAALVALRAAVGGRLLLWDLTQTTPCSWNGVFCNESRVTMLRFPAMGLSGNLPLGLGNLTQLITLSLRVNALTGTIPSDFANLVNLRNLYLHDNFFSGEIPDFVFSMQNLVRLSLNKNNFSGEISPKFNKLTSLGSLFLENNNFTGSIPDLNVPPLQQFNVSNNHLNGSIPKTFSHLDESAFAGNSLCGKPLQLCPGTEGGKKKLSGGAIAGIVIGSLFGLLLILLIVFLLCRKKGGRSDSDGVAPAKQAEAVALRERSSGDSENNTNASTSNSAVAAAQVGGKNLVFFGNVIKPFDLEELLRASAEVLGKGTFGTTYKATMDSGMTVAVKRLRDFIAVENEFREKIEQVGKMAHENLVPLRGYYFSRDEKLIVYDYMPMGSLSALLHDSGAGRTPLQWETRSAIALGAARGIAYLHSHGPTSSHGNIKSSNILLTKSYEARVSDFGLAYLALPTSTPNRVSGYRAPEVTDAREVSQQADVYSFGITLLELLTGKAPSHSSLSEEGADLPRWVQSVVQEEWNNEVFDVELRRHQNVEEEMVKLLQLAVECAAQYPDKRPSMDVVANRIQEIYNASIEKEGVSQDYYSVP >OIW16480 pep chromosome:LupAngTanjil_v1.0:LG02:21348433:21348924:1 gene:TanjilG_32150 transcript:OIW16480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNSLRCCLACVLPCGALDLIRIVHLSGYVEEITRPITAQEVLNANPNHVLSKPSSQGVVRRILILSPETELKRGSIYFLIPSSSLPAEKNKKKKYDHSDLKKKISSKKSNNECDHDDGYITVTSSSDDEKVSKEKKSSRRHRRHSHSGVWQPHLESITEDLY >OIW17521 pep chromosome:LupAngTanjil_v1.0:LG02:2699547:2707206:-1 gene:TanjilG_22633 transcript:OIW17521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSILKKLHIGTNHHHHSNRSTEVPNASVAPPPPSATDDPAVFPSNPSPAATVANRQDYFSSEEEFQVQLALAISASSNSEFKDDQSFGSRKIDSTRKEEGVADALSRRYWEYNVLDYEEKVIDGFYDVYGLCTDIAMQGKMPSLIDLEAKLGSSGYEVVIVDRAIDPALEELMQIAHCIALDCPVTEVVVLVQRLAELVTGHMGGPVKDANVILARWTERSTELRTSGNMSVLPLGSINIGLSRHRSLLFKVLADNVKIPCRLVKGSHYTGVEDDAVNIIKLEDEREFLVDLMAAPGTLIPTDISTAKDPSFKPYNPKVLPSLPSTKETDFYYTRLVPSHGEGSSQNSPLNGKSYFDQPDYMPSNFGFNGDSGVSPSKMLHRVTPNQVENIAQSSGASLYKGTHGINVAGEGIRLNVNVVPYAQKSSDDSQNLFADLNPFQIKGASKTSGHNRTVENKVPEVQNTRNNTVFGRPPDPLKWKNRYAYNEVPSKFNCDPNAYNFSYSSSISEKIDQSGSKSSNNSNLSNDRNVPKLAQVTVSVAPFGVNELKRVEDLNVDRNRGKLESSRNNMLDVVKEYENVETGHLDQRKFAHDRFMGKHLKLKDPDSRSSPVDSNLNKIDRMLDDIDVSECEIPWEDLVIGERIGLGSYGEVFRADWNGTEVAVKKFLDQDFSGDALSEFKREVRIMLRLRHPNIVHFIGAVTRPPNLSIITEFLPRGSLYHILHRPHCQIDEKQRIKMALDVARGMNCLHSSTPTIVHRDLKSPNLLVDENWNVKVCDFGLSRLKHNTFLSSKSTAGTPEWMAPEVLRNEPSNEKCDVYSFGVILWELATLKMPWTGMNPMQVVGAVGFQNRRLDIPKDVDPLVARIIWECLQQDPNLRPSFAQLTVALKPLQRLVFSSHQDHLVSPMSQEVSVNSIP >OIW16510 pep chromosome:LupAngTanjil_v1.0:LG02:21023497:21029640:1 gene:TanjilG_32180 transcript:OIW16510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSISANSSPQKRVLRSHAAALKVLITSPSGPTLPSRCRNSIPFTSLTKNDVVSIRSGDKQAESDCVAPKPERDPIATALDSTPQKHKVRSRAVLEASTLPPATHSPSKWKSQRRCISASPHTSLTESKVDSVCGGDAQAKGKLKWNPNDGEQLRVVKEALHVSTEPSRIACREDEQNTVLEFCKACVEQEKAGSLYICGCPGTGKSLTMERVKGLLLNWATEAGFVRPDVLSVNCTSFVNTSDIFTKILELNQSPGKKISATPLRQLQNMYSQKSSRNNMVLILADELDYLITKDRAVLHDLFMLTTLPFSRCILIGIANAIDLADRFLPRLASLSCKPIVVTFRAYCKDQILRILQERLSELPYIVFQHQALELCARKVAAACGDMRKALCICRSAIEMLEAEIRESTSKSNTSLEEKASSEQKTTTTSDHMKKHEFDTVRIDHMALSLSKTYRSPVVDTIQSLPLYQQIVLCSSMKHFRGTKKETILGELYKSYMGICKLSQIQPAGFLEFSDMCRVLNDQGLIKLGQSREDKLKKLTLKVDEADITFALQVEEIKEMARNAVLSGCSSFTSIGKLLIL >OIW17340 pep chromosome:LupAngTanjil_v1.0:LG02:1086736:1091336:-1 gene:TanjilG_22452 transcript:OIW17340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSLKMQSYNPVALRLHDQMGSKRKYTFIQAHRAWLPKFLLLWILLMAIISWWIYNKMDDDTKIRRKEVLGSLCDQRARMLQDQFSVSVNHVHALAILVSTFHYYRYPSAIDQETFAEYTARTAFERPLLSGVAYAQRVVDSERERFENQHGWVIKTMERVSSLVRDEYAPVIFVQETLSYLESIDMMSGEEDRENILRARATGKAVLTSPFRLLGSHHLGVVLTFPVYKYKLPPKPTVEERVEATAGYVGGSFDVESLVENLLGQLAGHQAILVNVYDITNYTNPLIMYGNQYEEGDMSLAHESALDFGDPFRKHQMICRYHQKAPINWTALTTAFLFFVILLLVGYILYGAGNHIIKVEDDFHEMQELKVRAEAADVAKSQFLATVSHEIRTPMNGILGMLALLLDTELSSTQRDYGQTAQACGKALITLINEVLDRAKIEAGKLELEAVPFDLRSILDDVLSLFSEKSRHKGLEFTEQGHIFVKVHLAESRKSTMHGKHEPFVNGGSDEVVHISGGYHFKTLSGCEAADEQNTWDNFKHLLADESSSKIAALCEFSEQVALRVCVEDTGIGIPFSAQDTIFMPFVQADSSTSRNYGGTGIGLSISKCLVELMGGQINFISQPQVGSTFSFTAVFGTFKKKMVNDTKKVNLEELPSSCRGLKAIVVDGKPVRAAVTRYHLKRLGILVKVANSINTAVTLSRKNGSITSELFQPDIILVEKDSWISGLDVSFDVRKLDRKQNGHMFKMRKLILLATNMSNTEFDKAKASGFTDTVIMKPLRASMIAACLQQVLGVGKKRQVGKDMPDGSAFLQSLLYGKKILVVDDNMVNRRVAAGALKKFGADVKCAESGKAALEILQLPHNFDACFMDIQMPEMDGFEATSRIRMMESTANEQMNGEGNGRTSKWHIPILAMTADVIHATSDKCLKCGMDGYVSKPFEEENLYKEVAKFFKSKSI >OIW17164 pep chromosome:LupAngTanjil_v1.0:LG02:3987474:3992634:-1 gene:TanjilG_18119 transcript:OIW17164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPRIGNKFRLGRKIGSGSFGEIYLGTNLQSNEEVAIKLESVKTKHPQLLYESKLYKILQGGTGIPNLKWFGVDGEYNVLVMDLLGPSLEDLFNFCSRKLSLKTVLMLADQMINRVEFVHSKSFLHRDIKPDNFLMGLGRRANQVYMIDFGLAKKYRDTSTHQHIPYRENKNLTGTARYASMNTHLGIEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGNKKQKYEKISEKKVSTSIESLCRGYPSEFLSYFHYCRSLRFDDKPDYAYLKRLFRDLFIREGFQFDYVFDWTILKYQQSQIGTPTRPIGSAAGPSSGMPPAVANADGQPGGEDGRQTGWLSSDPTRRRNSGPIANDVLLSRQKAPLINESTVSKDVMLSSSNFFQPSGSARRRVVSGGHDAVVGSETEPSRPPIMDSSQGALRKISGAQRSSSILPSDHNRVASGRNTPNIMNLETTLKGIEIRDPSFQ >OIW17547 pep chromosome:LupAngTanjil_v1.0:LG02:3149181:3151787:1 gene:TanjilG_22659 transcript:OIW17547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVGKLLRSVPKEVGEINEELENIQVSINAADKMNTKDEQGKVKHLREAALRIEEVIEDYIITQQQHLARDPGCEAILHATTNFIKTMILRLQISHDIQDIKSNIRQIKERSGLQIPSSFEIGSSSVPQHTLEKNALYINEVDVVGFKDPKNILIGWLKENQEEKCSVFAVVGMGGLGKTTLARTIYEKMEDILHDSDHCRVWITVSQAYTIKGLLRTMLANFGNKQEEDIQMNLELLINGVQSYMQEKRYVIFFDDVWNKHFGDDIRQIMLDIKKRTRIVITTRDNDVADFLKKDYDVHTHEMKPLSPKDSLELFNKKVFRKKSDALRQSRFEVISSEIVEKCHGLPLAIVAIGSLLACQPKTLCAWQRLCEPLNYEQDKNLTSTSITNILGLSYDALPYHLKSCFLYFGIYPKGYKIKSNRLTRQWIAEGFVKSDSHKTLEEVADQYLKELIDRSLVQASSYNVCGKTKKCCIHEVLHDMIRTKIKDIGYGHFVCDDRNDHHPMNSNKIRRLQIENDSNIDYFKDASIERSLVRTLHVFKEDQLNEDFLKIIPTKYLRLKVIDFQDSPLNSIPENLGYLIRLRYLSFRNASIENLPESIGNLQNLETLDIMGTVLESLPIEINKLQKLRHLHIHRSRIMGGFGGLESLQTLSHVKTDKWIEAEFKELEKLRQLRSLGLTEVNPQYVSTLCCSINKMQHLKKLIFIAPESVVQDCSSFPEKLQKLRLGGKLESLQMWITKHTNLVKLYLVDSYLNEDPMESLMQLPNLASLGLENAYVGNTLDFKSDSFKNLRKLLLKSLVNLESINIGERALPTLKKIELYDIPKLKVPSGMNNLGKLKVFSIDSMQVDFKKSIQENQWILKDSNY >OIW16559 pep chromosome:LupAngTanjil_v1.0:LG02:20532167:20533607:1 gene:TanjilG_17732 transcript:OIW16559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAFTNLSWWLWSGKHQDSGIPNGSSINSSADPNVWESDILRFPLVMQQNIGSSSRRVKRKWHSREERKVDREYDVVLVPSDGGCVSGSESDDSDWSIGWLEPHGPGFPSDDETDNSFAVLVPCYGKDYGRMVDDPRRNLPNGAGNFPDSYSDGKSTSINIYQSYLCAAVKLIFSKPLKFFLEFVREHETCGKLALVSSKYVKCKHSSFGPDSS >OIW16287 pep chromosome:LupAngTanjil_v1.0:LG02:23451164:23451571:-1 gene:TanjilG_19003 transcript:OIW16287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNILFVSGVSLTIGLKSTTQFFMKRSNFKGTISFGIGFFILIIGWPILGMIIEAYGFIVLFRCNGI >OIW16781 pep chromosome:LupAngTanjil_v1.0:LG02:15864778:15869406:1 gene:TanjilG_05515 transcript:OIW16781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSEDVKSGESAVTTIVNLAEEAKLAREGVVNKAPSYAVASICKSLVAGGVAGGVSRTAVAPLERMKILLQVQNPHNIKYNGTIQGLKYIWRNEGLRGLFKGNGTNCARIIPNSAVKFFSYEQASKGILYLYQQQTGNEDAQLTPVLRLGAGACAGIIAMSATYPMDMVRGRITVQTEKSPYQYRGMFHALSTVLREEGPHALYKGWLPSVIGVIPYVGLNFAVYESLKDWLIKSKPFGLVNDSSELSVTTRLACGAAAGTVGQTLAYPLDVIRRRMQMVGWNHAASVLTGNEGGKVPLEYTGMVDAFRKTVRHEGFGALYKGLVPNSVKVVPSIALAFVTYEVVKDILGVEIRISD >OIW16351 pep chromosome:LupAngTanjil_v1.0:LG02:23962232:23967410:-1 gene:TanjilG_19067 transcript:OIW16351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSETQEQVPPPSQPDNAAAISKKAAKKEAAKQEKLRRKQELAALSATTSNLSVEDDPLAANYGDIPLVELQSKTPVDVNNWSRIETLVPSLKGQQVLIRGRVQTIRPVGKKMAFLVIRENGYTVQCLVQVQPDIVSIQMVKFAASLSRESIVDVEGEISVPDAAIKAATQQNVEVQVRKLYCVSRAVPNLPINIEDAARSEVEIEKALQEGEQFVRVNQDTRLNFRVLDLRTPANQGIFRIQSQVGNAFRQFLLSEGFVEIHTPKLIAGSSEGGAAVFRLDYKGQPACLAQSPQLHKQMSLCGDLGRVFEIGPVFRAEDSYTHRHLCEFTGLDIEMEIKKHYFEVMDVVDRLFVTLFDSLNQNCKKELEAVRKQYPFEPLKYLRKTLRLTYEEGVQMLKEVGIEIEPFGDLNTEAERKLGQLVAEKYGTEFYILYRYPLAIRPFYTMPCYDNPEEYSNSFDVFIRGEEIISGAQRVHKPDLLEKRAEAWGIDVKTISTYIDSFRYGAPLHGGFGVGLERVVMLFCGLNNIRKTSLFPRDPLRLAP >OIW16238 pep chromosome:LupAngTanjil_v1.0:LG02:23049788:23051752:1 gene:TanjilG_18953 transcript:OIW16238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAPTIFCTIISLLLLPFLISQTHSQQQYLNNKQLKCEDTTNSTYGNICNSNPSCQSYLTFISSSPFYNTPSSISYLLNSTPSLIAQSNNITDVNPIPTNTIISVPVNCSCFNNLYQHNTTYTLKTLGETYFSIANNTYQALTTCQALMAQNIYEATNLTAGLNLHVPLRCACPTKKQLDEGFKYLLTYLVSEGESVSSIAEIFGVDEQSVLDANELSPGSIVFFFTPLLVPLKQEPPAKLQILTSSPQPQPQPPPPPSPEGGGGGSSSSSTKWVIVGVVIGVVVLVILLVFLFCFYRRRREPPLPVVESTKILSDSTTTEGTKKVSETTSTSESWSLSSEGIRYAVESLTLYKFEELEKATGSFSEENRIKGSVYRASFKGDDAAVKILKGDVSGEINILKKINHTNIIRLSGFCVYKGNTYLVYEFSENDSLDDWLHTMDKKYKNSLSLSWIQRVQIAHDIADALNYLHNYVTPPHVHKNLKSGNVLLDGNFRAKVSNFGLARVVEDQGEEGGFQLTRHVVGTQGYMAPEYIENGMITPKMDVFAFGVVVLELLSGKEAVISGEQFLSATINQVLEGDNVREKLRGFIDPSLKGEYPLDLAYSLAVMAKKCVGRDLNSRPNISEVLMTLSKIQSSMLDWDPSDEFERSRSVS >OIW16489 pep chromosome:LupAngTanjil_v1.0:LG02:21261857:21265349:1 gene:TanjilG_32159 transcript:OIW16489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGYAQDGGYGGSGGGYGGNDGGYGSRGGGGGRGGGGGYGGRGGGGGGYQGGDRGGRGGGRGGRGGGGGSGRDGDWRCPNPSCGNVNFARRTECNKCGAPSPTGGNDRGSSGGGGYNRGGNSEGYGNNRGGRSSDNDRGRGNDYNSGRGSNNDGRSGGGNRGGYGGNQGGDDGGYGQVPPPAAQPYGGAGGNYPHANNSYGGNSNYGTEAVPPPASYTGGPQSYPPSYGGNTGGYGGGGNPGDARSGGRGGPPGGYDSGHGAGSRGGYGGAPAAEPPAKVKQCDDNCGDTCDNSRIYISNLPPDVTIEELRDLFGGIGQVGRIKQKRGYKDQWPWNIKIYTDEKGNNKGDACLAYEDPSAAHSAGGFYNNYDLRGYKISVTMAEKSAPRAPPAQNQGGNRGGYGGDRRRDNYGGGSGPDRRDNYGGNRSRPY >OIW16946 pep chromosome:LupAngTanjil_v1.0:LG02:9947615:9951012:-1 gene:TanjilG_08336 transcript:OIW16946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYSSEDDSDISESEIEDYAQKPYEQIKAGKYKVKSPNGSLRCPFCAGKKKQDYKYKELYQHASGVGKGSANRSAKQKANHLALAKYMEIDLASEAEQIQALDHLPQPVNQPLPQDELYVWPWMGIIVNVVNKSDDSSYWLKEFARYKPVDARIILNEGGPISQAVMHFNNDWNGFMNASEFEKSFETTHHGKKDWNSRKLQAGSNVYGWVARKEDYECEGPMGEYLREKGKLRTVTAIVQEASESRNSIVANLAIQIDETNENLNKMQYKYNEKNMSLSRMLEDKDRLHSAFVEESRNMQRRARDEVRRILEEQENLSSELEEKKRKLDSWSRDLNKREVLTDQERQKLEEDRRKREKEEALQKIIQLEKQLDAKQKLEMEIEELKGKLQVMKHLGDEDDAAVQNKMKEMNDELLDKQDNLENMELMNNTLITKERESNDELQKARKELIAGLSELLNGPRTNIGLKRMGELDNKVFVDACKKKYPLEEAGTKGAELCSLWQENVKNSAWHPFKVVKVNDEHKEIIDEGDEKLHGLKQEWGDEIYSTVVTALKELKEYNPSGGYTVNELWNFKEKRKATLKEVISYIVEHIRPLKRRRT >OIW16824 pep chromosome:LupAngTanjil_v1.0:LG02:13705510:13706967:1 gene:TanjilG_06864 transcript:OIW16824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTALVAGWAGSMALYELAVFDPSDPVLDPMWRQGMFVIPFMTRLGITNSWGGWSITGGTITNPGIWSYEGVAGAHIVFSGLCFLAAIWHWVYWDLEIFSDERTGKPSLDLPKIFGIHLFLAGVACFGFGAFHVTGLYGPGIWVSDPYGLTGRVQPVNPAWGVEGFDPFVPGGIASHHIAAGTLGILAGLFHLSVRPPQRLYKGLRMGNIETVLSSSIAAVFFAAFVVAGTMWYGSATTPIELFGPTRYQWDQGYFQQEIYRRVGAGLAENKSLSEAWSKIPEKLAFYDYIGNNPAKGGLFRAGSMDSGDGIAVGWLGHPIFKDKEGRELFVRRMPTFFETFPVVLVDGDGIVRADVPFRRAESKYSVEQVGVTVEFYGGELNGVSYNDPATVKKYARRAQLGEIFELDRATLKSDGVFRSSPRGWFTFGHASFALLFFFGHIWHGARTLFRDVFAGIDPDLDAQVEFGAFQKLGDPTTRRQVVG >OIW17016 pep chromosome:LupAngTanjil_v1.0:LG02:7791027:7797766:1 gene:TanjilG_21164 transcript:OIW17016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSGIGISKVLLIAGAGYTGTVLMKNGKLSDLIGELQLLVKGLEKHGEQGDGESEYADAIAAQVRRLANEVRQLSSNRSITVLNGGSGQSNYSSLVVPAAAVGALGYGYMWWKGISFSDLMYVTKRSMENAVADLTKKLQHASDVIADAKKHLTQRIQNLDDKMLKQNELARSIKDEVSGVRSTITNFHDDLGILQQTVETLDERLSTLSWKQDYANHGLTYLIDFVNGKTRKMPEFLQEQLKLSGKTPNALTYPGTPNVKGLKDIIAETLSSGLERSASDSITPDGIDKLEQQRRPLLRVTSTRS >OIW16443 pep chromosome:LupAngTanjil_v1.0:LG02:24546425:24552147:1 gene:TanjilG_19159 transcript:OIW16443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFVGVLVSDQWLQSQFTQVELRTLKSKFVSARNQSARVTVGDLPPIFKKLKAFNEVLEEDEIKGILAESYQNMDEEIDFESFLRAHLNLQGRAAAKDGGSRSSSSFLKAATTTVHHAINESEKASYVAHINGYLAEDKFISQFLPIDPSTDAIFDLAKDGVLLCKLINVAVPGTIDERAINTKRVLNPWERNENHTLGLNSAKAIGCTVVNIGTQDLVEARIQLLADLNLKKTPQLVELVDDDKDVEELISLPPEKVLLKWMNFHLKKAGYEKQVTNFSSDLKDGEAYAYLLNALAPEHSGPSALVTSDPTERANMVLEQAEKLDCKRYLTPKDIVEGSPNLNLAFVAQIFQHRNGLTAADSKKITFAEMMTDDVQTSREERCFRLWINSLGIVTFSLHLFILRNFLTRTTDYDLPNFLLYRWVLLEVLDKVSPGSVNWKQATKPPIKMPFRKVENCNQVIKIGKDLNFSLVNVAGNDFVQGNKKLLLAFLWQLMRFNMLQLLKNLRSHSQGKEITDADILNWANSKVKRAGRTSQMESFKDKNLSCGIFFLELLSAVEPRVVNWSLVTKGETDEDKRLNATYIISVARKLGCSIFLLPEDIMEVNQKMILTLTASIMYWSLKRPEENTSPEAPPVVASVGDANETDEANELSNLTIDDAASEAASEG >OIW16894 pep chromosome:LupAngTanjil_v1.0:LG02:11727079:11727431:1 gene:TanjilG_01759 transcript:OIW16894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIQCAAVSSGSESGDSTTIESRIRNEIAVEETEASGGLNGGSEKIARHEQEGVTREGGSIFEEAQSGDGRRFSDRRSEIQTNFGIQF >OIW16555 pep chromosome:LupAngTanjil_v1.0:LG02:20577191:20577865:-1 gene:TanjilG_17728 transcript:OIW16555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKNDKDAMFNDIVLQIVLALVMALSFLFMNDIPKKLFSKLRFRNRTNIKAKHHFVQGAQLLARARSSTSRSHANSLAKQALTEAENAIALDPKDAAAYLLKSLALDIQGFRASALDSLDVALSPLAAKSLSESERGDAFLKRAELKMRLGKGTSQRGGADSVKEDLEEAVRLNPKNSRTFCVLGEWYEGKKMKDEAVKAYEEAIQLEPELRVAHDALNRIHMN >OIW16477 pep chromosome:LupAngTanjil_v1.0:LG02:21373963:21391882:-1 gene:TanjilG_32147 transcript:OIW16477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRQKGEGARSKARPSSSSFAASLLSSAPAAAAAPAGGFGFGGYVGSSRLDPSPSTDDALPFADVDSEIAVHLKRLGRKDPTTKAFEYKRLLLDYNREVRRATHDTMTTLVASIGRDLAPHLKTLMGPWWFAQFDPVSEVSQAAKRSLQAAFPAQEKRLDALILCTTEIFMYLDENLKLSPQNLSDKATASDELEEIYQQVISSTLLALATLLDVLICLQHERPGFGNITTEPKHAAKARETATSFAEKLFKDHKYFLDFLKSQRPSIRSATYTVLKSSIKNIPHAINDGNIKTLAGAIFGSFNEKESSCHPSMWDVILLFSRRFPQGWTSLNIQKSILNPFWNFLKNGCFGSSQMSYPALVLFLDNMPPKSVGGDKFFLDFFKNLWVGRRTSVSVDKLAFFQALKECFIWSLKNASRYNDEVDSISHFRVTLVDTVIVKVLWQDFLATGNSKGLDIIKSGKAADSSKENVSLNKKTVDMLNTKYPMPYLQELGKCFVEILSGIYILDSNLLSVFTVELQENFMGILQQAGNVETVERIILFMLLLEQHAVLKGATWPLVYIVGPMLGKSFSIIRSSDSPDTVRLLSVSVSIFGPKKMVHELIQNREHSSSQLLHDGNKVLEAEDFMHIFKNIFVPWCLQASICSTNARLDLLLALLDNEYFSEQWSFIVNYVIGQSYSGCPPVLLDANNAEMLATLLEKARDESMKRKVGDDSSYRQGTNAEDWHHERLESLAISVSSSLPPFSTSHVQFVCSLLGGSSEGRTYSFLSRNALILIYEEIFRKLLGFIQNSSFLWVQNAASVLSDNANICVEIGSSPHIIEMAQFALEVLGGSFFCLKALDGKSELVSGILSAIFIIEWECNLSRSEVDLFDEKSISKIKSRLAFGENVCSFREKINVQFVKSLSLDSRKRLLNNLIQSVRSAAFVEDKLIDDRITSLCCTWVLEILECICLDENEEQNMLHQLLSKADNWPVFVVPDFGSTKVSGHQKFVALIDKLIVKIGIDRVFSACAMPNPSMPGRSQELASPAWLAAEILCTWRWPGDNAVSSFLPLLSAYAKRSSSPQETLLDDILSILLDGSLVYGYSGTQSSVSLWPVPADEVEGIEEPFLRALVSFLSTLFQENIWEARKASNLIELLTNKLLIGEAVNTSCLKILPLLISLVLEPFYRYAEPDKDVQPFSLEEKFVQNTVIDWLERALRLPPLVTWKTGHDMEGWLQLVIACYPFSTIGGPQALKPARSISPDERKLLHELFQKQRHVAGGSAIINQLQVVQMLLSKLMVVSVGYCWNEFCEEDWDFLLSSLRGWIQSAAVMMEDVAENVNGLVDGPSDNLDATCKEIEKLVFISDPLSIKISENALLSFILFLKHCELQQAEERDNLNTLKTEKMDSVKDRILEGILRLLFCTGISEAIAHACCKEAASVIASSRVEYTYFWELVACGVVNSSSQARDRAVKSVEFWGLSKGSVSSLYAILFTSKSIPLLKFAAYFVLSNEPVLGTAIVEDNACNSDINAASDQDFSHLDTSVEEKVHLKEEISYLIESAPYEVLDMDLFAQKRVNLFLAWSLLISYLWSLPSSSSLRERLIQYIQDSATPVILDCLFQHIPLEIAMVQNLKKKSAELSGCLSDAASASTRAITSGSLLFSVESLWPVELEKLSSLAGAIYGLMLHVLPAYVRGWFSDLRDRNSSAAIELFTRTSCSPPLIANELSQIKKANFRDESFSVSVSKSANEVVATYTKDETGMDLVIRLPASYPLRPVDVDCTRSLGISEVKQRKWLMSMMLFVRNQNGALAEAIGTWKHNFDKEFEGVEECPICYSVIHTTNRSIPRLACKTCKHKFHSACLYKWFSTSHKSSCPLCQSPF >OIW16288 pep chromosome:LupAngTanjil_v1.0:LG02:23454394:23458553:1 gene:TanjilG_19004 transcript:OIW16288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAPSSRERAQRLYEKNLELENKRRRSAQAQVPSDPNAWSQMRENYEAIILEDHAFSEQHSIEYALWQLHHKRIEELRAYLHAALTSASSKSSQGGKVPVRPDRITKIKLQFKTFLSEATGFYHGLIMKIRAKYGLPLGYFEDSENRIVMEKDGKKSGEMKKGLISCHRCLIYLGDLARYKGLYGKGDSIKREFAAASSYYLQAASLWPSSGNPHHQLALLASYSGDELGTIYRYFRSLAVDSPFTTARDNLIVAFEKNRQSYSQLCGDVKALAAKESSGQLTSKGRGKVEAKFATGGTGVEACPRKEGASNMDIQETFKSFCTCFVRLNGILFTRTRHIFLLNTFLETFSEVLSLVSTGICKLLSSGQDEELNFGMDTLENELAIVKLVSITIFTVHSVNKESESQTYAEIVQRAVLLQNAFTAAFELMGFIIERCVQLQDPSSSYFLPGILVFVEWLACHPDFAAGNDMDENQATVRSKFWVHCISFLNKLLSVGPTSIEDNEDETCFNNMSWYDEEETENRLALWEDIELRGFVPLLPAQTILDFSRKHFLGSGGEKEIKARVKRVLAAGKALANVVRIDQKMIYFDSKGKKFTIGVEKPQVSDDFVFASYSGIPDAEELLKENTADKNEVGIVQPDKDQHMEEEDDDEVIVFKPIVAAKQSDVVAVSSWAPYEGLESVPTASGGDMKFHINSASNPLNNVHHQTYLPPVSGMLPQHLQSVQPHSLRWLDEETSLAKSLESLRFSGNGHVMKPDQSLQEAVAIHNYTALPVPTQQPGASVLHSLSKAEDFVISSKVDAVIPSGVISDNYVNVSSAMQVGLKKSPVSRPSRYLGPPPGFSCVPLKQDIEPAVSDLIRANSNMDDYSWLDGYQLPSSTKGLGPNGSLAYPQSNPHQISNNGLSVTDNFPFPGKNVPSTLQVEKQNGWQDYQSSELLKTHHDQQLQPQKQATAGNQHFTTLPKQFQGQPIWTGRYFV >OIW16359 pep chromosome:LupAngTanjil_v1.0:LG02:24025646:24026101:-1 gene:TanjilG_19075 transcript:OIW16359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAKLKQQQHHIVQTTKKLWLSSSEPSMTIPHSHHFQSLRTKALPDSIVVAATSPPYSSDLSAFLPISALLLSVYFLANFVFPEFITKYFGFDKQNEEQKVDAEDK >OIW16469 pep chromosome:LupAngTanjil_v1.0:LG02:24681985:24683231:1 gene:TanjilG_19185 transcript:OIW16469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSAGITHVAITPLDVLKVNMQVHPDKYKGSSIICGVATLWKEQGPYSLWRGWSSKLFGYGLQGGFKFGLYEYFKNFYSTDDAFFVNSNNNKTLIFFLSSSSSQIIADVALSPFEAVKVRVQASHPLFAKGLADGFPLLYRTEGLSGFYRGLVPLWARNLPYSMIMFSTFEHSVDLIYRNIIQRRKQDCSTPQQLGVTCLAGYTAGAMGTLISNPADNVLSTLYNKKAESAMQAVKSIGFANLFTRSLPLRIALVGPVVTLQWFFYDTIKLLCGLPTSGGGLARPEAAGG >OIW16259 pep chromosome:LupAngTanjil_v1.0:LG02:23229557:23230348:-1 gene:TanjilG_18974 transcript:OIW16259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERVHPFNSSPSSPEHETTPSHKLALVAASPTPPGTYVIKIPKEQVYRIPPPENAVKYNQHAIRNKPRCRFCCWFIGILFTLIILLVIAAAVFYLVFRPTAIVYSIESIAIAGLNLTSPSSAASLSPKLNVTVIANNPNRKIGIRYMKDSSAEIFFNDVELCNGVLPAFYQPSNNVTVFKVALKGHAIKLKDSDRTSLEEEQNKQKVPFTVKLKIPVKIKVGVIETWKINIKVKCDVTVDKLTTEAKVESKHCNYRVNIFGK >OIW16975 pep chromosome:LupAngTanjil_v1.0:LG02:8839163:8841766:1 gene:TanjilG_32842 transcript:OIW16975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGVVLNCRPIQPPSSSPSTSKKAISFFGSHVGFRIIRSNNLVFHASRRFVVKAVATPNPAVELPLTAENVESVLDEIRPYLISDGGNVALHEIDGNVVRLKLQGACGSCPSSVTTMKMGIERRLMEKIPEIVAVEPISDQETGLELNEENIEKVLEEIRPYLVGAADGSLELVGIDEPIVKVRITGPAASVMTVRVAVTQKLREKIPAIAAVQLL >OIW17006 pep chromosome:LupAngTanjil_v1.0:LG02:8053334:8058020:-1 gene:TanjilG_26759 transcript:OIW17006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSVYGARLTTFEDSEKESEYGYVRKVSGPVVVADGMAGAAMYELVRVGRDNLIGEIIRLEGDSATIQVYEETAGLMVNDPVLRTHKPLSVELGPGILGNIFDGIQRPLKTIAKRSGDVYIPRGVSVPALDKDTLWEFQPKKIGEGDLLTGGDLYASVFENTLMQHHIALPPDAMGKITYIAPPGQYSLKDTVLELEFQGVKKKFTMLQTWPVRTPRPVASKLAADTPLLTGQRVLDALFPSVLGGTCAIPGAFGCGKTVISQALSKYSNSDTVVYVGCGERGNEMAEVLMDFPQLTMTLPDGREESVMKRTTLVANTSNMPVAAREASIYTGITLAEYFRDMGYNVSMMADSTSRWAEALREISGRLAEMPADSGYPAYLAARLASFYERAGKVKCLGGPERTGSVTIVGAVSPPGGDFSDPVTSATLSIVQVFWGLDKKLAQRKHFPSVNWLISYSKYSTALESFYEQFDPDFINIRTKAREILQREDDLNEIVQLVGKDALAEGDKVTLETAKLLREDYLAQNAFTPYDKFCPFYKSVWMMRNIIHFYNLANQAVEKGAGADGHKITYSLIKHRMGDLFYRLVSQKFEDPAEGESALVAKFKQLHDDLTNGFRNLEDESR >OIW17229 pep chromosome:LupAngTanjil_v1.0:LG02:3727828:3729705:-1 gene:TanjilG_02518 transcript:OIW17229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADIEMECMQNVESFRNCLKTSLQKASAIACALHESELETAFGNIISMQKCSFVNVGDHIDSALCCASAVLRVFEAVRQLENSLLHDIDPSSDLFTYVSDTKKLEEALKFLTNNCRLAVEWFKDILLLLQDKAITNVNENYLLNVKKCLRILHELEVMEEGSRLNGGLLCRAFDKLEIEFHGLLAANTMPCLSLASSVGHQPFPDSVVVKLQTIVERLNANDRLDKCKSMYVEVRGTNAQTSIKTLDFSYLEILIAELDNIPSYIDQWGLHLELVVKNVVKFEYKLCSKVFEKIGKEECIECFAKIAIESGILSLLQFGRNVCNSKNNPNKLLKLLHIFKVLNALRLNFNQLFSAKACEEIRTVTKDLIKEVVNGASELFWQLAAQVKSLRPNSPPTDGSVPSVVSFVTEYCNQLLGDAYRPHLTQVLEIHHSWRNQVYEEGIVFTQVYNIMKEIAINLDAWSKSYADINLSYIFMMNNHCHFSNLRGTVLGDVMGDSWLGAHEQYKDYYAALYLKNSWENLLPILIVKKVTNKDLVHRLKAFNLAFDERYKKESNWVITDEILRQNICKHLVEGIVQTYKAYMKNYSLSIENDARATKHIKYSVQSLEGVISSLFQPKLNKCVK >OIW17369 pep chromosome:LupAngTanjil_v1.0:LG02:1343523:1346394:-1 gene:TanjilG_22481 transcript:OIW17369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSCDGKKHKASIWKRPMLIKGPLGIVCGTEIVFLVMFIALLIWSYAIYLYTGFATITPKSAAAAGTKVWVLKLKSAALRLGLIGNICLAFLFFPVARGSSVLPLFGLTSENSIKYHIWLGHIVMIIFTSHGICFIIYWALTNQISQMLKWDKGGTANVAGELALIIGLLMWIATIQRIRRKMFELFFYTHNLYILFIIFFIFHISITFACIMLPGFYLFLVDRYLRFLQSRHQVRLISARVLPCETVELNFSKGHGLTYNPTSIMFINIPSISKLQWHPFSVTSNSNLERENLSVVIKSEGTWTQKLYKLLSSPSITDRLGVSVEGPYGPASTNYQRHDTLVLISGGSGITPFISIIREFIFMSTNFKCKTPKIILICVFRKSSSLSMIDLVLPISTIPYDISNMNLQIEVYITKDKEPQLNSQTYLQTKWFKPNPTDTPIYVILGSNSWLWLGAIISTSFIIFLVIIGIIFRYYIFPIEHNSNNTFSNPLRSFLHMLVICVSIVMVASAAFLLNKKHNAKEEKNIQDLGGSIPTMSPSSTSYNTNRELESLPCESLVQATNVHYGIRPDLKKLLLEIKGSSVGVLAAGPKKMRQEVATICTSGLAENLHFESISFSW >OIW16552 pep chromosome:LupAngTanjil_v1.0:LG02:20744250:20745536:1 gene:TanjilG_08409 transcript:OIW16552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLKLFTISLSLLLLISPSLSLSSSSSSSSSSIHNLLRSKGLPAGLLPEEVNSYTFSEDGHLEVFLDAPCLTKYENRVFFERVVTANLTYGSLIGVKGLQQEELFVWLPVKDIIVDDPSSGLILFDIGIAYKQLSLSLFEDPPHCKPQDGLRNHVRKEKGFKAQR >OIW17083 pep chromosome:LupAngTanjil_v1.0:LG02:5731083:5738703:-1 gene:TanjilG_20187 transcript:OIW17083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSLIERSSFISSSKRVIFHHHHSFQEKKNLTLVPLDRRAVRLRKVAKFPVAAISEDLVKGSSSSSVPAEKPVKFKVRAVVTVRNKIKEDFKEILVKQLDAIGDSIGRNVVLELFSTEIDPKTKGPKKSNEAVLKDWSKKSNVKAERVNYIAEFSVDSSFGEPGAITVTNKHQQEFFLENITIEGFATGAVHFPCNSWVQARKDHHGKRIFFSNKPYLPSDTPGGLKLLREKELKNLRGDGKGVRKLSDRIYDYDTYNDLGNPDKGVDLRRPTLGGSQQYPYPRRCRTGRAPTDRDLYAESRVEKPLPMYVPRDERFEESKKNTFSVKRLKGVLHLLLPSLKSSLSINNQDFNEFSDVDALYTEGLLIKLGLQDDILKKVPLPKLVSKIKESSQGILKYDIPKIITKDKYAWLRDDEFARQAVAGVNPVSIERLKVFPPVSKLDPEIYGPVESALREEHLLGQLNGMTVQQAIDENKLFMIDYHDVFLPFIERINALDGRKSYATRTIYFLTPLGTLKAVAIELSLPSAGPNYQSKRVVTPPVDATTNWIWQLAKAHVCSNDAGVHQLFHHWLRTHACSEPFILAAHRQLSAMHPIFKLLDPHMRYTLEINALARQSLINADGVIESCFTPGQYGMEISSYAYKNFWRFDMDSLPADLIRRGMAVPDPTQPHGLKLVMEDYPYADDGLLIWSAIENWVRKYVNHYYPNSSMISNDKELQAWYTESINVGHADLRHENWWPTLNTSEDLISILSILIWNASAQHAALNFGQYPYGGFVPNRPPLMRRLIPEETDPEYASFLADPQKYFLNALPSVLQASKYMAVVDTLSTHSSDEEYLGERQQPSIWSGDAEIIEAFYDFSAEIGKIEKVIDSRNCNQTLRNRCGAGVLPYELLAPSSEPGVTCRGVPNSVSI >OIW16764 pep chromosome:LupAngTanjil_v1.0:LG02:15521371:15525543:1 gene:TanjilG_05498 transcript:OIW16764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGLFAKIPSQTHPSDGVDHRTPPPPGNRPFKKTPENLIIDESSLDNPDLGPFLLKLARDTIANGENPNKALDYAIRATKSFERCSGPGLDLANCLHVVAAIYSSLGRFKEAVESLNRSILLLDVDESGSMVKFSGYMQLGDTYSMIGKLDRSILCYESGLKIQMEVLGNSDPRVAETYRYLAEAHVQAMQFDEAEDFCKKTLEIHKEHCSPASLTEAADRCLMALIYEAKGDYEPALEHLVLASMSMIANGQDNEVAAIDVSIGDIYSSLCRFDEAIFAYQKALTVFKSTKGESHSSVALVYIRLADLYFKTGQLRESTSYCENALRIYSKPLSGTTAGDIASGLTEISAIYEALNEPDEALKLLQKAVKLLEDSPGQYRTVAGIEAQMGVIFYMVGRYTDARKSFENAITKLRAGGEMKSAFFGVVLNQMGLACVQLYKIGEAATHFEEAREMLEQECGRYHLDTLGVYSNLAATYDAMGRVEDAIEILEYILKMREEQLGTANPDVDDEKKRLNELLREAGRVRNKHGKSLENLIDSNSFKMKKEGKKKWGVFGLRT >OIW16189 pep chromosome:LupAngTanjil_v1.0:LG02:22641896:22645637:1 gene:TanjilG_18904 transcript:OIW16189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTFTYNYKMFKCFNRKYAITDQSPPPDVKDVFSTFSDGATSISAEKLRWFLVDHQGELECTTQDSECIIDNVLRSLKRIQEKENDSGVISESDNGVHQGLTLDDFFHFLFLDDFNGPLKSQVHHDMNAPLSHYFIYTGHNSYLTGNQLSSDCSDVPIIKALQRGVRVIELDLWPNSTRDDIDVVHGRTLTTPVSLTQCLKSIKEYAFVKSEYPVIITLEDHLTPDLQAKVAEMTTQIFGEMLHYPQTDTRVEFPTPESLKCRILISTKQPKEYLECKQFKDKEIERELADEGSSSPSHSTDVESEEKCNGSDLDDQEDSIALDKKTDQQGSTPEYKRLITIHAGKPKGHIKDSLKVVGSVKRLSLSEQELERASVSHGPDIVRFTQKNILRVYPKGTRVNSSNYRPHIGWMYGAQMVALNMQGYGRSLWFMEGMFRSNGGCGYVKKPAFLLERDAQDETFDPKRTLPVKKTLKVKVYMGNGWSSDFSKTHFDAFSPPDFYTKVCIVGVPADRANKKTKIIPDNWFPVWDEEFEFPLSVPELALLRIEVREYDKHEKDDFGGQTCLPISELRPGFRSVPLYDQKGEKLKSVKLLMRFQFR >OIW17310 pep chromosome:LupAngTanjil_v1.0:LG02:851336:852799:-1 gene:TanjilG_22422 transcript:OIW17310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQPTTPTRIGLAGLAVMGQNLALNIAEKGFPISVYNRTTSKVDETVERAKLEGNLPLYGYHDPKSFIQSLQKPRVVIILVKAGAPVDQTIKTLSAYLEKGDCIIDGGNEWYENTERREKEVAELGLLYLGMGVSGGEEGARNGPSLMPGGSFKAYKYIEDIVLKVAAQVDSGPCVTYIGKGGSGNFVKMIHNGIEYGDMQLIAEAYDVLRSVGKLSNEELHSVFTEWNNGELLSFLIEITADIFSIKDDKGDGYLVDKVLDKTGMKGTGKWTVQQAAELSVPAPTIEASLDARFLSGLKEERVEAAKIFKSGGFVDPSTDEPIDKQKLIDDVRKALYAAKICSYAQGMNLIRAKSIEQGWDLKLGELARIWKGGCIIRAIFLDRIKKAYDRNPGLANLLVDPEFAKEIIDRQSAWRSVVCLAINSGISTPGISASLAYFDSYRRDRLPANLVQAQRDYFGAHTYERVDIEGSYHTEWFKLAKQSRI >OIW16931 pep chromosome:LupAngTanjil_v1.0:LG02:10356398:10358334:-1 gene:TanjilG_18619 transcript:OIW16931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLGSGGSSVVVPRNFRLLEELERGEKGIGDGTVSYGMDDGDDIYMRSWTGTIIGPHNTVHEGRIYQLKLFCDKDYPEKSPTVRFHSRINMTCVNHETGVVEPKKFGLLSNWDREYTMEDILTQLKKEMASPHNRKLVQPPEGTYF >OIW16729 pep chromosome:LupAngTanjil_v1.0:LG02:17154216:17156726:1 gene:TanjilG_14499 transcript:OIW16729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYGEAVKLLGQRWKPILKMPSEQKHAIANGLFDIIKNHGPITVSNTWVRAKEAGLKDLTSKTQMKVVLTWMREKQKLRLVCNHVGAHKQFQYTIPASAGIVPSKHKPT >OIW16328 pep chromosome:LupAngTanjil_v1.0:LG02:23791206:23798371:-1 gene:TanjilG_19044 transcript:OIW16328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSIDVFNSWPFATSSNLTTTDLHSSLPPFTKSNLIQHQSDKSPSTSTKDDDKFEMLCPVCRQFNAATLTAVNAHIDSCLAQTGSKSKSKPLKKRSIAEIFRVQEENEENSDTNDVSVTVTKFNSLSRRLEALRSNRGGGGGGGGGAHDEDDDEKLEMVCPVCRDFNAATVTAVNAHIDSCLARAVRDERRQMMKRTSKSKAPKKRSIAEILTVAPPIQATKSKGIEAGEDNSEDSSGEPSDFAVSDAVVSVIKSKKSTGKSMKKKQTKKKSKVGKHCGSAAGAYINNRNKKKRKKMKKSKSFFNDELSHKNKEDVYKSKVQSPVYSFRKLKGIAGNKVVALHDIDSSIHKKKLGSKILFEEQKQKDEDCGSVGEQLKEVSPAHGILKNHLKQVSGNTSSGCYSEDGAEESDWDDLVPTSDRHVRFAGKEDPLGPKKRVSFETMFNESSDLLAASIVKEQWSGSDEETTSLEGNRNYDHIAINIKKRKDACPIVERKQFYHTLEQVSIQDSLKPCINQEESKHSEDNSESLTKVAFCDNDNLHLFDESNTSTPNCSPYADISRPSTAEDVQVSCVNTDECESGSFSSIGKFIDSLENTTFQAAANTRSFLESSSYSASYDQANERPEFPLRTYGDNDNRGQALGERQLTHMFTADVIDNSFPFTGWGKGSVRPNCLDPNFFGLPLNSQGELINFSSSGKLGMNQPETPSTSLGSSSGLPINDLLNRRSLENLSIKERHVVQKTFPKDGGNQLPHYPARLAVTTLQCKEREDIHLPNSDMCSSRYVHPLNSELNLMRNTYIEQIQSDQIQNPKGIGTISRKESSDHISPSSSQPTVRLMGKDVPIGRSSKEIQEYVGYVRADEDSRKMHYSKYAAIENSSFGGCSKQDPVSGSPLRVSTENVLLSGNSQNHQASQSTLPINGPNSEFPYRIPQNGSIAISRNACSYSHSTTQEPTSCAIFKRAPDYFEEQSIPGAKTLGFSPRSQVLTTDGNFSQLTCLSNGELNDRNNNPHVMKSAFEFPFLQPSVHDQAKTSWFQRPYRSSQSWLSSSTDERRVPVTFTQQASGASCQSFPRNFWGNNFTSPPENHSTEVLHPYTPLSSLGPIKTPLCPTPIGQPRHAPVTPSTINNGCRNTTKFIDRMKLDNIITEDHRHPCTNTRKRPAINFDDSRKPIKLPNIEMQENLSPKTRVTVENSGAELQRNRREVELDPQVDSARSRRCQNEAQNLHPTSYPAAGSFKLHGAVKSGPGDRHILRFS >OIW16445 pep chromosome:LupAngTanjil_v1.0:LG02:24561022:24562216:1 gene:TanjilG_19161 transcript:OIW16445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLWRVVMVVMFGLLMKESEGIRFVINKEECFSYDVKYAGDTVHLSFVVIKTDSPWHYGNEGVDLVVKAPSGDQIHDIRDKISHKFEFEAQQSGVHKFCFTNGSPFHETIDFDVHAGHFPHHDQHAKTEHFTPLMEELKKFEEAVDNIHFELHWMDAQVDRQVIANEAMSKRTVHKAIFESAALIGASALQVYLLQRLFAKKLGISRV >OIW17012 pep chromosome:LupAngTanjil_v1.0:LG02:7837158:7855349:-1 gene:TanjilG_25006 transcript:OIW17012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVLGIESIGFRKIKSNRLPNQAGSQLPGLTQLTGNALSPQMSNLCGVPRSAINMDPEFLMARSSMQERICDILLQRQPEPVTEVQRRKVRDLAKRLEEGMLKSARSKEDYMNLETLETRLSNFLRRASMNNHNQQYPQLVGSSPIGTMIPTPGMLHVPNSSMVVTSSMDTSMIAATGCNSLVSTSFNSSLFIAGLSNGYQQSSASFSIGSGTNMSSIGVQRTGSQMIPTPRFNVSSNHSNMTMDSSTNSSAFSGVDSMMVPQPQLQQQMQHISGQNSHVLQNLGRQMGNGVRSGLLQKSFANSNGAINGGLGLIGNNTANEPSTSDGYASTYANSPKHLQQHFDQNQQTVVHGDGYGSHNVDTFASGNFYASTTSSGSTMNTQNMNSVKLPSIPKTSSLIGGHLNLHGMQQATHIKSEAVNQSEKLNFQSSLTSREGLLHSQQQYQQRPQQFQQPEQYAQQQFQLKQQSQQPQHLVNSDTFIPSQITANLESRVKSEPGVEHHKEVLNSHISEQFHMSEMQSQFQQNLSEDCSGGGQHRSFPSGQHDMSFSTPQNSQQMLLPHHQLVAESQNSFSSLTIGAQSKSVVPNQWPQSQDGNHIPGNVSHDQHLQMDFQQRISGQDEAQCNNLSSDGSIIGHAAASRGSIDLLDSGSAIKKAHKNQQRWLLFLLHARRCSAPEGQCQERFCSLAQKLCKHIDGCTLRHCPYPRCHHTRVLLHHFINCKDPCCPVCVFVRNYRRAFQLKHQIRPEAESSLPIAVNGSCKSYNIVSSSPRLISKPPLVVETSEYPHPSLKRIKTEHIMQSINPENDNCASSVSANCESHLARDAQNQAYPSVEMPISIKPELTEAKAEVPAHLVHEKLSEMEIDNNNADDKMPGGERVKYDEPDNLARTESTKTEKETGQGKQENVIQPSENAAGTKSGKPKVKGVSLTELFTPEQVREHITGLRQWVGQSKSKVEKNQAMEHSMSENSCQLCAVEKLTFEPPPIYCTTCGVRIKRNNMYYTMGAGDTRHYFCIPCYNDARSENINVDGTPIPKSRLEKKKNDEETEEWWVQCDKCEAWQHQICALFNGRRNDGGQAEYTCPNCYIEEVERGERKPLPQSAVLGAKDLPKTILSDQIEQRLFRRLRQERQERARVQGKSYDEVPGADFLVVRVVSSVDKKLEVKQRFLEIFQEENYPTEFPYKSKVVLLFQKIEGVEVCLFGMYVQEFGSEAEFPNQRRVYLSYLDSVKYFRPEVRAVTGEALRTFVYHEILIGYLEYCKKRGFTSCYIWACPPLKGEDYILYCHPEIQKTPKSDKLREWYLAMLRKAAKENVVVDLTNLYDHFFVSTGECRAKVTAARLPYFDGDYWPGAQCYQKGAQKSTEMAFISTPCSTMFCSRRTMPRTLLFSCAKVINYRRAFQLKHQIRPEAESSLPIAVNGSCKSYNIVSSSPRLISKPPLVVETSEYPHPSLKRIKTEHIMQSINPENDNCASSVSANCESHLARDAQNQAYPSVEMPISIKPELTEAKAEVPAHLVHEKLSEMEIDNNNADDKMPGGERVKYDEPDNLARTESTKTEKETGQGKQENVIQPSENAAGTKSGKPKVKGVSLTELFTPEQVREHITGLRQWVGQSKSKVEKNQAMEHSMSENSCQLCAVEKLTFEPPPIYCTTCGVRIKRNNMYYTMGAGDTRHYFCIPCYNDARSENINVDGTPIPKSRLEKKKNDEETEEWWVQCDKCEAWQHQICALFNGRRNDGGQAEYTCPNCYIEEVERGERKPLPQSAVLGAKDLPKTILSDQIEQRLFRRLRQERQERARVQGKSYDEVPGADFLVVRVVSSVDKKLEVKQRFLEIFQEENYPTEFPYKSKVVLLFQKIEGVEVCLFGMYVQEFGSEAEFPNQRRVYLSYLDSVKYFRPEVRAVTGEALRTFVYHEILIGYLEYCKKRGFTSCYIWACPPLKGEDYILYCHPEIQKTPKSDKLREWYLAMLRKAAKENVVVDLTNLYDHFFVSTGECRAKVTAARLPYFDGDYWPGAAEDLIYQLRQEEDGRKQNKKGTTKKTITKRALKASGHSDLSGNASKDLILMHKLGETISPMKEDFIMVHLQHACTHCCILMVSGNRWVCNQCKNFQICDKCYEAEIKREERERHPINQREKHSFHRVEITDVPVDTKDKDDILESEFFDTRQAFLSLCQGNHYQYDTLRRAKHSSMMVLYHLHNPTAPAFVITCNICYLDIETGQGWHCEVCPEYDICNGCYQKDGGIDHSHKLTNHPSIADRDAQNKEARQLRVLQLRKMLDLLVHASQCRSAHCQYPNCRKVKGLFRHGMHCKTRASGGCVLCKKMWYLLQLHARACKESQCHVPRCRDLKEHLRRLQQQSDSRRRAAVMEMMRQRAAEVANNAG >OIW16915 pep chromosome:LupAngTanjil_v1.0:LG02:10924167:10924397:-1 gene:TanjilG_19220 transcript:OIW16915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAIIPTGKKRKAKGGGGSGGNTSGSTTIDAVNTAMIKTAPKLKQRPISFLLMLVFLFSPSKIMFLSAAAGYGGG >OIW17240 pep chromosome:LupAngTanjil_v1.0:LG02:3208387:3211520:-1 gene:TanjilG_27437 transcript:OIW17240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQYHCKGSSVSFAFGNEQCSPCPWKSLEKLKNENFNLRRVDFEKGSGCSSRFLIKAVATFEPKCLSSAKGNNCMDSKDLQPVRNPDPPGVVLEFSDDESEQLDEREKLRRMRISNANKGNTPWNKGRKHSPETLLKIKERTRIAMQHPKVKMKLVNLGHSQTTETRLKIGNGVKMRWERKRGRKLVQESCCFEWQNLIAQTSRQGYIGQEELQWNSYETLDEELEQEWLLSAEQRKQMVRTPGNRRAPKSLEQRRKIAAAITAKWADSEYRGRVVSALVKYHGSEVGAERKPRRRPGVRTQSIRKSPAMKKDANTSTYVKNGSNIVYPILLRKSKFPVYKDPLVNSKLEMIKNIRAQRAAAEIEHAQAVAQARLMIAEAEKAAKALEVAATKSPIARASLIETRKLIAEAIKSLESIDTQGITDNNAPSPALNEINNENGSKIEVPNQSHIVQVNGHKTLSSSDYKFSEDFGEFSVEKLLNDGGSEHHLKSTNGYASFPFSFNSQTLELSPLNQQRKTETEENLNSEYSSDTSSTVVEIQSIEDEETLSRSSNIATKKWICGRLVEVADDKQEEDQ >OIW17090 pep chromosome:LupAngTanjil_v1.0:LG02:5567880:5579617:1 gene:TanjilG_20194 transcript:OIW17090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNANNPDISYREVFSIAPKNIHDGNGAKSPPAFAFYSPPPPPPPPPPPPPSTSFGYPTPPRSPPPPRAPRMNQAPPKQEHSNEGTLRKSPSHHKLHHHPIHSPPPPPPPPHRMNAGKKVGLLFIGIAAIMQIGMAGFLVFKRKQLLKTNDTYETHA >OIW16901 pep chromosome:LupAngTanjil_v1.0:LG02:12061944:12075908:1 gene:TanjilG_01766 transcript:OIW16901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSFLCKARKLSSSPFSSQFLKYFRFAPSATITTTANNAENYFTEQNNNTIRRDTQVSSYKFGSRRRTASIGKTPHSLVHKANTVDSYSAVELALNSVVKIFTVSCSPNYLLPWQNKSQRESMGSGFVIPGKKILTNAHVIADHSFVLVRKHGSPTKYRAEVKAVGHECDLAILVVESEEFWDGMNSLELGDIPFIQEAVAVVGYPQGGDNISVTKGVVSRVEPTQYVHGASQLMAIQIDAAINPGNSGGPAIMGNKVAGVAFQNLSGAENIGYIIPVPVIKHFISGVEDNGKYVGFCSLGLSCQTTENIQLQNHFNMQPDMTGVLVSKINPLSGANKILKKDDIILSFDGVPIANDGTVPFRNRERITFDHLVSMKQPNEKAIVRVLRDGKEHELSVILQPLQPLVPVHQFDKLPSYYIFAGLVFVPLTQPYLHEYGEDWYNASPRRLCERALRELPKKENQQLVILSQVLMDDINAGYERLAELQVLKVNGTEVENLHHLCQLVENCSTDSLRFDLDDDRVIALNYEMAKVATSRILKRHRIPSSKSIDLNDAQSNLQVGLACSS >OIW16099 pep chromosome:LupAngTanjil_v1.0:LG02:21725656:21730629:-1 gene:TanjilG_18814 transcript:OIW16099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDLHLNGVVFGEDRPCASSPPSPPLPSSHLNPSSVTPGVWSVAENATRQILCRIQPTLAADRRRREVVDYVQRLIRYGARCEVFPYGSVPLKTYLPDGDIDLTALSYQNIEDGLVSDVHAVLRGEEANEVAEYEVKDVRFIDAEVKLVKCIVQNIVVDISFNQLGGLSTLCFLEKVDRLVGKGHLFKRSVILIKAWCYYESRILGAHHGLISTYALETLVLYIFHHFHHVSLDGPLAVLFRFLDYFSKFDWDNYCVSLKGPVGKSSLPDIVAEVLENGGNTLLTEEFIRSCVDSFSVPSRGVDSNLRAFPQKHLNIVDPLKENNNLGRSVNRGNFYRIRSAFKYGARKLGWILMLQEDRIADELNMFFANTPDGHEGTQGNDIQTPSMDLSFDSSCSSDTRVCSEDNMLLCLSTGSKKDQINGNQRNFESRNERERYLVKDVSSLAGLSLDSSLVGNVIPSCKLSGDSNNVTTSGVLGVTSTNSSNGKVENRISCSDTAANSVIGDEKEKYFMVSNSLRSLDENNMPTFNSNILENAANISENIFCHSDRYITSVPVGTEASKSLLDLAGDFDNNIQNLQYGRMCNGYTISPLLVPSPPRSPKIQNRNPWETVRQHIQINHSLHSQMNSNGVIGQQIYLVNHPTLPMATFGSEEKRKLRGTGAYFPTMSSRPYRDSKPVPGRGRSQAPGPRWQPQRHISNNGFAPAPEEWNLSVEGSSELSLEGYPAPDSAKARSSETYFFQPSMWGSCHANGFPHISEKPESGFASPQLHGSPRTEVSNHLDSGISASGGSPDIGTVAEKRSNSLTVVDHKR >OIW17350 pep chromosome:LupAngTanjil_v1.0:LG02:1173482:1179817:1 gene:TanjilG_22462 transcript:OIW17350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEHSVVNLHVAVNGEDEQKVKVGGDCNGVSHEKTENSDVSGKIENDVTGAFVDGSDVVVADGDLGESDLVAVIDGNGDGGAEVEVLKVGDGESHVTVLKVAESEDEAKCETVNVNNTENVSLDASAVSNCTSEEEIRVNGISNGTVSVDVDVAGENADLGSVTGDIGVADVSVVEVGVKDLSKINDHDHRIEAGVEDVQNGVADKELSELVVENVIHGDANGVNTIVMDSNDVVDVQSSVEDEIHGARNGVTTVTDANGPVDVLNGVDGDIHGDANGVAIIDANGEIGIHNSAENEVPGDSNEDTIIDANDEVGVYSSVKNHGDSNGVTLADANNVDIHSSVENEIHCDSNGETNADANAGVGVQDNVDNEIHDEVNVVDVSSAVEVLGDEKDGVTVLEGLEDENISNGEFESVAVESGLTVESVVPVVDGVSASDVEECTDEGDKASSGEKAQIEPLDSRGGDEKDSGTVLQERSVSVSHTDVDKTPEFENIVSTDVSYEKDIVTNESQAGESESAVVADVPNGLKDSNLSECFEKNMVSVHVDVVSATREVKECANEFDQNGLEKPQVEVVIDAYVEKSVDGVDVQNSLADPELGECTMKEVPVEVKNKPKSSEETIHDLVLEGEKVSALNSLDKTADDNVVSKVSGNEVESDAEPSADISDIKIIASEGKAEPSNNAVESEGEPFINIPDKKNNVVNSETKPSVQGEISVEGEVGNREEGNTRPVQEGSTAADSFDGQNVGSEVVKKPFYYLIRLPRYDDDENIQEQINDALKQVEEKTELRGKIRAEINSRKDICNECLQEFRAAKLAERTARDFLKSKRQEIDSVKSTISRLNNAISVGDIDNKIRNMEHRIQHETLPLNEEKQLIRQIKQLKQSHGELSSIIGKRDQSQKSSDQNDSIEEHIKHSELLKKEFDLLKNNLQKAETTTDAAKKKYDYEWDKLSELQGRFNVADKIRQDGYTKLRALKSQLHEKHSELLKKEFDLLKNNLQKAETTTDAAKKKYDYEWDKLSELQGRFNVADKIRQDGYTKLRALKSQLHEKKKYFWEYKGAVAKGQELAAEGKKEELQCFCIDQVERIMEFWNKNDEFRKDYIRCNTRSILRRLQTLDGRALGPDEQPPVIPNAFNTDRASKNTSPIMQSMSGQEKKSTFTESVDIKDEPVPKVVAQKTENSQTSKAKKPAKPAPLEKSLVVVPRWGDEPEDTIEEPVRTKEEEELILKAEKARKEEEAEKLKEKRRQEEIEKAKEAMERKKRNAEKAQQRAEREKRARKKEKRKAATTENTEQEPAPAPEILARSTEEIDQSEKPAEVTKRPKKPSQFTKQTKSKSIPLPLRNRGKRRIQPWMWWALIAVLIVAALFYIGNNISLRSWLQGYGF >OIW17163 pep chromosome:LupAngTanjil_v1.0:LG02:3984777:3985091:1 gene:TanjilG_18118 transcript:OIW17163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFRSKSCRDENLQIERYSGGRVIAPTNMEDLRCADSYAYPYEIGKELKLHKASKSWSFNDPELQKKKRVVGYKVYAVEGKMKGSFKKSFKWIKNTFTKVVYGW >OIW17543 pep chromosome:LupAngTanjil_v1.0:LG02:2979031:2979741:-1 gene:TanjilG_22655 transcript:OIW17543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAINCVSSTQIPIQRYGSFLKYPSKKFMSKHNSVPMKKAPILRITASIRNKVYEDRSQGIVCYQDEHGEITCEGYDEGPCFHRISKPTYNPSDAKMRNLLGQSWLQIVKGEEINDAVEGFCLKKELNCNGFNSLH >OIW17205 pep chromosome:LupAngTanjil_v1.0:LG02:3288104:3291914:-1 gene:TanjilG_02494 transcript:OIW17205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHNEEEKSTKTEKPSSPVTTDQTNQTNSHVYPDWAAMQAYYGPRVALPPYYNSAVASGHTPHPYMWAPPQMKRMSNSATYYLFQPMMPPYGPPYAAMYPHGGVYAHPGVPIGPPSHGQGVPTSPAVGTPLSIETTPKLSGNADQGLMKKLKGFDVLAMSIGNGYAESAEHGSENRLLQSGDTGGSSDGSDSNTSGANQTRRKRSHEGTPTTDEDGKTEIHVSPASKVALAASKMMSVVPANIAGKPVVELTNPSIVGTNSTSAPRPYAVLPAEAWVQNERELKRERRKQSNRESARRSRLRKQAESEELARKVDALNAENVTLKSEMNKLAESSEKLRVENATLKEKLKHVQLGQTENLLSRVNDNSGSNERISEEDNGFCDKKTNNSGIKLHQLLDASPRADAVAAG >OIW16364 pep chromosome:LupAngTanjil_v1.0:LG02:24052768:24053610:-1 gene:TanjilG_19080 transcript:OIW16364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKKGQESMSPSSNLLLLTIFILAFLSIAFGEQSCSEDFIRLIHKRNITNCKTLRTQGAEFAWNYHYNGTNSTILDILFGARINSNEGWIAWGVNPGERAEMIGTKAIIGIKHSDGSMIVNTYDITIETKHGCKLLPSSIGLEVTKKSMENDAVSELYTISARVVLPKEYNITRLNHVWQLGHAIGDGNQPLKHPTTLHNVDSTETINLSSSIGTSTGQYRSYLRSRNP >OIW17409 pep chromosome:LupAngTanjil_v1.0:LG02:1675335:1678583:-1 gene:TanjilG_22521 transcript:OIW17409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEAPVIIIGAGTSGIATAACLTKKSIPFIILDREDCYASLWQKYTYDRLHLHLTKQICELPHFPFPSSYPNYVPKNQFIEYLGTYMNHFKINPFYHRDVELAEYDEGVGEKYWRVKAKNKRLGQVEEYGGRFLVVASGETAEPYVPEVEGLGSFRGKVIHSTRYKNGVEFKDEHVLVVGSGNSGMEIALDLANFGAKPSIIVRSPVYFLSRDMMYYATILFNYLSPSMVEKLVVIASRIVYGDLSKYGIPFSNEGPFTMKMKYGRFPIIDVGTVAKIKSREIEVLPAEIQSISGNEVLFRDGKPQPFDSIVFCTGFKRSTQKWLKGGDDFLNENGFPKTSFPNHWKGQNGLYCVGLSRRGFYGANLDAQNVANDIASIISNSSTKQVYAM >OIW16893 pep chromosome:LupAngTanjil_v1.0:LG02:11698238:11700847:-1 gene:TanjilG_01758 transcript:OIW16893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGDMRRLKGDSVPIFSEAIGLILYRWSALRTAVENEWGGRDSRLKADQLAADLLSWFTHSKEPLYIDDLENMLEEAMLSLNVEVEDGSVEEVAENLLVIHEEFLDGNFRSISTLREANLKQAAQPRVTQIVNDDEDSEEENGGNDESVIGYDSSSNMNVDIPKYESNVNPANRQVSGSPPTVATEEDDGWTAVSNRRNKGRKN >OIW17443 pep chromosome:LupAngTanjil_v1.0:LG02:1909246:1910737:1 gene:TanjilG_22555 transcript:OIW17443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEFVYRISIAKEWEELQSNGSTFGGDLDKSSSFIHLSKLNQVLQTLKNFYSNTKEELFLLQIDAKKLGDGLVYEIVDGSNSFPHFYGPSRSFIPLPLDAVTKAEKLSLSDGQFHCSLLD >OIW16605 pep chromosome:LupAngTanjil_v1.0:LG02:20133265:20134979:1 gene:TanjilG_02811 transcript:OIW16605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATALSPREEFVYLAKLAEQAERYEEMVDFMEKVSAAAADSEELSVEERNLLSVAYKNVIGARRASWRIISSIEQKEESRGNDDHVATIRDYRSKIEEELSKICDGILKLLDSRLIPSAASGDSKVFYLKMKGDYHRYLAEFKTGTERKDAAENTLNAYKAAQDIANGELPPTHPIRLGLALNFSVFYYEILNSPDRACNLAKQAFDEAIAELDTLGEESYKDSTLIMQLLRDNLTLWTSDMQDDGADEIKEAAAKRDDEQQ >OIW17344 pep chromosome:LupAngTanjil_v1.0:LG02:1120191:1125685:1 gene:TanjilG_22456 transcript:OIW17344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVDNGTAAVVAALELTASSSWWSDINESPAWQDNIFHTLSVLYAIVAAVALVQLVRIQLRVPEYGWTTQKVFHLLNCLVNGVRCLVFIFFRGVEKIKPKIVHHILLDVPSLAFFTTYALLVLFWAEIYYQARLICGTFCVARAVSTDGLKPSFYAINAVVYAIQIILWLILWWKPISVLVILSKMFFAGISLFAALGFLLYGGRLFFMLQRFPVESRGRRKKLQEVGYVTTICFLCFLVRCIMMCFDAFNEDANLDVLNHPILNFIYYLVRRFVLFLMLAEILPSSLVLFILRKLPPKRGITQYHPIR >OIW17565 pep chromosome:LupAngTanjil_v1.0:LG02:127072:130781:-1 gene:TanjilG_08843 transcript:OIW17565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDESKHLLKLQCSVMNYDWGIQGCDSNVARLFSLNSRSQIDLHKPYAEFWMGTHDSGPSFLHHSSQSLTLKSWVSQNPHVLGDNVLHKWGSDLPFLFKVLSVGKALSIQAHPDKELARTLHKLHPDLYKDENHKPEMTLAMTQFEALCGFITLKELKAVLHTVPEVSELIGAENANLVLQTSDEDSEEELKSVLKAVFTLLMSADKDRITDAVNTLKCRLHKESEMRQLTDKEQLVLQLEKQYPADVGVIAAFFLNLVKLNPGEALFLGPNEPHAYLSGECVECMATSDNVVRAGLTPKHIDVQSLCSMLTYNQGSPEILRGAPLNPYVNRYIPPFEEFEMDRCVLPEGETVVFPAIPGPSIFLVTVGEGTMDTTGSPKEQVVTEGDVLFAAANTEISVTSSASKLHLYRTGINSRFYQLS >OIW16745 pep chromosome:LupAngTanjil_v1.0:LG02:16198420:16204449:-1 gene:TanjilG_10635 transcript:OIW16745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLISSSSAAATRCCMQSSPYHSFPSSRVPIFFCHVPNLRPVSVRSQPPGSDGSGGPIKRVSSEHCVGFSTSSSSASVIDFLTLCNRLKTTKRKGWVNLGIKGGESIADHMYRMSLMALIAGDVPGLNRESYCGDITPSDGVPKAEKSRREQAALNEMCDVLGGGTRAEEIKELWSEYENNSSLEANLVKDFDKVELILQALEYETEHGKVLDEFFLSTAGKFQTEIGKSWAAEIISRRKSLPANRLS >OIW17081 pep chromosome:LupAngTanjil_v1.0:LG02:5797398:5798105:-1 gene:TanjilG_20185 transcript:OIW17081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSLFQNPTFLQPLPPTSSAARRSYFPVRCGGPRSQRGPLVKGRVLSIEAIQAIQTLKRLHRTNPPDLSHALSPTLTRLIKSDLVAALRELIRQQNCTLAMRVFSTLRSEYGADLTHHAEMAKALGNCGMLEELDNLVVDLEHGGEIDCGDYKGLVNLIKAVVGAKRRESTVRVYGLMKKCGWGSVVVPDEYVVQVLVNGFKSFDEIELAKEVQDECNKAFANFSRAKLDKLKI >OIW16392 pep chromosome:LupAngTanjil_v1.0:LG02:24223970:24226741:-1 gene:TanjilG_19108 transcript:OIW16392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIWDYTRILLDKIQKFEPEYAGKIIGYFLSQDNCEQEMAKLASYHDYYIREVAFKAKMYLQSFAARPVMLPISPPLNPQQGFSHFSVISPRTPTSLPGFQVPSPSWDQLSVSRTNLELMAINSLDSMTRLTRQTELLSLENHIDSLDTETGGIAHDYFGLEASAAGFGVKTSRRLSSLSGFRVKTCHYFNKGFCRHGSSCRYYHGQVVSESFPQMYGNDAMTEDQMFSPRSLAQLEAEIVDLMKSRRGRPLSIALLPTAYQDKYNRMLKFDGYLTESQRHGKSGYSLTKLLVRLKNSIQLIQRPNGQHAVILAEDVSKYMQKGDFGQNISASLQIYLTFPAESTFTDEDVANYFNTFGCVDDVRIPPQQRRMFAFVTFVDPETVKRILETGNPHYVSGSRVLVKPYRDKPKDDERKFPDRIDHPVSYSPRYSESPLISRSCGRRSFLARQQVEYEKAFDLQRRGALAELKFAKNNLSASPRLGLSMDGSRASDDAFHLKPSESISHAPNEKPKQTDSNSSDENSIQGLNLPDSPFAFPMVSGI >OIW16372 pep chromosome:LupAngTanjil_v1.0:LG02:24100791:24102398:1 gene:TanjilG_19088 transcript:OIW16372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLLQSIAFSLILFIIISILKLSEAIDCGGMNIASNITVDQHDKGAFQTIQAAIDSIKSFNDQWVKIHINAGTYMEKVGIPEDKPCVFLEGDDMSNTIVTYGDHEQTDSSATFTSIPSNVIVSGVTFKNSYNLGPYSKLSNVTNGTFDDIKPAAAARIYGDKTYLYKCGFWGFQDTLFDQLGRHYFKDCYIQGEVDFIFGYAQSIYENCWINATQGESNSPGYVTAHGRQTSTDPGGFVFLKGYLIGNGKVNLGRAWGPYSRVIFHRMYMSQVVTPQGWDAWDYIGHE >OIW17023 pep chromosome:LupAngTanjil_v1.0:LG02:7400016:7401447:-1 gene:TanjilG_15769 transcript:OIW17023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFTGTLDKCTACEKTVYVVDLLTLEGIPYHKNCFKCTHCNGVLTMNTYSSMDGVLYCRTHFEQLFKESGNFVKNFQAAKSSEKQYDMNKTPSKLSSMFSGTLDKCSVCTKTVYPLEKVCSWRVPPNTFLLCCPRWCPLLQGPFCTTFHGERELQPCTPSCCTQENWFFYTS >OIW17275 pep chromosome:LupAngTanjil_v1.0:LG02:529880:551325:1 gene:TanjilG_22387 transcript:OIW17275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTFNNSSSRLRSSSLKKLPEPLRRAVADCLSSPLTYATEPSRTLRDYLKAPATIDLAYGAILEHTIAERERSPAVLKRCVTLLKRYLLRYKPSEDTLLQIDRFCSTVIAECDINSNQPLSRSLDRQSGSSITTTNTTPLPVYSFASEALVKSLSYVRSLVAQHIPKRLFQPASLAGPPSSSGQSLPTLSSLLSKSFNSQLRPSSVPETLKKDSIAPSASRLAKIEKVDKKDEHGFIAHDVLKWRWFEEPQSSSILAESDRAVNSQDMGAHNFLEVGAAALLVGHNESNMKGQPWKFFGTDDLPYLDQLLQSSPVTSITNSASSHPHLRAITVSKRTKLGSHQIWEESPVITFRPRARQLFQYRHYSEQQPLRLNPAEVHEVIAAVCSEASSPNTSVMTVSSRLSNNSGKPSMDVAASVLIKLVIDMYVLDSRTAAPLTLSMLEEMLSSSKTVCRVRAFDLILNLGVHAHLLEPMIVDDISTIEEEYSQESYYDSDTLLIAQGSRKANSQNKSGTVSAIDNFGSWILSILYEILLLLVQNEENEESVWASALSCLLYFVCDRGKIRRKRLEGLDIRVMVALIRTSRQNSWAELVHCELISMLTNMFYDVPDEVAEPVSSTAKFLVDQLDLIGGVQFIFIEYSLATSREERKNLYSVLFDYIMHQINETCIATGGNEYGHEEIQPLAALLAQTRAPEAFYISVKLGVEGIGEILRRSIASALSRYPNSERLNTLLETVAEKFDSIISSFTHLDKEFSQMIQKTKSHKFLENMEGVAPRNGIGLQAKHSWATLHSLLHSERISYRQNGYIWLGDLLIAEINGERDGDIWSSIKGFQQKIAQAGFQDSVDKSDVPLPIFLMCGLLKSKYNYIRWGFLYILERLLMRCKFLLDEHEMQQSSSRDLGHGIKDWHLEKAYAVIDIMSSALSLMFQINETERLNILKMCDILFSQLCLRVSPATASSIGDDVQQGRNLSSTISSKKSDSDNHALEQVTFHLGEHNKEEANRRSSFSNNYHMDRETASMAMLLQGRAIVPMQLIARVPAALLYWPLIQLAGAATDDIALGVSVGSKGRGNLPGATSDIRATLLLLLIGKCTADPVAFQEVGLEQFFRELLDDTDSRVAYCSSAFLLKRMMTEKPEKYQELLQNLVVKAQQSNNEKLLENPYLQMRGILQLANDLGIDL >OIW17381 pep chromosome:LupAngTanjil_v1.0:LG02:1448186:1450056:1 gene:TanjilG_22493 transcript:OIW17381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRKGDTDGASPGKIFIGGLAKDTTVEMFVKYFEKYGEITDSVIMKDRQSGRPRGFGFITYADPSVVDHVIQEDHVINGKQVEIKRTIPKSSSQANDFKTKKIFVGGIPTAVSEDELKSFFSKYGKVVQHEIIRDHATKRSRGFGFIVFDNDKDVDNILSDGNMIDMAGTQVEIKKAEPKKSSNPASLPPFTSDSRARSYNNAFDGFGDSYGSLSSSSYGPGSYRSMGGFGARYGDYGGYGGGDDFSGSFGGYGGAAGAAGGYAGYRAEPSFGYSSRYGSYMGALGGGYGGSGLGTYGRGGGGYGSYGGPGTGGGYESGPGAGYDGAGGMYASRGSYGGSSRYHPYAR >OIW17374 pep chromosome:LupAngTanjil_v1.0:LG02:1381862:1388890:-1 gene:TanjilG_22486 transcript:OIW17374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYFFETYLDLRQHSALKLPTLPRTLVGVISQDKFQKSRAYSLDKSRFHFVHEFVTIVMDSTILFFGVLPWFWKKSEHFVTLVGLNAENEILHTLSFLAGVMIWSQITDLPFSLYSTFVIEARHGFNKQTILLFFRDMIKSIVLSILIGPPIVAAIIVIVQKGGPYLAIYLWAFMFVLSIVMMTVYPVLIAPLFNKFTPLPDGQLREKIEKLAASLKFPLKKLFVIDGSTRSSHSNAYMYGFFKNKRIVLYDTLVQQCKDDEEVVAVIAHELGHWKLNHTVYSFVAVQILTLLQFGGYTLVRNSTDLFRSFGFDTQPVLIGLILFQHTVIPIQHLVSFGLNLVSRAFEFQADAFAKKLGYASALRSGLVKLQEENLSAMNTDPWYSAYHYSHPPLVERLAAIDKSDKKDD >OIW16845 pep chromosome:LupAngTanjil_v1.0:LG02:12763960:12768693:-1 gene:TanjilG_31246 transcript:OIW16845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEDIIHENPLFGGKISSTFPGRFQDISNIREVPDHQEVFADPSRDESLIFELLEYKPDVADNGSATWFLQDLAREQDAEGCVVIEQSGVLEAPGLIYRNAPAVVSTAVGQMTISKGRQGREAQNLVKVYLANLRLKGVETDVLITAYEPIVINPLSESADTVGAGAAVPAAQAGCKPMDDVFKLAVTSFKVHDWSLF >OIW16272 pep chromosome:LupAngTanjil_v1.0:LG02:23341214:23343562:1 gene:TanjilG_18987 transcript:OIW16272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNYCNKPVAHVSPASFVGIGSTKSQNKAKQNSNSSELKADVQTSAPNVDKSISNNLKSFTFNDLKEATKNFRQENLIGEGGFGFVIKGWIDENTYAPTKPGTGIVVAIKKLKPESFQGHKQWLAEVNYLGKLHHENLVKLIGYCSDGKNRLLVYEFMQKGSLENHLFRKGVQPIPWVRRVNIAIDVAKGVAFLHALDANVIYRDLKASNILLDPDFNAKLSDFGLARDGPTGDNTHVSTTVIGTHGYAAPEYVATGHLTPKSDVYSFGVVLLELLTGRRVVEDERIGFSEKTLVDWAWPFLSDTRRILRIMDARLGGQYSKKGAQAVAALTLQCLDIDPKLRPSMVNVVAALEALGSSNSIPRTPKCENHATKHSSHSQKSAGNSNIL >OIW17356 pep chromosome:LupAngTanjil_v1.0:LG02:1241524:1243395:1 gene:TanjilG_22468 transcript:OIW17356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNLYHLSFTLLHISKHSYNHPPIINTLTIRLASTISLSVDIKRCNSSISSLCREGKIDHARKLFDEMSQRDIGLWTTMISGYIKCGLIKEARKLFDRLDSKKNVVTWTAMVGGYIKFNQIKEAERLFYEMPMRNVVSWNTMVDGYARNGQTQMALDLFRRMPERNLVSWNTIITALAQCGRVEDAQRLFNKMQERDVISWTTMVTGLSKSGRIDDARVLFDRMPVRNVVSWNAMITGYAQNRRLDEALELFERMPERDMPSWNTMITGFIQNGDLNRAEKLFDKMAQKNVITWTAMMTGYVQHGLSEEALKIFNKMQAHDDGLKPNTGTFVTMLGACSDLAGLTEGQQIHQLISKTVFQDSAYVVSALINMYSKCGELHIARKMFDDGLLSQRDLISWNGMIAAYAHHGYGKEAINLYNEMQEFGFQANDVTFVGLLTACSHAGLVEEGLKYFDELLKNRCIQVREDHYACLIDLCGRAGRLKEAYNIIERLGKEASLSVWGALLAGCNVHGNEGIGKLVAKNILKIEPDNAGTYLSISNMYASVGKWKEAANVRMKMKDKGLKKQPGCSWIEVGNIVQVFVVGDKSHSQFERLGYLLLDLHRKMKKAGDKTDDDFLVGAEF >OIW16980 pep chromosome:LupAngTanjil_v1.0:LG02:8955382:8957088:1 gene:TanjilG_32847 transcript:OIW16980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEVKAVVPESVLKKQKREEEWALAKQTESEVAKKKRAENRKLIFNRAKQYAKEYSDQEKELIRLKREAKLKGGFYVDPEAKLLFIIRIRGINGMDPKTRKILQLLRLRQVFNGVFLKVNKATVNMLRRVEPYVTYGYPNLKSVRELVYKRGYGKLNKQRIALTDNSIIEQGLGKHGIISTEDLIHEVLTVGPHFKEANNFLWPFKLKAPLGGLKKKRNHYVEGGDAGNRENYINELIRRMN >OIW16728 pep chromosome:LupAngTanjil_v1.0:LG02:17133137:17133799:1 gene:TanjilG_14498 transcript:OIW16728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMVATFTMVFISTAMAYDTKAIGELAGVAVGSSVSIASIVAGPISGGSMNPARTLGPAIATASYKGIWVYLVGPITGALLGAWSYGAIEETDKPASAISLSSLSIKLCRHPTINETEQVGNNSHLSSV >OIW16348 pep chromosome:LupAngTanjil_v1.0:LG02:23941794:23942890:-1 gene:TanjilG_19064 transcript:OIW16348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLILIKLYTATSNCYNTTSNALVFISSSLSGPAKTKFLPSLSRFSVRRSCFFAQTRPRIGFNKPSMNLLSRLGFGGARAQENTDSSIPQGPDEDIPAPGQQFAQFGAGCFWGVELAFQRIPGVSKTEVGYTQGLVDNPTYEDVCSGTTNHSEVVRIHYDPKACSYENLLDLFWARHDPTTLNRQGGDVGTQYRSGIYYYTPEQENAARESLEQQQKQSNRKIVTEILPAKKFYRAEEYHQQYLQKGGRFGFRQSAAKGCNDPIRCYG >OIW17261 pep chromosome:LupAngTanjil_v1.0:LG02:432706:432993:-1 gene:TanjilG_22373 transcript:OIW17261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKKVSIMCAMVLVTLLLVEVSFKVEAVNCSPMELSSCLGAITSNSPPTSTCCQKLREQKPCLCGYIRNPALGQYINSPGARRVTSSCGVPLPTC >OIW17516 pep chromosome:LupAngTanjil_v1.0:LG02:2633721:2634241:1 gene:TanjilG_22628 transcript:OIW17516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKEGSTKGGRGKPKASKSVSRSQKAGLQFPVGRIARFLKAGKYAERVGAGAPVYLSAVLEYLAAEVLELAGNAARDNKKNRIVPRHIQLAVRNDEELSKLLGSVTIANGGVLPNIHQTLLPKKVGKGKGEIGSASQEF >OIW16325 pep chromosome:LupAngTanjil_v1.0:LG02:23776026:23777120:-1 gene:TanjilG_19041 transcript:OIW16325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCASNILSVIVQHALEAVSEIVNRVRESIHYIKSSQTVYEKFNVMSKEVGITSQKCLSIDNPLQWNSTYSMLEAALEFRDVFMLLQENDNGYTINLSDLEWERVTAITSYLKLFVEVTNVFTRSKYPTANIYFPELCDVKLHLIEWCKNSDEYISSLALRMRSKFDEYWEKCSLGLAVAAMLDPRFKMKLVEYYYPQIYGSTSAGRINEVLEGVKALYNEHSICSPLAFDDQGLAWQVGNGLLCLPSSEKNSRDRLMGFDKFLNETSQGEGGKSDLDKYLEEPLFPRNVDFSILNWWKVHTPRYPVLSMMARNVLGIPMSKVLPELAFNNSGRILDRDWSSLNPATVQALMCSQDWIRSELEN >OIW16713 pep chromosome:LupAngTanjil_v1.0:LG02:17608511:17612095:-1 gene:TanjilG_14584 transcript:OIW16713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDQDPLVTEPKSSSDASRIGEVKEWLAKTFEAAGKSVPEFEYTPRSVSHLYNLLTVSKAKDEAARLVARDFRQKASEYRSQAARIKEILENVGLAQESLPSNVVASAQVLANVANLLNIRDTELSSFLVAMGDISLRKTGVEEKRAKVQKESKILLDFTRKAIARLTYLKRTLAQLEDEVAPCEVQMENWKTNLQVMAAKERQYLQQCSNFKAMLNHAGYAPEVSHRVLVETAEHRKDLEKKTKPILDTLRSYQDLPPDKALAALAIEDKKRQYAAAEKYLEDVLQSALANSG >OIW17180 pep chromosome:LupAngTanjil_v1.0:LG02:4254587:4256012:-1 gene:TanjilG_18135 transcript:OIW17180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGIVVVFDFDKTIIDCDSDNWVIDELGFTDLFNDLLPTMPWNSLMDKMMLELHSKGITIEDIENVLQRIPIHPRIIPALQAAYDLGCDLRIVSDANLFFIEAILKHLGIREYFSEINTNPGYVNEEGRLRILPYHDFNKAPHGCSLCPPNMCKGLIIDRIQDSMSTKDEKRFIYLGDGAGDYCPSLRLKERDFMMPRKNFPVWDLICKDPLLVKAEIHCWNDGEEQEQILLQLINKISMDKNSQFISPDCKLQILSISNPEALPKVLSVRP >OIW16194 pep chromosome:LupAngTanjil_v1.0:LG02:22695409:22698315:1 gene:TanjilG_18909 transcript:OIW16194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKNSPQEWPEPIVRVQSLSKICKDSIPERYIKPLSDRPSIITSSDDDANNLPIIDLGGLYGGDPDTRASTLRQISKACHDWGFFQVVNHGVSHDLMDLARETWRQFFHLPMEVKQKYSNSPKTYEGYGSRLGVEKGANLDWSDYYYLHYLPLSMKDCNKWPHVAPSCREVFDEYGKELVKLCGKLIKVMSINLGLEEEYLENAFGGEDIGACLRVCFYPKCPQPELTLGLSSHSDPGGITLLLSDEHVYGLQVRKSNNWITVKPAPHAFIVNIGDQIQVLSNAIYKSVEHRVIVNSDKERVSLAFFYNPKSDIPIEPAKELVKPNRPALYTAMTFDQYRLFIRLRGPCGKSQVESLKSPR >OIW17252 pep chromosome:LupAngTanjil_v1.0:LG02:253529:255674:-1 gene:TanjilG_22364 transcript:OIW17252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSTLSSLTGLRSASSWFELYAAFSTFMMLLRTAINDLIPSQVRSFITTKLESFFSNIYQSNNTASLTINRIWDESSRNNELYQAVCNYLPSRVSQSYKSLKIGKLDDCKDLMFAVDATEEVADEFEGIKFTWMLDEGSSEKERRDLDKLAFKLSFDEKHRKIAMEKYIPHVLKTYEDFKSERKILKLNSWSNGYWGENELSHPATFETLGLDPELKQRIIDDLKRFLKRKEMYKRVGKPWKRGYLLYGPPGTGKSSLIAAMANYLKFDVYDLDLNSVYSNSDLMNAMRSTTRRSIIVLEDIDCNKEVNARTLKDEENSDCDYTQDAKVEDIKVERFTLSGLLNCVDGLWSSHGEERIIIFTTNHVEKIDPALLRPGRMDMHINLSFLKAKAFRILASNYFDIRDKHPLFEQIEELLEKTEFTPAEVAEQLMRNEDPDIAFEALLKFLSEVDMKREVKKGSESSNSEN >OIW16793 pep chromosome:LupAngTanjil_v1.0:LG02:14860237:14862574:1 gene:TanjilG_01358 transcript:OIW16793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTLSDFYTIQDASQHKTKEDCWIVIDGKVYDVTQYLDDHPGGDDVILAATGKDATDDFEDAGHSKSARELMEQYFIGELDTSTLIPAEKNSSENSVQLIKQYWAVPAAVVGISVVVGFLYLRKK >OIW17212 pep chromosome:LupAngTanjil_v1.0:LG02:3369687:3373454:1 gene:TanjilG_02501 transcript:OIW17212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLERVEDFNFNMDKVVEEFESLTKDAERVQRETLKKILEDNASAEYLQNLGLNGRTDPESFKACVPLVTHQDLEPYIYRIVDGDAASILTGKPITTISLSSGTTQGKPKYVPWNDELFETTMQIYQTSFAFRNREYPIKNGKALNFIYSSKQFKTKGGLLAGTATTNVFRNVSYKCGMKALQSQCCSPDEVIFGPDFYQSLYCHLLCGLIFRDEVQLVSSTFAHSIVHSFRTFEQVWEDLCTDIREGVLNSRVTVPSIRTAMSKILKPNPELANLVHKKCVKLSHNWYGLIQEIFPNAKYVYGIMTGSMEPYLKKLRHYAGELPLLTADYGSSEGWIGANVNPKVAPEMATYAVLPQIGYFEFIPLNEIELCIDSQPLGLTEVKVGEEYEIVITNPAGLYRYRLGDVVKVMGFHNTTPELKFIRRISLLLTINIDKNTEKDLQLAVEAATRLLGEQKLEIVDFTSHVDLSKEPGHYVIFFEMSGEASEELLSECCNCLDKSFVDAGYISSRKVKLIEALELRVVRSGTFQKILDHYLGLGAAVSQYKTPRCVGPTNTKVLQILSENVVQNYLSTAFN >OIW16289 pep chromosome:LupAngTanjil_v1.0:LG02:23465849:23466874:-1 gene:TanjilG_19005 transcript:OIW16289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHNSLVPSLSQTSDDAISSDHSPGSFATLHGGGSITGSGAIIGQPSLSNHFNNNNNNLPPPSDTTSNYYLLQRENMNNNQPQYGLVTPSKRPRGRPSGSKNKPKAPIIIRQESEHAMKSVVIEIPIGKDVIEGLINFARHHRVDISVLSGSGSVTDITLRHHVSCASVFPIHGTFRIISLTGSYIGVRFPSLASPNHPSFPPCSSFGICVAGPQGQVFGGVIGGRVMAASVVVVMAIVFKKPEFHRLSLINENVVDEGDEEGEKENDENNNNINGYAGIINGGHSGGANIVPNSNINNNGILMMPNMSGFGVSNSVGQLNRQMHVANMNMLQWNHLNHLQ >OIW16673 pep chromosome:LupAngTanjil_v1.0:LG02:18184774:18186941:1 gene:TanjilG_28730 transcript:OIW16673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VAQEWPGLPKGVKFDPSDQEIIWHLIAKVSEGNSKPHPFIDEFITTIEGADGICSTHPKNLPGVKQDGSISHFFHRAIKAYNTGNRKRRKIQGCDSGDLRWHKTGKTKQIKWNGVTKGYKKIMVLYASKTGKTNWVTHQYHLGTEQDEKDGEYVISKVFYQQDKLGDKDDEDISETSEATILNVDPITPKSLTPVPPCNEGPCSDVDLVTPKSAIPNPSCNGSSCSDVDLGQGTHLMPQMECLDEIITDCEGLEKTDVSTVETEHNKGMDNDENNADDGQKWWDSESQNLLSSQQLVEALSMCDDLLQSQSPTRDGKNGEHKDQPGLSIYAHLGPDYLKKDIEECQSLALDVANTDDTPSEFRLSQLEFGSQDSFIAWGGSKAI >OIW16685 pep chromosome:LupAngTanjil_v1.0:LG02:18437587:18439032:1 gene:TanjilG_28742 transcript:OIW16685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPFTNLFLYLLLLSSSTLTHSRTIPFNPKTTLLDAASSIKKTQNIFTLNLNNHLRSSFNSNPLLPTLSLELHSRASIQNPSHKNYNSLTLSRLARDSARVKSLQTRLDISLNRISKTDTQKQDSFTFNEIQGPIVSGTSQGSGEYFLRVGIGNPPISSYMVLDTGSDVSWIQCEPCSECYQQTDPIFEPSSSSSYAPVTCDAPQCKSLDLSECRNGTCLYEVSYGDGSYTVGEFATETVTLGSASIENVAIGCGHTNEGLFVGAAGLLGLGGGSLSFPAQLNATSFSYCLVDRDSDSASTLEFNSPLPRGAVTAPLRRSKEMETFYYVGLSGISVGGEMLPIADSSFQVDASGAGGIIVDSGTAVTRLQDEVYGSLRDAFVRGTSELTKVNGVALFDTCYDLSSKTSVEVPTVSFHFPEGKVLQLPAKNYLIPVDSVGTFCFAFAPTMSSLSIIGNVQQQGTRVSFDLANDVVGFSANSC >OIW17377 pep chromosome:LupAngTanjil_v1.0:LG02:1419305:1422136:-1 gene:TanjilG_22489 transcript:OIW17377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERMLLLFLVVLGASWACDSRELPNLDLWSNLAFTSKSGTSELSRIPDVCSLCEEYTIKALHYLNEKKTQNEIIDILHNTCYQFHSFHKKCISLVDYYAPLIFVEVASIQPEEFCNKANLCQNIANISSQAEQNSCGFCKDTVSALLLKLNDPDTQVEIIETLLKVSSTVEKYANKYKMVLKYGALGYVNVEKLLETTDICTTLHACKASRVINHKAFLSF >OIW16941 pep chromosome:LupAngTanjil_v1.0:LG02:10186112:10189616:-1 gene:TanjilG_08524 transcript:OIW16941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDHLVLYVDRPVPVEPLSQDPVQPSPEPSLPVDVAGPSDSALVESDCEGEGEEEPLLQMAECRICQEEDSISNLESPCTCRGSLKYAHRKCVQHWCNEKGDITCEICHKPYQPGYTAPPPCPRPEETTIDIGGGWRISGTPLDFHDPRLLAIAEAERQFLEAEYDGYAASNASGAAFFRSAALILMALLLLRHALFVTDADADAEDDPSTFFSLFLLRAAGFLLPCYIMAWAISILQRRRQRQEAAALAATQVAFVLQSGHRRGLQFAIAPGPTVVNTHQEQV >OIW16626 pep chromosome:LupAngTanjil_v1.0:LG02:19379514:19383557:-1 gene:TanjilG_01865 transcript:OIW16626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDKKRWLWKRKSSEKSPGETESSGSVSSHSERYSDEQEEPKESYNGSNQSPDVTSKVTACDEDDNVSSNISAQFPEEVTSKSVPTTGITNDGSLEGDENGENENIKNLKDGHLDDGLKNMSEKLSAALVNVNAKEDMVQQHAKVAEEAIAGWEKAEKEVAVLKKQLDVVTLRNSALEDRVAHLDGALKECVRQLRQTREEQEENIHDAIVEKTLDLESAKVELENKLVELQRKLDASNARSSIDIGMSQKVEYLEKENMALRHELLAKLEELEIMTIERDLSTQTAETASKQHLENIKKVAKLEAECRILKNIASRASYVNDHKSITASSFCVESLTDSQSDSGEPLNVVEIDTQKMSGSELNKCEPNCSDSWASALIAELDQFKSEKCRQQTPSSSVKIDLMDDFLEMERLVALPETKNINLVQEPVVANQCSNEETTLRVEFETMNQQMEELKDKLEKIEAEKAELEIALTNSEEHIEESRLQLREAENKLEELQRELENAYKSKQIVENYLLSMEADAQTLYAKVDLLEAEVVQEKALSDEIAMKCKDLEEELESKSVKVGLLEAEVDKERAMSDENAMKFKDLEEELESKSSKVELLEAEVDKERAVLDEIATKCKDLNEELESKSAKVDLLEIEVYKERAVSEEIAMKYKEFEEEILRSTASSYGEKKIKQEDLELAAGKLAECQKTIASLGNQLKSLATIEDFLIDTASIPASPILGARASGEMWKLHSNDTFSPKRDSISSRIADGSPCPSLNKNEESSPLSSSLSTSSAALPNHVSSEKSRNGFAKFFSRTKSGIRLEI >OIW17178 pep chromosome:LupAngTanjil_v1.0:LG02:4241337:4241903:1 gene:TanjilG_18133 transcript:OIW17178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATYGTIPTSPTSPSSIPNNLNYITHATQTIKDGLGTRHPWNLMFNLHSFNVPSEIPSALSRIRYNVSFFCMNYAIVVLLTLFLSLLWRPISLIVFTLVMAAWLYLYFLRDQPLVLFGRIVDDHVVLVFMALVTVALLLLTHASVNILVGVSVGVVVMVVHATYRKVDDLYLDEEEGLVNTVVDAATS >OIW16976 pep chromosome:LupAngTanjil_v1.0:LG02:8843871:8845389:-1 gene:TanjilG_32843 transcript:OIW16976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTVIRSAAQNLKSNYSPSSFLTILKNGTTTRSYASNVMTKSPFDSNILRILRNEIDYYSEYLPPPQPIKFKSFRVEERPSEQVITIRGKFGEREDIKIQATMYDGYQHVPVVEDDSSKLSLRLHLSLLVDISKGECGNDLQFVCSAWPDSLDVEKVYVLRRPHMPRMPYLGPNFRNLNAKIQEKFREYLDARGVNNELSAFLHEYMMNKDRIELLRWMDGLKYFMEK >OIW16253 pep chromosome:LupAngTanjil_v1.0:LG02:23174484:23175200:1 gene:TanjilG_18968 transcript:OIW16253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIHAGYRRDQVKVQVTSKPILRLMGERQIIDNRWSRLNIEFPLPSDYDTDNVTAKFEASKLYIKFAKLDKPKETTNNPLEDYPNPKEPSPKVDQKNANNNEVSDQKIPQKENEPSYEKEKSKTGTEAASIDKMTQKKVSTNNGLTEKTEAAISKVVQTKVAKPVSRSKTRLLDFTLSLGPSNHADIDNEDLGDLDSRINKRKKLVKGVLLILLAVVLGLYARNAFRKSSIGSKIQEL >OIW17506 pep chromosome:LupAngTanjil_v1.0:LG02:2519073:2523013:-1 gene:TanjilG_22618 transcript:OIW17506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVKTRAPGKIILSGEHAVVHGSTAVASSIDLYTFVSLRIATPSDDQDSLKLHLKDMALEFSWPITRIREAFPESTTVFSSMPTSCSMESARAIAALVEQQNIPEAKFGLAPGISAFLWLYSSIQGYKPATVVVSSELPLGSGLGSSAAFCVALAAALLAFTDSVLVDVNHQGWLSFGAKDLDLVNKWAFEGEKMIHGKPSGIDNTVSTYGNIISFKSGNLTHMKSTMSLKMLVTNTKVGRNTKALVAGVSERMLRHSDAMAFVFSAVDSISKELTAIIQSPTPDELSVTEKEAKIEELMEMNQGLLQSMGVSHTTIETVLRTTLKYKLSSKLTGAGGGGCVLTLLPTCTVVDKVIAELESCGFQCFTAGVGGKGVELSFVEPS >OIW17570 pep chromosome:LupAngTanjil_v1.0:LG02:112913:113524:1 gene:TanjilG_08848 transcript:OIW17570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAVKRSLRQFTFGTAKTAGRNSSGRITSFHRGGGAKRLQRTIDLKRNVSSSMGVVERIEYDPNRSSNIALIRWLQGVKPQLPRHRHSTEAKPSPVTPPRKVIEAEPTSDIRGVFEINAMLPPRVETTARDIFLSTFKRGGKREGEPLALGLPRIVVAAAKPEFFAAREKGEEGLLQVRNWKRNSDVWKNRNKRKAAISWHNI >OIW16755 pep chromosome:LupAngTanjil_v1.0:LG02:16131292:16132521:1 gene:TanjilG_06936 transcript:OIW16755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKSRSFIVHVSLMVALLATNIILADDNVPIPDDKSQLNKSATTVIKVLQDGSGNFKTINEAIKSIPKGNTKRVIVYNGDGTYNDKIRIEREKPFITLYGALGKMSTLTYGGTALKYGTLDSSTLIVESDYFVASNIIISNTELGVLGDEGMYVLTAHARDKNTDDTGYSFVHCDVTGIGNGTLLGRVWMSKSKVVFDYSNLGVVVNKAAWSNNFHPEYDL >OIW16455 pep chromosome:LupAngTanjil_v1.0:LG02:24599933:24601640:-1 gene:TanjilG_19171 transcript:OIW16455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHFVLLISRQGKVRLTKWYSPYSQKERNKVIRELSAVVLSRAPKLCNFVEWRGYKVVYKRYASLYFCMCIDDEDNELEVLEMIHHFVEILDRYFGSVCELDLIFNFHKAYYILDELLIAGELQESSKKTVARLIAAQDSLVETAKEEASSISNIIAQATK >OIW17175 pep chromosome:LupAngTanjil_v1.0:LG02:4152150:4152389:-1 gene:TanjilG_18130 transcript:OIW17175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDKETADNNDEVTAAGDNRGGRRQRWSQKLVVDYREDKRKERVFLNRKELGFNLELGLKIRLGPLFILRNLPRKAHLH >OIW16565 pep chromosome:LupAngTanjil_v1.0:LG02:20464981:20465778:-1 gene:TanjilG_17738 transcript:OIW16565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLNLHQQQYQSQSHLFHLSTESQTSEEGDSSGGPTQKPASSSGDGGTIEAVPKLRGRPPGSKNKPKPPVMLTQEPEPVMSPYILEVPAGSDVVEALKRFSVRKNKGLCILSGKGTVANVTLRQPSSVASATTTTTAVTFYGRFDILSISATIFPNSSIPIPDGFSISVAGPQGQVVGGFVAGSLIAAGTVFLIALSFNNMSYHRLPSEVDVRNNNSVSGDGVVQSLPVSGGGGAENGHVQGESMYSGNLPSDVIWAPTAMPPPF >OIW16731 pep chromosome:LupAngTanjil_v1.0:LG02:17184864:17197104:-1 gene:TanjilG_14501 transcript:OIW16731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKPHILVIPYPAQGHVIPLMELSNELVKHGIKVTFVNTDFTHKRIMKALQEKCVVGNEIQLVSIPDGLQDGDDRNDLGKLTEALFEVMPKKLEMLIEEINKSLSNKITCIVADGNLGWALEVSKKMGIRTVAFWPASASLLILFFSLQKLIDDGTIDSDGLRLDRNSGIITREDITYKIKQLLHDEEFQIRALKLKESAINSVKEGGSSNKNFKNFIDWIKACV >OIW16518 pep chromosome:LupAngTanjil_v1.0:LG02:20963896:20965698:-1 gene:TanjilG_32189 transcript:OIW16518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKRRELFYKYPSQNATSRRRNFTGRKLDVYGGLEEKAKDLSEVFRNEFTNKDTWIREDKYIMEEDEASLDYEFSAEKNEVPTTQTLYSEPPMCDLVISGAGFGGIEKEIEKNKDEKEAQEGINKVVELKEDDQKKLMDLGLAEMYRNKRLESLIARRRAWKLLKLHVENGLNGPIIPREIAPLLIARANRYDSPREFEVVDGIEMPGSAPSALRSPFDLPYDPNEEKPNLKGDSFDQEFFISLQKELQFCRHESFSYFSLEPRQNRAYARGRRFPGMGNEDWFEQLISKEGNENESKSLTPLSEIQETIHEEDGEGKTAMDGTKDEELENAHATNSISVHTIEPDLTTKMANVESSKVLENAGLTIPKPHDRVPSFPRSVINATNINDSLYESLPSPVDKNRVNTIFTIGRIRLTPSHSLASDLQVEVSEVGSPALTIDDIHETNTTTDEESVLYDGDIDRDINSDSEEMWGASFNSRGVRRVNEQDISEVHNWRDIASPLAPQIIDEENAADVSSMSSRSDMPDDTPTHARSSDHNIFGIVEECVGETDAPHLPVLQMC >OIW16592 pep chromosome:LupAngTanjil_v1.0:LG02:19912671:19913888:1 gene:TanjilG_02798 transcript:OIW16592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSGNSRSISSSGDEEYDSRVDHTTLLTSTFPNHPSTPYAQSSFFQTHHHHHNPSLFDLSSSYFHSLSQSQPNTNPNSFLNLDTTTSTSQGKRSEPNCTHPTNLQGVNQCLFPPPHGQGHDDNNGRVISVSSSATTNNATAARNSKKRTRASRRAPTTVLTTDTSNFRAMVQEFTGIPAPPFSGSSSYSRRLDLLPVSSSSLRTSASHHLDTTPSFNPFRPLPQKHHHQQNPLPSTLLHSNNMVDAIASSSTNNNNNFINYQQPPPDLGLPYHHHSQNIMLTIQQNHPNILAFHQTPPHYPLGNHALSGFRAKSRTSLSVQSLEELGINHGQVNNADLVGNGVSVHQDHGHVSSNHENGDRRELNFSKASAASRSLNHEMTLDNNNRTSITRVEGNVDSWICSSD >OIW17582 pep chromosome:LupAngTanjil_v1.0:LG02:50828:56106:1 gene:TanjilG_08860 transcript:OIW17582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASILSLSLSLLKSQYQSLEPHSIPNSSLSGKSNNKSGYCCVPSFDRRQILLSSVAIIAGSLCDNSKTGVAVANEYADMPALRGKDYGKTKMRYPDYTETKSGLQYKDLRPGSGPTPKNGETVVIDWDGYTIGYYGRIFEARNKTKGGSFEGDDKDFFKFKVGSQEVIPAFEEAVSGMAPGGIRRIVVPPELGYPENDYNKSGPRPTTFSGQRALDFVLRNQGLIDKTLLFDIELLKIIPN >OIW16899 pep chromosome:LupAngTanjil_v1.0:LG02:11998903:12001494:1 gene:TanjilG_01764 transcript:OIW16899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHFCHEAAIEFADRFNTALVCHRWNYLACHPRLWLRVDRSVRDLSEPGVFPNIETAISASRHVALIPGDTILIAAGGSHRVSNIQIDKPLCLIGAGEVPDDTTLICSRGSDSALEFLSTCKLANLTVKAELGCCLLHRRGRLTVDGCILQCETNPLDYLSCPIVSTSSRNEVIPSSMKGNKGDGVFVSQTRIEGGAKAVLTSGDLVLQRVRVIYARASLLFWFDVEQL >OIW16806 pep chromosome:LupAngTanjil_v1.0:LG02:15312609:15314079:-1 gene:TanjilG_01371 transcript:OIW16806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLTGHSSANYCLCKDGVGDQLLQKAIDYACGAGADCAPIMQNGPCFQPNTVKDHCNYAVNSYFQRKGQVQGSCDFSGATTPSVTAPPTSTSTCVFPSSPSNAGTSTTTTPTTTTPSTSSSTLKPPTGTSPGTGMGTGTTTGSPNVFGISPTSSTGFTDPNHGVVNVMGTNVLLLSLLLTFWLLALRV >OIW16308 pep chromosome:LupAngTanjil_v1.0:LG02:23668119:23669446:-1 gene:TanjilG_19024 transcript:OIW16308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLNLSTTASLHLKPSFLTNKHNNLFKFYHHSSSLTTTTCAQSQDTSDTDQDSSVSSAFGSLSSSRTQLDLLEQLTSTSSPVIGYESDGSYLKPTIREQLAKLVGEKDGDFSIPLGKNLKKVSAKFLTISQKRNIKRQAYLNEVSQRNDSVFFATIGAFVILPPIIILGIAILTGYVQLFP >OIW17414 pep chromosome:LupAngTanjil_v1.0:LG02:1715407:1719707:-1 gene:TanjilG_22526 transcript:OIW17414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELYNRNPSRTGSNQIEWHSPGTKTGLEESMWQLKLSSVDSYPERVGAPNCVYYMRTGFCGYGGRCRYNHPRDRAAVAAAVRATGEYPERVGEPPCQYYLKTGTCKFGASCKFHHPKHGGGSLSQAPLNIYGCPLRPGEKECSYYLKTGQCKFGITCKFHHPQPADTSLPASAPQFYQQAQSPTVPLPDQYGGASTSLRVARPPILPGSYIQGAYGPVLLSPGVVPFPGWSPYSAPVSPVLSPGAQPAVGATSLYGVTQLNSSTSAFARPYTPLPSSSGPSGSNQKEQVFPERPGEPECQYFLRTGDCKFGLACRFNHPRDRVVAQPLLNPIGLPLRPGVQPCAFYLQNNNCKFGSACKFDHPWGPVRYSTSTSSLIDMPVTTYPVGSLLSTLAPSLTSSALRPESLSGSKLESFTTRI >OIW16255 pep chromosome:LupAngTanjil_v1.0:LG02:23185188:23190141:1 gene:TanjilG_18970 transcript:OIW16255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMMQPQIILLKEGTDTSQGKAQLVSNINACSAVADVVRTTLGPRGMDKLIHDDKGSVTISNDGATIMKLLDIVHPAAKILVDIAKSQDSEVGDGTTTVVLLAAEFLKEAKPFVEDGVHSQNLIKSYRAASTLAIEKVKELATSIEGKSLDEKKSLLSKCAATTLSSKLIGGEKEFFASMVVDAVIAIGYEDRLNMIGIKKVPGGTMRDSFLVNGVAFKKTFSYAGFEQQPKKFLNPKILLLNIELELKSEKENAEIRLSDPSQYQSIVDAEWNIIYDKLDKCVNSGAKVVLSRLAIGDLATQYFADRDIFCAGRVTEEDLKRVAAATGGTVQTSINNVIDEVLGTCEVFEEKQVGNERFNLFSGCPSGLTATIVLRGGADQFIEEAERSLHDAIMIVRRALKNSTVVAGGGAIDMEISRYLRQHARTIAGKSQLFINSYAKALEVIPRQLCDNAGFDATDVLNKLRQKHALPSGEGAAYGVDIATGGIADSFANFVWEPAVVKINAINAATEAACLILSVDETVKNPKSESAQGDAAASAMGGRGRGGGFRGRGRGMRR >OIW17433 pep chromosome:LupAngTanjil_v1.0:LG02:1845866:1846231:-1 gene:TanjilG_22545 transcript:OIW17433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATMAFGTTLSLPKVLFKSSESPQNVQLIKLPRLLSMRPATTIVYAAPERISQKVEESIKNAKETCSDDPTSGECVAAWDEVEELSAAASHARDRSKELSDPLENYCKDNPETIECKTYDN >OIW16924 pep chromosome:LupAngTanjil_v1.0:LG02:11141980:11142789:1 gene:TanjilG_19229 transcript:OIW16924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFTRKPIFLPFILILCTHLNPPHLTESASDYTTLVYKGCSKETFTDPNGQYSQALSALFGSLVAQSTKTKFYKVTTGSGQNSITGLFQCRGDLTNSDCYSCISRLPVLSDKLCGKTIAARVQLLGCYLLYEIAGFSQTSGMQMLYKTCGASNAAGRGFEERRDTAFSVVGNGVVSGHGFYTSSYQNVYVMGQCEGDVGDSDCGECVKSAVQRAQVECGSSISGQVFLQKCFISYSYYPNGVPSRSSSSAASSSSSSSSSYSSFSSGKY >OIW16726 pep chromosome:LupAngTanjil_v1.0:LG02:17062660:17074113:-1 gene:TanjilG_14496 transcript:OIW16726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLHFSPSMRSITISSTNGFIDLMKIKVAARHISYRTLFHTILILAFLLPFVFILTALVTLEGVNKCSSFDCLGRRLGPKLLGRVDDSGRLVRDFYKILNEVKTGEIPADLKLPDSFEQLVVYMKDNPYDAKTFAFMLRGMMEKFEREIRESKFSELMNKHFAASSVPKGIHCMSLRLTDEYSSNAHARKQLPPPELLPLLSDNSHHHFILSTDNILAASVVVTSTVQSSLKPKKIVFHVITDKKTYAGMHSWFALNPANPAIVEVKGIHQFDWLTTENVPVLDALENESGIRNYYHGNHVAGANLSDTSPRKFASKLQARSPKYISLLNHIRIYIPELFPNLDKVVFLDDDVVIQRDLSPLWEIDLEGKVNGAVETCRGEDEWVMSKHFRNYFNFSHHLIAKHLDPDECAWAYGMNIFDLRAWRKTNIRETYHSWLRENLKSNLTMWKLGTLPPALIAFKGHVHPIDPSWHMLGLGYQNNTNIESVKKAAVIHYNGQSKPWLQIGFEHLRPFWTKCAKVHVDALEMMRQGCVLMRQGDAPKMCISLHLMRQLTRSHVSRMPRSSLDFTVRNPRSGALADLVICTRNPKSGALANSVTMYQKSLVMVLKLARSE >OIW16819 pep chromosome:LupAngTanjil_v1.0:LG02:13570892:13571206:1 gene:TanjilG_06859 transcript:OIW16819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGEKGKSIANVGKEDDGFECSVDYDHEHLAYLTPLKRLGSSTMFDDTQDLVFAQMSTTKNAKHVNNE >OIW16543 pep chromosome:LupAngTanjil_v1.0:LG02:20598143:20599375:-1 gene:TanjilG_08400 transcript:OIW16543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKIQQPFSSDPNSRDTSPTSRDVVVDNSNNNNNNSSFDEPHSATATYKVKFICSYGGKIHPRPHDNHLSYVGGDTKILAVDRNIKYSAFMTKVSSLANNANLCFKYQLPGEDLDALISVTNDEDLDNMMIEYDRVSRASPTKPARMRLILFPLINNAVSGSSPVQNFGPTEPKPERQWFVDALNSVQIPNLERSSPPQNPDFLFGFDKVYPKPPDPAPSPKDSDFAAKDSECGPEERKAVEENDAAAVASNQKQIQEELQKMQIANNNNEQQQQQQQQQQVLLHQLNEENVVDCYTQKNPEKVTPLPANSHLQVHPGPVHASFLPSSGYPEQVYLIQTASGLYQAIRPVTVTAAPLGPTYYAMPRMVPASSIAGAGLAYNGGSQVGVVNENGYSQVGVQHLPERSVGWN >OIW16418 pep chromosome:LupAngTanjil_v1.0:LG02:24413793:24423736:1 gene:TanjilG_19134 transcript:OIW16418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGALLSVVVDCYTYPCLCVDTMATTSVNLNKHLVRRGGTIELSSSFVEPVREWRKLCVNRSFKNKQRRDYHRRVPIVNELGGQYDDTFDDVKTQILNYFTYKAVRTVLLQLYEMNPPQYRLLYNFLATNNPTEGKRFIRSLAKEQQGLAERVMVTRLHLYGKWAKSDIAVYSNSDYLIGLGSYDITGPAADVNMMGYANTEQVASGVHLRLRSRAFIVAEPHGNRVVFVNLDACMASQLVTIKVLERLKARYGDLYTENNVAISGIHTHAGPGGYLQYVIYIVTSLGFVRQSFDALVDGIEKSIVKAHENLRPGSIFVNKGELLDAGVNRSPSGYLNNPAAERSKYKYNVDKEMSLLKFVDAEWGPVGSFNWFATHGTSMSRTNSLISGDNKGAAARFMEDWFERKGSVKTDSVGFQDDSIPRRISNIIPSLGKNHHKLLEIASSFQSPSGSPATRTSSVARRVRGAISQVDKPRFVSAFCQSNCGDVSPNVLGAFCTDTGLPCDFNHSTCGGKNELCYGRGPGYPDEFESTRIIGERQFRKAVDLFNEASEQIKGKVEFRHTYIDFSKLDVTLSKEGASEVVKTCPAAMGFSFAAGTTDGPGAFDFKQGDAKGNPFWNLVRDLLKTPNKEQIDCQSPKPILLDTGEMKLPYDWAPSILPIQILRIGQFVILSVPGEFTTMAGRRLRDAVMAVLSGKKDFGSNVHVVLAGLTNTYSQYVTTYEEYQVQRYEGASTLYGPHTLSAYIQEFKKLAKALISDQLVEPGPQPPDLLNQQISLLTPVVVDGTPIGVNFGDVIANVPKNATFKKGDKVTVTFWSACPRNDLMTEGTFALVEFLRGKDTWVPAYDDDDFSLRFIWSRPSKLSLRSKATLEWRIPLDATPGVYRLKHFGAAKSLLGSIRHFTGSSSAFVVT >OIW17585 pep chromosome:LupAngTanjil_v1.0:LG02:35898:36784:1 gene:TanjilG_08863 transcript:OIW17585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKVNFVKNGEVRLPPGFRFHPTDEELVVQYLKRKVFSFPLPASIIPEVDVCKSDPWDLPGELEEERYFFSTKEAKYPNGNRSNRATNSGYWKATGLDKQIVTSKQGVGMKKTLVFYTGKPPHGSRTDWIMHEYRLLNIYTTLSQIPMQNWVLCRIFLKKRGTTKIDIGKFNHNKKNKVRRNNTSKLVFYDFLAQKDNNTSSSSSDGSGITQVSTSINELDKQHEGDSSSCNSFPYSRRKP >OIW17398 pep chromosome:LupAngTanjil_v1.0:LG02:1605378:1606712:-1 gene:TanjilG_22510 transcript:OIW17398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDEISDAMNLDLNLGPGPEPLVGSTVDEAMNLDDWIEDPFHRFNEAVRLRSRQRWRWRHHLAILPPPPPSAAAFHVPEVPPHLHFHIPPEARNISMEFNQYLVNSGHGSPLQAGEGSVAVEERIEVEMPKVCEHNNGVMEDETAEKKDDVDTGSGNDRDFFDCNICLDLAKDPVVTCCGHLFCWPCLYRWVHLHSDAKECPVCKGEVILKNVTPIYGRGNNVRVPEEDVTLKIPLRPQARRVESLRQTLQRNPFAYPVDEMIRHLGSRIDRTRDLVQSDNPDNAREMAERNSLLLSRFLTSRGIRREQNVWTPPDGAVGLTQNNPPDAAGDSRRIHSRSLRRTQSYRARFASALSSSAEGIVDAYFSVQPFGRNQEQPPPFDDRDSFSSIGAVINSESQVDSAVEIDSMVSLSTSSSRRRIDASRVSDVDSGDSRAPRRRRLN >OIW16616 pep chromosome:LupAngTanjil_v1.0:LG02:19554119:19558996:-1 gene:TanjilG_01855 transcript:OIW16616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAEQLPSSLRSALTYSIGVLALRRPFLHKLLDYEDESFAFLMFILHSHTLRTTDASFSESLYALRRRPAHNSTPLRTRQKLLSLLFLVGLPYLKSKLHSIYNKEREARLQASLWGDENEGFYGGGSFEHHSVVETTLDNDDSPIRTRVTNTNRVQKIVGFCYPWLHATTEGFQFAYQLLYLLDATGYYSVALHALGIHVCRATGQELMDTSSRISRIRSRERERLRGPQWLKTLQGALLSCTYTVLDYAQTGLIAAVFFFKMMEWWYQSAEERMSAPTVYPPPPPPPAPKVAKEGIPLSPDRTICPLCSQKRANPSVVTVSGFVFCYACIFKYITQYKRCPITLMPATVDQIRRLFHDV >OIW16785 pep chromosome:LupAngTanjil_v1.0:LG02:15922544:15923591:-1 gene:TanjilG_05519 transcript:OIW16785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSEGKKFGGGPRELTGAVDLISQFKLLPHFEFFCKKPLPVSVADTHYLHNVVGDTEIRKGDGMQVDQLIQNTSSFRETSARIQPFDLDILKEAFQLRETGPIDLPPGEKGISTIAGKSKGESKDKEKKHKKHKDRDKEHKKHKHHHKDRSKDKDKDRDKKKDKSGHRDSSADHSKKHHDKVEKF >OIW16587 pep chromosome:LupAngTanjil_v1.0:LG02:19763306:19767039:1 gene:TanjilG_02793 transcript:OIW16587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLTGHQGDETIVTKEMDERSLSISHGQAPYVHRVGVPPRQNLFKEFQATVKETFFSDDPLHSFKDQTKSRKFVLGIEAIFPILSWGRNYTLKNFRGDIIAGLTIASLCIPQDIGYAKLANLDPQYGLYSSFVPPLIYAVMGSSRDIAIGPVAVVSLLLGTLLQNEIDPIANPTDYRRLAFTATFFAGITQATLGVFRLGFLIDFLSHAAIVGFMGGAAITIALQQLKGFLGIKKFTKKTDVISVMQSVFSSAQHGWNWQTIVIGASFLIFLLVARQIGKKNKKFFWVPAIAPLISVVLSTFFVYITRADKEGVAIVKHIEKGINPSSVKEIYWSGDYLGKGFRIGIVAGMIALTEAIAIGRTFASMKDYQLDGNKEMVALGAMNVVGSLTSCYVATGSFSRSAVNFMAGCETAVSNIVMSIVVFLTLQFITPLFKYTPNAILASIIISAVINLVDYQAAILIWKIDKFDFVACMGAFFGVVFASVEIGLLIAVSISFAKILLQVTRPRTAILGKIPRTTVYRNIQQYPEATKVPGVLIVRVDSAIYFSNSNYVKERILRWLIDEEEQIKGDYQTRIQFLIVEMSPVTDIDTSGIHALEELYRSLQKRDIQLVLANPGPVVIDKLHTSNFANMLGEDKIFLTVAEAVAYCSPKLAEDP >OIW17504 pep chromosome:LupAngTanjil_v1.0:LG02:2503063:2503662:1 gene:TanjilG_22616 transcript:OIW17504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKPSWFEAITKGITNTKNIKVGLVNIDARLDDKIYQQLHALQPKLDFVSIHFDHVNKTLKWDDFFPKWIDEEGHQPKYLEMPMPRLKDYEDVNIIVAKVPCVEEGIRDVFRLQVNLVVANLAIENGWVTKLERDTRKVYVVFVGSCGPMIEIFRCDDLLIQEGEYWVYQPDLNSLKHQMLMPLGSSQVAPGYAKTGML >OIW16950 pep chromosome:LupAngTanjil_v1.0:LG02:10030673:10037068:1 gene:TanjilG_08340 transcript:OIW16950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFGAAANTNPNKSYEVNQPPGDSISSLCFSSKANFLVATSWDNQVRCWEIQKNGNVLNSTPKASISHDQPVLCSAWKDDGTTVFSGGCDKQVKMWPLTSGGQAMTVAMHDAPIKDLAWIPEMNLLATGSWDKTLKYWDTRQSNPVHTQQLPDRCYSISVKHPLMVVGTADRNLIVFNLQNPQTEYKRIVSPLKYQTRCVAAFPDQQGFLVGSIEGRVGVHHLDDAQQSKNFTFKCHRENNEIYSVNSLNFHPVHHTFATAGSDGAFNFWDKDSKQRLKAMQRCSQPIPCGTFNNDGSIYAYAVCYDWSKGAENHNPSTAKNYIYLHLPQESEVKGKPRAGATGRK >OIW16618 pep chromosome:LupAngTanjil_v1.0:LG02:19537165:19539393:-1 gene:TanjilG_01857 transcript:OIW16618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASKKKSETKLTRTQSSLIRSRSSNDFIISSHHEDELVEEKNNNNKNQSKYSNKRNMPSYKTGSTRFITVPVLFFFIFCSSLLYYFFFYLGASEETSTSENVLLVLIFIAVVLYFANKNKGMIQKTILVLKHSWDMNLKRRGFYSKCGNNTEPVKWYIGDSGESENSEVEEEEKDDLLLVNGVEKEGVEFYSNGDFYEGEFHRKKCNGSGVYHYFVSGRYEGDWVDGKYDGYGIEIWARGSRYKGQYRQGLRHGYGVYKFYTGDSYSGEWFNGQSHGFGVQNCSDASSYVGEFNFGVKHGLGCYHFRNGDRYAGEYFGDKIHGFGVYHFANGHRYEGAWHEGRRQGYGVYIFRNGERRCGEWDAGNLKNSLPRLTDAILRAVQAARRTAENAINLCRKDDVVNKAVIAANRAATAARVAAVKAVQNRMGGKFCDTNV >OIW16734 pep chromosome:LupAngTanjil_v1.0:LG02:17309475:17311469:1 gene:TanjilG_14504 transcript:OIW16734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHSLQHGPFLFPQTHSTVLPDPSNFFSPNLLSSPLPTNSFFQNFALKNGDQPEYIHPYLIKSSTSSLSVSYPSHFFTTAFIYQVFIADLTISASVKNNSYSQHKHVISSYNDLSVTLDFPSSKLRFFLVRGSPFITANVTSSTPLSITTIHAILSFSSSNSLTKFTVKLNNSQTWLIYSSSPIKFRHSLSGISSDAFCGVIRIAVLPESNSKFEKTLDRFSSFYPLYGEAILKKPFSVVYKWEKKGLGDLLLLAHPLHLKMLSKKNSNVTVLNEFKYRSIDGDLVGVVGDSWLLKTEPVFVTWHSIKGVKKESYSEIVSALSKDVEGLSSSAITTSSSYFYGKLVARAARLALIAEEVGFLDVIPAISKFLKESIEPWLDGTFEGNGFLYDEKWKGLVTKQGSTDSGADFGFGIYNDHHYHLGYFLYGIAVLAKIDPSWGIKYKPQAYSLMQDFMNLGEKSNSDYPLLRCFDLYKLHSWAGGLTEFADGRNQESSSEAINAYYSAALLGLAYNDTNLFATGSTLASLEIHAAKMWWHVKFGENIYEEDFTKENRIMGVLWSNKRDSGLWFAPPAKKECRLGIQLLPLVPISEVLFSNVSFVKELVKWTLPALDIGDVEDGWKGFVYALEGIYDNESGLKNIRRLNGFDDGNSFTNLLWWIHSR >OIW16277 pep chromosome:LupAngTanjil_v1.0:LG02:23372419:23373426:1 gene:TanjilG_18992 transcript:OIW16277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGATHWCYACRQPIVLEGRDVICPDCDGGFVQELNEMQETPLQFTVPSQSGESNQTPDLFDAIHAFMGRRGSDPRFGLIDVVDNVMRRRMSGRHPNFDVRGRTGSVLAPPEQSWGVLSSGPYLIFHGQGPGFTLSNGGSRGGPRRVDFGDYFMGPGLEELIEQLAPNDRHGPPPAARSSIDAMPTIKITQAHLRSDSHCPVCKDKFELGCEAREMPCNHIYHSDCIVPWLVQHNSCPVCRIELPPQGHVCARGSRSLGGRNANSNSGNDSSSSGRESTNQNQGRRNPLSFLWPFRSSTSNNNNHHTETRGSGSSSTTPDQHDGTSYSGWPFDY >OIW17077 pep chromosome:LupAngTanjil_v1.0:LG02:5820397:5822865:1 gene:TanjilG_15660 transcript:OIW17077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTGWRRAFCTRDPESSLSDNKEQPISPNPRSCGRLNFFSNPSTPRLHQSQSQSQSPSLRCRTIAEAAQAAIKNESPRIQNKTTPRSFNSPKTLSTSNPSSHRTPLKLSLFKNSFKFRSNCGICLNSVKTGKGTAIYTAECSHAFHFPCIASHVRNHGSLVCPVCNATWKDVPLLVAHKNENDVVSIEKTRIESSSSVSKTNHVQPPQQKHVSDSVRSYDDDEPLLSPRFVPIPEADENGDEAQQQNDDVEFQGFFVDPKPSSSVKSYSDEQQINNGDSRTVEVKLMPECAIVSVSRSYESYALVLKVKAPPPPPPPPIRSGGAPVLDPSQRAPIDLVTVLGIGGSMTGVKLEMLKRSMRLVISSLGSCDRLSIVAFSAIPKRLLPLRRMTPQGQRMARRIVDRLVSVAGNGTTSVGDALRKATKVLEDRRERNPVASVMLLSDGQDERVQTSTKSNQRKNMSHVSSTRFAHIEIPVQSFGFGRTETGEEDAFAKCVGGILSVVVQDLRIQLGFQTDSGELIAVYSCNGRPTLLSSRAVRLGDLYAEEERDLLIELRVPLSSFENGTHHAMKLRYCFKDPATQEIVYGKEQSLIVPPPQSNRSNRIERLRNLFIATRAIAESRRLMEHNGDLNSAHHLLASARALLVQSGSGLDSDSSIEYVRRLEAELAQVEWRRQNQAEKENVQKEREVAALEDENGEPLTPTSAWRAAEKLAKMAMIKKSLNRVSDLHGFENARF >OIW16835 pep chromosome:LupAngTanjil_v1.0:LG02:14262920:14265155:1 gene:TanjilG_06875 transcript:OIW16835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSFSHYMDDEYEKLFRRVNPPRVVIDNEACKNATVIRVDSANKHGILLEVVQILTDLNLIITKAYISSDGGWFMDVFNVTGQDGNKVTDEAILNFITKSLGPESCVTSPMRSVGMKQIMDHTAIELLGSDRPGLLSEVSAVLTNLNCNILNAEVWTHNARAAAVIHVNDEETGSAISDPQKLSLIKELLCNVLRGGNKKRGAKTVVTDEVTHTERRLHQMLFADRDYDRVNDNDFDEKQRPNVNVVNWSKKDYSVVTIQSKDRPKLLFDTVCTLTDMQYVVFHANIDAEGPEAYQEYYIRHIDGSPVKSDAERQRVILCLEAAIERRVSEGLMLELCTTDRVGLLSDVTRIFRENSLTVTRAEVTTKGGKAVNTFYVRGASACIVDSKTIESIRQAIGNTILQVKGCPDESKSGPQNSQTRSLFSGLFKSRSFVNFGLVKSYS >OIW16754 pep chromosome:LupAngTanjil_v1.0:LG02:16049407:16050785:1 gene:TanjilG_06935 transcript:OIW16754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVTRPFSLYKKSPEALSLFPPEGPNSGILVIQDVMDMEPISCFGLGQYHEVKELPFPQNMNLELFYRSGISINRATHYHHVAFVPVLNQPLSSNKYYVIQQNGKHKGEAYINSKEEDLSTFDFYNSVCDESLHQLDISNTYQEFEIYPRRSKVTLRGGFSAKSVALDGYPPRFLSTRWKLSASASDDSSIIGEALGVNDALRARKPKLNSTLANKRSSESVSVGKWYCPFMFIKERTHKTLKEEMRKSMFYEMTLEQKWEEIYSCENGMIGNNTVNVDAVVQKEKVVVAGWEAMIDEMDVAEGLLWFNSFSNVGEKNSVGLSTAIFERMKWEQERVGWIEGKEKQIRVKKVEVFEGTNGWKNFVCYVLVETFVLKRLDGSFVLSYAFKHHHHLTSKWE >OIW17492 pep chromosome:LupAngTanjil_v1.0:LG02:2405185:2405538:-1 gene:TanjilG_22604 transcript:OIW17492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFYSYVFLLICATTLFLFMKVDAGIEGTHHFEWVNTKPQCKGSIAECMEYEEQEFDLDSEINRRILATTSYISYGALQRNTVPCSRRGASYYNCRTGAQANPYRRGCSAITRCRS >OIW16579 pep chromosome:LupAngTanjil_v1.0:LG02:19618908:19628212:1 gene:TanjilG_02785 transcript:OIW16579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVMFFEREVGGMQGMSVPTDFPVPVTTGPGISEQFGAAVEIDYFTQARKALSEQCPSEDKDENWSLTKATLPSGLAALLNQGSDNHKKRRKKSRSGVEKEKLSGGCGSNIWTETEGYFRDLTLADIDTLLELSSLRCSSVDSEYISIPVITENDPRVNVVVSSENEKGNGQNLDIVVVKNEDVFFGVDSVNDKVDSVSDKVDSVSDKVDSVGDKVDSVSDKDHATYDSSGCAASLEWLLGCRNKAYLTSERPSKKRKLLGGDAGLEKFLFTIPSDGNLSYCHYCGWGDLGLVSNHLLVCGSCKVAVHRKCYGVLGDVHCESWFCSWCEQKDEVSGMVNPCVLCPNKGGALKPVSRSGEGVEWVQFAHLFCCLWTPEVYVDDLKKMEPIANVDGIEESRRKLVCNVCKVKSGACVRCSNGSCRISFHPLCAREARQRMEVWAKDCSDNVELRAFCFKHSDLQENSSILPSGNSVVVRSEFSDANGLQVRLPVNNEYNLKSGCRNGDNLGIISDDRPYKLSHNEPEDGGLSNSRLNSHNISGCDAVRQLYNTETVGRTNENANASDTLKYALVWKKLIEKGKIDVKDVALQIGISPEALTPNIYEACMSPDVRLKIVNWLKAHVYTNAMHKSLKVKFKSINSSKDEEGATDASLISDPGFLDLASFKSVPRRRVTVSSIRILKDTEMICSSEAVSSDNGLPLDKLIVAEPNCENPGTFNEASIPDVTEKNLTMSEDTLSEIQGKAHQTDKSSLTECISDEKLTLCLLRASVLSEQHLPVCSNSEVPDSGSTRIDAISSYIHPYISKEVHHIHNRVLSKDIICPRGDGNCSWVESLRASKQYQHLTCLDDCKSDQVNMKQLVRAGEMGVLENSLEDEVEGELIYFQHRLLQNAARRRQIADNLIFSVTKSLPREIDTTHQQRWDAVLVNQYMFDLREAKKRGRKERKHKEAQAVLAAATAAAASPRVSSLRKDSLLESVQQESLIKLETLSQRDGVCSQPLPRAKDTLSRLAVTRTSSEKYSDFGLTSSNLSIEHPTLCDICRRPETLSRPILLCSGCKVAVHLDCYSGVKETTRPWYCELCEDLSSRTSGASAINFAEKPYFIAECALCGDTNGAFRKSSDGQWVHAFCAEWVFESTFKRGQANAVDGMDNVLKGVDICCICRHKHGVCIKCNFGNCQTKFHPSCARSAGLYMNLSSAPSKPHHKPQHKAYCERHGSEQRAKAEAQKHGIEELRNLRQIRVELERLRLLCERIVRREKIKRELVLCSQDMLAFKRDHVSRSVLPQSSFILPGRSSESTTTSLKVITEGNRLCSEVVQRSDDVTVDSSVSDEHHRFVVSMDTDPKLDDVCSTSHSHYNHKIAESVQYTGKQIPHRASAISHNLDDGGWRSKSRKLQHAETFGKELVMTTDEASMKNSMLPKGYAYVPADCLSNDDMQSNQDVDANGSVEHDG >OIW16561 pep chromosome:LupAngTanjil_v1.0:LG02:20510206:20511549:-1 gene:TanjilG_17734 transcript:OIW16561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGAPSFKPLFFITTILIILPIIIAITSDNDDTRETHNQIPKPNHYNHDDGDDNGGIATTAPTVSKHFDHDHQGKKSSEPLKPSTAIVVCVLTTVCSITFMILLYIKHCIDFNNASSSLNNPNNNSSEERKNSGVERSVVESLPIFRFGSLTGHKEGLECVICLKKLEDHEFLRLLPKCDHAFHVECVDMWLNAHSTCPLCRDKVDLEDVAVVDQQHLPSPSLIHNQSNNHNEDFDINNTVQPQNLSHGMSQRDSLVRESNDGFIEINLDQKEETSSNRRSLDDSAMRRIKGNREDMTLVTQGNEREKKKEEEHRLEHRIIVSNTTKSSSPYGSVPQKRWSNVEQCDLLYLTSDMIISESSATATSLSQQQQHRNKRMTLSCKTHGGGSMRNQNVEDEMEKGRVNMNMRSVSETTGMNRNRGREGEEERHEGVVKRWLAWISKTHSP >OIW17449 pep chromosome:LupAngTanjil_v1.0:LG02:1970118:1971302:1 gene:TanjilG_22561 transcript:OIW17449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAETFLFTSESVNEGHPDKLCDQISDAVLDACLEQDPDSKVACETCTKTNMVMVFGEITTKANVDYEKIVRDTCRNIGFISDDVGLDADKCKVLVNIEQQSPDIAQGVHGHFTKQPEEVGAGDQGHMFGYATDETPELMPLSHVLATKLGAKLTDVRKNGTCPWLRPDGKTQVTVEYYNENGAMVPIRVHTVLISTQHDETVTNDQIAADLKEHVIKPVIPEKYLDEKTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYVVRQAAKSVVANGLARRAIVQVSYAIGVPEPLSVFVDTYGTGKIPDKEILQIVKENFDFRPGMITINLDLKRGGHRFLKTAAYGHFGRDDPDFTWEVVKPLKWEKPQA >OIW17231 pep chromosome:LupAngTanjil_v1.0:LG02:3743493:3750402:-1 gene:TanjilG_02520 transcript:OIW17231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGKRSSRIKEKEELSSILRKSWYNLRLSVRDSSRVHTWDAILLTASSPQQALLYNSHLLRAKRLGRIAPSTVTLAVPDPGGHRIGSGAATLNAIFALATHYNQQLGLDQVEITNGIDTETGSHDISHPAVINFMAKKHILLLHAGGDSKRVPWANPMGKVFLPLPYLASDDPDGPVPLLFDHILAIASCARQAFGNEGGMLTMTGDVLPCFDASVMTLPQDSASIITVPITLDIASNHGVIVAAKPEHSTQNYVVSLVDDLLQKPTVEELIKSKAVLVDGRTLLDSGIIAVRGKAWLQLVTLACSCQKMISELLKSKKEMSLYEDLVAAWVPAKHEWLRKRPLGEELVNKLGEQKMFSYCAYDLMFLHFGTSNEVLEHLSGVGSELVGRRHLCSIPATTASDITASAIILSSKIAPGISIGEDSLIYDSSTSGRIQIGSLCIVVGVNINLDDSITDGDSIKFILPDRHCLWEVPLVGSGERVLVYCGLHDNPKSSLSRNGTFCGKPWKKFLHDLGIQESDLWGSSGTDEKCLWNSKIFPILPYSHMLKVAMWLMGSAKQNNEYMISLWKSSHRISLEELHRSIDFSTMCVGSSNHQADLAAGIAKACISYGMLGRNLSQLCEEILQKEGSGIEICKDFLAMCPKVQEQNSNILPNSRAYQVQVDLLRACNDESTATKLEHKVWAAVADETASAVRYGFKEHLSESPGSLSCKEYRTNHHDGCTVPPFYPRTVKVELPVRVDFVGGWSDTPPWSIERAGCVLNMAISLEGSPPIGTTIKTTKTTGVLITDNEDNHLYIDDYTCISAPFDGDDPFRLVKSALLVTGIIHDNILEDMGMHIKTWANVPRGSGLGTSSILAAAVVKGLLQIIGGDDSTENVARLVLVLEQLMGTGGGWQDQIGGLYPGIKCTSSFPGIPLRLQVTPLLASPQLVSELQQRLLVVFTGQVRLANKVLQKVVIRYLRRDNLLVSSIKRLVELAKIGREALMNCNIDELGEIMLEAWRLHQELDPYCSNEAVDKLFSFASPYCCGYKLVGAGGGGFGLLLAKDAECAKELRQKLEAEKHLEVKIYDWQIFL >OIW16696 pep chromosome:LupAngTanjil_v1.0:LG02:18673485:18677360:-1 gene:TanjilG_28753 transcript:OIW16696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSSTFRFRSQSLTTQIPISPIKSPFKHHQLHFSTKFNTKPKSTTSLIVSAKKKAPIEGVSDELNAIASYNLDFAYTRRRVRDAFAEVQLQLDHCLFKTAPDGIRTEEWYERNSRGLEIFCKSWIPESGVPIKASVCFCHGYGDTCTFFFEGIARRIAASGYGVYAMDYPGFGLSEGLHGYIPNFDDLVDDVIEHYTRVKFRPEVRGLPHFILGQSMGGAVTLKAHLKERNNWDGVMLVAPMCKIADEVLPPDAVMKGLALLSKVIPKAKLFPNQDLAELAFREPSKRKLAVYNVICYDDQPRLKTGMELIRATKEIESQVQEVCAPLLIMHGAEDKVTDPLVSQFLYEKASSNDKTLKIYEGGYHCILEGETDDRIFAVHDDIISWLDARVKK >OIW17147 pep chromosome:LupAngTanjil_v1.0:LG02:4689147:4691311:1 gene:TanjilG_21124 transcript:OIW17147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQDWNRTALLVIDMQRDFIEDEAPLLVKGGKDIVPNVIKAVEVARHRGLHIVWVVREHDPLGRDVELFRRHLYTAGRVGPTSKGSAGAELVDGLVIREGDYKLVKTRFSAFFATHLHSVLQGAGINSLVITGVQTPNCIRQTVFDAVELDYQSVTVIADATAAATPDIHLGADPGEGNPWPGPSPPHFDDFHGIIITQLCLIRKQIS >OIW16948 pep chromosome:LupAngTanjil_v1.0:LG02:9988019:9990449:1 gene:TanjilG_08338 transcript:OIW16948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCKKGSLNGVVVGSGSVPVYLNVYDLTQINVYAYWFGLGVYHSGVQVHGVEYAFGAHEYPSTGIFEGEPKKCEGFRFRKTILIGKTDMVPGEVRGVMEELAAEYRGNAYNLITKNCNHFCNDACVKLTGNHIPSWVNRLARIGFLCNCILPVTLSSTKVRHHKIEDKQIQCKGETQVLTNESNKVTPSNSISSSTSSPTTTNSGRERRGRSRSRRRRPVPPSSPLIVESSTS >OIW17546 pep chromosome:LupAngTanjil_v1.0:LG02:3077368:3080362:1 gene:TanjilG_22658 transcript:OIW17546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVGKLLREVPKEIEEINEELEIIQASINAADRMTATAEEEVNIGDIGMKRNVKHMRVAALRIKEVIEDYTIIQQQQPQSDLGCIAVLYAIANFVKTMIPRLRISYEIQDIKSSIRQINERSCFVKGSSSGSQNVPPHHALRTNALYAEEDDVVGFEIPRDNMIGWLKKERLDRSVIAVVGMGGQGKTTLAKIVYEKVKRDFDCYAWITVSQVYTIEGLLRTMWEKFGENKKEASRMDQESLTEKVREYLGQKRYVVFFDDVWKKSFWTDIESIVFDNKKKSRIVITSRRKDVARLCKKTSLYTLKLRPLSQQQSFELFWKKAFQNEPDGLCPTHLQDISSKIVEKCEGLPLAIVAIGGLLAGEENNSCEWQKLCRSVVNELDKYPNSYGITNILGLSYDVLPYYLKPCFLYFGIYPKDYEVNYKRLIRLWIGEGFVPLDKKFGTLEEVGEQYLKELVQRNLVQVSSFSIDGKPKSYRVHDLLHDIIITKIKDSKFCHFLGKDVLSIYDTSIQRIQIGIYCNNDDFLKINNYGGTSITSIHILGDEGIRKEFPRIIPQKYKRLKVVDYNWVDNIPEYFVHSYHLRYLRFSGGVNSLPTSIGNLVNLETLDLKWTNVKELPIEINKLRKLRHLLLPMSRLIGGIGGLESLQTLHCVDTRGWNEYEIGKLGDLMQLRSLGLMNLEGKYVSIFCSTIKKLKYLEKLYIHATGTNTNWDITLLPTLQRLKLEGKLEMFSEWIPKYQNLVRLSLTFSKLNDPMKSLEHLPNLLSLHLWDAYDGITLHFENGMFQKLKNLDLIRLKSLNSIHIGEGALPSLKQLTLQDIPNLGKVPSGMDNLRNLEVLWIKEMPEEFVNGIRKNVCIHNNSIHNNSIDNDFIHNNSIRNNSIDNDYIHNNSIHNNSIDNDYIHNNRWKSSLLMHVSKIGLQHFRADQGQSSYSSYNYF >OIW16690 pep chromosome:LupAngTanjil_v1.0:LG02:18567688:18570181:1 gene:TanjilG_28747 transcript:OIW16690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLFLPTTLSATSNSKTTLSFSSSLSSTPLPFRRIPISNPRRRYSSAIQSKIREIFMPALSSTMTEGKIVSWIKSEGDVLSKGESVVVVESDKADMDVETFYDGILAAIVVGEGETAPIGAPIGLLAETEAEVAEAKAKAAKSNSPAAAAPIPQEISNPAPAVSPPPSPPSKAVYEPRKTVATPNAKKLAKQHKVKIESVVGTGPFGRITAADVEAAAGIKPAVETSNVASAPVTSSPPKAAAPRAVSASSPAPIPGSSIVPFTTMQSAVAKNMIESLSVPTFRVGYPVITDALDAFYEKVKPKGVTMTAILAKAAAMALVQHPVVNSTCKDGKSFTYNSNINIAVAVAINGGLITPVLPDADKLDLYLLSQKWKELVDKARAKQLQPHEYNSGTFTLSNLGMFGVDRFDAILPPGQGAIMAVGASKPTVLADKDGFFSVKNKMLNHAPL >OIW17580 pep chromosome:LupAngTanjil_v1.0:LG02:66243:70501:1 gene:TanjilG_08858 transcript:OIW17580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSYEAIKNIDEDEDVEMGKQQISLQTHTQRLLSLDVFRGLTVALMILVDEAGGLLPALKHSPWNGLTLADFVMPFFLFIVGVSLALAYKKLPSRVVASRKAILRALKLLALGLFLQGGYFHRVGDLTFGVDLKQLRWMGILQRIAVAYLLTALCEIWLKADDTVKSGSSLVRKYRYQWSVALVLSGLYLCLLYGLYVPDWEYQVPTEPSSAPMTFSVKCGVRGDTGPACNAVGMIDRTILGIQHLHRRPIYARMPECSINSPDNGPLPPDAPAWCQAAFDPEGLLSSVMAIVTCLIGLHYGHIIIHFKDHRVRMLYWIIPASCLLVCGLSLDLFGMHINKVLFSFSYMCVTAGAAGILFVGTYLMVDVCGYSRLTMILQWMGKHALMIYILVGCNVFPVLIQGFYWGKPQNNLLKLIGVGS >OIW17339 pep chromosome:LupAngTanjil_v1.0:LG02:1077208:1080832:-1 gene:TanjilG_22451 transcript:OIW17339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHLKIQGSLPKELVNLTSLQIFEFSNNDLTGPVPYMPMSLQRFSINNNNFDSMPNDFFKGMTNLADVRIDYNPFSQWNVPDSLQDCVSLRSFSGMNASFVGRIPGFFGKDGPFQGLVYLALCFNHLEGGLPSSFSGSSMERLLVNGQQSMNKLNGTIDVLQNMTLLKQIWANGNSFTGPIPDLSNHEQLYDVNLRDNKFTGVVPSSLIDLPSLTVVNLTNNYLQGPLPKFKIGVRVDNDIVRGGNRFCTKDVDEPCSPLVNALLSVVEPLGYPLTLADSWKGNDPCARGNNEWLGIVCSGTPSNISMINFQNRGLSGNISPKLASFTTVTRLLLANNNLTGTIPAELASMPALKELDVSNNQLHGQIPSFPKEVVLKTSGNPDIAKDITPPPVPSPVPSPGNLPVPSPGTVPSPPVPSPPVSSPPVPSPGNHPAPSPGNHPVPSPGNHTVPSPGNHTVPDSGDNPGGEGKKLNVGVIVGIVVGVVVLLVLGVMLCIMFIRKHKKASKVESPSSIAAYPYHSGDGKPLKMSAAGGDGGGGYGAFSSGSSVQNGEAGNMVISIHVLREVTDNFSARNILGKGGFGTVYKGELHDGTMIAVKRMEPGIMGEGEKGLTEFKSEIAVLTKVRHRHLVALLGHCLDGNEKLLVYEYMPQGTLSEHLFERKEENGLKPLDWKRRLIIALDVARGVEYLHSLAQQIFIHRDLKPSNILLGDDMRAKVSDFGLVRLAPEGQASFETRLAGTFGYLAPEYAVTGRVTTKVDVYSYGVILMEMITGRKAIDNSQQEENVHLVTWFRRMILNKDSLEKVIDPAIDVDQEVLDSLRTVAELAGHCCAREPYQRPDMSHVVNVLAPLVEIWKPTESSLDDIYGIDLDMSLPQALNRWQALEGRSTLSSSLYSSDHTHASLPAGADGFGDSIIVGR >OIW17346 pep chromosome:LupAngTanjil_v1.0:LG02:1131244:1133694:-1 gene:TanjilG_22458 transcript:OIW17346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYPCSNKALGCFFLFSLIVSSLSTFSYAHLRILEEEGIHSTKHFVFDDVTYQVVDGISLSPESGPDTSYDAEPPTTFLGNNGDIAPVPGPEFEPGTYGAESPNGGNDYNDNKIVSNERLKNAYVALQAWKEAIYSDPFNTTGNWVGEDVCSYNGVFCVEALDDPKLNVVAGVDLNNADIAGHLPEELGLLKDVALFHINSNRFCGIIPESFENLTLMHEFDVSNNRFVGGFPSVVLKWQNLKYLDIRFNDFEGCLPPELFEKDLDAIFLNSNRFTCNIPETLGKSNASVVVFANNNFTGCIPKSIGNMKNLNEIVLLNNGLGGCFPKEIGMLENINVLDISNNGLVGTLPNLESLKKVEVIDISHNKLSGYLSNNICQLPLLFNFTFSYNYFNGEAQTCLSSSSSNVHFDDLNNCLAGKKNQKKYKDCLPVLTRLMDCSKECGGGGNNVPSIPKAQPPPTPKDETPPSPKVEPPPTLPPVEDIPPSVLPVSPPPVLVQPPPTFVHSPPPPVQSPPPPVFSPPLPVHSPPPPPIHSPPPPIHSPPPPVSSPPPSVHSPPPPSVHSPPPPSVQSPSPPVNSPLPPPVNSLPPPSVHSPPPPVHSPPPPVNSLPPPVNSLPPPSVHSPPPPVHSPPPPVNSLPPPSVHSPPPPVHSPPPPVNSLPPPVNSLPPPSVHSPPPPVNSLPPPSVHSPPPPVHSPPPPSVHSPPPPVNSPPPPLVHSPPPPVNSPPPPPPVNSPPPPVNSPPPPPVHSPPPPPVHSPPPPVNSLPPLVHSPPPPVNSPPPSPSVPKWDDSIILPPIFGSEYRSPPPPSIVGY >OIW16828 pep chromosome:LupAngTanjil_v1.0:LG02:13987333:13994722:1 gene:TanjilG_06868 transcript:OIW16828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQIVDVPDTPDRLTTRYGDRKYVGKPDVDIMCMNKRDRGFLASDEINNCSNYITLSSSEKSCPSQNAPMFRSAQREKILGRGTTHSIVPEKMDKGKTAISNSPCKSSHHGPVSVLRLNEENGKSQQLKSTLSHHGSRNSATGDMKEVKASFGNSSLPFIADSSNAYAFRGKCNKTLSGPNTSVDHGKSIALSSDSHCPQKIEKQVSLNPLLSSSPRVRGQKRLVRNGCIAPHNIATRAKQSTGQNSHQPIDIEQSHAGHSVSSKIKSPISVDDIVAEERDSGRVKGKGVLIHPSSLGLNAGTIHTACRSAIYCEEVGGTSDAPRNSIKNSEGQCVTNDGRYISRQNRNRMDRRDTRGGQSNYRVSGSLLDHTAQATSLVIPEANHLTGRHPTTDVLTKRQRKRGSASGSHNGTSFDSEIMFLGSSGESSRSSHRPVLSSEVVELLSEPRSTNRISLDLFDNDNNSSDARAQQVEADEILARELQEQLYRDDSFEGRGMRVPREPSNRQPRPRTRQNPSNRRRAVPQVSLANRTSQLRNRTIRRSLTPHISTRGRYPPFPLDMDLDMRLNILEALEDSVGGLGDIGMADDIFHVGRDFNEEDYEMLLALDEGNHRHTGAASNQINGLPQSTIQTDNFTEVCAVCLDTPVKGETIRHLPCLHKFHKDCIDPWLRRKPSCPVCKSSIT >OIW16380 pep chromosome:LupAngTanjil_v1.0:LG02:24146941:24147246:1 gene:TanjilG_19096 transcript:OIW16380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHTSNNILVQWLVAAMLIAMLGGTQGVVFCNIDSNNINVCRAAVTGQHPPQPTGKCCALVRQANLPCLCSYKSLLPSIGINPTNALALPAKCGLRTPPGC >OIW17034 pep chromosome:LupAngTanjil_v1.0:LG02:7136247:7152030:-1 gene:TanjilG_13853 transcript:OIW17034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKVFNKLRNLDAYPKINEDFYSRTLAGGVVTIVSAAFMLFLFFSELRLYLYTVTESKLLVDTSRGETLHINFDVTFPAVRCSMLSLDSVDISGEQHFDIRHNIMKKRIDANGTVIEVKKDGLGAPKIERPLQKHGGRLGHDEEYCGSCFGAEESDHHCCNSCEEVREAYKKKGWAMTNTDLIDQCKREGYVQRVKDEEGEGCNIHGSLELSKVAGNFHIANGKSFLHSAIFLADLLGLQDSNFNISHQINKLSFGDDYPGLVNPLDGILKLLSPYNRKGGIVQIERPLQKHGGRLGHDEEYCGSCFGAEESDHHCCNSCEEVREAYKKKGWAMTNTDLIDQCKREGYVQRVKDEEGEGCNIHGSLELSKVAGNFHIANGKSFLHSAIFLADLLGLQDSNFNISHQINKLSFGDDYPGLVNPLDGILKLLSPYNRKGGIVQVVPTIYKDIRGHVINSNQYSVTEHFKSTAEGAFPGVFFFYDISPIKVTFKEEHLPFLHFLTNICAIIGGIFTIAGIVDSSIYYGQRTIKRKREIGNISACGDKAWARLPFSDFTKWEPHALD >OIW16854 pep chromosome:LupAngTanjil_v1.0:LG02:13240483:13242410:1 gene:TanjilG_31255 transcript:OIW16854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHQNMQNQCVKFILSTDAKPRLKWTPELHQRFIEAINQLGGAESELGKTQQLETCSDNKQEIKSSDYDCNREINVGAQNQIAEKLQITQSLQMQMEVQRKLYEQIEVQKHLQLKIEAQGQYLQSVLKKAQEVLGGYNSSTVGIELTKAELSHLVTIINNDSPSSPISALTETKALSLNCGERKQGIGTTCSLESSLTSSESCGRREEKQLMESNNTNSLQLPLMEIKPEDKESNGASSNEVSSRKRSGATDSDGSCVEQPCDKRSDNKLKKPKLLELIDLNNQYESDMDSDLNCSSSFWEP >OIW16918 pep chromosome:LupAngTanjil_v1.0:LG02:10982837:10986819:1 gene:TanjilG_19223 transcript:OIW16918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRELNGIVKGWEITVRKSAGGKKRAKSIFTPMSVAHVDENEQGEVSQVEKILSNGDFYTGQWLDQFPHGQGKYLWTDGCMYVGEWRKGNTMGKGRFSWPSGATYEGDFKSGYMDGKGTYIGSSGDTYKGCWVMNLKHGQGTESYPNGDYYDGEWRKGLHNGHGRYQWKNGNHYIGQWKCGLFSGNGTMMWNNGNRYDGCWEEGLPKGNGTFRWADGSFYVGVWSKDRKEQSGTYYPSDSSDGNLEWDPQEVFSVELNSCKICPCEAVLIYPSQKSLSLLGLEEDNLQKQPANGRPRWSSVDGRLSNYSAEGESCDLDVGRKSGVDNVGRKSGFDGLGDNSVQGGNRSPHLRIKAPKRQGETISKGHKNYDLMLNLQLGIRHSVGRPAPSASLDLKSSAFDPKEKVWTKFPPEGSKHTPPHPSCEFRWKDYCPVVFRALRKLFKVDPADYMISICGNDALRELSSPGKSGSFFYLTNDDRYMIKTMKKAEVKVFLRMLPAYYKHVRAFENTLVTKFFGLHCVKLTGANQKKVRFVIMGNLFCSQYAIHRRFDLKGSTFGRTTDKPESEIEPTTTLKDLDLNYIFRLQKSWFEEFCRQVGRDCDFLEQERIMDYSMLVGLHFRETTSSGIVTPSSRNSGACTPTAKFDDAAPRLSGVDVDNLIVDPSRWIQLGINMPARAEMTVRKSVSDTPQLVGEPIGEMYEIIIFFGIIDILQDYDISKKLEHAYKSFQYDPTSISAVDPRLYSKRFRDFIFRVFVEDT >OIW16407 pep chromosome:LupAngTanjil_v1.0:LG02:24335860:24337872:1 gene:TanjilG_19123 transcript:OIW16407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIVPYSDPNSTTPPWQNMFQSASIRKPTTNPPPPPPLPPTSDKKSNLSGDSSNARLALYIAMAHAGLAFAILTLYALSKLFQQYLKPLQWSVLCSIPLRLIHQTIVSFWSQPLKLGLFHTLLAVPFAIVRVFLGTLFEIRQVILRVLLRKPKPETRRNHSGFSTLLHLLFSFWIFIVVYESFGGLCSLSVLVLGFVFSTKNNVPDSTSTSNFRSSAIGSFVLKRLKTIVAVGLIFSMIVGFLSGVMFFSYKIGVEGKDAVISLKMHVEENNYAERIGVKKWIDENDVPGMVDTYTTQFYSTVSDQIDGLAIQYNMTEFVTGIKQFVINNSSQPSTTSATPSRYTEKLLSLKNRVRNREFSKIYEEVDAFSRELIITREDLVEKVKGFAIKGADVSKSVLASSTSVLGSGAKFVLSTLNSIIYGAAEVFNFVSQTIVFFIVLYYLIISESGGATEQVMHMVPISDSAKVRCVEVLDKAISGVLLATAEIAIFQGCLTWLLFRLFRIHFLYVSTFLAFISPLLPIFPSWLATIPAAIQLVLEAKYIEAIVLIVIHLFLMDYGANQIQEHVPGHNAYLTGMSIIGGMALFSSALEGAIMGPLITTVMIALKDLYAEFVLEESKDRTKHKTS >OIW17526 pep chromosome:LupAngTanjil_v1.0:LG02:2731985:2733598:-1 gene:TanjilG_22638 transcript:OIW17526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIYDRMAATTEQEDKGDNGMKRKVMELREASLRIEEVTEDYMNTQQQQQSHDPGCVAIFQAATNFIKTMFHRLRVSYEIRDIKTSIREINERSGLQIQSFLEQGTSSGRQNVLPQHALRRNALYVEEEDVVGFEGPKQELIGWLEETQPKRTVIIVVGMGGQGKTTLAKIVYDKVIGDFDCHAWITVSQTYSKEDLLRTMLKKLGENIYNVSEMNLESLTNEVRKYLSQKRYSIFFDDVWNKDFWSEIESAMLDDKNRSRVVITTRDVEVANFCKKSSFHIHNLQRLSSQESMKLFCKKAFQNEPDDICLAGLEEISSNIVEKCEGLPLAIVAIGSLIACNGKNSLELQKSCKTLIYELDKNPNSTGITNILGLSYDALSYRLKSCFMYFGIYSEDYEVEHKRLIRKWIAEGFVKFDECHKTLDEVGEEYLKELIQRSLVQVSSFKIDGKPKRYRVHDLLHEMILTKIKDIGFCHFVGNDHSMISGKILRLQITDDSNVDYFKDASIEKSFIRSIHVFGNEELPKDFMKMILTKCRR >OIW16215 pep chromosome:LupAngTanjil_v1.0:LG02:22865866:22866633:-1 gene:TanjilG_18930 transcript:OIW16215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAFQSWVSEHKLATIGGLWASGIGASLMANSRTRSPMKPSLRLIHARMHAQALTLAVLCGGATYRYYENHAGDPKP >OIW16169 pep chromosome:LupAngTanjil_v1.0:LG02:22465813:22468151:-1 gene:TanjilG_18884 transcript:OIW16169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVTEDKSHVVVVNIDNGSEGCCSDEEQRSTLDSGPEIVGVSEKVRRSSASECSLELDLEGTVSDVKVHLAKVERDCRICHLSMDMTNHESGTPIELGCSCKDDLAAAHKQCAEAWFKIKGNKTCEICGSIALNVAGANEVQITEQWNEVNDASMVQTGPASPAETRSFWKGHRFLNFLLACMVFAFVISWLFHFNVPS >OIW16300 pep chromosome:LupAngTanjil_v1.0:LG02:23580064:23593799:-1 gene:TanjilG_19016 transcript:OIW16300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNNIPSWNFESDTFVTNQKRSIGPDQELVELLWQNGQVVFNSQTQKKQVGNSSDMRQVQKNEGSTLRTSVPYGNSSNLSQEDETISWLQYPLEDPLEQQFSSNFLPEIAPFQVESYKPIKQLEEENFAKIVQPSAPHATSNSQSPNMKPSCVKEFQANPVPVPKFHVPDSSKQTNDLGRTKKVPKFSGFSAPPNVSSVPANALVGKKVSTNMSKNEGKECSVMTVGSSHCGSNHIPQEPGVSMVSSSGWATTLSAETEAARDYVQRTLPWSEKGKSEMVEPNLTSSSGGSGSSLGKTCSLSTGNLGQKRKRTDAEESEEQSEATELKSGIGSKASQHAGSSRRNRAAEVHNLSERRRRDRINEKMRALQQLIPNSNKTDKASMLEEAIEYLKSLQLQLQVMWMGGSMSPMMFPGIQHYMSQMSMGMAAPSIQNSMQLPRVPIDQSMPQNPVLGAFNYQNQMQNSFLAEQYARYMGCHLMQSATQPMNAYRYGSQTLLQGQTMITPTTGAANMDDPMSAKMGKPMNAYRYGSQTLLQGQTMITPTTGAANMDDPMSAKMGKCQKKISKLTNSRDCLRKAIKIQEQEINRLKKECEDERLRTNTETEEKLKEYTARVSLENQVSSLKSEIAKIQQKLDNDGVRDGNESIEGLQACLADKEKEISELKELCEVEKIRAESERKNAEMEKKKVAEAQKLLEAERSKERETSELKEFFEAEKRRAESEKKNAEKERKKAAEAQKLLEAEKNNKVKEISQLKELLETEKKRAESERKSTEKEKKKVAEAQKLLEAQKNKEEVISELKELLEAEKKRAESWRNDVEKEKKKAAEAQKLLEAQKNNKEREISELMELFEVEKERFESEKKNVEKEKKNASEARKLLEVEKNKNVEKGLQIARVEAEKKMEEYRSQLGRLEKEVNETKAKLASKMYAFEEANKKFEAEKRKLLAEKRNLEMGMARANEKLEGEKQKANEERGRADSEVVNTEAQKGLAEDNWKKFMEEKGRADQMSQQLEEDKRTIEGLKQKITELSSTRESIEMAGVTSDTVSKAESTKMKLLKSQLKLEKLRVKNAKQNFKLEASRHNILRHELGRLKIDSIQLVHRLDMLDASFSAVAESTHDYAKHDDLLYLQNSNVMRQVCNLDLSQMRSQFENELRMQHILALSGGNYSESITGINSKSEPLVRGSNRTKLQSSAVNSSSESFSDGQMMGSQETANNIPVTASEKLNQEIFNARQSLCNPFDKPVSEHHRKKRKGIHDIANLSSQNLPDLHGLFDERVDKCLEGGREMLHNPNNLQEKNDRAHKRRKKSHSEKVDMVPQMNGDGKTGREKSKAAAYQDSNVRRHTSCTAPDNLGTTLACGDMICDAANDFDSIFFDKVADGNYMKLLELENAADEEYFKRAMDSPLSPSLPEVLEEDMFCPRTDLFPPPSSNVINAEIISNEQTFNVYGVSSNLKNKPAQASEHELVKLSHMSTPEKSRDTQLVEGGSGLSSKSVPDSTKLCFSFREKASVLLTLMLFNFVTVATMTFGKLWDGNLFPCMNSYAEHICTVMSDPEARILLLENCSLQELLGLIEDFLIEGKVIVNNEVPAETLSDCDLRKNGDLDCATKFSSDVASSEQLVAGSIILASICAATNHFGFLCEASYDILRLCNWNSLVVLTILHIFAYLSGEKFFVLDNFRLMITVLKSLVMFLEGENLSVAPASCLPSIDQLHTEFCVNAKCQFLEGAEPIDIVACLLLEEIESCWLQGIEQGDLSDSRFTTDDHHAGQWSNQEGIQSLISTNCDVSCCLKRCMISATQPHARKSSTFCHLGDVLSLVELVANKMSWPWTDSKFIPQLLNMLKSCVEENFVIAIMALLGQLGRIGVIAGGYGDRGVENLRCNLFAYLSRTTSMKCLSLQIATATALFGLLPFDPESLFHTNISLPAYLKSVSDDAETLRKWFSGLDKDQQKLLSGILKPQ >OIW16930 pep chromosome:LupAngTanjil_v1.0:LG02:10348568:10348819:1 gene:TanjilG_18618 transcript:OIW16930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRYSEAKLRKSDWTATCCSICLADYKANDMLRLLPYCEHLFHPKCIDPWLRLHPTCPVCRTSPIPIPLSTPLAEVVPLASRRG >OIW17474 pep chromosome:LupAngTanjil_v1.0:LG02:2232329:2233222:1 gene:TanjilG_22586 transcript:OIW17474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSKTQFTITNTLKVALPCLVFLFLFIFLFRSSTTLLSFSSEAKPSNTIVSTTTPCPSLELPLTTSTSTCTKAPLSLTNALIHYVTTNITPQQTLHEISVSARVLQKKSPCNFLVFGLGHDSLMWTSLNYGGRTMFLEEDKSWIEKITQELPSLESYHVVYDTKVHQADELMRVGMEEEEGDCKKVTDPRFSKCKLSHKGFPSEVYDIEWDVIMVDAPTGYFDGAPGRMSAIYTAGLIARNKEQGETDVFVHDVDRKVEDKFSKAFLCEGYLREQQGRIRHFNIPSHRARLGRPFCP >OIW16749 pep chromosome:LupAngTanjil_v1.0:LG02:16319384:16321569:-1 gene:TanjilG_10639 transcript:OIW16749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGIKIFTYVISVIFIISLSSWCYGEVELDIAPMEKIEQDALYYTIQGFVGDWWNGSDLYPDPCGWTPIQGVSCDLFNGFWYVTVLNIGPIHDNSLSCAKNLEFRSQLFEFKYLKSLSFFKCFQSQHKHQVTIPDRNWEKLASSMESLEFRSNSGLIGKVPSCFGVLKNLKSLVLLENGLTGEVPQDIGNLIKLKRLVLSGNYFTGHIPNIFSGLKELLIFDLSRNSFYGTLPLSLGNLTSLLKLDLSHNYLEGNLIKEISYLKNLTLLDLRSNRFCGGLTLSLQDMYSLEEMVLSNNAIGGNIMTLKWEKLHNLIILDLSNIGLIGGIPESLSKLKKLRFLGLSDNNLIGNLSPKLSTLDTLNALYVSGNNLSGELNFSMDFYTKMGTHFGALNNPNLCYKVGVMSTSAVPYGVKPCHHEIKLLESNSRTKQLNGYVNKTSHYIASLGFLSYAPNGFWWIFLQEIWIMCLV >OIW16597 pep chromosome:LupAngTanjil_v1.0:LG02:20010962:20012890:1 gene:TanjilG_02803 transcript:OIW16597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQCFSLTETRTRCYMSTFIGSGLRSAITDFKDGTVMHCWVPKTRTESKPNLLLIHGLGANALWQWGDIIRNLTPLFNVYVPDLIFFGESYTTRPERTEKFQAECVMRVLEANSVKKVSLVGLSYGGFVGYSMAEQYSEVVERVVVCSSGVCMEEKDIKDGLFPVSDLEEAVNILVPQTPQKLKELLGYSFFKPPRWLPSCFLYDFIEAMCMDYIEDKRELVRIIAKGRKLSDLPKICQPTLIIWGEHDRVFPLELGHRLKRHLGEQAQLVVISNAGHAFSSEKRKEFYENLKSFLMDLKPPAEKRT >OIW16145 pep chromosome:LupAngTanjil_v1.0:LG02:22194887:22196585:-1 gene:TanjilG_18860 transcript:OIW16145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVNIITIVSLLALLSPTQATIPEAYTGGQWQTAAATFYGGPDGSGTMEGACGYGDLYSQGYGLNTAALSTALFNNGFTCGACFEIKCVNDPQWCIAGNPSIFITATNFCPPNYALPNDNGGWCNPPRAHFDLTMPMFLKIADYRAGIVPVSYRRVPCRKRGGIRFTMNGFRYFNLVLITNVAGAGDIIRVSLKGSNTKWMNMIHNWGQNWQSDTVLVGQSLSFKVTTSDRRYSLSLNIVPSNWQFGQTFTGNNFRV >OIW16121 pep chromosome:LupAngTanjil_v1.0:LG02:21955875:21958785:1 gene:TanjilG_18836 transcript:OIW16121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEHQNLESFLTWAAKLGISDSTTTNHPQHSLSSSLSLSNFPHSGGRGLGAVRDLKRGELILKVPKSALMTRDSVMEDKKLCFSVNNHSSLSPTQILAVCLLYEMGKGKTSRWHPYLMHLPQSYDILAMFGEFEKHALQVDEAVWVTEKAVLKAKSEWKEAQALMEELKLKPRLLTFKAWVWAAATISSRTLHVPWDEAGCLCPVGDLFNYDAPGDEPCSIGDGEDLLSNSSVHVTDLSNGGNTMLVDSEQLDSHSQRLTDGGFEEDANAYCFYARANYKKGDQVLLCYGTYTNLELLEHYGFLLHENPNDKVFIPLEPAVYSSSSWSKESLYIHHNGRPSFALLAALRLWATPQNKRRSVGHLAYAGSQLSPENEIFIMKQLSKICHAVLHNMPTCIDDDNLLLNAIDCQDFYTFMDFTKLMSSKDEIYTFLEAHNMKDAHSFTDKILSKNTRRCMDRWKWAIQWRVRYKKVLVNCISYCNEILDSFMK >OIW16148 pep chromosome:LupAngTanjil_v1.0:LG02:22262021:22262296:1 gene:TanjilG_18863 transcript:OIW16148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTMELAKPAPPLYFDEKWKLSKKEVSSRSICSRSSSNTRTPFMKNSSTTQKKCAFARKCTRLVKEQRARFYIMRRCVTMLICWREYSDL >OIW17044 pep chromosome:LupAngTanjil_v1.0:LG02:6656907:6660987:-1 gene:TanjilG_05707 transcript:OIW17044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCTYSMYGLGRNKKSTFPEVVVYVPSTRIPVQCDIQRVLKGIVPQDLADSLSSLRNQIALVAEDTGGSAIAELQRALNEYMSVLIGLTKKEYGLEELIDFKWKNLEDGQQDSSIANTWYEVLSALHLMAMLTLAEADSLMIPKDNSGSGFRVVSSDSKREAIDLLLKASGYLEFCVRDILTRIPAETKKMLPKDLQDGVLEAIAIQALGQGTEIQLGLAVECQKATLSVKRRLACEQLIYFSQAYHYLSGCDINQGHGKKHIRFIKWKFLEAKAAAYYYHGLVLDKGNEPSSHIGAVSCFLAAEELLVECKKACLSFCLAAPVTRAPPLWGVMKHLHQKIPEVASRKSQMYGYLLQQEKGLQVLPDLPEFQLSLRPDDYELPVIDPAWDSNNWEALGQPLKEHLRDSDENSSD >OIW16105 pep chromosome:LupAngTanjil_v1.0:LG02:21815023:21817750:-1 gene:TanjilG_18820 transcript:OIW16105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVTSTSFLNILYLPAKAHAMKLYCPEMHHFLFIIVILFPLVIADHGSDKQALLDFAAAVPHHRNLKWNPATSICTSWEGITCDPDGNRVVSVRLPGIGLVGTIPANTLGKLDALKNISLRSNLLSGTLPTDITSLHSLQYLYLQRNNLSGDIPSSLPSQLVVLDLSYNSFTGSIPKTLQNLTQLTRLNLQTNSLSGQIPNLNVTKLRHLNLSNNHLNGSIPEALQIFPNSSFEGNSLLCGLPLKSCSLVPQTPSPPTFTPTAPSPPAKQRSKNKLSKAAIIAIAVGGAALLFFVALVILLCCLKKKADGSSSADKGKGPSGGRSEKPKEEFGSGVQDTEKNKLVFFEGCSYNFDLEDLLRASAEVLGKGSYGTAYKAVLEESTTVVVKRLKEVVVSKRDFEQQMEIIGRVGQHPNVLPLRAYYYSKDEKLLVSDYIPNGNLSTLLHGSRAGERTLLDWESRVKISIGIARGIAHLHSAGGPKFTHGNIKASNVLLNQDNDGCISDFGLTPLMNVHATPSRAAGYRAPEVIETRKHTHKSDVYSFGVLILEMLTGKAPQQSPRRDDMVDLPRWVQSVVREEWTAEVFDVELMRYQNIEEEMVQMLQIGMACVAKVPDMRPSMEEIVRMIEEIRQSDSENRPSSDENKSKELNVQSP >OIW16174 pep chromosome:LupAngTanjil_v1.0:LG02:22514661:22515761:1 gene:TanjilG_18889 transcript:OIW16174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVIFLALTFSLFTTTTSVTTIGVTYSSSPPQSDNISAALTTLNLHSLRLDDPDPSIIRSFLYTNTTLFLSIPNYMVSPIASNRTLALAWLYAHVVPFYPRARITTISVGNAFLDSYPEYISDLIPAITNLHLSLRNDLGIRRIKISTTFSFVSAISSPFPPSSAVFQQPPGTSDNFFGSVLRFLQETNSSFLINIFPYNLYRLRSEIPLGLALFQEHAFNYRDDLLTGVRYRNLFDMMVDAVVSAMAVAGYETVPIIVAETGWPSGSIAGNEVDANLGYAEIYLKELVNHIASGAGTPLLKDGVREVYVYEMFDKEGKHGRDWGLLYPNATMKYDIDFSGTSSFIGGVHVVVTVFVLWLCHYQWL >OIW16631 pep chromosome:LupAngTanjil_v1.0:LG02:19294281:19296880:-1 gene:TanjilG_01870 transcript:OIW16631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKNGDRKNHEHESFDIPMDVFPPQDNNDLDDDGRPKRTGTFWTASSHIITAVIGSGVLSLAWAIAQLGWIAGPVVMILFSVVTYYTSTLLAYCYRTGDPLSGKRNYTYMDAVESNLGGFKVKVCGVVQYVNLFGVGIGYTVAAAISAMAIKRSNCFHSSHGKDPCHMNSNIYMISFGAAEIILSQIPDFHELWWLSILAAVMSFTYSLIGLGLGIGKVVGNKKIKGSITGVTVGTITQTQKIWKTFQALGNIAFAYSYSMILIEIQDTVKCGPPSEAKTMQKATLISVLVTTFFYMLCGCFGYASFGDSSPGNLLTGFGFYNPYWLIDIANVAIIVHLVGAYQVYCQPLFSFIEINTAKIFPNSDFINKEFEVPIPGCNAFKFNLFRLIWRTIFVVITTVIAMLLPFFNDIVGLIGAIGFWPLTVYFPVEMYIAQKKIPKLSLRWICLELLSFACLMVSLSAAAGSIAGIIDDVKAYKPFQTSY >OIW17548 pep chromosome:LupAngTanjil_v1.0:LG02:3159234:3161473:1 gene:TanjilG_22660 transcript:OIW17548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKACVKRLQKEYRALCKEPVSHVVARPSPNDILEWHYVLEGSEGTPFAGGYYYGKIKFPPEYPYKPPGISMTTPNGRFMTQKKICLSMSDFHPESWNPMWSASSILTGLLSFMMDNSPTTGSVNTTTAEKQHLAKSSLAFNCKNATFRKMFPEYVEKYKEQQLASERVSPKMSIDENTRPAPEKVLDLTEDVKRVDRLKDLRRNKRQPSPTWMMFLLICIFGVVMALPLLQLL >OIW17419 pep chromosome:LupAngTanjil_v1.0:LG02:1749747:1749911:-1 gene:TanjilG_22531 transcript:OIW17419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDQYFINLILGCYLSSKKLPHKTTRLRRNETYPNSIKQSLDFRWASVQMTKIR >OIW16381 pep chromosome:LupAngTanjil_v1.0:LG02:24149051:24153107:1 gene:TanjilG_19097 transcript:OIW16381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIMRDFRAFSNILVLLILHSLVALISSSGPGTGWKTLQGSPPVVIARGGFSGIFPDSSIDAYNLALATSVPNVILWCDVQLTKDELGICFPNLKLDNATDISFLYPSSAKNYSLNGIPTNGWFSFDYNLTELADVSLLQGVYSRTGEFDGNHFRILAVEDVVKLVKPPSPGLWLNVQNEAFIQQQNLSTKRFLSSLSTRGVSVSYISSPDVGFLSSIKSVFNPRTTGLVFRFLRENEIEPTTNQTYGSLLKNLAYIRTFASGILVPKGYIWPVDSDLYLQSYTSIVFDAHKEGLQVFVSDLVNDVPFSYNFSYDPLAECLAFIDNGKFSVDGVLSDFLITPSAAINCFSGLEGNATKQVDTLIISKYGASGDYPACTDLSYRKAILDGADVVDCPIQMSKDGIPFCLSSIDLVESTTVAESKFANLRTTIPEIKSGSGIYAFSLKWNDIKNLTPSILSPYSRFGLNRNPKFRNKGKLLTLSDFLSLTKGQTSGILISIENAAYLEEKQGLSVTNAVLNALQKVGSDKPGSQKVMIQSSHSSVLKIFEEECKYERLYKVDNSIGDALDSAVEDIKSFSDSVVIGKASVIPRSEAFLVNYTNTVKKLQSYNLSVYVETFSNEFVSQAWDYYSDAFVEINSFVVGAKVNGIITDFPKTADRYRKNLCLKEGKKPPYMSPVEPGKLIQQISKAYFPPPAPPLPVLTDTNVTEPPLSSVPAPTTP >OIW16425 pep chromosome:LupAngTanjil_v1.0:LG02:24458296:24459484:1 gene:TanjilG_19141 transcript:OIW16425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISAIQQSAFTAKAALKGNELVRKIGGFGKGRITMRRTVKSAPQSIWYGPDRPKYLGPFSEQIPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHSRWAMLGALGIIFPEILEKNGVKFGEAVWFKAGAQIFSEGGLDYLGNPNLIHAQSILAIWAVQVVLMGLIEGYRVGGGPLGEGLDPLYPGGAFDPLGLADDPEAFAELKVKEIKNGRLAMTSVFGFFVQAIVTGKGPIQNLYDHVADPVANNAWAFATNFVPGQ >OIW16957 pep chromosome:LupAngTanjil_v1.0:LG02:8086941:8108479:-1 gene:TanjilG_32824 transcript:OIW16957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEEEKLLKEAKKLPWEDRLFHKSWKVRNEANVDLASLCDSISDPKDPRIREFGHLFKKTVVDSNAPVQEKALDALIAYLRAADADAGRYGKEVCEAVVAKCLTGRTKTVEKAQAVFMLWVELEAVDAFLDSMEKAIKNKVAKAVVPAIDVMFQALSEFGAKIVPPKRLLKMLPELFDHPDQSVRASSKGLTLELCRWIGKDHVKVILFEKMRDTMKKELEAELVNVTGTAKPSRKIRSEQDKEPEQEAVSEVMGPGPSEESGNDAPTEIDQYDLVDPVDILTPLEKSGFWEGVKATKWSERKEAVAELTKLASTIRISPGDFSEVCRTLKKLITDVNIAVAVEAIQAIGNLARGLRTHFSASSRFLLPVLLEKLKEKKPTLSEALTQTLQAMHKAGCISLIEVVEGSCQTEDVKAATKNKVPLVRSLTLAWVAFCIETSNKGVVTKVHKDYVPVCMESLNDGTPEVRDAAFSVLAAIAKSVGMRPIERSVEKLDDVRRKKLSEMISGSEDAVPGGSATAPVHNIRAGASSAESSESAFVKRSAASMLSGKRPVQAPPVIKKGVAAKTGMNKKADGVAPAKSSKSIELPEDVEPSEMSLDEIESRIGSLVQSDTITLLKSTVWKERLEAIGSLKQQVEGLQDLDRSVEILIRLLCTLPGWGEKNVQVQQQVIEVITLIASTATKFPKKCVVLCLSGLSERVADIKTRAHAMKCLTTFSEAIGPGFIFERLYKIMKEHKNPKVLSEGIVWMVSAIDDFGVSHLKLKDLIDFLKETGLQSSAAATRNASIKLLGVLHRFVGPDIKGFLTDVKPALLSALDTEYEKNPFEGAPAAPKRAIRASDSSSPVVAGGLDSLPREDISGKITPTLLKSLESPDWKGAPAAPKRAIRASDSSSPVVAGGLDSLPREDISGKITPTLLKSLESPDWKVRMESVESVNKILEEANKRIQAAGTGELFGALRGRLFDSNKNIIMATLTTIGNVASAMGQAVEKSSKGILSDILKCLGDNKKHMRECALNTLDTWLAAVHLDKMVPYVTIALTDSKLGAEGRKDLLEWLSKQLSGLSSFAEAAQVLKPASSAMTDKSSDVRKAAEACINEIVRVSGHEMIEKMVKDIHGPALAVVLEKLKPHGIFQESFETARAVSAGATSKGVSKAGKSTANGVPKHGIRPVSSRALATKGTRSDSVTVQDIAVQLQPLLNVKDSNKEDRERMVVRRFKFEDPRIEQIQDLENDMMKYFREDLHRRLLSTDFKKQIDGLEMLQKALPSIAKETIEVLDILLRWFVLQFCKSNTTCLLKVLEFLPELLNTFKDEGYSLTESEVALFLPCLVEKLGHNIEKVREKMRELTKQIVLIYSASKCFPYILEGLRSKNNRTRIECADLVGFIIDNHVAEISGQLKSLQIVASLTAERDGEIRKAALNTLATGYKILVERFRCKILVPCCVIMGLMSNLVYDHAMQVREMEKKREGKPGEARAILRRSVRENGSDVAEQSGEIARSLPGPILRKNHAQADVNIERQSMPHPLPLASGPTDWNEALDIISFGSPEQSVEGMKVICHELAQATSDPEGSVMDELVKDADRLVARTFDFSLTGASSRSCKYVLNTLMQTFQNKRLAYAVKESTLDSLITELLLWLLDDRVPHMDDGSQLLKALNVLMLKILDNADRTSSFVVLINLLRPLDPSRWPSPTSNESLASRNQKFSDLVVKCLIKLTKVLQSTIYDVDLDHILQSIHLYLQDLGMEEIRRRAGADDKPLRMVKTVLHELVKLRGAAIKGHLSMVPIDAKPQPIILAYIELNLETLAAARMLTASGPGSQNHWGDSATNNSASGTHSSDDAQLKQELAAIFKKIGEKQTCTIGLYELYRITQLYPKVDIFAQLQNASEAFRSYIRDGLAQMEKNAAAGRTPSTLPMSTPPPASLNISSPDFAPLSHVNANPLGDAKLNVKPEPTNFNLPLSYNEENRAVNSFTSRALGSDYTSSDQRNERFMTGVTGGTLDAIRERMKSMQLAAAAGSTDSGTRPLTSVNDNLNHGFAPSQIPHASEHVGNENILQGGVLPMDEKALSGLQARMERLKSGSLEPL >OIW16429 pep chromosome:LupAngTanjil_v1.0:LG02:24476517:24478594:1 gene:TanjilG_19145 transcript:OIW16429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPPRQQSRVGGLQTSLSLVSSDPRLSPEEPRSNSDNLHESPTESASSRETWPTGNAIAVKKMENGKTEIDIPEQSVIRRVSSADKISLQDIAREKVDIICEKMHHLPEEFLEELKNGLRVILEGGNGSQHREEFFILQKLVQSRSDLTAKTLIRAHRVQLEILVAINTGIQGFLHPSISLSQTSLIEIFVYKRCRNIACQNQLPADDCTCETCTNNNGFCNLCMCVICSKFDFEVNTCRWIGCDLCSHWTHTDCAIREQLICMGPSVKSGVGPSEMVFRCQACSRTSELLGWVKDVFQHCAPSWDGEALVRELDFVSRIFHGSKDTRGRKLFWKCDDLKGKLNSGKVDAKAACRAILMVFQELEMDSPKSLENAESERLIAPQEACNRIAEVVQEAIRKMEMVADEKMRMFKKARLTLEACDRELADKAREAAELKLDRQKKKSQIEELERIVRLKNAEAEMFQLKANEAKREAERLQRIALAKSDKSEEEYTNNYLKQKLSEAEAEKQYLYEKIKMQESSRVSQSSSGGDPSSMLMYSKIHDLLYNVPPKGDSQSNDCHPFRSNP >OIW16973 pep chromosome:LupAngTanjil_v1.0:LG02:8820466:8828129:-1 gene:TanjilG_32840 transcript:OIW16973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWQIAGTRYENSKLVKKSASTLLSNSNNDAVMKLEYYNCRTSGGPMPISWINSFRKAIFSSKDGKAYGRLIGGSVFDAFTNSFTPLYFTVRQLKEVMSTEQPCDLAYEFGDGLYDIKELPQGFPRPNKHIYPFNDHLVIYVRYLGPGVLVGQAWQEGKKLEQVPRKLCDDILMVKDYTLLQDQ >OIW16509 pep chromosome:LupAngTanjil_v1.0:LG02:21030346:21033173:-1 gene:TanjilG_32179 transcript:OIW16509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPLTLVKRIQNINSKEAALGIGEEASWHSKYKDSAYIFVGGIPFDLTEGDLIAVFAQYGEVVDVNLVRDKGTGKSKGFAFIAYEDQRSTTLAVDNLNGAQILGRIIRVDHVDKYKKKEEEDEETERQKREARGVCRAFQRGECTRGAGCKFSHDEQRAANTGWGQEDNSSKWGNDKFEGPKREGRPGNHSNRIPETRDKDLRSRAHDNERGLDSQPKRSDRREMLRRHDDDDIFEGRENNTIREEKKSRRHEDDEFERRSREDQHRREEKRSRRNDYDDMEPDQRDHHRREDKSSRKPDDVEFEPKSRDSDTREDKRPRRQDDDDFGHRSREAHINREERRSRKDNEDESVPRSKEDYDRKQDSRSYRTYQSQSKVRYDDVREEKRSRR >OIW16645 pep chromosome:LupAngTanjil_v1.0:LG02:18925291:18925971:1 gene:TanjilG_23147 transcript:OIW16645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSSKAKIGTKPTTTKGRKRKFEIKNVEHNNKDDVTFSKHKLGLFNKVTELSILCQAETALIISSQQGKLYVCGCPDPDAVIRRILNDGSLVQSCHAGKKTHQELVETMNLEYEATHDRLKEEKKSLAEIQETRKGRLDFPPWWNQDIEKMGLEDLEHFTASLETLKLNLVATLEAKKLNSQRLMYSNLPPVNGCFSGDRQVWNLMNGCSSSRNPMVPNLGFGHY >OIW16652 pep chromosome:LupAngTanjil_v1.0:LG02:19033625:19036387:-1 gene:TanjilG_23154 transcript:OIW16652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSIKSFKGYGKVDELEQQAYQNKTRKRVIILIVSSVILVAVIVAAVTGIVIHKRNTSSSSSSNSVPQTELAPAASLKAVCDVTQYPNSCFAAISSLPNSNTTDPKLLFKLSLRVAIDELSKLSSFTPKLRDNANNDPRLQKAIDVCGTVFEDALDQLNDSISSIGNSGAGKVLPSSDISDVKTWLSAVMTNQETCIDAVGELNSTAARGVLRELETVTRNSTEFVSNSLAIVTRILGLLSKFDAPIHHRKLLGFGGSDSEFPAWVGATERRLLQANVTAPDAVVAKDGSGQFTTIGEALKMVKKKSLTRFVVYVKAGTYIENIDLDKNAWNVMITGDGKDKTIVSGSRNFKDGTPTFETATFAAKGKGFIAKDIGFHNTAGAEKHQAVALRSGSDESVFYRCSFVGFQDTLYAHSNRQFYRECDITGTIDFIFGNAAVVFQNCKIMPRQPMSNQFNTITAQGKKDPNQNTGIVIQKSVITTLDNDILTAATYLGRPWKDFSTTIIMQTDIGSFLKPVGWISWVPNVDPVSTIFYAEYQNTGPGAGVSQRITWAGYKPSLTDDEAVKFTVQTFIQGPEWLPNSAVEFESAL >OIW17150 pep chromosome:LupAngTanjil_v1.0:LG02:4623379:4624638:-1 gene:TanjilG_21127 transcript:OIW17150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQTSSHFSHSIMSTSNHKRQRTVLQPPCQNDTLLLNTTTQRSVPLLPEELMVEILSRLPVKSLGQFCCVSKHWKSIISTSDFIKYHLHRSATEFLHHRLLGSDVLGIGLRFSRSLRSLIDNPSPSPPLFDENPQFKILYFCTLIVGSCNGLICWLNYFREYEDHNIHYLNPLTRVESESPRFHHRKGDLTCFTVFGFGYDHVSDRYKTVAIYCDPKAKKTIARVYTLGGENCWRKIKSFPFIPRWSSASYTNRCGKFVSGTLNWLATNPNDRGSLIVASVDIGNETCVEILLPSVVKLQLNSRPKLWVLGGCLCFSYNFNDIHFDLWQMKVHGVVDSWTKLITISYMDFGMDHCFHNYPRPFFILEKGEILLQINHHGAFIIYNPRLKSFQSLWLESNNIIFEGTTHIESLVSPCPIL >OIW17451 pep chromosome:LupAngTanjil_v1.0:LG02:1982658:1986057:1 gene:TanjilG_22563 transcript:OIW17451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGITSTMMTRQHVLTYMYLLVYISLSSGWVLSTLYFNFPFPITLTMIHMAFSGAVAFVLIRVLKVVSPVKMTFHIYATCVVPISAFFAASLWFGNTAYLYISVAFIQMLKALMPVATFLTAVSWGTEKLRCDVFWNMVLVSVGVVVSSYGEIHFNVIGTVYQVTGIVAEALRLVLTQVLLQKKGLTLNPITSLYYIAPCSFVFLFVPWYILEKPEMEAPHMQFNFWIFFSNALCALALNLATFLVIGRTGAVTIRVAGVLKDWILITLSTVLFPESKITGLNIIGYAIALIGVVFYNYLKLRDVRTHQPPIPSIQEESVKELLPEKKLDDDVNVNEEWNDSVYYTNFDEEAPLMASSRLSHLGRKPA >OIW17088 pep chromosome:LupAngTanjil_v1.0:LG02:5633528:5634157:1 gene:TanjilG_20192 transcript:OIW17088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHILLLLLPLLLSISNASVNDFCVADLNGPDGPSGYPCKPPTKVTTHDFVYAGLAASGNTTNIINAAVTPAFVAQFPGLNGLGLSAARLDIASGGVIPLHTHPGASELLIVTQGRVTAGFITSDNSVYVKTLSKGELFILPQGLLHFQLGYGKRKAVAYAVFSSSSPGLQILDFALFASNFSSSLITQTTFLDPEQVKKLKSVLGGSG >OIW17457 pep chromosome:LupAngTanjil_v1.0:LG02:2021790:2026148:-1 gene:TanjilG_22569 transcript:OIW17457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLFPGFEPVISWSLSNNLSVLALQPPILSGPKADSKTVVSIILGGGAGTRLFPLTQRRAKPAVPIGGSYRLVDIPMSNCINSGINKVFILTQFNSQSLNRHIARTYNLGSGINFGGGFVEVLAATQTVGESGKKWFQGTADAVRQFLWLFEDAEHKNIENILILSGDQLYRMDYMELVQKHINSCADISVSCLPVNASHASDFGLVMIDERGRIRKFVERPNGELLRSMHADTSVFGLSDKEAREFPYIASMGIYVFKIDVLLNLLRGHYPNANDFESEVIPMAAKDFNVQACLFTGYWEDIGTIKSFFDANLALMDQPPKFQLYDHSKPIFTCPRFIPPTKMEKCKVINSLISDGSFLRECNIEHSIVGIRSRLDSGVHLKDTMMIGADYYQTEAEIKALLVAGNVPIGIGKDTKIMNCIIDKNARIGKNVIIANKENVQEADKPSEGFYIRSGITVVLKDSIIRDGTII >OIW17134 pep chromosome:LupAngTanjil_v1.0:LG02:4840269:4845942:-1 gene:TanjilG_27288 transcript:OIW17134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLNSNRVDHFVCHGTTTTIKIQTDIPIMQQSTPLEIHKVRLPPQHTTLHKLKHSLSEIFFPDDPLYTFKNQTWCTKFFLGIQYLFPIFQWGPHYNLLLLRHDVISGLTIASLAIPQGISYAKLANLPPIIGLYSSFVPPLIYSLLGSSRHLGVGPVSIASLVMGSMLSETVSYTQDPVLYLKLALTATFFAGVFQSSLGFLRLGFVIDFLSKATLVGFMAGAAIIVSLQQLKGLFGIMHFTSKMQIIPVLSSVFMQRDEWSWQTILIGFSFLAFLLIARHISLRKPNLFWVSAAAPLTSVILSTILVFLLRNKVHKIAIIGELPKGVNPPSSNMLYFNGPHLALAIKTGLVTGILSLTEGIAVGRTFAALKNYQVDGNKEMMAIGLMNIAGSFSRSAVNYNAGAQTTVSNIIMASAVLVTLLFLMPLFYYTPNFVLASIIITAVIGLIDYQAAYKLWKVDKLDCLACLCSFFGVLFISVPLGLGIAVAISVIKILLHVSRPNTQVLGNIPGTLIFRNVNQYREALRVPSFLILAVESPIYFANSTYLQERILRWVREEEERIEANKENTLKCIILDMTAVTAIDTNGMDTVSELRKMLEKRSLQFVLANPVGNVMEKLHQANILDSFGLKGVYLTVAEAVADISSSLKPQP >OIW16648 pep chromosome:LupAngTanjil_v1.0:LG02:18987718:18987987:1 gene:TanjilG_23150 transcript:OIW16648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSQDQFEIKFRLSDGSNIAPKGYPSIATLKESNLAQMAKRQGVIPKTYEHKRGEACALPQHHGSSLCHHDGVTSNSRLKHTARTVRK >OIW16881 pep chromosome:LupAngTanjil_v1.0:LG02:11270008:11277415:1 gene:TanjilG_01746 transcript:OIW16881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKASYWALQSFLIFSFFVAGIHGNKQTQALQKLYKLKYKANSQIDRSYFKAQELVGDLIIDSNKGLKEKDKIDKLPGQPPVNFSQYGGYVTVDTSAGRAFYYYFVESQHSKETVPLLLWLNGGPGCSSLGYGAMQEVGPFKVNSDRKTLNQNIYSWNNVANVLFLESPAGTGFSYSNRSSEYETSGDTKTATDNYVFLVNWLERFPEFKKRDFYIVGESYAGHFVPQLAQTILQQNKKANNTIINLKGIMIGNALINDETDMRGMYDFLASHAIISDQTAYDFNKFCNNSSDPKNIPIQCDKAQDEFSKDTDDIDLSNIYAPNCQNDDDPNLTATTKMNSIVNDPCSDDYVHTYLNRGDVQEALHANVTKLKYAWESCSSILLWVDKSPTVIPLLQEFLNNGLRVWIFSGDVDGTVPVTSTKYSIKEMNLSTKTAWHPWYIDREVGGYAQVYKGDLTFATIRDAGHQVPASQPKKALSLIQHFLNGTQLQTTK >OIW16281 pep chromosome:LupAngTanjil_v1.0:LG02:23400508:23402932:1 gene:TanjilG_18996 transcript:OIW16281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHRRSSYDFLFSGFIILLCFFGSVESYKNYTVGDSFGWFDSTMKPDINYQKWVANKDFSLGDFLIFNTDNNHSIVQTYNFSTYKQCDYDDAQDKDTVQWTASDPSNIETHDVSVAVPLVKEGVTYFFSSDYDGDQCKNGQHFKINVTYGQGLPKSLKDSSDSPSPITSPVSEGEESAPDTIVPSNFSHPKEDKDSDDDENASDDKAKEKSSSVSMLMHAQVHNKFYGSLILIGLVFFS >OIW17530 pep chromosome:LupAngTanjil_v1.0:LG02:2782912:2790401:1 gene:TanjilG_22642 transcript:OIW17530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKELGRKKTFKEVLENISDAAHIHNNQDPLHEVKRRRDRKKEDVGHEISGDAQRHTENDGQGNKISAPSRHSGRRINHPRVSREFRVVRDNRVRHTKCEEGKSPSLPGSTPTSEQLNVNTTEKGSSEASSNQRSCGAMNLSQAFNGSDSHARCLKDTDTSDRKATSEEKQDLILNTAAQMLPIKPNNVHQHSITMASTSSSSAAVYSSSADPIHVPPADSRSSGVVGANRREVGVVGFRRQSSDNLVKQSSVHSSSYANNSLIGKDVTSSNSYQSFGAISKTGQFYEANATVPESINNQYNSRPHQQLMRLQRVPQPNKEWRPKSTQQSSGNSPGVTGTPKKAPSPPVEISKDIKSDAESLIEKLSQINIYENQNVTIAQDTQVAETEYCRLTFGTIGVELESLKHRSQLQSIVTAEKSHEESNASLTLPGPELSSNDDVSGSKLTELHGDLVRSARTNSPSLGVASEQKLPDIIESSSSHNPDSYADVGLTHDSRSSYAHSESKRLYDFHNLLGFWAYGRTTGCEPHLRPTIEDIVRWKGGLLSRQEFLSPTFFPSVTMPGYTSNPPYYHPANGNNHMTMPGGSSHSNANGLKYGVQQFKPVPFGGPTGFHNFTNFTNPNLQADTSKTWIQNPMELGMQSNHPSYNVPAPQTPHAARIPSLNANASSFNAATAAAATSAQSSHMQFHHVPHHLGPSVANSIGVEAAPGSQIGAYQTHQPQMGHQNWTTFF >OIW16369 pep chromosome:LupAngTanjil_v1.0:LG02:24085884:24086789:-1 gene:TanjilG_19085 transcript:OIW16369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSQKVETGHQDTVHDVAMDYYGKRLATASSDHTIKIIGVSNTASQHLATLIGHQGPVWQVAWAHPKFGSILASCSYDGHVIIWKEGNQNEWTQVHVFGEHKSSVNSVAWAPHELGLCLASGSSDGNISVFTARADGGWDTLKIDQAHPVGVTSVSWAPSTAPGALVGAGLLDPVQKLCSGGCDNTVKIWKLTNGLWKMDCFPALNLHTDWVRDVAWAPNLGLPKSTIASASQDGKVIVWTVAKEGDQWEGKILNDFKTPVWRVSWSLTGNILAVADGNNNVTLWKEAVDGDWQQVTTVEP >OIW17111 pep chromosome:LupAngTanjil_v1.0:LG02:5197391:5204921:-1 gene:TanjilG_26766 transcript:OIW17111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHNIDQFKGQTRLPNIAIPKRYELHLKPDLIACTFSGTVQINLNIIENTKFLVLNSVELVIQHSLFTNSHGQYAPCDVVVDDDDEILVMVFDETLSVGEGVLRIEFSGVLNQHLTGLYKCTYVDEGVKKNMATTQFEAVDARRCFPCWDEPALKANFKITLTVPSGLIALSNMPVANEKLDGELKTVYFVESPIMSTYLVAFVVGLFDHIEYTTTAGIKVGAYCAVGKSDQAEFALDIAVKTLDIYTKYFSVPYPLPKLDLVAVPEFSNGAMENYGLIIYRENDLLYHELHSAAAKKRRITIFTAHEVAHQWFGNLVTMEWWTHLWLKEGFATWISYMATDILFPEWNIWTQFLQQASEGLHMDALEKSHPIEVQIHHARSVIEVFDAVIYDKGCTVIRMLQGYLGDVVFQKSLSTYIKRYEARNARTEDLWNVLSEVSSLPINTMMNTWTKQTGYPVIYVELKDHTLEIKQSQFLLSGLHADGKWIVPITLSIGSYEINKKFLLDTSDLRVDISDLVQSIGDDLSSIKTKDEVDVQENLWIKVNVDQSGFYRVNYEDKLALRLRKAIQNNCLLPTDKFGILDDGNALCQACEQPLSSVLMLIDVYRNEFDYIVVSKLIKVCKNVLNVSIDAIPDSINELKQYFINILLYSAEQLGWDSISGEDHTISLLRGEVFQALAIFDHDKTQKEAIRRFQISLDDSNTTQLSANTRRAAYISVIRNTTTESRTGLESLLSLYRSTDILQERERILRCIASSADPNVVLDVLNLLLSGEIPDQDIVFVLAGISLECSEVAWRWLKGNWERILAKYGAGLLLTNFINQIVPRVNNNEIADEIESFFASNVNHSIVMNLKLSIEQIRVKARWIQSVKQEQTLPDLIKQLAKRK >OIW16675 pep chromosome:LupAngTanjil_v1.0:LG02:18212375:18217509:-1 gene:TanjilG_28732 transcript:OIW16675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVATRGIGVGVPKLQPHKPKHKSLFLGHRLRTRPFTEPPCSATLTISRNSKSFQVSALGAEWVDSIHNLFVGVGVGLPCTVMECGDIIYRSTLPKSNGLTLTVPGVVLALSALSYLWATPGVAPGFFDMFVLAFVERLFKPTYKKDDFVLGKKLGEGAFGVVYRVTLANKPSSKEGDLVLKKATEYGAVEIWMNERVRRACANSCADFVYGFLETSAKKGSEYWLVWRFEGDATLADLVQSREFPYNVETLILGKIQDLPKGLERENRIIQTIMRQLLFALDSLHSTGIVHRDIKPQNIIFSEGSRTFKIIDLGAAADLRVGINYIPKEFLLDPRYAAPEQYIMSTQTPSAPSAPVATALSPVLWQLNLPDRFDIYSAGLIFLQMAFPSLRTDNGLIQFNRQLKRCDYDLVAWRKSVEPRCGAELRRGFELLDLDGGIGWELLTSMVRYKARQRLSAKAALAHPFFVREGLLALSFMQNLRLQLLRATQKDYGEAAKWIIQLMAKSGTEKDGGFTEAQLQELREIEPKKKKGNSKKNGLASVLKLQRKIIKTLNESMDELNRRRKSLWWSRWIPKEE >OIW17507 pep chromosome:LupAngTanjil_v1.0:LG02:2527325:2528074:-1 gene:TanjilG_22619 transcript:OIW17507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVIGSGAQLSYGSNPYQQSQMTGAPGSVVTSAGNMQSIGQPAGAQLGQHQLAYQHIHQQQQQQLQQQLQQFWATQYQEIEKVTDFKNHSLPLARIKKIMKADEDVRMISAEAPVIFARACEMFILELTLRSWNHTEENKRRTLQKNDIAAAITRTDIFDFLVDIVPREDLKDEVLASIPRGTMPVAGPADALPYMYMQPQHAPQAGAPGVIMGKPVMDPNMYAQQPHPYMVPQMWPQPQDQRPSSPDH >OIW16307 pep chromosome:LupAngTanjil_v1.0:LG02:23665853:23667523:1 gene:TanjilG_19023 transcript:OIW16307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINKVVKVSLIMALLVIVYVEACSENQNNLNKNGSSLAAIRFPDHPSYSDVSSSGDSGCSFSNSQEFGLSNDDNGGEDEAFVAAKSQKQHVKLHLKHRSVSKDIEPKKSVIDFTAKDLIRIQSLHRRVIEKKNQNTISRLQKAKEQPKQPYKPVVASAASPEYFSSGYSSQLVATLESGVSLGSGEYFIDVFIGTPPKHFSLILDTGSDLNWIQCVPCLACFEQSGPYYDPKDSSSFKNISCHDPRCQLVSSPDPPNKPCKAENENQSCPYFYWYGDSSNTTGDFALETFTVNLTAPSGKSGLKRVENVMFGCGHWNRGLFHGAAGLLGLGRGPLSFASQLQSLYGHSFSYCLMDRNSNTSVSSKLIFGEDKELLNHPNLNFTSFVGGGKENSVDTFYYVQIKSVMVGGEVLDIPMETWNLSKEGAGGTIIDSGTTLTYFAEPAYEIIKDAFMKKIKGYELVEGFPPLKPCYNVSGIEKIELPDFGILFTDGAVWDFPVENYFIQIEPDDVVCLAILGTPRSALSIVGNYQQQNFHILYDMKKSRLGYAPMKCADV >OIW16101 pep chromosome:LupAngTanjil_v1.0:LG02:21761264:21765090:-1 gene:TanjilG_18816 transcript:OIW16101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLLLLEKTLIGLFIAVIVAIVVSKFRGKRFKLPPGPIPVPIFGNWLQVGDDLNHRNLTDLAKKFGEIFLLRMGQRNLVVISSPELAKEVLHTQGVEFGSRTRNVVFDIFTGKGQDMVFTVYGEHWRKMRRIMTVPFFTNKVVQQYRFGWEDEAARVVDDVKRNPEAAVSGIVLRRRLQLMMYNNMYRIMFDTRFESEEDPLFMKLKALNGERSRLAQSFEYNYGDFIPILRPFLRGYLKICKEVKERRLQLFKDYFVEERKSYGFPHLSNFKISCSDIFQLVELWKLESTKSMDNEGLKCAIDHILDAQKKGEINEDNVLYIVENINVAAIETTLWSIEWGIAELVNHPQIQNKLREEINKVLGPGNQVTEPDIQKLPYLQAVIKETLRLRMAIPLLVPHMNLHDAKLGSFDIPAESKILVNAWWLANDPAHWKKPEEFRPERFLEEESKVEANGNDFRYLPFGVGRRSCPGIILAIPILGITLGRLVQNFELLPPPGQSKLDTTEKGGQFSLHILKHSTIVAKPILS >OIW16639 pep chromosome:LupAngTanjil_v1.0:LG02:19197454:19200944:1 gene:TanjilG_01878 transcript:OIW16639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVTWKNKKKKRCLLPLPLFNDKETDEVNVPNTQQPQQQNQSEFEETRHLAKEFQAQGDNLALNGKYREALGKWETAITLAPDFAVLHEQKSQVLLEIGDAWNALKAATRATELEPSWAEAWVTLGRAQLNFGEPDNAIESFDRALALKPDYEEALGDRKAASNLVKKRKQLHSSGLSSTENRYVVGDKNESS >OIW16991 pep chromosome:LupAngTanjil_v1.0:LG02:9240214:9240486:-1 gene:TanjilG_32858 transcript:OIW16991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLWPTITEQIHLSHNVHSDINYECPTAKIGLLGGGAFLSLDSSLFWLIALMLADNVREDFFDGDDGDEGEFGKAFSNAYDADIEVKGSS >OIW16367 pep chromosome:LupAngTanjil_v1.0:LG02:24073166:24075241:1 gene:TanjilG_19083 transcript:OIW16367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLTFTFFLMLISLSASLSEAGGSIGVNYGRVANDLPPPSKVVELLKNQGLNRVKLYDTDANVLTAFANSGIKVVVAMPNELLSSAAADQSFTDAWVKANISTFHPATQIEAIAVGNEVFANSNTTTKFLVPAMNNVYASLTKLNLDKDIKISSPIALSALETSFPISSGSFKPDLVEPVIEPMLNFLRRTGSYLMVNAYPFFAYSDNSDQISLDYALFKQNPGVVDSGNGLRYMNLLDAQIDAVYAAMSALNYNDVKITVTETGWPSKGDSNEIGAGEDNAASYNGNLVKRVLTETGTPLRPNDTLTVFLFALFNENQKPGPTSERNYGLFYPSEEKVYDIPLTLTEVNVNSSGVGKSQVPVNGNNGSTTSYNGETWCVASGNVGKEKLQGALDYACGEGGADCTPIQEGATCYNPNTLEAHASYAFNSYYQKNSRASGTCYFAGAAYVVTHPPKYGKCEFPTGY >OIW16271 pep chromosome:LupAngTanjil_v1.0:LG02:23329580:23333871:-1 gene:TanjilG_18986 transcript:OIW16271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQPKIKRRVGKYEVGRTIGEGTFAKVKFARNSETGEPVALKILDKEKVLKHKMAEQVMGSKTKIYIVLEFVTGGELFDKIVNHGRMSENEARRYFQQLINAVDYCHSRGVYHRDLKVLNDRGYDGVTADLWSCGVILFVLVAGYLPFDDPNLMNLYKKISGAEFTCPPWLSFSARKFISRILDPNPVTRITIAEILSDEWFKVDYKPPVFIEKAETSLDDVEAVFKDCENHHVTEKKEQQPTSMNAFKLISMSKGLNLENLFEDQGFKRETRFTSKSSANEIINKIEEAAKPLGFDVQKKNYKMRLANVKAGRKGNLNVSTEFYKNLSTCLEDVVWKTEDDMQTQETK >OIW17388 pep chromosome:LupAngTanjil_v1.0:LG02:1495756:1501951:-1 gene:TanjilG_22500 transcript:OIW17388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLESDQNRLLGSSEDAEHDVEAQTSEVNGGRGLRDLVRLKRLEKEGEIRDRNSYNHNHNSHSHMDLHDDDDDSSLGDSAPPEWVLLLLGCLLGLATGLFVAAFNKGVHLIHEWAWAGTPNEGAAWLRLQRLADTWHRILLIPVFGGVIVGMMHGLLEILDQIKQSSSSQRQGLDLLSGVYPTIKAIQAAVTLGTGCSLGPEGPSVDIGKSCATGFSLMMENNRERKIALIAAGAAAGISSGFNAAVAGCFFAIETVLRPLRAENSPPFTTAMIILASVISSTVSNVLLGTESAFTVPAYDLKSAAELPLYLILGMLCGVVSVAMSRLVAWFTKLFEVIQETFDLPAVVCPALGGLGAGIIALKYPGILYWGFTNVEEILHTGKSASAPGIWLLTQLAAAKVIATALCKGSGLVGGLYAPSLMIGAAVGAVFGGFAAEVINSAIPGNAAVAQPQAYALVGMAATLASVCSVPLTSVLLLFELTKDYRILLPLMGAVGLAIWVPSVTNQTKESDTPDTRNIARGYSSISHAEDNEGNWREANNEDGLELSVIEDAADLELVDEELLLENLKVSHAMSKNYRKVLRSATLKDAIKCIHDNQQNCVLVVDEDDFLEGILTYGDIRRCMPENSNVTSKSDSRLVDDTCLVSSVCTRGLSYRGRERGLLTCYPNTSLAMAKELMEARGIKQLPVVKHGADHIRERKRRITGLLHYNALWICLRKEINHRNLAYENRTGNKLNVVTTNGH >OIW17487 pep chromosome:LupAngTanjil_v1.0:LG02:2363705:2365602:-1 gene:TanjilG_22599 transcript:OIW17487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDIEHRTIKVNGINMHVAEKGQGPIVLFLHGFPELWYSWRHQILSLSSQGYHAVAPDLRGYGDTDAPPSVTSYTCFHIVGDLVALIDSLGVDQVFLVAHDWGAIIGWYLCLFRPDKIKAYVCLSVPYLPRNPKVKTVDGMRAVNGDDYYICRFQEPGKMEAEFAKVGVANVLKNILTTRKTGPPILSKGEFGTGFNPDMTETLPSWLTEDELAYFVSKFEKTGFTGGLNYYRNLNLNWELAAAWTGAKIKVPVKFITGELDMVYTSSRIKDYIHGGGFKEDVPNLEEVIVQKGVAHFNNQEAAEEISNHIYEFIRKF >OIW16983 pep chromosome:LupAngTanjil_v1.0:LG02:9026585:9029544:1 gene:TanjilG_32850 transcript:OIW16983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGSGSVNHYYGGTSSSPITNIAQDHLLTILLLLPIDAILSLSMTCKRFKDIITSSDTLWKSLSSRDFGSTCVDALLYKYMHQISWMRLYKKTYQLDSVCCHRLFDTHGGDLDLPSARASHSLNFVSNCLVMFGGGCEGGRHRDDTWVAYIGNDFEKTLKWKTVNSGIPSGRFGHTCVEMSDFLVLFGGINDNGNRQNDTWVGQVTHNEKNGITFSWKMLDVGAIAPPSRGAHAASSIDDKRIVVHGGIGLHGLRFGDTWLLEVSENLCFGTWHEIVSHPSPPPRSGHTLTCIGRSKTILFGGRGLGYEILDDVWLLDTCQSYLKWTQIVYDLRNIPDGVSLPRVGHSATMVLGGRLLIYGGEDSSRHRKDDFWILDISPIQSITMHSTTLSSKRVSTKMWKRWRSNGYEPKRRSFHRACTDPSGRYLYVFGGMVDGFLQPAEPSGLRFDGELFLVELVL >OIW16916 pep chromosome:LupAngTanjil_v1.0:LG02:10939612:10943781:-1 gene:TanjilG_19221 transcript:OIW16916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLHCNGVVPTLSPIFTFVSNSKPFSVSLSHPSSSSPSSITYQQSYPLFTTRGLNNNPLSLSKLPRKLLCKPPQGKYVREDYLVKKLSAQEIQDLVKGERNVPIVIDFYATWCGPCILMAQELEMLAVEYEKNVIIVKVDTDDEYEFARDMQVRGLPTLFFISPDQKKDAIRTEGLIPIQMMRDIIDKEM >OIW16485 pep chromosome:LupAngTanjil_v1.0:LG02:21289561:21295129:1 gene:TanjilG_32155 transcript:OIW16485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLCLNSLSLPPSSQPRKLIQTSLSSSSSLIIDNNNNNNHSATNALKPIVVNGDPPSFVSAPGRRILAVGDLHGDLKKARSALEMAGVLSSDGQDLWTGGEAVLVQLGDILDRGEDEIAILSLLKSLGEQAKAEGGAIFQVNGNHETMNVEGDFRFVDSGGFDECDGFVEYINASEDAWDETFTGWLDVSERWKEERTMSRSYWGPWDLVKRQKGVIARSILFRPGGPLARELSRHPVVLVVNDWVFCHGGLLPHHVEYGIERMNKEVSEWMRGLNENDNTLPFIATRGYDSVVWNRLYSRDSPDLVDYQAKQVSSILEETLHAVGAKAMVVGHTPQTIGVNCKYNCSIWRIDVGMSSGVLNSRPEVLEIIDNTARVIRSKRDSYIELQAAAYT >OIW16563 pep chromosome:LupAngTanjil_v1.0:LG02:20489841:20492386:-1 gene:TanjilG_17736 transcript:OIW16563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVWPIIGYRMCSLLRSKVQSMGSFIHREPVWCLPFSGLTSTSVVSSRTRPLTKVMSWTKPYCGHAATSSFKEQQPEDSSNCHRLSPENKDLDVGNMAETDGNQDLFDLMKKRFLSFKKHAYIKELEHFQALAEAQSPKFLVIACADSRVCPSNILGFQPGDAFMIRNIANLIPAMKNGPSECNAALEFAVTTLQVENIFVIGHSRCAGIETLMNMQDVQSRNFIHKWVANGEVAKLRTKAATAHLSFDQQCRFCEKESINQSLVNMLSYPWIEDRVRRELLSLHGGYYNFKNCSFEKWTLDFKECNVTEEGRSYAVKEQEFWG >OIW17176 pep chromosome:LupAngTanjil_v1.0:LG02:4154305:4159750:-1 gene:TanjilG_18131 transcript:OIW17176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRNVLLVLVMLSFSLIGHTSASVSYDHNAISINGQRKILLSGSIHYPRSTPQMWPDLIQKAKEGGLDVIQTYVFWNGHEPSPGKYYFEGNYDLVKFIKLVQQAGLYVHLRIGPYVCAEWNFGGFPVWLKYIPGISFRTNNEPFKFQMQKFTTKIVNIMKAERLYESQGGPIILSQIENEYGLMDGAPGKAYTQWAADMAVRLGTGVPWVMCKQDDAPDPVINTCNGFYCDYFSPNKAYKPKMWTEAWTGWFTEFGGPVPHRPAEDMAFSVARFIQKGGSFVNYYMYHGGTNFGRTAGGPFIATSYDYDAPLDEYGLLRQPKWGHLKDLHRAIKLCEPALVSGDPTVTPLGKYQEAHVFKYKAGGCAAFLSNYNPRSYATVEFGNLHYNLPPWSISILPDCKHTVYNTARVGSQSAQMKMTRVPIHGGLSWSAFNEETTSTDDSSFTVTGLLEQLNTTRDLSDYLWYSTDVVINSNEGFLRNGKSPVLTVLSAGHALHVFVNGQLSGTAYGSLGSPKLTFSESVNLRAGINKISLLSVAVGLPNVGPHFERWNAGVLGPITLNGLNEGRRDLTWQKWSYKVGLKGEALRLHSLSGSSSVEWFRGFLVSRRQPLTWYKTTFYAPAGVAPLALDMDSMGKGQVWINGQHLGRYWPAYTASGSCEDCNYAGTYNEKKCGSNCGEASQRWYHVPHSWLKPTENLLVVFEELGGDPNGIHLVRRDIDSVCADIYEWQPNLVSYEMQASGKINKPLRPKAHLSCGPGQKISSIKFASFGTPVGSCGNYHEGNCHAHKSYDAFQRNCVGQSWCTVTVSPEIFGGDPCPNVMKKLSVEAICT >OIW16266 pep chromosome:LupAngTanjil_v1.0:LG02:23303477:23304139:1 gene:TanjilG_18981 transcript:OIW16266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIQTMGSQSNGQQSHSHPSQLGRQNSWYSLTLNEVKSHLGYIGKPLGSMNLDELLQNIWTAEANEIAGVENEQMSSSSSLQRQASMTLAHALSGKTVDDVWREIQQGQQKRYGNDIKTENKEMTYGEITLEDFLVQAGLFAEASISPTTGLNTMDSSTPQSLQQITGLSPSPSISSLSDTKPGRKRDAPDAYEKALERRMRRKIKNRESAARSRARKQV >OIW17423 pep chromosome:LupAngTanjil_v1.0:LG02:1779945:1782891:-1 gene:TanjilG_22535 transcript:OIW17423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRHPEVKWAQRVEKVYITVQLADSKDAKVDLTPDGVFTFSANAGAGDNQYELKLELFDKVNVEESKINVGVRSIFCVLQKVESEWWTRLLRAEGKAPHYVKVDWDKWVDEDEDEVAEPDTGGMDFSKFGGMGDDAMGGLGGMGGLGGMGGLGGMGGLGGMDFSKLAGMGGDAMGGMDFSKFGGLGDDEIGDDLDGSDDEGEEVSKPGEQDAGKSTGEASTAKQEAAPSST >OIW16934 pep chromosome:LupAngTanjil_v1.0:LG02:10420146:10420334:-1 gene:TanjilG_18622 transcript:OIW16934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVWSYPPTARQLAATATVFVIGASLISVGAYLSYANIAPQQAHAKARSEAIKKQLRKILDD >OIW17308 pep chromosome:LupAngTanjil_v1.0:LG02:841794:845074:1 gene:TanjilG_22420 transcript:OIW17308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKRKHSESESVAVPKKEDSASERPTRTLLGWKDNNNNNHNDEVKDLDSPFFRNKEKVLVTCSRRINYRYRHLMLNVVSLLPHCKKDNKVESKETKGATLNELVELKNCSSCLFFECRKAKDLYLWMAKCPNGPSVKFLVSAVHTMEELKLTGNHLKGSRPILTFSTNFEKDAHWKLLKEMLLQIFETPKDHRKAKPFHDHVFVFSIVDDHIWFRNYQISVPHNEADKLPRGGLDKMTLVEVGPRFCLNPIKIFGGSFGGPTLYENPFYVSPNQIRALQKKKNAGKYAKKVKAKTRRKMHEMSNTLEPDEFADMWKE >OIW17145 pep chromosome:LupAngTanjil_v1.0:LG02:4746695:4747778:-1 gene:TanjilG_21122 transcript:OIW17145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDPSNVELNEIVTRDIEDGNVGDEFAASSLKGIRVIKAHKGFILCDFIVHNQVSDENGNWHVGAIATLVDIIGSTVSYTFTSYHQVTVDFSISYYSTAKVQEEVEVEAKVIGKKEKLSAAIVEIRKKENGELVALGKLWFSSTIRAAQPSKL >OIW16674 pep chromosome:LupAngTanjil_v1.0:LG02:18205213:18205698:1 gene:TanjilG_28731 transcript:OIW16674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEQSLHPPSNFQTQEPNVHQEEEVGSSRLRPCRLSVRATNQAGPDTINTPYQWATSTRATVYSLEHLLSQNIISISGKVKCKWIEDLYEIEFSLSDKFNEVVGFIIKERDNMYDRAPQSWTKPVLPTCNHCGKENSLKRVFTKKRIINYLLLLLGKMIGC >OIW16482 pep chromosome:LupAngTanjil_v1.0:LG02:21325927:21327807:1 gene:TanjilG_32152 transcript:OIW16482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENGEEKLLAVARHIAKTLGHNNINMADDILQIFSNFDGRFSKENLSDKVLDSDHRSCVALDHSLKSLDQRISHFVSSDHPIWADSADSAAFLDAVDDLVAALSEWSSLAADKSVGACIARTEDMLQQAMFRLEDEFRWLMERGGESYDLTRPYSKSTENNMPFDSEEDEEEEQQIPVALPVTDYDIVIDALPSGTINDLHEIAKRMVAGGFRKECSHVYSSCRREFLEESLSRLGLQKLSVEEVHKKTWQELEDEIERWIKASNVALRILFPSERRLCDRVFFGFSSAADLSFMEVCRVSAIQLLNFADSVAIGSRSPERLFRILDVFETLRDLIPEFESLFSDQYSVLLRNEAITIWKRLGEAIKGIFMELENSIRRDPAKVAVPGGGLHPITRYVMNYLLAASRSRISLEQVFEEYPKLDDRVASSTSSLSVQMDWIMELLQTNLEAKSKIYKDTALSYVFLMNNGRYIVQKVKDSELGTLLGDDWIRKHTAKVWQYHVQYQRSSWNKVLGILKLDNSNGSMGANGFAKTIKEKMKLFNTQFEEICKVQCTWFVFDEQLREEIRISLEKILLPVYGNFIVRFRSVPELDKLGDKYIRYGTEDIEAKINELFQGTSGSNGSCK >OIW17214 pep chromosome:LupAngTanjil_v1.0:LG02:3492091:3493914:1 gene:TanjilG_02503 transcript:OIW17214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTTTLFLSNPFKSQFPITTTRYSHPTFTYSSVTAQSHSAKHHLLTLISDQNRGIKTQSNPQKRASIIDAIDAVAAVGAGSVTTGDSLSGTWRLLWTTEKEQLFIVEKAYLFGTSAGDVLQVIDVENKTLNNVITFPPYGVFFVRSGIEIASPQRVNFRFTSAVLRGKNWEIPLPPFGQGW >OIW16865 pep chromosome:LupAngTanjil_v1.0:LG02:12260591:12265412:-1 gene:TanjilG_16445 transcript:OIW16865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDMKKNQQKQVVANEDSDKKERRHIVTWTQEEDDILRKQIGTYGTVKWYTYLNSDFKKGGWSPEEDKLLCEAQKIFGNRWTEIAKVVSGRTDNAVKNRFSTLGKKKAKYEALAKENNSSYINSDNKRVILEHECNTDTTSESAVANKKTRRSHIPDDGAEKISFGGKSHKENGTPLNQQPRVPFAVLPQNSHNFNILSAQNKIQGTFLKKDDPKISALMQQAELLSSLALKVDAKSMDQSLENAWKVLQEFLNQTKKSDISRYKIPDLQLLDLKDLLEDLKGSSDGIQPCWRQMELYEDSPGSSEYSTGSTLLSNSASENFENSRHQEIGTELKSIQIGDGDEGVRGCDQGVLSSPTLNKTVIFPSCEEQIEKDGIASALSSAGFNSPLQATPLFKSLTTGIPSPQFSESERNFLMKTLGMESPSLNLSAKTSQPPLCKRTLLHSL >OIW16809 pep chromosome:LupAngTanjil_v1.0:LG02:14761028:14761207:-1 gene:TanjilG_28520 transcript:OIW16809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDKGNKKSWFSDILRSFTSLFCGKGVKTSTKASVASGPEATMVAAAKHFSSAHKVKFN >OIW16922 pep chromosome:LupAngTanjil_v1.0:LG02:11103905:11104514:1 gene:TanjilG_19227 transcript:OIW16922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARDSVLARVATGAAVGGAIGGAVGEIILHVSIYSCSALYELWYKFDNRLHAGAVYGTYDAIRIEGIIIQRYSICQESGYSPDKPRH >OIW17314 pep chromosome:LupAngTanjil_v1.0:LG02:875378:886272:-1 gene:TanjilG_22426 transcript:OIW17314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWCTITKQAVSSTFRGFYLRLRPSRPPNLSRVYCFQQKSETLYQGDGGYFKFSVKEFASVAEVVSSSTEAEEDAAPDDEIQELLDDMRKEEEKKKKGEVGLSWRSQLNSMRGMSQSKYHELRRRQVKIETEAWEEAAKEYRELLMDMCEQKLAPNLPYMKSLFLGWFEPLRDAIEKEQELFRTGKLRTVYGPYFGQLPADKMAVITMHKLMGLLMTGNDHGTTRVVQAVLSIGDAVEQEVRIHKFLEKTKKKKDGKDKKKDVSESVAAVKEEEKLRKKVMDLMKKQKLVAVRGIVKGQDDSKPWGPVIKTKVGSRLIELLMQTAYIQPPSDQIVDCAPDIRPAFMHSFVTLTREAQKTSKRYGVIQCDPLVLKGLDKTAKNMVIPYMPMLVPPVNWTGYDKGGHLFLPSYVMRTHGVRQQREAVKRSPRKQLEPVFEALDTLGNTKWRVNKKVLSVIDRIWASGGRLADLVDRDDVPFPEEPDTDDEAQIKKWKWKVKHVRKENRERYAQRCDIELKLAVARKMKDEDGFYYPHNLDFRGRAYPMHPHLNHLGSDVCRGILEFSEGRPLGKSGLQWLKIHLANLYANGVDKLSHEGRTAFTENHLDDIFDSADKPLEGSRWWLSAEDPFQCLAVCITLTEALKSSSPETFISRIPVHQDGSCNGLQHYAALGRDKLGAAAVNLVAGEKPADVYSGIAARVLNIMQNDAQKDPAIFPDALHARTLVNQVDRKLVKQTVMTSVYGVTYIGAREQIKRRLKERDAISDDSELFGASCYAAKITLTALEEMFQGARSIMSWLGDCAKIIASENQPVRWTTPLGLPVVQPYRKLGRHIIKTSLQILTLQQETDKVMVKRQKTAFPPNFVHSLDGSHMMMTAVTCKKEGLNFAGVHDSYWTHAGDVDQMNRILREKFVELYETPVLENLVEGFQRSFPKLSFPPLPERGDFDLREVLESPYFFN >OIW16838 pep chromosome:LupAngTanjil_v1.0:LG02:14458101:14458496:-1 gene:TanjilG_06878 transcript:OIW16838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTRSVERGKAVAGMLKEDDEFECQSLSATADYDPECIASLTPKKRLGSTAQFEDDQDLAFAEMSSTKNAKHVHNE >OIW17476 pep chromosome:LupAngTanjil_v1.0:LG02:2241309:2244136:-1 gene:TanjilG_22588 transcript:OIW17476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNTSNNVGGVDNTFRRKFDREEYLERARKREKEEEEGSRSKSKAKGPPVQRKPLKHRDYEVDLESRLGKTQVVTPIAPLSQQAGYYCSVCECVVKDSANYLDHINGKKHQRALGMSMRVERASLDQVRERFEALKKRKDLGSFTEQDLDDRILKQQQEEEERKRIRREKKKEKKEKAVEEPEIDPDVAAMMGFGGFGSSKK >OIW16750 pep chromosome:LupAngTanjil_v1.0:LG02:16325584:16334030:-1 gene:TanjilG_10640 transcript:OIW16750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTPQRKATPTAASFTPHRGTTSNLPSSAAKGKSVLFAEEPPFPPPLASISETTKELTIGFDVGNVDDWRKFKEAGLLDEAVMQRKDHEAVLDKVSRLEKELFDYQYNMGLLLIEKNEWSLKFDQLRQQLAETEAILKREQSTHLIALSEVQKREENLRKALSTEKQCGADLERALRAIQEEHAQILSSSQTKLAEADALVDGIEEKSSVVNNKLHDAEAKLAEVNMRSAELDMKLRELEVRESLLQKERSSVATDRESFEATFYKQREDLKERERKLRQREDMLSDGRQNIGEREEKAIETEKNLKQKERDLEVLEKNINSSNSVLHEKEAEISRRLVDLDMEEKKMGSLKNMLETKEKELLETELKLSAREREGIQKVLAEQKDMLDLKLQQFELEMEQKRKSLVEEFRSKEEALEQREVEVNHRETKIGKEDQVLSKKAERIKEQNKELEAKLKSLKEKEKTMKIKEKELEKEKQQLLADVESLGNLKVELEKTKAEISQQELLICQESESLKLTEDERSEHSRLQLELKQEIEHTRLQKGLLLTEIESLRKDRERFEKEWEVLDDKKAKISKEQHEIDVEKERLRKLQHSEEEKLKREKQDMIDHIKKEMEKLELEKESFRESMEQEKLLLSDKVKNEKAQILNDFEWKTRNLENEMQKRQEQMEKDLKEREKKFEEEMERELNNINAMKDSTEKEWEEVKSVGLRLENERKELESNKHQLKSGQHEMHKDSEMLINLSMKVKKERERLVAERNHFLARVEKLRNCSGCGEVVRDFVVSDVQLPECKERKVIPLSTSPVLNDGILKNSQENLAASESNYSGSARPVSWLRKCTSKIFNISPIKRTDAVSASDTVGSSPLSDVHVNTKHVEDIASIPNILGARGILEEQQPSGVIAAHDSSQHQFDNIVREVGDEHSQPVDNHSYMDSFVDGGPVDSQQSAPKSHQRRAGRKSKSGISRTRSVKAVVKEAEEFLGKAAEKTENASLQSLNTDCIKEEGREDSSARNTGRKRLREQSTRVTESEQNVGDSEVQSDSITTGGRRKKRQTAAAPVPVSTQRRYNLRGHKTTGNVSSAQGKSNETRSVDKEAAGGKVADKNTDAAVGTSSVVADDNVQTTHLVQVSTAKTIVFSEEEVVRFEVPKDIVDDNGVATDCMEENAQPKDGGNTIREVENVRVVRFEVPKHIAIENVAATNSVDHVEEDAQPQYGDEDNDNEVVEEEEDEDDEEEEEDDDEDEHPGEVSIGKKIFKFFTS >OIW16408 pep chromosome:LupAngTanjil_v1.0:LG02:24344074:24346530:1 gene:TanjilG_19124 transcript:OIW16408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHIIWADDSRLFATVYAVSVSEIEALYELFKKISSAVIDDGLINKVFDLFDTKHNGILGFEEFARALSVFHPNAPIDDKIEFSFQLYDLKQQGFIERQEVKQMVVATLAESGMNLSDDIIESIIDKTFEEADTKHDGRIDKEEWRSLVLRHPSLLKNMTLQYLKDITTTFPSFVFHSQVDDT >OIW16896 pep chromosome:LupAngTanjil_v1.0:LG02:11886807:11888294:1 gene:TanjilG_01761 transcript:OIW16896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEGVEAQKERIMKRILLLVNCIIQVIGTCGGPLVIRLYFLHGGKRVWLSCFLQTAGFPILLIPLTIFYIIRRRNRELILSNDTSKAKIFTMKLPLFLIFSVIGVLQGLDSILYANGLAWLPVSTSVLIISTQLAFTAVFAFVLVRQKFTPYSVNAVIMLILGAVILALNGSGDRTAGETQKQYVKGFVMTVAAAILFGFVLPLVELVYKKAKQPITYSLVLEIQFVVSLFGTLFGIVGMLISHDFKAIPKEAKHFKLGETIYYVVLVVNAILWQLSGLGAMGVIFCASSLMSGIMVAMSTPITEVLAVIFYKEKFQAVKGISLALSLWGFVSYFYGEFQETRKIKKDSTPQTIRT >OIW16586 pep chromosome:LupAngTanjil_v1.0:LG02:19746889:19748693:-1 gene:TanjilG_02792 transcript:OIW16586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAEIEAAEEKHAEERSRNISTTTLSQDQFLIWKRQKDEAASARKSEASRKRAEDIAAGTVQMNGRELFVHEPWVFDNTLY >OIW17404 pep chromosome:LupAngTanjil_v1.0:LG02:1640734:1649628:-1 gene:TanjilG_22516 transcript:OIW17404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEPTEPFAPSSPTGPTAPSKDLTTVVHPRRELFEHGLLPIPKLIFSDPTQTLIPLKQKLLEQSSNNRVDSPIIADSLQISIDHATLVLDTLASVLPSVNGNRGENDAVGVDVFDLVVFLYVQSYKKLLPRTHKDSAAVSDVWPSTSAFDGYLSALSPLQLVRSNSRRFMPSQADEEAHQLSYLQKHLANILSLLAEPVEGEGDESLCPIHVRSMSDLRHSRLDSMSCKKLCLEWDTLLGVLAVHPCQVLTMDRFEHLGLLIQFGDKGSGGNSLSQVSPFFANSDPDMPDVPVPAAQVHDWLLQNIASALEYISERTSSKENGPANASDQDVAMTDACALKVSTGTRGTSFIEGISKSSYVKHASDIKGSSIKVLNCQESAIYILAPLRYATVYGCSDATIVLGAVGKAVRIEHCERVHVIVAAKRICIANCRECVFFLGVNQRPLVIGDNHKLQVAPYNTFYSQLEEHMNEVGILPTVNRWDEPLALGMVDHHDSLSHPAGVSDVQAETATRVDPDQFTNFVIPNWLGGESTGSTENNPFTLPDAYMASQLRNQKNLGEIRQLLREAPLEESRKKELSSALHVYFKDWLYASGNIRQLYCLQGE >OIW16228 pep chromosome:LupAngTanjil_v1.0:LG02:22950148:22952621:-1 gene:TanjilG_18943 transcript:OIW16228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQTSFSIILVFLLWCLTIAAGKKLLSHKSRSAITELAPASNDGICSSMVKTQGYTCEEHLVTTKDGYILNMPRIPVGRSKGKPCNRPPILLQHGLFMDGITWLLLPPEQSLAFLLADNGFDVWIANSRGTKYSRQHKSLSINSSDYWNWSWDELVSYDLPATFQYVQDQTGQKLHYVGHSQGTLVALAAFSKDQLLNMLRSAALLSPIAYVGQMTSPLATKAAENFVAETLYKLGIFEFDLKGGSVAKFLKDMCKSTAIDCTNLLTSFTGPNCCLSPSIVNIFLDHEPQSTATKNMIHLSQMIRQGTIAMFDYENMDENNRHYGQPTPPVYDMTRIPNDLPLFMSYGGADALSDVKDVQLLLESLKDHEGDKLVVQYRNDYAHADYVMGENAKQDVYEPLISFFKLQ >OIW17426 pep chromosome:LupAngTanjil_v1.0:LG02:1797060:1798923:1 gene:TanjilG_22538 transcript:OIW17426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLKLQKRLAASVLKCGRGKVWLDPNEGNEISMANSRQNIRKLVKDGFIIRKPTKIHSRSRARRIKEAKRKGRHSGYGKRKGTREARLPTKILWMRRLRVLRRLLRKYRESKKIDKHMYHDMYMKVKGNVFKNKRVLMESIHKSKAEKAREKTLSDQFEAKRAKNKASRERKHARREERLAQGPGEKPVAAATAAPVTASQPVQ >OIW16795 pep chromosome:LupAngTanjil_v1.0:LG02:14872907:14876225:1 gene:TanjilG_01360 transcript:OIW16795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACLSFSQFLSFPRCYLNCSYCYASFNVVQLRELRSSCNSGHVAFARNKASLDSAIDESNENGVVEEKKTTGSSKTKTVTSRRKKKYIDETPEENNVLLVDSDAAANEESSSASSDDSKKSPRSRRKGASSSAEFEVEKELKEEKKVRRGRKPKVENVIIQDKCSKSEIDDREDKKVRRRGRPKGGNVIIEDKGSKAKINGQEVKKVSSRRRSKEENVIIKDKGSEAEISDLDESSFIENLEEGDDGLELIKDDGGDIGSTNDRSPLVCCFGSAQNAFVPSARPANRLTDYEIHEQMKGALRSPENFYSKTPGGSAGSVAVALASLGGKAAFMGKLGDDEFGQVMLYYMNVNNVQTRSVRIDNKTATAVSLMKVGKRGRLKMSYVKPCAEDCLTMSEINIDVLKEAKMFYFSTHSLLDHSMRSTTLQAIKIAKKFGGLVFYDVNLPVPLWHSSEETKTFIRQVWNQADIIEVTKQELEFLCGIIPSEKFDTKNIDSSNFVHYGPEVVAPLWHENLKVLFVTNGTSKIHYYTKELNGAVSETEDDDDPPTPFTSDMSASGDDIVAALMRMLAVAPDMITDEWYLEQTIKYAIYCGVMDQKILAQKRGVPHMKTRRK >OIW17313 pep chromosome:LupAngTanjil_v1.0:LG02:872332:873829:-1 gene:TanjilG_22425 transcript:OIW17313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNDSKFNFDELRWVIKIRETLDKELQDDGEFHVSIFNVPKPLMAIDPDSYIPQQVAIGPYHYWRDELHEMERYKLEATKRFQKRLQFLKLHHFVDQLISFEHRIRACYHKDLNFNGETLVWMMTIDASFLLEFLQVYAIQDRTMVQGVSPRMCHLVDYSGRKLAHNAILKDIVMLENQMPIVVLRKMLEFKFSSIEIADHMLLSMFIGLFKEISPFKVMEEDYKEIIVSDCAHLLHFLYDMIVPKLQEQSDRVEFEDQHKDKEVDEKSVINYAKNFLCEVWNLLSTLASKAITSIKKFLQCSLMKTIINIPWTIISNLPIFGTIKQNVEYLVSSQEKEATKAENEDLNLDNIIKKSPLMEEITIPSVTELSKSGVYFIATNGDISTITFDVKTLTLYLPKISLDINTAVFLRNLVAYEASISSGPLIFTRYTEFMNGIIDSDEDAKILRENGVILNHLKSDAEVANMWNGMK >OIW17014 pep chromosome:LupAngTanjil_v1.0:LG02:7918286:7924028:1 gene:TanjilG_25008 transcript:OIW17014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWFPNTKASVIRKGGNGNGLVAVAIDKDNKGSRHALKWAADSLLTRGQTLVLIHVLHTKSTLVSSGGREAIICNSNSPAPDASPQREELVSITKDLFHTFHCYCTRKDIQCLDVLLEDTDVVKGITEYVSYAAIENLVLGAASRHGFIRFKSSSKPSSIAKGSPDFCTVYVISKGKISSVRSASRAAPHASPLLKDIQNLNNENNPKISSSRHMTMGSRDHHRTSIKPHSWQDESIKSQIGKRIGLSGRSCMDYSESDTDISFVSSERPSTSTGRSSSVYTDYIDSGRNSRTSTSSDRSLGTGFMFTDLTSQDLSFSKESSLTSSSYSYQNMDEAEVDMRRLRLELKQMMEMYGAACKEALTAQQKLMELNNQRTDEEKKVDEARLAQEAALATAEKEKARSRAAMETIEVATKVVEMESSRKMNVKTEALKEAEEMRKLLNDVAEAGERYKKYTIEEIEIATDSFSESRKIGEGGYGPVYKCYLDDIPVAVKVLRPDSTQGKSQFQKEVDILSCMNHPNMVFLLGACPEHSILIYEYMENGSLEDCLFRNKDENLMSWQLRFQIAAEIATGLLFLHETKPEPLVHRDLKPSNILLDHNYVSKISDVGLARILPVVAENVTQCHMTSAAGTFCYIDPEYQQTGTVGVKSDVYSLGIILLQLLTGRPPMGLAYQVGESIENDKFVEMLDKSVPEWPLEEALCLAKVAVKCVELRRKDRPDLGKEVLPELQKLREFAEDIMSPITDFFGEDSSSEPSPSQSHASMQQDVMSEPRCGGVHQTQASRFFKTSGLSSRVCGYGSLTLGLNNGQLAAAIRFLFKDKTGCGASFQ >OIW16109 pep chromosome:LupAngTanjil_v1.0:LG02:21862780:21863063:-1 gene:TanjilG_18824 transcript:OIW16109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSHVLPSSPTMQPPHVPSSTIVEGSEASSPNSGGLDVDFEEMEKLIRLGFTINLIYYDLYLAT >OIW17590 pep chromosome:LupAngTanjil_v1.0:LG02:1101:8354:1 gene:TanjilG_08868 transcript:OIW17590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLKRKTSEEEDNGRQVIQRSDDTVACVHDVSYPDGYVIPVPNQQQQQQQQQPAKTFPFSLDPFQSQAISCLEKGESVMVSAHTSAGKTVVASYAIAMSIRNAQRVIYTSPIKALSNQKYRDFKEQFSDVGLMTGDVTIDPNASCLVMTTEIWRSMQYNASPVTREVAWIIFDEVHYMRDRERGVVWEESIVMSPKNSRFVFLSATVPNAKEFADWVAKVHQQPCHIVYTDYRPTPLQHYLFPSGGDGLYLVVDEKGKFREDSFQKALNSLVPAGEGDRKRESAKWQKGLMLGRGGEETDIFKMVKMIIQRQYDPVILFSFSKRECEFLAMQMAKMDLNGDEEKDNIEKIFWSAMDMLSDDDKKLPQASYVSNMLPLLKRGIGVHHSGLLPILKEVIEILFQEGLIKCLFATETFSIGLNMPAKTVVFTNVRKFDGDKFRWISGGEYIQMSGRAGRRGIDERGICILMVDEKMEPSTAKMMVKGAADSLNSAFHLTYNMILNQMRSEDGNPENLLRNSFYQFQADRAIPDLEKQIKTLEKERESIVIEEEDSLKDYHDLLEQHRSLNKEVHDIVMSPMHSLIYLQPGRLVSLQCTSSDVDVPTIFTEDQLTWGLVISFEKVKSVSEDDASIKPEDAKYNVDVLTRCVVRKDKLGKKSVKIVPLKDVGEPRVVTVPLLQINTISSLRVYIPKDLLPLEARENTLKKVLQTLSRSNEKGGVPLLDPEEDMKIKSSVYKKASRRIEALESLFERHEIAKSPLIKQKLKALQMKQELTAKIKSIKRTLKTSTALAFKDELKARKRVLRRLGYATSDNVVELKGKVACEISSADELTLTELMFNGVFKDIKVEELISLLSCFVWQEKIHDAAKPREELDLLFVQLQDTARRVAQLQLECKFYNAITSSFFLDHEKQVQIDVESFVKSFRPDIMEAVYAWAKGSKFYEIMEITQVFEGSLIRAIRRLEEVLQQLILAAKSIGETQLEAKFEEAVSKIKRDIVFAASLYL >OIW16329 pep chromosome:LupAngTanjil_v1.0:LG02:23809045:23814097:1 gene:TanjilG_19045 transcript:OIW16329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNGDCESPMLQARGRLATLSAHLLPSSTDHSVPHLHPHPLLSAPPPPQPANLNGTLTVVDDRTAKKYQLQVSPDGTVKASDFKKISTGKNDKGLKLYDPGYLNTAPVRSTISYIDGDEGILRYRGYPIEELAEKSTYLEVSYLIMYGNLPSESQLAQWSFAISQHSAVPQGVLDIIHSMPHDAHPMGVLVNAMSALSVFHPDANPALRGLDVYNSKQVRDKQIARVIGKITTIAAAVLLRLAGRPPVLPSNNLSYTENFLYMLDSLGNRSYKPNPKLTRALDIIFILHAEHEMNCSTSAVRHLSSSGVDVYTAIAGAVGALYGPLHGGANEAVLKMLSEIGTIDKIPEFIEGVKARKRKMSGFGHRVYKNYDPRAKVLRSLAEEVFSIVGRDPLIEVAVALEKVALSDEYFIKRKLYPNVDFYSGLIYRAMGFPPEYFTVLFAIPRMAGYLAHWRESLDDPDTKIMRPQQVYVGEWLRHYTQTSERTVSNDADKLGQIAISNASRRRLAGSGI >OIW16144 pep chromosome:LupAngTanjil_v1.0:LG02:22184136:22193230:-1 gene:TanjilG_18859 transcript:OIW16144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFHQFGATAESLSKASTAVFRIGTDAHLYDDPEDVNIAPLLDSKFDSEKCEALKRLLALIAQGFDVSNFFPQVVKNVASQSLEVKKLAYLYLLHYAEKHPNEVLLSINCFQKDLGDTNPLVRAWALRAMAGIRLHVIAPLVIVAVGKCARDPSVYVRKCAANALPKLHDLRMEEHTSAIEEIVGLLLNDHSPGVVGAAASAFTSVCPNNFSLIGRNYRRLCEILPDVEEWGQIILIGILLRYVIARHGLVKESIMCSFYNKDDGNVVKDEPDIVFKKDSSYANEGTVSELANMIFQCYIEGPDEYLSRSSSTNRVAPKLDVSQFTSCSNDVVKILLQCTSPLLWCNNSAVVLAAAGVHWIMASKENVETIVKPLLFLLRSSYASRYVVLCNIQVFAKAMPSLFTPHYQDFFICSSDSYQIKALKLEILSSIAMDSSFPYILKEFQDYIRDSDRRFVADTVAALGLCAQRLPKMANTCLEGLLALIRQELLCGEIRSVDGEEAVLIQAIMSIKSIVQLEPPSYEKVIIQLIRSLDIIKVPAARAMIIWMLGEYCSLGELIPRMLSTVLKYLAWCFPSEAIETKLQILNTTAKALLCIKGEDIWTLRKVWSYAIELAECDLNYDVRDRSRFLKKLLSSNLEPQHLEEENSELQKRGQSYVLAECIFGRQIKAVTVSSEPINYRFYLPGSLSQLVFHAAPGYEPLPKPCSLPYIDPDQRDGAAEGDSDEEDGSGTSGSLDEESASDYSSEQSITGSSEVSGSNENVSGNEGDNHADPLIQISDTGNVYDNQNGGAHSGTADFEDLMSTKSLESWLDEAPRSSNETGTEQNRVHRSSARITIRNIESRVKPKCYTLLDPTNGNGLKVNYSFSSAASSISSHLICLELYFENFSLEPMFDIILIDEDSSKSSDSMDQISPTSENTLKIHTDKPALVSMEEIPSLEPGQTANRTVLVRFHHHLLPLKLALFCNDKTFPVKLRPDIGYFVKPLSISIEAFRDKESRLCGMFEYVRSCTFTDHIQELSKGSNPLTEDKFLVICESLALKMLSNANLSLVSVDMPVSSNLDDASGLCLRFSSEILSNSIPCLITVTVEGKCSDPLTVSAKVNCEETVFGLNFLNRVVNFLVEPSVTHS >OIW16167 pep chromosome:LupAngTanjil_v1.0:LG02:22446766:22447188:-1 gene:TanjilG_18882 transcript:OIW16167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLIPSFFGTGRSTNFADPFSLGSTKETITAIPYSHKDWKETQEEHMIKVDLPGLKKEEVKVEIEGRVLQISVEKSNEEEERNHARHHRMERSSSGRRFLRRYRVPESAKVDDVKASMENGVLTVTFPKEEVKKPVPITG >OIW17329 pep chromosome:LupAngTanjil_v1.0:LG02:991188:998145:-1 gene:TanjilG_22441 transcript:OIW17329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILSALLTSVGINLGLCFIFFTLYSILRKQAGNISVYAPRLVVEGKLQDDGRFNLDRLLPYAGWVRRAWEPSDDEFLSIAGLDAFVFMRIFVFSLKVFTFGGIVGILFLLPINYMGTQLRDDSEFQKKSLDSFSISNVNNGSNRLWIHFCAAYVFTGVVCILLYYEYEYISSRRIACFYSSKPEPHQFTILIRGIPVPPGRTCNEAVESFFQEYHPSTYLSHSVVRRSSKLQILVTDAERLYRRLTQLKHKDAAPQRHRRDGCLGLFGHKVDIVDQYEKRLGDIADNVRIEQFSMVGKEVPAAFVSFKSRFGAAIALNIQEGVNPTDWITERAPEPHDVYWPFFSVTFIRRWISKMVAFVASNALTLLFLIPVALVQGLTHLDQLETMFPSLRGILRLTVVSQVITGYLPIQILHMFLSFVPPVMIMISSLQGYISWSQIQKSACTKVLWFTIWNIFFANVLSGSALYRLTVFLEPKEFPRVLAEAVPAQASFFIAYVVTSGWTNIAIELFQMIPLFYNYINQIFYGHTDDDFEAPSIPYHSEIPRILFFGLLGITYFILSPLILPFLLVYFCLGYIIYRNQLLNVYVPKFQTGGEFWPTVHNYTIFSLLLMHIIVIGIFGLKKLPLASASTLPLPILTLLFNEYCQKRFFPIFKGYPVECLIKKDREEQNDPSMSEFYDKLASVYNDPALMPIKYSGRFGSHNSPLLHTSEV >OIW16662 pep chromosome:LupAngTanjil_v1.0:LG02:18864269:18864706:1 gene:TanjilG_30795 transcript:OIW16662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKNKGKGGKNRKRGKNEADDEKRELVFKEDGQEYAQVLRMLGNGRCEAMCIDGTKRLCHIRGKMHKKVWIAAGDIILVGLRDYQDDKADVILKYMPDEARLLKAYGELPDNTRLNEGIGGGLDEEDDADGTDYIDFEDEDIDKI >OIW17051 pep chromosome:LupAngTanjil_v1.0:LG02:6513468:6518157:-1 gene:TanjilG_02419 transcript:OIW17051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSVYGARLTTFEDSEKESEYGYVRKVSGPVVVADGMAGAAMYELVRVGRDNLIGEIIRLEGDSATIQVYEETAGLMVNDPVLRTHKPLSVELGPGILGNIFDGIQRPLKTIAKRSGDVYIPRGVSVPALDKDTLWEFQPKKIGEGDLLTGGDLYASVFENTLMQHHIALPPDAMGKITYIAPPGQYSLKDTVLELEFQGVKKKFTMLQTWPVRTPRPVASKLAADTPLLTGQRVLDALFPSVLGGTCAIPGAFGCGKTVISQALSKYSNSDTVVYVGCGERGNEMAEVLMDFPQLTMTLPDGREESVMKRTTLVANTSNMPVAAREASIYTGITLAEYFRDMGYNVSMMADSTSRWAEALREISGRLAEMPADSGYPAYLAARLASFYERAGKVKCLGGPERTGSVTIVGAVSPPGGDFSDPVTSATLSIVQVFWGLDKKLAQRKHFPSVNWLISYSKYSTALESFYEQFDPDFINIRTKAREILQREDDLNEIVQLVGKDALAEGDKVTLETAKLLREDYLAQNAFTPYDKFCPFYKSVWMMRNIIHFYNLANQAVEKGAGADGHKITYSLIKHRMGDLFYRLVSQKFEDPAEGESALVAKFKQLHDDLTNGFRNLEDESR >OIW16286 pep chromosome:LupAngTanjil_v1.0:LG02:23446741:23447822:-1 gene:TanjilG_19002 transcript:OIW16286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNILFVSGVSLTIGLKSTTQFFMKRSNFKGTISFGIGFFILIIGWPILGMIIEAYGFIVLFSGFWPTLSVFIQKVPVLGWLFQQPFVRSLFDSYRGRRVPV >OIW17171 pep chromosome:LupAngTanjil_v1.0:LG02:4054785:4055579:1 gene:TanjilG_18126 transcript:OIW17171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYNNNNPRNGNNTTQACAACKYQRRKCAPDCILAPYFPHDRQRQFLNAHKLFGVSNITKIIKFLEPHEKDQAMRTIIYQSDMRANDPVGGCFRHIQDLQAQIEYYHAELELVLQQLAFVRAQAHQQQQSQHVYNPTMSNVNVGINGEDVMSASDPLSLYNAPLTNQYHYISQVPQHEHYIMLPETNENNCSNNNNNAALQEHVNAWTMQNSMSLSSLSLQGQNSNASVGDEYDPKPMLEIPCDERNELGFEVENLVHHRFVYH >OIW16517 pep chromosome:LupAngTanjil_v1.0:LG02:20971210:20978165:1 gene:TanjilG_32188 transcript:OIW16517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSALEYLFPLTYTKTYHNIHHRRNSPTSFRQIPTRFVPKSLPNRVLRPANSSIDFTSFRFDLWVGFKRVYYDGRKSCAATKASSSGEESDSGEKSEAKNGEGQGVEKGEPGHGSNRRKEKGGWWWWFGSKTKRGGGGSGGKWRWQPILQAQEIGVLLLQLGIMIFIMRLLRPGIPLPGSEPRTTTSFVSVPYSEFLSRISSDQVQKVEVDGVHIMFKLKPDVVGTSGQDSVDVSSGTSSSSSRLQDSEFLIKSVAPTKRIVYTTTRPSDIRTPYEKMLDNEVEFGSPDKRSGGFFNSALIAMFYVALLAGLLHRFPISFSQHTAGQIRNRKSGKSAGTKSSDQGETITFADVAGVDEAKEELEEIVEFLRNPDRYVRLGARPPRGVLLVGLPGTGKTLLAKAVAGEADVPFISCSASEFVELYVGMGASRVRDLFARAKKEAPSIIFIDEIDAVAKSRDGKFRIVSNDEREQTLNQLLTEMDGFDSNSQVIVLGATNRSDVLDPALRRPGRFDRVVTVETPDRVGRESILKVHVSKKELPLAKDVELANIASMTTGFTGADLANLVNEAALLAGRQNKVVVEKIDFIHAVERSIAGIEKKTTKLQGSEKAVVARHEAGHAVVGTAVAKLLPGQPRVEKLSILPRSGGSLGFTYIPPTTEDRYLLFIDELLGRLVTLLGGRAAEEVIYSGRVSTGALDDIRRATDMAYKAIAEYGLNQTIGPVSIATLSNGGMDESGGSALGGRDQGHLVDLVQGEVKALLQSAMKVSLSVVRANPTVLEGLGAHLEEKEKVEGEELQKWLQLVVAPTELAVFVKGKQESFLPLQTSS >OIW17555 pep chromosome:LupAngTanjil_v1.0:LG02:207478:211990:-1 gene:TanjilG_08833 transcript:OIW17555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTLLLHSHPFFFCIAISIILINITSHVSANDYTKCYATHVYSCGTITNLTYPFSGGTRPEYCGEPNLKVNCENHVPKFTVNSVTYRIIDWDLKTQNLKVARDDLWDTVCLTSYHATSFENTVFYFNGGLANITLLYDCTGNTQPTPNSEDCGGGKYVYYGVGILPTNYCKSVIVPISGTLAQDVAKDESIIPNTLKDGFELRWDQSYAQCSTCVETGGVCGNKDDQFGCFCNNGTLCQEKGKSKVGVALGATAGAVVALVAIFGFACFMVKRKKKIAAITKQSRSNDHFLPPSSNDDTFTSTTNMSQSVPSYISSKTDSVPPMKSFYFGVQVFTIDELEEATDSFHPSREIGEGGFGTVYKGELKDGRVVAVKRHYESNFKRVQQFMNEVEILAKLRHKNLVTLYGCTSRHSRELLLVYEFISNGTVADHLHGNSANSNFVSWPVRLNIAIETAEALAYLHASDVIHRDVKSNNILLDDKFRVKVADFGLSRLFPNDATHVSTAPQGTPGYVDPEYYQCYQLNDKSDVYSFGVLLIELISSLQAVDVSRHRNDVNLANMALNKIQNQEVRDLVDPHLGYDKDYAIRKMTTGVAELAFRCLQQQRDMRPSMDEVLEVLRGIKSDEPGAMEAEVLNVRKEDVILSNNIPYPLSSTDSFDDKWVRSTSTSNSS >OIW17324 pep chromosome:LupAngTanjil_v1.0:LG02:962849:963637:1 gene:TanjilG_22436 transcript:OIW17324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIAAMPWYCLDNNFFFQHMMQEIKTLNLGEWWLCNTTFDLEAGAFSTSPKILPIGPLIDQNTTSFMQEDLTCLDWLDLQPPESVIYVSFGSMVSTKPNQFKELALGLELLNKPFLWVVRSGNGNNVNNIYPDEFTGRQGKIVGWAPQKKILSHPSIACFISHCGWNSTIEGVYGGVPFLCWPFCSDQLMNKTYICDVWKVGLGFNKDENGLISRGEIEKKVEQVLGDREMKERSSKLMKILIKNKSEGDKNLNKFISWAKE >OIW17202 pep chromosome:LupAngTanjil_v1.0:LG02:3247718:3248829:-1 gene:TanjilG_02491 transcript:OIW17202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKRILEELKDIEKLKYSACYAADVDFPPDYPSKPPKVAFRIKIFHPNIDSNGNISLDILKEKWSPDLTMSKVVLSISSLLTDPNLDDPLVPEIAHMYKTDKSKYDATARSWTQKYAMGLACN >OIW16594 pep chromosome:LupAngTanjil_v1.0:LG02:19969037:19970168:1 gene:TanjilG_02800 transcript:OIW16594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISLHKALRENPNVEVPSELEPSPKKGKWEEPFTEELFKDQSNTEKIKSIFGIELHLETPLPSHNWQQYLSIQSGHIHLCDTTTIISNTPNSRRSPEPEPPSHGQMSLDLELNLTYKSLVKKEDSYDTREKKNFGSIEESSEAERDLLIASSKYKKDSPSLLSISEGDNTEMVASVCMRCHMLVLLCKLSPSCPNCKFMHPPDHNPSKFLKRKRVGMGGD >OIW17444 pep chromosome:LupAngTanjil_v1.0:LG02:1919250:1923086:1 gene:TanjilG_22556 transcript:OIW17444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEETFVPLEGIKNDLRGRLMCYKQDWKGGIKAGIRILAPTTYIFFASAIPVISFGEQLERDTEGVLTAVQTLASTSICGIIHSIIGGQPLLILGVAEPTVIMYTFMFNFAKERPELGRNMFLAWTGWVCVWTSLLLFLFAILGACSIINRFTRIAGELFGMLIAMLFMQQAIKGLVDEFRIPKREDPTSTEFLPSWRFSNGMFALVLSFGLLLTALKSRKARSWRYGSGWIRSLIADYGVPLMVLVWTGVSYMPSKNVPHGIPRRLFSPNPWSPGAYENWTDMINVPVVYIIGAFIPATMIAVLYYFDHSVASQLAQQKEFNLRKPSSYHYDLLLLGFLTLMCGLIGIPPSNGVIPQSPMHTKSLATLKHQLLRNRLMSTARKSMRTNASLGQLYGNMQEAYHQMQSPLIYQDPSARAQGLKELKESTIQAATSLGNMDAPVDEAMFDVEKEIDDLLPIEVKEQRVSNLLQSIMVGGCVAAMPFLKMIPTSVLWGYFAFMAIESLPGNQFWERILLLFTAPSRRYKVLEDYHATFVETVPFKTIATFTIFQTLYLFVCFGLTWVPIAGVMFPMMIMLLVPVRQYFLPKFFKGVHLQDLDAAAYEEQTALPFNLATQSDFGGGASQIDESEILDGVITRSRGEFRRTSSSKITSTTPTPGNDLKSHQSPRLSFNSRAGELTTEQSPRSGTRSLFSPNGREVRLSSLGRSPLNPDSKQQDNK >OIW16092 pep chromosome:LupAngTanjil_v1.0:LG02:21548791:21550639:-1 gene:TanjilG_18807 transcript:OIW16092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASHFQFFLFLVLVTLATLIVIPTTHAKLSPTYYNKVCPKALTTIKSVVQRAIARERRIGASLLRLHFHDCFVNGCDASVLLDDTPSFVGEKTSFPNNNSLRGFDVVDEIKAAVDKACKRPVVSCADILAVAARDSVAILGGQKYWYQVLLGRRDARNASWDAANNNLPPPFFNFTQLLGLFQGHGLNLKDLVVLSGAHTIGATQCAVFRDRIYNDTNIDPTFASNLRSICPQTGGDDTNLAPLDSTPNRFDTVFYTSLLYKKGLLHSDQELFKGNGSASDKLVQLYSRNSNAFAADFGPSVIKMGNIKPLTGINGEIRSSCRKVNNS >OIW17219 pep chromosome:LupAngTanjil_v1.0:LG02:3596989:3602034:1 gene:TanjilG_02508 transcript:OIW17219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKVKKKTASSGDRELKRVDSVPNRNKSIITTIIIILSSIIAIIIAYQFRQSSSSSSVSHTIDANYQNILTENARVSQNSSHRHYTYPVLAYITPWNSRGYEMAKRFNSKFTHLSPVWYDLKSQQTSLVLEGRHNADKGWISELTKSGEALILPRVVLEAFPAELVRKKKLRNKAIDLIVTECKEMGYDGIVLESWSRWAAYGILHDPSMRNLALQFVKQLGEALHSISSKRNSEQQLQLVYVIGPPSSEKLQEHDFGPKDLETLSEAVDGFSLMTYDFSNPHKPGPNAPMKWIQIVLQLLLDTSGNRVKSLAPKILLGINFYGNDFSLSSDSGGGAIVGRDYLTLLEKHKPELQWDKNSGEHFFLYTDDKDIKHAVFYPSLKSISLRLEEALSWGCGISIWEIGQGLDYFFDLL >OIW16661 pep chromosome:LupAngTanjil_v1.0:LG02:18813499:18815399:-1 gene:TanjilG_30794 transcript:OIW16661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFSSDNFLNQQPKLHTVQTFIQPENQPNPVPLIHSSNFRYDHEEIQTMVVSKSLGNASPPYTTNACAEKNKNLVGNKRKRNNNKAIHVRAKRGQATDSHSLSERVRREKINEKLRYLQDLVPGCYKAMGMAVMLDVIIEYVHSLQQQIEFLSMKLSAASISFDFNTSDMDATKTMQQRSASRHEIQEMETTAGEVYGGFCYFNPAWPLY >OIW16493 pep chromosome:LupAngTanjil_v1.0:LG02:21219476:21229055:-1 gene:TanjilG_32163 transcript:OIW16493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPLECWSSRTTTTTTTTTDDDTVEQVLMRSHHRSEATTTPSFSSSTKDSSIVQKKLRKFARNVSEAINSFKNSLNLDSTRDPTSSKIEASRKITWGTVVKNLTQLYPGSQLPEKLMCNIRKHYDSLPLSYGQAEFDMKDVFLHIKLIEQASETDQPAILFQEETDNDGEFEGSFLKLTFACNSPISWPAMSSALDSSSINCKKVQIFEKKSFTLGVAILVYQSGQDKFVRMRVENAIKFAMKKPRSSAVKLPFGLCGCQEENFRGKELGESEEDGGDACFGKEFENSCQNIQLQMPLPSSSFIVSVDEWQTIHSCVDEIEKWLLSSDSVEFTDQVEPNSYKGLYIGKRVGVEKLKGCDKGNSYEFELRKDLLELMTCGHRNILQFCGVCVHDNHGLCVVTKYMEGGSVHDLMSKNKKLQAKDIVRIAVDVAEGMKFMNDHGVAYRDLNTQRILLDKHGNACLGDMGIVTACRSVGEAMEYETDGYRWLAPEIIAGDPESVTETWMSNVYSYGMVIWEMVTSEVAYSAFSPVQAAVGIAACGLRPEIPKDCPQTLKYLMTKCWNNSPSKRPQFSDILAILLRPNNNNRLLHCMLPRKRASGEGGVVVEGDTDTINNTVASVSASFSKKNRTGCFAECSGSGADTVGSAVNDKGNGSIGGVSNKYNDSDSIGKLIGGGAANMVDIDEDLHSRQLAVYGLETMRRLFASNILISGMQGLGVEIAKNLILAGVKSVTLHDEGTVELWDLSSNFVFSQNDVGKNRAVASVSKLQELNNAVLVQSLTTKLTKEQLSNFQAVVFTEISLEKAIEFDDYCHSHQPSIAFIKAEVRGLFGSVFCDFGPEFTVFDVDGEEPHTGIIASISNDNPSLVSCVDDERLEFQDGDLVIFSEVHGMKELNDGKPRKIKNARAYSFTLEEDTTNYGAHEKGGIVTQVKQPKVLNFKPLKQALNDPSDFLLSDFSKFDRPPLLHLAFQALDTFISELGRFPVAGSEDDAQKVISIASNINENLGDGRLEDMNPKLLRQFTFGARAVLNPMAAIFGGIVGQEVVKACSGKFHPLFQYFYFDSVESLPTEPLDANDFRPINSRYDAQISVFGQKLQKILEDAQVFVVGSGALGCEFLKNLALMGVSCGSQGKLTITDDDVIEKSNLSRQFLFRDWNIGQAKSTVAASAAASINPRLNIEALQNRVGPETENVFHDTFWENLSVVINALDNVNARLYVDQRCLYFQKPLLESGTLGAKCNTQMVIPHLTENYGASRDPPEKQAPMCTVHSFPHNIDHCLTWARSEFEGLLEKTPAEVNAYLSNPSEYTNAMIKAGDAQARDNLERVLECLDKEKCETFQDCITWARLKFEDYFANRVKQLTYTFPEDAATSTGAPFWSAPKRFPRPLQFSSSDEGHLQFVLAASILRAETFGISIPEWVKSPNKLAEAVDKVIVPNFQPRKDAKIVTDETATNLSTASVDDAAVINDLIIKLERCWATLPPGFRMKPILFEKDDDTNYHMDMIAGLANMRARNYSIPEVDKLKAKFIAGRIIPAIATSTAMATGFVCLELYKVLAGGHKLEDYRNTFANLALPLFSIAEPVPPKVIKHQDMSWTVWDRWVVKDNLTLRELLEWLKAKGLNAYSISCGSCLLYNSMFPRHRDRMDKKVVDLAREVAKMEIPTYRRHFDIVVACEDDEDNDIDIPQVSIYFR >OIW17434 pep chromosome:LupAngTanjil_v1.0:LG02:1849578:1855814:1 gene:TanjilG_22546 transcript:OIW17434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTSRFRNLAPLTSSSYSSAAVEDASGGASNGVVSSSNYTDYEEDEASLGAGYRVPPPEIKNIVDAPPVPALSFSPYRDKIIFLKRRALPPLVELAKPEEKLAGIRIDGNCNSRSRMSFYTGIGIHQILPDGKLGPEIAVTGFPDGAKINFVSWSPDGQHLSFSIRVNEEDNNISKFRVWIADVETGEARPLFQSPNVYLNAVFDNYVWVNDSTLLVCTIPLSRGPPPKKPLVPGGPKIQSNEQKNIVQVRTFQDLLKDEYDEVLFDYYATSQLVLVSLDGATKDIGPPAVYTSMDPSPDHKYLLISSIHRPYSFIVPCGRFPKKVELWSADGKLIRELCDLPLAENIPIAFNSVRKGMRSIDWRADKPSTLYWAETQDGGDAKVEISPRDIIYTQPAEPLEGEQPVIFHKLDLRYGGISWCDDSLALVYESWYKTRQIRTWVVPPASEDVTPRILFDRSSEDVYSDPGSPMLRRTKAGTYVIANIQKESDEGRYIILNGAGATPEGNIPFLDLFDINTGKKERIWESEKEKYYESVVALMSDQEEGDLNLDQLKILISKESKTENTQFYLVSWPEKSLVQITNFPHPYPQLASLQKEMIRYQRKDGVQLTATLYLPPGYNPSTDGPLPCLVWSYPGEFKSKDAAGQVRGSPNEFPGIGPTSALLWLARKFAILSGPTIPIIGEGDEEANDSYVEQLVASAEAAVKEVIRRGVAHPKKIAVGGHSYGAFMTANLLAHAPHLFCCGIARSGAYNRTLTPFGFQNEVRTLWEATNTYVEMSPFMSANKIKKPILLVHGEEDNNSGTLTMQSDRFFNALKGHGTLCRLVILPHESHGYSARESIMHVLWETDRWLHKYCVSNTSDVGEDHDTGSVKENDNKGTTDAESKVVATGGGGSKEGSDLEYGGFHSLPRSSLW >OIW16139 pep chromosome:LupAngTanjil_v1.0:LG02:22146505:22148360:-1 gene:TanjilG_18854 transcript:OIW16139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKPCCHKESTNKGAWSKQEDQKLIDYIQVHGEGCWSSIPKAAGLYRCGKSCRLRWLNYLRPDIKRGVFGQDEEDLIIKLHALLGNRWSLIAGRLPGRTDNEVKNYWNSHIKRKLINMGIDPNNHKLHVHQIHATSASSSVSMKNDHRVSPYFKCYDAVNDEVLFSTCSDKKETTMVSSSNLNLDLTIALPSPRVDIVEDKPTPNSESRTERKETIHAWL >OIW16852 pep chromosome:LupAngTanjil_v1.0:LG02:13165315:13165503:1 gene:TanjilG_31253 transcript:OIW16852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKDHLGRKVGLKIEYEWKPLYCDKCSSIRHDCAMKVHKRIRHPPIQDNHYRKRNLRKLLLR >OIW17416 pep chromosome:LupAngTanjil_v1.0:LG02:1731393:1732504:-1 gene:TanjilG_22528 transcript:OIW17416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKRDVVSWTVLIMGFKNVGRCDDALLVFEQMQYAGVVPNQVTMVNALAACAKFGAIEMGTWIHDMIRRNEWELDVTLGTALIDMYSKCGRVEEGLSVFKSMKERNVFTWNAVIKGLALAKSGIAAIYWFNRMEQDGIKADEVTLISVLSACSHSGLVDMGRKIFGFLSNRKYGFHPNVKHYACMVDLFARSGCLQEAIELMRCMPFEPTKAMWGSLLVGSKSQCDLEFSEFAAKKLVELEPDNTAYYVHLSNLYAEMGRWSDVEKVRAMMKERQLTKDLGFSSVEVEQGSNVRELSA >OIW16589 pep chromosome:LupAngTanjil_v1.0:LG02:19812288:19815762:-1 gene:TanjilG_02795 transcript:OIW16589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSCFNLKTWLLSLVFLSYLLSLSHGIPNKEFRVRAVNLGGWLVTEGWIKPSLFDGIPNKDFLDGTGLQFKSVTTGKYLCAELGGGTSLVANRTAASGWETFRLWRLNENSFRFRVFNNQFVGLDGINVVAVSSVSTDSEKFHFVKEGGNSSLVRIKSSNGYFIQAKTEDLVTADVSEVNGWGEDDPTIFVLTITSRFQGEFQVTNGYGPRKAPQVMKKHWRTFIVKEDFKFIASNGLNAVRIPVGWWIASDPTPPSPYVEGSLNALDNAFLWAQEYGLKIIIDLHAAPGSQNGYEHSSSRDGSQDWGKTDQNIQQTLHVIDFLTARYANSPSLYAIELLNEPRAPGATIENLTKYYKAGYEAVRRHSHTAYVVLSTRLGTSNSREILPIASGLNRSVIDVHYYNLFEPQLNNITVQQNIDFILTNRSSQLNSVTTSNGPLTFVGEWVAEWQIEGAMQKDYEAFAKAQLDVYRRATFGWAYWTFKNIRNHWSLEWMIKNGYIKL >OIW16195 pep chromosome:LupAngTanjil_v1.0:LG02:22703738:22705747:1 gene:TanjilG_18910 transcript:OIW16195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCCASKSKLSTSNQVMESESQKILLELSAFDDVEAFKREVEEQGLDVNEECFWYGRRIGSKKMGYEKRTPLMIASLFGSTRVVKYIVEIGMVDVNTACGSDRVTALHCAVAGGSESSLEIVKLLLDAGADADCVDAIGNKPVSLIAPAFNLSANSRRKAMELFLRGGEGNQILYEMEQVPSEKKEGADKKEYPVDISLPDINNGVYGTDDFRMYSFKVKLCSRAYSHDWTECPFVHPGENARRRDPQKYPYSCVPCPEFRKGTCQKGDSCEYAHGVFESWLHPAQYRTRLCKDETGCNRKVCFFAHKPEELRPVYASTGSAMPSPKSYSAGAFDMTSMSPLSLSSSLPMPTVSTPPMSPLAASSSAKSGNLWQNKINFTPPSLQLPGSRLKAALSARDLDLEMEMLGIGSPSYARQQQQQQQQQQQQLVDEITRISSPSFRSRMGDLNPTNLDELLASADASILSQLHGLSVQPSTPTQLQTQNSLQMRQNMNHLRASYPSNNMPSSPVYKSSPYGFDSSAAVAAAMMNSRSAAFAKRSQSFIDRGAATNHPGMSSPSNPSCRVSSALSDWGSPTGKLDWGVNGDELSKLRKSASFGFRNNGVSSPKAQTEHVEPDVSWVHSLVRDERSERFGAEKQHQHQHQQYDLSKEILPPWVEQLYIEQQKQMVA >OIW17561 pep chromosome:LupAngTanjil_v1.0:LG02:163622:163957:-1 gene:TanjilG_08839 transcript:OIW17561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERGPRYKAYSVVRDKKLRMKYQSQHDKMFEPKVPTPPRKQVRCQVGMQDFNAILKKDNRNAVVNMLPSVIARKSYASIHELKCFSKDIEIRVGGRSSSVLHKTVFGCRHF >OIW17473 pep chromosome:LupAngTanjil_v1.0:LG02:2210298:2211260:-1 gene:TanjilG_22585 transcript:OIW17473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCQEEQLVEKVCELYEQISSLDSLKPSKNVDMLFTQLVLTCMPYSPIDVTKLNKNVQEIRSKLIRLCGVAEGHLENHYSTILGSYENPLDHLHIFPYYNNYLKLGLLEFNILSQHITNVPNKIAFVGSGPLPLTSIVLASNHLLSTTFHNYDIDPMANLNARNLVINDPELSNRMVFHSNDILDVSNELQEFEVVYLAALVGMDKESKNRVIDHLAKFMAPGSFLMLRSAHGARAFLYPVVEPNDLKGFEVLSVFHPTDEVINSVVIARKYPMPMPNISLDQGHGSMILPNKCAEIQVFNPLINHGNMIEELTVEEKHS >OIW16446 pep chromosome:LupAngTanjil_v1.0:LG02:24562747:24562962:-1 gene:TanjilG_19162 transcript:OIW16446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMMVVISLPLIILSLMIGLGCYLFGRARGRKDVQTNPQVYGMPTPPPGAGAASTSPPPHYFKPDNATANV >OIW16687 pep chromosome:LupAngTanjil_v1.0:LG02:18492772:18494825:-1 gene:TanjilG_28744 transcript:OIW16687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKLDALLGRRTFKAAKFKSTLNLAISRLPILKNQRHSRLRHARADVLQLLQIGQHERALLRVEHVIKDQNMLDVYDKIEGYCNLLIERVNLMEQERECPEELQEAASGLIYAASRCGDFPEIHEIRTVLTSRFGKEFVASAIELRNNCGVHPQMVQKLSTRMPSVDSRMKALKQIASENSIVLQLEEVDSASVKEQFNLQKQNQPEPEIKEENHYTLSNSLKTRQKYKGVADAAQAAFESAAYAAAAARAAVELSRSEPHDPDDDHHSQSPQPRKVLDKHDSLTSQVEDKELGETEGKEFNKNMHRVVKSKDILSSDSVDEILKGVTESVDAEIEDDPFEKELVFDESDSETENEDNGNQHSKQISLRNHALSGSKVQSELQVGLEKKPLSVRTR >OIW17581 pep chromosome:LupAngTanjil_v1.0:LG02:57772:61218:-1 gene:TanjilG_08859 transcript:OIW17581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGWWKVAILIVIVAVISSKMNWDKDGAIELIKDWSDKLGIWAIPLYISIHTFSIAFCLPSAVFLEAAASLLFGFFNAVLMVFSAKILGASLSFWIGRLVFRSSRSAVEWAHRNKYFHILSKGVEQDGWKFVLLARFSPVPSYVINYTLAATEVGFLLDFLLPTAVGCIPMILQNTSIGTLAGAAVAAASGSKKSQFWSYFFPVVGILSSVLISLRIKKYSTQISVSESSPDKRD >OIW17364 pep chromosome:LupAngTanjil_v1.0:LG02:1297228:1299205:-1 gene:TanjilG_22476 transcript:OIW17364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTTALDKDNIFISQLIHTSASLGFSSFSYSLFTYNHRPNIFLYNNTIWALSKFNAPRAILLFKGIRLLGLKPDNYSFPFVLKAVVHLLDDVVGRQIHCQAVSCGLDLDCSVVTSLVQMYCSCLHVSYARKLFDEITSKRVSLWNAMIAGYAKLGDMANARSLFDCMPEKDKDVVSWTAVISGYTQADNPNQAIALFHRMQLHNVNPDEIAILAVLSACADLGALELGEWIHNYIEKHKLHKIVPLCNSLIDMWSESRIVRKDMRNAGVEKKPGVSFIEVNNRVYEFIAGDKLDVSCVGTFDVLHSINEQLKMVCPKTAHRGPLDVDD >OIW17238 pep chromosome:LupAngTanjil_v1.0:LG02:3193831:3201709:1 gene:TanjilG_27435 transcript:OIW17238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGGRVVVLFISTCILLWMTIAVNGDTDPNDAASIRILFQGLNSAPQLGWPSNGNGDDPCGQYWKGITCSVNRVTEINLSNLGLTGTLPYGLKPLTSLTNLDLSNNNLGGTIPYDFPQNVKRLNLANNNLTGNIPFSFSDLTSLTDLNLGHNEFQQGLSFDFSRLSTLSTLDVSFNALTGDLPQSLSLLPDITSMYMQNNQFTGTIDILANLPLKDLNVENNHFTGWIPEQLQNINLHTGGNAWSSGPAPPPPPGTPPAPKSNQHHKHDGGSTSHSGADSGTSDRGKKAGIGGGGIAGILISIVVIGAIVAFFLVKRRKSKKSYSDVEKLDNQPFASLPSNELQHEVKLQTSSVIDTKMFDTSSSINLKPPPIDRHKSFDENELSKKPVVVKKTVTAPANLKSYSIADLQIATGSFSVDHLVGEGSFGRVYRAQFDDGKVLAVKKIDSSVLPNNLSEDFTDIVSNISHLHHPNVTELVGYCSEYGQHLLVYEFHKNGSLHDFLHLEDEYSKPLIWNTRVKIALGTARALEYLHEVCSPSVVHKNIKSANILLDAELSPHLSGSGLASYIPNADQVLNHNIGSGYDAPEVALSGQYTLKSDVYSFGVVMLELLSGWKPFDSSRPRLEQSLVRWATPQLHDIDALSKMVDPTLKGLYPVKSLSRFADVIALCVQSEPEFRPPMSEVVQALVRLVQRANMSKRTFGSDQGGTPRGSDEPSLRDF >OIW17170 pep chromosome:LupAngTanjil_v1.0:LG02:4047647:4052009:1 gene:TanjilG_18125 transcript:OIW17170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKAALWTGNNLFACEEFIRRPPIDTNSKLVNKIIEANETKRVKSYVNSGCRNPNDGVQSVTSSQQILNKLEGLLGDVTSTIQRIHGKLLVLSDHDVGVELNEVETYNDLDENGALSSTTDVTTTKQQKNTDVTHLATLMAILYSMVKQDYLMQERVVSALDLKLSSEELESYCQMWSLCPFINDETVRQAWELIR >OIW16122 pep chromosome:LupAngTanjil_v1.0:LG02:21959772:21962169:-1 gene:TanjilG_18837 transcript:OIW16122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIQDQDGVTRLQGGLSQGNGVSSSPGVHSVTYIQPQDQFSSGNSNALKVRKAYTISKQREKWTDEEHKKFLEALKLYGRAWPRIEEHVGTKTAVQIRSHAQKFFSKVTRDSSGSNTSLVESIEIPPPRPKRKPMHPYPRKLVETRKKRVSNTEQPLRPCSLKSSHFDQEDISPKSVLSADVSEALGSSDSDTPTQSLSPLSSINGVHTSGFSHVEPKTSFEEHGSPPPAGLNTGLAHDEQPLEKLELLHDESVSTKEVAAEESSGYTLKLFGTTLFVTDTSRPSSPSVEACKPIPAPYYTQLQSENSDISESLSPIVPWWSISLDRPSMPLHKEHEGKHIDSNLMDFEDKEVQKEGSSTGSNSNNSSVHDGENNESSGDQAKSHVTHVHCFNKVDELLNLLGRTNAQSEISAISKLRVRPNTSRKGFVPYKRCMAERENHNLLVTGEEMEMQQIRLSL >OIW17335 pep chromosome:LupAngTanjil_v1.0:LG02:1033755:1036918:-1 gene:TanjilG_22447 transcript:OIW17335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAIRKVMIPFIMFLLYILPSATKALSLASNTDKLALLDLKQKLTNSISDSLPSWNDSVSFCTWQGVTCSPSNMRVSSLQLQNQDWGGTLGPSIGNLTFLQNLNLSNINLHGEIPREVGNLKKLQVLDLSHNNLKGEIIHELSNCSSLQVISLIYNNLTGNVPSWFGSMVQLTQLLLGANNLVGTIPLSLGNLSSLVEISLGRNHLEGSIPYTLGKLINLKHLLISSNNLSGIVPDSLYNLTNVEVIDLGGNQISGTIPSNIDLFFPNLEIFLIGQNQFSGTFPSSISNITRLKWLEIVENSFEGSIPLTLGSLSELELFNIAANSFGSGKAHDLDFLYSLTNCTQLELITFEANKFGGALPDIISNFSTNLETFIVQDNQISGVIPEGIGQLISLSFLAMGKNFLEGSIPDSIKSLKLLENLDLQQNRLSGNIPTSIGNLTVLSELYLNSNNFEGRIPSNIRYCTMLHYFNASGNKLSGDISNETFGNQEGLINLDLSKNSFTGLIPSGFGNMNHLSMLYLQYNNFSGEIPMELGACTTLTMLGLANNSLQGTIPSFLTTLRSLQLLDLSNNQFSGTIPSRLENLTLLNTLDLSFNHLYGEVPIGGVFSNITKFSLIGNKDLCGGIPQLKFPACPSSRSKKHKWSYEKRLIIIIVSGGILIFFIILISLYYLKKKAKVLSSSPSMKNKYLRVSYGELHQATDGFSSMNLVGTGSFGSVYKGTLPYFERPVAIKVLNLQTRGGTKSFVAECNALGKIKHRNLVNILTCCSSVDYKGEDFKAIVFEFMPNGSLENLLHNNEQHEPQNLNLNLQQRISIALDVAHALDYLQHESQQAIVHCDIKPSNIILDDDIVAHLGDFGLAKLLQEDAIYSIREQAKSSTIKGTIGYVPPEYGAGGLESPQGDIYSYGILLLEILTGKRPTDSMFGEGLSLHNYCKMAIPEGITEVADARLLTVYHEEQMRITQHQQEMEDKFKECLVSLARIGVVCSDEFPAQRMNIKDVIVELHAMKQKLLH >OIW16301 pep chromosome:LupAngTanjil_v1.0:LG02:23602984:23605177:-1 gene:TanjilG_19017 transcript:OIW16301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNKIIPENIFNNIVETLAERQRSVSFFEEEKSNSVTTQFDRLFGRQKPVHHILGGGKSADCLLWRNKKISSSVLTAATILWVLFEWLNYNFLTLLCFALVLGMLVQFLWTNASGLLNSDRKPSKAPYFVVPEDFFVNIATMVGAEVNRGLRFLQDIARGGNLKQFLIAVVSLWAGAVIGSWCNFLTVMYIGFVAAHTLPILYEKYEDEVDNFIYKVLDQIQHNYRKVDSGLLGKISKGKFKGKKYE >OIW17450 pep chromosome:LupAngTanjil_v1.0:LG02:1975229:1977186:1 gene:TanjilG_22562 transcript:OIW17450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCFGCCEEEDLHKTAASGGPYVAKNSAGNGGNFQASETAKQAQGVKIQPIEVPEISLDELKEITENFGDISLIGEGSYGRVYYGVLKSGQHAAIKKLDASKQPDEEFLAQVSMVSRLKHDNFVQLLGYCVDGTSRILAYEFASNGSLHDILHGRKGVKGAQPGPVLTWAQRVKIAVGAAKGLEYLHERANPHIIHRDIKSSNVLIFDDDVAKIADFDLSNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLNAKSDVYSFGVVLLELLTGRKPVDHTLPRGQQSLVTWATPKLSEDKVKQCVDVRLGGEYPPKAVAKMAAVAALCVQYEADFRPNMSIVVKALQPLMNARPGPTNETTN >OIW17475 pep chromosome:LupAngTanjil_v1.0:LG02:2235447:2237870:1 gene:TanjilG_22587 transcript:OIW17475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLVDWFYGVLASLGLWQKEAKILFLGLDNAGKTTLLHMLKDERLVQHQPTQYPTSEELSIGKIKFKAFDLGGHQIARRVWKDYYAQVDAVVYLVDSYDKERFAESKKELDALLSDESLANVPFLILGNKIDIPYAASEEELRYHLGLTNFTTGKGKVNLADSNVRPMEVFMCSIVRKMGYGDGFKWLSQYIK >OIW16767 pep chromosome:LupAngTanjil_v1.0:LG02:15630357:15630749:-1 gene:TanjilG_05501 transcript:OIW16767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKHKSTKINDVMSKLKVDVPMPETRLEIGAPRLAHALPMTVPKWDMTESGFRHLGHCLCIMIKTHIPPAWVKAKATHQDAYISSIKTNQAKAMHTHHAKVASSVRRNQYQAKLNSSVKIAQPQARVAQH >OIW16305 pep chromosome:LupAngTanjil_v1.0:LG02:23651507:23653613:1 gene:TanjilG_19021 transcript:OIW16305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATDNAGEAVTDGLKLVGFNNFVRTNPKSDRFNVKRFHHVEFWSTDATNVARRFSWGLGMPIVAKSDLSTGNYIHASYLLRSGNLNFVFSAPYSTTISDSTATTTAAIPTFDAATCNSFTASHGIAVRAVAVEVDDAEAAFNTSVEHGAKPVSKPILLDNRVTLSEINLYGDVVLRYISYANPIHVSDPNPSNWFLPGFEAETSYPDLDYGIRNLDHAVGNVPDLSAAVNYVKSFTGFHEFAEFTADDIGTSESGLNSLVLANNTETVLLPMNEPVYGTKRKSQIQTYLEHNEGAGLQHLALTSEDIFKTLKEMRKRSYIGGFEFMPSPPPTYYANLKNRAGDVLSDEQIKECEKLGILVDRDDQGTLLQIFTKPLGDRPTVFIEIIQRVGCLLKDEEGKVYQKGGCGGFGKGNFSELFKSIEEYEKTLEAKRVAA >OIW17294 pep chromosome:LupAngTanjil_v1.0:LG02:758156:763910:1 gene:TanjilG_22406 transcript:OIW17294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNEVEDVEEGEISDTASVEEISEEDFKKQQDVIKVNDNKPKEDSTARVWAVNDLYTKYPTICSGYASGLYNLAWAQAVQNKPLNDIFVMDVVNDANVDNSNQLCSGTVKEDVVMNGQVVIDLDKDDGELEEGEIDGDADPEGGNVQCVLNSEGKSVREVLEGFTNASVEELFAESCGRLQSALHAVSDKDDVLVGLSFNAIEVIYSVFSSMESSQKEQNKDTIIRLLYLAKDQQGHLLTPEQLKEILVMIASLDSTGALANSEAINKEKESQSNEIKTLEIQDRSAGELISSSKPLDSISIGVSEALKFGQSNFKSRGVLVPLFDLHKAHDIDSLPSPTRETPSCFPLNNAFSVGEEVDRPVLPTHELASFPVNKSFSAGEGMIRSELPASKTEAVNMEVDSEGSKLHSYVTDAVKAVSSYQQKFGRSTFFMSEELPSPTPSGDCEDAAVDTNEEVSSASVAGSAISIKPPSQSLNQLHASSASTDRSGMHGLISSRIDAADSRSYSRKPSVKSRDPRLRVINSDASALDLNHQRSLINNMPNMENDGTIISRKQKVPEEPSLDVAVSKRLKTSLENLEHKTRDPRTGTGNRGWLEEISALGSQSIVRNNVEAEPKRTMGTVNSSCAGSGNFNLTSNGNQQAPVASINTTTSIPAVWKDLTVSPAMLVNILMERKRLATETKNKSDDYSMNTLNLASANSAMGIGPTMSIGTSVTTGLQQNSVGMLPISSPATTTVRSPHDDSGKIRMKPRDPRRVLHGRTIPKSGILASEQSNAIVLPTSNNLDTGDNVSASKLEVRADTKLAPSQSIAPPDIAGPFTKNLKNIADTISVTQQSSNNSPATQAFSSAPVLTSDRVEQKPVVSSSQNLQASVGSAPETCASVSSTPQSSWGDVEHLFDGYDEKQKAAIQRERARRIEEQNKMFAARKLCLVLDLDHTLLNSAKFVEVDPVHDEILRKKEEQDREKPHRHLFRFPHLGMWTKLRPGIWNFLEKARKLFELHLYTMGNKLYATEMAKVLDPKGTLFNGRVISRGDDTDSVDGEERAPKSKDLEGVLGMESAVVIIDDSVRVWPHNKLNLIVVERYTYFPCSRRQFGLPGPSLLEIDHDERPEAGTLASSLGVIERIHQNFFASQSLEEVDVRSILASEQRKILAGCRIVFSRVFPVGEANPNLHPLWQTAEQFGAVCTNHIDDQVTHVVANSPGTDKVNWALSIGRFVVHPACVGAEGGQETKIDTV >OIW17162 pep chromosome:LupAngTanjil_v1.0:LG02:3979449:3982914:1 gene:TanjilG_18117 transcript:OIW17162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKQICCAKSVGGIVSGGLNLVGGIVGGIVDAATSFLGQSIGFKLISATSSDGSGKGKVGKLAYLEKHLPSLPNLGANQEAFNVHFEWDSDFGIPGAFYIENFTQSEFFLVSLTLEDVPNQGTIHFLCNSWVYNKKKYDTDRIFFANKTYLPGKTPAPLVYYRQEELKTLRGDGKGERKEWERIYDYDVYNDLGEPDKNASLARPVLGGSSELPYPRRGRTGRKPSRKDPKSESRSDIVYLPRDESFGHLKSSDFLVYILKSASQNVIPQLQSAIRLQFNQPEFNSFEDVRGLYDGGIKLPTNILSDISPIPLFKELFRTDGEQALKFPEPNVIQVEKSAWTTDEEFAREMIAGVNPHIITRLQEFPPKSKLDPQVYGDNTSTITKEHLEPNLDGLTVEQAIAENKLFILNHHDTIIPYLRKINATDTRKAYATRTILFLQSNGTLKPLAIELSKPHPQGDNYGPISNVYLPADQGADGSLWLLAKAYVIVNDSSFHQLVSHWLNTHAVVEPFIIATNRHLSVVHPVHKLLLPHYRDTMNINALARNVLINAEGIIETTFLWGKYSLENSAVIYKEWVFPEQALPADLIKRGVAVEDPSSPHGIRLLIDDYPYAADGLEIWAAIKSWVEEYVSFYYESDAAIAQDAELQAFWKELVEVGHGDKKNAPWWPKLQTRKELIEIASTLIWTASALHAAVNFGQYPYGGYILNRPTLSRRFIPEKGSPEYDELAKNPQKVFLKTITPKNETLTDLTIIEVLSRHASDEVYLGQRDGGDIWTSDAQPIEAFRKFGKKLAEIEKKLIERNNDETLRNRYGPVKLPYTLLYPSSEEGLTFRGIPNSISI >OIW16603 pep chromosome:LupAngTanjil_v1.0:LG02:20115267:20115482:-1 gene:TanjilG_02809 transcript:OIW16603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGRLENNDVNNPSQSDEEVLSIIEEARKSLSIPKVGGLFIEGSMDLDDLDADVDIEDIETSGDFVCPPL >OIW17321 pep chromosome:LupAngTanjil_v1.0:LG02:939994:941964:1 gene:TanjilG_22433 transcript:OIW17321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPTPFLFPATQPTILPDPSTFFSSNLSSPLPTNSFFQNFVLNSGEQPEYIHPYLVKSTKNSLSIAYPLLLFTASVFYQTFAPDLTISSATPQESAAKNHVISSYSDLGVTLDIPSSNLRFFLVRGSPYITASVTKPTTLSIKTTSPIESLNPSKDNTKYILKLKSGQTWIIYSSSAISLTKGETEISSNSFSGIIRFASLRNPQQESTLDKYSSSYPVSGYAVFNKSFNVVYNLEKEGNGDLLLLAHPLHVKLLSSKSNKVTVLSDFKYPSVDGELVGVVGDSWELETKHVPLTWNSVKGVKKEAYEEIVKALVNDVNELNSSNVTTSSSYFYGKLVARAARLALIAEEVSNSEVIPKITKFLKDTIQPWLDGSFKGNSFLYEKKWGGLVTKQGSTDKGADFGFGVYNDHHYHLGYFIYGIAVLAKIDTAWGQKYKPQAYALVSDFLNTDLKSNSHYPLLRNFDVYKLHSWASGLTEFADGRNQESTSEAVNAYYAAALMGVAYHDMDLVRIASTVTALEIHAAQTWWHVKSGDKLYAEEFAKGNKIVGIVWSNKRDSSLWWASAEAKECRLSIQVLPLSPITEALFSDAAYVKELVEWTLPSLNKPNIEGWKGFTYALQGIYDKSSSLEKIRALKGVDDGNSFTNLLWWIHSR >OIW16233 pep chromosome:LupAngTanjil_v1.0:LG02:22992167:22996997:-1 gene:TanjilG_18948 transcript:OIW16233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFLSLISLCFLLCVHSVSSQNAITPPRGWNSYDSFSWIISEDEYLDNAQTMSDLLLSEYGYEGDSNSRGTDNIDEWGRMHPDPERWPSSNGWKGFKNVADKVHEMGLKFGIHLMAGISTQAYDSNTPILDTATGKTYEESGKTWHAKDIGIPDRACKWMSNGFMALNVTSGAGKAFLRSLYELYISWGLDFVKLDCVFGEDLDFEEITYVSQILKDLKPSIVFSLSPGVKATPNMAKVINGLVNMYRVTGDDWDQWSEVVTHFDVARDYAAATLIGAQGLNGNSWPDLDMLPFGWLTDPAAKQGPHRLTNLNLEEQQSQMTLWCMAKSPIMYGGDLRNLDSWTTNVVIINPTLLFINSNSTDNAEFSSANGVRAWAATGNNGGQSPQGQDIYIAFFNLNDKATTISWKFDDLAKALPGKNLVRGLEGREVWSLNRLVLSDVLSAEVAAHGCALFVFDY >OIW17366 pep chromosome:LupAngTanjil_v1.0:LG02:1319645:1321267:-1 gene:TanjilG_22478 transcript:OIW17366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARDELGVLTALDAAKTQWYHFTAIIIAGMGFFTDAYDLFSIANVTKLLGRIYYTHQGAPKPGTLPPKVSLAVNGVALCGTLAGQLFFGWLGDKMGRKKVYGLTLAIMVFASIASGLSFGHTPKGVVTTLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFVAAVFAMQGFGILFGGIVSLLVSTIFDHAYKAPAYEVDAAASLVPEADYVWRIILMFGALPAALTFYWRMKMPETARYTALVARNAKQAALDMSKVLQVEIAPDQDKVDRLSVRENNNFGLFSKQFVRRHGLHLVGTCTTWFLLDIAYYSSNLFQKDIYTSIGWLPPPKEMNAIHEVFRVAKAQVLIALCGTVPGYWFTVAFIDHLGRFFIQLMGFFFMTVFMFGLAIPYDHWTRKENRVGFLVMYALTFFFANFGPNATTFVVPAEIFPARLRSTCHGISSAAGKAGAIIGAFGFLYASQSKDPTKRDAGYPAGIGMKYTLVVLGVTNCLGMFFTLLVPESKGKSLEELSGENEDGTSYETNAEQQSNSNKTAPV >OIW17125 pep chromosome:LupAngTanjil_v1.0:LG02:4977010:4980006:-1 gene:TanjilG_27279 transcript:OIW17125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVFQIKGFLSLPTNPRIRALHPSQGLKQRFLSQKTKTVDGFSSLSVTNHGFQKLHSFASSKVDVFGPKEKILFICKAEAAASAAGDEQPLLGEVEDTKPKFLGVEVTTLKKIIPLGLMFFCILFNYTILRDTKDVLVITAKGSSAEIIPFLKTWVNFPMAIGFMLLYTKLSNVLSKQALFYSVILPFIAFFGAFGFVLYPLSSYIHPEMLADKLLSILGPRFLGPLAILRIWSFCLFYVMAELWGSVVISVLFWGFANQITTIEEAKKFYPLFGLGANIALIFSGRTVKYFSNMRKNLGPGVDGWAVSLKGMMSIVVILGFIICFLYWWVNKSVPLPTRSKKKKEKPKMTTMESLKFLVSSPYIRDLATLVVAYGISINLVEVTWKSKLKAQFPSPNEYSSFMGDFSTATGVATFIMMLLSQFIFDKYGWGVAAKITPTVLLLTGVGFFSLILFGGPIAPIIAKFGMTPLLAAVYVGALQNIFSKSAKYSLFDPCKEMAYIPLDEDTKVKGKAAIDVVCNPLGKSGGALIQQFMILTFGSLANSTPYLGGVLLVIVLAWLAAAKSLDTQFTALRQEEELEKEMEDAEVIEIPVVAEKIEG >OIW16763 pep chromosome:LupAngTanjil_v1.0:LG02:15509012:15509239:-1 gene:TanjilG_05497 transcript:OIW16763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNNKKNKCALISCDKIDRVANWVSTSVATAFFASLERCSCINLNTNDNDDDYDNDDHYSLMLSNTTDHSCKPIT >OIW16173 pep chromosome:LupAngTanjil_v1.0:LG02:22509878:22510874:1 gene:TanjilG_18888 transcript:OIW16173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKGRQGERVRLYVRGTILGYKRSKSNQYPNTSLLQIEGVNTKEEVAWYAGKRLAYIYKAKVKTNGSHYRCIWGKVTRSHGNSGIVRAKFKSNLPPKSMGSRVRVFLYPSNI >OIW16280 pep chromosome:LupAngTanjil_v1.0:LG02:23394925:23397509:-1 gene:TanjilG_18995 transcript:OIW16280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLLDSNVLAQPQQQQPKGENKQKNEGRNRRVLKDIGNLVVKQSDPIPNVPKRITRNLGAQLLPNAQATEKNKKPSTDVGNFVAATKVEQAKKPTEAEVIIISSDDEEEEKEKQTVKGGRKEIERVAVRGKNSKAFSSVLSARSKDDGRVHDYMPSQPDINMKMRSILVDWLIEVHRKFELMPETLYLTLNIIDRFLSMKAVPRRELQLVGISSMLIACKYEEIWAPEVNDFVYIADNAYVREHILIMEKTILSKLEWYLTVPTPYVFLIRYIKASTPSDKEIENMVFFLAELSLMDYSAMINYCPSLIAASAVYAARCTLGRSPYWTETLKHYTGYCEEQLRDCSKIMVNFHSAAPESKLRAVYKKFSNSERGAVALVNPAKNLLSSSPPPQS >OIW17149 pep chromosome:LupAngTanjil_v1.0:LG02:4650831:4651578:1 gene:TanjilG_21126 transcript:OIW17149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFGEVASWAIRQMGIMLKHPSSTQPLNYGAMGLLAQQQFLATMANFQHLSNANMRNDGVAQTAGTGGRTALPDIFQPNFSTQTSSSMINNSKKEDTKAFDFISDHLSSVRDLRRVI >OIW17057 pep chromosome:LupAngTanjil_v1.0:LG02:6461465:6473108:1 gene:TanjilG_15640 transcript:OIW17057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTSSSSSTHVEFDYLFKLLLIGDSGVGKSTLILSFTSHTFEDLSPTIGVDFKVKYVTVGGKKLKLAIWDTVYDVTRRETFTNLSDIWAKEIDLYSTNQDCIKMLVGNKVDKESERVVSKKEGIDFAREYGCLYTECSAKTRVNVEQCFEELVMKAMQVHPDKNTNDPHAAEKFQILGEAYQVLSDPLQRNAYNQNGKHSVSRETMLDPTAVFALLFGSELFEDYIGHLAVASMVSSELADENDNPDILHEKLKATFGVDMLHTIGYVYSRQAAQELGKKVIYLGVPFLAEWVRNKGHFWKSQFTAAKGAFELLQLQGDIHKQFKMDGSGPENDIESHIRLNKDTLMNSLWKLNVVDIEVTLVHVCQMDKSSKGGETLKKKIASDSDDEGSSSDSSDDESPRAFSYRSPFFTQAWYW >OIW17411 pep chromosome:LupAngTanjil_v1.0:LG02:1702868:1704291:1 gene:TanjilG_22523 transcript:OIW17411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLTKKKQRSKRKNKATTTLQEKKPNVLEATPSGDNNVGLDKDSDTVQIPRAIKTKQSKIENGQKRTSVENENGTTTKSNNEEDNEEVDNDEEQDVDISWPDLFALQLLSQVNEQEKISEQEIPMLPNPLHKELLMDWIDIKHFDIETAKHPAISTNVGPSHKPIGSTRLRPSTSEFGASSQRGMKRALQKVESPPIRAQHFNAATHAPLTTPAPKRLASPAFPSKHAPQIAPRRFSKS >OIW17283 pep chromosome:LupAngTanjil_v1.0:LG02:634008:637989:1 gene:TanjilG_22395 transcript:OIW17283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVETVAVENDEQRLLLSEANNGDRSWRLNFEGFQLCSEHKTKKVKSSSGIHDCYGVLGEEDNVAEYYQQQVEVLEGFTEMDALAERGFIPGMSKEEKESLVKSETFAIRISNIANMVLFAAKVYASIRSGSLAIIASTLDSLLDLLSGFILWFTAFSMQTPNPYQYPIGKRRMQPLGILVFASVMATLGLQIILESMRTLISTEKAFNLTREQEQWVVGIMLSVTLVKFLLMVYCRSFTNEIVKAYAQDHFFDVITNVIGLIAALLANYFEDWMDPVGAIILALYTIRTWSMTVLENVNSLVGRSAAPDYLQKLTYLCWNHHQAVKHIDTVRAYTFGSHYFVEVDIVLPAGMPLQEAHDIGESLQEKLELLPEIERAFVHLDYEYSHKPEHAQAH >OIW17216 pep chromosome:LupAngTanjil_v1.0:LG02:3573358:3577906:1 gene:TanjilG_02505 transcript:OIW17216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSSKVRLVRCPNCENILPELPHYSLYQCGACSAVLRAKDKGYLSGSLSEKSNEGKFGDSANSESSMEKGLADSIGDTSDVDAKFNSSNERDVKKGNNGHERFPIQPGDKGTNEEGVSENAVDVNVNKDEVEKEIRKEQEEPKSQFGHEKTSRFSGRIMSNWQNEEKSEAEGFWRKPRAEMESERFSTLNYPYEGTSNSLFGVSHNYMEPWQNHKETDGVEKVRHLEQDRVTFLRKLDELKHQLGNSSEVVKDPKEKVCLDERTISADPYGSSDPWFSDGSSQMNRNSRQLFGTDKHAAGSNYFSYLHHPSYPYTSSHEMAMPNFHPSIHNLSHIPGYGDPFASQILRKGPQPHQLNHQFPQKPMHPYFSGRYFDTNPGSYEPYAHSSMIHQPSCSCFRCYDNKQRGLVPAHPALSDPILYHHELPAAFGPHVHTSRTAAIPPVSFHEKQLHKRWPSDFNTEMGGFVRNRPQRVMLATGRQRCRPVAGGSPFIGCHKCFVLLQLPKKALAKVKNHQQKVKCGACSSEISIAIINNKLVITHHSEMKGVHTRIDDFSNMVVSSHMLRSHCHVNRFGANFSSDECSGYDFHSVDGEPLLLISDLSLNSNQSGDMQSFHSSPITSEDEDSAEVVTKSIHQPTKASVSPPPAGSPLEEYFGYSNNSTAVNRSGKGNRSSRLEQEKGKFENITTRQNSLKEAAVATELDVHDYSSTGVSQDSGDAGREHVYPRSNKGGESFFANIIKKSFRDFSRSNQTDDHSKINVAVNGQPLSDRVVKKAEKLAGPIQPGNYWYDFRAGFWGVMGGPCLGIIPPFIEEFNYPLSDKCSGGNTSVFVNGRELHQKDLDLLALRGLPTESHRSYIIEISGGVLDEDTGEELDSLGKLAPTVEKVKHGFGMKASRAVP >OIW17459 pep chromosome:LupAngTanjil_v1.0:LG02:2054356:2057986:-1 gene:TanjilG_22571 transcript:OIW17459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFTCEVKVVHIYPLRTHSESIAKQINENPEAGWEAAINPRFSNFTVAQFKRLLGVKKSSRNELTSTPVITHPKSLKLPKNFDARTAWSQCSTIGRILDQGHCGSCWAFGAVESLQDRFCIHFDVNVTLSVNDLLACCGFLCGFGCDGGEPLYAWRYLSHHGVVSDECDPYFDQIGCAHPGCEPSYPTPKCVKKCVSGNQLWRKSKHYSVRAYKVKSNPNDIMAEVYKNGPVEVAFTVYEDFAHYKSGVYKHITGVALGGHAVKLIGWGTSDDGEDYWLLANQWNRSWGDDGYFKIKRGTNECGIEGDITAGLPSSKNLIREVTDMDANSDVSF >OIW16547 pep chromosome:LupAngTanjil_v1.0:LG02:20649409:20653870:-1 gene:TanjilG_08404 transcript:OIW16547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNPESHRNRPRSNSRPSTSRTPVQNPVRNPVRPRIPLRQLLRVASVASGIQFGWALQLSLLTPYVQQLGIPHQWASIIWLCGPVSGLFVQPLVGHMSDKCTSRFGRRRPFILVGAVSIIVAVVIIGYAADIGWLLGDTEAFRPWAITVFVIGFWILDVANNVTQGPCRALLSDLTSSDPRRTRVANSYFSLCMAIGNILGYATGSYSGWYKIFTFTLSPACTISCANLKSAFFLDIGFIAVTTYVSIMAANEVPLNSGGAAPAEEGGGESGEAFMWELFGTVKYFSTPVWIILSVTALTWLGWFPFILFDTDWMGREIYGGDPNEGGLNYDTGVRWGALGLLLNSVVLGITSLFMERLCRKRGAGFVWGIANIVMTVCFLAILVVTYVANSIGYVGKDLPPVGIVIAALTIFTILGFPLAITYSVPYALISTHIESLGLGQGLSMGVLNLAIVFPQMLVSVGSGPWDQLFGGGNSPAFAVAAVAALVSGLLALLAIPQSGGRKPRTHA >OIW17199 pep chromosome:LupAngTanjil_v1.0:LG02:3217123:3220892:1 gene:TanjilG_02488 transcript:OIW17199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQWYFVASLLTILTSSQGILTTLSQSNGAYKYDYATVPFLAEVFKLAVSSLLLWKECKKSTLPKMTTEWKTVALYPIPSVIYLIHNNVQFATLTFVDTSTYQIMGNLKIVTTGILFRLFLGKRLSNLQWMAIVLLAVGTTTSQVRGCGEASCDSIFSAPIQGYTLGVLSACLSALAGIYTEFLMKKNNDSLYWQNVQLYTFGTIFNLARLIFDDFRGGFENGPWWQRIFNGYTITTWLVVLNLGSTGLLVSWLMKHADNIVKVYSTSMAMLLTMIVSLFLFNFKPTLQLFLGIIICMMSLHMYFAPPNMLLDMPLTVKPDEERLIEVSVDRRTHS >OIW16135 pep chromosome:LupAngTanjil_v1.0:LG02:22090526:22097326:1 gene:TanjilG_18850 transcript:OIW16135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAPNNSEGTLTEISCQAVGNLLRRANKGATSKKKAMPEFQPEHNGTEILEPIPQPKTSEAGHCSRNPIGDASAEEKCGNVSLDKGYLDSKEELDDSDWEDGTVPKDDHRMTIELNVTPDSAVKKQSHRASAKDKDLAELVHKVHLLCLLARGRLVDIACDDPLIQASMLSLLPAHLVQLLNVTKLTSKALHPLVSWFHDNFHVRSTNAGKPVCFALASALESREGSPEEIAALSVALFRALNLTARFVSILDAASLKPDQSCRPDASGSSKGIFNTSTPMVAKLRLDFKSPKKSLSSHDIDKVCESSLGRSRKSKKCSTTSHMAQSGDPPISEDSNVSITKSQTSETQDNDPESCLADKSHKSKRKGDVEYEMQLQMALAATAVGCLESKMESGANADEENFSCPSKRVKRVVSADTSTSSQVISTAVGSMKVGSPLYWAEVYCSEENLTGKWVHIDAVNLIIDGEDKVESMVAACKTSLRYVVAFGGRGAKDVTRRYCMKWYKIASQRVSSTWWDSVLAPLRDLESGANGGVVQLRPNESISTESNMGHSFVPTRSSLEDIELETRALTEPLPTNQQAYRSHPLYAIEKWLTKYQILHPKGPILGVCSGHPVYPRTCVKTVKTKERWLREGLQVKAGEHPVKEIKRSIKPQKVQDSGADDYDCSNSMENSKLYGMWQLEPLNLPHAVNGVVPKNERGQVEVWSEKCLPPGTVHLRFSRAFSVAKRLEIDYAPAMVGFEFKNGRSFPVYDGIVVCAEFKDVLLEAYAEEDERRQAEEKRRDEAQALSRWYQLLSSIVTRQRLNNRYNNNLSSEMSTDIQDKNENESNATVCADNDNNQSPKHHQVNKSNKSGTDLDTSFGTPVKDHVHVFLKEYETFDEVTSLLTKRCQCGFSVQVEEL >OIW17103 pep chromosome:LupAngTanjil_v1.0:LG02:5257178:5264130:1 gene:TanjilG_25811 transcript:OIW17103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIIEKIKEIEAEMARTQKNKATEYHLGQLKAKIAKLRTQLLEPPKGASAGGDGFEVTKFGHGRVALIGFPSVGKSTLLTMLTGTHSEAASYEFTTLTCIPGIIHYNDTKIQLLDLPGIIEGASEGKGRGRQVIAVAKSSDLVLMVLDASKSEGHRQILTKELEAVGLRLNKRPPQIYFRKKKTGGISFNSTLPLTHVDEKLCYQILHEYKIHNAEILFREDATVDDLIDVIEGNRKYMKCVYVYNKIDVVGIDDVDRLARQPNSVVISCNLKLNLDRLLSRMWDEMGLVRVYTKPQGQQPDFTDPAVFSASRGGSSVEDFCNHIHRSLVKDVKYVLVWGISARHYPQHCGLSHVLHDEDVVQIVKKKEKEGEGRGRFKSHSTAPARISDRQKKVPLKQ >OIW17197 pep chromosome:LupAngTanjil_v1.0:LG02:3838853:3840220:1 gene:TanjilG_06775 transcript:OIW17197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCEALKNSYELFEEIGRGKFGTVFRCYNSITNKSHAAKIIHKHLLTDSTDRMCLENESKSLTYLSPHPNILQISDVFEDSESLSIVTELCQPNFTLLDHITNHPLSDLQAASMMKNLLEAVSYCHKLGIAHRDIKPENILFDSWGNLKVCDFGSAEWFGDGRNMNGIVGTPCYVAPEVLLGREYNEKIDVWSSGVIMYIMLSGIPPFYGDSDTQIFEAVIRGNLRFPTKIFNNVSPSAKDLMKKMICRDPSRRISAEQALRHPWILSGGLASDLA >OIW17362 pep chromosome:LupAngTanjil_v1.0:LG02:1286572:1290386:-1 gene:TanjilG_22474 transcript:OIW17362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQPKKIIIDTDPGIDDAMAIFLALRSPEIEVIALTTIYGNVYTTLATRNALHLLEVAGRTDIPVVEGSHVTLTKGTKLRIADFVHGADGLGNQNFPPPKGKPLEDSAAAYLVQQAKENPGKITVVALGPLTNIALAVQLDPEFYKNIGQIVILGGAFAVNGNVNPAAEANIFGDPDAADVVFTSGADILAVGINVTHQVVLTDSDREKLASSNGNFAQYLNKILDVYFSYHREAYNTKGVYLHDPTALLAAIDPSLVTCMEGIVRVQTNGITRGITILYNKQKRFGEITEWSNKPSVKVAVTVDAPRVVKLVMDRLMDS >OIW16640 pep chromosome:LupAngTanjil_v1.0:LG02:19195214:19195459:-1 gene:TanjilG_01879 transcript:OIW16640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKEVNQNVVVKKQVKNNLCNYKMNATVIPAPRKSVKRMMFEEIVQFFTRLFSTSEGNYKLENKTRCHRKIKSIYDSTELN >OIW16756 pep chromosome:LupAngTanjil_v1.0:LG02:16136556:16141623:1 gene:TanjilG_06937 transcript:OIW16756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKSRSSIVHVSLMVALLATNIILADDNVPIPDDMSQLNRWYHKNVKPLSQRKNTLDPALVAVESEITVIKVKQDGSGNFKTINEAMKSIPKGNTKRMIVYIGGGTYNEKIRIEREKPFIMLYGTPGKMPTLTYGGTALKYGTLDSATLIVESDYFVPSNIIISNFAPRPDGKRKGAQAVALRASGDKATFYKVTLLGLQDTLCDDEDWHIYKDCLIQGTVDFVFGNGKTMFLNTELRFLGDEGMSVITAHARDKNTDDTGYSFVRCDVTGTENGTLLGRAWMSKSKVVFAYSNLGGVVNKAAWSNNFHPEYDRPFSLYKTSPEALSLSPPEGPNFGILVIQDVMDLEPISCFGLRQYHEVKELPFPQNMNPELFYRSGISINRATHYHHVAFVPVLNQPLSSNKYYVIQQNGKHKGEAYIISKEEDLSTSDFYNSVCDESLHHLDISNTYQEFEIYPRRSKVTLRGGFSAKSVALDGYPPRFLSTRWKLSASASDDSSIIGEALGVNDALRARKPKLNSTLANKRSSESVSVGKWYCPFMFIKERTHKTLKEEMRKSMFYEMTLEQKWEEIYSCENGMIGNNTVNVDAVVQKEKVVVAGWEAMIDEMDVAEGLLWFNSFSNVGEKNSVGLSTAIFARMKWEQERVGWIEGKEKQIRAKKVEVFEGTNGWKKFVCYVLVETFVLKRLDGSFVLSYAFKHYHHLTSKWE >OIW16779 pep chromosome:LupAngTanjil_v1.0:LG02:15813971:15820794:-1 gene:TanjilG_05513 transcript:OIW16779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEYEGWAEPPSGLLHNGLLPNEAASVINVVDSERWMKAEERTAELISCIQPNPLSEERRTAIADYVQRLIMKCFPCQVFTFGSVPLKTYLPDGDIDLTAFSKNQNLKDTWAHQVRDMLESEEKNENAEFRVKEVQYIQAEVKLIKCLVDNIVVDISFNQLGGLCTLCFLEEVGNLISQDHLFKRSIILIKAWCYYESRLLGAHHGLISTYALETLVLYIFHVFNNSFAGPLEVLYRFLEFFSKFDWDNFCISLWGPVPISSLPDVTAEPPRKDGGDLLLNKLFLEACSSVYAVFPGGQENPGQPFVSKHFNVIDPLRVNNNLGRSVSKGNFYRIRSAFALGTKRLARLLDCPEEELVFEVNQFFMNTWKRHVSGQQSDAPSNDLSLLRLSNHGELQRSENLQKNNHKIDRASNRDFQAEGEHMSRRGLSQHSNLSSENSFKSSDVSPALHTQNQKSHGNQYSSRAFDQVRRETNSNHGSHIDKGQRNVKADKSVSDVHGKFLFARTRSSPELTDSYGEVSSKGRHTRAPESIKGHNSFAKLEINRRKNLEPDVATNYNVRIDDSSARHNSSRQVLDSAVESNNVSNSYHDESVVGEEFASVAGAGEVQMMHQEEQDLLNMMASPTAQGFSGQDHVPMSFAGGHLPYPFPPSILASMGYAQRNMGHIPFIEAPWGANMQFPPGLVPSHFTPYFPGMELTSNPQDIIETGNDSCIPVEMNTEDVDNGFWHEQERCSASGVEVDNGNFEMLPDDKQQPTSSSYNFAPSSRLGSSSRSARIQQKFTKENQGSPVEEHIHNFHYQDGRRNDIHFDDWIANSRLPGPSPSSSLRSKTSSESSWDGSSAKSSKSTREKRGKKNTPSAPSTLHGKGKKLPEISSNQADDENREWTPVESDVSEKSSRALAVSSMHVPRHQIPVFEVAQTSGSDSPFSISPMILGPGSQQRAVDNSGVAPFTFYTAGPPVPFFTMLPLYNFPTESSDTSTSNFNVEEGGDNSDSGHNVDSNEGYNQPEVSSPSNSMPQVAFELSEHKPNILNSDFISHWQNLQYGRFCQNTRHPPSMIYPSPFTVPPVYLHGRYPWDGPGRPVSTDMNIFSQLMNYEPHLVPVAPFQSVSNRPATNIYQRFADDIPRYRSGTGTYLPNPKVSVRDRQSTNTRRGNYINDRRDHNGDREGNWNMNSKFRATGPAHNHNQTDKPSSKPERFATSESRAERPWGSHRHDSLASHQNGPVRSSSSQNNPGNVAYGMYPVPGMNPTGVSSNGPRMPSVVMFYPYDHNVGYNTPAEQLEFGSLGPMGYSGVNEFSQPNEGSQSSGAFEEQRFHGGSAH >OIW16697 pep chromosome:LupAngTanjil_v1.0:LG02:18720256:18721206:1 gene:TanjilG_28754 transcript:OIW16697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDATFHHRHRRKDKVVVIMGATGAGKSRLSIDLVTHFFPFSEIINSDKIQLYKGLNITTNQIPIEERNGVPHHLLGEFDPANGEFTSSEYRRRAGELITDITSRRKLPILVGGSNSLIHALLVERFDPELSVFDKFDELSPTIQNELTRLRYECCFLWVDVSFQVLSDYLLKRVDEMLDSGMVDELAEFFDPESDDLDYDSAHRSGLRKAIGVPEFDRYFKEYPPLLGKRGCYRVGEDRVQKGAYDFAVRAIKDNTCQLAKRQIEKIVRLKRAGWDLGRIDATAAFRAMLDGGGRWSEIWEREVLEPSVKIVKRLF >OIW16634 pep chromosome:LupAngTanjil_v1.0:LG02:19249109:19250765:1 gene:TanjilG_01873 transcript:OIW16634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRNLKGCGCDDEISRAERRWRRRELNSCGSTSRTTAKSRGNKAVVIGNNVHMVEDEVDVENKIVLDTSVSLKDVDKDSHVDGSNIGANTSCENDNDISKDNCVDGSKIDANNDSDDYADPDYTMFLQNLREEGKSYVYSAIVGNQLVHIRYEQEEEENDDEEEKASTWRDETGNLGAAGSDSIRKQRGDSVDSQKNLETEKEPCNRRSHSGVSSVHKNNCNTELDIDVDEDYLTVLISGIKDYDDDSLENVGTGNLGTRETDSIREQSSSPVEPLQSPETERGPRDLRRRSKVSSVPKNNFNTGLDIDVDEDYQTFLSSYRACCNNESWVPVGHKLKGTSLSVRWNSRTSDKTFDTPFLQSDYDEDYLLFLNSNPIIDGEPLMGDRNITNVEGGSNSSDLDDLVLLEPNQIGENTPFIPSKMFDSSCLENETNQRQFPACDQSQFRRRLMEYLEKPYNQEEYNGYLTEVHRQRHKDRHFETRQGVVRSYPTYGFNKSYLQLYPGRSNIFSIYF >OIW17066 pep chromosome:LupAngTanjil_v1.0:LG02:6224234:6225724:1 gene:TanjilG_15649 transcript:OIW17066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESQSQKLNVIFLPYLLPGHSKPMVDTARLFAKHGVNVTIITTQANAFNFQKHIDSDYDSGYSIRTHVLQLPSAQICFHDEVENIKSGVSSDMLGKIGDGMLIPQDQVEQLLPQLNPDCLVTDQFYPWTVESAAKLGIPRLYFYSTSYFSTCAFRCIQKHKPHESLDSDTHKFSIPGLPHNIEMTTAQIEERLKTKNMMTDYLNAVCEAIIESEIRSYGTLCNSFHELESDYEQLYRSIVGVKSWSIGPVSTSVNRDDKERAKRDQKDDHIAEEPELLNWLDSKQNESVLYVSFGSLTRLPHSQLLEIAHGLENSGHNFIWVVRNMNEDDDGDSLPEGFLERMKESSKGYIIWNWAPQVLILNHPAVGGVVTHCGWNTILESSSAGLPMITWPMFAEQFYNEKLLVDVLKVGVSIGVKGNKFWTKSGDNEVVRKEDIADTVKLLMGSGEESKEIRIRARKLGDAAKRTIEEGGSSYNNLKQLIDELKSLKISKSLS >OIW16315 pep chromosome:LupAngTanjil_v1.0:LG02:23704657:23706294:1 gene:TanjilG_19031 transcript:OIW16315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTECENFFKHLLMCQNQNISSSSRTEKEVTRHVNVLVHEVKQVKFTSTFSTTQKDITTPITTIPNLVPTIPTITTTPPFLNPNSNPDTESPSSTLPITTPTTVNSPMSSGASYCIASPTASNTALQVALDYACGYGGADCSAIQSGGSCYNPNSIRDHASYAFNKYYQKNPVPNSCNFGGTAVNTNTNPSTGTCQYQSTSTSSSVLNTTNSSGANVFGSVPVPTSPFPSAAPDISNRLAHICIILWALAILENNYL >OIW16963 pep chromosome:LupAngTanjil_v1.0:LG02:8227487:8254685:-1 gene:TanjilG_32830 transcript:OIW16963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIFDELPLPSDKAYLREDLSRIDETWTAARFDSLPHVVHILTSKDRDNAAQTLKEQSDVVEEVVDEVVQSYHSGFNRAIQNYSQILRLFSESTENISVLKVDLTEAKTRLSARNKQLHQLWYRSVTLRHIISLLDQIEGIAQVPARIEKLIADKQFYAAVQLHVQSMLMLEREGLQTVGALQDVRSELTRLRGILFYKVLEDLHAHLYNKGEYSAAGSNMLENDDEVPTTTAFALTAHNSQPLSRRTRSVKGDIQTSLQIDGSYRAGSLDGGSFDGHDEEGALELKEEATSDGNMATMRTNGDIKIALHQMPTWLSNSTPDEFLILRLFSESTENISVLKVDLTEAKTRLSARNKQLHQLWYRSVTLRHIISLLDQIEGIAQVPARIEKLIADKQFYAAVQLHVQSMLMLEREGLQTVGALQDVRSELTRLRGILFYKVLEDLHAHLYNKGEYSAAGSNMLENDDEVPTTTAFALTAHNSQPLSRRTRSVKGDIQTSLQIDGSYRAGSLDGGSFDGHDEEGALELKEEATSDGNMATMRTNGDIKIALHQMPTWLSNSTPDEFLETIRKSDAPLHVVYLQTMVECLCMLGKVAAAGAMICQRLRPTIHEVITSKIKAHADLLSSSRSSISQGSRAGTGDLHFIKGQLESYQFPKQKRKNGISIAGTLLAVSPVSPLMAPGGKAQVAAKELLDSILDAIVRIFENHVNVGELLEAKSTQNVVINTPKSMPVDINWNPDSEASQVTGGYSIGFSLTVLQSECQQLICEILRATPEAASADAAVQTARLASKSPSKDKRQVDCKEKYEILGYEAFKCMPLAFRDRSEDGLTFAFRFTDATISIPNQGVDLVRQGWSRKGPSVLQEGYGSVAVLPEEGIYLAASIYRPVLQFTDKVASMLPTKYSQLGNDGLLAFVENFVKDHFLPTMFVDYRKGVQQAISSKAHVVTAYTPSIENGRPVLQGLLAIDYLTKEVLGWAQAMPKFANDLVKYVQTFLERTYERCRTSYMEAVLEKQSYMLIGRHDIEKLMTLDPSSAYLPNLLGQFNMESNSSDAETIEAELELSELLLNLRPIKQENLIHDDNKLILLGSLSDSLEYLADSIERLGQTTQKASNHVEGKYHHSHSNSAPARSLASFAQDYRKLAVDCLKVLRIEMQLETIFHMQEMSNTEYLDDQDAEEPDDFIISLTAQITRRDDEMAPFISNAKRNYIFGGICGVAAHASIKALVDMKSINLFGVQQICRNTIALEQALAAIPSINSEAALLAFITEHMHLFTIAEYANLLSVQVPGREIPPDAQDRVSEILSL >OIW16177 pep chromosome:LupAngTanjil_v1.0:LG02:22534799:22537438:-1 gene:TanjilG_18892 transcript:OIW16177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKSAKKVNLLDHNSIKHILDESVTEVVKNQGYVEDVRLSNAKLLIGTSIIVVALIAQFYKKKFPENRDFLLACIALYPFFDFEFYFTACLISYTKEKNAILFTYPPAGSFTSTGLVVSSKLPRFSDLYTLTIASADPKSISANEPVHFTKSITGWFTNDGVLVEGLFWKDVEGLIVQYTKEPKKSK >OIW16270 pep chromosome:LupAngTanjil_v1.0:LG02:23325289:23327616:-1 gene:TanjilG_18985 transcript:OIW16270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVNPSSVHVSSLPTCLPSCLIPLQGNSLNFSPLPSRRRTQITTIRATSADAGHGQQQSSSTSEAKNPLAAVLDIPRAVWRQTMRPLSDFGFGRRSVWEGGVGLFLVSGTLLFVLSLAWLRGFQIRSKFRKYTAVFEFAQACGICTGTPVRIRGVTVGSVIRVNPSLRNIEAVVEVEDDKTIIPRNSLIEVNQSGLLMETIIDISPRNPIPTPSLGPLDKDCPKEGLIVCDRQKIKGHQGVSLDALVGIFTRLGRDVEEIGIVNSYSLAERAFSIVEEARPLLTQVKAMAEDVRPLLAEVRDSGLLKEVENLTQSLTQVSDDLRRVHSSIMTPENTELIRKSIYTLVFTLKNIENISSDVLGFTGDETTKKNLKLLIKNLSRLSFRVQISHYTNGARIIDVLCRIVFSCCVAWAEFSTFAIYRPSLPKCPFNKI >OIW16615 pep chromosome:LupAngTanjil_v1.0:LG02:19560137:19568169:-1 gene:TanjilG_01854 transcript:OIW16615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGEENVKHLEDCSVSNALGTWVFSVAGALLAIPVGIKRKSLGPLVFFGTTGTMLDIIIGITACEREHAEHQMKLLEAQNAPAETSLGETEIDS >OIW16262 pep chromosome:LupAngTanjil_v1.0:LG02:23276431:23284757:1 gene:TanjilG_18977 transcript:OIW16262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLFETNGNGNGNGNDAEDSLPPPPPVVPLDVVPVKADPELPPPEPIKKKPSRVPIARRGTGSKGTKLQLLTNHFKVNVNNNDGHFFQYSVSLAYEDGRPVEGKGVGRKVLDKVHETYASELNGKDFAYDGEKTLFTVGSLARNKLEFQVVLEDVTSNRNNGNLSPEHGSPNETDKKRMRRPYRAKTFKVELSFASKIPMQAIANALRGQESENFQEAIRVLDIILRQHAAKQGCLLVRQSYFHNDPKNFADVGGGVLGCRGFHSSFRTTQSGLSLNIDVSTTMIITPGPVVDFLIANQNVRDPFSLDWAKVKGGADDATEEVTVYDYFVKHRNIQLRYSADLPCINVGKPKRPTYFPLELCSLVSLQRYTKALSTLQRASLVEKSRQKPQERMRVLSDALKISNYGAEPMLRNCGVQINPSFTQVDGRVLQAPRKIVDPVKIERWAVVNFSARCNMQGLVRDLIKCGNMKGIMVEDPFDVFEENPQFRRAPPVVRVEKMFEHMMSKLPGAPKFLLCLLPERKNSDLYGPWKKKNLADYGIVTQCIAPTKVNDQYLTNVLLKINAKAKRTLKNLRIKTSPSNQEFKISGLSELPCKEQMFTMKVKGGADDATEEVTVYDYFVKHRNIQLRYSADLPCINVGKPKRPTYFPLELCSLVSLQRYTKALSTLQRASLVEKSRQKPQERMRVLSDALKISNYGAEPMLRNCGVQINPSFTQVDGRVLQAPRLKFGNGEDFNPRNGRWNFNNKKIVDPVKIERWAVVNFSARCNMQGLVRDLIKCGNMKGIMVEDPFDVFEENPQFRRAPPVVRVEKMFEHMMSKLPGAPKFLLCLLPERKNSDLYGPWKKKNLADYGIVTQCIAPTKVNDQYLTNVLLKINAKLGGMNSLLGVEHSPSIPIISKAPTLILGMDVSHGSPGQSDIPSIAAVVSSRHWPLISKYRACVRTQSPKVEMIDNLFKKVSDKEDEGIIRELLIDFYSSSGKRKPDNIIIFRDGVSESQFNQVLNQELDQIIQACKFLDENWDPKFLVIVAQKNHHTKFFQPGSPDNVPPGTVIDNKVCHPRNYDFYLCAHAGMIGTTRPTHYHVLLDEIGFSADDLQELVHSLSYVYQRSTTAISVVAPICYAHLAATQMGQFMKFDDKSETSSSHGGLTAAGALPVPQLPKLEEKVEVISRTCFLWALRGAVS >OIW16638 pep chromosome:LupAngTanjil_v1.0:LG02:19202553:19208107:-1 gene:TanjilG_01877 transcript:OIW16638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTEEETVNAKNPKHVDRDRCLEMESVNKGMALEEGNEIRCLKKEAVDNVVGTEDENKVQCLKNETVNNGVAIADGNGVAEGKEVPCLKNGTVNNGVVIADGNSVSKGKDVRYLKSRTVSNGVEITKGKGIAEGDSTGVVYLRTYKRRKHVKSISESKVQEVSKGRVEAASRLSDQAVKKPCDLAVGNTSKDYSHGHWVEVVLNHLYHSIGGSNGSIEGSVREALMNNPKFSCAPTFTEILKNNKDGQECSSQSELLSHRLQNETNGHANIMHNGCSSKPVAPGGTERCERVLCNILTSEKFSSLCKVLHENFQGIKLERVFDFNVINTRMKEQAYEDSPALFLSDIEQVWRKLQDAGNEIVAITKSLSNMSKASYCEKVGVPANCSFEDEKEALYNWESNTQIKPEQTEECATYKTCICRHCGKKADGTDCLVCDSCEEMYHVPCIEPAVKEIPQKSWFCANCSDKEIGCPHEKCVVCERLNVPEALNNDVGMENIPTDEETLKEMEENSNGTHDDGIQVSIGGGDSPDCKICREVVDGEKMKICGHPFCPSKYYHVRCLSSKQMKLYAQCWYCPSCLCRVCLTDQDDDKIVLCDSCDHAYHIYCMKPPRTSVPKGKWFCRKCDAGIQAIRRAKKAYESKNCKTDENVSKPNDKIWCNKRGREVVGGMDMLLTAANTLNFEENLTETQTQSKRT >OIW16132 pep chromosome:LupAngTanjil_v1.0:LG02:22056511:22060003:1 gene:TanjilG_18847 transcript:OIW16132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKDGAAQDNPNSQQKKLIPPYMKAISGSFGGIVEASCLQPIDVIKTRLQLDRSGNYKGILHCGTTISQTEGVRALWKGLTPFATHLTLKYALRMGSNAMLQSAFKDPETGKVSNHGRFLSGFGAGVLEALVIVTPFEVVKIKLQQQRGLSPDLLKYKGPVHCAQTIIREEGLRGLWAGASPTVMRNGTNQAAMFSAKNAYDVLLWKKHEQDGKVLQPWQSMISGFLAGTAGPICTGPFDVVKTRLMAQSREGGELKYKGMIHAIRTIYAEEGLAALWKGLLPRLMRIPPGQAIMWAVADQIIGLYERRYLQITPL >OIW16200 pep chromosome:LupAngTanjil_v1.0:LG02:22762996:22763904:1 gene:TanjilG_18915 transcript:OIW16200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHASDFIQPSEYPSWPLPIEGAAEHRAASASNSHSQAEKRRRDRINAQLATLRKLIPKSDKMDKAALLGSVVEHVKDLKRKAMDMSKAITVPTEIDEVTIDYYPAAEDESYTKVNIFKDNITIKASVCCDDRPELFSELIQVLKGLRLTTVKADIASSGGRIKSILVLCSKDSEEGSVCLTTLKQSLKSAVNKIASLSTASNYPTRSKRQRFFLPSHCLQ >OIW16834 pep chromosome:LupAngTanjil_v1.0:LG02:14262492:14262665:-1 gene:TanjilG_06874 transcript:OIW16834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKKDYKHKNNQVMKKARTIKSGWGMLSCKYSKKKESQNQPHWTNEAFTEKRENKSV >OIW16226 pep chromosome:LupAngTanjil_v1.0:LG02:22924350:22930949:-1 gene:TanjilG_18941 transcript:OIW16226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYLYLTRGYNYLDAEYISKNSPRFIQGLVSKINVHDDDDDVAKELMKFLRYNPINEFEPFFESLGVNLSELHLFLPSGIMFLDDDHVLLETFHTLSSYGIPRNKIGKIYKEAKEIFGYASGLLLKFQGYEDLGLSRSTIIKLVVCCPSLLVGDINSEFVAVLDQLKNIGIGNDWIVNYMSCSRTYSWKTMIDTMQFLREVGYSEKQMHDLFKENPKFLLEGFGKVLYTFLDRSVELGIEMNVIYSYFTEYPHILSNKYAKNLLEVVDFLCFIGMGRDDIVHILSNYMHLLSTRSLKGPRTVCKELKVEKADLCRIIKDDPLKLISVGSKLEKKSSGKISGHDPRIYLQKINFLQKLGYAENTEEMAKALKRFRGRGDQLQERFDCLVAAGLDYNSVVEMVKRAPMILNQKKAVIEKKIDFLRNILGCPVECVVGFPSYFCHNLEKNIERFSMYAWLKERNAVNPAFALSNIVSANDKRFVKYFVDVHPEGPTIWKGIKSLSNKDKITSSSFPSIHSSNSNSKKRLSRIATVEAQNVLLDYLHSTRGYTFMDAEYISKNSPHFVQLLLSQLEHHDVDNDVGVAGCLRKFLWYNPINEFEPFLESLGIIPAQLPLFLPHGMMYLSDDYLLLENFHALRNYGIPRNRIGVIYMDAKDVFGYPNGLLLSKIFAYESLGLSRSMVIKLVVCCPSLLVGDMSNELVIVLDWLKKIGIGNDWIENYLCGNYLTCSKTYSSKGMLDAMQFLHKVGYSEKQMHDLFKANPTLLLEGFGNVYIFLGLSLKLGLKINVIYSSFIEYPHILSNKCARNLLRVFAFLRFIGIGKDDIACILANHMHILSCYPVKGPKTVCRQLKVARADLCQTIKDDPFKLISLASKLKQKNAEYLSPYDPSIHLDKTIFLRKLGYAENSEEMAKALKRFRGRGDQLQERFDCLVEAGVDYNAAIGMIKRVPTILNQSKIVIEKKIDFLRNTLGYPLESMVAFPVYLCYDLDRIMRRFSMYVWVKDKNAAKPMLSLSTILTSSDERFVKYFVNVHPEGPIIWEVLKRLSHEDKS >OIW17300 pep chromosome:LupAngTanjil_v1.0:LG02:794655:796671:-1 gene:TanjilG_22412 transcript:OIW17300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRVIPPRILRNLRYNTATKPLNSVHPSSLSPTLSPPIFLDQKPPSSTVLLRPSSDPSGLNFHDVEKLFSYVPTSTLLRSTAMLHATGIEPMVDLGMWVMRSKLMEMEGIKDVILAGIRGTFYNHFCAGEDAITAGQSIGLLKDAGLRGMLVYGVEDAHDNHGCDKNLKGFLHTVDVSKSLPPSSVSFVIVKITAICPMKLLERMSDLLRWQQKDPSFILPWKQDSFPIFSESTPLYHTRKRPEPLTMEEESDLKLANQRLFELCQKCVEANIPLLVDAEHTEVQPAIDYFTYSSAIMHNKGENPIVFGTIQTYLKDAMERLKLATKAADKMNIPMGFKLVRGAYMSMERKVASSLGYASPIHKTIHDTHKCFNDCSSFMLEKIANGPGGVVLATHNVESGKLAAAKAHELGIGKVNHKLEFAQLYGMSEALSFGLSNAGFQVSKYMPFGPVETVMPYLLRRAEENRGMLAASGFDRQLMREELGRRMKRIVAMF >OIW17021 pep chromosome:LupAngTanjil_v1.0:LG02:7360152:7361432:1 gene:TanjilG_15767 transcript:OIW17021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNVSPIGRNCSQEERDEFEKYDKVQNIRSKMVSVLREKFAHLNLTFSIGGQISFDVFPQGWDKTYCLKYLDDFSEIHFFGDKTYKGGNDHEIYESERTIGHTVTSPEDTIKQCKALFLGN >OIW16250 pep chromosome:LupAngTanjil_v1.0:LG02:23155893:23156847:-1 gene:TanjilG_18965 transcript:OIW16250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKDKIFKLAKGFRGRAKNCIRIARERVEKALQYSYRDRRTKKRDMRSLWIQRINAGTRLHGVNYGNFMHGLVKENIQLNRKVLSELSMHEPYSFKALVDISQKAFPGNKNVVIPPRKVAI >OIW17131 pep chromosome:LupAngTanjil_v1.0:LG02:4897501:4899243:1 gene:TanjilG_27285 transcript:OIW17131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNWIGFTVAHHLNSENGAPGPIKEWKLKDCITVHKLLGAVLFELDAAAKWKVGCAEYFPYSTYFEGSLSSASPNSVLNQLCKNSENESLSHSLDGHNVASITSNGKVAAFKDLTI >OIW16224 pep chromosome:LupAngTanjil_v1.0:LG02:22914112:22914441:-1 gene:TanjilG_18939 transcript:OIW16224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIYSSSMVVFCVGILLVISLCCMKTIEANDGAEKLDAFKHEDPHVGCNSHSDAGQQCTSEDDKLGLYSDVDDTFKVVKNVAGSDKLQQDLSDVDSPNILHNNVDVLGH >OIW17467 pep chromosome:LupAngTanjil_v1.0:LG02:2138581:2140580:-1 gene:TanjilG_22579 transcript:OIW17467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSFVALPITSSHFLIPTFSSSNISTTSHSIKAFAVPRRKAISLILSSYFLPEVGLALAQPSPVFREYVDTFDGYSFNYPGNWIQVRGAGADIFFRDPYVLDENISVEVSSPSSSQYKSVEDLGPPQAAGKKVLKQYLTEFMSTRLGVKRESNILSTSSRVADDGKLYYQVEVNIKSYANNNELAVMPQDRVVRMEWDRRYLSVLGVENNQLYELRLQVPENVFSQEENDLRKVMDSFRVNKIVV >OIW17173 pep chromosome:LupAngTanjil_v1.0:LG02:4067566:4074710:-1 gene:TanjilG_18128 transcript:OIW17173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVGALKFLLYLVLWLCNFLPQCQGKQNKYENKKYPFIKNASSFQIPPSISTSSTNNAYDYIIVGGGTAGCPLAATLSKNFSVLLLERGGVPYTNSNVTFLRNFHISLADTSPTSASQYFCSTDGVHNARARILGGGSSINAGFYTRASQRFIEKVGWDANLVNESYQWIEKRIVYHPKFSPYQDAVKESLLDSGVSPFNGFTYDHIYGTKVGGTIFDRFGRRHTTAELLASGNPDKLTVLVYATVQKIVFDHKGEKPKAVGVIFKDENGRQHEAILGNDRHSEVIVSSGAIGTPQLLMLSGIGPKSELQNLNITMVHDNPFVGKGMVDNPMNAVFVPFNRPVNQSLIQTVGITKLGVYIEASSGFSQSNDSIHCHHGMLSAEIGQLSTIPPKQRSKEAIQAFRDNKRDIPIEAFKGGFILSKVASAWSAGKLRLNNTNVEDNPIVTFNYFSHPYDLQRCVEGIRLATKVVQSQHFTNYTLSDRKTTEKLLNLSVKANVNLIPKHPNDTESLEQFCKDTVITIWHYHGGCHVGKVVSNDYMVLGVDKLRVVDGSTFTESPGTNPQATVMMMGRYMGLKILRETLEKLAGV >OIW17183 pep chromosome:LupAngTanjil_v1.0:LG02:4276102:4280876:1 gene:TanjilG_18138 transcript:OIW17183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVEALEAILMDEFKEIHSGETGLSTSNRCFQITISQDEDPDGLITNPAQLALFFSHTERYPDEPPLLNVKSLQGIPSEDLRILKEKLQQEASENLGMAMIYTLVTSAKEWLDDRFSEDNDGGAEAEEAAKDDVVVPHGEPVTVDTFLAWRERYEAELALERAKLMPEAVLSAPKEKKLTGRQWFESGRAKGASTVTDEPDEEDEEDSDIDFDDEDFEDDEDDMLEHYLAEKSDSSIHTSRIVS >OIW16377 pep chromosome:LupAngTanjil_v1.0:LG02:24128295:24129582:1 gene:TanjilG_19093 transcript:OIW16377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISVSRSEIKNRHVSAIRRKITILGTRLDTLDSILSKHSISDNEMNRRKDLIANLRSKVDQMGSTLNVSNFANRDNLIGPEIKHDVMSRTVGLDNNGLVGLQRQIMKEQDEGLEKLDETVTSTKHIALAVNEELGLHTTLIDHLDQHVDVTDSRLRRVQKNLAVLNKRTKGGCSCLCMLLSVVGIVVLVVAVWLLVKYL >OIW16461 pep chromosome:LupAngTanjil_v1.0:LG02:24619840:24620139:1 gene:TanjilG_19177 transcript:OIW16461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSHYDLNCLMEIVEEYYPLLMEIVEEYYPLLMEIVEEYYPLLMEIVEEYYPLLMEIVEEYYPLLMEIVEEYYPLLMEIVEEYYPLLMEIVCSLTLELP >OIW16385 pep chromosome:LupAngTanjil_v1.0:LG02:24182675:24184436:-1 gene:TanjilG_19101 transcript:OIW16385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESDLGKLFIGGISWDTDDESLREYFGKYGEVIEAVIMRDRATGRARGFGFVVFADPAVAERVVMDKHIIDGRTVEAKKAVPRDDQHAINRQPGGVLGSPGPGHTKKIFVGGLPSTITESDFKQYFDQFGTITDVVVMYDHNTQRPRGFGFITYDLEEAVDKVLYKKFHELNGKMVEVKRAVPKELSPGPSRNPLIGYNFGLNRASSYLNSYAQGLNINPLGGYGVRMDGRFSPLSSGRSGFNQFGSAGYGMGVNLDSGLSPMYGGISNYGSGLGYGRMFSPFYSGNNSSRYTTPIGYSGGNARSDSLVNSTSRNVWGNGSLNNTTNSQVNPGAYLGSGSGTFGISIGNNGTNWSPSVPTQGGGGATASGFTNWGNVYEGGGDSDIGLGGGVYGRNRNTNVTQSTSFAAPAGGYEGSYGNLYHSGSVYSDSTWRSAVSEVDGSSSFGYGLGGIASEDPAKTSEGFIGNYNVTSRQPNRGNIFCDAHVS >OIW17079 pep chromosome:LupAngTanjil_v1.0:LG02:5805125:5807891:-1 gene:TanjilG_20183 transcript:OIW17079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGIAFGRFDDSFSLGSIKAYIAEFISALLFVFAGVGSAIAHGKLTSDAALDPAGLVAVAICHGFALFVAVSVGANISGGHVNPALLGSIVACFLLKFVTGGLTIPIHNVAAGVGAFEGVVTEIIITFALVYTVYSTVADPKKGSLGTIAPIAIGFIVGANILAAGPFSGGSMNPARSFGPAVVSGDFHANWVYWVGPLIGGGLAGLIYGNVFLLHSQHAPLSSQF >OIW16611 pep chromosome:LupAngTanjil_v1.0:LG02:20211557:20212304:-1 gene:TanjilG_02817 transcript:OIW16611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSKETNTTRKSSIECCMCGDPGFSDQLFQCKVCQFRSQHRYCSNIYPKLESLGTCNWCLYQKESEKSPNSSNSSSPYRNIGNDDSNKNKKSRNGLRRSTSTLQFQKKPTMKLHKSQEARSPISQPVLISTRKRIITNGALEEKLRRTKSEDIKNKSGGATKHVFRNKVRRYKLLDEVSS >OIW16799 pep chromosome:LupAngTanjil_v1.0:LG02:15073331:15074058:1 gene:TanjilG_01364 transcript:OIW16799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPRVISANVGHAWDLPPNTFGAVYAKFMGSRNFSPDDLPPVRFMDSDKLAYAMRAREVHDFWHTLFGLPTNLIGELAMKVIEFEKMYLPTCLLSVISGTARFSEKQRKLFYQHYFPWAIRAGVQCTDLMCVYYESHFHEDLGDVRRNLGIIPIPRVP >OIW16159 pep chromosome:LupAngTanjil_v1.0:LG02:22374971:22376584:1 gene:TanjilG_18874 transcript:OIW16159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVSGGVEVRHGQKMVYMCGYLPGATTQRLPLLSPVAVNFPGTCYSWNDVCGGGCGFAMALSESGKLITWGSTNDLGQSYLTSGKHGEIPEPFPLPPEAYIVNAAAGWAHCVTVTDCGEVYTWGWKECIPSGKVFGEPSIGISLEQDVPGWQSSLSTEQGMFAMHSLQWPFIYSTAICLSQGMV >OIW17260 pep chromosome:LupAngTanjil_v1.0:LG02:429953:431463:-1 gene:TanjilG_22372 transcript:OIW17260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPSDISVILPRVLIVSRRTVCKNKFVDFVGEYHLDLIVEYGAVPVIVPRVSGVHMLLESFEPIHGVLLCEGEDIDPSWYEQDTSCLSEEELDEIRRLHVSDTMVTPVTDRSNRAIGLSSSFYGGHPEVAVGAGAPSSGYKKVTHSWTRFGDSRGAIRGSGGIGGG >OIW16143 pep chromosome:LupAngTanjil_v1.0:LG02:22180288:22183296:1 gene:TanjilG_18858 transcript:OIW16143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFEDYLMKKRQEPQNRQDLEKEVVKLQAQFKGELALNRVLLCALQGPAFSLPHIPSVFPPHVHELLEEMAVVEEEIILLERKVKELKHRLYQERDQCREWELHHKKQPRLYNHFRGIGIGTSGHGSMITEHRSSSYNYQLYTKGRKIMDKRVSLCSACDIHSLFSTPRSSNEYEEPRSSGKMPRQYHMHVETSIEEPNELSEELVQCLIGIFLELNQASLDRQESETVPKLALSCIKSTSFMAKTSFNCKTPSFLSNGNGSYLDPYGISSDLDCTARDVGPYKDFIQITRNSLDIDNFSQCSSAFRKLRLLMHKLCDVDLSFLTYKQKLAFWINIYNACIMNAFLDHGFPSTQDKLLSLMNKAAMNIGGIVLNALAIEHFILRHPCETKHGHLDEKEVLLRHAYGLGYPQPNVTFALCRGTWSSPALRVYTPEEVVNELERAKVEYLEASVGMTSKRKIIVPKLLQWHMQDFADDMESLLEWIYSQLPRSGSLKRANMECLIRETKYPMSKMVEIQPYESEFRYILPM >OIW17456 pep chromosome:LupAngTanjil_v1.0:LG02:2013094:2016437:1 gene:TanjilG_22568 transcript:OIW17456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRPSTLVNVVLVFALFSCSTWTVWSRSGSLCKHTNFEEIRRPHSVSITEFGAVGDGVTLNTKAFQNAIFYLNSFADKGGAKLFVPAGRWLTGSFDLISHLTLWLDRDAVILGSTDLNDWPVIDPLPSYGRGRELPGRRHKSLIYGDNLTDVIITGDNGTIDGQGSIWWSMFKNKTLDYTRPHMVELANSTAVLISNLTVLNSPFWTIHPVYCSEIQDCFFLMNSHVTVQNVTIISPLKSPNTDGIDPDSSDDVCIEDCYISTGDDMISIKSGWDEYGIAFGRPSKNIIIHRLTGQTQSSSGIAIGSEMSGGVSEVYAEDIRMFNSNNSIRIKTAPGRGGYVRNIYISNVTLVNVTTAIRLTGLYGQHPDDGYDPNARPVIENITIKNIIGDQIKNAGIVQGIEGDNFVNICLSNIILHVTSSYPWNCSNVQGYSDNVLPEVCVQLKERIIPDHSSECYHLSNLEPRSNN >OIW16884 pep chromosome:LupAngTanjil_v1.0:LG02:11439662:11440872:-1 gene:TanjilG_01749 transcript:OIW16884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQKSNQFKGLNKKKSIPPNRHGKVPQIRKGKRFVKPSKVTKDMDADREVSKFINHCNELKAATQANKEGGQLSIVKPPPESSGTDK >OIW16703 pep chromosome:LupAngTanjil_v1.0:LG02:17818638:17825069:1 gene:TanjilG_24173 transcript:OIW16703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEVFEGYERQYCDLSANLSRKCSSTSHDFNLEQKQQKISEIKAGLDDADVLIRKMDLEARSLQPSVKAMLLAKLREYKSDLNNLKKEFKRLTSPTADQAAREDLLNSGTADAHLASADQRERLAMSVERINESSDRIRESRRTILETEELGVSILQDLHQQRETLLNSHKKLHGIDDAIDKSKKVLTTMSRRITRNKWIIGSVIGALVLAIVIILFYKLSH >OIW16343 pep chromosome:LupAngTanjil_v1.0:LG02:23896408:23900778:-1 gene:TanjilG_19059 transcript:OIW16343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVTWITDDKSSPSYVEYGTSPGRYDTVAEGEYTSYSYMLYSSGKIHHTVIGPLEHNTTYFYRCGGYGPEFQLKTPPAQFPVTFAVAGDLGQTGWTKSTLDHIDQCNYDVYLLPGDLSYADRMQHLWDTFGNKHMRVTWITDDKSSPSYVEYGTSPGRYDTVAEGEYTSYSYMLYSSGKIHHTVIGPLEHNTTYFYRCGGYGPEFQLKTPPAQFPVTFAVAGDLGQTGWTKSTLDHIDQCNYDVYLLPGDLSYADRMQHLWDTFGELVEPLASTRPWMVTQGNHEKENILLLEDRFVSYNSRWKMPFEESGSTSNLYYSFEVAGVHIIMLGSYADYDEYSEQYRWLKADLSKVDRERTPWLLVLFHAPWYNSNRAHQGEGDDMMAAMEPLLYAAGVDIVLAGHVHAYERSKRVYNGRLDPCGAVHITIGDGGNREGLAHRYINPQPKWSEFREDSFGHGELKIVNSTHAFWSWHRNDDDEAVKVDDIWITSLVSSRCVDKKRLKLMNILTNPKSLHLSTGGIASV >OIW16770 pep chromosome:LupAngTanjil_v1.0:LG02:15704198:15705625:1 gene:TanjilG_05504 transcript:OIW16770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKPKHSFLFSLFTIFLSLSTASTHFQTQTLTLRSLPNPPTLSQPESESTEPDSDSSTTLSLDLHHIDALSFNKTPLHLFNLRLQRDAARVISFSSILSKATSQNKTKPTGSFTGSGFSSSVTSGLSQGSGEYFTRLGVGTPPKYIYMVLDTGSDVVWLQCKPCRRCYSQSDPIFDPARSTSFAGIPCGSPLCRRLDSPGCNQKKVCQYQVSYGDGSFTFGDFSNETLTFRRTGVARVAIGCGHDNEGLFVGAAGLLGLGRGGLSFPSQTGTRFNKKFSYCLVDRSSSTKPSSMVFGESAVSRTARFTPLIKNPKLDTFYYVELLGISVGGTPVRGISASLFRLDQTGNGGVIIDSGTSVTRLTRPAYVALRDAFRVGATRLKRAPEFSLFDSCYDLSGLTEVKVPTVVFHFRGADVSLPAANYLIPVDNSGSFCFAFAGTMSGLSIIGNIQQQGFRVVYDLASSRVGFSPRGC >OIW17315 pep chromosome:LupAngTanjil_v1.0:LG02:887399:890056:-1 gene:TanjilG_22427 transcript:OIW17315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFVQNSVNAAGVGIFSLVILCFVVWHHFKASKGKEAPMVSGSWPILGHLPLLSGSKATHHILGAMADRYGPVFTIKLGSAPVLVVNNWESAKECFTTNDLTLSYRPSLLMTEHMTYNQGMFSFSPYGAYWRETRKIINSGFLSNNKVDLLSDIRVFEVKSSIQELFNLWLRKKDDSDFMLVEMKQWFRELALNVTFHMFAGKKYFGGDATNNDKESQKCIKTIREFLRLVGVYTVADAIPFLRWFDFGGHEKAMKKTFKELDSVIGGWLEEHRKKKASDHDEKSNKDFIDVMLSMIDGSTIEGIDSDTVIKATTMTLVLGATDTSIVTHTWAICLLLNNPHALEKVKEEIDMNVGKERCVNESDINNLVYLQAVVKETLRLYPASPLTVREFGQDCNIGGYYVKKGTRLFTNLWKIQTDPTMWPDPLEFKPERFLTTHKNVDVRGHNFEFIPFGSGRRICPGITFGLRTTYLTLATFLQSFEISKPSNKPIDMSAIVEVTNIKVTPLEILIKPRLCSNIYENM >OIW16490 pep chromosome:LupAngTanjil_v1.0:LG02:21256477:21258667:1 gene:TanjilG_32160 transcript:OIW16490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLGSCSTISVSLSSRAKFLPSSLSSSVKGSSSVRFSNKNVACGVKVHASSKEANNNQTLLNITFEPFEEVKKELLHIPTTLHASLARQNYHDHCEAALNAQINVEYNISYVYHAMYAFFDRDNNKRGGRVRLQTMLRPFSEFDDAEKSDALNAMELTLSLERLNNEKLLNLHSIANEKNDVQLVDFIESEFLVGQVEDIKKISEYVAQLRRMGNGHGVWHFDQMLLNGGVAAC >OIW17484 pep chromosome:LupAngTanjil_v1.0:LG02:2322942:2332369:-1 gene:TanjilG_22596 transcript:OIW17484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSLSRELVFLILQFLEEEKFKESVHKLEKESGFFFNLKYFEEKVHAGEWDEIEKYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDRNDKASAVEILVGDLKVFSTFNEELYKEITQLLTLNNFRENEQLSKYGDTKTARGIMLIELKKLIEANPLFRDKLVFPNLKSSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFTDHSCAPPNGPLAPTQVNLPGAAVAKPATYTSLGALGAFPPAAANANVNALAGWMANASASSSVQAAVATALTIPVPQNQVSILKHSRTPPSGPGMVDYQNADHEQLMKRLRPAPSLEEVTYPTARQAPWSLDDLPRTVAMTLHEGSSVTSMDFHPFQHTLLLVGCSNGEIALWEVGLRDRLDSKPFKIWDKTKCSLPFQASVLKDAPISVSRVTWSPEGDFVGVAFTKNLIHLYAFIGPSELSQRIEIDAHIGGVNDLAFAHPNKQLCMVTCGDDKLIKVWDLTGRRLFEFEGHEAPVYSVCPHYKETIQFHVSPLAALIYTSDEGVGLHVYSSDGEPLKVGWSTTSVEGGEFTFSTAIDGKIKAWLYDNIGSRVDYDAPGHGCTTMLYSSEGSRLFSCGTSKDGESFLVEWNESEGAIKRTYNGFRKKSTGVVQFDTAQNRFLAAGEDGQIKFWDMDNINLLASTDADGGLQVLPRLRFNKEGNLLAVTTLDNGFKILANASGLRSIRAVETMAFEALRLPIEFGAVKASGSSAVNINPVHCKVESSSPVRPSPILNGVDPMGRNVEKPRIVEDVIDRPKSWQLSEIVDSVQCRLAAMPDIIDSSSKVVRLIYTNSGAGLLALGSNGVQKLWKWSRNEQNPTGKATAHVAPQHWQPNNGLLMKNDISGVNLDEAVPCIALSKNDSYVMSACGGKVSLFNMMTFKVMTTFMPPPPASTYLAFHPLDNNIIAIGMEDSTIHIYNVRVDEVKSKLRGHQKRISGLAFSTNLNILVSSGADAQLCVWSIDTWEKRKTVPLQLPAGKAPVGDTRVQFNTDQIRLLVAHETQLAIYDASKMERIQQWVPQDVLPAPISNACYSCNSRLIYATFCDGNTGVFDADSLRLRCRIALSTYLSAAALNGNQGVYPVVAACHPLDPHQFAIGLTDGSVKVMEPIETEGKWGTNPPLDNGIGRTASSSTTSNHTPDQAQR >OIW17209 pep chromosome:LupAngTanjil_v1.0:LG02:3327803:3331634:1 gene:TanjilG_02498 transcript:OIW17209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDFNSDLFDPVTVMEDTDYSRHASSSSASDSDFAFAFNDSNFSDRILRIEIINDPIQTRPDSNACTTIADWASHRKRRRDDSINKDNVVADLTLLPDEQILNDNQTDIDDCVPCENQDEEADAMVEEPPSDIGDEAANRTESNCSMDCSSVVRVRTLHISSPILAAKSPFFYKLFSNGMKESEQRHVTLRINASEEAALMELLNFMYSNTLGTTSPPALLDVLMAADKFEVASCMRYCSRLLRNMPMTPESALLYLELPSSVLMADAVQPLTDAAKQYLATRYKDITKFQEEVMALPLAGVEAILSSDDLQVASEDAVYDFVLKWARTQYPKMEERREVMGARLAHLIRFPYMTCRKLKKVLTCNDFEHEGASKLVLEALFFKAEAPHRQRILAAESSSLNRIFVERSYKYRPVKVVEFELPRQQCVVYLDLKREECTNLFPSGRVYSQAFHLGGQGFFLSAHCNMDQQSSFHCFGLFLGMQEKGSVSFAVDYEFAARSRPTDEFVSKYKGNYTFTGGKAVGYRNLFAIPWTSFMAEDSLYFINGVLHLRAELTIRH >OIW16390 pep chromosome:LupAngTanjil_v1.0:LG02:24213775:24215034:-1 gene:TanjilG_19106 transcript:OIW16390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIELESAMLGADADILDIYDIMIPQESDPNLLEAEKWKKMMEIVYRGDLKEMLCACAKAVEGNEKETTEWLMSELRKMVSVSGNPIQRLGAYVLEALVARLASSGSTIYKSLKCKEPTSNELLSYMHALYEICPYLKFGYMSANGAIAEAMKDETEVHIIDFQINQGIQWVSLIRALSGRPGGPPKIRITCLDDSTSAYARGGGLDIIGERLSGLALSYEVPFEFHAIDASPSELQLEDLQLRSGEAIAVNFAMMLHHVPDGSVDGKDYRDRLLRLAKCLSPKVVTLVEQESNTNNVPFFPRFVETLNYYLAIFESIDIALPREHKERINVEQHCLAREVVNLIACEGAERVERHELMNKWRSRFTMAGFTPYPLSSYINSSIKNLQERYGRHYTLEESDGALYLGWMNQVIVASCAWR >OIW17063 pep chromosome:LupAngTanjil_v1.0:LG02:6294813:6295969:-1 gene:TanjilG_15646 transcript:OIW17063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERYSKVEIEDSKSERRLRLRSLNNNLSSDDQEPFMGIKVRRNASRHRDYKGDYLDVHSYPFLIKLLNKQGDKHVLFADKVLKFTGSGKIKRCILMITDFAMYIVDTEVGALKRRIAIAAVEKFCLSEFSDNFFALIIPTEYDLLMASTRKTEIVTILSEAIKNTSDFELEVVFSNR >OIW16947 pep chromosome:LupAngTanjil_v1.0:LG02:9966291:9974065:-1 gene:TanjilG_08337 transcript:OIW16947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKPAFIKGKKRRKTEPDGVDVVDDFEVHFNQVNDSVDESFNLLFGREGEQVQVGGFSEGCGNLGDEVVEFEADSGNRVGSLDQPWSSEGACENDPVALPLSGGGLQELGEAGFCNLNDQGLQPQERVCEEDDTTLIGNDRPNIAAPNDDGNGQDDPRRGSINKKTVPGVEEGMELLNGGAVTNEEARGEPLRLQAKRGRPKGSKNKSKGLKGNNVHACAENPGGCGKCAGKNVGSIEVCGLNRRSGRHKGSNSENTVLGVEEGGGAAIDKETKSEALRSRVRRGRPKGSMNKNKQFSMALNAQGVSGNDNAGTDRMSLVTSLRNGRSNISCEKDQALPSLMGASDKGAQPNEIASPKKRGRPKGSKNRKKNIVFVSNAIARPRKRSRPKDSKSRNKNIAFVSNAAGVRIAWSKKCGQPKVSKHKRNDVVDTGNEVAGEIARLKKCDRPKGSKNKKNVVHVNNEEESETIRLDGPDDGIISPEVEADKGGTKLGRPKGSGRKENETARPFDSEFESHGLVAEKDETASSRNDIDQLEGNYSQERCLRITRHTIKQRQSRVSTCEIGSTQKRSSERIRKLLVEGKNFKGDEGEETTYHESESSGLTVGYPLKYIRGDRWKKETKAMCHQCWRNDRTVVICSKCKRKRYCYECITKWYPEKTREEIEIACPFCLGNCNCRLCLKQNISVKTGSGEAEKGVKLQKLFYLLNKTLPLLQHIQQEQRSELEVEATMLASQLVEEDIMQSLIDDDDRVYCDNCNTSIVNFHRSCPNPDCRYDLCLTCCMELRNGLHGDDISFSASEGKADTPSVTSAWRAEINGRIPCPPKARGGCGTTILSLRRLFEANRVDSLISNVVELTARYQPPIVDLSLRCLVCHSSVRKAASRETSHDNLLYCPDAVKIGDAEFEHFQWHWRRGEPTVVRNVLEKGSGLSWHPMVMWRAFRGAKKILKEEAATFKAIDCLDWCEVEINISQFFKGYLEGRRYRNGWPEMLKLKDWPPSNSFEECLPRHGAEFIAMLPFSDYTHPKSGITNLATNLPAVLKPDLGPKTYIAYGSLEELGRGDSVTKLHCDISDAVLHVWVPDWFRSFECQPRWVNILTHTAEVKTPPWQHRIKKKLQKKYEADDMCELYGKDNKAIGSSRIKQRMPRLDIGMDPKILEKEKTISRDSTLLGSQTTEEKLSEQQSKYMSSESKSRSMPFEKSPSCTGKNVSDLHFPQKQLSFLQYDSVCGNVAESYSLLKDGTDTRRDLPLDESYGQDHGNDVERYPDTTECNQPCIGAQETTFVNELSCPVMPCSETKIGKVESLENNTPSKNFFQNDDHLETQHGSAVWDIFRKQDVPKLMEYLKKHYKEFRHVNNLPVNSVIHPIHDQILYLNEKHKKQLKQEFGIEPWTFEQHLGEAVFIPAGCPHQVRNRKSCIKVALDFVSPENVQECIRLTEEFRLLPKNHRSKEDKLEIKKMALYAADAAITEATELIGGK >OIW17265 pep chromosome:LupAngTanjil_v1.0:LG02:446784:449067:1 gene:TanjilG_22377 transcript:OIW17265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREVQLGSHTLRSHGYTVARTHMHDWLILFLLVVIDIILYVIHPFYRFVGKDMMTDLKYPLKSNTVPAWAVPIYAVLLPMLIFIVVYIRRRDIYDLHHSILGLLFSVLVTAVITDAIKNAVGRPRPDFFWRCFPDGKDVYDTLGDVICHGDKSVIKEGHKSFPSGHTSGSFAGLGFLSLYLSGKIKAFDSKGHVAKLCIVFLPLLFALLVGISRVDDYWHHWQDVFAGGLLGLVMATFCYLQFFPPPYHSEGWGPYAYFRMLEESRGMTQVPNAQTGDQTQLLEALVANQDDRQSYHGCMSLGLVRDHGSTLDELESGRR >OIW16257 pep chromosome:LupAngTanjil_v1.0:LG02:23206701:23207817:1 gene:TanjilG_18972 transcript:OIW16257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFRLFSGRGMAHEDGNIRTLDSFNQMMTDHQPLEIHSRSSRTILRTNHKISYPNNNPPIVQNTNLMMQGYQNYPNPRNSSQPVISFMSAHRNYLSANNSILGTNMRIQGNQNYPTLNDFIQGSSYGNGAVGATTRRTMVPLVTYSSDNGYTMLAATNGAFTLLYLPKDTPPNTVSNNVPPTIPSHVVASAGNSTLNNVPPTLPSHVVASAGNSTLNNIPPTIPSHVVASAAGNSSLNNNVNLQGDTRRETERVVIDLDEESEENEDSLDLSLHL >OIW16898 pep chromosome:LupAngTanjil_v1.0:LG02:11982215:11986293:-1 gene:TanjilG_01763 transcript:OIW16898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIANDGGDRSNCVVCSSDAGSVESSRYFHSRRTVLEMLRDRGYDVPDSELTRSLPEFRSIFGEKPNHESLTIRVSLLSDPSNKVLVCFMGTTDIIRKETIRALHIDIAEKERLNRLILIVQSKMTAYAQKYLDASPFKVEILNINDLLVNITKHVMQPKYQLLTADEKQALLMKHSLEEKQLPHMLKADAIARYYGLEKGQVIKITNNGELVDSVVSYRCVV >OIW16150 pep chromosome:LupAngTanjil_v1.0:LG02:22284768:22287869:-1 gene:TanjilG_18865 transcript:OIW16150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFVFRGTRADIENGFPGFAPERRALRVHAARPSNSNSLTFLVTVLLLFMIMNSQQFSPNFLLWLVLGVFLIATMLRMYATCQQLQARAQAHAAAASELLSHTELRLHMPSSIELATRGRLQGLRLQLALIDREFDDLDYETLRALDSDNVHTTPAMSDEEINALPVHKYKGYGPQNSSSTMQQASSSTTAEKREDTSNAGGSMKASDDGLTCSVCLEQVNVGDTLRSLPCLHQFHANCIDPWLRQQGTCPVCKFRAGSGWHESGHNDIADMV >OIW17110 pep chromosome:LupAngTanjil_v1.0:LG02:5193666:5194362:1 gene:TanjilG_26765 transcript:OIW17110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTTDRRNGEYAPIRDSDESQLGKFDKPLPCFGCGIGWFCLLLGFVCPLMWYYATVLYFGNYYHKDPRERAGLAASAIAALVFTIAVLITTIVLIW >OIW17256 pep chromosome:LupAngTanjil_v1.0:LG02:360284:362757:-1 gene:TanjilG_22368 transcript:OIW17256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDSVNGNSSSSKTTQELAIEGQKYLEETTEYAFQILSSMNDELCNPVLWFTSPSPSNTTSPNPNAPSSIGDANSDNSNHHAKSGGGGGAGGALEESLFRYKNAVASLKTTLSAIPNSHKAKAFGSGSDASPADEAEIEKLAEQASSLRKEVANKNLHLKILIDQLRDLITDISTWQSPSST >OIW16365 pep chromosome:LupAngTanjil_v1.0:LG02:24057229:24060584:1 gene:TanjilG_19081 transcript:OIW16365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSATWNYAIAMADESTTSHSNSSIMSFSDKRLVLDEMDFFAENKKKSQDDHGDDDDDQMVHHIEVNVDTSLDLLTKNTGGKRSIKEDKDDERNELAALLAEMHDMNAENLRLRELVDQVNNDYNTLHMQFIKMMQTQHSHGGDQVIEEKGKRECRVPRPFLEMGGFSGRDKPFQQSLEGSMQRECKSVVELMENKTVHQICTKRDNIVLEPNKDNNSKARIMEESPSDQEFQLGWLSNKVPRLNSLMDHNVDQPSETMSIIKKARVSVRARSESSMIADGCQWRKYGQKMAKGNPCPRAYYRCTMSTGCPVQRCGEDRSVLMTTYEGQHNHPLPPTAKAMASTTSAAASMLLSGSMPSSDGLINPSILESAALPYSHNNMVTLSCSAPFPTITLDLTQQSATSSSSQQQRDHLNLLNPLSSFTDTVNAATAAITADPNFTSALVAAITSIIGRSHPNNSGTNNTSGDQ >OIW16724 pep chromosome:LupAngTanjil_v1.0:LG02:16859521:16859793:1 gene:TanjilG_14494 transcript:OIW16724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAQGAVMFLELTGNLYPTLIREFYANFYYKDSRYWSMISGNLFDMDRDIFMNVGGLSITGVRLGDCERLKDFDAAEIYKSCLRGPHLYV >OIW17338 pep chromosome:LupAngTanjil_v1.0:LG02:1071220:1073198:-1 gene:TanjilG_22450 transcript:OIW17338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDEGKMNMDGNSFLTSDYGDIRFRKLVLDDDGDLRIYSFYPDQENKWVVVWKGIWEMCLIKGKCGPNAICMPKDDSNSSTFCVCPSGFSPNNGGPENGCSRKIQLSPNTHFVRLDYVNYTSSIMSQISAGNFTICQSDCRTDSTCLGFGFKYDGSGYCVLLQGPQLQYGYWSPGSETAMFVKVDESESAASNFIGMSEVLQTTCPVKISLPLPPKESNTTTRNIVIICVLFAAEFISGVAFFWSFLKRYIKYRDMATTLGLELLPAGGPKRFTYTELKAATHDFSNLIGRGGFGDVYKGELPDHRVVAVKCLKNVAGGDAEFWAEVTIIARMHHLNLVRLWGFCAEKGQRILVYEYIPGGSLDKYLFKVRSLKVSTSSGDSDSNIEQKPVMDWNVRYRIGLGVARAIAYLHEECLEWVLHCDIKPENILIGDDFCPKISDFGLAKLRKKEDMVTMSRRRGTPGYMAPEWITADPITSKADVYSFGMVLLELVSGVRNFEIQGSQVRSEEWYFPGWAFDKMFREMKVEDILDAQIKDVYDSRAHFELVNRMVKTAMWCLQDRPELRPTMGKVAKMLEGTVEISEPKKPTVFFLGEE >OIW16777 pep chromosome:LupAngTanjil_v1.0:LG02:15758160:15764049:-1 gene:TanjilG_05511 transcript:OIW16777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSTFVNTDELQSSTQASNEPKSEPPNNHTTDAPTTDSGSASASSNDSKKVSRQDIELVQNLIERCLQLYMNKDEVVKTLLTRAKIDPGFTTLVWQKLEEENANFFRAYYIRLKLKKQILLFNHLLEHQYYLMKCPMPAKLPLAPIQNGVHPMPEYFYAFYFCGDNTDELQSSTQASNEPKSEPPNNHTTDAPTTDSGSASASSNDSKKVSRQDIELVQNLIERCLQLYMNKDEVVKTLLTRAKIDPGFTTLVWQKLEEENANFFRAYYIRLKLKKQILLFNHLLEHQYYLMKCPMPAKLPLAPIQNGVHPMPVLEDGLYYSAVNNLPMGYPVLQQQPMQAAGQPHIDSMGCGISMGHVVNGVPAPSNFHPIRMNSGNDMMMDYSAPDMAPVIPPNSGMSSVSDMPVSPASVASSGHFPFTASEISGMGADTSALDTAFTYDVTSSVGLQLAPDSGNGIFRSLDQIPWNFSLSDLTADLPNLGDLGALENYPGSPFLPSDSDLLLESPDQQDIVDDFFVNLEPPCSQSDEEKS >OIW16920 pep chromosome:LupAngTanjil_v1.0:LG02:11069932:11075239:-1 gene:TanjilG_19225 transcript:OIW16920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSCPDYLKRTHEANESIWNLSDCHVIGCKLHYHVSDSSKKRLFGLHEIFKTVPGVGKQQKSNSSRIIPRDYTCTSGIWEIPDDILTKILASLHPLDLTRVSETCHHFRSLAASVMPCTKLNLFPHQQAAVEWMLHRERNAEFLRHPLYVSLSSVDGFSFHVNTVSGEIVTGEAPTIKDFRGGMFCDEPGLGKTVTALSLIVKTQGTLADPPDGAQVIWCQHNGNQKCGYYEVSGINFTSCSKLGKRDVCQDVSRNKENHDHSSKRARLLGPAEQRTKLHDSCSVQEQKSPVDACSEESMPASRSTRSLSRIKKNLHLSYEEEALFSKERKVGESSIQTKHTSGVTSRVSQNKLVDTSHGCGKSYKRPGKSKIDFLEYNDTWIQCDSCHKWRKLADNSMANSSAAWFCSMNTDPFYQSCSVPEQCFQNSSKITYMPGFHLKGTPGGDKQNVSFFIDVLKEHYSLINSETKKALTWLAKISTEKLAGMETNGIRGPILNTTSCNVFHKIFQAFGFIKRVEKGVCRWYYPQNLNNLTFDVAALGMALREPLDFFRLYLSRATLVVVPANLVDHWKTQILKHVRPGQLRVYICIDHRKPSAHCLAWDYDIVITTFSRLSAEWGPRKRSVLMQVHWFRIILDEGHTLGSSLNLTNKLQMAISLMASNRWILTGTPTPNTPNSQLSHLQPLLRFLHEEAYGLNHKSWEAGVLKPFEAEMEEGRSHLLHILHKCMISARKIDLQSIPPCIKKVVFLDFNEEHARSYNELVLTVRRNILMADWNDPSHVESLLNPKQWKFRSATINNVRLSCCVAGHIKVTHAGEDIQETMDMLVQSGLDPTSGEYTSIRYSLLFGGYCVRCKEWCRLPLITPCRHLLCLDCVSIDNMKCTYPGCGKLYEMQSPDTLARQENPNPKWPDNWDPDWQSTSSSKVSYLIQRLKALQETNKELSFYEDHINYEMDTTNNVPFHNRHSKSFQESSVRSQSNTNPEKVLIFSQFLEHIHVIEQQLTIARIKYAGMYSPMHSCNKKKSLAMFQNDSSCMALVMDGSAALGLDLSFVTHVFLMEPIWDRSMEEQVISRAHRMGASRPIQVETLAMRGTIEEQMLEFFQDADECRSFPCKDVTKSEVDIGGRLYRSLHDFAESSYLLKLRFVYTSSDNQEVDQCKSKVACLVPRRSWVKILKATFSLERVFDKDQVLTFLALSKSYRILLAVCCIF >OIW16223 pep chromosome:LupAngTanjil_v1.0:LG02:22908920:22912073:1 gene:TanjilG_18938 transcript:OIW16223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKRERENPCAVCGHYHKYEEGEVCSICGHRLTVGEKTPVQISAFPSVILPEFLYLGSYDNASRSELLKTQGITQQCEKDKARVLVHCMSGKNRSPAIVIAYLMKSRGWRLAQSYQWVKERRPSVELTEGSYQQLRELEHKIFVSNVGGSSVLPGFPPASSISFGFPKIHDSPSLPPFSGAGTTSIFAQPPLDIAPTAFTFGAGQMPNSATGSPFSSNPPNPNGTDIQMDGS >OIW16903 pep chromosome:LupAngTanjil_v1.0:LG02:12172533:12175308:-1 gene:TanjilG_01768 transcript:OIW16903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQAQDVRSNAEFSKFDDYYETIQSRKKLPKALQETLTDALAKIPVSSFPRVPGGKVIEILAFTPVGEAVKILSENNILAAPVKDPEAGTSSNWRDRYLGIIDYSAIILWVLETAEIAVAALSAGTATAAGVGTGTIGALGALALGVTGPAAIAGLTAAAAGAAVVGGIAVEKGMGKDAPHVADKLGGDFYKVILKEEPFKSTTVKSILKQYRWAPFVPVARNSSMLTVMLLLSKYRLRNVPVIEPGKPDIVNFITQSAVVEGLEGCKGRDWFDCIAERPICDLGLPFMSPHDVISVSSNDLILEAFKQMRDNKIGGLPVVEGSNKRIVGNLSIRDIRHLLLRPELFSNFRKLTVMDFMSEIASLNRDSRKVTRPITCKPDSTLQSVINNLASQSIHRIYAVNEQEEVIGVITLRDVISSFITEPSYHFDDYYGFAVKEMLNQ >OIW16096 pep chromosome:LupAngTanjil_v1.0:LG02:21689636:21691559:-1 gene:TanjilG_18811 transcript:OIW16096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAGDDVDFQELEDKNGQGMVYEAKTEIQMNWPRRQLLLDGRLGSSPPKCTSKCGNCTPCKLAVVSVPPGPPRMMDYYPVIWKCNCGDKYYDP >OIW16818 pep chromosome:LupAngTanjil_v1.0:LG02:13510128:13514828:-1 gene:TanjilG_06858 transcript:OIW16818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGIDVTRYVHSPVHKAVILKDYAELRRILAGLPRLCDVAHVRTEAVSIAEEEKADAISAVIDRRDVPNRDTPLHLAVKFGDQVAAEMLMLAGADWSLQNEQGWSSLQEAICDKEEVVAKIIIKHYQPLAWAKWCRRLPRLVGTMRRMRDFYMEITFHFESSVIPFISRIAPSDTYKIWKRGANLRADMTLAGFDGFKIQRSDQSVLFLGDGSEDGKVQPGTLCMISHKEKEILNALDEAVAGFPANDEEVQQEVAAMSKTNIFRPGIDVTQAVLLPQLTWRRQERTEMVGSWKAKVYDMHNVVVSIKSRGVPGAMTDDEFFSSCNDNETESEELNDILTEDEKRQLEDALRLDSSELNNENDEIVGHRHSCYEYRDVPIEDASSSKSGEIKHEKKGWFGGWRKKDPKHEAPSPKKIAPPRSSLCVEEKVSDLLGDSPSGNHIKPGRHSVEIGVRGDEQRRRKDAKTSAGSSDSRSRHKDGSRENEYKKGLRPILWLSPNFPLKTEELLPLLDIVANKVKAIRRLRELLTTKIPMGTFPVKVAIPVVPTIRVLVTFTKFEELQPLEEFATPPSSPSANVYESPTASNFSASSWFQWIKAPYRPSSSAAGSSSRIENIQDPFAIPSDYTWVTAEEKKKKMQEKNKSKKGKSHN >OIW17589 pep chromosome:LupAngTanjil_v1.0:LG02:9852:10277:1 gene:TanjilG_08867 transcript:OIW17589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSQGQTTSLQRLQNVEKRIVKVLELAGGVMDELASPVGPRKELVQNHCLEFMQLIKDIQVALRDEIKSACEYRPFEKCDYGPRIANDISYNKVQYVISQLDAIKQNIHHYHAPHSE >OIW16440 pep chromosome:LupAngTanjil_v1.0:LG02:24535645:24536735:-1 gene:TanjilG_19156 transcript:OIW16440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVEVVSAQNAFHEDTNETSLKTEEEKITQEEVVDTTPILAPDQTKEEIKEEEEVTVVTKATEVAAPEGKPSDEVETKDVVEIEAKVESVTITQEPTVEKIEEETKKEEEAETEVFEETKENADSEVVDEVVKEDKEKDDKTAAPSEESEEKVETEACDEKKDEEVDGKKI >OIW16908 pep chromosome:LupAngTanjil_v1.0:LG02:10691675:10699727:-1 gene:TanjilG_19213 transcript:OIW16908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLSKLTYWLSNQILHQMQNKFSKVCLDQNRADENEWSKELHLDSKQCTRSLDQSRSCSIQFNKVLCVNQTKDIHKGIVDFSDHDCQDYNFHYSLIQVKCTLLGQAYFMPLGSSSLCNINCLSHKLRDPKIIVYDKVGTAENKNVKGEKSSADPSTEQRAASATAAGFTPNPFDFSAMSGLLNDPSIKELAEQIAKDPSFNQMAEQLQKTFQGATPDSIPNFDNQQYMQTMQQVMQNPDFMTMAERLGNALVQDPSMSAMLESFTNPSNKEQIEERMARIKEDPSLKHILEEIETGGPSAMMRYWNDEEVLGKLGQAMGVANSGDAAASAVNSVPDETDDVGNEDESIVHHTASTGDVEGLKNALASGSDKDEEDSEGRTALHFSCGYGEVKCAQVLLEAGAKVDALDKNKNTALHYAAGYGRKECVALLLENGAAVTLQNLDGKTPIDVAKLNNQHDVLKLLEKDAFL >OIW16134 pep chromosome:LupAngTanjil_v1.0:LG02:22083347:22085771:1 gene:TanjilG_18849 transcript:OIW16134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALHFLMLLLVVSVVAAEEEPFIGVNIGTDLSDMPHPTQVVALLKAQKIQHVRLFDADQAMLIALAKTGIEVVVTVPNDELLAIGQSNSTAANWVSHNVVAHYPATNITAICVGSEVLTTLPNAAKVLVNAIKYIHSALVASNLDRQVKVSTPLSSSIILDSFPPSQAFFNRSLNSVLVPMLDFLQSSGSYLMLNVYPYYDYMQSNGVIPLDYALFKPLPPNKEAVDSNTLLHYTNVFDAMVDAAYFAMAFLNYTNIPVVVTESGWPSKGDSNEPDATVDNANTYNSNLIKHVLNKTGTPKHPGIAVSTYMYELYNEDTKAGPLSEKNWGLFDSNGEPIYILRLTGSGATLANDTSNSTFCIAKDGADPKMLQAALDWACGPGKVECSLLLQGQPCYEPDNVIAHANYAFDSYYHKMGKTPDSCDFNGVATISSTDPSHGSCIFPGR >OIW16481 pep chromosome:LupAngTanjil_v1.0:LG02:21334260:21337465:-1 gene:TanjilG_32151 transcript:OIW16481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTYIIILTILMYSCIIVVVVVATSNAPKFSSILVFGDSTVDTGNNNDLIFSVSKANHEPYGRDFPGQVPTGRFSNGKLIPDFIASYLNIKDTVPAYKDPNLSNDELLTGVNFASGGAGFDDFSSFFSHSIPVSHQIDQYFREYVEKIKEIAGEDKAKQILGDALVIISAGSNDVIINFYNLPTRRLAFNISGYQDFLQNNLQTYIQQLYDLGCRRIAVAGLPPIGFIPLQILLKFPHMNDENSDSKDYNQKLEKRLLEMQAMLPGSKVVYAEIYDPLFDLISQPEKYGFTETKIGCCGNGIVLGAAAITCNKATPICEDASKFVFWDCVHPTETTYHYLAKYLEMEVLPKF >OIW16391 pep chromosome:LupAngTanjil_v1.0:LG02:24219296:24221733:1 gene:TanjilG_19107 transcript:OIW16391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFLLLQLHIPIPEFSKLTESLNKHAEIVHDLALHFGHHNDEFAEPARFDVNDKRSKLHAIKGKDREQKKEWGVKSFHNGQSTKMPRSERVASLQANSSGKFVGNLKDKKYPRLSEEIILDVRWLPLLDYLSTFGIKESHFLQMYERHMSSFQMTVCVAQERLEYLMSVGVKHRDIRKILLRQPQILEYTLENNLKSHVAFLTGLGIPNSRIGQIIAAVPSLFSYSVENSLKPTVRYLVEEVGINEKDLGKVIQLSPQILVQRIDISWNTRYMFLSKELGAPKDSIVKMVKKHPQLLHYSIDDGLLPRINFLRSIGMKNADILKVLTSLTQVLSLSLEENLKPKYLYLVNELHNEVHSLTKYPTYLSLSLDQRIRPRHRFLVSLKKAPKGPFPLGSLVPTDESFCQNWAKTSLDTYLAFRQRLLLKKFAEKYERKM >OIW17496 pep chromosome:LupAngTanjil_v1.0:LG02:2436117:2438625:-1 gene:TanjilG_22608 transcript:OIW17496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESIQQNYNDNLMEYAPNSPPSSHNICDIVGDPQLSPRLGREYQVEVPSMIKGTERFRLQMNPADSEIVHDNSLSFAIGTLSSSWSDADAKTFLLGLFIFGKNFIKIKRFLENKRMGEIMSFYYGKFYKSDGFRRWSSCRKLKGRKSMIGEKLFTGQRQQELLSRLLPRVSEQSQDTLLQVSKSYLEGSASLEEYISFLKSTVGLGVLVEAVGIGKEKEDLTSLRVEARKTNRVFPIPSSKDWASLRPNDILKFLTGGFRLSKTKSNDLFWEAVWPRLLARGWHSEQPKNQGYFSTKDHLVFLTPGVEKFSRRKLVKGDHYFDCVTDVLSKVVAEPNLLELEEEARADCSNDEDPEKGPNEDHHSDSHRHCYLKPRASNNNTDCTKFMVIDSSLVHGGNSSDVKSLPGNSVGKIDVDAAGITYNGIKPMSKACHKKNMSKRIKQRLTKFTVIDTSLLYKGKSLKVGKMRYLPVELEDSSKMDDHSSKSKGRFSDDNSRYEAEAKMTIYDEKNISNTDCQKSIYSRDATNRKAAHVNQDDHASKTSESNQNQKACVFNDNQLVRTIKHQFSRRARSGNSNHPVPPIKRRRLTACVNYETNCILENSSGCLGSEKLALSRSLSFPDANKNVGDPFSLHQNGGSIASSADGNVEENNEEITINEIYSTCGKVDKCESKSPMLKEDKKSVKADDPCLTSDTQEAIEKQLRISDDVRSMEQQPDIHPRRQSTRNRPLTVRALESLANDFFHKEMKQKRKKHPDTRKSFRTLPKS >OIW16153 pep chromosome:LupAngTanjil_v1.0:LG02:22314608:22321499:1 gene:TanjilG_18868 transcript:OIW16153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSDVATRSMLGNHEYGEDLGVLIERERELSKLRSGSAPPTVEGSLTAVGGLFDSPVAAGMGLGGGRGYLGSEEDIRADPGYASYYYSNGANLNPRLPPPLLSKEDWRFAQQRLRGPMVGGIGDMRRVSGEERSMFSVQPERKSAVEWDGNGDGLIGLPPALGLGSRQSSFAGVFQDEINNAAFASKQPHRPPSRNVFDDIPEESETHFAFLGQELDALQSGGNNQGISASKNHVGMASQTYASALGASLSRSTTPDPQLLPRAASPCLPPIGDGRSSSADKRTSVGQSSLNTISSGLNEPTDLVSALAGINLSATDTVDGEKHHQSSRHTEVDYNHDFNKHSYSTKLDSLSFQSHSDNQYHLEVSKSGGFGLDLNNSSMYANEQLDPRKAGGISINSYFNGPSTPTPTSRGDSPAHYQNFDDMSNSYPNYGLSGYAVNPSSPSLMASQIGSGNVPPFFDNAAAAAALRMNALDSRALGRGAALGPLLAVSELQNLSRSGNHAASSTNQLPLMDPLYPHYLRSDEFVATQIAALNESATNRGYTNNYFTDLAGLQRGGVESLIASQKLHSGGVPYLAKAASLNHNSYRNPSYGLGMAYPGSPVAGSHFPNSLYGPGSPMSQTERNMHLTGMRNVAGGFMGAWHSDAVSSLDENFASSLLDEFKNNKTKYFELSEIAGHVVEFSADQYGSRFIQQKLETASMDEINMVFHEIMSHALSLMTDVFGNYVIQKFFEHGTEAQIRELADQLTGNVLTLSLQMYGCRVIQKAIEVVTLEQQTKMVAELDGHIMRCVRDQNGNHVIQKCIECVPEDAIHFIFSTFFDQVVTLSTHPYGCRVIQRVLEYCHDPKTQQIMMDQILQSVRMLAQDQYGNYVVQHVLEHGKPHERTAIINELTGQIVQMSQQKFASNVIEKCLSFGTPTERQALVDEMLASTDEKEPLQVLMKDQFANYVVQKVLETCDDQQLELILNRIKIHLNALKKYTYGKHIVARVEKLVAAGERRISFLTLNPAAQMV >OIW17413 pep chromosome:LupAngTanjil_v1.0:LG02:1709667:1712750:-1 gene:TanjilG_22525 transcript:OIW17413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDADEKTHEEVISDEAVEKEQQTRDEILARHRKEISLLQKKEVEMKKAAAKGSKAEQKAKKKQVEEEISQLSGKLKEEHSKELAALGYSSGNGNEKNNLDTLVKAIAGVSVTSQPENTKSSKAKQRRDKKAQQEAEREQRIQAEQSNIVSDRMIENEKLEKKLKPLGLTVTEIKPDGHCLYRAVEDQLAILSGGRSPYTYQELRKMVAAYMRNHSSDFLPFFLSENLIEGDSDESPAQKFENYCKEVESTAVWGGQLELGALTHCLKKHIMIFSGSFPDVEMGKEYKSENGTGSYNLSIMLSYHKHAFGLGEHYNSVVPT >OIW17268 pep chromosome:LupAngTanjil_v1.0:LG02:472086:475224:1 gene:TanjilG_22380 transcript:OIW17268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKSVDLPPKGGFSFDLCRRNAMLEKNGLKPQSFLKTGTTIVGLIFQDGVILGADTRATEGPIVADKNCEKIHYMAPNIYCCGAGTAADTEAVTDMVSSQLKLHRYHTGRESRVVTALTLLKRHLFNYQGHVSAALVLGGVDFTGPHLHTIYPHGSTDTLPFATMGSGSLAAMSVFESRYKENLTRDEGIKIVVDAICAGIFNDLGSGSNVDVCVITKGNVDYLRNHLEPNPRTYINPNGFTFSKKTEVLLTKITPLTEKVEVIEVGGDAMEE >OIW16551 pep chromosome:LupAngTanjil_v1.0:LG02:20704589:20710013:-1 gene:TanjilG_08408 transcript:OIW16551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKFFLAMKMVSSLVVVGILSWIFYVYVNEWYKSERMRRKLIKQGISGPPPCFLRGNLPDMKRIQAQVSSTINAQSNNNSHNLLEHHDYTATIFPYFEHWRKQYGRVYTYSTGRRQHLYVIQPELVREINYCTTLDLGKPSHKANQLAPLFGNGILSANGLSWAHQRKLLAPEFFMNKVKGMVDLMIESTQPLLTKWEQCIEAEGDGNGIAEIKVDEDLGGYSADVISRVCFGHSYSKGKEIFSKIRTLKEAINNNGYLFGRTSFGVTKKIVITNLEKEIESLIWELVEERKVSNSSKKDLMHLLLEAVKNDENLGEKFCKQFIVDNCKNIYMAGQETTAISASWSLLLLAFYPEWQTRIRAEVAQYCPNGTVHADSLPLLKTVTMVIQEVLRLYSPGVLVTREAFEDIQIGNLHIPKGVNLWTLIPTMHRDTENWGPDANEFKPERFKDGVSKACKFPQSYAPFGLGARLCVGKNFAMMELKIVLALIISKFNIIPSPSYKHSPAFRMVVEPEHGVHLLIQKI >OIW17280 pep chromosome:LupAngTanjil_v1.0:LG02:597511:599646:-1 gene:TanjilG_22392 transcript:OIW17280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHDAGCLAEENVGYENSSRVEPKRSRQWSADTVEPEIFNKKQAVEAVSGGTVSGVSQANVSPWDNKTGFHSVTGQFSDHLFGSGLERGVNYVDKNLPPIRSENVNMGRKDFENQYHNDPSMSLSISHTISDPSSCLNFGGIRKVKVNQVRDSDNCLPVSVGRSDHGIPASIGHSDICVPASIGHSFSRTDNSSISIGVGYNKNDGNISLDPTYNNRNADIIAMGTRIGKTDENLLPMSHTFNKGDGNFTMMGHSYGKGDDSILSLSRPNDRRDGNFMSMGQSYEKEDSNLISLGTSYTKGYENFISIGPTYSEPRENFITIAPSYDKSNDHIISMGPTYDKESSNIPSYDKGESSSLPMGHDRSLGESSNISFGASRENIELSPSGGRICGYDLFMSNHNQIQALDSPKDLTESNSESLVNITPKLNTKNDSIIKNKETKTTKKAPTTTNNFPSNVKSLLSTGIFDGVHVRYVSWSREKSLTGIIKGTGYLCSCDECNQSKALNAYEFEHHAGAKTKHPNNHIYFENGKTIYAVVQELKNTPQDMLFDAIQNVTGSTINQKNFDVWKASYQAATRELQRIYGEDEVFIPS >OIW17132 pep chromosome:LupAngTanjil_v1.0:LG02:4877197:4878279:-1 gene:TanjilG_27286 transcript:OIW17132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLILGLPEDIARECLIRISYQQFPTVASVCKGWKTEIESPVFRRQRRSTGHAQKILIMVQTRTEPEKSRTGSTKPMSNLVYFLSVFEPETGKWSELPPPPGFESGLPMFCQLAGIGPDLVLIGGLDRISWNASNSVFIYNMLTAEWRCGSHMPGVGRSFFGCVSDPQGIVFVAGGHDDDKNALKSVLAYDVMSDLWVSLPNMTSEHDECKAMFRGGRLYVVGGYPTESQGQFGRSAEVFDFATWQWSTLEGFLDCATCLLTLVDDYGDGDERIYICSDGGLMTTIKDFAGQKIATLPPEIRHVAHIKAFDGAILVIGSSGYGEEHMSFVFDVKSDNGWRKLDNPRGFRGHVQTSWVFKI >OIW16503 pep chromosome:LupAngTanjil_v1.0:LG02:21113590:21115026:1 gene:TanjilG_32173 transcript:OIW16503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNMLEIAFPGTEVVLANYPPPLPKRLLSKVVPVLQFGIMGVVIGGEHIFPMLGFVAPPPWYYSLRANKFGTIASTWLLGNSLQSFLHSSGAFEVYFNGEPLFSKLKEGRFPGELELKDLISRRMAKSRLAGFHGEGVLH >OIW16118 pep chromosome:LupAngTanjil_v1.0:LG02:21923788:21925278:-1 gene:TanjilG_18833 transcript:OIW16118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKGSGVEATRSSMQLTVSDEEFEGNSSILCTVETFMRLLPIGLCVTALVIMLKNSEENEYGSVAYTDIGAFRYMVHANGICAGYSLISAVIVALRRPSTIPRAWTFFVLDQVLTYLILAAGAVSAEVLYLAEKGDTSTAWSSACGSFGQFCHKVTASTAITFFAVVCYVVLSLISSYKLFSKYDAPAPLTNPAKA >OIW17122 pep chromosome:LupAngTanjil_v1.0:LG02:5091385:5101696:1 gene:TanjilG_25409 transcript:OIW17122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSGSGSRMEGVSGTHILSARVRKTIQSIKEIVGNHSDADIYVALKETNMDPNETTQKLLNQDPFHEVKRRRDRKKEPQLQNVENKSSAVQPEPQRHSGNVGQGMKFHAHSERNVRRANYSRNTLPGNGREFRVVRDDRVNHIYKEVKPPTLQCSTPANEQSNANTSEKGSSTAATNQKPSGARNSSQVLNNPSDSHARYSKDAVSNVSDKRSASEGKQGMILDAAARVQSIKPNSSQQNSTTVASTSSAVGVYSSSTDPVHVPSPDSRASGVVGAIRLEIGVVGVRRQSSDNDKVKQSSLPSNSYTSSLIGKAGTLENSFQPGGAPSMTEQFSQTNVNEPSFPSKTVSRPSMNNQYNSRPHQHVGHQRVSQHKEWRPKSSKKSSNNNPGVIRTPKKAASSTAKNSKDTESNTAELQDKISQVNIYENQNVIIAQHIRVPETDRCRLTFGTIGAELDSLRHQSEYQLTGAAEKSNEESTTSLTAPAPELSTDDVSGSNQVDLQDDNVRSTGPISPASDVPAEQQLPANKDSSSPQNLDNYANIGLVRHNISSYAPSESHQQDSRDMPGFSGLSSHAANNIAVSAMPMVLQQQPPVEQMYPQVHVSHYANVMPYRQFLSPVFVPPMGMPGYSSNHPYAHPTNGGSYVLMPGGGSHLNANSLKYGVQQFKPVPAGNPTGFGNYANPTGYTMISPGVVGGATALEDSSRVKYKDNLYVPNPQAETSEIWLQNPREIPSMQSTPYYNMQGHAAHAAYMPTHNAAAQSSHMQFAGMYHAPPHPAAIHGPHHLGPAMGNNVGVGVAAAAPGAPLGAYQQPQMDTKVSPNPQAETSEIWLQNPREIPSMQSTPYYNMQGHAAHAAYMPTHNAAAQSSHMQFAGMYHAPPHPAAIHGPHHLGPAMGNNVGVGVAAAAPGAPLGAYQQPQMGHLNWTTNF >OIW17311 pep chromosome:LupAngTanjil_v1.0:LG02:856998:863786:-1 gene:TanjilG_22423 transcript:OIW17311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTQSGEDVSVDVNLEGVSSDADVIGDLGIGVACFSEKVSNLSIFVMHMETLEGELETLVLDNDELGIDSVEKVQVFDLLCGVLDSEVRELDLFLGTLFAEIADAGERVLLSSSSIWQEKLHESEHCLKQSEEQFSEIKKLYSSFERTLSSYKREENAVNVEEGEIVIEDDQSLNVSALIKLQTIEEQKDILRMLEKSLASEMDLEKNFNDSKQIEEKLKQRVVSLEEELNVMEEEANDAWERWFEADNASDILMGISKGLLGRLQISEFNLNGLSQHESELRAKLENMCSLEKQLKESECQLLNVKASGDEYQLLYNVKCSEIRVMDNLIVDLKENASNAESRVNTAEAECKILKETNTELNSELKLLKETVESLERQLKESNLRLQHAVASAQASQEKQSMFYYTIKDMEHVIKDLKSKVFKAESRADSADEKRIILSESNAELNEEVSFLRSRLECLEGSLHQIEEAKVATAKDISKQTVVFKQLLTKLAVERERLYKQLSYLASENKILVLKLKQSCKDTSEEVCPTSATDHEVDNEVESVDSMPDVGTVRRIDAGVLSFKYLLIAIFVLLISAVTFLYLNDVNVDFAVNVEEGEIVIEDDQSLNVSALIKLQTIEEQKDILRMLEKSLASEMDLEKNFNDSKQIEEKLKQRVVSLEEELNVMEEEANDAWERWFEADNASDILMGISKGLLGRLQISEFNLNGLSQHESELRAKLENMCSLEKQLKESECQLLNVKASGDEYQLLYNVKCSEIRVMDNLIVDLKENASNAESRVNTAEAECKILKETNTELNSELKLLKETVESLERQLKESNLRLQHAVASAQASQEKQSMFYYTIKDMEHVIKDLKSKVFKAESRADSADEKRIILSESNAELNEEVSFLRSRLECLEGSLHQIEEAKVATAKDISKQTVVFKQLLTKLAVERERLYKQLSYLASENKILVLKLKQSCKDTSEEVCPTSATDHEVDNEVESVDSMPDVGTVRRIDAGVLSFKYLLIAIFVLLISAVTFLYLNDVNVDFGL >OIW16721 pep chromosome:LupAngTanjil_v1.0:LG02:16492376:16494798:1 gene:TanjilG_14491 transcript:OIW16721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAEVPKLGSSLQVPSVKELLKQPMTKAPAQYVHPNQDHVVVSYRTSLPEIPVIDLSKLLSEDVIELENLDHACKEWGFFQLINHGVNPSLVERVKIGVEEFFNLPLEEKKKYWQKPGEIEGFGQLLVLSKEQKLEWADIFAMNTLPLYTRNPHLFPSIPQPFRDNLETYSLELKDTCLTVLGFMAKALKIEPNELLDSFEDIGQVMRMNYYPPCPQPELVIGLNPHSDAGALSILLQVNEVDGLQIRKDGMWIPIKPVSNAFVINVGDILEILTNGIYQSVEHRATINSVKERISVATFHRPGLNRVIGPVPSLVTPERYAMFRKIGVADYYKGYFSRTLPGKSYIDLLKIQDDVDSHKNT >OIW16816 pep chromosome:LupAngTanjil_v1.0:LG02:13453573:13454646:1 gene:TanjilG_06856 transcript:OIW16816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSHILVSVILFFLSLPLIFLFSSKFLILTNFPFISSPEADDLYLFRRAAATTIPHTTTHFSTATNPKPKIAFLFLTNSNLSFAPLWEKFFSSDNNRHLFNIYIHADPTSAVADPGGVFHHRFIHSKKTYRSSPTLISAARRLIANALLDDLLNQYFALVSQHCIPLFSFGFVYNYLFKDNLTSLTNFAPSQSLPRYQSFIEILSNEPRIYERYLARGHDVMLPEVQFRQFRVGSQFFVLTRRHAKLVVRDTRLWRKFRLPCIAAQQCYPEEHYFATLLSMEDRVGCTGFMLTRVNWTGCWDGHPHRYKQEEVSPNLIRDLRETNSSRHLYLFARKFSPESLLPLMNIADDVIFRDK >OIW16527 pep chromosome:LupAngTanjil_v1.0:LG02:20893678:20900778:1 gene:TanjilG_32198 transcript:OIW16527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAVHRSVRLLSSKFSLPFCAAASRNMGVTGEAISNLQLGLSAIDLHIEPVEPRRRHGAQGRGQRGGQGNLISHNASHAATSGKNYGTEKDNGGHQVTEKVAPSLPSSQETLSKEKTSGASSAANAPTSVASGTTGGVIPSPSLSGSGDRKGPSSGANNNLGNALLSDCSNKSATVASGSGSRPSSSNHPGPPASSQTANFSSSDPILVPSNDLRHPGSVGAIRREVGNQHPPVELNAVKSAKNKLNAASETSSFSVQRKIEGKSQGVAKNRRTEMSPSSTVTHGSSTTSRPSSNYGSRSQQSIGAQKAGSNKEWKPKPTNTINLGSPPASAPAPSASAEVTGQLLSVSSALDTQEDTSKPQRKLEDLNLTQRQHVILPNHIFVPDSEKNKFSFGSLGVTFGVNTSDVNGPESEKSYTPLSETSQSIEETVEEQASSSQNAAVTSEVGDYPDHPQSPTNVPENLSSSEVDGSSSAIQEYNESKQDTALPPEGHQYSEVHTSPNYSYGFVPPIFANQVTPFDSSETQPRDISRLPSFVVHQPFDPASYYAQFYRSGADIDGRLSPFSSAAKYNGNVTVVAATNSQSPQEVGLLSTAGPTPLVTQAAGLMQSSIPITQQHVPVFRTPSGVHISHYAPNYIPYGPYFSPFYVPPPTMHQFMGNGAFPQQPQASIVHPPPPGVAAPVMKYPLSQFKPGTNAENPTHLVMPSAYGAYGSSPAGYNHSSAAPAGNSTSNEDLGSSQFNENNVYINGQQSEGSAVWVTAPGRDISSFPTSSYYSIPPQGPHVTFAPTQGGHGTFPSIYHPPQAVTAATVHPMLQQSQTMPGAVEMVGPGGNVYQQPQHAQINWPGNY >OIW17460 pep chromosome:LupAngTanjil_v1.0:LG02:2084404:2087506:1 gene:TanjilG_22572 transcript:OIW17460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANQELKEEHKPLTQWKRRKGGLSASMFIFVLSALDNMGFVANMVSLVLYFYGVMHFDLSSSANTLTNFMGSTFLLSLLGGFISDTYLNRFITCLIFGSVEVLALAMLTVEAASNHLHPDTCGKPSCVKGGSAVMFYTSLCLLALGNGGVRGSMTAFGADQFDEKDSSEAKALASFFNWLLLSSTIGAITGVTGVVWVSTQKAWHWGFFIITIASFLGFFALALGKPFYCIKTPSDSPILRIFQVIVVAFKNRKFSLAESHEEIELYEINDKDTTIEKICHTNQMRFLDKAAIVHENTKLQPWNVCTVTQVEEVKILTRMLPIVASTIIMNTCLAQLQTFSVQQGNVMNLKLGSLIVPAPSIPVIPLIFISILVPIYELFFVPLARKITHHPSGITQLQRVGVGLVLSSISMAIAGIVEVKRRDQGRKDPSNPISLFWLSFQYGIFGIADMFTLVGLLEFFYRESPSSMKSLSTSFTWLSMSLGYFFSTVFVNVINVVTKNITPSKQGWLHGFDLNQNNLNLFYWFLATLSCLNFFNYLYWASKYKYKSEDYNSSPNLKALNDGNAQD >OIW16240 pep chromosome:LupAngTanjil_v1.0:LG02:23058517:23058923:-1 gene:TanjilG_18955 transcript:OIW16240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAFKAYKACVPIAWSPNLYITLVRGIPGTRRLHRRTLEALRLGKCNRTVMRWNTPTVRGMIQQVKRLVVVETEEMYKARKQKEEAHRALRPPLVISHQPASSATGSV >OIW16663 pep chromosome:LupAngTanjil_v1.0:LG02:18869198:18870181:1 gene:TanjilG_30796 transcript:OIW16663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLDHQWISMKTIQVFNIKRLQNKAYEMKFMGLKLQRWCQVTWIIVSLFLSSLIEGSNTYDHDSLDDFLCKHANKEIENPRTGTFYNISLPSNFTGMEVSIVRLRTVFFLLRGVNHSVFNVPQRVVPQPNRKRMAIVYENLGNWSSHYYNVPNHTMVAPVFGIIPYTSSETTLIDCEKMNLIIQGDPIRIQFPHVRPHAKNVAPICVKFGADGSVEFSNMTRPYVCEAMSQGHYTLVVPSTKSDTQSKGFNIWWVLGFVIGFVGLVMVVLVVIILVKVAKRRKIRKMEKISEGDEAFDTFWIGESKLPLASTRRTQPVLENDDVAPA >OIW17038 pep chromosome:LupAngTanjil_v1.0:LG02:7295717:7336395:-1 gene:TanjilG_13857 transcript:OIW17038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSTLTVTTTAQMPFLKSLHRHFTAPPHNLLLRRRNNLKYVVASYNNSSRCISTSFASVSSSRSLCAFSSLLRRTPCRLRCVSSSDASFASSTGGGNGGDGASGGSGGGGGGSGGEYGDSSGKLVVDAAAQDLSAVSADVIILDVSGMVCGGCAANVKRILESQPQVSSASVNLTTETAIVWPVSEAKTAPNWKKQLGEELAEHLTGCGFKSTLRDSVRENFFQIFQRKMEEKHKQLRESGRELAVSWALCAVCLVGHLSHFFAAKAPWIHAFHSTGFHMSLSLFTLLGPGRQLILDGLKSLLKRAPNMNTLVALGALSSFTVSSFAAFLPKLGWKAFFEEPIMLIAFVLLGSNLEQRAKIKATSDMTGLLSMLPSKARLLVNNGETEVGSIVEVPSDSLSVGDQIIVLPGDRIPADGVVRAGRSTVDESSFTGEPLPVTKLPGSEVAAGSINLNGTLTIEVRRPGGETAMGDIVRLVEEAQSRETPVQRLADKVAGHFTYGVMAVSFTTFTFWCLFGTHILPASRYQGSAVSLALQLACSVLVIACPCALGLATPTAVLVGTSLGATRGLLLRGGNILEKFAMVNTVVFDKTGTLTVGRPVVTKIVSPTCRQNANSSQTEETTWSDVEILRLAAAVESNSVHPVGKAIVDAAQELNCHNAKVADGSFLEEPGSGAVATIDNKKVSVGTWEWITRHGIKSSIQQEVEECKNQSVVYVGVNDTLAGLIFTEDEIREDARHVVDTLSKQNIDVYMLSGDKRNAAEYVASRVGIPKEKVLSGVKPDEKKNFINELQKDQNIVAMVGDGINDAAALASSHIGIALGGGVGAASEVSSIVLMRNQLSQLLDALELSRLTMNTVKQNLWWAFIYNIVGIPIAAGVLFPVNGTMLTPSIAGALMGLSSIGEYELQTFDLAVIIWEMERNQY >OIW17019 pep chromosome:LupAngTanjil_v1.0:LG02:7619563:7623389:-1 gene:TanjilG_21138 transcript:OIW17019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGAEDKGTKLSPTSCWSVLCYRSGIFSRSIEEKDPNHEKISKNPNLPNKKPGGWKAMPFILGNETFERLAAFGLFANFMVYLTREFHLDQVYASNILNIWGGLTSFAPLLGAFISDAYIGRFRSIAYASFFSLLGMIVITLTAWLPELHPPQCTPQQQVLNQCVTAKTSHLGVLLLGLGFLSIGSAGIRPCSIPFGVDQFDPTTDEGKKGVNSFFNWYYTTFTVVLLFTTTVVVYIQDSVSWKIGFVIPTLCMFCSIILFFVGTKIYVHVKPEGSVFNSIAQVLVAAYRKRKVNLPNEEKVDGIYYDPPLNGTAVLSKLPFTNQFRVLSKAALILDGDVNPDGSRTNQWKLASIQQVEEVKCLARIFPIWAAGILSLTSMAQQGTFTVSQALKMDRHLGPKFQIPPGSLGVISLITIGLWVPFYDRVFVPTLRKITKHEGGITLLQRIGIGMVFSILSMVVAGWVEKLRRDMANSNPNPNQLGISPMSVLWLAPQLILMGLCEAFNIIGQIEFFNRQFPEHMRSIANSLFSCSFAGASYVSTILVTTIHHTTRTDSHPDWLTNDINAGRLDYFYYLIAGTGVVNLIYFLYVAQGYHYKGSVELQDKAQDVELGSQKA >OIW16683 pep chromosome:LupAngTanjil_v1.0:LG02:18411499:18415589:1 gene:TanjilG_28740 transcript:OIW16683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDREWGSKPGSGGAATAQNEAIDRRERLRRLALETIDLAKDPYFMRNHLGSYECKLCLTLHNNEGNYLAHTQGKRHQTNLAKRAAREAKDAPAQPQPHKRNVSVRKTVKIGRPGYRVTKQYDQETKQRSLLFQRVQPFDKSYQYLLFAAEPYEIVAFKVPSTEIDKSTPKFFSHWDPDSKMFTLQLYFKTKPPEANKPQPPSTANGTEAPGVPPRPLAPPPQAPPPPPPQGLPPGAPMGNPPRAPPPMPGSLPPPPPMAANGPRPAPPSGMPSIPPPPPSTASFNMGARPPMPPPQGFLGQQIQGLGVRPPPPPPNMGH >OIW16784 pep chromosome:LupAngTanjil_v1.0:LG02:15890876:15894801:-1 gene:TanjilG_05518 transcript:OIW16784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TTTTTNSPPFFPIYPSPPPPPSPSSFASFPANISSLIFPHSQKSKPSSSKLVAAAIASVVAALLVVAISVFVYCRRCRKNYNADDKTLRSDSSIRLFPRNDNSVEATVAGNRKVRHTSSTSSEFLYLGTIANSRGIEDRNDSRSNGNSAAGLNPRKMDSPDLQPLPPLLRHNTEVLREEVGLTAEDEEEEFYSPRGSVGGRESSNGTGSGSRRVLSAMAAENFVGRSSESSSNSFSSSSSASPDQSHSISLSPPVSISPRKSPENCTPTPQLPSETLAVDVRSFSSSSSRAVSPVFNQHVQESISSSSMSSTPEREFQSQSPLFISPKKNDVVLSPNKNDVVPSPPRLSNASGKSVSSSSTAFSLPSPGKVIMNQNHQILDRSPTISDVSDRYGHSPLSSLPLSPSLLSSPETELNSNSNLNPNPNHTSNQSQRKHWEIPDLLTPPIVESVTVENVPTRKHWEIPVLRTPIVSSSTVSAPPAPPPPPPPPVSRQRKQWEVPAEASPSTPAGQPISRLPELIPPSRPFVLQTPTTQVSPVELPQSSGVFDESLEEATKPKLKPLHWDKVRASSDREMVWDHLRSSSFKLNEEMIETLFIVNTPNSKPKDNTPRSVLGPPSQENRVLDPKKSQNIAILLKALNVTIEEVCDALLEGITDTLGTELLESLLKMAPNKEEERKLKEYKEDSPTKLGPAEKFLKAVLDVPFAFKRVEAMLYIANFESEMEYLRKSFQTLEVACEELRNSRMFLKLLEAVLKTGNRMNVGTNRGDAHAFKLDTLLKLVDVKGADGKTTLLHFVVQEIIRTEGARLSDTNQTPSTNSSDDAKCRRLGLQVVSSLSSDLGNVKKAAAMDSEVLSSEVSKLSKGIAHIAEVVQLIETAGSDESKQKFTESMHKFMRMAGEEILRIQAQESVALSLVKEITEYFHGNLSKEEAHPFRIFMVVRDFLTVLDRVCKEVGMINERTIVSSAHRFPIPVNPLLPQPLNPMLPQPLPGLYGKRNHSSSDDDSPSP >OIW16522 pep chromosome:LupAngTanjil_v1.0:LG02:20946592:20950130:-1 gene:TanjilG_32193 transcript:OIW16522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTTPSYSLHQSSRRKQDADLYDCGVDNPKTHHVLKSFKFAVKKCVAVFTSILSGRRKIASKGIGSYDRKDFSKVRGVSSLIDSPSESTIKSSSLRKFTSSYASTRTASEQLRIGNFSFDEIYKSTAKFSPENIIGEGGFGTVYKGKLNDGTLVAAKRAKKNVLNTHLSEFKNEVYTLSKIEHLNLVRLYGYVEHEEEKIVVVEYVSNGTLRQHLDGTRGDGLKIGERLDIAIDVAHAITYLHMYTDNPVIHRDIKASNILITQNLRAKVADFGFARLSDDPGATHISTQVKGTAGYVDPEYMTTYQLTDKSDVYSFGVLLVEIVTGRHPIESDRAISDRVTIRWAMRMLKKGEPVIAMDPRLRRSPASNKAAEKVLKLAFQCLAPTKESRPSMKSCAEVLWAIRKAFKDQTLSHDPTLPSHHSAFVPQREKSNEGYKFISTPSQIVA >OIW17349 pep chromosome:LupAngTanjil_v1.0:LG02:1160457:1167808:-1 gene:TanjilG_22461 transcript:OIW17349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDYGVHRELSHLQNIRSLYQPELPPSLKGTNVRVEFGDATTVADPSDAPLVSHLFPNTYGQPLAHFLGATSKVPDAKVIAEHPALRVGIVFSGRQSPGGHNVIWGLHNALKIHNPHSVLLGFLGGSEGLFAQKTLEITDEILSTYKNQGGYDLLGRTKDQIRTEEQVNAALAASKSLKLDGLVIIGGVTSNTDAAYLAETFAAAKSPTKVVGVPVTLNGDLKNAFVETNVGFDTITKVNSQLISNVCTDALSAEKVMGVTSNTDAAYLAETFAAAKSPTKVVGVPVTLNGDLKNAFVETNVGFDTITKVNSQLISNVCTDALSAEKYYYFIRLMGRKASHVTLECALQSHPNLVILGEEVAASKLTLFDITKQISDAIQIRADQGKNHGVILLPEGLIESIPEVYALLKEIHSLLRQGVASDNISSQLSPWAFALFEFLPPFIRKQLLLHPESDDSAQLSQIETEKLLAYLVEEEINKRVKLGTYKGKKFNAISHFFGYQARGSLPSKFDSDYAYVLGNISYHILAAGLNGYMATVTNLKSPVNNWQSAAAPISAMLTVKHSSSNPGASLIGKPAIHPATVDLRGKAYELLRQNAVSLLLDDIYKNPGPLQFEGPGADAKAITLSVEDQDYIGRIKKLEEYIEQVRSIVKPGSPQEVLKAALSAIGSVSEVLTAITASSTKLPSI >OIW17402 pep chromosome:LupAngTanjil_v1.0:LG02:1631318:1631734:1 gene:TanjilG_22514 transcript:OIW17402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKGKGKNQSVIAKREEPGIGEEEKVPAYRKRGRPLKPLTDEIEEVEVTEKIKKDEENVNGNVSSNELKTQVTTVNKRKRKRSVHVKEKIDPVKEENGVRAKAITDDSVKSAGFRQNGSRRKNKPHRAAEAGVDCK >OIW17220 pep chromosome:LupAngTanjil_v1.0:LG02:3602971:3606755:-1 gene:TanjilG_02509 transcript:OIW17220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAKGLKFVRFEDWKSESSFSIDQEGSVNNGFHHKKRTRACVYIGTKLDSVLEKMKNLKKGSTVHPISASGQSKKVASKNKIFDPQGEVLQKWNKIFVITCVMAISVDPLFFYIPVIKEKDKCLDLDGALQIIASVLRTFFDIFYVLRIIFQFRTAFIAPSSRVFGRGELIEDPMAIIKRYLSSHFIIDIVSIIPLPQVVVLAIIPNLKNSVPFVAKDLLKYIVLIQYVPRLLRIYPLFKEVTRTSGILTETAWAGAVYNLFLYMLASHVVGANWYLLSIESEVRCWSREMKSASIYHESYLGCGHRNQTVIQLLSSTCSFVDPDQIKDRSVFNFGIYFDALGSGVVESTTDFPQKFFYCFWWGLRNLSSLGQNLKTSTYVPEITFAIFIAVFGLVLFSLLIGNMQKYLQSTTVRVEEMRVKRRDTERWMSHRMLPEKLKERVRRYEQYKWQENRGVEEEKLICNLPKDLRRDLKRHLCLALVKKVPMFEKMDEQLLDAMCDRLKPVLYTEKSYLVREGDPVDEMLFIIRGNLASMTTNGGRTGFFNSLLLKAGDFCGEELLTWALDPNSSSNLPISTRTVETISEVETFALMADDLKLVASQFRRLHSKQIQHTFKFYSMQWKTWAACFIQVAWRGYWKKKNERSLREAEDRLQDVLANEGGNSISLGATMYASKFAANALRNLRQNRERNRLPQRLLPLLPPKPAEPDFTTQID >OIW16996 pep chromosome:LupAngTanjil_v1.0:LG02:9468896:9475697:-1 gene:TanjilG_32863 transcript:OIW16996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVLAPIFIYVVAFCCTIGAIALAVLHIYRHLLNYTEPTYQRFIVRIIFMVPVYALMSFLSLVAPKSSIYFNSIREVCNKFERSGSEAIDVSDDLLLSSYTVGWGVLFFLAAKTGFIKNADEAALLQNFIICVEMLVAAVGHFYAFPYKEYAGANIGESRGLTASLGHALKLNDFYHDTVHQFAPTYHDYVLYNHSEGEEGTRKYRSRTFVPIGPEMDTVRKNKYVFGNKLDDIQLSSMSSSNSSTPSHSDPMPDPSNSDAMKSSLLLDMSNSVSVPYDLTLIDLDVASYPENVPAADKAGTR >OIW17188 pep chromosome:LupAngTanjil_v1.0:LG02:4371767:4373703:-1 gene:TanjilG_18143 transcript:OIW17188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSRLEEGKDLATTLRTSPSRPFPSRLLQFFLLFLVIGIGASILSMYMIRHFGINNMALVQSTFMPCFQQPDATIGSWIWPASILMHTMNDIELFWRASFVPRIKSYPFTRVPKIAFMFLTKGPLPMAPLWEKFFEGHEGLYSIYVHSLPSYTADFSPSSVFYERQIPSQVAEWGMMSMCDAERRLLANALLDISNEWFILLSESCIPLQNFSIVYQYISRSQYSFLGAIDEPGPYGRGRYDENMAPEINLTDWRKGSQWFEINRELAVRIVEDTTYYPKLKEFCIPHKCYVDEHYFQTMLTINSPHLLANRSLTYVDWSRGGAHPATFGKDDIKEQFFNKILQNQTCLYNSQPSSLCFLFARKFAPNSLVPLLKIAPEVLGI >OIW17539 pep chromosome:LupAngTanjil_v1.0:LG02:2947197:2948792:-1 gene:TanjilG_22651 transcript:OIW17539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKVHPRALQSSSTCNFTSKQEIFTLWMKSLVLNGKGCTLFDSNGQIVYRVDNYNCKSFEEVHLMDQQGNTLFTILRKQYNLFRFWEGYRSPATRNDPKGPYFRVYKSYKIFGGLSAYGVKLGLDKNQPNTLTIECSTSKSPCKISDQFGVLLAELSRKKSSCGVDLGDDVLTMVVEPNVDLSLIMGLVVAYSLINCQI >OIW16791 pep chromosome:LupAngTanjil_v1.0:LG02:14820207:14823891:-1 gene:TanjilG_01356 transcript:OIW16791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNSRQSFYSFSFSSSSILCIIFLYTTLLYGLVLSSNHEASILFTWLHSSVSPPPPSFSNWNIHDTNPCKWTCITCSSLGFVNEINIESMPLQLPIPSNLSSFPFLKKLVFSDVNLTGTIPFDIGDCSSLTVLDLSSNNLVGSIPSSIGKLNNLVNLSLNSNQLTGKIPVEISNCIGLKNLLLFDNQLGGNIPYELGKLSQLEVLRAGGNKDIDGKIPEELGECRNLTVLGLADTRISGSLPASLGRLNKLQTLSIYTTMLSGEILPDLGNCSELVDLFLYENSLSGSIPSELGKLKKLEQLFLWQNSLVGAIPEEIGNCTSLRKFDLSLNSLSGTIPLSLGGLLELEEFMISDNNVSGSIPSTFSNAKNLQQLQVDTNQISGLIPPELGKLSNLLVFFAWQNQLEGSIPSTLGNCSKLQALDLSHNALTGSIPGGLFLLQNLTKMLLISNDISGSIPSEIGSCASLIRLRLGNNRITGSIPKTIGNLKSLNFLDLSANRLSGPVPDEIGSCTELQMIDFNSNNLEGPLPNSLSLLSALQVLDASSNKFSGSIASSMGHLVSLSKLILGNNLFSGPIPASLSLCSNLQLLDLSSNHLTGTIPAELGLIESLEIALNLSRNSLGGPIPPQISALNKLSILDLSHNQLEGDLQPLGLLDNLVSLNVSYNKFAGFLPDNKLFRQLPLKDLTGNQGLCTSGQDSCFVYGSGKKGMALNGSGVRKSRMLKLTIGLLITLTVIMVVMGITAVVKARRGIRDDDSELGGDSWPWQFIPFQKLNFSVDQILRCLVDINVIGKGCSGVVYRAEMDNGEVIAVKKLWPITTEAGEAFKEEKSRVRDSFSTEVKTLGSIRHKNIVRFLGCCWNKKTRLLFFDYMANGSLSSLLHERTGNSLEWELRYRILLGAAEGLAYLHHDCVPPIVHRDIKANNILIGLEFEPYIADFGLAKLVDDGDFGRSSNTVAGSYGYIAPEYGYMMKITEKSDVYSYGIVLLEVLTGKQPIDPTIPDGVHVVDWVRQKRGLEVLDPSLLSRPETEIEEMMQALGIALLCVNPSPDERPTMRDIVAMLKEIRHEREEYAKFDVLLKGSPASENKSTSGVLATTSSLPIMQNLNAKSNNTSFSVSSLLHSSSSSAKIGFK >OIW17062 pep chromosome:LupAngTanjil_v1.0:LG02:6298130:6299732:-1 gene:TanjilG_15645 transcript:OIW17062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSTMREMEKNSEISSAIEELSKVVIIKHGENHEFVLIPTKPFISLCYLLLQVLDKIGPTMVVLRQDLHHNIKRLEEMHESNPSMNSNLVEILESEAREGNARKRSSCSKAFLWLTRSLDFSLALLKTLAIDPEKNMEQVVEESYNIALKPWHGWISSAAFRVGLKLVPESKTFMDLLKTKDENCDTLKEKMKILVSLLVPFLDETHCILRLYNLDRLKST >OIW16693 pep chromosome:LupAngTanjil_v1.0:LG02:18621083:18622189:1 gene:TanjilG_28750 transcript:OIW16693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEIWNQQPKERKTVFKKQKHNANSFEGTKMQRKLRIIWHDPDATDSSSDEEGDHEMRRKNKRTVLQVALPPFPPNSVTTDTSTESFSNTELNKKMVLVKTPPVKRKTAGKYRGVRMRKWGKWAAEIRDPFKGTRLWLGTYNTAEDASQAYEKKKLEFEAMTKALSGEKSNNNIADVVVCVSTEAMAAQEKSSINCSVSSGAASVSESKSAATLDDSECVLSHASPSSVLELDTSTPKASNLVENGKISNNEGVEKNTLEAEFAEMICKVDEVVEMTDLEAELADLEMPDFSILNVPLPSTGADAPFAFEFDWLTFDGFDDDLGGLENIHIGGIGEDGPSALPDFDFGDFSDDEFADWIEEPLNIPCI >OIW16544 pep chromosome:LupAngTanjil_v1.0:LG02:20614803:20618918:-1 gene:TanjilG_08401 transcript:OIW16544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCYCISTEIRSLYAGVRHAQGVHNVEGEKNHDAYKSYDFFDAHLTPLGWEQVGNLRNHVKASGLSRRVELVIVSPLLRTMQTAVGVFGGETFNNGTNGRPLMTENVGQSNHPAVSNLNCPPFIAVELCREQTGVHPCDKRRTISEYRNMFPGIGFQLIESDEDMLWIPDVREKTEDVAARGLKFLEWLWTRKEKEIAVVTHSSFLFNTLRAFGHDCHPNVKSEICTHFANCELRSVVIVDKGMIGSNESSTNYPGKIPRGPDLPSETADNKLSGQDLTK >OIW17373 pep chromosome:LupAngTanjil_v1.0:LG02:1371767:1374545:-1 gene:TanjilG_22485 transcript:OIW17373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIYDAPFIDSQLSKRTSIFGLRLWVVIGILLGSFIVLFLFFLSLCLTSRRHRHRHRTTTKNNAKPQTIPVISKEIQEIVHVAPRLEIHVDAAKASGESVCETTSSFGSGSVGPEVSHLGWGRWYTLRELEAATDGLCDENVIGEGGYGIVYRGVLPDGTKLAVKNLLNNKGQAEREFKVEVEVIGRVRHKNLVRLLGYCVEGAYRMLVYEYVDNGNLEQWLHGDVGSISPLTWDIRMNIILCTAKGLAYLHEGLEPKVVHRDVKSSNILLDRQWNSKVSDFGLAKLLCSEHSYVTTRVMGTFGYVAPEYACTGMLTEKSDVYSFGILIMEIITGRSPVDYGRPHGEVNLIEWLKNMVGNRKAEEVVDPKFPEKPSPKALKRALLVALRCVDPDATKRPKMGHVIHMLEADDLLFRDERRVGGESSRSHGDHQQEHKDSSLDKKSIGGDITDQSEDDSTRNHKQPTRSRS >OIW16935 pep chromosome:LupAngTanjil_v1.0:LG02:10469483:10474452:-1 gene:TanjilG_18623 transcript:OIW16935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSGAPPTALENLEDQVPLTPDRTHNRITSPRAGHRVPSPELVDCRLTARAALAAREGRRVPVWGRIGNEPFRASSLGVIHPMRVTNSHLVWVTRRVGTKSAFRKHTIETKSLTIWSVASRLAMLHGALCMVNGVRWLACFVHGAVPLAQSEHEGAQVKSSRSVLWAGWNGFGQGEALRGTSAARRGTSPIIRKCVMVGFACFEVTVWSCVTQATICGVLAHALLNYGLVMIWIGDTVARTRLGYIKGLACALRSDSKFLMCLSHLSRIRFGDSMTGWVVAGGRHAEASSVTFFSRNDVVLGEAFKGIAAA >OIW17200 pep chromosome:LupAngTanjil_v1.0:LG02:3227826:3237670:1 gene:TanjilG_02489 transcript:OIW17200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPREIITLQVGQCGNQIGMEFWKQLCLEHGISKEGILEDFATQGGDRKDVFFYQADDQHYIPRALLIDLEPRVINGIQNGEYRNLYNHENIFLSDHGGGAGNNWASGYHQGKNVEEDIMDMIDREADGSDSLEGFVLCHSIAGGTGSGMGSYLLETLNDRYSKKLVQTYSVFPNQMETSDVVVQPYNSLLTLKRLTLNADCVVVLDNTALNRIAVERLHLSNPTFAQTNSLVSTVMSASTTTLRYPGYMNNDLVGLLASLIPTPRCHFLMTGYTPLTVERQANLIRKTTVLDVMRRLLQAKNVMVSSYARTKDASQAKYISILNIIQGEVDPTQVHESLQRIRERKLVNFIDWGPASIQVALSRKSPYVQTAHRVSGLMLASHTSIRHLFSRCLSQYEKLRKKQAFLDNYRKFPMFAVCAPISYAHSYIDLLNTTAASVMYFVSLVHLYVVLTQVISSIKNGQDNDLSEFDESRDIIESLVDEYKACESPDYIKWGMEDPNNMMTGEGSDSGSLDPKS >OIW16157 pep chromosome:LupAngTanjil_v1.0:LG02:22351051:22351902:1 gene:TanjilG_18872 transcript:OIW16157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEESEYLMWMKRKQLFKSHLQAVEDGSNNNYYYSSWAERAFAEDAGRVLGGSMWPPRSYSCTFCKREFRSAQALGGHMNVHRRDRARLNQNLSPNNDQTLVELDHHKNDCKSLGTQLFSQISCSQQLHCCLNPNSSLATSITTTRISPSGLSGISKGKNCGQHILSPYSSTINIGSPYSEHEGIVDATEEGKFKGLGCNNYVETSLSVGLSSSMFPQKSSPIIPSGDKTMISCKRLKTNISSLPVKSLKPCSNDRGVDFQSAEVIMEDLDLELRLGKQQKVK >OIW17206 pep chromosome:LupAngTanjil_v1.0:LG02:3305607:3308624:1 gene:TanjilG_02495 transcript:OIW17206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTFEASSYIVWKFILVCMVIILILLVGSSYSLCEFEGLFNFGDSNSDTGGFYSAFPAQVAPNGMTYFNKPVGRSSDGRLIVDFLAQGLGLPFLSPYLKSIGSDFRHGANFANSASTVLPPTYSISVSGLSPFHLGIQLSQMKQFKAKVGDLFTQQKIRFGRGRRLSYECPSGPNLPSPDIFGKSIYTLYIGQNDFTSKLASLPILKDYIPQIISQIDATIKELYLQGGRTFLIFNLGPMGCYPGFLVEIHHESSDIDEFGCVISHNNVVNDYNKHLKETLTQTRESLKGASLIYVDTHSIILKLFQHPTSHGLKYGTKTCCGHGGGDYNFNPKIMCGNMAASACDDPQNYVSWDGIHLTDAANKIVSKAILNGSIFDPAFPLHKHCDLHPIDQF >OIW16883 pep chromosome:LupAngTanjil_v1.0:LG02:11380126:11383676:-1 gene:TanjilG_01748 transcript:OIW16883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAAEPVDKRERENETEADVRDDAKKEDSEVKDLEKGEVGFEERVIYSNSNANNDGVDDVHNDHEEFQVSRFNRLNPTNPLRIVLNNNTRVAAPSPAQSQRSQPQSQSQPRSTPTPQQQQPVTLNSRKYTNRISLFLFIFHMCLAVALVCFLVFRGVQGLIQASESMKRKEKRVLMYFLPQVEAATLMSIILAFTWQGAIRKWPTFMVHFILWCTFLMSLAAGIFLVCLQMPPTDGVGVCFIAFAIGNGLYACWVSHRIKFCCKVFSLSLQPMSKFRDLNRPTYIMLGVGFVWISLWSLAVIGALNFYFPPLIIIALVLSLAWTTEVMRNVVNITVSRVIALYYLRGMQSSTQFCFLRALTRNLGSACLGSVFVPTIEALRVVARALNLLEGEDEFMFCCAHCCLRVMETIFRNGNSWAYVQIAAYGKGFVNASQDTWALFEKEEMEPVVDADITSSICFLTGVCSGSICVIVVAAWTYNVHQTFTATISLLTFFIGYLLTRIAMAVPHACVSCYYVCYAENPENRLFDKTIKDRQALLKSARDEIVPTPRVHRTRRS >OIW16531 pep chromosome:LupAngTanjil_v1.0:LG02:20840238:20841781:-1 gene:TanjilG_32202 transcript:OIW16531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTILSSETMNIPDGVTIKVHAKVIEVEGPRGKLVRDFKHLNLDFDLITDENGKKKLKIDAWFGSRKTSAAIRTALSHVENLITGVTKGYRYKMRFVYAHFPINASIGNDNKSIEIRNFLGEKKVRKVDMLDGVNIIRSEKVKDELVLDGNDIELVSRSCALINQKCHVKNKDIRKFLDGIYVSEKGTILEE >OIW16910 pep chromosome:LupAngTanjil_v1.0:LG02:10707991:10708395:1 gene:TanjilG_19215 transcript:OIW16910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKQVKLVPEQINLLINEVGVNAPSKGHGVPSLTAHAPTLGSNCVLMQAKIVGAESLTEETRTKAKVADVECLIEEAETDARILTKKVEVGLIRSRHPRPKPSDRVILVTTNDTFKDHLTEVSWPRFPTMPHQA >OIW16495 pep chromosome:LupAngTanjil_v1.0:LG02:21192125:21193885:-1 gene:TanjilG_32165 transcript:OIW16495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVKKFIIRSYEGQSDRVQVEDLERRCKVGQSESVLDTMGDPICRIRNSPMYMMLVAEQNNELVGVIQGSIKMVILNNHEPPKDLAKVGYVLGLRVAPHYRRKGIASSLVQKLEEWFSSNGVEYAYMATDKENHASITLFMDKFCYTKFRTPAILINPVNYHHLFRISSNIEIARVKIEKAESLYRRFMGSTEFFPSDIENILRNNLSLGTWVAYFKGDDSFGPNGQVPNNWAMLSVWNSGEIFKLRLGKAPLSCLLFTKGWSLIDKMLPCLKLPNLPDFFDPFGFYFMYGMYHEGPFSGKLVRGLCKFVHNMVAKSKDENCKIIVTEVGGRDHELIHHIPHQKLLSCPDLWCIKALKNEGTNTSHELTLTNTPSRSLFVDPREV >OIW16360 pep chromosome:LupAngTanjil_v1.0:LG02:24031469:24035050:1 gene:TanjilG_19076 transcript:OIW16360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTWRRPFSSDSGDSVDVVVPPLAESISDGTLAKFLKRPGDRVNIDEPIAQIETDKVTIDVSSPESGVILKLLVNEGDTVEPGNKIAIISRSADATTHVAPSETTPEKAAPQPTQKISEEKKASKAETSPVTEKPKAPPAPQSSHSEPQLPPKERERRVPMTRLRKRVATRLKDSQNTFALLTTFNEVDMTNLMKLRADYKDAFVEKHGVKLGLMSGFIKAAVNALQYQPIVNAVIDGDDIIYRDYIDISVAVGTSKGLVVPVIRNADTMNFAEIEKQINTYAKKATNGTLSIDEMAGGTLTISNGGVYGSLLSTPIINPPQSAILGMHSIVSRPMVVGGNVVPRPMMYVALTYDHRIIDGREAVFFLRRIKDVVEDPRRLLLDI >OIW17541 pep chromosome:LupAngTanjil_v1.0:LG02:2965387:2968081:1 gene:TanjilG_22653 transcript:OIW17541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSRMDKPRLLIIAGALEYQHGTNVISSVDTLLQQEMDHMKMVVGKIAAYNPDVLLVEKTVSRYVHMYLLEKGISMALNVKRHLLERIARCTGTQIVPSIDHLSSRKLGYCEKFHVEKFVENLSSGDGLSKKTTAKTLMFFEGCPRPMGFTILLRGADEDELKKVKRVVQYGVFAAYNLALETSFLADERASPLPEFLCTSEETREDPKQLLVPNISVVENTVNQYQPENPEIVNEEKNPTKEEFPLSPFDQQSILVSLASWCAWKGTVCERSHLFRIKYYGNFDIPLGRFLRDNLFDEANQCYSCEMPSESHVHCYTHLHGTLTISVKKLPEMILPGERDGNIWIWHRCLRCPRTNGIPPATRRIVMSDAAWGLSFGKFLELSFSNHAATSRVASCGHSLHRDCLRFYG >OIW17272 pep chromosome:LupAngTanjil_v1.0:LG02:505368:507985:1 gene:TanjilG_22384 transcript:OIW17272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYRVCVSVTGDLWVSVGGGGGGGGGFSHESEHDLAMMVSDFLENGSSGAESLCSSDSDSGHSDFSNLAEKIQICKLSVAPHESDLLSTVHSLIRSMNEIDLQSMMNSGPCYASCIRFYLAKLMKLSGYDAGVCASKWQGGGKVPGGDHEYIDVVVNNNSGSSERLIIDIDFRSHFEIARAVDSYDRILKSLPVVYVGSFTRLNQFLVIMEEATRSSLKQNSMPLPPWRSLAYLKSKWQSPYERYTDSEANEIADAQCFDHKQCRGHLKRLQSCLQSGMDTDRMPNPRHSESNWRMKPDRWRHPLFRPI >OIW16802 pep chromosome:LupAngTanjil_v1.0:LG02:15155315:15155869:-1 gene:TanjilG_01367 transcript:OIW16802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFKSIFNDDDNSTSLSVRSRTHLAAELEQVFKKFDVNGDGKISASELGSIMGSLGQNPSDQELDKLIREVDGDGDGCINLQEFIELNTKGVNSDEVLANLKDAFTVFDMDGNGTISADELNSVMRSLGEECSLGECRRMIAGVDCNGDGMIDFEEFRVMMMMGSRHIPQIGLNLNLKCKAHRY >OIW16607 pep chromosome:LupAngTanjil_v1.0:LG02:20182416:20183831:-1 gene:TanjilG_02813 transcript:OIW16607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTTTTEPTIAYETTTSTKTPKKLTLIPLIFLIYFEVAGGPYGEEPAVQAAGPFFALLGFLIFPFIWSIPEALITAELTTAIPGNGGFVLWADRAFGPFWGSLMGTWKFLSGVINIASFPVLCIQYLTKIFPIFDSGWPRYVALLGSSLALSFLNYTGLTIVGYAAVVLAFVSLMPFILMSLIAIPKIHPHRWVSLGQKGVKKDWNLFFNTLFWNLNFWDNVSTLAGEVDKPKKTFPLALLVAVIFTCVSYLIPLFAVTGSVSVDQSLWETGFHAQAAEIIAGKWLKIWIEIGAVLSAIGLFEAQMSSSAYQILGMAEIGMLPTVFGIRSKWFNTPWLGILVSMLIAIAVSYMNFTDIISSANFLYSLGMLLEFAAFLWLRWKSPTMKRPYQIPMKLPLLVIMCLVPSGFLVFIMVIATKTVFLVSGVMTVAGIACFFFIKLCKKKRWAKFYNSQPLNEEDESLTGFYYLN >OIW16892 pep chromosome:LupAngTanjil_v1.0:LG02:11664976:11674060:1 gene:TanjilG_01757 transcript:OIW16892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPHSLLASASINIGLAFIILSLFSIFKKQPSNASIYYARPLSHHTYISFDESTSTFCRFLPSISWVSRAYRVTEDEIIEAHGLDALVIIRLFKFGIKFFAVCSLIGLVVLLPINFDGQEVHKSYYTIDSFTISNVRRGSGRLWVHFACLCFISFYGMYLLYKEYDEILNRRIRQLQNLKHRPDQFTILVREIPLCIEHKARDCCVDHFFSKHYPNSYYSYQMVYGTEDLEELVNQAKSLARRIEDMRETSVAKKHKNKLSLLDALQQKTSKVDFLEEKLQALCHKIHQLQCKDMLKKKELAVAFVTFKSRSGAAVAARLQQDSHPLLWITEMAPEPRDVSWRNMRVSYRVVPLYRLGVLVAATLLTVFFAIPVTAVQGIAKYEKLKKWFPPAMAVQLIPGLSSIVTGYLPSVVLKGFIYVVPFAMFAMAKVAGCIARSKEEIKACNMVFYFLVGNVFFLSVLSGSLFDVIGLFVSHPRNIPSHLASAVSAQADFFVTYILTDGLSGFSLEVLQPGLLIWDVVKSSTHGCQRDSRPYLYSLPYFRIIPLVSLSVLIGIVYAVVAPLLLPFLIVYFCLGYVVYINQILDVYETTYETCGQYWPYIHHYILLAIILMQITMIGLFGLKLKPAASISTIPLLLCTLMFNEYCKMRFLPSFHNYSLKDAVENDELDENNGVLEFHCENAINAYSPPGLRPVNFMASESSSTPLVTS >OIW17505 pep chromosome:LupAngTanjil_v1.0:LG02:2508580:2509968:1 gene:TanjilG_22617 transcript:OIW17505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSEQKFPPQKQYTQPGKEHLMYPTPQFTCPDEYKPSDKLKGKIAVVTGGDSGIGRAVCNLFALEGATVIFTYVKGHEDKDAKDTLELIRRVKTSDSKEPKAIAVDLGFDENCKKVIDEVINAYGSIDILVNNAAEQYECGSVEEIDEPRLERVFRTNIFSYFFMTRHALKHMKEGSSIINTTSVNAYKGHPSLLDYTSTKGAIVAFTRALALQLVNKGIRVNGVAPGPIWTPLIPSSFKEEETAQFGSDVPMKRPGQPSEVAPSFVFLACNICSSYITGQVLHPNGGNVVNG >OIW16602 pep chromosome:LupAngTanjil_v1.0:LG02:20103053:20106741:1 gene:TanjilG_02808 transcript:OIW16602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSISTANSFILTKSLFSSTQLNQSPSSSFLTNPTRPKPKSSVLAVHSAEPAKNPVVSEKPIKPTASSSVSTRNVGQVKWAIDSWKTKKALQLPEYPSQEDLDSVLRTLDAFPPIVFAGEARTLEERLGEAAMGNAFLLQGGDCAESFKEFNANNIRDTFRIILQMGVVMMFGGQMPVIKVGRMAGQFAKPRSDGFEEKDGVKLPSYRGDNINGDAFDEKSRIPDPQRMIRAYCQAAATLNLLRSFATGGYAAMQRVTQWNLDFTDHSEQGDRYRELAHRVDEALGFMGAAGLTVDHPIMKTTEFWTSHECLLLPYEQSLTRLDSTSGLYYDCSAHMLWVGERTRQLDGAHVEFLRGVANPLGIKVSDKMDPKELVKLIEILNPQNKAGRITIITRMGAENMRVKLPHLIRAVRGAGQIVTWVSDPMHGNTIKAPCGLKTRPFDAIMAEVRAFFDVHEQEGSHPGGVHLEMTGQNVTECIGGSRTVTFDDLSSRYHTHCDPRLNASQALELAFIIAERLRKTRIRSQQSFGSTGL >OIW16471 pep chromosome:LupAngTanjil_v1.0:LG02:23411042:23427488:-1 gene:TanjilG_18998 transcript:OIW16471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNYCSGGADDPADNADKGETNNNQENSNNNNNEDSATTPPPSSKPSPPSSSTKPSKQAPIGPVLERPMEDVKATYNIGKELGRGQFGVTHLCTHKVTGKQYACKTIAKRKLVNKEDLEDVRREVQIMHHLIGQPNIVELVGAFEDKQSVNLVMELCAGGELFDRMIAKGHYTERGAASLLRTIVQIVHTCHSMGVIHRDLKPENFLLLSKDENSPLKATDFGLSVFYKQGEVFKDLVGSAYYIAPEVLKRKYGPEVDIWSVGVILYILLCGVPPFWAESETGIFNSILRGHIDFTSDPWPSISPQAKDLVRKMLNMDPKQRLTAYEVLNHPWIKEDGEAPDTPLDNAVLNRLKQFRAMDQFKKVALKVIAGCLSEEEIMGLKQMFKGMDTDNSGTITIEELKQGLAKQGTKLTEQEVKQLMEAADADGNGLIDYDEFITATMHMNRMNRADHVYTAFQYFDKDNSGYITIEELEQALHEYDMHDGRDIKEIIAEVDADNNGHVGFMLSCYDAELSYDPHTDTFQARYPPHGRRAVSIEHGIPWERLRAPPVDTPPHDLHISDCL >OIW16472 pep chromosome:LupAngTanjil_v1.0:LG02:23408178:23410941:-1 gene:TanjilG_18998 transcript:OIW16472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PELVLECILERLPPDSLCQMAGVCRSLRERCVSDYLWERHMKQKWGRVIGPVAFREWKWHVASKRSVGSARHGKQRNFMRLVSFSWPFSWMRVKVDANNSIKQFSSLPNDSVMAWYLALESGNFWFPAQVYNRENGHVGFMLSCYDAELSYDPHTDTFQARYPPHGRRAVSIEHGIPWERLRAPPVDTPPHDLHISDCLNDLRPSDHIEIQWRRNKEFPYDTVVLEFNQYTSDSRWRRTSISRKDHREEGNEADGFYGGIRKIENEISIWKHLWPSEVLD >OIW16658 pep chromosome:LupAngTanjil_v1.0:LG02:19158132:19161419:-1 gene:TanjilG_23160 transcript:OIW16658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMMNNNNFGQFGDTTLTKVFVGGLAWETPKEALREHFDKYGEILEAVIISDKLTGRSKGYGFVTFKEAEAAKKACEDQATLVINGRLANYNLASLGARRPRFSSNASPPHPQPQEGSNGGGPRNNTSSTPPPNHVQWYYPVGTPASPFHHQALPYYGYTPTYIAPNVNYNYNQKLGYGSGGAYMNAHHVSHVYPRQAIIGGNTLMPMYPVYHHPTETMGVPAHYFHPTVATHFASVPARIMSKPVSITPHTGQLVQLSASKGSSERSLVG >OIW16294 pep chromosome:LupAngTanjil_v1.0:LG02:23527406:23530273:1 gene:TanjilG_19010 transcript:OIW16294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDQLLNIKVMVQVAWHTRHFEPHALPSSLGDMIPEPSLKNHDSTLNLEWASQHESLKLFTKIHSSQSLKPDHYSLSSALTASANSRHLTIGTQLHAHSIRTGLNAHSHVSNSLLSLYAKAHDLISVKNVFAETQYTDVYSWTTLLSACTRLGHFDYALHVFDQMPKTNVAVWNAVITGYADNGHEDLAFNLFRDMHRFGVRPDNYTFASVLSMCYVEVLDYGRHVHSVVIKSGFFARTSVLNSLITMYFKCKCVVDAYVVFEEAKAAAVCDHISYNAMIDGLVTMDRNIDAFIVFRDMRQACFSATELTFVSVMSSCSSLGAGCQAQAQATRLGFDAYTAVNNSTMTMYSSFGELDEARNIFERMEERDLVSWNIMISTYVQENLNDAAVLTFLKMRREGNEPDEFTYGSLLTAADSLPIVETLHSLLSKNGLMKVEVFNALISAYFRHGNINPAFQIFCDLHYKSLISWNTIISGFLINGSPLQGLDQFCALLNTQLKPNAYSLSLVLSICSSISAITQGKQVHGYILRHQFSSEISLGNALVTMYAKCGSLDMSLRVFNAMVERDTISWNALISAYAQHGQGKEAVSCFEAMQISPEIEPDQATFTAVLSACSHAGLVDDGTHIFDTMVKRYGFVPSVDHFSCIVDLLGRSGYLDEAESVIKGGYFGVHSNMCWSLFSACAAHGNLRLGRTIARILLEREHNNPSVYVLLSNICAAAGQWEEAASLRDMMREFGTTKQPGCSWIRI >OIW16282 pep chromosome:LupAngTanjil_v1.0:LG02:23406081:23406896:1 gene:TanjilG_18997 transcript:OIW16282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SSSSRQIASGSAQDEVKEWHDAWDERDNDFENQGINQHDSFWMKLYSEAAEKVGAEEAERFCKAFQQIHKKLVCVK >OIW16622 pep chromosome:LupAngTanjil_v1.0:LG02:19454601:19456613:-1 gene:TanjilG_01861 transcript:OIW16622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEAWKSTSNRWSGKDKYSPVGETSLNQMEGFIMYGNDENGKMVRKRVMVVVDNTSHSKHAMMWALTHLTNKVDLLTLLHVLPPHKASQSSSSANIVNYLGSLCKEFKPEVKVEALVIEGPKLATVMSQVKKLEVSVLVLGQKKPSSLLSCMCGNSSSSTEEFTEHCINNAECLTIGVRKRSQGMNGYLISTRWQKNFWLLA >OIW16617 pep chromosome:LupAngTanjil_v1.0:LG02:19543755:19544861:-1 gene:TanjilG_01856 transcript:OIW16617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMLAAQYGAINLGQGFPNFDGPEFVKEAAIQAIKDGKNQYARGCGVPDLNIAIADRFKKDTGLEVDPEKEITVTTGCTEAIAATMLGLINPGDEVILFSPCYDSYLATLSMVGAKVKGITLHPPDFSVPIEELKSAISENTRAILINTPHNPTGKIFTREELNAIVTLCIENDVLVFSDEVYDKLAYDMDHISIASFPGMLERTVTMNSIGKTFSLTGWKTGWAIAPPHLSWGIRQAHSYLTFASATPMQWASAAALRAPDSYFVELKKDYIAKRDILVEGLKDVGFNVFPPNGTYFVIVDHTPFGHENDIEFCEYLIKEVGVVAIPTSVFYLNPEEGKNLVRFAFCKDEATLRAAIERMKDKLKRK >OIW16227 pep chromosome:LupAngTanjil_v1.0:LG02:22932301:22947513:-1 gene:TanjilG_18942 transcript:OIW16227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTDFGTNLGAGIARDSVCAAIREARYCFQFKSFVKALRDEKEDLITRSEKVQDHAKKAKEETKKIDTADERWLKVAEILIADAGKLEEKATNSKCYCLRSCPNWIGRYCLAKQIEKKTKAMLDHGNKEGNKFKQFDRLLTLPGMEYYSNEGFIYFNSTKVAYDKIIDALKDDEVDMVGLYGMGGCGKTTLAHEVGKEAEHLFDKVLFLSVTSTVDVRKIQGKIAGSLRLILKEEDEAERARRLWLRLTSCGERILITLDDVWEKLDFKAIGIPFGEDHEGCKVLLTTRRRQICHLMGCKRQISLSLLSEEEAWTLFQKHAVRIDDALNGLAREITNECKGLPVAIAAVASTLKGKAQSDWEVALETLRESRRVDVESGLENPHICLKLSYDNLRSKEAEQFFILCCLFPEDWEIHLEHLTRIGIGLGLVGEVHSYRRARNQVSTAINKLIDSCLLLGANEEHCVKMHDLVRGVGLTIANKEVQAVIWPQMFEKTFKAATVKDRSVRYLWCNMAGNFPNQLDFPNLEFLNVITDIEHVELPNDIFQGMKELRVLILANQSDGKEKPMLHLSKPIQSLKNLRYLVLFGWALGDISFLGSLETLQALTLQSCSFHELPNEVVHLTNLRLLDLSECRSKINPYAVVGRCSQLEELYFIGNEYPEWENQVEEDAGVFYGKNIVDLGALRRYHLEIGKSFELFDSGDFSKTRFLSVQYFDASTSNATIKNLMQKSEVLFLGNIHRGCKNIIPDLVQTIGGGITDLVELLLCDSDILECLIDNANHLSQLGPVFSKLVRLRISGMDRLETLCNGPPPPRVFEKLERIHIMDCSRLYRSLFAGKLNLCNLKAFELRNCPMLTSLLTPTIAESLEVGPIMHMDSEVSTLQTVKNVETLWVRDCKIQGIFQLAGLPIDEKLHPSYLHDLSLNNLADLKFICRGPPQSMNLHNLESLEVHECGNLKYIFSTCIAEGLPQLKELTVSYCDQLERIIEDEEHRNPLNHCLDSYDCQKSTSSTPLEHFPHSNQKSGSFKLCSLERLIVESCPMLISLFTQYTAQTMTSLEDMTIDNCHGLKCLIKGNEREEVQGNHDLQKISLFPRLKDLKVENCDLMEYIFPISFARGLVKLQKIQICETPRLKYVFVQSNREDISSYQSQNKVLVEFFLENLTLKLAPNFISICSENYYASCPSLRHLSLNYIGLPIMSVSNLIVGSEETLSDCDSKKDASHVKNLKSLSVESLNVDGIFYLEGLPIEKQSVISGLVEMDLLQLPELRYICKGPKNILSLESLTSLTIVGCRKLKFVLSASVLRFLPLLESLLIVNCEELEQIIEEDEENKNQQQVCFPQLTVLVVIHCNKLKRLFSFSTSQELPKLEQLIINEASLLEEVFGCELGDESEEMVEIMIPKLEHLILVELPCLANVFQGNELQSVRYRVVHNCPKLTLTRTTTLRDFLQQYQNEGNEMDDPARIKLLILIEMIKANMHSRIMAIANENSTSDATYLQMDLHIEDSEVQAASKIELPPSQDSTDAQTMNEPISSGERSRSETIFMDQESFKDIVQESPATEKASKVTSPQLLPSSSLIYPSPQELTRDNQSMSKSTSLEQQALAEDKKINQTFYSDPQNVEEPANYSSCKEMSISNTMTISLAVPTSFTTSETSLEKTSDPQISSVPENSTFSGNLLIELSKRDIFSNLITSTDTSSSSTIHQPEFLGKLKNELAVYLDMSLEAICEENAFDNIERIVSSLARGTTNFLEKNIVEDLATRLRIFKERVPEAISYMDSSSELESSKYEDVLVSLTARLNEEQEKHIDLNSTFSETCAEECEVEMEIQQLILRKKEIINKKHSLLFQLDQSSQSLSKHFETLEGIREKLKLSIENWIKSKEDIVLNSKGVVLIEFFAPWCGHCKALTPTWEKAATVLKGVATVAALDADAHQSLAQEYGIRGFPTIKVFLPGKPPVDYQGARDVKSIAEFALKQVKTLLKDRLNGKATGGSSHEKTEETNVSVELNSANFNELVLKSKELWVVEFFAPWCGHCKKLAPEWKKAANNLKGKVKLGHVDCDAEKSLMSRFNVQGFPTILVFGADKDTPIPYGGARTASAIESFALEQLETNVAPPEVTELYGPDVLEEKCGSAAICFVAFLPDILDSKAEGRNRYLQQLLSVAEKFKRSPYSYVWTAAGKQPELEKHVGVGGYGYPALVALNIKKAVYAPLKSAFELDQIVEFIKEAGRGGKGNLPLEGAPTIVKTEPWDGKDGEIIEEDEFSLEELMGEETSSKDEL >OIW17588 pep chromosome:LupAngTanjil_v1.0:LG02:11957:12545:-1 gene:TanjilG_08866 transcript:OIW17588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIDGKQVKAQIWDTAGQERFRAVTSAYYRGAVGALIVYDITRPITFLSVSRWLDELKTHCDTTVAMMLVGNKCDLENIRSVSVEEGKNMAEEQGLFFMETSALDATNVNTAFEIVIKEIYNNVSRKVLNSDTYKAQLSLNRVSLPNNGTPSSNHNQTHFPCCST >OIW16172 pep chromosome:LupAngTanjil_v1.0:LG02:22506815:22507048:-1 gene:TanjilG_18887 transcript:OIW16172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLWTLVEGFLLLANSLAILNDDRFLAPRGWGLSDFSGNRTKTFKGQVLGLIYAIQYLRVPLIILNSICIILKLVSG >OIW16285 pep chromosome:LupAngTanjil_v1.0:LG02:23443222:23444595:1 gene:TanjilG_19001 transcript:OIW16285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGILYALVARGTVVLAEFSATSSNANTIAKQILDKIPGTNDTHVSYSQDRYIFHVKRTDGLTVLCMADDNAGRRVPFAFLEEIHQRFVRTYGRAVLSAQPYGMNDEFSRVLNQQIEYFSNDPNADRIHRLKGEMSQVRNVMIENIDKVLDRGDRLELLVDKTANMQGNTFRFRKQARRFRSTVWWRNVKLTVLFMVVKLVSLPKLKVEDNQVETRPREEIGKAQRKG >OIW17233 pep chromosome:LupAngTanjil_v1.0:LG02:3762433:3768165:-1 gene:TanjilG_02522 transcript:OIW17233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVIDSLTSLTSGPTSEAISQIIDTIGEFVYYAADVLVKKDIFKELATYLDRITPILKELRKGRVSDSETFNHVVEVLNRETNEAKKLAQECSKKSKVYLLMNTRSIVDRIKRYTSEISRAISLLPLAASDLSFGIVEEIQKLCDNMKTAEFKAAVTEEEILDKIESGILEKNVDRSYANNLMVLIAEAVGIANEGSTMKKELEEFKSEIENARLRKDLAEAIQMDQIIALLERADAASSPKEKEVKYFAKRKSLGSQPLEPLKSFVCPITRDVMVDPVETSSGQTFERSAIEKWFADGNNKCPLTLIPLDTSILRPNKTLKQSIEEWKNRNTMIRIGSMREKIQPGDDDEVLLCLRIIQELCEQSDQHVEWVILENYIPALIKILASKNRDVRNTALAILCMLAKDSEDAKVFPLTLKIFQERIANVDKAIESVVHSLGRRSEERKLGVALLLELSKNDGLREHIGKVQGCILLLVPMSSSDDNQAARDATELLEKLSYSDQNVIQMAKTNYFKHLLQRLSTGPDDVKMTMATTLAEMELSDQNKESLFECGILPPLLHLVSHNDVQMKTMALKALQNVSSLKKNGLEMIRQGAARPLLDILFRQSLSSSLREHVAPVIMQLASSTISQNVETPVLLLESDDDVFNLFSLINYTGSDDVRQYTIQTFYALCQSPSASYIRTKLREYPDVRALVKLFENENLNLRASAVKLFSCLAESCDEAIIVENVNEKCIKTLLQILKSSSDEEEIVSAMGIICYLPEIQQITQWLLDAGALSIIYNCIHDGDRDQKSKLVENSAGALRRFTVTENLEWQRRTAETGIITVLVQLLESGTAITKQQAALSLTQFSRSSNLLSRPLPKRKGLWCFAPPANLGCVVHGGICAVKSSFCLLEADALEPLTRTLGETNPGVCEASLDALLTLIEGERLQNGSKVLANANAIPSIIRLLGSPSLGLQEKSLHALERIFRLPEFTQRYGTSAQIPLVDLTQRGIGSTRSMAARILAHLNVLHDQSSYF >OIW17563 pep chromosome:LupAngTanjil_v1.0:LG02:152185:155778:1 gene:TanjilG_08841 transcript:OIW17563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSSHHNLEHVYKVNNGGSACQEIQRRYDVKATSKHSFEYGPQFQDPKTISETIKNEFDIFYRFIRPYTAIPALLGASFFMNVFHCGFNQLCDIEIDKINKPHLPLASGEWSYTKGVTVVASSLFLCFFLAWTEGSWPLFWGFLSAAILTAVYSVDLPLLRWKKSALLAATNIITNAALVGPLGYYFHLQTRVFNRPVTFPRPLIFCVSILALYFLVVSLFKDIPDTEGDKKHGVQSLSVILGQKRVFWICVSLLQMGYGATILAGAASPFLWSKLSTGIGHGILALAVWSRSKSVDLKSNDSIQSFYKFIWKLISVELFLIPLFR >OIW17368 pep chromosome:LupAngTanjil_v1.0:LG02:1332364:1338549:-1 gene:TanjilG_22480 transcript:OIW17368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEENIATEMMKRSSTQETYGKVQSAIRILALFVFVGWIFIWIMIPTNTYTQNWFPHLQAKTTSIYFGEQGTVILIHTFPAIFIAVLGCVYLHLAKKSNGSNMDSCDGKKHKASIWKRPMLIKGPLAIVCGTEIVFLVMFIALLIWSYAIYLYTGFATITPKSAAAAGTKVWVLKLKSAALRLGLIGNICLAFLFFPVARGSSVLPLFGLTSENSIKYHIWLGHIVMIIFTSHGICFIIYWALTNQISQMLKWDKGGSANVAGELALIIGARTLINTFPVILIAVLGCVYLHLAKKSNGSNMDSCDGKKHKASIWKRPMLIKGPLGIVCGTEIVFLVMFIALLIWSFAIYLYNDFASITPESEVEYGAKVWELKLESVAQRLGVIGNICLAFLFFPVIRSSSVLPLFGLTSENSIKYHIWLGHIVMILFTSHGLCYIIYWALTNQISEMLKWDKEWVSNVAGELALAFGLFMWIATIPRIRRKMFELFFYTHILYILFIIFFIFHVGISNTCIMLPGFYLFLVDRYLRFLQSRHQVHLISARILSCETVELNFSKGHELTYNPTSTMFLNIPSISKLQWHPFTVTSNSNLEQEKLSVVIKSEGTWTQKLYKLLSSPSTIDRLGVSIEGPYGPASTNYLRYDILVLISGGSAMVVSAAFLLNKKHNAKEEKNIHDLGGSTSIVSPSSMSYNTDRELESLPCESLVQATNVHYGIRPDLKRLLFEIKGSSVGVLVAGPKKMREDVAAICSSDLAENLHFESISFSW >OIW16374 pep chromosome:LupAngTanjil_v1.0:LG02:24113576:24116566:1 gene:TanjilG_19090 transcript:OIW16374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTEAQNPLLGENTCGSLLKKLRQIWDEVGESDEQRDQMLLQLEQECLDVYKRKVMQAAKSRAELLQALSDANVELSSLLSALGEKNFAGIPENTSGTIKEQLAAIAPILEQLWQQKEERIKEFSDVQSQIQKICGEIAGNLSLSDASPEVDESDLSLKRLNEFQSELQELQNEKSERLHKVLEFVSTVHDLCAVLGLDFFSTVTEVHPSLNDSTGVESKSISNETLARLVKTVLTLKEDKKQRLHKLQELASQLIDLWNLMDTHIEERKLFDHVTCNMSASVDDVTVTGALALDLIEQAEVEVDRLDQLKASRMKEIAFRKQTELEEIFARAHIEIDTEASREKIMALIDSGNIEPAELLSDMDNQIANAKEEALSRKDILDKVEKWMSACEEESWLEDYNRDENRYNASRGAHLNLKRAEKARILVSKIPALVDTLVAKTRAWEQDHDISFTYDGVPLLAMLDEYAMLRHEREEEKRRMRDQKKYNELQNTEQEPVFGSKPSPARPVSAKKVASPRTNGGTNGTPSRRLSINARQNGSKSTLKEGKKDNVVRAAAPVNYVAMSKEDAASHVSGTEPILASP >OIW16389 pep chromosome:LupAngTanjil_v1.0:LG02:24212212:24213244:1 gene:TanjilG_19105 transcript:OIW16389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAWWISLKDNAKCGNKLSDVIMKPEKCVKGSSHVSEMEKMNSNNSPIMETHTKQIVSRPCNTLAQLHELSLEDPSRKIVEMIFHKAWMNTSKPPKKVRTVLRVNYSAEVLERFENYREKVKTNACQQYPRHPRSTVDGNELLRFYGTTIRCFRGKNSAKKVYDFCKDPSCCLCQIIQFNFNVEYGEVEVNTSGKELSGTPRIKNLKRAAIVCRVIAGTTENEVDSEYEWSYSNGLGEMQFSLAKFVVQNPNSILPCFVIIFS >OIW16698 pep chromosome:LupAngTanjil_v1.0:LG02:18774845:18775462:1 gene:TanjilG_28755 transcript:OIW16698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPATEIHVLNEHSKKLVINGQRSSPLIINKNSHLIHKPSSYSSKPHKQERNPIIIYMQSPKIIHTKPQDFRALVQKLTGMTPTKKNVVDVTASLGQHQPLHEASENLVSSLSYDSNNSIKLQEYETSSGSLTHDSETCVKEESHVQDNHSNILGFSDMPLFTTDSSDFHFSSRSVYKYSDSPYGILGSLLSPTGLEFMKELPEY >OIW16836 pep chromosome:LupAngTanjil_v1.0:LG02:14347554:14351974:-1 gene:TanjilG_06876 transcript:OIW16836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKILERHERYAYAERQLVGNDSETQGNWTIEYTRLKAKIDLLQRNQRHYMGEDLGSMSLKELQSLEQQLDTALKTIRTRRNQLMYESISELQKKEKAIQEQNNMLAKKIKEKEKANAAQQAAPWDQSNYRVDTSFLIQQQPLPILNMGGNQRQEAPEIVRNELDLSLEPFYSCHLGCF >OIW17091 pep chromosome:LupAngTanjil_v1.0:LG02:5560953:5561237:1 gene:TanjilG_20195 transcript:OIW17091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNEHGSGSGVDLKLKIPPQSPSSRISSLSSTPFNKTCASSMFGSDENLGDASKDEGLPFTLAGCTRCYMYMLVSKDNPRCMICGNRVLIDKFE >OIW16846 pep chromosome:LupAngTanjil_v1.0:LG02:12820622:12833912:-1 gene:TanjilG_31247 transcript:OIW16846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVDLESLAEATSGAIGSLLSTTILYPLDICKTKYQAEARSHGHQKYKNLSDVLWEAISTRQLLSLYQGLGTKNLQSFISQFVYFYGYSYFKRLYLEKSGYKSIGTSANLVIAAAAGACTAIATQPLDTASSRMQTSAFGKSKGLLKTLTEGNWRDAFDGLSISLLLTSNPAIQYTVFDQLKHRALKNKPNKDGKTVTPASLSAFMAFLIGAISKSIATVITYPAIRCKVITQAADSDEATSETKVKSQKTVPGVLYGIWKKEMASKRDLNLLLSQGRKGAHANKGQGGSASGAKTVLKVKPLQEVPPPKRQKTGRLEEAPSEVTHIVDLTPPAPKHKGKKVVVDLNPPIGSYASSTFSRASMPLPVLASIDARLWEDKSTYLKYCLVASLFTQLKGMAIYSATMLKHFESGSAWDEAISLEKARLTTVAEKGVG >OIW16768 pep chromosome:LupAngTanjil_v1.0:LG02:15637769:15639634:1 gene:TanjilG_05502 transcript:OIW16768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIEMGLGGGGLWNDEEKTMVAMVLGTHAFDYLFTNSFSNENLLMTIGSGENLQKKLSDLVELPNMSNFSWNYAIFWQLSQSKYGDLVLGWGDGCCREPNEGEGGSGGGVRGTLSLGMNDEMQQKIRKRVLQKLHTTFGDSDEENYAFGLDHVTDTEMFFLVSMYFSFPRGHGGPGKCFASGKPLWLIDVMKSSSDDYCVRSFLAKSAGIQTIVLVPTDLGVLELGSVRIVKEDFDLLHAVKSVFSAPSSFAMFSKPISANSEKRDGNALFPGLGTGDSNKSKSINKVEANGALKVFGQNFNTLNSGHTTHFREKLAVRKMERPPWRGHPNGNRGIGFPNVQNGIHGSNWGASTQGVRPPPSPVETPRSSTRNVPELANGARHDFMLNNCLPPQRLGQMQIDFSGATSRSNVIAESELSDVEASCKEGKPSTADERRPRKRGRKPANGREEPLNHVEAERQRREKLNQRFYALRAVVPNISKMDKASLLGDAIAYINELQAKLKIMESQRGDRFGSTSMDKSASEAANSKTETCDVDIETVQDRVIVKVSCPIHDHPVSKVIQAFKEAEISVVESKLAASNDTVLHTFVIKSRRPEQLTKEKLIAVFSGESNSLQPLSSVS >OIW16190 pep chromosome:LupAngTanjil_v1.0:LG02:22647471:22651847:1 gene:TanjilG_18905 transcript:OIW16190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKQTYSVCFCCRRRFKLALAEAPPEIKTLFHTYSDNELMTTSQLQRFLVEIQKQEKATIEDAQAIIDSLKHFHRKGLNLETFFNYLFSDNNLPLIPSLGVHHDMTLPLSHYFIYTGHNSYLTGNQLSSDCSDVPIIHALKRGVRVIELDIWPNASKDNVDVLHGRTLTTPVELIRCLRAIKEHAFVTSEYPVVITLEDHLTPDLQAKVAEMVTQTFGDILFSPSTESMKEFPSPESLKKRIIISTKPPKEYLEAKEIKEKGDDSQNGKASGDDEAWGKDVPSMKRGTTADVKDTIVDEDLNDEEDTDESDKSHHNEAPEYRHLIAIHAGKPKGGIHECLKVDPDKVRRLSLSEAQLEKAAITLGKEIVRFTQKNILRVYPKGTRITSSNYNPLVGWMHGAQMVAFNMQGYGRSLWLMHGMFKANGGCGYVKKPDFLLKTGPNNEVFDPKAKLSVKTTLKVTVYMGEGWYYDFKHTHFDQYSPPDFYTRVGIAGVPDDTVMKRTKAIEDNWLPTWNEVFEFPLTVPELALLRIEVHEYDMSEKDDFGGQTVLPVWELRSGIRAVPLYSQKGEKYNSVKLLMHFEFY >OIW17359 pep chromosome:LupAngTanjil_v1.0:LG02:1272471:1272959:-1 gene:TanjilG_22471 transcript:OIW17359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMKSKEEDEVEQLLQATQDHFLLNLSLNSHTTHSSPSLSSSSNNYDHISLDLDLERRFQALKGKNHSQNKDQELNSVLGHDLSARFAALKGKSSSSSHDPLGVGPTVLSNEYEEESEDEEDQVQKLIEWAKDAARLDPSPPSDEDEDDSDEDEDNRRRKRK >OIW16205 pep chromosome:LupAngTanjil_v1.0:LG02:22816813:22818510:1 gene:TanjilG_18920 transcript:OIW16205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGLKKHLKRLNAPKHWMLDKLGGAFAPKPSSGPHKSRECLPLILILRNRLKYALTYREVVAILMQRHILVDGKVRTDKTYPSGFMDVVSIPKTNENFRLLYDTKGRFRLHSVRDDEAKFKLSKVRSVRFGDKGIPYLNTYDGRTIRYPDPVIKANDTIKLDLEENKIVDFIKFDVGNVVMVTGGRNRGRVGVIKSREKHKGSFETVHIQDSTGHEFATRLANVFTIGKGTKPWISLPKGKGIKLTIIEEARKRIAAQQPVTA >OIW17179 pep chromosome:LupAngTanjil_v1.0:LG02:4247598:4250713:1 gene:TanjilG_18134 transcript:OIW17179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQQQFDPYYIYQQHDRSNINTLFVSGLPDDVKAREIHNLFRRRPGFDACQLKYTGRGNQVVAFATFFNHQSAIAALHALNGINFDPQSGSVLHIELARSNSRRKRKPGSGAYVVIDKRSKKGEIDVQGSSSDDGEYESDPDEESGSGSDHGDLATTKSGETAVDSGNAVSMEQHPKDADGGPCSTLFIANLGPNCTEDELKQAFSVHAGFNLVKMRSRGGMPVAFADFDRQREVSLLELGVHDRKPNKLLRSWSSFRAVYCHHPIGVACTSSIS >OIW17520 pep chromosome:LupAngTanjil_v1.0:LG02:2696985:2698475:1 gene:TanjilG_22632 transcript:OIW17520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAAKKAVESVKETASNIGASAKSGLEKTKATVQEKTEKMTAHDPIEKDMATHRKEERFNQAELEKQEVLHHNSAVKESATAGHTVAGQHTATGTAGTRSSSNYTTGEHGQPMGPNQHGLGYGLGQSVMAGHMEGQHTATGPAGNRSPTNYTTGGHGHGHGYGLGQSGVNDQMESQYTSTGLGPGTETAAYTTTGEPMSPMRANQTSTMHGQHEHGGLGHGLEELAEEMVGSNPTGRNTGMGRTKAHNTHVGGTGPAHSDGPRFT >OIW17372 pep chromosome:LupAngTanjil_v1.0:LG02:1362728:1368076:1 gene:TanjilG_22484 transcript:OIW17372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERQSDGSDDIIAAVKDIRQQLEARIESQHKAHMEMLASIQTVIPNLVPSLDLSLKVVSSFNHRPFSPTPPLPLPDPKLNPKKHVELTHRPNNETSGDGSIEADLINRESQKIKTYRDSNPVGQVESENVSPLAVVRTMIAVCLLGRVPFSPIDSSTVLRKLENDQTVTQAEKFALQELGGDSGATLAVEIALRSMAKDNCGVELDEFVVSGRARIMVLSIDRTRLLRELPESAQYQQLESSFGDGNANQNQGQQITTNDTNVNGGLLGMGRPVPRQMSDMWMPHGDPHMSGMQPMFPGGPRGAPRMMGMMGTHRGIGMSSMHRFPLGPNAAGSNPNSIPQKPRTFEDDMKDLEALLNKKSFREMQKSKTGEELLDLIHRPTAKETAVAAKFKTKGGSQVRQYCDLLTKEDCRRQSGSFIACDKTCKYVHYEYDPTPDVPSAVMGAPPPPPKPLKPQRAEYCSEVELGEPQWINCDIRNFRMDILGQFGVIMADPPWDIHMELPYGTMADDEMRTLNVPALQTDGLIFLWVTGRAMELGRDCLEHWGYKRVEEIIWVKTNQLQRIIRTGRTGHWLNHSKEHCLVGIKGDPEVNRNIDTDVIVAEVRETSRKPDEMYPLLERISPRTRKLELFARMHNTHAGWMSLGNQLSGVRLVDDGLRARFKAAYPDVEVQPSSPPRASAMEVDSNVAAQTRSPFAITESKPTATQLADPTAPETSHASEEKPMAVDLKRKKGILTFETVIICVQWSLDVTGIYACDCLEHWGYKRVEEIIWVKTNQLQRIIRTGRTGHWLNHSKEHCLVGIKGNPEVNRNIDTDVLVAEVRETSRKPDEMYPLLERISPRTRKLELFARMHNTHAGWMSLGNQLSGVRLVDEGLRARFKAAYPDVEVQPASPPRASSIMEVDSNVAAQTRSPFSVTESKPTATQLAEPAAPETSHASEEKPMAVDVDMS >OIW17086 pep chromosome:LupAngTanjil_v1.0:LG02:5639321:5649834:-1 gene:TanjilG_20190 transcript:OIW17086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSQDQALVSLLSQIALSFDGAILGFAFAYAAVRSLLNFTATSAALRKLRTAPSLSVSDLRSILTESSDEDGNSGDGKIVIVRGTVDAKSAVDGSWKALMPGVLVSRESGDKAVVIQRTQTVPFVLIDVGRRPNSGYVVVNLDGSRHPLPLTTVYHKLQPINASPYTFLQALFGHEYPVGLLDEEKILPLGKDISAVGLCSLRNGIAEIKPSKDLPYFLSDLSKDQMIVDLSFKTTVLLWGGIVLGSMSVGILGYAVVRNWNKWKQWKQQRELQQQRQDVSGDFVYQLEDENEDVPDGQLCVVCLMRRRRSAFIPCGHLVCCHRCAVSVEREVAPKCPVCRQEIRDSVRIFES >OIW16740 pep chromosome:LupAngTanjil_v1.0:LG02:17454236:17457649:1 gene:TanjilG_14510 transcript:OIW16740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSSSTSVLKWSFFHKSITPLRFLQLLSLFLVLLSPQNDVVFADSDKSLLLQFKNSVSDPSGLLLSWNPADGSNHCSWSGVLCDSSFRVVVINITGNGGNRKNLNPCSNFNYFPVFGFGIRRNCVGNGGALFGVFSPSIAELTELKVLSLPFNRLEGLVPDGIWGMEKLEVLDLEGNLLSGSIPVNFRGLSNLRVLNLGFNRIAGVIPASLSRIASLEVLNLASNGINGTVPGFVGRLRRVYLSFNLIGGSIPGEIGEGCGRLEHLDLAGNFLVQGIPGSLGNCSELRTLSLYSNILEDVIPAELGKLKKLEVLDVSRNSLGGTVPRELGNCWELSVLVLSNLFNPVLDVDGTARESSVEQPDSINDEYNFFEGPIPEEITSLPNLRILWAPRANLEGSFPSSWGACDKLEMLNLALNDFTGDFPNQLARCKMLHFLDLHSNNLTGKLAEDLPVPCMTVFDVSGNVLSGTIPEFSDNACPSLLSWNGNSFETDNRALPYVSFFASTVLEGTFLSSPGTIFHNFGQNNFISIVSLPIARDRLGKGVAYTLLVGENKLTGTFPTTLFEKCDGLNSLLLNVSYNGISGPIPSEFGGMCRSLKFMDVSGNQITGPIPFGLGDLVSLVSLNLSWNHLQGQIPTSFGRMKNLKFLSLAGNNLNGSIPTILEQLNSLEVLDLSSNSLAGEIPKGIQSLRNLTAVLLNNNKLSGQVPAGLANVTTLSAFNVSFNNLSGSLPLHSNLINCSNAVGNPFLHSCHGYSLTVPSEDQQGLSQDPNSYTAAPPEATGHKSGNVFNSIEIASIASASAIVSVLLALIVLFIYTRKWNQRSRVTGSARKEVTMFTDIGVPLTFENVVRATGNFNAGNCIGNGGFGATYKAEISPGNLVAIKRLAVGRFQGVQQFHAEIQTLGRLRHQNLVTLIGYHASEMEMFLIYNYLPGGNLEKFIQDRSSRAVDWTILHKIALDIARALAYLHDQCVPRVLHRDVKPSNILLDDDFNAYLSDFGLARLLGTSETHATTGVAGTFGYVAPEYAMTCRVSDKADVYSYGVVLLELLSDKKALDPSFSAYGNGFNIVQWTGMLLRQGRAKEFFTAGLWDSGPEDDLIEVLHLAVVCTVESLSTRPSMKHVVRRLKQLQPASC >OIW17418 pep chromosome:LupAngTanjil_v1.0:LG02:1741897:1743288:1 gene:TanjilG_22530 transcript:OIW17418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKQGDEETPLGETLKYKTWVLRVLIHCDGCKKKVKKVLHGIDVTGNVEAETLIKKLERTGKFAELLPEIKPPEKKDSKKSKGSDNNKMEKEEKKNNNEPVGDGSNNSNEGCIEEESDKEDHNDECKDSPSGGGSGGGGGSEGGKKKKKKKKKGNGNSDSTRHNNEVVVGAGVGEETSKVDAGPVCSNKAISVASREIIGPPIQHAYPSYPQQMYYSLPLPNPPYGLSYNTTTYPVSSASYYVDAPIMPMHAYNNVPYPHLPPPPPPSHIINHYGDDNDGGYEGGCSIM >OIW16202 pep chromosome:LupAngTanjil_v1.0:LG02:22787341:22789426:1 gene:TanjilG_18917 transcript:OIW16202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPNSFTLLTIFFFLSFPISTSSSSNVSAIFAFGDSTIDSGNNNRFRTLFRGDHLPYGRDFPSHFPTGRFSNGKIAIDYLANILGIKDLLPAYLDPHLTDQDLLTGVSFGSGGSGIDMSTVALARVMDLSTQFELFEESLQRIRRVVGVEKANNIIENALFVVSIGTNDMLYNAYLLPANMIRYGSISVYQDFLLQNLQSFIQRLYGAGAGRIVVAGVPPIGCLPIQMTISSILPSFHWLHRHCNVQQNTDSEAYNYKLQSHIHLLQSMLTDVKVAYFDIYTPIMDMVQYPAKYGFVQTLEGCCGTGLLEMGPVCNVFDPICMDPSKYLFWDAVHLTQRGYSILAESGRQYLIPYITS >OIW16206 pep chromosome:LupAngTanjil_v1.0:LG02:22824063:22825211:-1 gene:TanjilG_18921 transcript:OIW16206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVYDEVCGINIVPLDSSRKRKSRNKRGGPRSVAETIAKWKEYNKNLESTREDCKPRRKAPAKGSKKGCMKGKGGPQNSQCNYRGVRQRTWGKWVGEIREPNRGRRLWLGTFSTAQEAALAYDEAARAMYGPCARLNFPHISDYSCAMESLAATCCSSSVATPATSDTTSVYSEVCAAEDVKEKPYLDNINNKVHEAYESACSTSKLKQEPKDDPADLVDPGGGEIEDFRPKEAHDVEQVDEDVNKDQNPMDFSWIDGIDFDSFSTDELFQVDELLGQLDNEPMKSSELMQGLDFGQMDFPCDNNLQVEASSSFLYELQNPDAKLLGSLPHMEQTPSGFDFGLDLLKSEDTLDYNGVEGEEQKLLNLDNMNHNSEWNPLNEG >OIW16218 pep chromosome:LupAngTanjil_v1.0:LG02:22879501:22879983:-1 gene:TanjilG_18933 transcript:OIW16218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKENSMANTSSRVFYYGEKSGAVPFTWEAQPGTPKHPLSETSLPPLTPPPSYFSNSKSLKNKHRNSKGSSIFSCICPRFLGSRKSTNHVSSPSSSQSSSSSTWSLSVYPPSSYTMEEKDKGSISFSNSKLAFGSFLKHRGSSNGFRGCYAFRNMKESSL >OIW16454 pep chromosome:LupAngTanjil_v1.0:LG02:24596016:24598505:1 gene:TanjilG_19170 transcript:OIW16454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSNVVPHFLPLYPLPLPSISSNHDPPPSSVTTHHTHNHTNHKYKLTTPTIRSRLSQLCQQGQPHLARHLFDTIPRPSTVLWNTLIIGFIFNHMPLQSLLFYSHMKSTPSTLFDSYTFSSTLKACAQTHNLLAGKAIHSHFLRCQNNPHPSRIVYNSLLNMYSTCLPPLSPFPAGPDYVLNVFHVMRKRNVIAWNTLISWYVKTDRHVEALGAFSTMMKGGIVPSPVSFVNVFPAVSSIRDCKTAHMLLGLVLKSGDDYVNDVFVVSSAMLMFADLGLLDYARLIFDRCCNKNIEVWNTMIGGYVQSNCPVEAISVFVQALSDEAVCDDVTYLSVITAVSQLQQIKLTEQLHAFVLKSLAVSPTIVVNAIIAMYSRCNSVDTSFKIFENMSERDAVSWNTIISAFVQNGLDEEALMLVCEMQKQKFMIDSVTMTALLSAASNLRNSHVGRQAHAYLIRHGIEFEGMESYLIDMYAKSSLIRTSELLFEQNHPGDRDQATWNSMIAGYTQNGLNEKAILILREVLVHNVIPNAVTLASILPVFTSMGSIGFARQLHGFSIRHFLDQNVYVGTALVDTYSKSGAIRYAENVFIKAPEKNSVTYTTMMISYGQHGMGKRALILFDSMLRSGVKPDAVTFVAILSACSYTGLVDEGLQIFESMEKIHKIKPSVEHYCCVADMLGRVGRVVEAYEFVKGLGGDANAVEIWGSLLGACKNHGHYELGKVIAEKLLKMETEKGKAGYHVLLSNIYAEEGEWENVDKVRNEMKEKGLQKETGCSWVEIAGFVNCFVSRDEKHPQSGEIYCILDKLTMDMKDAGYKPATQVILESNE >OIW16459 pep chromosome:LupAngTanjil_v1.0:LG02:24613492:24617029:-1 gene:TanjilG_19175 transcript:OIW16459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCCQSSVREKSEEKEGNQQQQQQQQPYHLTSSISQPPIPHTDSSSTPPPIPPLGFDGFDGFSEFSLSDLKAATNNFSSELIVSESGEKAPNLVYKGRLVSNREWIAVKKFSKGAWPDPKQFVEEASGVAKLRHPRLANLIGYCCDGDHRLLVAQFMPNDTLAKHLFHWEHQTLEWAMRLRVALYIAQALNYCTSQGRPLYHDLNAYRVLFDQQGDPRLSCFGLMKNSRDGKSYSTNLAYTPPEYLRNGRVTPESVIYSFGTVLLDLLSGKHIPPSHALDMIQGKNNMLLMESHLEGKFSTEEATVVVNLASKCLQYEPRERPDTKELVTTLAPLHTKPEVRSHIMLGIPKHEEAPSIPQRPLSAMGEACSRMDLTAIHQILVSTHYRDDEGTNELSFQEWTQQMRDMLEARKRGDYAFRDKDFKTAIDNYSQFIDVGTMVSPTVFARRSLCYLLCDQPDPALRDAMQAQCVYPDWPTAFYMQSVALAKLDMNKDAADMLNEATTLEEKRQRGGRGS >OIW16804 pep chromosome:LupAngTanjil_v1.0:LG02:15224943:15227555:-1 gene:TanjilG_01369 transcript:OIW16804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGVVLSIGNLSTQYAWAFVGLSVVEVISSSMTVVIGTTLNYFLDDKINKAEILFPGVGCFLIAVCIGSAVHSSNTADNKAKLNDHKDAAKDTNLSPLKETSGVYSKDLEDGSGFTNKAKAGTAVFLIELENRRSIKAYAYVFGKSTFIGLSITFFAGVCFSLFSPAFNLATNDQWHTLKKGVPNLSVYTAFFYFSVSCFVVGIILNITFLYYPVLNLPKSSLKAYLRDWDGRGWALLAGLLCGFGNGLQFMGGQAAGYAAADAVQALPLVSTFWGIFLFGEYRKSSRRTYILLGGMLLMFIVAVAVLIASAGHRK >OIW16593 pep chromosome:LupAngTanjil_v1.0:LG02:19936547:19939447:-1 gene:TanjilG_02799 transcript:OIW16593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLKVAHPIPPVSDDCEQLRKAFEGWGTNEGLIISILAHRNAAQRKLIRETYHETYGEDLLKALDKELTSDFERLVRLWTLDPAERDAILANEATKKWTSSNQVLVEIACTRSSEQLFLVRKAYHALYKKSLEEDVAHHTTGDYRKLLVPLVSSYRYEGDEVNLTLAKTEAKLLHGKISDKAYNDEDLIRILATRSKAQINATLNHYRDSFGNDIIKDLEADSKDEFLAILRATVESLIRPEEYFVHVLRSAINKTGTDEGALTRVVATRAEVDLKIIAEEYQRRNTVPLERAIVKDTTGDYENMLVALLGQDDA >OIW17025 pep chromosome:LupAngTanjil_v1.0:LG02:7422949:7424472:-1 gene:TanjilG_15771 transcript:OIW17025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGKEHPLALESRLENLNIMQEDRYEKFEMSSSYMSSRDESSVRKSPMNCHASMFSSPNHNPFATCNFDFIILSPSIDCSKNKECSVVCLFSSLVQMANSENSSKKFVDGEISYNESQELEDEEAFSYTTQLGFSIVLSMSLQSAIELGVFDILQKAGPGAQLSAKQIASQLSCKNP >OIW16187 pep chromosome:LupAngTanjil_v1.0:LG02:22634266:22634868:-1 gene:TanjilG_18902 transcript:OIW16187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSTMEGPWNLLAPLEVKPLKEIMKQQKVETKTAPMLQETPTFGEEEKGFYDQLHQETQAFSMAMATIVDDALHPPLEHVEDQNNMLLLQETFCLCQEKEVQEPEKEKEDQEQQHQETQGYSLDIDDYDDFYYPLEHVEDQSDVLLQESSFDDFGEEGIVQKQKDQEKEKVQFFLPPRLLSFLESDNSFLTPWSWIPGQ >OIW17584 pep chromosome:LupAngTanjil_v1.0:LG02:40013:41311:-1 gene:TanjilG_08862 transcript:OIW17584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTTSLINFWREVQGCNNWENLLEPLHPLLRQEIIRYGQFVTASYKAFDLQSTSKRYLNYKYGKKNMFKEIGMENCGYEVTKYIYATPDMNMIPMQNSCSSSNFGRWIGYVAVSSDEAVKKLGRRDILVTFRGTVTNQEWIANFMSSLTPAMFDPLNPRQNVMVESGFLSLYTSDESCCKFGLESCREQLLSEVSRLLNKYKGEKVSISLAGHSMGSALALLLAYDIAELGLNKINYHNVRNSSMNELSSTSNNTNNINQISLTVFSFGGPRVGNYNFKIRCELLGVKVLRITNCNDPITKLPGVLFNENFRVLGGKYEFPWSCSCYAHVGVELMLDFFKVQNPSCVHDLESYIELLRRPNNVEVIQPQWDDVNNFMERTRELLLSTQNMKFLPRVLAAGADYHGLLNSFSIDILCSWSDELLFGLVLLLM >OIW16340 pep chromosome:LupAngTanjil_v1.0:LG02:23880185:23883762:1 gene:TanjilG_19056 transcript:OIW16340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSSPSLLCTLLLLSLMGLKVCAFKSPFHPRDLLPLLPKHVSWPIMNSLYSAVDFLPVFVGAASASSFEDSIQWKGACFYNNSAWMEFHNNSHTQFGGGTLHLKVSNAHSYTCMDLYIFATPYRVTWDYYFVSREHTLEFNEWEGKAEYEYVKNHGVSIFLMKAGMLGTLQALWEVFPLFSNTGWGENSNIGFMEKHMGASFVERPQPWVTNVSADDIHSGDFLAISKIRGRWGAFETLEKWVSGAYAGHTAVCLRDSHGKLWVGESGHEDKDGEDIIVLMPWDEWWDFELTKDDSNPHIALLPLHPDIRAKFNETAAWEYARSMEGNLYGYHNMIFSWIDTLTSNYPPPLDANVVACVMTIWSQLQPAYAANMWNEALNKRLGTKGLGLSEVLVEVEKRGSSFDELLTIPEQDDWIYSDGKSTSCIAFILEMYKEAGLFDPIASSVQVTEFTIKDAYILNIFENDSSRLPKWCNDGDTVKLPYCQIKGKYRMELPGYNTMQPYPHMNERCPSLPPKYQRTQNC >OIW16891 pep chromosome:LupAngTanjil_v1.0:LG02:11635444:11637928:-1 gene:TanjilG_01756 transcript:OIW16891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSSNAQVSVPAQRLLGKVALVTGGASGIGESVTRLFHIHGAKVCIADLQDNLAKQLSESLGGEANVCFYHCDVTLEDDVRGAVDFAVEKFGTLDIIVNNAGISGSPCPDIRDATLSEFDKVFNINVKGVFHGMKHAARIMIPNKKGSIISLASVASTTGGIGIHAYTGSKHAVVGLTKSVAAELGKHGIRANCVSPYAVLTGLALAHLPEDERNDDAVAGFRDFVALNANLQGVELTTVDVANAVLFLASDESRYISGDNLMIDGGFTSVNHSLRVFR >OIW16181 pep chromosome:LupAngTanjil_v1.0:LG02:22582188:22583125:-1 gene:TanjilG_18896 transcript:OIW16181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGMSGFSNKSGSFFGKIGSTGTKCGRWNPTTEQLKLLTELFRSGLRTPSTDQIQKISDQLSFYGKIESKNVFYWFQNHKARERQKRHKVSFDDKYDNSKENTIDDSTQSLTGMYKVPEVDRVTETLQLFPLNSFDESESEKFRVHSNECRDNMMFSYTMGGQVEHPPLDLRLSFL >OIW16499 pep chromosome:LupAngTanjil_v1.0:LG02:21159795:21172403:-1 gene:TanjilG_32169 transcript:OIW16499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANAPISMKETLTLGSVGINPQFVTFTHVTMESDKYICIRETSPQNSVVIIDMSMPMQPLRRPITADSALMNPNSRILALKAQVPGSTQDHLQVFNIELKAKMKSHQMTEQVVFWKWITPKMLGIVTQTSVYHWSIEGDSAPVKMFDRTANLANNQIINYRCDPNEKWLVLIGIAPGSPERPQLVKGSMQLFSVDQQRSQALEAHAASFATFRVAGNDKDSTLICFASKSVNAGQVTSKMHVIELGAQPGKPSFTKKQADLFFPPDFADDFPVSMQISNKYGLIYVITKLGLLFVYDLESATAVYRNRISPDPIFLTAEASSEGGFYAINRRGQVLLATVNEATIVPFVSGQLNNLELAVNLAKRGNLPGAEELVVQRFQELFAQTKYKEAAELAAESPRGILRTPDTVAKFQSVPVQAGQTPPLLQYFGTLLTRGKLNAFESLELSRLVVNQNKKNLLENWLAEDKLECSEELGDLVKTVDNDLALKIYIKARATPKVVAAFAERREFDKILIYSKQVGYAPDYLFLLQTILRTDPQGAVNFALMMSQMEGGCPVDYNTIADLFLQRNLIREATAFLLDVLKPNLPEHGYLQTKVLEINLVTFPNVADAILANGMFSHYDRPRIGQLCEKAGLFIRALQHYTELSDIKRVIVNTHAIEPQALVEFFGTLSKEWALECMKDLLLVNLRGNLQIIVQTAKEYSEQLGVDACIKLFEQFKSYEGLYFFLGAYLSSSEDPELHFKYIEAAAKTGQIKEVERVTRESNFYDAEKTKNFLMEAKLPDARPLINVCDRFGFVPDLTHYLYTSNMLRYIEGYVQKVNPGNAPLVVGQLLDDECPEDFIKGLILSVRSLLPVEPLVEECEKRNRLRLLTQFLEHLVSEGSQDVHVHNALGKIIIDSNNNPEHFLTTNPYYDSRVVGKYCEKRDPTLAVVAYRRGQCDDELVNVTNKNSLFKLQARYVVERMDGDLWDKVLNPENEFRRLLIDQVVSTALPESKSLEQVSAAEKAFMTADLPHELIELLEKIVLQNSAFSGNFNLQNLLILTAIKADPSRVMDYINRLDNFDGPAVGEVAVEAQLYEEAFSIFKKFNLNVQAVNVLLDNLRTIDRAVEFAFRVEEDAVWTQVAKAQLREGLVSDAIESFIRADDATHFLEVIKASEDADVYHDLVRYLLMVRQKTKEPKVDSELIYAYAKIDRLGEIEEFILMPNVANLPNVGDRLYDEALYEAAKIIFAFISNWAKLAVTLVKLKQFQGAVDAARKANSAKTWKEVCFACVDNEEFRLAQICGLNVIIQVDDLEEVSEYYQNRGCFNELISLTESGLGLERAHMGIFTELGVLYARYRPEKLMEHIKLFSTRLNIPKLIRACDEQQHWKELTYLYIQYDEFDNAATTVMNHSPEAWDHMQFKDVIVKVASVELYYKAVHFYLQEHPDIINDMLNVLALRLDHTRVVDIMRKAGHILLVKPYMVAVQSNNVSAVNEALNEIYVEEEDYDRLRESIDLHDNFDQIGLAQKIEKHELLEMRRVAAYIYKKAGRWKQSIALSKKDNLYKDCMETCSQSGDRELSEDLLVYFIEKGKKECFASCLFVCYDIIRPDVALELAWMNNMIDFAFPYLLQFIREYTGKVDDLIKDKIEAQKEEKAKENEQKEVIAQQNMYAQLLPLALPAPPMPGMGGGYGASPPMPPMGGMGMPPMPSFGMPPMGGPY >OIW16521 pep chromosome:LupAngTanjil_v1.0:LG02:20952599:20954537:-1 gene:TanjilG_32192 transcript:OIW16521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKVREFLKPSLSQQESDAISRINIRPSDNSSFYEHFILTGIRVDRVEPGFISCSFKIPPRLTEKNGKLVNGAIATLVDEVGGALVHVEGLPMNVSVDMSISILSTAYVNDELEITSRLLGKRGGYSGTIVLLKNKATGELIAEGRHSLFGRHNSKM >OIW16961 pep chromosome:LupAngTanjil_v1.0:LG02:8196480:8199865:1 gene:TanjilG_32828 transcript:OIW16961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSASTMGMAALSFSLHCFSKSNSSFSFRSLPRLTCSLSSSSSSPSFNFNISFAPSKPKPKPELKPDLPESDDGQMLIPWIVRGEDGKLKLQSHAPSTLMTAMVTAETGTKTKTKQKEIQKQKKMMKKGENEDSVSSVTVPRHSKAARRFYNQNLRDREKESDAATRLSKVLAASGVASRRSCEELIFDGKVTVNGNVCNTPQTRVDPAKDVIYVNGSRLPKRQPRKVYFALNKPKGYICSSGEKESKSVIGLFDDYLKNWDKNHAGVPAPRLFTVGRLDVATTGLIIVTNDGDFAQKLTHPSTKTSKEYIATIDGSVHKKHLLAISEGTTIEGVHCIPDSVELLPRRQDVQRDRLRIVVHDGRKHEVRELVKSAGLEVSFNDRFPPFNIIQKAITTHAEAYVKS >OIW17151 pep chromosome:LupAngTanjil_v1.0:LG02:4597429:4599803:1 gene:TanjilG_21128 transcript:OIW17151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFGVYVTGVLFQTAALVSFLLISHGYCIMCERLSLNERRTTAALACVFYLTVVGYKACVPYFSVLLLLNYFISFYMIFHHISQNLLVLREQLSIIESEDVHTMHDAVYKKYTMFKKFQGAMQIVATAETVIYMNMDDSSENYWIRLLIREWAQLCIFVYIGWIFRSQDLAPHFTVMPATKSIGEILVPPIYSIEMDAATFKEFSSHEWHIGVPTSISHDESNKDEVLVIIQHPRVQRIRKLDVFSHSTDSCVRSQ >OIW16840 pep chromosome:LupAngTanjil_v1.0:LG02:14624035:14627813:-1 gene:TanjilG_06880 transcript:OIW16840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKSQRRAVRYEKDKSGCMWGFISMFDFRHGHPTRKLIADKRRSHEHAVGYLHSMNKFEVLSNLDEVDQSNLDIEDSKRVEVKTDINKPSVKKLIEEEMFVDQNPLKDIDNAELESKESRLRYVVPLKVDSKRKKKSFKKSHYLDTDDLNLDATLKSEFSYSQHSRQQSKDNIDLDKVTEEFSHLKDACSMMRGNDREVLAQSKHKHAISENIARDAIHEFVNQMILNGKDLAEAKKFLCSDELKEALELISSDKDFFLSLRQDPKSLLSKYVENFVNSRRENEKEYGSVSGSNFSEQELGNLENTKEIVNQKKHNFFRRKVKSQSKISTNENGNTDFSNRIVILKPGPMDLKNSATENNIDSPIHSHDKVHYNSPSVRGGSHFSLTELKRKLKHAMGREKHGNSEGISRKYPAESQNKRPSAKAIGKDNSGMRSPNKDHFFIEKIARRTNGVMKVDKSGTLKDSELVMELENSSYPKPRISNLYIEAKKHLSEIVSNGDENIDLSSRRTPSTLGRILSLPEYNFSPLGSPVRDWEHHFVTAQTRFSAQDKNWDSNEDNLSPEQATSVDHSNLEADNEEKQSCICSERSCSNDKVQETKSGSKFSDDQGHVDEEENSSLVRDEIVIEDDIESEKEIDILATSSEPVCLGTGKVDQHDNFSEIHDSASCSQCLKQNVTDENQSSSPLSSPSHLSTTMKVEELESGSDISGRPSPVSVLDTFLEDDISLVEVRVRPLKFEEQDSSPVNQFHSGKHCLEDKELIYDYIKEVLQTSGLTKDQLFMKCLSSDKILDPSLFDQVELLSSHLCPDQKLLYDCTNEVLMEVCWHYFGVSPFASFLNPSIRPTPNMQKVILKVWEGVCWHFLPTSPPLTLEKNVRKDMEKNGAWMDLRFEAETVDFEMGEAILSELMEDTILSCVSESSESKCCELST >OIW16430 pep chromosome:LupAngTanjil_v1.0:LG02:24482906:24490057:1 gene:TanjilG_19146 transcript:OIW16430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLKANEPLHGSNSGRTCRRKPLLLLIFGLAAIGGVWFLLSFNSKCLMGKENEAGCEERDRILLDHYNVSKKQLHALASLFSGSDKISSHCIDERRLQMLLSSGILSTSSQLICPENPELQKEHSCVADTVEPIEQCPIPDDCAPTRLELSFLLKKHVALASNSAPSFNLNIVQSCKLRVCEIKDLLTSSKLVKGCWWVLIGIIMSFKLSGFCLLWRLGQSVVQKKKLQHFVRVPSKSAGRWRKKFLVIFVSLGIVGSIWLFWHLNMNIMQRREQLLANMCDERARMLQDQFNVSMNHVHALAILVSTFHHDKHPSAINQKIFGEYTESTAFERPLTSGVAYAMKVLHSDRMHFENQHGWTIKKMETENEALVQDCIPENLDPAPVQDEYAPVIFAQETVSHIVSIDMMSGKEDRENILRARASGKGVLTSPFKLLKSNHLGVVLTFAVYNTNLPLYATVEQRIEATVGYLGASYDVPSLVEKLLHQLASKQTIVVNVYDTTNVSAPITMYGTDVVDTGLLHISSLDFGDPLRKHEMHCRFKLWPPLPWTAISSSVGVFVITLLLGHIFYAAINRISKVEDDYRKMRELKVRAEAADVAKSQFLATVSHEIRTPMNGVLGMLQMLMDTDLDETQMDYAQTAHRSGNDLISVINEVLDQAKIEARKLQLEAAAFKPHAILDEVLSHFLGKSNEKGIELAVYASNQVPEVVIGDPKRFQQIITNLVGNALKFTNDKGHVLVSVHLVSEAKNPLDSMDEVLRESLNLDQDISNRTYNTLSGFPVSKRWQSWENFKNFGSLNMDDTEMVQLLVTVEDTGIGIPTDAQSRIFTPFMQADSSTSRTYGGTGIGLSISKCLVDLMGGEIGFVSDPGIGSTFSFTGTFRKGDTTSIDAEWQKCNLFASQCQGLRALLVDRRKVRAQVTRYYLQRLGMSVDVTSSLESECSCVPSTCNMSMLTQLAMILIDKDVWDKENSILFTIKKHRQNGINMDPIKFPKIFLLAAHLSTNERDELKSIGVVDDVLVKPLWLSYLGHCFRESLGAEKRQTKGKRASKLGNLLMHKRILVVDDNSVNRKVAEGVLQRYGALVTCVESGRAALHMLKLPHHFDACFMDLQMPEMDGFEVTRQIRSLESEVNEKIARGKASAEMYGNIAYWHTPILAMTADVTQDSNEECRKCGMDDYVSKPFEEEQLCMAMGRFFKPGS >OIW17358 pep chromosome:LupAngTanjil_v1.0:LG02:1260033:1263900:-1 gene:TanjilG_22470 transcript:OIW17358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFELERECLEVYRRKVDEAANTKARFHQMVATKEAELATLVAALGEHDIHSPIKTEKRSTTLKEKLASVTPLVEDLMKRKYERLKQFADIKVQIEKISGEISGLHLVNNALSSKTVEEEHDLSLRRLDEYQTHLHTLQKEKSDRLQKVLQCVNEVHSLCGVLGLDFGQTVGDVDPSLQGTHVLKSTNISNSTLEGLERTIFKLKTERKARIQKLRDVVAKLFELWNLMDSSKEERNRFLRITSVLGSSESEITERGVLSTENIEKALEELERLSKLKASRMKELVFKKRSELEEICRLTHTEPDTSTSAEKATALIDSGLVDPSELLANIEVQIIKAKDEAMSRKEITDRIDKWLSACAEENWLENYNQDDNRYSAGRGAHINLKRAERARVAISKISAMVDNLINKTLAWEDENKAYFLYDGVRLVSILDDYKLTRQQKEEENRQSKVQKKLQGLLLNQNEAMYGSKPSPRRSNSFRMTNGHLATGNGTCSVPPTPRRNSVSGKTSEVHTPRSYSGRHNGYIKEMRRLSTAPLNNYVAISKEDTMSYASLCGSDESPPMG >OIW16679 pep chromosome:LupAngTanjil_v1.0:LG02:18332312:18335448:-1 gene:TanjilG_28736 transcript:OIW16679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVDSDDVGSDEHSGGLSPPSEDGGNDARNVEGGESDEEGEQMHNDSYEEMSLHKRDRNLIDLTTSRSGPTQDGSQYDNEDYAPPRYSSMWAKKKDTGTVYALKIMDKKFITKENKTAYVKLERMVLDQLDHPGIVQLYFTFQDTFSLYMPLESCEGGELSDQITRPENLLLTIEGHIKVTDFGSVKPMQDSQITVLPNAASDDKACTSVETAAYVPPEVLNSSPATFG >OIW16966 pep chromosome:LupAngTanjil_v1.0:LG02:8409428:8410183:-1 gene:TanjilG_32833 transcript:OIW16966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITRTSQADCAVLIIDSTTGGSEASISKDGQTRVMDATTPKYSKARYDEILKEVSSYMKNVEKKDPTGAKVTKAAAKKCMVQDALSREVYRCNKCWLLVCSILYFLGSLFVGHILKSAPSSRKFYSQNWVLDRGWQGFIGVFMHFLHFNVSLP >OIW17082 pep chromosome:LupAngTanjil_v1.0:LG02:5762793:5766853:-1 gene:TanjilG_20186 transcript:OIW17082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAGNPNWWNMNPPSMIPPQYVHESSSIPSNSLRENPEQPQPWDQLHFIGLPEEERVRFSHFQSRDHNVDLIKQEGSQSVNFYSHDGHHNEEFLQDSGAGSCWSHMVQVSNNSPNLNVTTNLSSNNLLDFTYNKVDHRHNQLENHTSQCISTATAVSCKKVKGQPSSSHPPLKVRKEKLGDRVTALHQIVSPFGKTDTASVLLEAIGYIRFLQGQIEVMSDIGLKRKGAPNQDAKDKATDLKSRGLCLVPVSCTQHVGCENEADFWAPAYGSGF >OIW16956 pep chromosome:LupAngTanjil_v1.0:LG02:8082120:8085950:1 gene:TanjilG_32823 transcript:OIW16956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLPSAIGLSTNFLFPYHAPILPSVLFSHFHVSLSSSSSSLPHSKINFTQLRASSEGLPNELVEDSKFVPLNSEDPSYGPPALLLLGFEADEDLKIKQLLKELDGEFLKIAKSLPRICFLSGLSGEEMMMFIDAFPESGLKPAAFAALVPNSANKPLEELIGEIMGDHEMLTGKQL >OIW16612 pep chromosome:LupAngTanjil_v1.0:LG02:20235263:20236330:-1 gene:TanjilG_02818 transcript:OIW16612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEDCRTWMPQMWQSPNLSPIGAPDDTGKRNGVNMGTRNVTMPAYASSVLPHLQFGHPNEPRGWFYCLPRFRQAFTPSPNLTTEEKQPGGHVKGFEGEIRANAGSGFPQKRFLVVDQTADQTTLVYSSKFGNPVDCRASWDSKQHGSNNLNGREPYLSRDLNHVIGPSFADKGDDNQGTDIESEMHEDTEEINALLYSDSDDYSTEDDEVTSTGHSPSTMTSHGNHESYSGTSKEEVASSARRSKKRKLSDGAYDDDIQFMDTAGSQNPNRTFDMADDDAESRCSSGNNIQGVGEMSSSSCIKKMRKDKIQDVLSILQSMIPGGKDMGPVELIDEAVHCLKSLKHKAKALGLDAL >OIW16317 pep chromosome:LupAngTanjil_v1.0:LG02:23713282:23718630:-1 gene:TanjilG_19033 transcript:OIW16317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAFHASSDVSLFSSSLPVLPHEKLNFTDSEHYGQSADDNLPILDKVHKEGEGQDPLEDITTNAMENMLPDDEDELLAGIMDDFDLSRLPNQLEDLDENDLFGSGGGFEMDFEPQEGLSIGISKISLSDGVPSNGFGHFAILNGTGAVVGEHPYGEHPSRTLFVRNINSNVEDSELRSLFEQYGDIRTLYTACKHRGFVMISYYDIRAARTAMRALQNKPLRRRKLDIHFSIPKDNPSEKDINQGTLVVFNLDPSVSNDDLRQIFGAYGEVKEIRETPHKRHHKFIEFYDVRAAEAALKALNRIDIAGKRIKLEPSRPGGARRNLMQQMTELEQDETRTFRHHVGSPIANSPPGNWAHFGSPVEHNPLGSFSKSPGLSYGSPMNTSHLPGLGAILSPHASTSPKIAPIGKDLGRANNANQTFSSSGSSQGAAFQPSMSFPEHKVNSSPRPISTFGESKSSSSSVGTLSGPQFLWGSPTPYSEHSNTSAWSSTSGGLPFTSSGQRQGFQYTSRHNSFLDSHPHHHVGSAPSGLPFDRHFNYFPESVETSVKSSVAYGSLNHSDDNYFMNMGARAPVGVVTGFPGNRTEISSPNFRMMSLPRHGSLFLGNGLYSGPGATNTEGLTERGRSKQPDNSGNQIDSKKHYQLDLDKIMRGEDTRTTLMIKNIPNKYTSSLLLAAIDENHKGSYDFLYLPIDFKNKCNVGYAFINMVSPSHIIPFYKAFNGQKWEKFNSEKVASLAYARIQGKGALVTHFQNSSLMNEDKRCRPILIHSEGQEIGNQEHFLSSNLNICIRQPDGSYSDEKVEKD >OIW17536 pep chromosome:LupAngTanjil_v1.0:LG02:2868965:2871599:1 gene:TanjilG_22648 transcript:OIW17536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAATNAPPRHLSQKEADIQLMLAADVHLGTKNCDFQMERYIFKRRSDGIHVINLGKTWEKLHMAARVIVGIENPNDIIVQSARPYGQRAVLKFAQYTGAHAIAGRHTPGTFTNQLQTSFTEPRLLILTDPRTDHQPIKEAALGNIPTIAFCDTDSPMRYVDIGIPANNKGKHSIGCLFWLLARMVLQMRGTIRPGLKWDVMVDLFFYREPEEAKQQDEDEVIPGADYAIQDFNAPTIGGIAADGQWPTAVDSSWTDAVPQPIAAVPGAVTWAAAAPEAGEWGDSVAPPQQIPAPAVENVQPSGWE >OIW16162 pep chromosome:LupAngTanjil_v1.0:LG02:22390100:22403027:-1 gene:TanjilG_18877 transcript:OIW16162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLAVSGGDTVKLFDASVKPGDPCILSYTSSPGYQVNSVKWNHTNLVVASAGDDKKISLWHKNGNSMGTIHVAGTGSGDGIEESISAISFSNKASKYMCSGGSGQVVRIWDLQKKRCIKWLRGHTNTVTGVMYNCKDEHLASISLSGDLILHNLASGARAADLKDPNQQMLRVLDYSRVSRHLLVTAGDDGTVHLWDTTGRSPKVSWLKQHSAPTAGISFSPSNDKILASVGLDKKLYTYDSGSRKPSFCISYEAPFTSLAFRDDGLMLAAGTSNGHVAFYDVRGKPKPFVVLHAHGSSEAVTSLCWQRSKPVIVDERNCTPETALVGNAVEDSILMPDPLPSATSNFFLSTSVSTARNPGRLGASFEASSLTASNSEFSSALLNVSAGEETPHRNSLWPTGTLSRLHAPHSSYNVKDDMEVFSPLVDVQPFTPSIWDENGIKKDSMFADRKPSMKFPSSSRRFPNSEEVSIDHPILDWKSSSNAKQDITQSSFSLVGSTPLLSSKNEDSSTTPPEAWGGEKLSDKYNFSRQPINAPSHFGMLASGGQIAGSMFSGLQGTSSSTGIGSYTGSSLSYANLRSKDISTSQETSLGFPDHLFSTSSSLSINTKTSIGQANIDSPRILDSPRMSPFPRRFSTYAERISTTSTFGDGVSLSVSSPKIKKSGAETREELLNSFLSKSDTSAPLESSSLPLTNGVISQHKASQSDAQQGSSFTLQLFQRTLEETLDSFQKSIHEDMRNLHIEILRQFHLQEISKSSITMSEQEGGNGSPNSSSLTPYEEALEVLSSLIIRRTRVDSSILEDQFEVLFKYLKMLDLEEPISNMNIIHVAGTKGKQFYAYCDGESCSFELCVDISEEKFLAYFWWCYDRLKEKTDDNIPMPHYFRFVAILAFKIFAAEKVDVAIMEVGLGGKYDATNVVREPIVCGITSLGYDHMEILVGYFGLLLLDLSTGNTLGEIAGEKAGIFKDRIPAFTVRQPDEAMHVLEEKASLLNVPLQVAPPLDAKLLNGLALGLKGEHQYLNAGLAVALCSTWLKRTGHLGDTDLEQTNTLPEPIIKGLTSASLQGRAQIVPDQHINNEKPNELVFFLDGAHSPESMEVCARWFSLAIKEYNLDQTLFHQQPDEAVKIHNGESEVQRKSIQILLFNCLPVRDPQMLLPRLMKTCADHGVHFKKALFVPSLSVYNKVGSQALTLSDPNVDLSWQFTLQRVWKNLMQDNQGKSTEIDSEELKDDVEMSASNCEHSAVFPSLPAAIKWIRDRVQQNRSVRFQVLVTGSLHLVGDVLKLVKK >OIW17396 pep chromosome:LupAngTanjil_v1.0:LG02:1588402:1594981:-1 gene:TanjilG_22508 transcript:OIW17396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGLAQTNGQFSNCTSTTLSANGFWSKNRDDVSYNHLQKFWSELSAQARQELLRIDKQSLFEQARKNMYCSRCNGLLLEGFLQIVMYGKSLQQEGASAHFPCNKPEGLKKQNNDVSIIINGSQDEIQDPSIHPWGGLTTTRDGLLTLMNCYLYSKSLKGLQIVFDGARVRERERELLYPDACGGGGRGWISQGVVSYGRGHGTRETCALHTARLSCDTLVDFWSALGEETRYSLLRMKEEDFIERLMYRFDSKRFCRDCRRNVIREFKELKELKRMRREPRCTSWFCAADTAFQYEVSDDSIQADWRQTFADASGSYHHFEWAVGTTEGKSDILEFENVGMNGCVQVSGLDLSGFSACFITLRAWKLDGRCTELTVKAHSLKGQQCVHCRLIVGDGYITITKGETIKRFFEHAEEAEEEEDDDSVDKDGNELDGECSRPQKHAKSPELAREFLLDAATVEKAFREGTARQNAHSIFVCLALKLLEDRVHVACKEIITLEKQMKLLEEEEKEKREEEERKERRRTKEREKKLRRKERLKGKEKDKEKGSSESNDVLVSPEVSKEELSAIADTEKNDPLSSRDSVIETDEANLSTIDSPNIEDEEISSDYSTLRTQDHCYQDCDEENFNTKDGTGQFTVEQSMPSRRRPRLRNEFQPDMPMKWPDRRRCAVGSDNGVVVGRSEPRHYGESFLASSRIMNGLNKQSRMNVPTKSNGRNVGPKYNEKSYNSSNQINDRYDSSSCSCNLNNEYRIRVEQQSPMTRVNGRSKSKVNSGNNSTRDLFQSKKVWEPTDSQKKCSRSNSDSDVILRSTKVQEVQSDLIKSSVGEAIRLGEDDVDRNMKRSNGKDEGCQNGFHVEAEGSCSSTDIASEELVICPTGDSVLSNSPCPNRSSTFSSDNCSSCPSEADNNTSSSNRENTESSTTSDSEDCNQQSEVRDSSACNEGMSDHHAVGVENNQTVEGLTNKSSLSFGATFNGKGSDALGSCVVGNAKNLDNGFSIANVCSQPQSMLPLVANQNMQFSVIQAPSTMGYFHHNPVSWPAAPTNGLMPFTSPNHFLYAGPLGYGLNEDPHFCLQYGALQQPSLYPAVPVYQPFARANVANAEEQHTRLSKPDSILGHLNAAVAERGLSAGTNSKKPAVNGEVRNANSAKSQQNSGFSLFHSDGPFALSTGCKLSSAPSNDGTNGDISSKGSADLAEKMHTHKKETTSMEEYNLFTAKKD >OIW16889 pep chromosome:LupAngTanjil_v1.0:LG02:11579889:11590891:1 gene:TanjilG_01754 transcript:OIW16889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENRVHCPENQELASYMWNKWKEMAQKPKGISDNIEMALSKAHLNVCNSKNPILTIKDFSQVNYPDFGISLLDLQSLNFSLKGLLGWVFTDWGVGKMILKLMQGFFGTTSEVSEPDGLTKKGKTTKGAKRYMPQRNSVAYALLITLYRGTSNGNEFMRKQELIDAAEASGLSRVPIIPEKGKGKPGHFGSSPREWYSGWSCMKTLITKGLVVRSSCPAKYMLTQEGKEAACDCLKRSGMAESLEKSVSVEISSYMDKQNSLDKELEGHDSESEVMSPLTQQKKPMRVPLDSLERFTRMGYSKEQIVSSFTEISRSYPNKDVSSLWPAVLCHLREEQVYGPQPESQTNINNCHMLSGSSDLIGIEKRTVRSSHGGHVSNSCSLDIPSFPLRACSSNDQSMEKRNKDELESKMNILSVPPLSLGDRFEDVYEVILILDDREQFATQGSRSRKIIENIRREFKIQIEVRRLPVGDGIWIARHKTLDTEYVLDFIVERKHIDDLRSSIRDNRYKDQKIRLLRCGLKKLIYLVEGDPNASEAAESIKTACFTTEILEGFDVQRTSGLGDTLRKYGYLTQSIFQYYKSGVFENHLKCCGTCPPYKEFIRQCQDMEKMTVSDVFAIQLMQVPQVTEEVAMNVLDLYPTLLSLANAYSLLDGDARAQEEMLRTRSNNVINAVASRNIFQFVWGS >OIW16528 pep chromosome:LupAngTanjil_v1.0:LG02:20892730:20893040:1 gene:TanjilG_32199 transcript:OIW16528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGAGVKASIPSSVRKVIQNIKEITGNHSDEDIYAMLKECSMDPNETAQKLLLQVWSRKLE >OIW16128 pep chromosome:LupAngTanjil_v1.0:LG02:22020201:22026756:-1 gene:TanjilG_18843 transcript:OIW16128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVKTCGRPIDSLLERVLSMNILSSDYFKELYQLKTYHEVIDEIYNQVDHVEPWMTGNCRGPSTAFCLLYKFFTMKLTVKQMHGLLKHPDSPYIRAVGFLYLRYMADPKTLWNWYEPYVKDDEEFSPGSNGQMTTMGVYIRDLLLGQYYFDTLFPRIPIPVLRQVVSNLEKLKLPTAHCGTTGETTRHGSDDTARRPPSVKAALSVSFGQRAPHRASTRDSSPIRRNLPPPPHERNGIDDTRRSPNSRCSQSREYPDRDRDRDRSWSRDRGQDREGDRDRGHERDIYHDRDRDRHHDRDRNRDRGQERDRERYRDQGRDHDRDRIRRDRELERERERSSDYDRRSKFRERESSRDYDGNGSRHRHSRSRSRSRSRSQSLLVGTTRLESHSSPMRDANKTSASSNNLAKLKDIYGDLGDNKRDANMERIPRRDNGGEEVIRLGGSTWKY >OIW16091 pep chromosome:LupAngTanjil_v1.0:LG02:21538630:21545894:1 gene:TanjilG_18806 transcript:OIW16091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTFTLTPPSSSSHTHRPFLLPSPLLNPTLTQRRRFRVPYPRCSSSSDEDRAVSLPPPRAPKELKGIEILVDKLSPPARLATSAVVLAGAVAAGYGLGSRFGGSRNAAIGGAVALGVASGAAAYALNAAAPQVAAVNLHNYVAGFDDPAKLKKEDIESIANKYGVNKQDDAFKAEISDIYAEFVSSVLPPGGEELRGDEVDKITNFKNSLGIDDPDAAAMHMEIGRRFFRQRLEVGDREADIEQRRAFQKLIYVSNLVFGEASSFLLPWKRVFKVTDSQVEVAIRDNAQRLYASKLKSVGRDIDEGQLVRLREAQHFCRLSDEVDFLDFFHNFYGGNFPLKLAENLFKEHARKLAEQNISAALVMLKSRTRAVPGVNQAVEELDSLLAFNNSLISFKNHPDVDRFAHGIGPISLGGGEYDGDRKIEDLKLLYRAYVSDSLSGGRMEDHKLAALNQLRNIFGLGKREAEVVSLDVTSKIYRKRLGQAVSGGELELADSKAKFLQNLCDELHFDPQKASELHEEIYRQKLQQCVADGELSEEDVAALLRLRVMLCIPQQTVEAVHSDICGSLFEKVVREAIASGVDGYDADIKESVRKSAHGLRLTRKTAMSIASKAVRKIYINYIKRARAAGSRTESAKELKKMIAFNTLVVTELVEDIKGESTDVSTEDPVKEEDFAQTADEDWDSIQTLKKIRPDKELVAKLGKTGQTEINLKDDLPERDRTDLYKTYLLFCLTGEVKRVPFGAQITTKKDDSEYVLLNQLGGILGLSGKEIVEVHRSLAEQAFRQQAEVILADGQLTKARVEQLNNLQKQVGLPQEYAQKIIKSITTTKMAAAIETAVTQGRLNIKQIRELKESNVDLDSIISGSLREMLFKKTVDNIFSSGTGEFDEEEVYEKIPADLNINKEKARSVVSELAKSKLSNALIQAVALLRVRNHQGVLQPHDCGRYCHCDTDGCRCSVKFCNWIFGFESNVALVSSLNDLLACDKAVPSQQLSWEVSEELADLYTIYLKSDPTLEKLSRLQYLLGINDSTASALRERGDRLLNNTAEEEEFVF >OIW17191 pep chromosome:LupAngTanjil_v1.0:LG02:4402035:4404736:-1 gene:TanjilG_18146 transcript:OIW17191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKGTFKRFLNFIVKEKKVMKPIVVKCGLALALTFAGFLYSRSRVRRIKPSPKGHPSGHGSEVNLGRSIRVSRSYSSISEGNIQDIEEICINKVISTNSPIGTKQSGEKCEFNNLVKDADFGVTITRNSYKKDVEPSRSKVGSPQAHGSFDKDDYEQEVRQLRSMIRMLQERERNLEIELLEYCGLREQEAAVMELQNRLKISNMETKMFSLKVETLQSENRRLEAKVVDHAKVLAELENAKTKVKLLKKKIKYEAEQNREEIMNLKQKVTKLQDQELKGAANYLDSQIKLKRLKDLESEVEELRKSNLRLHIENADLARRLDSTQILANAVLEDPEADAVKEEGERLRQENGGLVKEIEQLQEDRCKDLEELVYLRWINACLRHELRNYHPPAGKTVARDLSKSLSPTSEKKAKQLILEYANTEAQGSLSDFDSDQWSSPQTSFRTEPGECDDYSPLDNSSDARYNTVTTSKSKIFSRFIKLIRGKDRQHRDSKAASLEKSASRDDISSSHLRSSRSIGNGIDAQGHRSELATPTDTSMNSLDLKRTLSLTDEDVTNSDSLAAGSLKKCGLVRRASADFRNRVGSFSESPCTEKSNLVKYAEALKDSSGSSKLIPRRRSASYSPF >OIW16704 pep chromosome:LupAngTanjil_v1.0:LG02:17849249:17853758:1 gene:TanjilG_24174 transcript:OIW16704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFSDEKWRLNQKLVTVSELWHSHFISGITSSISHITQIQLPLRSETPRPLIPARNYTSNGFLRVSCNGGLNQMRAAICDMVTVARLLNLTLVVPELDKTSFWADPSNFEDIFNVKHFIDSLRDEVRIVKRVPKKFSRKEGYSTLEMPPVSWSNEIYYLEQILPLFENHTVLHFNRTDTRVANNGLSLDLQKLRCRVNYQALKFNPQIENLGHKLIQILQENGPFVALHLRFEMDMLAFSGCTQGCTDNEAEELKRMRYAFPWWREKEIVSEERRSQGLCPLTPEEAALVLRALGFDNETQIYIAAGDIYNGEHRLAQLRASFPRIVKKETLLTRDDLQQIQKHSSQMAALDFMVSVASNTFIPTYDGNMAKLVEGHRRYSGFKKTILLDRRKLVELIDMHQNRTLAWNEFADAVRQYHESRIVRPTRRRVILDKPKEEDYFYANPHECLCEERYCHDLLGPPNSGEV >OIW17217 pep chromosome:LupAngTanjil_v1.0:LG02:3586672:3590115:1 gene:TanjilG_02506 transcript:OIW17217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMKSDMNLLFSLQKNHVFLKFTLSFLLVGLIFHLFISDSFTFSSLFQSPSSSPLSNAIIAQSPLVSVQTNDSVEFQQNQTQPFYKDAVKCDLFVGEWVHDQSGPMYTKESCHVIEDHQNCMRNGRPDSGYLYWRWNPRSCDLPKFNAKKFLDLMRNKSLAFIGDSISRNHVQSLLCILSQVEPANEVYHDEEFRSKIWKFPSHNFTLSVIWTPFLVKADIFEDMNGVSSSEIQLYLDTLDNKWTNQYDNFDYVIMAGGKWFLKTAIYHENATIIGCHYCPRKNLTELGFEHAYHKALQQVFNFITSSNHKAIVFFRTTTPDHFENGEWFSGGYCNRTVPFKEEEHVDIKDVDSIMRGIEVEEFNKGHGANLKLLDTTYLSLLRPDGHPGPYREFHPFEKDMNVKIQNDCLHWCLPGPIDSWNDIVMEMLINNGI >OIW17098 pep chromosome:LupAngTanjil_v1.0:LG02:5389423:5389770:-1 gene:TanjilG_20202 transcript:OIW17098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGDIFGEIGNTAQIDSRLLLVFQKSLLQAQDILNQNRLLINEINQNHESKMPDNLSRNVGLIRELNNNIRRVVDLYADLSGSFTKSRDASSEGDSSSGTLKSDGKANQKRIRSS >OIW16610 pep chromosome:LupAngTanjil_v1.0:LG02:20201012:20202414:1 gene:TanjilG_02816 transcript:OIW16610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEEGREENEDRRITIKKTLLLLNSIFLAIGTSGGPLVMRLYFIHGGKRIWLSSFLETAGFPVILIIIIISYLHRRYHHHHRHITVTQITKPNLVSMKPPLFFASAIVGILTGLDGYLYAYGVSLLPVSTFSLIQATHLAFTAIFAFFLVKQKFTAYSVNSILLLTIAAVVLALHSSGDRPSGESRKQYTIGFVMIMAAAALYGFVLPLVELAYKKCKQTITYYLVLEFQLVMCFFATLFCTIGMIINNDFKVIAREARDYELGETKYYVVLVWSALMWQFFYLGAMGVIFSSSSLFSGIIIAVFLPVTQALAVVFYKENFQVEKGVALVLSLWGFVSYFYGEIKQARKKNKNASPETQLPQTISPNP >OIW17542 pep chromosome:LupAngTanjil_v1.0:LG02:2973328:2976229:1 gene:TanjilG_22654 transcript:OIW17542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVACFRYASINVHSVYLPSYKLEFEYGNQNWIQKELDEVVNKAKLLFSEVLNALGQIEEKISSAVPVSSALKTPELRSQVAELEGMLQKEKVEFEETLQKILNQEKSKGQHWIDILEVNQLMRHLVSQSYIWDHHLTHVASGARLQHSLFWKSSKYIMPIGVNDTVIPVYDDEPSSIIAYSLLSPQYSAQLTDQKERPKHEVVIDSQRNFGSVEDLMSDNHNSSILDPMLYTKAMHAIVSFEEDSPHGKVKYSVTCYYAKQFEALRRICCPSKLDYIRSLSRCEKLVPKGGKNNIFFSRTLDDRFIIKQITKTEVESFIKFGPEYFKYLTESIVSRSPTCMAKILGIYQVKTKHIKGGKESKMDVLIMENVLFKRTVTRIYDLKGYSRSRYNQDSSGSNKVLLDQNLIEAMPTSPIYVGNKAKRLLERAIWNDTAFLASIDVMDYSLLVGVDEEKHELVIGIIDFMRKYTWVTHLETWTSGIIGWSKNVSPTVMSPMQYKKRFRKAMSTYFLMLPDQWSPSLIPSDSQSDLCGEDNNA >OIW17352 pep chromosome:LupAngTanjil_v1.0:LG02:1186562:1193852:-1 gene:TanjilG_22464 transcript:OIW17352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLEEFGPKGNGCLKMSTWQPAIGKDNPALSVVERDRRELKDKRTGRPKIWLYRDKETNEPKGDATVTYEDPHAALAAVEWFNNKDFHGSTIGVYIAESKNKDDQAINPVGESLVSDNVGGVEESLADVNEGSGRGRGRNETSGKAWKQEGDWMCTNTSCNNVNFAFRGACNRCGTARPAGAPGAAGGGGRGKGRGGQDTESAGHPGGGLFGPNDWPCPMCANINWAKRNKCNICNTNKPGTSEGGVRGGRGGGYKELDEEELEETKRRRREAEDDGELYDEFGNLKKKFRAKAQQTEAPRVLPGSGRAGWEVEELGSFRPFKIEGLIGMLEKEVETEDGNIIMVITGTESEMIKRGKEVEVESGTGEEITTGIMMTEVEIMGEIGKEVGAVIENSSK >OIW16345 pep chromosome:LupAngTanjil_v1.0:LG02:23924666:23929417:-1 gene:TanjilG_19061 transcript:OIW16345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRPKSKDFKKQQRISEETEIELLKSWIQTQQVDSGSNPMSLPPLPKNAPIGRVDENTFSRYAGVANFNQLPLSKKTKDGLKDGKFVSMTDIQRASLPHALCGRDILGAAKTGSGKTLAFIIPVLEKLYRERWGPEDGVGSIIISPTRELAGQLFDVLKSVGKHHNFSAGLLIGGRKDVDMEKERVNELNILICTPGRLLQHMDETPNFDCSQMQVLVLDEADRILDSGFKRELNAIISQLPKRRQTLLFSATQTKSVQDLARLSLKDPEYLSVHEESVSATPTLLKQIVMVVPLDQKLDMVWSFIKTHLQSKILVFLSSCKQVKFVYEAFKKLHPGIPLKCLHGRMKQERRMAIYSEFCEKRSVLFCTDVAARGLDFNKAVDWVVQVDCPENVASYIHRVGRTARYKSGGKSVLFLLPSEIQMLEKFKAAKVPVHFNKPRKELLQPVSALLASLLAKYPDLQPRAQRAFITYLRSIHLQKDKEIFDVTKLPIDEYSASLGLPMTPKIRFLNQKIKSKAVSKEQISAEPESPKKENALMVTKKKLATDDSDDEEEENDLLLAADTLNEDDEAKASEIGEVIPATRVSKKKKLKINVHRPLGTRVVFDDEGNTLAPLARIADTQSGKESMLLDPEQKAEYYRRMREDLKKADKEDKLVDRQRRREKRIKQKMKLKEVDEEDDMSESEEEETADRRNKRKKVYFDSDSDSDDGDLNEDTGKAGVTLEEQEALALKLLDSMQS >OIW16821 pep chromosome:LupAngTanjil_v1.0:LG02:13609402:13610299:-1 gene:TanjilG_06861 transcript:OIW16821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMVSIMKAFCGIQQVRWDENIETNHQDHVSPWEIDPSAFLPPLSIQSSPRLKKSRTCHIAASPGHFINGSLIGRAIDLARLSCYNDLLSELERLFGMEGLLGDPDKGWRILYTDSENDIMVVGDDPWHEFCNVASKIHIYTHKEVENMTLGMTSDDTNS >OIW16839 pep chromosome:LupAngTanjil_v1.0:LG02:14475992:14489262:-1 gene:TanjilG_06879 transcript:OIW16839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRYHAGSCTSAVNNSAIGGPSSRDNGKSDSSLPANFPVNSRRQQPQLAPYKLKCDKEPLNSRLGVPDFHPQTPNCPEETLTREYLQSGYRDTVEGLEEAREISLTQVSNFNKTVVLNCKEAIRKRLRAINESRAQKRKAGQVYGVALSGSQIAKPGVFPELRPCGEDFRKRWIEGLSQPHKRLRSLADQVPNGYKKASLLEVLIRNNVPLLRANWFIKVTYLNQVRPGSVGISSGTADKIQLSRSEVWTKDVINYLQTLLDDFVSKSTSHSTPHTRERSAQMLYTGSMQHKNDPLLSVSDGEDPSLHFRWWYIVRLLQWHHTEGLLLPSLVIDWVLSQLQEKDLLEVWQLLLPIIYGFLETVVLSQTYVRTLAGIALHVIRDPAPGGSDLVDNSRRAYTTYALIEMLRYLILAVPDTFVGLDCFPLPSSVVSHTINDGNFVLKSIEAAGTIKNSTDDFGHVVSSIHKNAEYLAKASCSGYPDRCLAKVAKALDESLVLGDLRGAYKFLFEDFCDGTVSEGWVAKVSPCLRLSLKWFGTVSSSLVYSAFFLCEWATCDSRDFRTTPPCDVKFTGRKDLSQVHIAVRLLKMKMRDMNISLRQTNGRNQRVSHLAKCSNQHQHNQNHVGNASKVKSSSKRVGWSIFESPGPIHDIIVCWIDQHVVHRGEGFKRLHLFIVELILAGIFYPVAYVRQLIVSGIMDMNVNVVDLERRKRHYHILKQLPRCFMHEALEESRIVEGTQLDEALQIYLNERRLILRGVLSEHRDDASISAKKRKHYPAYAKDGASTVSIDQWKTVLTNTVTSKSAKNDVNIEELKAAIVSMLQLPNSLSNLSTVGSDDSEGSIRRPIWSHHSKIDPVEASPGLQESRRVKRQKLSEERSSFVQGHSAVVPDDDDSWWVKKGMKSSEPLKVEQPPKSVKQVTKPRQKNVRKTQSLAQLQASRIEGSEGASTSHVCDNKVSCPHHRTALDGDKLKSVDSQTCHCGDIVSIGKTVKRLRFVEKRAMGLWLMTVVRQVVEENEKNVGKVGQVGRPYSIVDERSSIRWKLGEDELSSILYLMDITDDLISASKFLIWLLPKVLSSPNSTIQSGRNVLLLPRNVENQVCDVGEAFLISSLRRYENILVAADLIPEVLSSAMYRAAAIIASNGRVSGSGALAFAWYLLKKYSSVASVSEWEKNFKATCDARLSSELESGRSVNGEFGLPLGVPLGVEDPDDFFRHKMSGGRLPSRVGSGMRDIVQRNVEEAYHYLFGKDRKLFAAGGTPKGLVLEKWDNGYQIAQQIVMGLIDCIRQTGGAAQEGDPSLICSAVSAIVSSVGPTLAKMPDFSCGINHSNTTNATSSLSYARCILRMHITCLCLLKEALGERQSRVFEIALATEASTAIAGIFAPSKMSRAQFQMSPENHDSSTTISNDVGSNSSKVVVARATKIAAAVSALVVGAVIYGVTSLERMVTVLRLKEGLDVVQFARSTRSNSNGNARSIGAVKVDSSIEVYLHWFRLLVGNCRTICEGLVVELLGEPSIVALSRMQHMLSLSLVFPPAYSIFAFVKWWPFILNTNVAVREDMNHLHQSLTISITDAIKHLPFRDVCFRDGQGLYDLMATDPCDTEFATVLEVNSSDMHLKSMAFVPLRSRLFLNAMIDCKMPQFSGPGEYKLKDMLVHVLDTLQPAKFHWQWVALRLLLNEQALSEKLETHDMSLAEAIQLSSPNLEKSAAASENENNFIEILLTRLLVRPDAAPLYSELVHLIGRSLEDSMLLQVKWFLGGLDVLFGRKTIRQRLHNIAESKELSVKTQFWEPWGWCSPCTDPTIIKGDRKKFDTASLEEGEVVEEGTDPKRCLKGSSEVLVSESTSSNQLKVTERALIELLLPCIDQSSDESRSSFANDLIKQLNFIEQQITAVTRGASKPVGSTPLGVEGQTNKVSSRKMLKVGSPGLARRATIATDSSPPSPAALRASISLRLQLLLRFLPILCTDREPSVRNMRHTLSSVILRLLGSRVVHEDVDISMHCSLMRRELKSSSEAASAAFVDYSAEDLFDRLLLVLHGLLSSYSPSWLRQKPNSKTTNEHARELAGFDREVLETMQNELDHMQLPDTIRWRIQAAMPILLPSARYSFSCQPPHVPIYAVSCLQPSTANLGFNSSSSTVPQRNLVPLPRIAANAPGKAKQQDNDVEVDPWTLLEDGAGSCPSASNAASIGSRDHVNIRAASWLKGAVRVRRTDLTYVGAVDDDS >OIW17288 pep chromosome:LupAngTanjil_v1.0:LG02:692150:695254:1 gene:TanjilG_22400 transcript:OIW17288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSFQFHWKVLSLLISVSYCLGNNDMQVELNDDVLGLVMFKSDIHDPFSYLASWNENDVNPCSWKFIQCNPQNGRVFKVSLDGLGLSGKIGRGLEKLQNLKVLSLSYNNFSSSISSALTLPSTLQRLNLCHNGFYGPIPSSFVNMSSIRFLDLSENSFSGPIPYNFFDGCFFLHYISLARNMFEGSIPSTLSRCAILNSLNVSDNRFDGYVEFTAIWSFKRLRKLDLSNNSLSGYLTNGVSGIYNLKEILLQGNQFSGQLPSDIGLCRHLNRLDFSDNQFSGELPESLGRVSSLSYFKASNNLLTGELPQSIGNMTSLEYLDLSNNQFTGSIPHSLGGLISLTYLSISNNKLVGNIPLSMVSCTKLSVIRLDGNRFNGSIPEGLFGLGLEEIDFSNNDLTGSIPAGSNRLLETLTDLDLSKNHLQGTIPAEISLLSKLRYLNLSWNDLHSQVPPEFGLLRNLTVLDLRNSALYGVIPDGICDSGNLAILELDGNSLKGSIPNEIGNCSSLYLLSLSHNNLSDAIPRSMSKLNKLKILRLEFNELSGEIPMEFGLLQNLLAANISYNRLTGRLPTGSIFPNLDKTSLEGNLGLCSPLLKGPCKMNVQKPLVLNPNAFNNQAGSDRHRSESSMSSTIHRHMFLSVSAIIAISASFVIILGVVAICILNVSVRKRLSFVDNALESMFSSSSRSSSPPSGKFILFDSHSSIDRISNLESLLNKASEVGEGVFGTVYKIPLGSHGRMVAMKKLITSNIIQYPEDFDREVRILGKARHPNLIALKGYYWTPQLQILVTEYAPNGSLQSKLHERLPSTPPLSWPNRFKILLGTAKGLAHLHHSYRPPIIHYNIKPSNILLDENYNPKISDFGLAQLLTKLEKHVMSNRFKTALGYVAPELACQSIRVNEKCDVYGFGVMILELVTGKRPIEYGEDNVLILNEHVRILLEQGSVLECVDSTMNEYPNDEVLPVLKLAMVCISQIPSSRPSMTEVVQILQLIQTPVTHRMEMF >OIW16303 pep chromosome:LupAngTanjil_v1.0:LG02:23635987:23639357:1 gene:TanjilG_19019 transcript:OIW16303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDAKVDRSDEKKENEVVLPGFRFHPTDEELVGFYLMRKVEKKSLRIELIKQIDIYKYDPWDLPKVSSVGEKEWYFFCKRGRKYRNSIRPNRVTKSGFWKATGIDKPIYSSSLEECVIGLKKSLVYYRGSAGKGTKTDWMMHEFRLPLPNANTSTNNPQSNDLHLEAEVWTLCRILKRIPSYKKYTPPLKHSKPNQSNSSTSKTCSFESDNTNPYLTFTDSVVVQQNETKPVIEHVDQRNHFFLTQFANVAQPPTTLSYPTFWNQNNVVDDVFANENWDDLRSVVQFAMDPSKDYYHCK >OIW16368 pep chromosome:LupAngTanjil_v1.0:LG02:24081227:24081883:1 gene:TanjilG_19084 transcript:OIW16368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKRPAATAAMELVPAAEPRYRGVRKRPWGRFAAEIRDPIKKARVWLGTFDSAEEAARAYDAAAVSLRGPKAKTNFPINPSPFYNHHANDPYFDHHRYYATTAANGGGAGFNDHGVINPHRPASSGMSSTVESFSGPRPPSAVPPPQMTRRYPRTPPLVAEDCHSDCDSSSSVVDDGDDIASSSFKAPLPFDLNVLPLDVDAEVANGDDELQRTALCL >OIW17224 pep chromosome:LupAngTanjil_v1.0:LG02:3635744:3638584:1 gene:TanjilG_02513 transcript:OIW17224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAGVKTLSPVPIEPFHNTPSWPPCLHVKVQRRPLELSIVATASSYEEKNDVGKEGTIRKYDAIQRVVPRKRTDRDFILEPVIVNPKVELASAIWDMINSTYDGLDRGKYPIRSAEGTGGAYFMLDLTGQKYVSVFKPIDEEPLAVNNPRGLPLSLDGEGLKKGTRVGQGAFREVAAYILDHPMSGRRSLFGDGKGFAGVPPTFMVKCLHKGFYHPGDLTAKIGSMQMFVENNGSCEDMGPGAFPVKEVHKISVLDIRLANADRHAGNILLGTEEDNDHTILIPIDHGYCLPTSFEDCTFEWLYWPQARQPYSAETVEYINSLDAEEDIALLKFHGWDLPTECARTLQISTMLLKKGVARKLTPFTIGSIMCRESLSKESMIEEIVQAAMDSVLPGTSEATFLDSVSEIMDRRLDEIVSSSPL >OIW16624 pep chromosome:LupAngTanjil_v1.0:LG02:19428264:19429106:1 gene:TanjilG_01863 transcript:OIW16624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLLMNPPRQGSVVIRRVWRSNVEAEFHLIRNIITSYPVIAMDTEFPGLVIRSESNFRHRKPSENYALLKANVDRLHLIQVGLTLSDKHGNLPNLGTPYSFIWEFNFCDFDVSRDLHAPESIALLRRQGIDFEMNRKFGIHLMHFRQLLLRSRILGRRNQVSWVTFHSAYDFGFLVKILTRRPLPEELAGFLHTVRIFFGEMVFDVKHVMKFCSNLYGGLDRICQTLRVDRVAGNSHQAGSDSLLTLHAFHKIKQLYFGTKNSDELINFAGVLYDLEENY >OIW17068 pep chromosome:LupAngTanjil_v1.0:LG02:6182542:6188676:-1 gene:TanjilG_15651 transcript:OIW17068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCSSCIRSLVVQAGTYAKRLETNSIGGRSLQQVSRISYWRNREFKKIIGMMVDTGSMSSRDGPLVDNMMAEKYDEGRFASGGWKSEDGRLDCGYSSFQGKRVTMEDFYDTKALSIGGRSICLFGIFDGHGGSRAAEYLKDHLFDNLMKHPQFLTDAKFAISETYQQTDADFLNVEKETFRDDGSTASTAVLVDNHLYVANVGDSRTIISKAGKAIALSEDHKPNRSDERKRIENAGGVVMWAGTWRVGGVLAMSRAFGNRMLKPFVVAEPEIQDQEIDEQIELLILASDGLWDVIQNEDAVSLARTEEEPEAAARKLTEAAFSRGSADNITCIVVRFHHDKADPINPDKAESASVEPESTT >OIW16386 pep chromosome:LupAngTanjil_v1.0:LG02:24189615:24191028:-1 gene:TanjilG_19102 transcript:OIW16386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSVTTDPCDNDSEPFVEVDPTGRYGKYSELLGCGAVKKVYRAFDQEEGIEVAWNQVNLRNFCDDPAMMDRLYSEVRLLRSMTNKHIIALYNVWKDGQKNTLNFITEVCTSGNLREYRKKHKHVSLKALKKWSNQILKGLNYLHMHDPCIIHRDLNCSNVFVNGNTGQVKIGDLGLAAIVGTNHIAHSILGTPEFMAPELYDEDYTELVDIYSFGLCVLEMVTLEIPYSECDSVAKIYKKVTSGVRPEALNKVQDAEVKVFIEKCLAQPRARPSAAELLEDSFFDELVEDDDENDDCTCSY >OIW16921 pep chromosome:LupAngTanjil_v1.0:LG02:11076506:11078880:-1 gene:TanjilG_19226 transcript:OIW16921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTVDSFSDHKLCGYLCAVLTVTPSQSDPSTIPLFNESCEILSGNGAEVGFRSQNGIVLSPVDSSLPNPTTDSEQCDDSGGTSSKHNGCTVMPSSSRVRKMRKFGMVNGSMSVIHQIHALVSCNCLKIDARVVRVEARECDTGEARAVVLIDVYLPVDLWSGWQFPKLKSIAGAIFRHLSEEEAATFECNDSDEEEIEGYVAEFAVVEGDVGEEEVI >OIW16609 pep chromosome:LupAngTanjil_v1.0:LG02:20193329:20195706:-1 gene:TanjilG_02815 transcript:OIW16609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLPPQIDYYIRESIDHCLGLPVSSQTLELKLRAFEEWHRQLHHQNQSLLAKLKEKDELIERTRSEATMNAQAVKRFVEENEKLVLECENLMKQCQKWEKECALYDHDREALMEFGNEADERAQEAHMRVQDLEQKLMMLELEVSELKKSKHQNELNDSTSASSLGEENLLDSLLETVTGKDDSSTYAFLDAHNENESCKKLLTMWNSLKPSTRRVLSLVTEVKSLENDKEHLRINLHRAEEEVKLLFDENGILDKENKRLVRQCKERNLLGSGGKLTSSTSAKSNKRKSSPRTGSPMERKIDFDDIDSARQPLSPLRNNSPGCRMYKKL >OIW17432 pep chromosome:LupAngTanjil_v1.0:LG02:1834800:1840451:-1 gene:TanjilG_22544 transcript:OIW17432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSKGFDFNADKVLATEFLSGFADSNGEPKYLNILQDVANHKTRAVEIDLEDLINYKDLDEEFLRRVTENTRRYIGIFADAIDELMPESTEHFIDDDHDILMTQRSDEGAEGADGSDPLQKMPPAIKRYFEVYIKASSKGRPFTIREVKSSYIGQLVRISGIVTRCSDVKPLMQVAVYTCEDCGFEIYQEVTARVFMPLFECPSRRCKTNRNKGNVILQLRASKFLRFQEAKIQELAEHVPKGHIPRTMTVHFRGELTRKVAPGDVVELSGIFLPIPYTGFRAMRAGLVADTYLEAMSVTHFKKKYEEYELVGDEEEQIARLAEDGEIYNKLARSLAPEIFGHEDIKKALLLLLVGAPHRKLKDGMKIRGDLHICLMGDPGVAKSQLLKHIITVAPRGVYTTGKGSSGVGLTAAVMKDPVTNEMVLEGGALVLADMGICAIDEFDKMDESDRTAIHEVMEQQTVSIAKAGITTSLNARTAVLAAANPAWGRYDLRRTPAENINLPPALLSRFDLLWLILDRADMDSDLEMARHIVHVHQYRESPALGFSPLEPSVLRAYISSARRLSPTVPRELEEYIATAYSSIRQEEAKSTTPHSYTTIRTLLSILRISAALARLRFSETVAQSDVDEALRLMQMSKFSLYSEERKKSGLDPISDIYSILRDGAARSNRMDVSYTEALNWISRTVYSEAQLKECLEEYAALNVWQIHPHTFDIRFIDT >OIW16625 pep chromosome:LupAngTanjil_v1.0:LG02:19419258:19419515:1 gene:TanjilG_01864 transcript:OIW16625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFFNIDDSRFTQVDEIQCLPYFTRHSWGFFRRRTKLLCRKCGNHIGNSHNGFTSSSGDSSPMTNSSNETKYDIRIRALQPSSFE >OIW16869 pep chromosome:LupAngTanjil_v1.0:LG02:12651224:12652384:-1 gene:TanjilG_16449 transcript:OIW16869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQFKSSAMSTHTDSSPIPSITTLPLNLHFNLHHVLPLLLLLFILIQFPPPATAQLPNTLTPPPPDNTISNVQFNKSMVMALVILVVVFVLLGFVSVYTRQCTERRMRGRHDLSIPIIGSNHRPRGLDREIIETFPTFIYSTVKSLKIGMATLECAVCLNEFQDDEKLRLIPVCNHVFHAECIDAWLVNHSTCPVCRANLVSTPSEVVPFMTIQLPDQTDPEPDPVHVDEFSGRQGTVMKESPKLSNNNSVNQNRPRRSRSTGFRFTNLLPRSHSLVQRGENLERFTLRLPEEVRNQLVTSTLSRTKSLGVAFTPESSERRGYRTRSVGSGCGRNNLERLDQSDRRMFRWMSRAGSNISKKVTEFNKDDVGERSSDRLFSGKENDM >OIW16682 pep chromosome:LupAngTanjil_v1.0:LG02:18407437:18410332:1 gene:TanjilG_28739 transcript:OIW16682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QSDQKWMDNGNSRNAKWWYSTFHTVTAMIGAGVLSLPYAMAYLGWGPGTLMLLLSWCLTLNTMWQMIQLHECVPGTRFDRYIDLGRHAFGPKLGPWIVLPQQLIVQVGCDIVYMVTGGKCLKKFMEIACTNCTPIKQSYWIVMFGAIHFFLSQLPNFNSVAAVSLAAAVMSLCYSTISWGACLARGRVDNVSYAYKKTTTTDLMFRIFNALGDVSFAFAGHAVVLEIQATIPSTPEKPSKVSMWKGAVGAYFINAVCYFPVALIGYWTFGRDVPDNILLALEKPAWLIASANLMVFVHVVGSYQVYAMPVFDLIEKMMIKRLNFPTGLPLRLVARSSYVAFTLFIGVTFPFFGDLLGFFGGFGFAPTSYFLPSIMWLIIKKPKRFSINWFINWVSIYIGVCIMLASTIGGLRNIVTDASTYSFYT >OIW16970 pep chromosome:LupAngTanjil_v1.0:LG02:8757006:8757431:1 gene:TanjilG_32837 transcript:OIW16970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKNFKDAEIHEKKQTDPSHGPLTRRFAAQESKKSTLPVSNSNGSGNTMITDDELKSLVDHPTPMSLEQSKPMYSDPDQMEEVEMEDISEVPILDIDNCDANKQDLETDQENLVKFAILFPPSPPKTFFLN >OIW16133 pep chromosome:LupAngTanjil_v1.0:LG02:22061831:22070969:-1 gene:TanjilG_18848 transcript:OIW16133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTGAPLMRHDAPKSGATLMRQNRCAMISTDAPSYTALHHTFLGQGMKLMRVGSVCFPQSRHEADACLLDQGGLLSSVRLALVDAILNFLILHGPPLDAIVKMEYEDCDLQVLCPAFQTDAGGVEKTSVNVISLPKLSLLCDVPASVKVEGSEILLSSVDNGRKTSLQIDFPASKVKKEINEDVDALDHLVLKERQRMLLARKLSGSSAGFEGHSGGLLENIIDEKVNDEIHSVDGKTTITRDQCYEIPERSNASLSVLPPGATTGLLLSPNFASPISTKSLLSAGLQEHDHEFEPRGSTMQFDSYVQQGIMPIKNDSSSTSTCPTSVKIKDEPWDNNDLNNVDKDAIGSISVEIPNVKSEWEVHNEYHHDDQVEHISLIDRLNFIMSGEDSSSNIATSYPSLKKIWPSAFIASSSVSESAEYSGIKHIRKRKKTATDSVQTALEEDAPGLLQALLDKGVLVDEIKLYGESGDDEPLDESLCEDSFSELEAVISKIFSQRHSFFKIPITRVTKASRASYCLACLISLVEQTQYLQFRKWPVEWGWCRDLQSFIFVFERHNRIVLERPEYGYATYFFELVDSLPTVWQIKRLVTTMKLTTCSRISLIENKELLVGEDLSEGEAKVLMEYGWTPNSGLGTMLNYRDRVVHDRKNEKDTSEWRSKIGKLLMDGYNSGTIVMPSIPKKVEQYRCAGSPISD >OIW16647 pep chromosome:LupAngTanjil_v1.0:LG02:18976383:18986282:1 gene:TanjilG_23149 transcript:OIW16647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDALDKAFSDLVGTIKSWIPWRPKPTNVSRDFWMPDRSCRVCYECDSHFTLFNRRHHCRLCGRIFCANCTTNSIPAPFSSRRNSQDELEKIRACNYCYKQWEQGKVALDDDIQPSNLDRSTSTSSVASSKTSGSANSSNMTLCSLPYSVGSFQQMQQDSSLDLYQSPMSGIGSEGEDLSALGGTDNLVAELGNPLPKQYDYSINRTDNDEDECGVYQSDSDTRHYALETSYYGQAEFAGIRNTDGSHQVHPDGENINPKFSSKPSFGAQGLEGDAIVEKNEDGPNICDENEAPSSLYVSEDVDLEPVDFRNNGLLWLPPEPEDEEDEREATLFDEDDNDVSAVGEHHLRETYREEHKKVMKNVVDGHFRALITQLLQVENLPVEDNDKNSWLEIITSLSWEAATLLKPDMSKGGGMDLAGYVKIKCIACGSRIESMVVKGVVCKKNVAHRRMMSKVDKARILILGGALEYQRVTNLLCSVDTLLQQETDHLKMAVAKIASHHPNILLVEKSVSRYAQEYLLAKDISLVLNLKRPLLERIARCTGTQIVPSIDHLSSQKLGCCETFHVEKFIEDLSSTGQGGKKTVKTLMCFEGCPKPLGCTILLRGADVDELKKVKHVVQYGVFAAYHLALETSFLADEGVSLPELPLNSLALPNKSSSIQRSISTVPGFSSPGNDKSQGLEPDTEPWRTKIVTVADLASPASTTGPCVLSSQSMPPGSRVNHSTAFTNSVVSSGHSISDSYHKKILPISSKYRNEMESKQTLVEETSVVGNTLTVTDGPTLNGKIDLNIVAGTPQNGNRKVDQFSHSEMLSPEDVQKYSAKPDIINEDPVPLKEEFPPSPSDHQSILVSLSSRCVWKGTMCERSHLFRIKYYGSFDKPLGRFLRDHLFDQSYRCHSCEMPSEAHVQCYTHQQGTLTISVMKIMEILLPGERDGKIWMWHRCLRCPRINGFPPATRRIVMSDAAWGLSFGKFLELSFSNHAAASRVASCGHSLHRDCLRFYGFGEMVACFRYATIDVHSVYLPPHKLVFDYDNQGWIQKEAYEVVNRGESLFSDVLTGLSQISDKRSSSVPVGSGLKTPELRCQVAELEGILQKEKVEFEESVHNILNQEKRKGQHGIDILEINRLRRQLLFQSYMWDHRLICAANLPNSSNETGLSSSVADDHERPKDENQTSVACVEERSFSSVNPTDSDAKVNKSPNLGGGQGGVDGEIFHSDALHEEIDIVKYTNHVKEDQPTLSINQSISYQSELFKPELGVRRTVSDGQFPAMPSLSDTLDAKWIGEDHLGFGIQKDNTSANPDTFMADYLVTTVHKEANNIGDLAYDQNGPKSIYLTSKSHDNMEDSLSWLGMPFLNFYRQFNKNFFASTQNFDTIVDYNPVYLSSFRKLELQGRARLLLPIGVNDTVIPVYDDEPSSIIAYALTSPEYHFQVTDEGERPKDGFELASSYFSDSSTFHSFTFADETAFDSQKSFGSIEDMLLSISGSCTSSMLDPMSYTKAMHARISFGEDGPLGKAKYSVTCYYAKRFEALRRVCCPSELDYIRSLSRCKKWRAQGGKSNVFFAKTLDDRFIIKQVTKTELESFIKFGPEYFKYLSESIGTGSPTCLAKILGIYQVTSKHLKGGKESKMDVLVMENVLFRRTVTRLYDLKGSSRSRYNPDSSGKNKVLLDQNLIEAMPTSPIFVGNKAKRLLERGVWNDTGFLASVDVMDYSLLVGVDEEKHELVIGIIDFMRQYTWDKHLETWVKASGILGGPKNTPPTVISPKQYKKRFRKAMTTYFLMLPDQWSPPNIIHSHSKPDLCEHKNTQLKTLAE >OIW16188 pep chromosome:LupAngTanjil_v1.0:LG02:22637589:22638305:-1 gene:TanjilG_18903 transcript:OIW16188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTGMHTVVDMFCVGCGSIIGWKYDTAHEKSQKYKEGKCVIERFKLSGPDGSNYWVSNHEPHVGGSDADDV >OIW17428 pep chromosome:LupAngTanjil_v1.0:LG02:1808976:1813496:-1 gene:TanjilG_22540 transcript:OIW17428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPSDSNLNPKCFLFNAAAGASAGVIAATFVCPLDVIKTRLQVHGPPHLPNATVKVGSVIIGSLEQIFRKEGLRGMYRGLAPTVLALIPNWAAYFTMYEHLKSLLLSDDGSHHLPIGANMVAASGAGAATIMFTNPLWVVKTRLQTQGMRPGVVPYRSTLSALRRIAHEEGIRGLYSGLVPALAGISHVAIQFPTYETIKFYLADQDDSAVDKLGARDVAIASSVSKIFASTLTYPHEVVRSRLQEQGHHSEKRYSGMIDCVRKVFQQEGIPGFYRGCATNLFRTTPAAVITFTSFEMIHRFLVSVFPSDPQPNIL >OIW16154 pep chromosome:LupAngTanjil_v1.0:LG02:22324285:22324854:1 gene:TanjilG_18869 transcript:OIW16154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNISIFMFLSLLFTSIPIPVHSKYYTETSHGFHLQEKVTYLHFYFFDILSGKNPTAVEIAHPNTTFGANSTTQFGKLYAIHDYLREGPNKNSKVIGNAQGLYLSSSLDDSVTLLVVYIDIGFTTGKFSGSSISVFSRNPITEANRELAVVGGRGKFKMARGFAETKTQYLNITNGDAIVEYKVTVVHY >OIW16249 pep chromosome:LupAngTanjil_v1.0:LG02:23138033:23153492:1 gene:TanjilG_18964 transcript:OIW16249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLGRQTSSMEGAGHQVVSAFVEIVFDNSDNRIPVDKEEVRLRRTIGAKKDEYFLDGKHITKTEVMNLLESAGFSRSNPYYVVQQGKIASLTLMKDSERLDLLKEIGGTRVYEERRRESNKRKQIIQVVQYLDERLKELDEEKEELRKYQQLDKQRKSLEYAIYNKEVQDAQQKLTEIEDARAKISETSAKMYNDVLDAHEKSKDLENTLKDVTKELNNFNKEKEVIEKRRTEALKKHTELELDVKDLKDKISGNRKAKEDAVKQLGTLEKEIQDSMNELDKISPSYEDQIKKESAITKRIKEREKQLSILYQKQGRATQFSSKAARDKWLQKEIEDLKGVLSSNTGQASIHLSMHEGSRKRGTYSKKTTEPFGREIQQVAAMEQKLMEEIDRLNGQLHECDECIMSRRSEITTLESLITKSREGFNHHKEQRDNLHDERKSLWAKENQLTAEIDKLRAEKEKAEKSLDHAIPGDVRRGLNSVRKICREYKISGVHGPIMELLNCDEKFFTAVEVTAGNSLFHVVVDNDETSTQIIRHLNSQKGGRVTFIPLNRVKAPRITYPQSSDVIPLLKKLNFKHEYTPAFSQVFARTVICKNLDVASRVARNDGLDCITLEGDQVSKKGSMTGGFYDHRRSKLKFMNIIKQNEDNIYEREVDDKITKIVTEQQKIDAKRAHDKSEVEQLKQDIANANKQKQLVSKAIANKEKSLVDVQNQIDQLKASMAVKQAEMGTELIDHLTLEEKKLLSDLNPEIKDLKEKLVACKADRVETETRKSELETNLTTNLRRRKQELEAVISSVDADFLDDDVELKGKEELSSAKKLVDDATTQLRGVSESIKDRTSQIKKIKDEMNKLKSLEDDYERRLQEEAKELEQLLSKKNIYSSKEDEYTKKIRELGPLTSDAFEAYKRRNIKDLHKMLHRCNEQLQQFSHVNKKALDQYINFTEQREELQKRQAELDAGDEKIRELISVLDQRKDESIERTFKGVARHFREVFSELVQGGHGHLVMMKKKDNDNDDDQDEDGPREANPEGRVEKYIGVKVSFTGQGETQSMKQLSGGQKTVVALTLIFAIQRCDPAPFYLFDEIDAALDPQYRTAVGNVMGSNPINNIVTCEDMIRRLADIANTQFITTTFRPELVKVADKIYGVTHKNRVSRVNVVSKEDALEFIEHDQTHNAE >OIW16907 pep chromosome:LupAngTanjil_v1.0:LG02:10670539:10677790:-1 gene:TanjilG_19212 transcript:OIW16907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSIQENSEHSSSSVIALAIKGNKKSKYVVQWALNKFVPEGMIIFKLIHVHPEGNVIPLSQVRSDVVTAFKNEVEWQTNQMLLPFKKLCEQRKVHVDVVILESDDVATAVAEEVAKGPTTKLVVGASSNGIFTSKHTGLSAKASICTPRFCTVYAVSKRKLLIRPSDVQIDESIMHDDASETSFSSNSSPKFTSTSQIDSGSVASYTHMHSSYLSTQQFRARSSKNKTLLSKSSSISKTNHSRGQSLDIGRENTAMSSARNSDFAQCRASSCKSIISDPGSSIYDQNFTKDVPLATELPSRNRQALQLNLLLELHLMAYLQVYAVSKRKLLIRPSDVQIDESIMHDDASETSFSSNSSPKFTSTSQIDSGSVASYTHMHSSYLSTQQFRARSSKNKTLLSKSSSISKTNHSRGQSLDIGRENTAMSSARNSDFAQCRASSCKSIISDPGSSIYDQNFTKDVPLATELPSRNRQANNNLELEKLRIKLRHAQGMHVVAQRENIDASRKLNELSKKRSEESMKLKEIIAKEEMAKKLANYQRRKYEAAEKEVKYLKECAEREATERKEVELKAIHAAKKKVKLKDALSGSSPQYRKFTWDEIMSATSSFSDDLKIGMGAYGIVYKCSLYHTTVAVKVLHSCGNHKTKQFQQELEILSKIRHPNLLLLLGACPDHGCLVYEYMENGNLEDRLLRKNSTAPIPWFERYRIAWEIASALAFLHSSKPTPIIHRDLKPANILLGRNLVSKIGDIGLSIMLNSDNLSTMYKDTEPVGTLCYIDPEYQRSGVISPKSDVYAFGMVILQLLTAKPAIALTHVVERAIDAGNLTDILDPMAGTWPIHETLDLARLGLKCAELQRRDRPDLKDHVLPTLERLKEVADRTQHSASVVTIKPRPPNHFICPILQDVMDDPCVAADGYTYDCKAIEKWFQENDKSPITNMILPHKNLIPNYTLLSAIIEWKSRKF >OIW16979 pep chromosome:LupAngTanjil_v1.0:LG02:8908688:8934211:-1 gene:TanjilG_32846 transcript:OIW16979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTATTTADDVDNNNTAAVHDPHFTVTINSARHSHTINNHQEDHDDDDDDDDENDPFHIPQTKNAPIETLRRWRQAALVLNASRRFRYTLDLKKEEEKEKKIRLIRAHAQVIRVKGLSNLLKSNPEKGISGDDADLSKRKNAFGTNTYPRKKGRSFWRFLWEAWQDLTLIILIIAAIVSLVLGIKTEGLEEGWYDGGSIAFAVILVIVVTAVSDYRQSLQFKNLNAEKQNIQLEVIRGGRTIKISIFDIVVGDVIPLKIGDQVPADGVLITGHSLAIDESSMTGESKIVHKDHKSPFLMSGCKVADGVGVMLVTSVGINTEWGLLMASISEDTGEETPLQVRLNGVATFIGVVGLSVAVLVLAVLLGRFFSGNTQDLEGKTQFTAGKTSISDAVDGVIKIFTMAVTIVVVAVPEGLPLAVTLTLAYSMRKMMADKALVRRLSACETMGSATTICSDKTGTLTLNQMTVVEAYAGRKKLNPVDDSSKLHPEVLSLLNEGISQNSTGNVFVPKDGGETEVTGSPTEKAILSWAVKLGMNFDLIRSNTTILHVFPFNSEKKRGGVALKLVDSGVHIHWKGAAEIVLGACTQYLDSNGDLQSIEKEKAFFREAIDDMAARSLRCVAIAYRSYELDKVPSNEEELDQWSLPEEELVLLTIVGIKDPCRPGVKEAVRICTDAGVKVRMVTGDNLQTAKAIALECGILASIEDAVEPNIIEGKSFRELPEKEREQVAKKITVMGRSSPNDKLLLVQALRKGGEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKESSDIIILDDNFASVVKVVRWGRSVYANIQKFIQFQLTVNVAALVINVVAAISSGDVPLNAVQLLWVNLIMDTLGALALATEPPTDQLMNRSPVGRREPLITNIMWRNLLVQAVYQVAILLVLKFRGESILPKQDTRAHDIQMKNTLIFNAFVMCQIFNEFNARKPEEMNVFRGVTKNKLFVGIVGVTFILQIIIIEFLGKFTSTVRLDWKLWLASLIMGVFSWKIHSGSENTIVPICLEATSAIEEVSGCRSTTIGQQDQQEEVDQNLIAMVDSGVHIHWKGAAEIVLGACTQYLDSNGDLQSIEKEKAFFREAIDDMAARSLRCVAIAYRSYELDKVPSNEEELDQWSLPEEELVLLTIVGIKDPCRPGVKEAVRICTDAGVKVRMVTGDNLQTAKAIALECGILASIEDAVEPNIIEGKSFRELPEKEREQVAKKITVMGRSSPNDKLLLVQALRKGGEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKESSDIIILDDNFASVVKVVRWGRSVYANIQKFIQFQLTVNVAALVINVVAAISSGDVPLNAVQLLWVNLIMDTLGALALATEPPTDQLMNRSPVGRREPLITNIMWRNLLVQAVYQVAILLVLKFRGESILPKQDTRAHDIQMKNTLIFNAFVMCQIFNEFNARKPEEMNVFRGVTKNKLFVGIVGVTFILQIIIIEFLGKFTSTVRLDWKLWLASLIMGVFSWKIHSGSENTIVPICLEATSAIEEVSGCRSTTIGQQDQQEEVDQNLIAMVQRCFILS >OIW16185 pep chromosome:LupAngTanjil_v1.0:LG02:22621889:22624031:1 gene:TanjilG_18900 transcript:OIW16185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAMWKPQKFKCIYLYATLYVFTLTLPSATAMYWAFGDKLLDHSNAFALLPRSAWRDAAVVLMLIHQFITFGFACTPLYFVWEKVIGMHDTKSIFLRALARLPVVIPIWFLAIIFPFFGPINSAVGALLVSFTVYIIPASAHMLTFKSASARQNAAEKLPFFIPSWTLMYVINAFVVVWVLVVGFGFGGWASMTNFIRQVDTFGLFAKCYQCPPKVPATNQTLHH >OIW16912 pep chromosome:LupAngTanjil_v1.0:LG02:10737277:10742552:1 gene:TanjilG_19217 transcript:OIW16912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSNKSSIFVEAILLPYTLLLAGKSEVSHSCNAPGEKTKELSEYCISDHNKEQVSNKRQKLAEESISSSIDDFHTSIYKLNPCSNSFMKSKEIKKCLELNSSHDISCLVTFRSLQNEKVVCPAILQSTSPLKDRGFKPKPSARKILLEFSFERFLKYQLLQIGGYYIIEHNKKDCFCTTKDAGFGSCSKFLVDSGKNIWSLSFISDEVLLNYESIYKSAEDSLYHPSIDGVLPKDKIEQLLLKSNIVDYSGAYSDVFLYLPVKLTGLLEDNIMESKDSQSQQDAVSEESANIPLSNGTVVAMPTLCSGYQSSNCLFPEGNLVSFEGNVVEIQDMGSSFSNLCLSGSLDALRLKGLVGTKSSFCIHVLVHHHIVRIFGSISKHDFPTGFGPGVTATFHRILDARAQNKFMLLPVSFIVIKSIEVYDKQCSARSSRLRPTKEPCNASPDSFTCLISQLHWCPSHKQIMLRCRVVAVVVLILERKSTSLNAETKMNARETNLDIPLACFLVDDGSSSCCCWANSESAATLLRLCEGFPASYNLGNVLKKYKRIIAKNHGSFIDSPYQDVVVSDASDNALCSSDENLLKFVIFNACIGRVWNVVASVMDVEEVKQLKKEYPKEMVNMQTTQNIWAEEVSCPHTVAEARNMIQKLTNS >OIW16261 pep chromosome:LupAngTanjil_v1.0:LG02:23247860:23249725:-1 gene:TanjilG_18976 transcript:OIW16261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMFEDMGFCGDFDMLCGGSLGEVDIAAKQSEADAVVEDDYSDEELDVDELERRMWRDKMLHKRLKEQSKAKEGIDASKQRQSQEQARRKKMSRAQDGILKYMLKMMEVCKAQGFVYGIIPEKGKPVTGASDNLREWWKDKVRFDRNGPAAIAKYQADNAIPGKNDGCNSIGPMPHTLQELQDTTLGSLLSALMQHCDPPQRRFPLEKGVPPPWWPTGNEEWWPQIGLPKDQCPPPYKKPHDLKKAWKVGVLTAVIKHMSPDIAKIRKLVRQSKCLQDKMTAKESATWLAIINQEEAMARELYPDYCPTLSSTGGSGSSVINDCSEYDIDGAEDEPNFDVEDRKPEYLRPSNLAMDKMSGRLPMPLQPVQRLSLPIKGEVITNLDLIRKRKVSSDFNMMEQKIYTCEHPQCPYSEVRLGFEDRSSRDNHQLNCPYRSNSADYGAPNFHVNEVKPVIFPQSYVQLQPNTTSQPANLVPSSFDMTGLGVPEDGMKMISNLMSIYDTNVLGNKIGNSSNGLVAAENQNLPLPQPSIQQQDNFFPGQGMVMEGNFFAREEDQFDRFKAINSPFETNHNNNNNNNNNFQFMFGSSCDLASFDFKDDIQGLGMDPLHKQPNVSIWYQ >OIW17446 pep chromosome:LupAngTanjil_v1.0:LG02:1939710:1944900:1 gene:TanjilG_22558 transcript:OIW17446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDCCAVCAEPLEWVAYGSCLHRDVCSTCVSRLRFICDDRRCCICKTECDVVFITKALGDYTRMINDFSVLPSNIREGKVGSYWYHEDTNAYFDDMDHYKMIKAMCRLSCSVCDKMEEQPHDASRRRERFKNIGQLKGHLFHRHKLHMCSLCLEGRKIFICEQKLYSRAQLNQHISTGDSVVDGSESERGGFMGHPMCEFCRTPFYGDNELYTHMSTEHYTCHICQRQHPGQYEYYKNYDDLEIHFRQQHFLCEDEACLAKKFVVFQSEAEMKRHNATEHGGRMSRSKRNAALQASLFVSLQIPTSFRYRHGNEQNQRRGRARTFRRDVSDNQLSMAIEASLETANAEQMFHDPSTSSGQVADDDGNDDVDSIIQPFESLATSGSEPSSRYLQALGHSSRGAPLEDSSFPPLATPSSNGQQSSKNELEGSSTNTMAARLRRRGNKKVSVINSGNAWPAAGRGPIETSSIPTQSKLPANVVPGVSSNSGLVKTVIRNGGFPSTYASSIQAAQRTAHGQWSAGSLQEKRDNGRIVHSTSAPNLTKSNPIEGSISDFPPISAAQVSKLPASSSQSLLNEENVHSANKSLVEKIRGALDFDEDRYTLFKDISAQFRQSTIDTDTYLDYVQQFGLSHLVLEMARLCPDPQKQKELVESYNFSLQRNALRENYRVHGITPTHGKDSNTSKKGKGKSVDVRGSHSTERLAGSFLSTVHQLQSSYKTSEENSEVLSKGDYRTNKGKLKIEQQINTNSGTQPIKKLSGQSETSAGSLSSQNREDVGGGSKQRKKASKFLKVRLGDGSVSALLDRESSHIESDFGITNGLDGDKNNSGGLPARGVWRKGGAQRLFP >OIW16668 pep chromosome:LupAngTanjil_v1.0:LG02:18035757:18041018:1 gene:TanjilG_28725 transcript:OIW16668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSITFSSFKSPSSISHRPILKLNHSPTPFFSFLRPSLLRRNRPLSAAANATNFATLSWFPPPHNPPDDFGGWTVVEYPVQPNKSTKNEFRSYVIVGIGVSLTVLVALFARFSLSRKGFKIQLVRPLQDLQVIWNPVKTQHGQNKIAEIDTYNESNLMSDATQEAVPVDIAVNATSVVEKLERVRIPASVDSTQEEALSVLKSLKIIEDDVEANELCTRREYARWVVKLSSSLERNPRHMIAPIVSPSDSIVTAFDDITGEDPDFRFIQALAEAGVLPSKLSWKDSSNSSEYDQVNVNFFPHRFISRQDLVDWRAQLEYDFFSGVIDQISIKKAGYMDVKEIISPAVYADMSEGDRSILRNVFGQSKRFQPNKPSTKAQAAVALTSGRMNEAIYAELSRIEAENSARQAVAEEIRSELLGQGDIQRFWDEKLSDEKSRGSDVERLHHDAVDNLEGEKMKQDKIYAEHLKEKTAMDCQKQLLLSLKKDVDEISEKLESERVIHENEKHIVQKMLRDLESKCEEKLDTKSTLEAEKEALQIFRSWVEDEARRSQARAAVLEEVGRRWKWDDQA >OIW16211 pep chromosome:LupAngTanjil_v1.0:LG02:22850213:22850905:-1 gene:TanjilG_18926 transcript:OIW16211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNVVEVKVGLHCDVCIKKILKAIKKIEDIETYNVDKELNKVIVTGNVTTEEVIKVLQKNGKNATPWEDVLQPQLNC >OIW17126 pep chromosome:LupAngTanjil_v1.0:LG02:4966791:4969934:-1 gene:TanjilG_27280 transcript:OIW17126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFSFSAMIALWCVCGMLVIGVSNSYNPMSNPFLRNSQVTYKYDRIGEVQKQCVSVLSASSELRSEYSGMKGELSFVNGDWMQDGGKFPIMPFDDAGKTFGGFSEDHTPLKLVSFRVTDVDHAHRSKKSVPVNGVMVIDITKYGGFVDSGRDGNFQIWPGHSQLSITFQGLYTESKKNGGERVLCLLGETMLPTREADPANPWGWMKNPGERPLSEDDLILLVLRYPMTFTLTNRIIRGELRSLNRESNTKYFDMVHISSQLGKSAKYTFGLQQTVSKACNPYPYNDNMTNVGGIGVYKGARFCEILEEITRERPLNVVPNWRCNGTDDFCSSLGPFMSDKEIKLTDGGFQDVKLYMQNVICDQAGIKGNTGSTRVSAVFRAVSPSESRFTAAGRSGPSNVSLAAEGIWKSSSGQLCMIGCLGFLDAKESRCNTRICLYIPTTFSIKHRSVILGTLSPIDNSSAFFPLSFEQFVQPSELWNYFNFIHPNYSYSKTDLAGTVLEKNEPFTFTTVIKKSLLTFPKLEDNEAFQESLSLLSEDLTFHVAGFLDPLPDKVQDTRVDIQMEILSVGPLFAHNRYARNGSTWEQETPYHAKAEYTEKQLLINVSAQLSLTGKGYGNFSVLFLEGLYDPHVGKMYLIGCRDVRASWKVLYQSYDLESGLDCLIEVVVAYPPTKARWLVTPTIKISIESQRADDDPLRFNTTRLQTSPIIYRKQREDVLSQRGVEGILRILTLSLVIGSILSQLLYIKDNVDSLPYISLVLLGIQALGYSIPLITGVEALFKKMPSESYDVSYNELQNSEWFNVIDYTVKLLLIVSLLLTLRLLQKVWKSRIRLQTGTTPFGSSYVPSDKLVFLFTFIMHLIGYVIVLIVHGTKSRARTHLIADEHSRSLPVWVTESEEYAGLIQDFFLLPQIIGNLIWQTDCKPIRKLYFIGITVVRLLPHIYDLIRAPAPNPYTSKDSEFIDLSSDFYSKFGDITIPVAAVILAFIVYIQQRCSYEKLSQILTFRQYRLLPSFRYERLKSSETELVSGVNVGAANEKEQVDVE >OIW17390 pep chromosome:LupAngTanjil_v1.0:LG02:1519895:1522462:-1 gene:TanjilG_22502 transcript:OIW17390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASTLSFMALLTLLLIVSNVQCNPNYGEALAKSLLFFQGQRSGRIPQDQQITWRSNSGLYDGHQANVDLSGGYYDAGDNVKFNFPMAFTTTMLSWGTLEYGKRMGPHIKEARTAIRWATDYLMKCATSSPGKLYVGVGDPNVDHKCWERPEDMDTSRTVYWVSSKNPGSDVAAETAAALAAASIVFRKVDPTYSKMLLRTAQNVYQFALQYQGSYSDSLGSAACPFYCSYSGFKDELLWGAAWLFRATNGVKYYNLVKSLGYDDQPDIFSWDNKYAGAHVLLSRRALLNGDKNFEQYNQEAENFICKILPNSPSSSTQYTQGGLMFKLPDSNLQYVTSITFLLTTYSKYMAATKHTFNCGNIVVTPNTIRSVAKRQVDYILGENPLRMSYMVGYGPNFPKRIHHRGSSLPSVTVHPQSIGCQEGFNPFFHSMNPNPNTLVGAIVGGPNQNDGFPDVRDDYSHSEPATYINGALVGPLAYFAGFY >OIW16989 pep chromosome:LupAngTanjil_v1.0:LG02:9215236:9221082:1 gene:TanjilG_32856 transcript:OIW16989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIYLSTPKTEKASEDGENNKLRFGLSSMQGWRASMEDAHAAHPYLDESTSFFGVYDGHGGKAVSKFCAKYLHQQVLKHEAYIAGDLGSSLQKSFLRMDEMMLGQRGWRELAVLGDKMEKLSGMLEGFIWSPKGGEANDRVDDWAFEEGPHSDFSGPNCGSTACVAVIQGNKLVVANAGDSRCVLSRKGQAHNLSKDHKPDLEAEKDRILKAGGFIQVGRVNGSINLTRAIGDMEFKQNKFLPVEKQIVTADPDITSVELCDDDEFLVIACDGIWDCMSSQQLVDFIHGQLKTEDKLSAVCEKVFDRCLAPTAGGEGCDNMTMILIQFKNPSNPNAPVTNQPESSAESSEVDKSAEKTEQN >OIW17172 pep chromosome:LupAngTanjil_v1.0:LG02:4058338:4065009:-1 gene:TanjilG_18127 transcript:OIW17172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRFASKLASSVASSTSKNLICNGVISKRSYVTKDINFGVGARAAILQGVSEVAEAVKVTMGPKGRNVIIEKSHGNPRVTKDGVTVAKSINFKDKSKNLGAELVKQVAKATNTAAGDGTTCATVLTQAILTEGCKSVAAGVNVMDLRSGINKAVDAVITDLKSRTLMISTPEEITQVGTISANGERDIGELIARAMEKVGKEGVITVADGNTLDNELEVVEGMKLTRGYISPYFITDQKTLKCELENPFILIHDKKISGMNSLLRILELAVTNRRPLLVVAEDVDSDALAMLILNKHQAGLKVCAIKAPGFGDNRRASLDDLAVLTGGEVITEDRGLTLDKVQPEMLGTAKKVTVSVDDSIILHGGGDKKLIEERCEQLRLAMDKSSATFDKEKAQERLSKLSGGVAVFKVGGASEAEVGERKDRVTDALNATRAAVEEGIVPGGGVALLYASKVLENLQTRNADEKRGVQIIQNALKAPTFTIASNAGYDGTLVHSKLLEQDNHNLGFDAANGVYVDMVKAGIIDPLKVVRSALVDAASVSLLLTTTEAAVVENVNDKNKPPSRVPDMDDLGY >OIW16773 pep chromosome:LupAngTanjil_v1.0:LG02:15730215:15733070:1 gene:TanjilG_05507 transcript:OIW16773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESESVDSSISSLTMIDEDVNPHQFSSINTKIRSNGPIANSVHELLQCPVCTNSMYPPIHQCHNGHTLCSTCKTRVHNRCPTCRLELGDIRCLALEKIAESLELPCRYGSLGCPEIFPYYSKLKHESICNFRPYNCPYAGSDCSVVGDIPYLVTHLRDDHRVDMHSGCTFNHRYVKSNPMEVENATWMLTVFHCFGQYFCLHFEAFQLGTAPVYMAFLRFMGDERDARTYSYSLEVGGNGRKLTYEGSPRSIRDSHKKVRDSHDGLIVYRNMALFFSGVDKKELKLRVTGRIWKEQQNPEGGVCIPNLYS >OIW16462 pep chromosome:LupAngTanjil_v1.0:LG02:24621390:24624927:-1 gene:TanjilG_19178 transcript:OIW16462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCCQSSVREKSEEKEGNQQQQQQQQPYHLTSSISQPPIPHTDSSSTPPPIPPLGFDGFDGFSEFSLSDLKAATNNFSSELIVSESGEKAPNLVYKGRLVSNREWIAVKKFSKGAWPDPKQFVEEASGVAKLRHPRLANLIGYCCDGDHRLLVAQFMPNDTLAKHLFHWEHQTLEWAMRLRVALYIAQALNYCTSQGRPLYHDLNAYRVLFDQQGDPRLSCFGLMKNSRDGKSYSTNLAYTPPEYLRNGRVTPESVIYSFGTVLLDLLSGKHIPPSHALDMIQGKNNMLLMESHLEGKFSTEEATVVVNLASKCLQYEPRERPDTKELVTTLAPLHTKPEVRSHIMLGIPKHEEAPSIPQRPLSAMGEACSRMDLTAIHQILVSTHYRDDEGTNELSFQEWTQQMRDMLEARKRGDYAFRDKDFKTAIDNYSQFIDVGTMVSPTVFARRSLCYLLCDQPDPALRDAMQAQCVYPDWPTAFYMQSVALAKLDMNKDAADMLNEATTLEEKRQRGGRGS >OIW16932 pep chromosome:LupAngTanjil_v1.0:LG02:10406458:10406703:-1 gene:TanjilG_18620 transcript:OIW16932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGCCWVHQGDMSLTVMACCCGVVLKVLQWWLNRSQGRSFYSGCNIGGYNTVMHGYYDSGGVGCASMMVHMEDVQYDGQLF >OIW16635 pep chromosome:LupAngTanjil_v1.0:LG02:19245056:19245493:-1 gene:TanjilG_01874 transcript:OIW16635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLLTTNDLERIFEKLDMNGDGYVSIDELKWFLEKTGFKFSIEELESLVGKKSFDFNEFMFFYNSILIEIDEEVESDLVKAFKVFDLDGDGFITSQELECVLKRLGLWNGKDCKNMISYYDTNLDGQLDFEEFKNMMVLTTTSLI >OIW16900 pep chromosome:LupAngTanjil_v1.0:LG02:12007481:12010261:-1 gene:TanjilG_01765 transcript:OIW16900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTALSLIESLVLQLHDISAVKFGNFKLKSGISSPVYIDLRLIISYPSLLQKISETLISSVSSISYELVCGVPYTALPIATCVSLAQNIPMVMRRKEIKDYGTAKAIEGDFKPGQSCLIIEDLVTSGTSVLETAAPLRAVGLKISDAVVLIDREQGGRENLLENGVKLHAIIKLTEMVKILRDHGKLDDEMVGVVLRFLAENRKVAALGKVEKPRSTVKALSFGERAKLSKNPTGKRMFEIMVEKESNLCLAADVGTAAELLEIAEKVGPEICLLKTHVDILPDFTPDFGSKLHAIAEKHNFLIFEDRKFADIGNTVTMQYEGGIFHILDWAHIVNAHIISGPGIVDGLKLKGLPRGRGLLLLAEMSSAGNLAKGDYTAAALKIAEEHSDFVIGFISVNPASWPGAPINPSFIQATPGVQMVTGGDALGQQYNTPYSVIHDRGSDIIIVGRGIIKAANPAEAAHEYRLQGWNAYLAKST >OIW16140 pep chromosome:LupAngTanjil_v1.0:LG02:22154149:22161556:1 gene:TanjilG_18855 transcript:OIW16140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSETALELVKHGVTLLFLDVPQYTLVGVDTQVFSVGPIFKGIKMIPPGPHFVYYSSSSREGREFSPIIGFFIDVGPSEVIVRKWDQQEERLVKVSEEEEERYTLAVKNLEFDRQLGPYNLANYEEWKRLSNFISKSIIKRLEPIGGEISVVCENNVVRNTPKTSMEEALDKQLNIDNSATSFHESQTKGCYYTTIPRVVKCKGVSVQELTSLNLDKTQLLETLLAKDYGGSENSLLGELQFSFVAFLMGQSLQAFFQWKSLVSLLFGCTEAPFHTRTQLFTKFIKVIYYQLKYGLQKDRMDETGPSLFDDSWLSADSFLHHLFKDFFSLVQDGSVVDGDLLSWTRKFKELLESNLGWEFQQSSVVDGIYFEENDEFAPVVEILGDEAQAI >OIW16322 pep chromosome:LupAngTanjil_v1.0:LG02:23748024:23749115:-1 gene:TanjilG_19038 transcript:OIW16322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKRGHKSNPRNGVQNCRVSVLVMSFTLMLMLVFVYLLLKTDGEENYTKTNNDSEKLSLDHELPNPKQQLNGFDSLVQFHPTKEFRNGTDLIWQVPESSPKGVLFVAHGCYGRAINFWDRTPECPDCIGLPEERLLVLNALARGFAVITISSARTCWTYGKELLVVKDIIEWWISKRKLEKLPLVALGASSGGYFVSVLASTMKFNSIVIMIAEGMFEEMDVKRDYPPTLFVHMPKDFGRKQKIDEYVEILKDVGIDVGVVECIEFPLSANTLSDRIPGLDQNLSGKLFEFLKGKGFIDKDGYMTRDGRAIKWKEVLEEKNTVSLDKHLVPHIQEELNLAFAYHEMTSVHSDQIFKWFESHIS >OIW16492 pep chromosome:LupAngTanjil_v1.0:LG02:21239434:21251190:1 gene:TanjilG_32162 transcript:OIW16492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLEHFDDFTIASSWERFISDIEAICRLWMADGPKNLMEKGAVLLEYSKNSYKVKSEMKHAMKNYCMEYYFEINCDGKPADWNSTFHDLQLCFGVKEFLVITPQSASGVVLDAPEASKLLSAVAIALSNCSSLWPAFVPVHDPSRKAYIGIQNMGTVFTRRFEADRIGSQVPVKLMHLEGLYELFFSKFAYSTLDLSTHLFKVQFAMRLTYRTLPYDDNNFEGIDPQNAQSGENLTGETSSGTLWDNDCSWSEWYSAEDPVKGFELISIWSEKMVESSMEMAELENDSPHEAEKWLISPSFAPNVLEGSKGNQFGFASQLHLLVDALEMSYEAQFMEDFVSAVENSGSENLKSSLVIPPPTVRDRVLKELFHEGVHLTDYIQGGDKTSRAIKGAPLESLFAQFCLHSLWFGSCNIRAISVLWIEFVREIRWYWEESQPLPRMPANGSIDLSTCLINQKLQMLAVCIEKKCELSEDYQDCIGSEDQGDSLSEEESVVGDDSFVTQINTEDFSGKVDRFPTSDDLHHSGKTIPIVTGKPGDVNLFINKKPSECTRKGSAGIVKSMMLLESNECMHAPFTQEAPIMTEDMHEERLQAVEAFGDSFNFSAQLERDILTSDMSAFKAANPDAIFEDFIRWHSPGDWVEDDIEAEKSKDNWPPIGRLSKRMSESGNLWRNIWNSTPALPASEQKPLLDPNREGEKVLHYLETLKPHQLLEQMVCSSFRAAADTLNRTSYGDLKEMGTKMQQLYLTLAPALKPLQVSHLSAEDSETIEDLRRLCVVFEHIEKLLTLAASLHRKLIRAPRLSREIFSDFYNFYIPRMGQGLIEESVKKEFDKKQVVRNHEREVVSNMFVPPNANQSWRKVLSMGNLLNGHEPILREIIFKLHNRVNGNHYAAPGASVSQQEIESYRMYICGTSNDLRVALSVVSCD >OIW17221 pep chromosome:LupAngTanjil_v1.0:LG02:3609640:3612253:1 gene:TanjilG_02510 transcript:OIW17221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTDMKIYNLFVKQLNGKTLTLKFQSPKISPNSIKHRLFHLTGIPPHHQRLLTGTRHFSDAETTTTTLSCSHNSNTFPTVHLLLRLNGGKGGFGSLLRGAATKAGQKKTNNFDACRDMSGRRLRHVNAEKRLQEWRETEEERKLEKVAEEFLKKQMKKGKKGKGDGEAHKYVAKYREESDKCVADVALSVKEALMNGNKGKRKGEECVKGDAKKLKIWMGKRKMNESDSDYSSGEENDDEGKKEKSIVLNSQNESDSNKDEGSSGSVTGNKQGVDSSGAGSCESGSEEEKETVVEVKVESIGPQSSDTDQVKLGNAVEPVLCDEAVNPATMVYSESVVSGFSAEDNEHHDVNGVASEKLDGSVSLASNITNSEIVNGASKCMEVDLENKTSVNEETPPSIPTLEEPLNFDAFSSAAELEVLGMERLKSELQSRGLKCGGALQERAARLFLLKSTPLDKLPKKLLAKK >OIW17302 pep chromosome:LupAngTanjil_v1.0:LG02:803503:807280:1 gene:TanjilG_22414 transcript:OIW17302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSQLPKKRVAFVLIDGLGDVSLPRFGYKTPLQAAKLPNLDAIASAGVNGLMDPVEVGLACGSDTAHLSLLGYDPRVYYRGRGAFESMGAGLAMSPGDIAFKSNFATLDEKTGIVISRRADRHFEEEGPILCAALDGMKLPSFPQYEVRVRYATEHRCGVVVKGPSLSGNISGTDPLKDNRLLLKVEALDDSHEARHTAAVVNELSKEITKILVSHPVNAKRAAEGKNIANVVLLRGCGIRIEVPPFINKHGLRPCMVAPTKIIAGLGLSLGIDILEAPGATGDYRTLLTSKASAIAKALSAPLQSCPRVFVPGEDEHKAGSSDGYDFGFLHIKAIDDAGHDKASILKLKGLEAVDKAIGQLARLLWEAESSGKFKFFLCVTGDHSTPVEYGDHSFEPVPFAMCRLKDFVGAVGESNISRTSLDPFPIPSVKHGEDLTNDSEMEERRDKCCRPYSGDSVSELNEMAAARGCLGRFPGGEMMGIIKKFLSLEARTD >OIW17437 pep chromosome:LupAngTanjil_v1.0:LG02:1865570:1866091:-1 gene:TanjilG_22549 transcript:OIW17437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFTKILLLIVPISSLLISTNVMPTTSRASSLISMGNIFKDRGKTTQVIRVSPQVILFCKDTDNPTLCVETIIPYFQDKFNLIVALETEFETALNQSLKISNVIAQALVQPFNKSTSALNICKSQYKNIVDTINETAELLNQQNIVDVYYKFSTMMVDTSCEEAIVESHEDET >OIW16179 pep chromosome:LupAngTanjil_v1.0:LG02:22557489:22558379:1 gene:TanjilG_18894 transcript:OIW16179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSRPPPSKSVDLDLTIVSAKHLKNVNWKNGSLNPYVVFWVHPDRRLATKSDDSGSTKPIWNERFTLPLTHSLNDSFLTLEIFHSKPSDTPKPLVGSLRLPLKDIDDLNDPTRIRKFTLTRPSGRPHGKIHLKLGLLGRPIQSIDYVSPSSSVLGYAPNPIASPSARDYRGYLPSSPPYSTYNSYGSYHDAYSGYCPGYYSGAPLPPPPSRPFLDRPVGYGAGPSGPSAPIDYSAYEKRGKMGMGIGTGLAVGAVAGALGGLALEEGMNYEEEKIAERVENAAARDDYNEYRVDY >OIW17384 pep chromosome:LupAngTanjil_v1.0:LG02:1467929:1469806:-1 gene:TanjilG_22496 transcript:OIW17384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTYSNGDPIFAKVNSLTSIETELPFSYYSLPYCKPLGGIKKSAENLGELLMGDQIDNSPYRFRMNVNESIYLCTTTPLNEHEVKLLKQRTHDLYQVNMILDNLPVMRYATQNGVKIQWTGFPVGYTPPDGSADYIINHLKFRVFIHEYEGSGIEIIGTGEEGLGVISEADKKKASGYEIVGFQVVPCSIKYDPEAMTKLHTYDNVSSINCPNELDKYQQIREQERISFTYEVEFVKSDVRWPSRWDAYLKMEGSRVHWFSILNSLMVILFLAGIVFVIFLRTVRRDLTRYEELDKEAQAQMNEELSGWKLVVGDVFREPECSKLLCVMVGDGVQILGMAGVTIVFAALGFMSPASRGMLLTGMIILYLIQGIVAGYVSVRLWRTIKGTSEGWRSISWLAACFFPGIAFFILTVLNFILWGSHSTGAIPISLYFELFFLWFCISVPLTLIGGFLGTKAQPIEYPVRTNQIPREIPSRKYPSWLLVLGAGTLPFGTLFIELFFILSSIWLGRFYYVFGFLLVVLLLLVIVCAEVSVVLTYMHLCVEDWRWWWKAFFASGSVALYVFLYSINYLVFDLQSLSGPVSATLYLGYSLLMAVAIMLSTGTIGFLMSFYFVHYLFSSVKID >OIW16831 pep chromosome:LupAngTanjil_v1.0:LG02:14144916:14145476:1 gene:TanjilG_06871 transcript:OIW16831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNSHPHPWSPLQAPKHLAKKLSLDPKTLSLASSDFGHINYETPLAVFEPTSETDIIDLIKYSNSLPKPFTIAPRGQGHSTHGQGLTHDGVVLNMNRLSDSRNGSEIVVYDEYVDVGAEQLWIDVLGATLKHGLTPLSWTDYMYLSVGGTLSNAGINGTAFRFGPQISNVLELHVVTGIYVSNFT >OIW16630 pep chromosome:LupAngTanjil_v1.0:LG02:19302833:19307078:-1 gene:TanjilG_01869 transcript:OIW16630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLVNELRETSASGKTRSYEWRVTQLNALSNLIDDHEQEIVDALRFDLSKPPLETVAYEIALLKNSCKASSLKELKHWMAPEKVRTSLATFPSSAEIVSEPLGVVLVISAWNYPFLLSLVPVIGAIAAGNAVVLKPSEIAPASSSLLAKLLGKYMDNSSIRVVEGAVDETSALLQQKWDKIFYTGNGRVGRIVMAAAVKHLTPVVLELGGKSPVVVDSNINLEVAARRIIAGKWGCNSGQACVSPDYIITTKDYAPKLVDALKSELDKFYGKNPLESKDLSSIVNSNHFARLTKLMDDDKVSGKIVCGGEKDEKKLRIAPTVLLDVPRDSLIMTDEIFVPLLPIITVDKVEESFDVINSGPKPLAAYLFTNKKALKEKFVTTISAGGLVINDTTLHLAVHTLPFGGIGDSGVGADHGKFLF >OIW16460 pep chromosome:LupAngTanjil_v1.0:LG02:24618632:24619129:-1 gene:TanjilG_19176 transcript:OIW16460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYEPEETPDGIVPFSPGRIGAPPIFVICNQWSQALDRISEKEVVDSMHVFTMSVLQVWEQDKLEMHRQMVDNKDLERKVRNLDRDDQKLQKQIQALERKVIMASGEGKGLSVSENIIYQSDKNSSLQASLQRIFEAMERFTDESVRAYDELLQRSEEVSATRDHE >OIW17128 pep chromosome:LupAngTanjil_v1.0:LG02:4943967:4944734:1 gene:TanjilG_27282 transcript:OIW17128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGWRVGYIAYPSEVEGLGTQLLKVQDNIPICASIISQYLALYSLELGPEWVRERVETLVKNREIVLEALSPLGEGSVKGGEGAIYLYAKLPEGRGYDDFEVVHWLANRHGVAVIPGSACGSSGNLRISFGGLTESDCKAAAERLKNGLEELVEHGLVQDQ >OIW16542 pep chromosome:LupAngTanjil_v1.0:LG02:20592707:20595640:1 gene:TanjilG_08399 transcript:OIW16542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFPSVAITLTATLKLHPQFRKYPTTSFPIDKSQSLSLQKNHRLTQLDPINLDFHEALSFSKERTEDVESSFYFPLLQECLKRSSISYTKVIHGHVTKTGTHEDVFVMSFLVNVYAKCGNMEDARKVFDKMPRRNVVAWSTLLVGYVKNSQPKHAIHVFHEMLYSGSYPSNFALAIALNACTSLHSLKLGDQFHAYIIKYHIDYDTSIGNALCSLYSRSHKLKLALKAFRRIDEKNVISWTTAISACGDNGEAMKGLNLFVEMLSEGIQPNEFTVTSALSQCCEILYLELGTQVHSLCTKFGYDSNLRVRNSMLYLYLKCGCISEAQILFHGMDDLSLVSWNAMISGHAQMMELTKDNLSLCQSGNEALNLFSKLNRSRMKPDLFTLSSVLSVCSRLLALEQGEQIHAQAIKTGFLSDVVVSTSLINMYNKCGSIERASKAFLEMSTRTMISWTSMIAGFALHGRYQQAMQLFEDMRLAGVRPNAVTFVGVLSACGRAGMATEALDYFEIMQKEYKIKPMMDHYTCLIDMFVRLGQLEEAFNLIKKMDFEPSEFIWSNLIEGCRSHGNLELGYQAAEQLLSLKPKDSETYLLLLNMYLSAGKSKDVSQVKEMMKEENVRKLKDWSWISIKDKVYSFEPDDKAHPESSLLYKSLEDLLAKANNIGYEVLESVEISEEEKISSPTIYHSEKLAITCGLEKLPYSSPVRVVKNTLMCRDCHNFIKYVSTLTGREIIVKDSKRLHKFVNGQCSCGDFGCFL >OIW16192 pep chromosome:LupAngTanjil_v1.0:LG02:22662347:22665972:-1 gene:TanjilG_18907 transcript:OIW16192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGELTQTELYPSNSPRTQQLWKSVLSWFGFFFQIFFQIIRALSHYPLLSFSSSNSNSSFKPLPSIELHHHLDSPPETAVSAVQITDVDSDHYPSQKLLVVLDLDETLVCAYETSSLPAALRNQAIEAGLNWFELECVSSDKEGEGKPKINYVTVFERPGLKEFIRQLSEFADLVLFTAGLEGYARPLVDRIDIENRFSLRLYRPSTTSTEYREHVKDLTYISKDLCRIVIVDNNPFSFLLQPVNGIPCIPFSAGQPHDTQLLDVILPLLKQLSEQKDVRPMLYEKFHMPDWFQKQGIPASCWT >OIW16712 pep chromosome:LupAngTanjil_v1.0:LG02:17596372:17597154:-1 gene:TanjilG_14583 transcript:OIW16712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMWSFASNAISSIRKRSSGDLSQTCAEGSDDEVCSNASRDEVLECPICWESFNIVENVPYVLWCGHTLCKNCVLGLQWAVLKFPTQQVKVPFFISCPWCHLLSFRLVYKGNLKYPCKNFFLLWMVESRNGDRQKVVSNCDYSQQIWSSKSNLLGSQATNWNLRRASTSHSGPWGSNNDVGGRDGARHHFCLHKSLDFFVHFTSKFPLVIIFLLIAFFVVPCSAIILVIYLLVTILFAIPSFLILYFAYPTIQRLIREITS >OIW17186 pep chromosome:LupAngTanjil_v1.0:LG02:4351412:4352560:1 gene:TanjilG_18141 transcript:OIW17186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRLPSGEATSNTITKTSSWMACKRLQLVHADIYGPIKPDSNSKKRYLISFIDDFSRKIWIYFLCEKSEAFGVFKIFKQHVEKESGDFIKCLRTDRGGEFTSLEFNNFCRENGIKRQLTTAYTTPQNGVAERNDRAIMNMVRSMLSEKEVPKVFWQEAVNWSVHVLNRSPTMAVKDVTPEEAWSSVKPTIGYFRVFECVAHVHIPDKKRIKLDDKSFQCVLLGVSEESKAYRLYDLASKGIVVSRDVVFEENLSWNWGRKIEVVKLDVLEWGDENEQNEFDIGNEEENGEHDEEDGATLSSSESLGQSPPSSNKGRSRRPPVWMEDYITGEGLDLFEEDVDNFVMFVAANPITFQEAVKSIKWREAMNLEIKSIEKKMRHGS >OIW16125 pep chromosome:LupAngTanjil_v1.0:LG02:21993909:21996792:1 gene:TanjilG_18840 transcript:OIW16125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLSKTAPETDVSIHSTFASRYVRVSLPRFRMPEQSIPKEAAYQIINDELMLDGNPRLNLASFVTTWMEPECDKLMMAAINKNYVDMDEYPVTTELQNRCVNIIAHLFNAPLEETEHAVGVGTVGSSEAIMLAGLAFKRKWQNKRKEEGKPYDNPNIVTGANVQVCWEKFARYFEVELKEVKLREGYYILDPEKAIEMVDENTICVAAILGSTLNGEFEDVKRLNDLLSEKNTETGWNTPIHVDAASGGFIAPFLYPELEWDFRLPLVKSINVSGHKYGLVYAGIGWVIWRNKEDLPEELIFHINYLGADQPTFTLNFSKGSSQVIAQYYQLIRLGYEGYKLVMENCRDNMIVLKEGLEKTDRFDIVSKDDGVPLVAFTLKDNSHFDEFQVSDMLRRFGWIVPAYTMPPDAQHVTVLRVVIREDFSRALAERLVVDIQKVLHELEMLPSKLLTKTAITVAGDEGLNDDAKVVVVKKSALETQREITTAWKKFVMDRKKMNDKMNGVC >OIW17544 pep chromosome:LupAngTanjil_v1.0:LG02:2984267:2989682:-1 gene:TanjilG_22656 transcript:OIW17544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYIKQTPPKKNSKKFFLALLATFLLATTVVGIVVGVVSRRNSDDNANSNSPPSHAIIKSACSSTLYPELCFSTITSEPSSVTNKVSTHKDVILLSLNISTGTVERNYFTIKKLINQKGRSKREKVALHDCLETIDESLDELKKAIDELKDYPTSKKSLFQHADDLKTLLSSAITNQETCLDGFSHDEACKRTRKNVEGGQVHVERLCSNALAMVKNLTDTDMANDEKNKMMVGSNNRKLKEEGEIKWPEWISTADRRLLQSSTITPNVVVAADGSGNYRTVSAAVAAAPENSAKRYVIRIKAGVYKENVDVPKKKTNIMFLGDGRSNTIITASKNVADGSTTFNSATVGTVDFIFGNSAVVLQNCDIHARRPDPGQKNMLTAQGRTDPNQNTAGWFEWDGNFALNTLFYGEYQNTGPGASTSGRVKWKGYKVITSATEAQTYTPRNFIAGSGWLGSTGFPFSLDL >OIW17259 pep chromosome:LupAngTanjil_v1.0:LG02:403183:404529:1 gene:TanjilG_22371 transcript:OIW17259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQDNFSVQKRTTAATLPTTAATRSRTNALPRGRQIQKTFNNIKITILCGFVTILVLRGTIGVNLGSSDADAVNQNLVEETNRILAEIRSDADPNDPEDETFLNPNVTFTLGPKIRNWDQERKTWFGQNPEYPNYIKGKPRILLLTGSPPKPCDNPIGDHYLLKSIKNKIDYCRLHNIEIVYNIAHLDKELAGYWAKLPMIRRLMLSHPEIEWIWWMDSDAFFTDMVFELPMSKYDDYNLVLHGYPDLLFEQKSWIAVNTGSFLFRNCQWSLDLLDVWAPMGPKGPIREEAGKILTANLKGRPAFEADDQSALIYLLLSQKDHWMDKVFLENSFYLHGYWVGLVDRYEEMIEKYHPGLGDERWPFVTHFVGCKPCGSYGDYPVERCLSSMERAYNFADNQVLKLYGFKHRGLLSPKIKRIRNETVTPLQFVNQFDIRRHPSGSTESKS >OIW16787 pep chromosome:LupAngTanjil_v1.0:LG02:15965908:15970268:1 gene:TanjilG_05521 transcript:OIW16787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVGFLGLGIMGKAMSINLLRRGFKVTVWNRTLSKCDELVEHGASIGETPAAVVKKCKYTIAMLSDPSAAQSVVFDKDGVLEQISTGKGYIDMSTVDAETSLKISEAIKAKGGDFLEAPVSGSKKPAEDGQLVILAAGDKALYEEILPAFDVLGKKSFYLGEVGNGAKMKLVVNMIMGSMMNAFSEGLTLAERSGLDPGTLLDVLDLGAISNGMFKLKGPTMLKNNYSPAFPLKHQQKDMRLALALGDENAVPMPVAAAANEAFKKARSLGLGDLDFSAVHETLKALDHST >OIW16100 pep chromosome:LupAngTanjil_v1.0:LG02:21734981:21737210:-1 gene:TanjilG_18815 transcript:OIW16100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDPFSNPNSNPNPHNANAASLLPPPPPSTTAANSSSSSFFIRSGGNGASLHRRSHSEVSFRLPDDMMDLSPSDPFNGGSSTATFDEIGSEDDLFSTYIDVDKLRGSIASGGNGEPPEKNPNVRPRHRHSSSVDGSTSSFDEIMDAKKAMPPDKLAELWTIDPKRAKRILANRQSAARSKERKARYIQELERKVQTLQTEATTLSAQLTLYQRDTTGLSTENTELKVRLQAMEQQAHLRDALNEALKKEVERLKVATGEMMSPTNSFNLGIHQMPFTGSTFFPIPPQSGPSGQQNMQLLPFGHVPSGRPTHQLQQINSHSLSEIMQNDQLGCFQGLDISSKGSALVKSEGPSLSASESSSTF >OIW16090 pep chromosome:LupAngTanjil_v1.0:LG02:21500765:21501550:-1 gene:TanjilG_18805 transcript:OIW16090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESQSGEYNSAKLLRAQSHIWNHIFSFINSMSLKCAVDLGIPGVIHSYGKPMPLSKLIASLPIHPSKTRFIYRLMRILIHSGFFSEQNVTKQDELEVEYVLTGASILLLKDNPFSMIPFLHVILDPISTKPWYHLSAWLKDDIPTPFEKVHGMTFWDYAGHDPNYKHLFNDFMASDARFVTSVLIEKYKEVFQGFKSLVDVGGGTGAVTKAIASSFPQLECVVFDLPHVITGLQGSDNLKYVGGNMFEAIPPTDAILLKVI >OIW17143 pep chromosome:LupAngTanjil_v1.0:LG02:4773812:4781471:-1 gene:TanjilG_21120 transcript:OIW17143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EMEWFSGKNTWGNFPDLAGAVNKLQESVKTIEKNFDNALGFEEDGESSSEAAGSWPLPRDPKALFNPVMAFMGNNDEESAEETSEKLESSQQESGIEKSLEKPDSPDHIPVAERKGDSETDNTSSVTTADHVPVFERKQDFETDNTAQSTTEESTVSEENEVVKVEADPEKTVSADETGTKNLDHGKAEDHLPEMPVELSESNIQKAKSLDSVVTHPENETAEVETSSPLSMQSKPVDITEDQVERSTSDPAESHAISDVQETFQVETDEESKDKERMQSQESIERVSSIQPKTSGESEKKNEADTSVLHSTATEETESVDQPYKEQLSSVSPSNESSEMIPELGLHENEVTVKENEGDHVANDVENDMKELHLSSEKNMSDSDSMHELERVKKEMKTMEASLQGAARQAQAKADEIAKLMNENEQLKAAIEDLKVYALTKERDTLRREQNKKSDAAVLLKEKDEIITQVMAEGEELSKKQAAQESTIRKLRAQVRELEEEKKGLATQLQVEENKVESIRKDKTATETLLEETVEKHQTELAAQKDYYANALAAAKEAEVLAEARANNEARTELESLLREAEERESMLVQALEELRQTLTIKEQQAALKEDILRRDIEDLQKRYQASERRCEELVTQVPESTRPLLRQIEAMQEAEAKAAGAEERERSVNERLSQTLSRINVLDAQISCLRAEQTQLSRTLEKERQRAAEHRQEYLAAKEEADTQEGRARQLDEEIKEIRKKHKQELQEALTHRELLQQEIEREKAARSELERTAHVQSAPTSDQTSITRQNSAFEKGNLCRKFSSASSLASVEESYFLQASLDSSNGFSERRNPGEPSMSPYYMKSMTPSSYESVLRQKEGELASYMSRLASMESIRDSLAEELVQMTEQCEKLRKEAAFLPGLRAELEALRKRHSAALELMGERDEEVLFSWPAFSFYKKQYARHNLVFSCLL >OIW16646 pep chromosome:LupAngTanjil_v1.0:LG02:18961427:18973406:1 gene:TanjilG_23148 transcript:OIW16646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQFHPQGTIKQTCTLLAVTCGSSFEQQKPSHNQHNYPFPELVSAGRLEVQTLSNPEKEQFHKILESYQPNIVYLQGEHLPNDEVGSLVWQGVELSTLEAITELFGPTLPTTVYLEIPNGDSFAEALRLKGIPYVIYWKNVFSCYAACHFRQAFLSVVKSSSTHTWDAFRLAHASFELYCGESHQVLFDDRHDTNCEMGPHLLGNLLQINADPPEMSEDDEENSSGSLPDITIHDDEVNLRFLVCGAPSTVFHPQGTIKQTCTLLAVTCGSSFEQQKPSHNQHNYPFPELVSAGRLEVQTLSNPEKEQFHKILESYQPNIVYLQGEHLPNDEVGSLVWQGVELSTLEAITELFGPTLPTTVYLEIPNGDSFAEALRLKGIPYVIYWKNVFSCYAACHFRQAFLSVVKSSSTHTWDAFRLAHASFELYCGESHQVLFDDRHDTNCEMGPHLLGNLLQINADPPEMSEDDEENSSGSLPDITIHDDEVNLRFLVCGAPSTVDESLLRSLEDGLRALLTIEIRGCKLHGKFSAPPPPFQAAAFSRGVVTMRCDISTCSSAYISLLVSGSAQTLFSDQLLESHIKNEIIGKSQLVHAQLNNEGSKQNVSEPRRSSSIACGATVFEICMKLPQWALQILRQLAPYVSYRSLVALGIASIQGLPVASFKTDDAERLLFFYQSSEKDSHTSNIIFSNPPGWLKPPPPTRKRCEPSQGAKSGPHDGFFAGKGVVQKVDEEEKDRKMVNGTTSTPLSPARQRLKVSAMRPIPHSHRHRPTPFCGPSETSGFDRAQVEANLPLAIPTKRTSIGPTSATQRKSFASSAQSKQLISLNPLPLRKHGCGRGPVQICSEEEFLKDVMEFLILRGYSRLIPRGGITEFPDAILNGKRLDLYNLYKEVVTRGGFHVGNGINWKGQIFSKMCNYTSTNRMTGVGNTLKRHYETYLLEYEFAHDDVDGECCLLCHSSAGGDWVNCGICGEWAHFGCDRRHGLGAFKDYAKTDGLDYICLHCSVTNFKKQSVANVYSQRSMSSQPL >OIW16352 pep chromosome:LupAngTanjil_v1.0:LG02:23968995:23972337:-1 gene:TanjilG_19068 transcript:OIW16352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSAQDPFYVVKEEIQDSIDKLQSTFHQWENTPDAGERSHLSKEVLTGCESIKWQVDELDKAIAVASRDPSWYGIDELEIENRKRWTSSARTQVRTVKKAAEAENGPNKTNHASVNGMHRELMRHPNSHQSDISNNYAAQDSDDFIESESDRQMLLIKRQDEELDELTLSVQRIGGVGLTIHEELLAQEKIIDELGSEMDSTSNRLDFVQKKVGMIMKKASVKGQIMMILGLLALFIFLFILVFFT >OIW17013 pep chromosome:LupAngTanjil_v1.0:LG02:7863675:7868066:1 gene:TanjilG_25007 transcript:OIW17013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVSLNCKLSPDIISFSKTNNYFLSSPSFIPRNNNNNKNKCRLLLVRANAATGGGGENNQNNDDKDKDERVLESQQAPFDVSLSLNDVNPVGLGRKSRQLFDEIWRKFSGLGQISRTIRTDDQETLLIREGPMCEFAIPGAQNTTVLVVGATSRIGRIVIRKLMLRGYSVKAFVRKADEEVIELLPRSVEIVMGDVGDPQTLKAAVEGSNKIIYCATARSSITADLFRVDYRGVYNLSKAFQDHNNKLAQLRAGKSSKSKLPIAKFKSASSLDGWFVRQGTYFQDVVATKYDGGMDAKFEFTDNGDAVFSGYVFNRGGYVELSKKLSLPLGSTLDRYEGLVLSVGGNGRSYVLILEAGPSGDLSQSKLYFARISTKVGFCRVRVPFSSFRPVKPDDPVLDPFLVHTFTIRFEPRRQRPVEVNTTMNQDLRSFKLILEYIKALPTGQETDFVLVSCSGLGIEPSRREQVLKAKRAGEDSLRRSGLGYTIVRPGPLEEEPGGQRALVFDQGNRISQGISCADVADICVKSLHDKTARNKSFDVCYEYVAEEGRELYELVAHLPDKANNYLTPALSVLEKNT >OIW16137 pep chromosome:LupAngTanjil_v1.0:LG02:22107613:22116573:1 gene:TanjilG_18852 transcript:OIW16137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVSAKNKTKTKTKKKDRYGVAELKTLGNDLLSSASNINNLPLLLTFVSPSSPPHHVLESLLSLHSFFLPLLPTLPSSATRADDSTTDDQSQFIYLTWLRSKFDELVKSLVEILVAPQCDETLKEVVLDTLMEFVKVANAGQFHSAIYHKLLRSIVHSMDPVAFLVDLLTSKYFKYIDIRYYTFISLTKLATTLEGKDVTDGRSASTDSTDENQLSSNMEHVIHNMYYTISNVPPLESSNDTSNIEMWSRSESDGDAADKQPKPEKHNKNALSAAKIAKKMKLKFTKAWIGFLRLPLPLDLYKEVLVNLHQAVIPHLSNPIMLCDFLTRSYDIGGVVSVIALSSLYVLMTQYGLEYPNFYEKLYALLVPSIFMAKHRARFFQLLDSCLKSPLLPAYLAASFAKKLSRLLLSVPPSGALVITALIHNILRRHPSINCLVHREDSVDEGKDDLRKDEAIAANLDDAKTGAMPCQKLGIDHFNNEENNPKKSCAMRSSLWEIDTILHHYCPPASRQVLALSLGNDLTVRAKTSEVDVGDFSSGSYATILGAEISRRVKQVPLAFFKTTPSSLFSETDFAGWTFNLEETPELISGNDESATKGLSEHDHGGAVKRQRIECP >OIW17230 pep chromosome:LupAngTanjil_v1.0:LG02:3733022:3733648:-1 gene:TanjilG_02519 transcript:OIW17230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETSSNLDDPTLNPCPTFPDCGFRQPNSGVVLSCLDCFLRNGSLYCFDYGVSPSVFLTRIRGGTCTTALSDPPETVIHRAMYLLQNGFGNYDVFQNNCEDFALYCRTGLLIVDTKQGVGRSGQASSVIGAPLAAMLSSPLKLLMPSPVGVATVTAGMYCMSRYATDIGVRSDVIKVGVEDLAVNLGWACPDEEVAEDDETSYNQTTR >OIW16800 pep chromosome:LupAngTanjil_v1.0:LG02:15078251:15078978:1 gene:TanjilG_01365 transcript:OIW16800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPRVISANVGHAWDLPPNTFGAVYAKFMGSRNFSPDDLPPVRFMDSDKLAYAMRAREVHDFWHTLFGLPTNLIGELAMKVIEFEKMYLPTCLLSVISGTARFSEKQRKLFYQHYFPWAIRAGVQCTDLMCVYYESHFHEDLGDVRRNLGIIPIPRVP >OIW16191 pep chromosome:LupAngTanjil_v1.0:LG02:22653968:22656367:-1 gene:TanjilG_18906 transcript:OIW16191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTEPPFSATVTTTIAAVLTFSLLLPLCHALGSATSLAFIDASATVCGIVASQPTGRIECYRRGHVIPVIPDVSFSAISGGRNYFCGLRSGNYSLLCWDTVSSNVTFERRRLYQNDTVLFENLAVGDTQVCATVVGTGTVSCWRINSAFELPSGYEQFASISSGSGFSCGIMKNGYRVRCWGNGSIASRIEGEFRNMSMLSIIAGESHVCGLNSNGFLVCRGSNSFGQIDVPEGGSFEYSGLALGAEHSCAIRRLNGSVICWGGRGLFSVNFTQGVSFETIVSGSNFTCGLTTNNFSVMCWGPGWSNSDSSSSRFVLPLSPILPGPCVQSSCSECGMYPQSQILCSGHGNICKPRPCSPQIPFPATPPALPPLVQPPCLAPAWSKALTTCLLVFAIVGSVGAVAGICTVIYCLWTGVCLGKKKVHNSVQPTITRGGSSNGGVNSPSRSSIIMRQGSRIMRRQRSGTSSTKHPDRAEEFTLAELAAATNNFSLENKIGAGSYGVVYRGKLADGCEVAIKRGDTSTKTKKFQEKESAFESELAFLSRLHHKHLVRLVGYCEEKDERLLVYEHMKNGAIYDHLHDKNNVDRNSSVLNSWRMRIKIALDASRGIEYLHDYAVPSIIHRDIKSSNILIDANWTARVSDFGLSLMSPDSGHDYWPTKAAGTVGYIDPEYYGMNVLTAKSDVYGLGVVLLELLTGKRAIFKHGENGGTPVSVVEYAVPAIMGGELSQILDPRVVPPELNETEAVELVAYTAMHCVHLEGKDRPTMADIVANLERALTLCDTSHGSFSSATISTESV >OIW17317 pep chromosome:LupAngTanjil_v1.0:LG02:904464:906482:1 gene:TanjilG_22429 transcript:OIW17317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKFKPFSTLLLGCLMFMSTQVLGDSSSRVVQGKIIICNIIYLLIINRLILHDLIPTTLSAVDQEGNKNKLEVTTITSMYAPKEPFERTEATHMRKLGPGGKKLNKHEDTSIDSTSKSDKGVYGEGSSMISGKKKDASQKSLGSLRDQRNDIQEHMKMRPKLWKFTRFAIRRSDLTNTNTKYSKDSNAVTVKVSLKSPSKSEEPKGTTQKDETPSIAEEAKEIASLMYKDYKGKPSHKPPINNNEPRN >OIW16557 pep chromosome:LupAngTanjil_v1.0:LG02:20541713:20549059:-1 gene:TanjilG_17730 transcript:OIW16557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNSDLIRTVESVLGVSLGDSLFLIVTTSVAVVAGLLVFLWKKSSDRSNEVMHLKVPKMIVQEEEDEFDVAEGKTKVTIFFATQTGTAEGFAKALADEIKARYEKAVVKVVDMDDYAAEDDEYGEKLRKETLAFFMVATYGDGEPTDNAARFYKWFTEGKDERGIWLQQLTYGVFSLGNRQYEHFNKIGKIVDEELSEQGAKRLVPAGLGDDDQSIEDDFVAWKELLWPELDQLLRDEDDKKTVSTPYTASVPEYRVVIHDPTVISCNDNHLNVANGNAVFDIHHPCRVNVAVQRELHKPQSDRSCIHLEFDISGTGIAYETGDHVGVFAQNCDETVEEAGKLLGQNLDLVFSLHTDNEDGTPLGASLPPPFPGPCTLRFALAHHADLLNPPRKAALVALAAHASEPNEADRLRLLSSPQGKDEYSKWVVGSQRSLLEVMTEFPSAKPPLGVFFAAVAPHLQPRYYSISSSPRFAPQRVHVTCALVNGPTPTGRIHKGVCSTWMKNAIPLEKSRDCSWAPIFIRQSNFKLPADHSVPIIMVGPGTGLAPFRGFLQERLALKEDGVQLGPALLFFGCRNRQMDYIYEDELKNFEEQGALSELIVAFSREGSEKEYVQHKIRDKAANLWTLISQGGYLYVCGDAKGMARDVHRTLHTIVQQQENVDSSKAEAIVKKLQMDGRYLRDVW >OIW17587 pep chromosome:LupAngTanjil_v1.0:LG02:13277:22692:1 gene:TanjilG_08865 transcript:OIW17587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVNAINNNNNNNDDDVRAPKSDSCNNPFQLVKVKNWIDEKESNNIYSGMSARFGSSLPQKPNITLRTPALFSNPIHCCSTPLSQFYGSIALCVRGGCDFTTKAQFAQSAGATAILVINDTEDLFEMVCSNATHPNISIPVVMIPNSAGQAFNKSQTKVEILLYAPPRPLIDFSVAFLWLMSVGTIVCASLWSDLTSPEQYDERYKELCLKESSNAETAKYDSDKEIVNIDTRGAIVFVLSGSVFLLLLYFFMSSWFIWVLIVLFCIAGIEGMHNCIVSLTLRKSQNWSQKVVNVPWSGEVSIYSLVVLLFCVAFAIFWAATRQESYSWVGQDTLFYGSIALCVRGGCDFTTKAQFAQSAGATAILVINDTEDLFEMVCSNATHPNISIPVVMIPNSAGQAFNKSQTKVEILLYAPPRPLIDFSVAFLWLMSVGTIVCASLWSDLTSPEQYDERYKELCLKESSNAETAKYDSDKEIVNIDTRGAIVFVLSGSVFLLLLYFFMSSWFIWVLIVLFCIAGIEGMHNCIVSLTLRKSQNWSQKVVNVPWSGEVSIYSLVVLLFCVAFAIFWAATRQESYSWVGQDTLGICLMITVLQLAQLPNIKVATALLSCAFVYDIFWVFISPLIFNESVMIAVARGDKAGGEAIPMLLKFPRLFDPWGGYDMIGFGDILFPGLLVSFAHRFDKDKKKGVSNGYFLWLVIGYGFGLIITYLGLYLMNGNGQPALLYLVPCTLGLIIILGFIRGELKSLWNYGTEDSSSSIEEPCDV >OIW16119 pep chromosome:LupAngTanjil_v1.0:LG02:21931285:21935618:1 gene:TanjilG_18834 transcript:OIW16119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIDIVQQDTIASHIKRQCSPQPSVIVIGAGISGIAAARRLYDASFKVTVLESRDRVGGRIHTDYSFDCPVDMGASWLHGVCNENPMAPLIRGLGLKLYRTSGDDSILYDHDLESYMLFNMDGHQVPPQMVTEVGDTFKRIMEETEKVRDDHPDDMSVHQAISIVLNRHSELRKQGLAHEVLQWYICRMEAWFAADADMLSLKTWDQANVLSGGHGLMVQGYDPVIKALAKDLDIRLNHRVIKISNGCNKAMVTVEDGRNFVADAVLVTVPVGVLQANLIEFIPKLPDWKVAAIKDLGVGNENKIALRFDKVFWPNVEFLGIVAPTSYACGYFLNLHKATGHPILVYMAAGRFACDLEKLSNESTVNFVMLQLKKMFPGASNPIQYLVSRWGSDPNSFGCYTYDLVGKPDDLYDRLRAPLGNLFFGGEAVCMDDHQGSVHGAYSAGIMAADNCHKYILEKQGHMENPPLVSVRHEILETTIPLQISRM >OIW17118 pep chromosome:LupAngTanjil_v1.0:LG02:5003898:5008835:-1 gene:TanjilG_25405 transcript:OIW17118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSKAQYNPRTVEEVFRDFKGRRAGLIKALTIDVEEFYSQCDPEKENLCLYGFPSEQWEVNLPAEEVPPELPEPVLGINFARDGMQEKDWLSLVAVHSDAWLLAIAFYFGARFGFDKADRKRLFNMINELPSIYEVVTGAAKKQVKEKSSVSNQSGSKSKSSSKTHAPESQARQTKAVPLPSKDEDEDDDDDDLDDEDEEEHEETLCGACGENYGTDEFWICCDICEKWFHGKCVKITPARADHIKQYKCPSCSNKRARP >OIW17054 pep chromosome:LupAngTanjil_v1.0:LG02:6565469:6568769:-1 gene:TanjilG_02422 transcript:OIW17054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSKTKGPCSLSDSHSQNNKNFMTMLPKEMVEFELQKDSSSPAPFHFKFDLPHAFRDFLGTREVGEFVSGALAGAMTKAVLAPLETIRTRMVVGIGSKNIAGSFVQVVEQQGWQGLWAGNMINMIRIVPTQAIEFGTFECVKRAMTSVQEKWEQEECPKLQIGSINLSLSLSWISPVAVAGAAAGVASTLVCHPLEVLKDRLTISPEAYPNLGIAVRNMYKDGGMGAFYAGILPTLAGMLPYSTCYYFMYDTIKRSYCQTRNKKSLNRPEMLLLGALAGFSASTISFPLEVARKRLMVGALQGKCPPNMAAALAEVIRDEGLKGLYRGWGASCLKVMPSSGITWMFYEAWKDILLVNKGHSL >OIW16434 pep chromosome:LupAngTanjil_v1.0:LG02:24513197:24515244:1 gene:TanjilG_19150 transcript:OIW16434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSDSGKLFIGGISWDTDEERLREYFSNYGEVVQSVIMKDRTTGRARGFGFVVFSDPAVADIVIKEKHNIDGRMVEAKKAVPRDDQNLVSRNSGSSVHGSPGPGRTRKIFVGGLASTVTESDFKNYFDQFGTITDVVVMYDHNTQRPRGFGFITYDSEGAVEKVLLKTFHELNGKMVEVKRAVPKELSPGPSRSPIGGYNYGLSRVSSFLNGFPQGYSPSTVGGYGLRVDGRFSPVASSRSGFAAPFGSAYGMSMNFEPGLSASGFGGNANFSSNLSYGQGLNPYYIGSSNRFASPVGYDSGSGGNNSFFSSVTRNLWGNGGLSYGTTSANSNAYIGSGSGSVIGGNTFDNTGVNWGGSSVISAPGGGNTVSSQSTGVNLGYGGGNNSYGLGTGGYGRNIATTIAQTSSYSPSNGGVDEAFADFYNNSSVYGDPTWRSSNSEREGSGPFGYGLGGAASDVSAKSSPGYVGGYTVNKRQPNRGTWLIISD >OIW17104 pep chromosome:LupAngTanjil_v1.0:LG02:5266938:5269819:-1 gene:TanjilG_25812 transcript:OIW17104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDSSFFDRMITHLRSTCKYYIGYPKDLGPSRVFHFTSERDFVKLLHEGFPVVVAFTIRGSYTEHLDKVLEEAGAEFYPRVKFMRVECPKYPGFCLTRQKTEYPFVEIFYSPEQAASEGRVADPNTTKYNVKVLPFTYDVSAYGFREFFKRHGIQASDPK >OIW16299 pep chromosome:LupAngTanjil_v1.0:LG02:23569154:23577410:1 gene:TanjilG_19015 transcript:OIW16299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITTILSRTPPILSTRAFIAIASVSTKPILHRLAVVTPSAGNPLSFSGLSVSKARAFHSNSTPLNFHASSVSRAGYAAVADYAVEEDTKVNSADEGLEVAKLGISQEIVSALSKKGITKLFPIQGAVLEPAMQGRDMIGRARTGTGKTLAFGIPVMDKIIKFNAEHGRRGKSPLALVLAPTRELARQVEKEFTDAAPNLETICVYGGTPISQQMRQLGYGVDIAVGTPGRIIDLLNRGALNLKEVQFAVIDEADQMLQVGFQEDVEKILAWLPPKRQTLMFSATMPSWIKDITRKYLKNPLTVDLVGDSDQKLADGITLYSIATDSYVKAGTLGPLVKEHAKGGKCIVFTQTKREADRLSYAMAKTIQCQALHGDISQAQRERTLAGFRNGHFNVLVATDVASRGLDIPNVDLVIHYDLPNSSEIFVHRSGRTGRAGKKGAAILVYTANQTRAVRTIERDVGCKFTELPKIAVAPGSVDTFSGMGGNSHMSWKERKKIEDRKVVSLGGKPPKNQRLPLSVARPMMKKQKEREQKMLQEGMILGRFGGKLANSNKKRPTGKHKPEDRGLKSSEGHFRNGVLNVGHLLSSEHGTRTNMSKIWKKKGGDKKGLW >OIW16534 pep chromosome:LupAngTanjil_v1.0:LG02:20802105:20802542:-1 gene:TanjilG_32205 transcript:OIW16534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVYGKSLVAGPANVIYLSSILGHDGPIPVHKCNWKCENEHVCGNMYRCKLTGLTHICDKNCNQRILYDNHTSLCLASGQMFPLTQAEEQAVRGVRRKLDVENSTTDGCGFKRRRDAQLHPSPFEKSFSAVSPICSQVGDGMDMS >OIW17161 pep chromosome:LupAngTanjil_v1.0:LG02:3968089:3968732:1 gene:TanjilG_18116 transcript:OIW17161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPLFQVEVEFANGNAFKLSAEFLRINSPAVDGKIRSIGGEKVISGRRHVGIMSAEPVGNYGVRLNFDDLHKTGIYSWDYFYHLGSKKFTLMRSYIKTLKKYGLSRDPRGRK >OIW16826 pep chromosome:LupAngTanjil_v1.0:LG02:13790442:13797594:-1 gene:TanjilG_06866 transcript:OIW16826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLFEKCNDLQLSGLTHINGPGFHIHVLHSKNVIISGVNITAPEYSRNTDGIDTTNVEGIIIRDSIIGTGDDCIAIKGGTKFLNISNIQCGPGHGISVGSVGGHGQEDYVSDIHVRNCSITGATGGVRIKTWAGSKGSVQRVRFEHITVKQTNYPIYIDQHYAHSKEQPQAIKVSDVTFSDIHGTSSPNVPPTPPPLYSMPPPPSASHSHASPYSTPSMVRYTNKEEGEGNNASRHACAACRHLKKKCNKDCVLAPYFPTKKIKQYFVVHKVFGYNIMTKMLSNLVEQERNEAVESFQWEAMMWQQDPVHGPLGAYKKGRWRIFHGCAASYRKRNFIASLQVDNSGSTLDGVPFVDIGDLVKEGSIAPIFMVTPNFV >OIW16269 pep chromosome:LupAngTanjil_v1.0:LG02:23319512:23324324:1 gene:TanjilG_18984 transcript:OIW16269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQLGNAFSVLELDVDDIHLQSLPIPGSSKKKVNDNAETSLVGKEKQNLVTPSSEYKFPLVWIDLEMTGLNIEVDRILEIACIITDGNLAKTVEGPDLVIHQTEECLEKMGEWCQSHHEASGLTKKVLESTISEKEAEKQVIEFVKRYTGSYTPLLAGNSVYVDFQFLKKYMPELASLFSHVVVDVSSVNALCMRWYPKDKKKAPAKEKRHRALDDIRESIEELRYYKANIFKSKSNK >OIW16108 pep chromosome:LupAngTanjil_v1.0:LG02:21840960:21859605:-1 gene:TanjilG_18823 transcript:OIW16108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNLSGKGKHIMNVDVNDSNEDGLHSPTKKKGRKDKALDKPISPFQLTQEGQHPGNGSTSRRHVPSRNGIPDKHALERVLYFLQRNDQGEFFAGPNNPNVVYDYYSLARQPMNFTTVRAKLQAGMYTTMEHFKHDVCLVLANAMNANPPDTRRHQVAKALSHYARQIIEDLSAQKPEYFQPTCQENLLGRKLQSKTIGHARFRYTPTGNTTPEVEKRDKYGSQNDKPCLSRIPNAQSNIQLNKNVDHYRDSLLHFVEELGPNAQMVAANNLETLNSMQVMTVETQTPNLLANFSGTQLSPQQAPTQPGCANNAHKLSLALSLSNKPLTIPENRKVTATADSVDINENACKGKKPEGKDGWNAHAASLLGDFFINCGKNETNKGLDDKKPHLSTDVCSIPKDKMVSPNENVAFLQGPVQFGAGSTSKDISTSSSQVSAPMGQFF >OIW17075 pep chromosome:LupAngTanjil_v1.0:LG02:5894602:5900125:-1 gene:TanjilG_15658 transcript:OIW17075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKVELKRIENSSNRQVTFSKRRTGLLKKAKELSVLCDAEVAVIIFSNTGKLSQFSSCDMNGTLSKYNKCVDSTETAVVEHKTEIHYGVVMSNNTFSVQKEDSTMVEILRDEIAKLERKKQKLLGKDLKGLSLKELQNLEKQLNEGLLSVTKRKEELVTEQLEQSRVQEQRVMLENETLRRQVKELRSLFPVTENVVPSYLQYQNIERNNTFALAGASSSNLASNSGNDGGDSDNTLQLGFLNDVHHKGMVSEKETSLNDSECALL >OIW16310 pep chromosome:LupAngTanjil_v1.0:LG02:23673287:23673502:-1 gene:TanjilG_19026 transcript:OIW16310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMMMMGKKSSSWVKKVMKKEYLSSVFRWKNTLNLQTNIMDTIVFKILSFVEALVLVSTLCFFYLCCGGHF >OIW16566 pep chromosome:LupAngTanjil_v1.0:LG02:20427871:20434976:1 gene:TanjilG_17739 transcript:OIW16566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVTVDLGFWSLVFTILVCVVVLVIGFVVRRKWRRSVARAEEVKRLLNFAKEEAARVENESSYQYGTVLVAKNNECAVCLRPTTTRCSRCKAVHYCSGKCQILHWRHGHKEECHPPSITSKIDDLTSDLSKKVAESGVGDEKFQVESTEYKTYSKKLPLSDNISVESNAKNVADTNSELSSNTFSGFPASNTKEFMSDDSSVCESMTSNEHERSEAHVFTAPILVVSDTTSNDDSKGVAIPLSPKFANLVDLVDGFSTKDKLSEVRSGFGKEESKLTSSGSSGLNLRKRATIQPSTVSSEFWDKTHSPRGIKDNTSCDPIRSRSNDSLPISVRDNKPCARLASSENEGVDYSGYAEAPSIHNLQSVGSKVSNHVMNNPVSTLKSAEIKSPPHAFADTKLACRTKELSHYGTKHGDNGNQSDTSTSTQVANCSPNSKNGLKTSELKVVDHSRGSNLSKHFPQAVDSDIGGRYGDKGLFPYDLFIKLYTWNRVELQPFGLINCGNSCYANAVLQCLTFTPPLTAYLLQGLHSKSCENKKWCFICEFENLILKSKDTEAPLSPMSILSQLQNIGSQLCNGREEDAHEFLRFAVETMQSVCLMDAADNASDSLKEETNLMGLTFGGYLRSKIQCVKCGGKSERHERIMDMTVEIEGEVTTLEEALRRFTSTETLDGENKYHCIRCKSYEKAKKKLTVSEAPNVLTIALKRFQSGKFGKLNKPIRFPEILDLAPFMSGTSDRSPIYRLYGVVVHLDSMNAAFTGHYVCYVKNFQNRWFKVDDSVVKAVELERVLRKEAYMLLYARCSPRAPRLIRNMIVSLNSKGKVSGKTVTMKTKHVSTDSGAAECMTSSISPDGSPTFETLHHSKFHHMKRILEEDSCSDNASLISNNSDEGSCTTDSTRDSSSTDDFGDYIFGDSGPSTLSHATGLPPPPTGSRGRVSHLHPDTTIHHRKLDDKSQGKEWMENFIGYHVIMVSRSLRAVNNSQA >OIW16198 pep chromosome:LupAngTanjil_v1.0:LG02:22732565:22735253:1 gene:TanjilG_18913 transcript:OIW16198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPFRRKTPLFNSETGAIIMKGRKNNNISIFVVVFSIFLFGIFMYNEDVKSIAEFPFSKPKAQEIQEGGGKSKHVEPVVKRGSRKEVLEEESVIFERDAKNNDVVGDESVTVTLSKSSRGKLDKSEGGGEEDSDETQEVIGLETVVDAEKEKKKKKKIEFPVMVEEDEEEEEDEVVEVPPEDCDLFNGKWVFDNMTHPLYKEDQCEFLTSQVTCMKNGRPDSMYQNWRWQPKDCSLPKFNPRLLFQKIRGKRLMFVGDSLNRNQWESMVCMVQSVAPPEKKTWYKNGSLAIFKIEEPNYITTVEFYWSPFLVESNSDDPNMHSILNRIIMPESIHKHGVNWKDADYLIFNTYIWWMNTFNMKVLRGSFDEGATEYDEVARPEAYGRVLKTWSKWVDDNIDPNRTKVFFTSTSPLHIKSEDWNNPDGIKCARETTPILNMSTPLNVGTDNRLFVIANNVTQSMKVPVYFVNITAMSELRKDAHTSVYTIRQGKMLTPEQQADPTTYADCIHWCLPGLPDTWNEFLYTRIISQS >OIW17319 pep chromosome:LupAngTanjil_v1.0:LG02:921123:925236:1 gene:TanjilG_22431 transcript:OIW17319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVILFGILCVILVFCFCSALLRWNEVRYMRKGLPQGTMGWPIFGETTEFLKQGPNFMKTQRARYGNVFKSHILGCPTIVSMDPELNRYILMNEAKGFSPGYPQSMLDILGKCNIAAVHGSTHKYMRGALLSIIGPTFIKDQLLPKIDEFMRIHLSDWGNKVINIQDKTKEMAFLSSLKQIAGVESSSIHQPFMKEFFKLVLGTLSLPINLPGTSYHHGLQARKNIIDILSKILEKRRASQETHLDMLGYLMSGSENKYKLSDEEMIDLIITIMYSGYETVSTTSMMAVKYLYHHPKVLHQIREEHFRIREGKKLEDPIDCNDLKAMKFTRAVIFETSRLATIVNGVLRKTTHDMELNGYLIPKGWRIYVYTREINYDPFLYQDPLTFNPWRWLGNSLESQRHFLIFGGGSRKCPGKELGIAEISTFLHYFVTRYRWEEVGGDKVMKFPRVEAPNGLHIRVSSYSN >OIW17385 pep chromosome:LupAngTanjil_v1.0:LG02:1472822:1476994:1 gene:TanjilG_22497 transcript:OIW17385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTVSREERPIRVYADGIYDLFHFGHARSLEQAKKSWVDEVIPDAPWVCNQEFLDKHNIDYVAHDSLPYADTSGAANDVYEFVKSIGKFKETKRTEGISTSDLIMRIVKDYNQYVLRNLDRGYSRKDLGVSYVKEKRLRVNRRLKTLQEKVKEQQEKIQIAAKNAGMHRNEWVENADRWVAGFLEMFEEGCHKMSWIMGHKLRSCKKNPLFCR >OIW17345 pep chromosome:LupAngTanjil_v1.0:LG02:1127105:1128253:-1 gene:TanjilG_22457 transcript:OIW17345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLWKRAAGAVKDKYSIWVARLAPRGPCRNPDLETVIIKATSHDEQCMDYKNVQRVFRWLRTSPLYLKPILYTISKRMEKTHSWVVALKGLMLIHGVFCFDLPIVQRMGRLPFDFSCFSDGHMSPEKGWGFNAFVRAYFAYLDHKSIFICAKAKKLSRNGYDNGTKETLMEELQNLEKLQGLIDMLIQIKPWNQHMKVVLILEAMDCIMDEVIELYDTFCTEIDRLLVKIYDVGGKVEASIGLSIVEKAELQGDKLSLYFDFCRDIGVLNASECPKILRIPEKDINELRKIINGVPEKKILEGTKNDVKANMEKGISENNESDKGLRTVITEKWEVFDDDIVIDVKENPSNGESCDNVTTTNPFLESCSLVPYIVLPDLICL >OIW16857 pep chromosome:LupAngTanjil_v1.0:LG02:13385399:13388102:-1 gene:TanjilG_31258 transcript:OIW16857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPSEPYWQTNTSFSPRPSRWDFRFQSEGLPYSLNDGTRLYASSTSSNGKDNGHWVRGNHLYDLHYSASERTGLILSSSPSDLSQSPQWTPPAIQEISIDDYTSRTRRDLHPSMRRVSYTPTMEGTSENPDSEGSTSSRSESSEPEDTTKSRLSSQKNFSNRRSFISNPIHPVSFPDLTPPKEAFDPAVTPLSEFGASTLLRDAQGWSSASNSQDFADVTKSFESETPDHPHITSDGFQCSLCERFLSQRSPWSSRRIMRSGVMPTTGVLPCCHVFHAECLEQMTPKTWKNDPPCPVCVRREEENSPDQRSLLRSRNSFPRLKSFTEDGSSRPWGCTRASDCIEGALHAPPQNATFLVNRSRIKRTFG >OIW17341 pep chromosome:LupAngTanjil_v1.0:LG02:1102881:1105520:-1 gene:TanjilG_22453 transcript:OIW17341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLTIPPSLTTPRDDARTLYKAFKGLGCDTSNVIKILAHRDSVQRDLIQQEYETTYSESLSKRLSSELHGNLKKAVLLWLHDPATRDAIILRQALSPQSGPIVDNQAITEVVCSRTPSQLRRLKEVYLSLYHSYLDRDIENQTNGDHKKLLLAYISVPRYEGPEFDELIVQEDAEELYNAGEKKRGTDEKMFIRIFSERSSAHLAAVASAYKAMHGNTLEKILRKSMKGVGTDDSRLIRVIVTRTEIDMQFIKSAYYSKYGKPLTHAVRSDTSGHYKDFLLRLLGPDY >OIW17399 pep chromosome:LupAngTanjil_v1.0:LG02:1608872:1610032:-1 gene:TanjilG_22511 transcript:OIW17399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGGRTSLPPPSRTSEDDNVKRVPFAKPPFSLSQVKKAIPPHCFQRSVLRSFSYVVYDLTIASILYYVATCYFHQLPSPFSFLAWPLYWAIQGCILTGVWVIAHECGHHAFSDYQWLDDTVGFVLHSALLVPYFSWKYSHRRHHSNTGSLDRDEVFVPKKKSSIQWYSKYLNNNPLGRFITLTITLTLGWPLYLAFNVSGRPYERFACHFDPYGPIYSDRERLQIYLSDAGVLAVCYGLFHLVMAKGLAWVVCVYGVPLLVVNGFLVLITFLQHTHPALPHYDSSEWDWFRGALATVDRDYGILNKVFHNITDTHVAHHLFSTMPHYHAMEATKAIKPILGEYYRFDGTPFVKAMWREARECVYVEPDQVPESKGVFWYNNKLDD >OIW16761 pep chromosome:LupAngTanjil_v1.0:LG02:15478223:15482129:1 gene:TanjilG_05495 transcript:OIW16761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLYVKAVPPPDLNRNTEWFTYPGVWTTYILILFFSWILVLSVFGCSPGIAWTTVNVAHFAVTYHFFHWKKGTPFADDQGMYNRLTWWEQIDNGKQLTRNRKFLTVVPLVLYLIASHTTDYQHPMLLFNTLAVIVLVVAKFPNMHKVRIFGINADK >OIW16585 pep chromosome:LupAngTanjil_v1.0:LG02:19729606:19737231:-1 gene:TanjilG_02791 transcript:OIW16585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKTSSCKICENSNIASICSICVNYRLNEYNTSLKLRRDRRDSLYSNLSEVLVLKGKVDDQSNWRVLHQEKLARLREKLRHSKEQVTQGRAKIEAISADLKLKYGVLESALSMLEKNRLEQLEKFYPNLICTQSLGHVAITSELLHKQSVVIKQICRLFPQRRMLIEGERRDGSSGQYDQICNARLPRALDPHSVPSEELSASLGYMVQLLNLVVHNLAAPALHNSGFAGSSSRIWQRDSYWDARPSSRSNEYPLFIPRQNYCSTSGENSWSDRSSSNFGVASMEYDRRPRLDSSGSSSFNYLASSYSVQTHKDLQKAISLLKKSVACITAYCYDSLCLDVPSEASTFEAFAKLLSTLSSSKEVRSVFSLKMARSRTCKQVQQLNNSVWNMNSAISSTTLLESAHSVPTMKIENYLPSSASSFLYTTDLTDGKNECLIEGWDIVEHPKFPPPPSQSEDVEHWTRAMFIDAKRK >OIW16141 pep chromosome:LupAngTanjil_v1.0:LG02:22164581:22167527:1 gene:TanjilG_18856 transcript:OIW16141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCCFSVSRIKAESPPRNGLRSKDGSKEVGLGSMVSTPSVPQTPRTEGEILKSSRMKSFTFSELRTATRNFRPDSVVGEGGFGCVFKGWIDEQTLAPVKPGTGMVIAVKRLNQEGLQGHSEWLTEINYLGQLRHPNLVKLIGYCLEDEHRLLVYEFLAKCSLDNHLFRRASYVQPLSWKIRMKVALDAAKGLAFLHSDEAKVIYRDFKTSNVLLDSNYNAKLSDFGLAKDGPAGDMSHVSTRVMGTYGYAAPEYMATGHLTKKSDVYSFGVVLLEIMSGKRVLDNNRPSGEHNLIEWAKPYLSSNRRIFQVMDARIEGQYTLRNAMKVADLVVLCISAEPRFRPKMEEVVAALEQLQDSDDKGVGSSRVQTSKRSDHGSISSGSSSSGSSSSGPKYRS >OIW16700 pep chromosome:LupAngTanjil_v1.0:LG02:17762176:17764125:-1 gene:TanjilG_24170 transcript:OIW16700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAGLVKKIAFSTMGSCTSKPNGKKSSGHKRKNNKSSKRRGKSSNAAIPDMALKRVSNAGNRVGEFTLGDFVNLDFDRPSSATCRRSEVSNMKIHLTQLQYHSHTQIDANGKFQDEAWFDSVSIIESDSDDDFSSVHGDCYPFAGNALGGVPNTQLLHYESSSCIVDSGCKYEEFYESYLKIDGGKCKNGEKTQESSSKQSTVYMLSVQRKSIDVKERTELCASERFLFRPRAGLQIPFSTPEKPFPGSWSAVSPSVFKLRGESFFRQVRKLDKQKCPAPEYCPYVPIGVDFFVCSRKIDHIAQHVELPFVKEHDKVPSLLIVNIQLPTYPASIFLGDADGEGMSLVLYFKLSESFDQEVSPQFQESIKVFFLSSIVT >OIW17181 pep chromosome:LupAngTanjil_v1.0:LG02:4262418:4265555:-1 gene:TanjilG_18136 transcript:OIW17181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVPGQLVWEIVKNNNSFLVKQFGRGSQSIEFSKEPNNLYNLNSYKYSGLANKKTVSIQAGGKDQSVVLATTKTKKQNKPASLVHKSVLKKDFRRIAKAVQNQVADNHYRPDLKKAALARLSAVHKSLKIAKSGPKKRNRQGL >OIW17392 pep chromosome:LupAngTanjil_v1.0:LG02:1531957:1535527:1 gene:TanjilG_22504 transcript:OIW17392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQEQCGNGERVHREQEKLENWLPITACRKAKWWYSTFHNVTAMVGAGVLGLPFAISQLGWIPGVLAIVLSWVVTFYSLWQLVEMHEMVPGRRFDRYFDLGEHVFGPKLGFWMVMPQQLTVQVASTIVYCVTGGKSLKKFFSMLSSPMSDIRQTYYILFFVVLQLLLSQTPNFNTLKGVSFLAALMSVCYSIVAFCTSIVKGTQHHPHDYGLRSHTLPGKIFDIFNALGTIAFAFAGHSVVLEIQATLPSTPEVPSKKPMWRGVLVAYTIVIVCYLSVAISGFWAFGNIVEDDVLISLEHPYWLIAVANFMVFIHVLGSFQVFAMPVFDTLESNLVQKWNFRPSRTLRIVARSIYVAIVGFVAICIPFFGGLLGFFGGLAFASTSYIVSTNCIIVNLTKDGE >OIW17325 pep chromosome:LupAngTanjil_v1.0:LG02:967133:967991:-1 gene:TanjilG_22437 transcript:OIW17325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMLYSFIPMAFYMALLVPSISGRIDQHWYNATATFYGDMNGGETMQGACGYGNLFKQGYGLETTALSTALFNEGLACGSCYEIKCINEPGWEFCVKNARSIKVTATNFCPPNYVDGGWCNPPQKHFDLSMKMFTTIALYRAGIVSVNYRRVPCIKKDGVKFELTGNPYWLLVLVYNVANAGDIKSVSIKGSKSGWFPMTHNWGQHWNTGQNLVGQTLSFQVTTSDGKMLQFDNVVPANWKFGGTYQSKQNF >OIW17425 pep chromosome:LupAngTanjil_v1.0:LG02:1794863:1795357:-1 gene:TanjilG_22537 transcript:OIW17425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHQTVAINFIKGKNLINNVCNLSPTRNLCVQVLSSDESSPNADLRDLAVIALRVAASNASSILTDAKMLIDDANLNPEVQQGLSDCKENILDAEDQLEDTIAALLEDDDTQAQKWLKAALAAITTCDASIPGDDDVLSVKSAQFRQLCNIAIVITKALPNLKF >OIW16782 pep chromosome:LupAngTanjil_v1.0:LG02:15876233:15881836:1 gene:TanjilG_05516 transcript:OIW16782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGNGRNGTEEHKRKANDCFLNQGGKQNIPKLPIKKNCPESLPNDNVNDSEHIVGEIHATTYKNPNPRQLQKNQLTIESRYNSLIREIEPKIEEQLPAKLSNRLEGVDSESWGMKTLSTLKVSANISKVDNIITSSNAHLIRSTTQQNSLTTYNFSQIILKQKLKGKGIVSKDLDKSTNLGGLLMGPEDENPSFEAMFQPGTLLRSNDDDDNRPFLQAIAKSGTESFTDGLNLREWLNFEGHKMSKSGSILIFKQIMELVDFAHSQGMVLQDLRPSCFTLSSSGKIKYIGSSGHLDLDKVMTYNVTRKRPMEHDICASQSLSTKLQKPCEDTRTVWQQQQHHFTGIHGCSTTTLNQTDPYMKRHIESRSKESLCHNGSNYQHTSTKEKQFTSVTMQLEEKWYCSPEELNDGVCTFSSNIYSLGVLLFELLCNIESWEVHSAVMSDLGHRILPPRFLSENSKEAGFCLWLLHPDPSSRPNTRMILESEFMHESEEANSGDDIAVSGEDEVETEQLLHFLSSLKDEKRKQAAKLAEELSCVDEDIKEIEKSYSFRTDSVFPLAKINYPSCSDVSRSIPSSSANESRFMSNINQLENSYFSTRFQVQLKEDSAVSSYDEDVLESRLRFPHVRNLNKEPKIIQSSVGRLGSFFEGLCKFSCYSKFEELGTLRNRDLLSSANVICALSFDRDEDYIAAAGVSKKIKIFDLSTILCDSVDIQYPVVEMSNKSKLSCVCWNSYIKNHLASTDYDGVVQMWDAGTGQPLSKYMEHQKRAWSVHFSLSDPKLFASGSDDCSVKLWNVSEASFLLGILITNHCGNSILENSIGTIQSPANVCCVQFNPYSKHLLFFGSADYKVYGYDLRQTRVPWCTLSGHGKAVSYVKFLDAETVVSASTDNSLKLWDLKKTSSSDACSLTFKGHSNEKNFVGLSVLDGYIACGSETNEVYCYHKSLPVPITSHKFESINPISGHSNSDDNNGQFVSSVCWRKKSNVLVAANSIGIVKLLQMV >OIW16102 pep chromosome:LupAngTanjil_v1.0:LG02:21785075:21786730:-1 gene:TanjilG_18817 transcript:OIW16102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQNVKRMLKLIEQDADSFAKKAEMYYQKRPELATLVGEFHRGYRALAERYDQVTIDLRKNIPSCLQSQGLVISDVGSEPFSIKGGHHKSGNRAAGFNFFLGSGGNGSDAYQKDGDESYTLKDFDEEYGDSLVNNYSGFLGNESDPGMARRMVELENEPNEVKEKPSVQEEGHVECSFKGPRIEDTEELYAKINAYELELMISNEEEITKLKSELLNYRPSDSEILEDGVELSSTEGYINIGEIQGSDNLVDKEMLEPNVEIDSVGEELRIAKEMLEISGKQIVLLKFDANKSAERIQQLQDELDMTQKDNVAWKTKFNSEKREKTKLQERLAKLKSSLLDRDHEFRDLRTVASDAEQKMLNEKVQLKFEMSKLLEEQANLKELIEEWECRGLSFEDEMNNILSLKIEMEEALKGEIELLKANIETRENNIKDLNVKLDALKLERDNLKIEVGSIKEEVNSRDCRIEHFENDLNQLHMEQGQLIAGMEKAQSQVEELESKAKQLEEEVERQKVEIFERAEEKREAIRQLCFSLEHYKNNYNILLQYFKGHR >OIW16260 pep chromosome:LupAngTanjil_v1.0:LG02:23235538:23239567:-1 gene:TanjilG_18975 transcript:OIW16260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGEGAIGKGMQAIWLTFLLLVMAAIALGNSDIEALLELKKSIQNDPSGVVVNSWDSKSLYSDGCPQNWYGILCSEGNVISITLDNAGLVGEFNFLAISGLEMLRNLSIADNRFTGSILHIGQMESLQFLDLSLNKFNGLVPSNLVKLRNLVYLNLSSNDFGGALPIVFHKLERLKYLDLHSNNFSGDIMHIFSQMGSVVHVDLSSNGFSGIVDLGLGDDSFLSSIRYLNISHNSLSGELFAHDGMPYLDNLVVFDASNNQLVGNIPSFGFVVSLRVLRLGCNQLSGSLPEALLKESSMLLSELDLSQNKLEGPIGSITSVTLRKLNISSNKLSGPLPLTVGHCAIVDLSNNTLSGNISRIQYWGNYVEVIQLSSNSLAGMLPNKTSQLLRLIALKVSDNSLEGFLPPVLGTYPELKEIDLSLNQLSGFLLPSLFNSTKLTNLNLSNNKISGSIPIQLQQVPNDPLISAENFSLVSLDLSHNNLSGILPSNISKFDNLAYLNLCDNKLEGTIPDNLPVKLRGLNVSFNDLSGVIPDNLMQFPESAFHPGNTLLIFPHSSSSPKNASILGSGEHRSHKKSATRRALIASSVTGAFVIAFIGIIIIYYRLCWQNKKTPKQNRAVGITQESSSTLTREERNKNLEGLPSTQGASPDDARNIHATVKNPEDLDHPEIVKNDEGTSSPISFLSVSNPSTSKSHQFENPASLRVSSPDKLVKDLHLFDGSLVLTAEELSSAPAEVIGRSCHGTLYKATLESGHALAIKWLREGITKGKREFAREVKKLGTIKHPSLVSIQGYYLGPKEHERLIISNYMDAQSIDIYLHEADKRNLHPLSLDERLRVAVDAARCLNYLHNEKAIPHGNLKSTNILLGAPSRNVLLTDYSLHRLLTPAGTAEQVLNAGALGYRPPEFARSSKPCPSLKSDVYAFGVVLLELLTGRSPGEIVSGIQDTIELTDWVRFLAEENRSNQCFDRFLMDKHHSGERSSKILDDMLKVALKCTFPPSDRPDMNTVFEDLSAIR >OIW16316 pep chromosome:LupAngTanjil_v1.0:LG02:23709310:23712420:1 gene:TanjilG_19032 transcript:OIW16316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSENVPKSKKQVNLFYSLDCKDLAFNVASNCDHIILHSIKWRSFADGFPNIYIDNAEELRGQHVAFLASFSSPAHVFEQLSVIYALPRLFVASFTLVLPFFPTGSFERMEEEGDVATAFTLARMLSNIPISRGGPSSLLIYDIHALQERFYFSDEVLPLFETGIPLLKQRLSQLPDADNVVIAFPDDGAWKRFHKQFDKFSVVVCNKVREGDKRIVRIKEGNASGRHVVIVDDLVQSGGTLIECQKVLAAHGAAKVSAYVTHGVFPNQSWERFMHKNDGLENAFANFWITDSCPLTVKAIGNKAPFEVLSLAGSIANALQI >OIW16535 pep chromosome:LupAngTanjil_v1.0:LG02:20797933:20799269:-1 gene:TanjilG_32206 transcript:OIW16535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLVASWNFSIFILLISFTCSFSSIFTITNNCHQTIWPGTLSGAGTPSLPTTGFQLEPGQSVKLTSVPGWSGRIWARTGCTFDATGIGKCQTGDCGGRLECDGNGAAPPTSLFEITLGEGNEQDYYDVSMVDGYNLPLLALPRGVYGDSPCNATGCVNDINIGCPKELQVVGGDGYQGDTGVVGCKSACEAFGSDQYCCSGQFANPTICQPSYYSSIFKRACPRAYSYAFDDGTSTFTCKAYEYDIIFCPNSNRNANSNVTFSPPTAPIRQPYGKFQQISSSSNILLPSQVTIFLFVAIVSAFVAKTWPLM >OIW17367 pep chromosome:LupAngTanjil_v1.0:LG02:1324558:1330447:-1 gene:TanjilG_22479 transcript:OIW17367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVASRFMCTTLTHHGFSSTTHRTHLGHAQLTLSSRKNQNFHCSVSASDATKTSSVKETIIPWGCDIDSVENASALQKWLSDSGLPAQKMGIERVDVGERGLVALKNIRKGEKLLFVPPSLVITADSEWSSPEAGEVLKRNSVPDWPLIATYLISEASRMKSSRWSNYISALPRQPYSLLYWSQAELDRYLEASQIRERAIERINNVVGTYNDLRLRIFSKYPDLFPEEVFNIDSFIWSFGILFSRLVRLPSMDGKVALVPWADMLNHSCDVGTYLDYDKSSKGIVFTTDRVYQPGEQVFISYGKKSNGELLLSYGFVPREGANPSDSVELALSLEKSDESYEQKSELLKKYGLSESQCFPIQITGWPLELMAYAYLAVSPSSLSGKFEEVSGSLDLDVTSPKQLNRRLFLKQLAVDLCNSERRILFRAQYILRRRVRDMRSGELRALKIFDGFRNLFQ >OIW16933 pep chromosome:LupAngTanjil_v1.0:LG02:10412756:10413001:-1 gene:TanjilG_18621 transcript:OIW16933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGCCWVHQGDMSLTVMACCCGVVLKVLQWWLNRSQGRSFYSGCNIGGYNTVMHGYYDSGGVGCASMMVHMEDVQYDGQLF >OIW16214 pep chromosome:LupAngTanjil_v1.0:LG02:22860759:22863240:-1 gene:TanjilG_18929 transcript:OIW16214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPVDGARVPLLPESKLNHVKPATVSGAVFNVATSIIGAGIMSIPATLKVMGVVPAFLLILVIALLAEISVEFLMRFTHSGKTTTYAGVMREAFGPTGAIAAQICVTITNLGCLIMYLIIIGDVLSGKQQESDKEVHLGILQQWLGIHWWTSRQFTLFITLVVVMLPLVLYRRVESLKVSSAISTLLAVAFVTICSVLAIVAVVQGKTETPRLFPRLDHHTSFFDLFTAVPVIVTAYTFHFNVHPIGFELEKPSDMTTAVRIALMLCAAIYFAIGLFGYLLFGDSTQSDILVNFDQNADSAVGSLLNTLVRLSYAFHVMLVFPLLNFSLRANIDELLFPKKPLLATDNKRFVILTLVLLVFSYIAAIAVPDIWYLFQFVGSTSAVCLAFIFPGSIVLRDVYGISIRRDKIIALIMVVVAAVTSVIAVSTNIYNILGGKS >OIW16130 pep chromosome:LupAngTanjil_v1.0:LG02:22038580:22043967:1 gene:TanjilG_18845 transcript:OIW16130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GVEGSTVAARKNDKVVNDDLLKDEIVSEHLTRNIQFFGFESQKKVSASYVVVIGLGGVGSHAANMLLRSGVGKLLLVDFDQVSLSSLNRHAVATRADVGIPKAQCLKEHFLSIFPECQIDAKVMLYDSSTEEEILSGHPDFVLDCIDNIDTKVALLAACVRRGLKVLSATGAGARADPTRIRIADLRESTNDPLSRSVRHRLRKDYGIEGGIPVVFSLEKPKAKLLPFKGPSGEEENPSDYQIVPGFRVRIIPVLGTIPAIFGQVMASYVVTELAGLQVQTEPIVNFDMDHYHTLHQRLIEHEELLYGTSVEVQVDVEEVMYIVKELWRGRSAREQLVKDVGRGMWRSVNELMLVRWDCTKPASISNLILLKFKEVDEHESTTLDDIKETEPEFYSRVTSVLKRAELDFGL >OIW16426 pep chromosome:LupAngTanjil_v1.0:LG02:24460129:24462105:-1 gene:TanjilG_19142 transcript:OIW16426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRFYLPDCFTLPCVIKGCARLNAVCEGKQIHGLALKIGFGGDKFVQSSLVSMYAKWGDMVVAREVFDRMRDRGLVAWNSLIDGYAKNGDLEVALKLFDEMPSRDSFTWTALVDGLSKCNKIDAAREMFDQMPSKNLVSWNAMINGYMKAGKVNLAQQMFQQMPMRNIVSWNSMVAGHEVNGQFFEALELFDALLKEGLMPSHVTVLSALSAVSGLAFLSNGRWIHSFMVKHGFELDGVLACDGSLCSFEDTSPEFIASLLRHTITPGVIINVIFI >OIW16606 pep chromosome:LupAngTanjil_v1.0:LG02:20166983:20167405:-1 gene:TanjilG_02812 transcript:OIW16606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTQLNPPPLVYKIKKSEFKEFVQKVTGCTPKVTAQPPSIKIPNPPNTRCFRRQPPPLNTTFRSPNNPTNHINNDIVVASQPMLPPASFPSVSIGVESPINPYMNFVNDTVMSSQIPLMYPSVLFPHDQVGFPRWNDVQI >OIW16752 pep chromosome:LupAngTanjil_v1.0:LG02:16170484:16173107:-1 gene:TanjilG_06949 transcript:OIW16752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVDSILSSPLGPPACEKDAKALQFIEDMTRNADTVQQRVLAEILSRNAETEWLKRFKLGGATDRETFKSKIPVIMYEDVQPEIQRIANGDRSAILSAHPISEFLTSSGTSAGERKLMPTIKEELDRRQLLYSLLMPVMNLYVPGLDKGKGLYLLFVKSETKTPSGLCARPVLTSYYKSEHFKTRPYDPYMVYTSPNEAILCPNSFQSMYIQMLCGLIECNQVLRLGAIFASGLLRAIRFLELNWFELAHDIRTGTLNSRITDSSLKDHMKSIMKPNQELASFITQECSKENWEGIIIRIWPNTKYLDVIVTGAMAQYIPTLNYYSGGLPMACTMYASSECYFGLNLNPMCTPSKVSYTIMPNMAYFEFLPHESVEFTCDLSPRLVDLVDVEVGKEYELVITTYAGLYRYRVGDILRVTGFHNSAPQFHFVRRKNVLLNIDSDKTNESELQIAVENASKLLAKFNTSVVEYTSHADTKTIPGHYVIYWELLTKGSSNSPSHDVLNQCCLEMEESLNSVYRQCRVTDHSIGPLEIRVVKSGTFEELMDYSISRGASINQYKVPRCVNFTPIMELLDSRVVSIHFSQDLPHWTPERRR >OIW16851 pep chromosome:LupAngTanjil_v1.0:LG02:13140863:13145363:-1 gene:TanjilG_31252 transcript:OIW16851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMGTKSFVNDFVYVNMLWAFGSLRVFICNYMLYSLGLILRYFFRFLKVADAEKELLKPQSEADECERNIEIDGFREELANFLFWRGDVDDGLQGSGEREEDTESSVFLVSFSDVPEDSEKLEGEKECLVFKKIHSDIHEGIQKTEIHTKYSVSKENIHEDGGSSYMEIVSVNAVFHEDGEKSREENEGFVFVETYSDVHQDGKIIIDEDEAKSSVFKEKGSADQPDSISIEQEETGEPFSTESEYNVHEDGKNIEEGETENSVFIHCCSDVNEGNKKIEENEEGTVFVETETATTTSMYQYMSGKDIISGFIEEPTAMRFSFREIFMGPGISSVSNNACASTNIIPNKVFSELDTEKLVQFESEAFGETDSSDDEDNFPSNEHSVESDSDSESSTSSGLIWGNGNNFEDSFAYQFLASNEGFESELLKQIMGEDKFEAEEKQLSCGGKVSAEDGYIEMEGGVKDLKSSDADSFGNKDQNEGSCNEEKVCRNEKSEETRWEKELYESECDEENEDNFEWEHDDLVEQLKIELKNARQGGLATIFEEEDEEVEEEALEVESPKVVEDLKPLNIEEKLEYKDQIDEIENVYMSYAEKMRKLDIMNYQTMHALGLLQLKDPLKLVSIQKSTIPGAKPVITQNLWPRKASKNTSDPLLKIVNELHRDLELVYVGQICLSWEILCWQHKKAQELQELHSQGCKYNHVASEFQLFQVLLNRFIENEPFQQGNRIQNYVMNRCVIRNLLQVPAIKDDSMKDKNITKGDEKDDAISSGRLVDIIKESMSVFWEFVRADKDYGNVILKGYQQIGLDLKDPATSCLLVDIRTQLQKKERKLKDIVRSGNCIVRKFQKHHEDQLDHEQMVAQVWLIQDKTL >OIW17174 pep chromosome:LupAngTanjil_v1.0:LG02:4137838:4141553:1 gene:TanjilG_18129 transcript:OIW17174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKMMGLTAILPRSTTAIFFALLISSVHSFYLPGVAPRDFLTGDLLSVKVNKLSSTKTQLPYDYYYLKYCKPSKIVNNAENLGEVLRGDRIENSIYTFQMRKEQSCTVVCHVTLDAESAKNFKEKIDDEYRVNMILDNLPVAVLRQRRDGSQSTTYEHGFRVGFKGNYQGSKEEKYFINNHLSFRVMHHKDSETDSARIVGFEVTPNSINHEYKEWNDKNPQVTTCNKDTKNLMQGSTVPQEVDTNKDIVFTYDVSFKESEIKWASRWDTYLLMNDDQIHWFSIINSLMIVLFLSGMVAMIMMRTLYRDIANYNQLETQDEAQEETGWKLVHGDVFRPPINSNLLCVYVGTGVQLFAMALVTMIFALLGFLSPSNRGGLMTAMVLLWVFMGLFAGYSSARLYKMFKGTEWKRNTLKTAFMFPGILFAVFFVLNALIWGEQSSGAVPFGTMFALVGLWFGISVPLVFVGSYLGFKKPAIEDPVKTNKIPRQVPEQAWYMKPLFSILVGGILPFGAVFIELFFILTSIWLNQFYYIFGFLFIVFVILLITCAEITIVLCYFQLCSEDYNWWWRSYLTAGSSALYLFLYSIFYFFTKLDITKLVSGMLYFGYMIIVSYAFFVLTGTIGFYACLWFVRKIYSSVKID >OIW16742 pep chromosome:LupAngTanjil_v1.0:LG02:17533546:17534994:-1 gene:TanjilG_14512 transcript:OIW16742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNKNSIKIHDHFNVTPPSSATHLSLPLTYFDVFWLRFHPVERTFFYSFPSQHTDPSFFYDQVVPKLKTSLSLTLQHFLPLAGKIVWPEDSEKPIIQYNPGDDDGVSLVIAESDANFNDILDNSPHEASESRSFVPHLESSDSSASVISIQITLFPNSGFCIGITAHHAILDGKSSTMFIKAWASLSQTSEESSPLVQELEPLFDREVIKDPKGLDTVFTNHWKNIASMLDPSDTNNKKSLEILSHAFPPKVEDSLRATFDLTRSDLEKIKKRVLSKWDTIVYEEESNNSNPYPKPHALSTFVATCAYVTVCIAKAFQESEKEKHKFWFAFTVDCRSRLEPPLPDKYFGNCVCGHVTDTKTEDFTNEDGLVLVAKKIYSTIKKIEKGALDGIEDLFSTYSSLMGENVVGIGVAGSNRFSVYGTDFGWGSPTKVEIPSVDRGVTIGIAESKDGKGGIQVELVLKKNVMGLFHTLFHAGLSEN >OIW16708 pep chromosome:LupAngTanjil_v1.0:LG02:17914106:17917459:1 gene:TanjilG_24178 transcript:OIW16708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLHCIPKISSVSFTPKTSHPFINEVTNPKFHSLPKRVNSVELSTEFLSTDSSGPTKQCFCGRRHFIETAIIGTTFFPIQPSKATNSHSDYTALVKKFHPPRPDWYEEFYASVMNSATKSYEAEVAMYKSQIFSDLKGKALKILEVGIGTGPNLSYYASNSDVEVVGIDPNPKMEKYARSSAASAGLPLTNFEFIQAVGEAIPLSDASVDAVIGTLVLCSVRDVDMTLKEVRRVLRPGGQYVFVEHVAAKDGTFLKFMQRVLDPLQQTIADGCHLSRETGNNISRAGFSSVELNMAFLSNATFINPHAYGIAYK >OIW16168 pep chromosome:LupAngTanjil_v1.0:LG02:22455585:22459077:-1 gene:TanjilG_18883 transcript:OIW16168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKLCPNLDRDGALETVLEVPVPDEIFRKFSGTNKAWRNMKSWMKPNIESSSQSSLFGGRNTEMQLLLGVAGAPLLPFSISHDKQQPITSHSLKGQNIEASMAKYIVKQYLAAVGGESKLESVESMYAMGQVKISTSEFSGGEGNEGVKMKKAEIGGFVLWQKKPELWCLEMVISGYKISAGSDGKVTWRQTPWHHAHASRVPPRPLRRLFQGLDPKSTANLFNNSICIGEKTVNNEQCFTLKLEAESSSLQARNSNNVDIIQHTVWGYFSQKTGLLVQFEDSYWLKLKSPGSDTIYWETKVESFIQDYREVDGIHIAHSGNTCVSLSRFGEGPESHSRTRMEETWKIEEVDFNIKGLSMDCFLGPSDFKRDEEKEVAECGVVANNAKLPYKTWSSSVKISASKVVAINVDDSSESESESDEN >OIW16549 pep chromosome:LupAngTanjil_v1.0:LG02:20663272:20666189:1 gene:TanjilG_08406 transcript:OIW16549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEFINSIKHAFGLARNLELELPNMSNQPLHILCLSIDDVMKAFNGAKERLLLMMMSQQDRTKTASSFVPMLSTHDATTSMLTSYMDHMFQMQQLSFDHVRALHENKIIGGVDIQKLSYKGTLKIGEKGERDVECYVRSKSEGNVQRIEASSTPRPRKSRKNDSVKKTIMVPAPQVGNTELPPEDGFTWRKYGQKEILGSMYPRGYYRCTHHKLYDCKAKKLIQRVDHNPNIFEVTYRGEHTCHMSSTAPSSYPLLVNISKDMTQSTMSPHLSPSSTSIPSTLRGGGGVTTNSDPFASKYDGDYLVADLADAMFNWGSSNNSIEPLFPNSNEGKWEQTEKKNS >OIW17282 pep chromosome:LupAngTanjil_v1.0:LG02:620561:625968:-1 gene:TanjilG_22394 transcript:OIW17282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGNDDGGARKIFWRSASWSGEARNDFADPNSGDGQNRRFPLPPLPLTPRSQQQSSKSRSCLPPLSIARRSLEEWPKASSDDIGEWPEIPTTPSGGGRGSSSSNNNGEKLKLDLSSIQKNHDNMNNCNGNSSVGLVKRDKIAFFDKECSKVADHVYLGGDAVAKDRDILKHNGITHVLNCVGFVCPEYFKADFVYRTLWLQDNPSEDITSILYDVFDYFEDVREHGGRVFVHCCQGVSRSTSLVIAYLMWREGQSFDDAFQYGMVGNDDGGARKIFWRSASWSGEARNDFADPNSGDGQNRRFPLPPLPLTPRSQQQSSKSRSCLPPLSIARRSLEEWPKASSDDIGEWPEIPTTPSGGGRGSSSSNNNGEKLKLDLSSIQKNHDNMNNCNGNSSVGLVKRDKIAFFDKECSKVADHVYLGGDAVAKDRDILKHNGITHVLNCVGFVCPEYFKADFVYRTLWLQDNPSEDITSILYDVFDYFEDVREHGGRVFVHCCQGVSRSTSLVIAYLMWREGQSFDDAFQYVKAARGIADPNMGFACQLLQCQKRVHAVPLSPSSLLRMYRITPHSPYDPLHLVPKMLMDPSSSALDSRGAFIVHIPSAMYVWIGKNCEAIMERDARGAVGQIVRYEKVQGPIVMIKEGDEPTYFWDTFSNFLPLMDKSGNGVENIKSSVMVWPGERRVSSYDLDFEVFRRAIKGGIVPPFTSSEDEHETLLPARESSWSVLRCKLSSATMKEFVSAPKLPFQRVYSDSMLCIHTSANSSPSMSISSSSSSSSSPFYVSPNYISSDSSTHPKFLSELTLGSSSEVLVSVPVSSPLSTFSNLSLSSEPVSNSADIRSMKISHPHSQSALPLKKPSTSLAERRGSLSKSLKLPLMNDNTQEINTASTFHASSEAGVLMNGNVSHLQQSDSSNHFYEANDHLNDTGVNAPQQFELTLCPDNVDGVDFLESSLVRDCVEPLIDTPSREDLKSTSSNGIDECGSLQHSMTTTSVYHWPSLEKIETFGASHLDSKVAFVVFTPSMPACAGNVLYFWLGRSFSCDTSQVQVDSDRESNFLRAVDWNRIGGGVLARFSLPKNTIIKIVKENEEPEEFLALLRSL >OIW16124 pep chromosome:LupAngTanjil_v1.0:LG02:21980215:21992678:1 gene:TanjilG_18839 transcript:OIW16124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLKPFNFPAKRKTTIFLLTAAATATVAAVSQASNSDDLSPFPDFSAEIHGFVRTARAVSTVASTIVDYELSLRGLHRDSDQYRQTIPQVHLRCAKRFLKLCEANKGFYVKAGQFVASQRVIPKEYSSTLSALQDQVSPLPYNIIKKVLKDNLGPDFTDMFLSVDEQPIAAASIAQVHHAVLKSGHEVAIKLYPQYRFEWLPSAFAESMSSELDFVQEAKNSERAAKNFYNNKMVRVPHVFWELTTRQVLTMQFCSGHKVAKSLMELFAEMIFVHGYIHGDPHPGNILVSPEGCNGFSLVLLDHAVYRELDEEFRKDFCQLWEALILKDSKKTVVLGERFGAGKFARYLPIIFTGTTIESKYALGTSVEEKETMKNELKSLLFEDISSFMESVPPEFIAIMRADGLLRSIIRKMDVSRITRLLTYTKYAVYGRLCPKLDGECKMARTNYYFTVKVAVFSLISTLRYILILIKVSIGTIDGIPWRQKVKNTLNYLSSKISGDFWGLMVHSVFLLLCIRPTALF >OIW16702 pep chromosome:LupAngTanjil_v1.0:LG02:17785368:17788416:1 gene:TanjilG_24172 transcript:OIW16702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTVSSLTGSSSASSFTNFHRGAWCTPPHLFLEDQFDVVPPETASVSSTGKEVGERTAKEVSKAASEARKKSKILQPVTWQDTPFRLEPDHNSGSSGGTSALAPLQLEARSAFQTSQAVSSSVGIVASSPEISLDSPDIHSFCVSSMQAEEARRLTGMSAAGAATLMGIPLSRSTSDGHDASGGEDSDRVPTRIVQRSTNWNDLVFCIDRDKRAFEPKSSSSMVHNRKL >OIW16849 pep chromosome:LupAngTanjil_v1.0:LG02:13069754:13072830:-1 gene:TanjilG_31250 transcript:OIW16849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPSSADGRTRSSVQIFIVVGLCCFFYILGAWQRSGFGKGDSIALEITKKGADCNIIPNLSFDSYHGGEFSKIDEVDSKVFEPCDARYTDYTPCQDQQRAMTFPRENMNYRERHCPRVEEKLHCLIPAPNGYVTPFPWPKSRDYVPYANAPYKSLTVEKAIQNWIQYEGNVFRFPGGGTQFPQGADKYIDQIASVIPIKNGTVRTALDTGCGVASWGAYLWSRNVIAMSFAPRDSHEAQVQFALERGIPAVIGVLGTIKTPYPSAAFDMAHCSRCLIPWGTNDGMYMMEVDRVLRPGGYWVLSGPPINWKVNYKAWQRPKEDLEEEQRKIEEVAKLLCWEKKSEKAEIAIWQKTVDSESCRSRQEDLSVKFCESTDADDAWYKKMEACVTPNSKVSGGDLKPFPQRLYAIPPRIASGSVPGVSAETFQDDNKKWKKHVNAYKKINRLLDSGRYRNIMDMNAGLGSFAAAIQSPKLWVMNVVPTIAEKNTLGVIYERGLIGIYHDWCEGFSTYPRTYDLIHSHGLFSLYSDKCNIEDILLEMDRILRPEGAVIFRDEVDVLIKVKKLVGGMRWDTKMVDHEDGPLVPEKILIAVKQYWVTGSNSTST >OIW16251 pep chromosome:LupAngTanjil_v1.0:LG02:23158642:23166260:-1 gene:TanjilG_18966 transcript:OIW16251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKSVTPTSLVFAVNGNRFELSKPDPSTTLLHFLRSQTPFKSVKLGCAEGGCGACVVLISKYDPVLDKVEDFTASSCLTLLCSIHGCSITTSEGIGNSKDGFHPIHERFAGFHASQCGFCTPGMCVSLFGTLVNAEKTNGPEPPPGFSKVSAAEAEKAIAGNLCRCTGYRPIADACKSFAADVDMEDLGFNSFWRKGDSKDLKLSKLPQYDSNQKNSKFPMFLKEIKHDNVFLASEKHTWHSPTSLKELQSLLKLNHAIGTQIKLLVSNTGMGYYKDNEGYDKYIDLRGISELSTIKKDQTGLEIGAAVAISKAVEALKEARRSDVLSEFVMILDKIADHMNKVASGFIRNTASVGGNLVMAQKNHFPSDIATILLAVDTMVHIMTGIQFEWITLEEFLERAPLSFDSVLLSIKIPSLALTKSKSSEQISRFLFETYRASPRPLGNALAYLNAAFLVEVSPCKDSGGTIIDNCRLSFGAYGIKHAIRAKNVEEILAGKPLTVSILYEAVKLLTTTIVPEDGTSKDAYRSSLAAAFLFQFFSPLIDSSAKITHGHLNGHTCVPSMRDFELKENHKQVHLDKIPTLLSSGKQVIEAGSEYHPVGAPIVKSGAALQASEPFWIHPNVTVIAGETVYVDDIPSPTNCLYGAYIYSEKPLARIRSIKLRPELQLDGVRDIISIKDIPNGGENIGSKSIFGSEPLFAENIARCVGDRLALVVADTQKLADMAANSAIVDYDIENIESPILSVEEAVERSSFFEVPPFLSPKHVGDISKGMAEADHRILSAKMKLGSQYYFYLETQTALAVPDEDNCIVVYSSSQCPEFAHSTVARCLGIPENNVRIITRRVGGGYGGKAMKAVSTAVSCAVAAHKLSRPVRIYLNRKTDMIMAGGRHPMKIIYSIGFKNDGKITALELQILVDAGIYVDVSAIMPRNIIGALKKYDWGALSFDIKVCRTNRPSRSAMRGPGEVQGSFIAEAVIENVAASLLMDVDLVRSINLHTHKSLQSFYEGASGEPHEYTLPSVWHKLAVSANFEQRVELVKEFNKINTWKKRGISRVPAVYQLTLRPTPGKVSIFSDGSVIVEVGGIELGQGLWTKVKQMAAFALSAIQCDGTRDLVDKVRVVQSDTVSLIQGGFTAGSTTSESSCEAVRLSCNILVERLRPLKEKLQNEMGSIKWESLILQAYMQAVNLSASAFYVPNNDSTSYLNYGAAVSEVEIDLLTGETRFLQTDIVYDCGQSLNPAVDLGQIEGAFVQGLGFFMLEEYETNGDGLVLADGTWNYKIPTLDTIPQQFNVQIINSGHHQHRVLSSKASGEPPLLLAASIHCATRAAVKESRKQVNSWNKRNSNDETDSTFELEVPATMPVVKELSGLDIVQRYLEWKIGNK >OIW16951 pep chromosome:LupAngTanjil_v1.0:LG02:10135809:10144337:1 gene:TanjilG_08341 transcript:OIW16951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYQVQNLVHQINEADSRHTISEMSKKPWRIIPRPLFETILNNHAQHHRVPQPLLLHGPRGVGKTTLILHRLLKDWNKGPHITGYVDFAESIKDQNLTSFPWASWSTCPPPALSDCRKSLEKCLQSMAEKGVLLGTITSQQIFVTLNKWHGLTGALRSVLQTATNSPVSDRVVPAVLWDRAIFALSARYNANEITGFEEIGKDSLSVEEASYLKESFVALKLAKKVIEVEQKWRANAIAHMNRTGVLSRTLIHSSTDWPCLLLELLSQAAEIDHFQPKLVINNIEVLKHATLNAEPSSISGPLYHDSLIWRIVSLGANERCLPVILMTSDSWQTFGWTAQEAKMHMVTDYFSHSEWKVIAEVLGPNPRHLFELYALKQSSYHPKIPEDKACHIEDIVDAYLAYLQIIVVNPAMDGALEILQKFAADALSGKVSKDRLRFGAPWRHPPQTNDPKLRIEWAKLQLIDFVQALVNTEFGVNYRTDYSEEIFDDPSSVALLEVGLLYVQRDPPFIRPISRGIQRCLVRWCTLENDELRQVFRVHGQM >OIW16295 pep chromosome:LupAngTanjil_v1.0:LG02:23533857:23536637:-1 gene:TanjilG_19011 transcript:OIW16295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSEENLIGLILALVSSGFIGASFIIKKQGLKRAAAVSGVRAGVGGYYYLLEPLWWVGMIIMIVGEVANFVAYAFAPAVLVTPLGALSIIMSATLAQLILKEKLHQFGILGCVMCIIGSVIVVIHAPKEQPIKSVLEIWDMATQPAFLAYVGSIIVLVFILVFHFVPRCGHTNVLVYTGICSLMGSLTVMSVKALGTSLKLTFEGENQLIYSETWFFMLVVAVCVIMQMNYLNKALDTFNTAVVSPIYYAMFTTLTILASVIMFKDWDGQSEGTIVSEICGFIVVLSGTILLHATKEFERSCSFRGSAPSSPSLSVRLYNGNEDCFLKHDEENGSPSESIHGGKMDPSERDVLSDDNMHQKILMKP >OIW17210 pep chromosome:LupAngTanjil_v1.0:LG02:3334241:3338830:-1 gene:TanjilG_02499 transcript:OIW17210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGKPWEGLRKVEFLEHVRVKEEYGGEKLKRESLKELREMFEARKMDELKWVFDDGIETDQVWFNGEPETWGGENKRSKRSEGEVIKFLVDRLSDREITTTDWRFSRIMKLSGLPFTERQLLRILELLGAKGCWKQAISVVQWVYNYKDHRKYQSRFVYTKLLSVLGKSRRPKEALQVFNLMRGNVHVYPDNAAYHSIAVTLGQAGHMKDLMNIVELMRQKPKTVKFMHHKDWDPVIEPDVVIYNAVLNACVPSKQWKGVSWIFKQLKKSGLKPNGATYGLAMEVMLESGKYDLVHEFFEKMRRSGEVPKALTYKVLVRTFWRQGKVDEAVEAINNMEKRGVMGTASVYYELACCLCNYGRWQEAVKMVEKIKRLRHARPLEYTFTGMIMSSLDGGHIDDCIHIFEYMKDHCAPNIGTINIMLKVYGRSDMFSKAKELFEDVKLAKSDLFVTPEDGSGSSVIPDVYTYSEMLEASASAHQWEYFEHVYKEMTLSDCQLDQDKHLSLLVKASRAGKCHLLEHAFDMILEAGEIPHHLFFFELMIQAIAQHNYERAVILVNTMAYAPFQVAEKQWTYLFKENEDRISHENLKRLLDALANCDVVSEPTVSNLSRSLHVLCGLGTSRNIYSIILPRSENTVNDQNEGVDDGKNGNMPNISGRIMMESAKPGNEIPFSSKHAEPDILTINHDQVDIENHNDFMVSRPRNCDIEDRVSLHDDKQECADNPVPDMSYDSLDEDLWDDGSSEEDDDDDEGIPGKPSAYEILEAWKEMKKEDESYLHS >OIW16972 pep chromosome:LupAngTanjil_v1.0:LG02:8814950:8818977:1 gene:TanjilG_32839 transcript:OIW16972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEGAIESSIPDSSECDVSIPRGGPIYIPNMLSPSTTLNHFHSSLLYLLQDLDQELLNHHHHDDLSVHDLKVFTDHDLMDMTLKQLFHDRENNENHPPLLDQSNDKNSRRKRKRKGSNNAILQSGCLEKVEQIVRIKHKQEEDKEAVRLNSFNPSCKITESAQSSTRVERMRSLRSTSSIRKVNTVNLQEQIPVLHPEVVLSVEVYHNVRKRVKTQELLVLGGQTLSALRDKIYCSMDHVMQKAEQNDPSGYFLIEDVFYNDLRDPSAIDYSRPILDWLQNSKDEAQKKWEYIMNGEVQQKQKSIMGEVSAPDLPHFTSGEMHKIHFCDLRIRLGAGYLYCHQGDCNHTLVIRDMRLLHPDDMHNRAVYPITTFQRKLIFQKCSVCNIFRATKVTVDDKWTPKNPCFFCEECFALLHLDEDGSPLHHGYKEYDYNHD >OIW16184 pep chromosome:LupAngTanjil_v1.0:LG02:22616231:22620463:1 gene:TanjilG_18899 transcript:OIW16184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPQKQGEETMMSSLNETIEHDEREEENKGISHSGLKNFLWHGGSVYDAWFSCASNQVAQVLLTLPYSFSQLGMISGIIFQVFYGILGSWTAYLISILYIEYRSRKEKENVNFKNHVIQWFEVLEGLLGPYWKAVGLAFNCTFLLFGSVIQLIACARIWSFLGLGMTTYTACEIMHAMWKPQKFKCIYLYATLYVFTLTLPSATAMYWAFGDKLLDHSNAFALLPRSAWRDAAVVLMLIHQFITFGFACTPLYFVWEKVIGMHDTKSIFLRALARLPVVIPIWFLAIIFPFFGPINSAVGALLVSFTVYIIPASAHMLTFKSASARQNAAEKLPFFIPSWTLMYVINAFVVVWVLVVGFGFGGWASMTNFIRQVDTFGLFAKCYQCPPKVPATNQTLHH >OIW16822 pep chromosome:LupAngTanjil_v1.0:LG02:13657163:13666469:-1 gene:TanjilG_06862 transcript:OIW16822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDASSQLVSCRIHPFHHHYSPSRRNNNLFPFQRRSNRIFAVSTEPKPKTKTAPSPPPKPVNGVSTRIGDVSKEIKRVRAQMEEDEQLASLMRGLRGQNLSDSLFAEDDVQLRLVEVDESSEFLPLVYDPDSISAYWGKRPRAVATRIVQLLSVAGGFLSRLAWDVINKKVKENEVARAIELREIVTSLGPAYIKLGQALSIRPDILSPTAMTELQKLCDKVPSYPDDIAMALIEEELGQPWQNMYSELSTSPIAAASLGQVYKGRLKENGNLVAVKVQRPFVLETVTIDLFVIRNLGLALRKFPQVSVDVVGLVDEWAARFFEELDYVNEGENGNRFAEMMRKDLPQVVIPRTYQKYTSRRVLTTEWIDGEKLSQSTESDVGELVNVGVICYLKQLLDTGFFHADPHPGNLIRTPDGKLAILDFGLVTKLTDDQKYGMIEAISHLIHRDYPAIVKDFVKLGFIPDGVNLDPILPVLAKVFDQALEGGGAKNFNFQELASDLAQITFDYPFRIPPYFALIIRAIGVLEGIALVGNSDFALVDEAYPYIAQRLLTDESPRLRNALRYTIYGKSGVFDAERFIDVMQAFENFITAAKSGGGEELNGNMAELGIMTSQSEYLLSAFQPVMPQSQQPVQTRAALAFLLSDRGNFFREFLLDEIVKGIDAVTREQLVRIMSLLGIQNATPVFSMVPTIGPFRPAALIPTITEEDEVILNNVQKVVQFLTAGSSLSRTSGQALNIPQIMQELLPVLPSISVKVLPEIVSRLSSRVFARLIRDAFL >OIW17479 pep chromosome:LupAngTanjil_v1.0:LG02:2260882:2261358:1 gene:TanjilG_22591 transcript:OIW17479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNELNALELNHTWSLVDLPPNKTPIGAKWVYKIKHKSDGSIERYKARLVAKGYTQTEGIDYFDTFAPVIKLTTVRLLLAIASSQNWTLHQLDINNAFLHGDLHEEVYMAIPPGVVGHKPNQVCKLHKSIYGLKQASRQWYHKLSSTLFFPWLYSIST >OIW16529 pep chromosome:LupAngTanjil_v1.0:LG02:20875162:20877709:1 gene:TanjilG_32200 transcript:OIW16529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEGKQEQPKVEAKPENKAEENKEEKPAEEKPVEEKKEEKPAEEKPAEEKKEEKPTEEKPAEEKKEEPKPPSPCVLFVDLHCVGCAKKIERSIMKMRGVEGVVIDMAKNEVTIKGIVEPQAICNTITKKTKRRANVISPLPAAEGEPIPEVVNSQVSGPITVELNVNMHCVACAQQLKRKILQMRGVQTVVTEFSTGKVIVSGTMDANKLVEYVYRRTKKQAKIVPQPEPEKKEETKEAEEKPAAEEAKPEETKEEEKPPEEPKKEESGEGDSSENKKEEGGEGENKDEKKEEKGGKEGTEEETKKEENEFVVTNNVDENGMKRMIYYYYPYQPLYVIERIPPPQLFSDENPNACCIL >OIW16765 pep chromosome:LupAngTanjil_v1.0:LG02:15534560:15537466:-1 gene:TanjilG_05499 transcript:OIW16765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWNPQTLQFLSETFLHTLSPAPEPRRRAESALADAADRPNFALAVLRLIAEPSIDEQIRQAAAVNFKNHLRHRWSPSDDNVAAIGDSEKGQIKSLIVPLMLSASPKIQSQLSEALALISNHDFPKSWPDLLPELVANLQKVSQASDYASINGILGTANSIFKKFRYQYKTNDLLLDLKYCLDNFAAPLLEIFLKTASLIDSAANSGANLRPLFESQRLCCRIFYSLNFQELPEFFEDHMNQWMTEFRKYLTTSYPALEGSGPDGVAVVDELRAAVCENINLYMEKNEEEFQGYLNDFALAVWTLLGNVSQLSSRDRLAITAIKFLTTISTSVHHALFAGEGVIPQICQGIVIPNVRLRDDDEELFDMNYIEFIRRDMEGSDIDTRRRIACELLKGIAMHYGDAVRSIVSAQIQILLSSFAANPAENWKDKDCAIYLVVSLATKKAGSSYVSTELVDVQSFFESVIVPELQSPDVNGYPMLKAGAFKFFTMFRAQISKHVVLRFFQELVRFLAAESNVVHSYAASCIEKLLLVKDDGGRARYTSVDINPIFPMLMNNLFNALKFPESEENQYVMKCIMRVLGVADISVDVARVCIEGLASLLGEVCKNPKNPVFNHYIFESVAILVKRACERDLSLISVFEASLFPRLEIILANGVTEFFPYTFQLLAQLVELNRPPIPPIYMQIFEILLSPESWERGANVPALVRLLQAFLQKAPNEISQGDRLTKVLGIFDKLVKSKSTSEQGFYVLNTVIENLQYDVISPYISHIWAALFSVLQQNRTVKLIKSLLIFMSLFLIKHGSSNVVNSMNSVQPGIFIVIMNQFWIPNLKLITGSIELKLTAVASTKFICESPVLLDPAASESWGKMVDSIITLLSRPEQDRVEEDPDMPDITENVGYTATFVRLHNAGKKEEDPLKDIRDPREFFVSSLSHLSASSPGRYPKIISERVDPANQTALLQLCNTYNLKIV >OIW16319 pep chromosome:LupAngTanjil_v1.0:LG02:23737628:23738056:1 gene:TanjilG_19035 transcript:OIW16319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEENPDTTQTPTPTFLKHHSSMLPHGVHKSLHVLRRSRRLCRRSGTRELMKEEVMTVKEENNDKACVVKEEEEEEEEEEEEIENKIDALKKIVPNGESLCIDKLFDETAGYIMALQSQLKALKTLAGFFENLEKEKTKLGG >OIW16242 pep chromosome:LupAngTanjil_v1.0:LG02:23071047:23071364:-1 gene:TanjilG_18957 transcript:OIW16242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSLEELYNVKVERKVSPERLAQLGVSRWSMCKTRKAKLPWDWQVDQLVYIEEGEVRVVPEGSKRFMRFSAGDLVRYPKWFEADLWFNGPYHERYSFRAYGDDD >OIW17094 pep chromosome:LupAngTanjil_v1.0:LG02:5455113:5456991:1 gene:TanjilG_20198 transcript:OIW17094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRNPCCSKGGLSKGAWTAMEDKILKEYIKIHGEGKWKHVPKRAGLKRCGKSCRLRWLNYLRPGIKRGNITNDEEDLIIRLHNLLGNRWSIIAGRLPGRTDNEIKNYWNTNIRRKLENGIIPCCSTKSAEPCLSNSVQKEHYSNPTNKGLCMVHTKGTKLTQVTVTKDIAQQNEGIKTNDNKMKGPSLSSERDNNELWDFMDDFEIDDNFFSELLKMDLPKSSLESKIMEENRNRSIVDLGQFSVKSSTDEAQFLWGDSVYDTDSDLQLMETLQEIGFDRL >OIW17545 pep chromosome:LupAngTanjil_v1.0:LG02:3021099:3023771:1 gene:TanjilG_22657 transcript:OIW17545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCDQVAKFFPQLVDVWKLLRSIPKEIAQINEELESIQISINAVDRMAAASKQENIDDNGMKRKLRHIREETLRIKEVTEDYRSIQQQQPPSDLGCIAVLYATANFIKTMIPRLRISYEIQDIKSSIHEINEISCFEQGSSSGSQAPQHALRRKALYVKEDDVVGFEVPRDNLIGWLKEEREERSVIAVVGMGGQGKTTLAKIVYKKVIGDFDCHAWITVSQDYTAQKLLRAMLEKLDENEKGVSEMDLESLTKKVRKCLRQKRYVFFFDDVWSQDFWSEIESAVIDNRNQSRIVITTRIMNVVQLCRASSLVHILNLQPLLPQQSWELFYKKAFRNEPDGLCPTGLEDISSKIVEKCEGLPLAIVAIGSLLASKEKNSHKWQRLFQHVINELDKYPNSIGITNILGLSYDVLPYYLKSCFLYFGIYPKDYEVNSQRLIRLWIAEEFIKFDEKLETLEEVGEQYLEELVQRNLVQTSSFSVDGKPKSYRVHGLLHDMILMKIKVLGFCHFISDNKVVRSMSNERIQRLQIKTNFKNDDLKGANNEASSITSIHIFGNEGLSEKEFAEMIPTKYRRLKVFEYDWIDRIPLDLGCLIHLRYLRFTGVVEFLPDSIGNLYNLETLDLKWTNVLELPSEIKKLTKLRHLLLPCSNIMIGIRGFESLQTLYCVDTWRWDEHQLIELGHLKQLRSLGLMNFQGRHYKYYHKYVTCLCYSINKMEYLENLYIHSTKRCIYWDIPPLPILQRLKLVGELDKFSEWIPKHKNLVRLSLRFSKLNDDPMKSLQHLPNLLSLHLWDAYDGIYIEFVSGMFQKLKNLELIRLKSLTYIYIGEGALPSLKHLTLQDIPNLEKVAYGMNNLHNLETLWIKEMPKEFVDRVRGNVWIHNNVGTVLY >OIW17306 pep chromosome:LupAngTanjil_v1.0:LG02:830784:834247:1 gene:TanjilG_22418 transcript:OIW17306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKRIDDYEPGPLPSPRALDRFGFFKQDVSASDSSVKNRSAYEYERIRGERSVRKWRKMIGVGGSDWKHYVRRKPHVVKRRIRKGIPDCLRGLVWQLISGSRDLLLMNPGVYEQLVIYETSTSELDIIRDISRTFPSHVFFRQRHGPGQRSLYNVLKAYSVFDRNVGYVQGMGFLAGLLLLYMSEEDAFWLLVALLKGAVHAPMEGLYLAGLPLVQQYLSQFEQLVREHLPKLGEHFSEEMINPSMYASQWFITVFSYSFPFHLALRIWDVFLYEGVKIVFKVGLALLMYCHDDLVKLPFEKLLHALKNFPEDAMNPDTILPLAYSIKISKRLEELTQEYEKNGKVVQSEEHLEKH >OIW16887 pep chromosome:LupAngTanjil_v1.0:LG02:11501614:11505178:1 gene:TanjilG_01752 transcript:OIW16887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFPTLKTHHSLQPTLPCTTTSSKYGANFISSDALVIAATEAVAVANAAVEVARDVVDVSEAGGIAKEWPFRDRSSIGLDLRRKKRRKRRKSLECMEEEEEIYSNSLPDRLSIRSRKSGILSSREEAELCLCLKEGAKIELAKLRINESKEHPAIPMRRLVLGNAILDKVLCNTRESRERIAREYRGLVASIASSYQGKGLSFQDLMQEGTIGLLKGAEKFDPDRGNKLSTYVYWWIKQAIIKAVAKKSRLIRLPGGKYEMIAKIAEANNVLSRRLRRVPSYNEMAELLNVNVSTVKLLCQRNRLPISLNKVVTDRGTMTLQDIIAGPDEMIPEKMVERELMKEEVLKLLKTLTKREEQIVRLYFGLNGETPLSFEEIGRVLKLSRERVRQINGIAMSKLQQTKNVDSLKFYLA >OIW16982 pep chromosome:LupAngTanjil_v1.0:LG02:8967349:8973585:-1 gene:TanjilG_32849 transcript:OIW16982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METEVEVADLRKELADLHNLLEDLNNAQGGTVGKIGLEGKNVKPIKDVPDDPIDTQRREKVKEAMLHAWGSYEKYAWGQDELQPQSKSGVNSFGGLGATLIDSLDTLYIMGLHEQFEKAKEWVSSSLDFNKNYEASVFETTIRVVGGLLSAYDLSGDKVFLDKARDIADRLLPAWNTSTGIPYNIINLSHGDAHNPGWTGGDSILADSGTEQLEFIALSQRTGDPKYQQKVENVITQLNKIFPEDGLLPIYIDPNSGTTVSSPITFGAMGDSFYEYLLKVWIQGNKTSAVKNYRDMWEKSMNGLLTLIRRSTPSSFTYICEKDGDSLSDKMDELACFAPGMLALGSSGFGPDKSQKVLSLAEELAWTCYNFYQSTPTKLAGENYFFHPGQDMSVGTSWNILRPETVESLFYLWRLTGNKTYQEWGWNIFQAFEKNSRVESGYVGLKDVNSGVKDNMMQSFFLAETLKYLYLLFSPSSVIPLDQWVFNTEAHPLRIVARNEGGHVENSNEKSKAVSRLLGRKEAFPCPEARSREGFPRLQMVGNDIGNRFKFLHNGVDSG >OIW17422 pep chromosome:LupAngTanjil_v1.0:LG02:1774479:1778179:-1 gene:TanjilG_22534 transcript:OIW17422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVEEQPSPNNLKRFGLKNSIQTNFGDDYVFQIISKDDWSSMAVSLSTNTVKLYSPERGQYYGECKGHHATINQILFSDASNAHVLSSCSSDGTIRAWDTRTFQQVSSIIAGPSQEVFSFSFGGPGGNLVAAGCKSQILFWDWRNRKQIACLEESHVDDVTQVHFVHEEQGKLISASVDGLICTFDTTGDINDEDHLESVINVGTSIAKVGIFGDNYQRLWCLTHIETLGIWDWKNGRNEVNFSDARGLASESWNLDQVDYFVDCHYSKEAEKLWLIGGTNAGALGYFPVNHKGVATIGGAEAILEGGHTGVVRSVLPMSRFHGAPAPISGSSGIFGWTGGEDGRLCCWLSDDSPQINRSWISSTLVMKPEKTGKKNRHHPY >OIW17193 pep chromosome:LupAngTanjil_v1.0:LG02:4444694:4447359:1 gene:TanjilG_18148 transcript:OIW17193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTTVLIFLLATSIATSHGLEHGIDYKIHPLRLKSGSGGHYVKGITCSSWRVGVEAHNIIEWKTIPQECEGYVGNYVLGDEYREDSKVVNREAYFYAKSIDVIKDGKNIWVFDIDETTLSNLPYYAHHGFGVEPYNATAFDEWVNLGIAPALPETQKLYNKLLSLGFKIVFLTGRPQSQKDITVKNLKDAGYYKWEKLIVKDVSKYKGKTAVTYKSNERKKLEEEEGYRIIGNIGDQWSDILGTNTGNRTFKLPDPLYYIA >OIW16715 pep chromosome:LupAngTanjil_v1.0:LG02:17702661:17706281:1 gene:TanjilG_14586 transcript:OIW16715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTKVQNLPGHYSMRDLNEESNSCGWPLFYGDKTLTNRQYYNNYFPSVTADACSVYDKDVMKRTMLEHEAIFKNQVYELHQLYRIQRDLMNEVKRKELHRNHIPVEASFSADRLASQIVIEECQKWHISDFPGGNSTCAKTSVSGVEGIHSPLDSIKGINNQSGSPFPSSNRCSSKDIEVLESRPSKVRRKMFDLLLPADSYIDTKESEKLSDEKMNGPTLFLPDRNCKNGKESDLKLFCGIGVKTSHEDTSRSEQFPRRKNDLADLNEPVQVEETNDSPYVDLPSHNPYQGVTECSNPSDAAKQPQFFGLSREHLHKSHHGTDIWPRKNGYLDNNGRGKGWIMSAAEAGQAKNSIQPVPQAPKLEKSPLSSQTVHDALRKAHEAAASDYLTDRSKTDWWTEKTISGLYFSKRNSDHTINKHPESVKPLHRPGLFVVSPSSDLSKSWSHSASLLTPSSLNNTGDLSRSSQSHKSNRILDDRRPLNINSKPNSGFPCHLPMQNGFPTGSLSRSKETSRNISSISYNYLNHNNDFKIVSQHSINNGPCKDSNSNFNDLKSRNIDLNVMLSNCSSDNLVSQSGNGIMDGEKKHEEPHAVLPLVPIFDMRHISPKKEMSSITSYSNSILNPSDVEVVQNNRKNQLFDMNLPCDADGLELGREAATGTIVSRERCPTKEANSRNQFDLNLCMSEDEASLTTIPSDSLKMKEAIDPEARAVLENEEDSVPEEKQLENSPESPKGPVDTVEQTHDELMRQAAESIVVLSSFTCHQVDSVTGNPSDSQMADPLSWFVDVISSCKDNLESKLENLRGKSGDDGEESSSSKGMDYFEAMTLKLPETKEEDYMPKPLVPETFIVEETMTLLPTRTRKGPARRGRQRRDFKKDILPGLVSLSRNEMTEDLQTFGELMRATVATVNETCIPLIQQLNNIEAGLEDRSLIGWGKTTRRPRRQRCTAGNPPSIPLT >OIW16183 pep chromosome:LupAngTanjil_v1.0:LG02:22604513:22605032:1 gene:TanjilG_18898 transcript:OIW16183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMSMVQQVVPRHENYAQSRATALHNVESTITELSGIFTNLATMVAHQGELAIRIDDNMDESLANVEGAHSSLLRHFNQISSNRWLLIKIFVVLIIFLIIFLFFLA >OIW16222 pep chromosome:LupAngTanjil_v1.0:LG02:22905704:22907972:1 gene:TanjilG_18937 transcript:OIW16222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFTRGLVRSVFSRNCSAGSHEIKVKCNTAENRRWVSVRTCLCSDEFNSVRVEEDSASVKSSQFTVTQPIQEDLTYKEETKSEETVENRPKPNSRFLDEEEAAILIQSAYRGFLLRRQNEEIGSKNGREEINLVTESLHRNSLSTSVEVQAENSTEVFSVQGEKMSINKQRTRIPVIKQKDWDDSTVSSTVSKMRMQNRMEATTRRERALAYAFSQQLRICSKRKSPKYNSMEPNMSWNWLERWMATRIPDTSSIESHSMKQYDPFHSTHKFAIKTRILDAAKEEKESCGSNEVPLHLDNYSLSSLEEKFSFKPPKTKTNFKARRNGSMQKTVNKLLS >OIW17557 pep chromosome:LupAngTanjil_v1.0:LG02:187509:197446:1 gene:TanjilG_08835 transcript:OIW17557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFLSLHPHHLSLHSSIHISLSLSPTNNNNNNNNNNNNLNYPISLPIPKDSNSTIAPMKAPTPPWMKGPLLLQPHEILDFSKTHKRFNRRHVADEEKQKLSDKALVGKEVRGKKAMKRIAQKVEKLHSTEKTQLGTEKVENFEGYLEKLNENDDDSSSSRRRSSRERMPWERDEKIVFLRMKKEKALTAAELNLDKVLLQKLRDEAAKMRKWVKVMKAGVTQDVVDEIRRIWTKNELAMIKFDIPLCRNMDRAREIVETKTGGLVVQSKKDFLVVYRGCNHQLNSKGSPKIYIRSQRTKPSDLYGAKSDNSTSDMPSLNADHKDSISTGMQDTNYRSVSGSLYERETDRLLDGLGPRFIDWWMHKPLPVDADLLPEVVPGFKPPFRLCPPYESVKLADSELTYLRKLAHPLPTHFVLGRNRGLQGLATSILKLWEKSLIAKIAIKYGVPNTDNETMAEELKASLMEISMFNVDAIYSGTRGILLLRNKFYILLYRGKDFLPKKVAALVEERELELKSCQFHEETARTRAIEAIESISSIAELPQETSISGTFTEFKNIQTELGDIKKVNGNSNIQLEAEICRLEKELKEEHRKAFIVNKKIERSAMKLSKLNAAWKPSEKDADLEVMTDEERESFRRIGLRMDACLVLGRRGIFDGVMEGLHQHWKHREVVKVITMQRLFKQVIDTAQLLEAESGGILVSIQKLKEGHAIIIYRGKNYKRPSNKVSKNLLTKREALRRSLEMQRIGSLKFFAHQRQQAISDLKLKLCYATNTYSCGTITNLTYPFSGGTRPEYCGEPNLKVNCENHVPKFTVNSVTYRIIDWDLKTQNLKVARDDLWDTVCLTSYHATSFENTVFYFNGGLANVTVLLYDCTGNTQPTPNSEDCGGGKYVYYGAGVLPTNYCKSIIVPISGTLAQDVAKDESIIPNTLKDGFELRWDQSYAKCSTCVETGGVCGNNDAQFGCFCNNGTLCQVSAALVIGVLIIMIYIFRRRLWFHKMNQLWNENSKAHQDFEAFLKTHGPLGIRRYSYIEIKKMTNSFSEKLGQGGYGGVFKGKLHDQRLVAVKMLNKSKGNGDEFINEVASISRTSHINIVTLLGFCYERCKRALIYEYMSNGSLEKFIYQTNPNIVNNQLNWETLYQIAIGVSRGLEYLHKGCNTRIFHFDIKPHNILLDDNFIPKISDFGLAKISPKNESMISMLGARGTPGYIAPEVFSRNIGVVSHKSDVYSFGMMVLEMVGGRKNMSVEVDCTSEIYFPYWIYKRIELNEDIPLKNITNESDEEMMRKMVIVSLWCIQNDPSTRPTMRIVVDMLEGKVETLEIPPKPFFSSPSRSSCDS >OIW17458 pep chromosome:LupAngTanjil_v1.0:LG02:2029152:2039884:-1 gene:TanjilG_22570 transcript:OIW17458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIIPKEPEQVMKMRGGSVLGKKTILKTDHFPGCQNKRLSPQIDGAPNYRQAESLHVHGVAIPTIDGIRNVLNRVGAQLHAKVLWISLREEPLVYINGRPFVLRDVERPFSNLEYTGINRERVEQMEDRLKEDILMEAARYGNKILVTDELPDGQMVDQWEPVSSSSVKTPLEVYEELQVEGYLVDYERVPVTDEKSPKELDFDILVQKISQADVNTEIIFNCQMGRGRTTTGMVIATLVYFNRIGASGIPRSNSIGRISQFMTNVADRLPNSEEAIRRGEYVVIRSLIRVLEGGVEGKRQVDKVIDKCASMQNLREAIATYRNSILRQPDEMKREASLSFFVEYLERYYFLICFAVYLHSERVVLLSSTSTQSSFADWMRARPELYSILRRLLRRDPMGALGYSSSKPSLKKIAESTDGRPSEMGVVAALRNGEVLGSQTVLKSDHCPGCQNPSLPERVDGAPNFREVPGFPVYGVANPTIDGIRSVLHRIGTSKGGRPVLWHNMREEPVIYINGKPFVLREVERPYKNMLEYTGIDRERVEKMEARLKEDILREAKQYDSAIMVIHETDDGHIFDTWEHVTPEVIQTPLEVFKSLEADGFPIKYARVPITDGKAPKSSDIDTLTSNIASAAKNTAFVFNCQMGRGRTTTGTVIACLVKLRIDYGRPIKILSGDVIHEASDGGFSSGDEAGGHVTGTALTSNTLQIKTHEKQNRVFGINDILLLWKITTLFDNGVECREALDAIIDRCSALQNIRQAVLQYRKVFNQQHVEPRVRRVALNRGGEYLERYFRLIAFAAYLGSEAFDGFCGLGESKMTFKNWMHQRPEVQAMKWSIRLRPGRYFSVPEELRKPQESQHGDAVMEAIVKARNGSVLGKGSILKMYFFPGQRTSSHIQIHGAPHVFKVNEYPVYSMATPTISGAKEMLAYLDAKPKASLTARKVILTDLREEAVVYINGTPFVLRELNKPVDTLKHVGITGPLVEHMEARLKEDILAEIRQSGGRMLLHREEYNPSTNQSDVVGYWENILADDVKTPAEVYSFLKDDGYDIVYRRIPLTRERDALASDVDAIQYCKDDSAGSYLFVSHTGFGGVAYAMSIICIRLGADANFASKVPQPLFGPDISAVIEDNLPSRASNETALKMGDYRDILSLTRVLIHGPQSKADADIVIERCAGAGHIRDDILYYSKEYEKFTDDDDEERAYFMDMGIKALRRYFFLITFRSYLYSASPNNTKFAAWMDARPELGHLCYNLRIDK >OIW16902 pep chromosome:LupAngTanjil_v1.0:LG02:12094867:12095688:-1 gene:TanjilG_01767 transcript:OIW16902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRFPFLNRSRTIHMAPSEPPLQKPYSLERNNSLSRFYDSMISVKSAGNSIRWKIKNFCNTFKQKTSKLAPSTDDLCPSSRPKSNLSKLAPSTDELCPSSRPKSNLSKSSVSDSSCTLLSLPEAKDRIVVYFTSLRGIRRTFEDCNAVLMILKGFRVWVDERDVSMDIEYRKELQCVMGEKKVSLPQVFIRGKYIGGADVIKHLFEYGELGMLLEGFPKRKPGFACDGCGDMRFVPCYVCSGSRKLFDEDERLIKKCLECNENGLIRCPDCCY >OIW16691 pep chromosome:LupAngTanjil_v1.0:LG02:18574516:18574809:-1 gene:TanjilG_28748 transcript:OIW16691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQLLKNFDKLFTDPTSLPPVQRHDHAIHLIEGAAIPNVRPYKYLHYQKTEIEGLVREMLGSGVIQHSISPYSSPVILVKKKDEGWRFCVDYRALNK >OIW17525 pep chromosome:LupAngTanjil_v1.0:LG02:2727692:2728621:1 gene:TanjilG_22637 transcript:OIW17525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDFPSCFGANGVQIADSSSSNSSKTAQNLVACVYRCRIRGRHCLITVTWTKNLMGQGLSVEIDHESTSSQCLCKVDIKPFVFSKRKGCKSLESCSCKIDVHWDLSSAKFGSRPEPSEGFYVGVVVDQQMILLLGDLRKEALKKTNTVPLPSNAVLVAKKEHVFGKLFGTKAVFCDNGPIHDLVIKCDTTSVTDPSLIIRIDNRTVMQVNRLRWKFRGNHTIMIDGLAVEVFWDVHNMLFDTPLENNAIFMFRTCFSDQNSWAIQPFSDANILQRSFPERFSETKSQDRDFSLILYAWKNMHGCRNSNT >OIW16919 pep chromosome:LupAngTanjil_v1.0:LG02:11050126:11059150:1 gene:TanjilG_19224 transcript:OIW16919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDDSNYSTLEIQNVNPTKKLKGGWNAALFIIFMEFAERFAFIGLAGNLVTYLTKELHEPVTEAVKPYRMSTLGMVLPPFSISWVPSSLILILVDSRWGVPFALMTAVFAVGLLSILLGIKRYRKEIPKGSPFTSVAQVFVATFRKWKVKDTRNNYWYGNDDHVGSDNLQSQPKFHASIETTDEYRFFHKAMIIDELDASSKTRNPWRLCSVTQVEEVKLAIRLIPIWISCLMFTVIQSQLSTYFTKQGNTLVRSMGPHFQIPPASLQGVVGIFIIIIIPLYDFYFVPFARKITGHDSGITVLQRIGTGLVLSIINMVISALVEAKRVGVARDHGLLDKPEAVIPMSIWWMLPQYCVFAVADSFTIVGLQELFYSQMPDTMRSLGAAAYMSTVGIGGFVTNAIITIVVAISSRTGGTWLGNNLNRAHLDYFYLVLAGLGALNFCAYLWIANDFVYKKVQVVETSNDES >OIW17518 pep chromosome:LupAngTanjil_v1.0:LG02:2670318:2674090:-1 gene:TanjilG_22630 transcript:OIW17518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIHSLELEDYLAIGAIFAATDSVCTLQVLNQDETPLLYSLVFGEGVVNDATSVVLFNAIKSFDLNHIDSGVALQFLANFLYLFIASTMLGVLTGLLSAYIIKKLYIGRHSTDREVALMMLMAYLSYMLAELCYLSGILTVFFCGIVMSHYTWHNVTESSRTTTKHSFATLSFVAETFIFLYVGMDALDIEKWRFVSDSPGTSIAVSSVLLGLILTGRAAFIFPLSFLSNLTKKSPNEKISFRQQVIIWWAGLMRGAVSIALAYNQFTMSGYTQQRSSAVMITSTITVVLVSTVVFGMMTKPLIRFLLPHSKRKNSMQNMNDHVTCSPTKSSMNVPFLENSVYSEADIDELQRPSSLRDLLSTPTHTVHCLWRKFDNGFMRPVFGGRGFVPIVPTSPIQTNNLL >OIW16342 pep chromosome:LupAngTanjil_v1.0:LG02:23889507:23895634:1 gene:TanjilG_19058 transcript:OIW16342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVVVSIAGKLSEYVVALVIRQGQYLFHVSRIIKNLERKKEELISTRDEVQKRVEATNKTERVNDAVLEWLSEVKKLIEEVEKLEAGTETNSSCFRGKCSIRKRYRLYKQMHKKIERMVQLDKKGQFETISLPAPIPDIEYFSSGNIVYFESTENASDQLLEALQDDRSFIIGLYGMGGSGKTTLVKAVGNKAKALNLFDQVVFATVSQTPDVKKIQDEIADLMGLKLNENSEAGRARRISLRLQSKERILVILDDVWSKLELEDIGIPCNGNHWECKVILTTRLRRVCTLMNCQREIPLLLLSEEEAWMLLKKHSGIDDKSLSNLSNVARQVAIECKGLPIAIEALGSSLKRKPIEEWKAALDSLRHSKPIDVEEGVRDAFSCIELSYNHLKSKGDKLMFLICSMFPEDHEIFVEDLISYGVGLGVCGEVESFDSARSWLRASINKLVDSSLLMHSEKNKDHFAVAHRTDHVKMHDMVRDVALWIASRSIDHNILVNLAKDLNTLAENGGINNYFAVSSWHNRTDRIDARVDAPKLEILLLNTRTSLDLRTASFEGIKGIKVMAIISEGYRTSLSLPPSIHSLTNLRTLRLRRWELGDISFILSLKKLEVLDVQACSFKELPNEIEKLSKLKLLDLSGCTVLENYNSKAIGRCTQLEELYVSGPLFHRDDTGIYPSQQFVNDITLPNLWRYTLELGHLQTFGYGINENSSMRSLSLKEFDVSMFTASKMNLIQQAEDIYLNRLHGGCKNIVPEMVQAVGGMDDLTKLRLRSCSEIECLIDTTSHNDDFLMHALLPGLVKLELEEMENLIELCRGSTPHALSFFEKLEEVHIRKCQQLQSIFPRNCELRNLKILKIDGRGFSHSTVAISCAAVALFSMSVAQSLQQLEEISIADCKELRHIISKEEDEISPALNKSHLMLPNLKKLSIHYCPKLEFALPSSCAAGLVQLQELEISKASELKYIFGNYDQEHHSLQQNEIRSNPPALKVLKLQNLSNFLRICIGNYQPWCPSLRDLSCVNCPKLSLSCIHLMIGSEAKQQHLDTGVSFEEEQKNHLTSTLERIEIRGFSELKFIWSDSTPTQMLSLQYLQYLKVGGCSKLKHVFSIVVLRSLPELSSLVIHHCEELEEIIAENEESQNQANNKVCFPKLRHLAVKKCNKLKSLFSIAMVGMLPQISTLHISEAATLVEVFKHSSEDSIVYGFSTSAQTQTMAYVRTRLIQPKTSFNLLFNTTHLPNNLRILNMSITQGKSKRPICPSCSKPTRTCLCSRILTPAIDNSVNVTILQHVLESNHPLNSTRIAKLGFKNLTLATISDVNFETRFMIRLFEILGRVESGLNGLFSHKSWEIGVTQELFREKDSNLIDCAGKDSGLKNGDDNGDVPSSSISDGLVVNDQVEKKLIYSKLDSNGGVCEENEDYAISVTIGKYGAISSMSHIWMPQLQSQSHKLSFDKILTYHEACEALSKGFLVKKFQMRQLDKGNNLEEYEEFELEVPSGSVLLFPSDKAVSVNGLEAIGYEVKNLIVLDGTWAKAKRVYSENPWLNILPHLKLEVNEMSLYSDVRQQPKAGYLSTIESIVYALRALGEKNHEGLDNLLDTFESMVGDQRRCKDERLSKVT >OIW16155 pep chromosome:LupAngTanjil_v1.0:LG02:22325870:22329492:-1 gene:TanjilG_18870 transcript:OIW16155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASKIFFSFKGDWNLLGIIWLPRGIQVINNVWMLWTLQAIFKDMIITSLFYSSKYNNTAMAATVGAVTVTTLNLNDSGAGASVQSSAFFGSNLKKITSRLPNTKVSCGSFKIIAAEEIDPKKKTDQDRWKGLAYDISDDQQDITRGKGMVDSLFQAPSGAGTHYAVMNSYDYISGGLRQYNLDNNLDGLYIAPAFMDKLVVHITKNFMTLPNIKARKGQGKSFQCELVFTKMGINPIMMSAGELESGNAGEPAKLIRQRYREAADIIKKGKMCALFINDLDAGAGRMGGTTQYTVNNQMVNATLMNIADNPTNVQLPGMYNQQENPRVPIIVTGNDFSTLYAPLIRDGRMEKFYWAPTREDRIGVCKGIFRSDNVPDEDVVKIVDTFPGQSIDFFGALRARVYDDEVRKWIGSVGVESIGKKLVNSKEGPPTFEQPEMTLAKLLDYGYMLVQEQENVKRVQLSDKYLKEAALGDANQDAIKRGAFYGKAAQQINVPVPEGCTDPNAKNFDPTARSDDGSCLYTL >OIW17215 pep chromosome:LupAngTanjil_v1.0:LG02:3533809:3533997:1 gene:TanjilG_02504 transcript:OIW17215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRELRGPKDRVIWRNLSYANIARPPCSVYPMVGLPTKTSDERQASQIWHYNRWTLCSLQFR >OIW17365 pep chromosome:LupAngTanjil_v1.0:LG02:1300874:1313020:-1 gene:TanjilG_22477 transcript:OIW17365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLYFCRLTLKLSNNYTNFFKTSKNEQLPRSGECVKLKGGFGVSFGIVRVFAHASSVNCLKIGRKTSRVLVTGGEDHKVNLWAIGKPNAILSLSGHSSGIDSVGFDSCEVLVAAGAASGTIKLWDLEEAKIVRTLTGHRSNCTSVDFHPFGEFFASGSLDTNLKIWDIRKKGCIHTYKGHTRGVNAIRFTPDGRWVVSGGEDNTVKLWDLTAGKLMHDFKSHEGQVQCIDFHPSEFLLATGSADKTVKFWDLETFELIGSAGPETTGVRSITFNPDGRTLLCGLHESLKVISWEPIRCHDMVDVGWSRLSDLNVHEGKLLGCSYNQSCVGVWVVDISRIEPYALNSVNQLNESSSGNMSVLNGNTAKPRVSISQNLDPLVKETKSLGRLSVSQDSEPSNEGKYLASVGSTPSTPQRINLNSAPRAASGGSAAGPNATAQRRASLRSHTSSNVPAISKSDIVPVIVPRTSIRSEPGVESRKEVGVAGRLMSLPLQSRAADIHKFSNSDEVNKPSISPSSESASTQDSEPDTSVDKNKFPTAVASIQGANRATKTSLGGKEDMKTVMEALATRRMMMELKLYAVANDTRTTPTLAAWSPAPGPPNLSPQSHMWQLVGYETQGQKANRELYSGVVQKGGRMRPLINLEKRERSLNFEGPTSRVSHGKMSSVYVLPLDRRGHSMSTEKATVSASDEDSIADVIEQHDQFLSSMQDRLTKLKVVFRYWERNDVKGVIGAMEKMDDHAVIADVADIITEKIDIVTLDICTGLMPLLAGLLHSEMDRHLGISLEMLLKLVKIFGSVIYSTVSASTSVGVDIEAEQRLERCNLCFIELEKVKSSLPSLLRRGGSIAKNAQELNLTLQHVS >OIW17114 pep chromosome:LupAngTanjil_v1.0:LG02:5232801:5236217:-1 gene:TanjilG_26769 transcript:OIW17114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFNRGQKVKGTVVLMTKNVLDINELTGAKSVGGIVSGGLNLVGGIVGGIVDAATSFLGQSIGFKLISATSSDGSGKGKVGKLAYLEKHLPSLPNLGANQEAFNVHFEWDSDFGIPGAFYIENFTQSEFFLVSLTLEDVPNQGTIHFLCNSWVYNKKKYDTDRIFFANKTYLPGKTPAPLVYYRQEELKTLRGDGKGERKEWERIYDYDVYNDLGEPDKNASLARPVLGGSSELPYPRRGRTGRKPSRKDPKSESRSDIVYLPRDESFGHLKSSDFLVYILKSASQNVIPQLQSAIRLQFNQPEFNSFEDVRGLYDGGIKLPTNILSDISPIPLFKELFRTDGEQALKFPEPNVIQVEKSAWTTDEEFAREMIAGVNPHIITRLQEFPPKSKLDPQVYGDNTSTITKEHLEPNLDGLTVEQAIAENKLFILNHHDTIIPYLRKINATDTRKAYATRTILFLQSNGTLKPLAIELSKPHPQGDNYGPISNVYLPADQGADGSLWLLAKAYVIVNDSSFHQLVSHWLNTHAVVEPFIIATNRHLSVVHPVHKLLLPHYRDTMNINALARNVLINAEGIIETTFLWGKYSLENSAVIYKEWVFPEQALPADLIKRGVAVEDPSSPHGIRLLIDDYPYAADGLEIWAAIKSWVEEYVSFYYESDAAIAQDAELQAFWKELVEVGHGDKKNAPWWPKLQTRKELIEIASTLIWTASALHAAVNFGQYPYGGYILNRPTLSRRFIPEKGSPEYDELAKNPQKVFLKTITPKNETLTDLTIIEVLSRHASDEVYLGQRDGGDIWTSDAQPIEAFRKFGKKLAEIEKKLIERNNDETLRNRYGPVKLPYTLLYPSSEEGLTFRGIPNSISI >OIW16780 pep chromosome:LupAngTanjil_v1.0:LG02:15837813:15839192:1 gene:TanjilG_05514 transcript:OIW16780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGNISIGNVREVNVKSGLPATTSTERLEQLNDEEHILGIRIVGGDHRLRNYSSVITVHPEVIDGRPGTMVIESFVVDVPDGNTVEETCYFVEGLIKCNLSSLADVSERMAVPGRTYPLNV >OIW17005 pep chromosome:LupAngTanjil_v1.0:LG02:8019846:8022853:1 gene:TanjilG_26758 transcript:OIW17005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIGNDTKQQKKDIIFKSKLPDIYIPNHLPLHSYCFENLSKFGTRPCLINAPNGEIYTYYDVELTARKVASGLNKIGIQQGDVVMILLPNCPQFVFAFLGASFRGAVTTAANPFFTQAEIAKQAKASNTKLLITQGSYYEKVKDLLGVKLMFVDSTPLVEEGHLNFSELVEADENEMPQVKINPDDVVTLPYSSGTTGDTTFLSQQVDGDNPNLYFHTEDVILCVLPLFHIYSLNSVFLCGLRAKAAILLMPKFDINSLLGLIEKHRVSIAPVVPPIVLAIAKSPDLDKYDTSSIRVLKSGGAPLAKELQQTVRAKFPNAKLGQGYGMTEAGPVLAMCLIFAKEPIDVKAGACGTVVRNAEMKVVDPETDNSLPRNQPGEICIRGDQIMKGYLNDPEATKRTIDKEGWLHTGDIGYIDDDDELFIVDRLKELIKYKGFQVAPAELEALLLSHPNISDAALIPMKDEAAGEVPVAFVVRSNADINTTEDEIKLFISKQVVFYKRINRVFFIEAIPKSPSGKILRKDLRAKLAAGVPNSI >OIW17442 pep chromosome:LupAngTanjil_v1.0:LG02:1903170:1906724:-1 gene:TanjilG_22554 transcript:OIW17442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIAISNLFTPITFHLKPPQLRFEGCSVIGNRNHLPQRNVNISLRHQVNAMFLPKIRAHNLPEDPDYVPDSKFYKVEAVLRPWRIRQVSSALLKMGIRGVTISDVKGFGAQGGSKERQGGSEFSEDNFVAKVKIEIVVKKDQVEAVIDKIIGEARTGEIGDGKIFLIPVSDVIRVRTGERGEQAERMTGGRTDLNSAV >OIW16170 pep chromosome:LupAngTanjil_v1.0:LG02:22470850:22472421:-1 gene:TanjilG_18885 transcript:OIW16170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAREVPGRSNKCCRLRWCNQLDPSVICNHFTGNFSVTVFYFMLLQLEEEDRLIISAHGNKWAAIARLFPGRTDNAIKNHWNSILKRRHVELKTYFRAHADVIEDGSFERAKVSSEETMSFGDINSLNPPEVRNIVTFNEIKQNAEKPPKKYVAEVEGHPTLYRPVSGISAFCNACRSLTQI >OIW17576 pep chromosome:LupAngTanjil_v1.0:LG02:83876:85823:-1 gene:TanjilG_08854 transcript:OIW17576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLGKRFTYKFNKISRVAFSRIAILKKQHKARCSYAKSDVVQFLNLGHHHHALLRVEQWIEEQNMLDVFVMIENCCNFLRERAQVLENNKECPCELKEVISSLIYASSRCGEFPELQKIRDIFTSKFGKEFADHAIELHKNNSVNSKLIQKLSRSPPTMEIRMKALQKIAAEIGVTLHFQQDEPILINENKPNDDQRLDELETRKYSNTDDIKHKENSQDGPENIKYERKSTALDVDPIVSSNSKPEDKVISKSNHLDLEELEMRVREITKQFAREESKSSQNPRDNIQASENTELECNAAAENEDLSEERNHPSYQARRQN >OIW17304 pep chromosome:LupAngTanjil_v1.0:LG02:815631:818458:1 gene:TanjilG_22416 transcript:OIW17304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDPTNLSRTFKYLMATQFLSRGIPFIFNTWIVRHLTQEDYALYAVQFHLFVTCVLFLSREGFRRACLRMDMKRGGASMEEDVVRLMKVVWMSFPLGIFITAVASLLVFWWQEISYSSPHGKAILINGCACILELLAEPLYILSQNLVLLKLRLMVETIATFSRCMTLYILIVKQFGMEKSIIFALSQFAYGACLFLGYWGYLIIFCKFRRSYLFPFRYGCFVFNIIFCTQHMSFFPKRRKRETLMF >OIW14565 pep chromosome:LupAngTanjil_v1.0:LG03:26816143:26824810:1 gene:TanjilG_14951 transcript:OIW14565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAPGVRLHIQGHYVVMDNGILQVTLSNPDGIITGIRYNGVDNLLEVLNKETNRGYWDLMWSAPGSKGLFDVIKGTCFQVIVQNEEQVEISFTRMWDPSLEGMLVPLNIDKRFVMLRGSSGFYSYGIYEHLSGWPDFEMSETRITFKLRKDKFQYMAMADNRRGIMPFPEDRLPGRGQALAYQEAVLLTNPKNPNLKGEVDDKYQYSCINKDNQVHGWISENPPVGFWQITPSDEFRSGGPLKQNLTSHVGPTTLAIFLSGHYAGQDLVPKFRGGEPWKKVFGPVYIYLNSGSTRDKSDINLYLWEDAKRQMKNEVEKWPYFFPASEDFLKADQRGNVSGRLHVLDRQACKILIVCCILLWQNFLRYISTDLISANGAYVGLAPPGDVGSWQRECKDYQFWTIADENGFFTISNIRPGDYNLYAWFPGFVGDYKLDDLMKITSGSFIELGGLVYEPPRDGPTLWEIGIPDRSAAEFYVPDPDPQYINRLIKHSDRFRQYGLWSRYAELYPDADLVYTIGVSDYTKDWFFAQVPRRREDNTYHGTTWQIKFELSSVDKGSTYKLRVAIASATLAELQIRVNDPNARRPIFTTGLIGRDNSIARHGVHGIYWFYNVNIPGSVLIDGTNTLYFTQPRNQSPFQGIMYDYIRLEGPPCLKEEELQVK >OIW15757 pep chromosome:LupAngTanjil_v1.0:LG03:459846:464075:-1 gene:TanjilG_04292 transcript:OIW15757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIEKNNFKVARLDSDCSPSSREAMSSDEDEVRRHNSAVESDDDDEFDDVDSGAGSDDFDLLQWGETNAEFIQIGNQTFSIPLELYDLTGLEDILSVDVWNECLSEEERFELAKYLPDMDQENFVRTLKELFTGCNLHFGSPIKRLFVMLKGGLCDPRVARYREGLSFFQKRQHYHLLRKHQNNMVSNLCQIRDAWFNCRGYSIEERLRVLNIMKSQKSLMHEKMEDLEVDSSDEESGEGMWSRKNRNKDRNAAQKIGRFPFPGVGSGLEFDPRQQHSVAMEQEKYGKQNPKGMLRLAGSKTSSAKDPSGRFSSLYHALDMNPGMNGSVPALSQQNKSGSMLRVRDQLRNGDDDEMSYGLNVHRDLGRNLMDKSGVQRVGKRHDLLRGDEVDTDNLVGLPLSLKGDLLHGYDRNANQPSDMKMFTAKPSSKRGSYDFPRKAKYPETVQQFVGNDQVRSRLRGSQMPLKGNLAGSSDYNELFYNRTAAEDYGMDSTSKYDDWNPKSNKWKPGRDSSRLSYAAYRSSSPQVTGRFPSSDFRAKSLQEKTKGSFIQNGGNTTKPLRGNQMFLRGEETESDSSEQLNGDDDDDDDDNPLSQSKFAYFMGPADGSHKKTLKSQLDPKKAKFVRTDVKAHTLTQSKKKGGFADLGHMHGVENYLSKGKQKGKIRNGGPLHNPTGKLMEESYPSESDMLSDDDDDWRQVYKIGKNGRMQGEPVEKLDRPLSSAYAAERKKKGKTGLDHFILRPKYLHDVGDEDVSLEKQLLMDDNGLGQSKAKRKGQKYVAYKVDQSERSEAPLHGCNSATKKRKAAELGGDKDANLLSHTLPQNDSISLKRKSKKKPEAEMVISEIENSELPITDMGTADMELKTKPQKKQFTLITPTVHTGFSFSIIHLLSAVRTAMISPFAEESLEVGKPREEENQAQGGSVNGVPSNDKVAGNFEPASDQPNMPSLTVQEIVTRIRSNPGDPCILETQEPLQDLVRGVLKIFSSKTAPLGAKGWKALTVYGKSTRSWSWIGPVLHNSPDHHTIEEVTSPEAWGLPHKMLVKLVDSFANWLKCGQETLQQIGSLPEPPLALMQVNLDEKERFRDLRAQKSLNTISPSSEEVRAYFRKEEVLRYSIPDRAFSYTAADGKKSIVAPLRRCGGKPTSKARDHFMLKRDRPPHVTILCLVRDAASRLPGSIGTRADVCTLIRDSQYIVEDVSDAQINQVVSGALDRLHYERDPCVQFDGERKLWVYLHRDREEEDFEDDGTSSTKKWKRQKKDAADQSDQGTATVAQPGTGEQSGVDLCSDLNADPPGINEEDLVDVNHAEDLVDVNHTSEDANVCEGNSMAWEALGLNPPREICQENSTNEEFDDESFGRERPSGLQSASLL >OIW15007 pep chromosome:LupAngTanjil_v1.0:LG03:23167119:23172776:1 gene:TanjilG_28266 transcript:OIW15007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSSSKDDVQRNRIISSKLYFDVSVSKVPLIYSESYDIAFLGLEKLHPFDSSKWGRICRFLTSFGVLDKKCIVEPLEASKDDLLVVHSESYLSSLKESSNVARITEVAPVALVPNFLVQQKVLFPFRKQVGGTILAAKLAKERGWAINVGGGFHHCSAENGGGFCAYADISLCIHFAFVRLNVSRVMIIDLDAHQGNGHEMDFANDRRVYILDMYNPGIYPLDYEARNYINQKVEVKSGTLTEEYLQKLDEALEVARHRFDPELIVYNAGTDILEGDPLGRLQISPEGVALRDEKVFRFAREKNIPIIMLTSGGYMKSSAKVIADSIVNLSKKCLIEIKGSPNA >OIW14945 pep chromosome:LupAngTanjil_v1.0:LG03:23729739:23731175:-1 gene:TanjilG_30664 transcript:OIW14945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNENPTATRKRRRKNSDAGDGKNNKEVKGILTSLLFLDEQEKHDELELQKISEEEKNSIETNHRKKKNAMFDYYSNLDDYYSDVEESEEIKRKKSRGVARAALVAVTAATEDGADSKDAKSGGGVPRRLWVKDRSGAWWDECNKPEFPEQEFKKAFRMGKVTFDAICEELNSVIAKEDTTLRNAIPVKQRVAVCLWRLATGDPLRLVSKRFGLGISTCHKLVLEVCTAIKNVLMPKYLQWPDEVSIKNIKNEFESISGIPNVVGSMYTSHVPIIAPKISVAAYFNKRHTERNQKTSYSITVQGVVNPRGVFTDVCIGWPGSMNDDQVLEKSALFHRASSGFMEGVWVVGGSGYPLMDWVLVPYTQQNLTWTQHAFNEKIGEVKKIAKDAFARLKGRWGCLQKRTEVKLQDLPTVLGACCVLHNICESRNEEIDPELIFDIVDDDMVPEDAMRSVSSMKARDAIAHNLLHHGLAGTKFL >OIW14384 pep chromosome:LupAngTanjil_v1.0:LG03:29726205:29744747:-1 gene:TanjilG_15738 transcript:OIW14384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLVERLRVRSDRRPIYNIDESDDDADFLPRKHGTTQEKFDRIVRSDAKEDSCQACGESGALVRCETCTYTFHSSCLLQPFKSPLSDHWKCPECVSPLNDIDKILDCETCPTVAGDSDATKSGPNQKYLVKWKGLSYLHCKWVSEEEFLKAFKNYPRLRTKINNFHRQMASVNSSDEDFVAIRPEWTMVDRILTCRGNEEKEYLVKWKELAYDECHWELESDISAFQPEIDRFNRFQSRSRKKPSSEQKGSDNHVAELNQQKEFQQCEHSPEFLSGGSLHPYQLEGLNFLRFSWSKQTHVILADEMGLGKTIQSIAFLASLLEENITPHLVVAPLSTLRNWEREFATWAPQMNVVMYFGSAQARSVIREYEFYFPKKQENIKKKKCGQTVNKIKHDMVKFDVLLTSYEMINLDTATLKSIKWQCMIVDEGHRLKNKDSKLFSSLKQYSTRHRVLLTGTPLQNNLDELFMLMHFLDAGKFGSLEEFQEEFKDINQGEQVLRLHKMLAPHLLRRVKKDVMTELPPKKELILRVELSSKQKEYYKAILTRNYEILTRRGGAQISLINVVMELRKLCCHPYMFDGAQPLLEDEKEAFIHLLESSGKLQLLDKIMVKLKEQGHRVLIYSQFQHMLDLLEDYCSYKTWHYERIDGKVGGAERQIRIDRFNAKNSTRFCFLLSTRAGGIGINLATADTVIIYDSDWNPHADLQAMARAHRLGQTNKVMIFRLITRGTIEERMMQLTKKKMVLEHVVVGRLKAQNINQEELNDIISYGSKELFADENDEAGKSRQIHYDDAAIDRLLDRDQVGEEEANLDNEDENGFLKAFKVMIFRLITRGTIEERMMQLTKKKMVLEHVVVGRLKAQNINQEELNDIISYGSKELFADENDEAGKSRQIHYDDAAIDRLLDRDQVGEEEANLDNEDENGFLKAFKVANFEYIDEVEAAKEEEARKREMENKSSVNISARANYWEELLGDRYQEHKVDELNSLGKGKRSRNKMLGDDFAGPEDLNSDGEDDNYEADPDLTDDSSNSNGTVSTRRSHKKKVHAISTEPLPLMEGEGRSLRILGFNQNQRAAFLQILMRFGIGDNDWESFVPRMKQKSVEEIREYGMLFLSHIAEDITDSPTFSDGVPKEGLRITDLLVRLAILILIKEKVQFASENPGTQLFSDDILARHAGLKYVKAWKQYHDIVLLQAVLKHGYGRWQAIVDDKVLNLQEVICQELNIPLVNAPVPGQVGAQVQTNAESNVANITKENAQSDIAADGAQGGSGDARNQTQLLRESTMLYHYRDMLRKQIDFVKRRYQLLEKGLNTEYQDEYFKDPNATIPDDIPSDISGETVTKLIDQLPQVEAIASQELPVAASDYGPNRLELVHNYNEMGKVLNGSTMDVVETYLAKDPTDVNAMKNLHQLESICEDVNNILTPKQK >OIW15908 pep chromosome:LupAngTanjil_v1.0:LG03:1531704:1536980:1 gene:TanjilG_04443 transcript:OIW15908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRGRIRAKLQRSHLYTFGCIRPTTTDELPNPLHGPGFSRTVYCNQPQIHDKRSLFYCRNNVSTTKYNIITFFPKALFEQFRRVANIYFLLAACLSVSSISPFSPVSMIAPLGFVVGLSMAKEALEDFRRFLQDVKVNGRKASIHKGDGVFGPRAWQNIMVGDIIKVEKDQFFPSDLLLLSSSYEDGICYVETMNLDGETNLKVKRCLEATLCLDSDEAFKGLSGTIHCEDPNPNLYTFVGNFEYEHQVYALDPSQILLRDSKLRNTDYVYGVAIFTGHDSKVMQNSTKSPSKRSTIEKKMDYIIYTLFTVLILISIISSIGFVVKTKYQVSKWWYLRPDNIEDQYDPQKIGLAGMSHLITALILYGYLIPISLYVSIEIVKVLQATFINKDIHMYDELTGTSADARTSNLNEELGQVDTILSDKTGTLTCNQMDFLKCSIAGTAYGVRASEVELAATKQMTSDIEEQYSDLSNFPLSKSNTPSKKVTAGEEIVLEGIVTSKDDEDQKPAIKGFGFEDNRLMNGNWLNEPNSDVLLMYFRILAVCHTAIPELNEETDSITYEAESPDEGAFLVAAREFGFEFYRRTQSTVVVHERLAASGQVVEREYKILNLLDFTSKRKRMSVIVRDEEGNIILFCKGADSIIFDRLSKNGKLYLEATTKHLNEYGEAGLRTLALAYRKLDEQEFSDWNNEFQKAKTAVGPDREAMLEQLSDSIERELILVGATAVEDKLQKGVPQCIDKLAQAGLKIWVLTGDKMETAINIGFACSLLRQGMKQICITLPNSDSLTNDTKEVIKSNILNQITNASQMIIFEKDPHAAFALIIEGKTLTYALEDDVKHQFLGLAVGCASVICCRVSPKQKALVTRLVKQGTRKTTLAIGDGANDVGMIQEADIGIGISGVEGMQAVMASDFSIAQFRFLERLLVVHGHWCYKRIAQMICYFFYKNIAFGLTIFYFEAYAGFSGQSVYEDWYMILFNVVLTSLPVISLGVFEQDVSSEVCLQFPALYQQGPKNLFFDWYRILGWMGNGLYTSLITFFLVIIIFYDQAFRVDGQTADIDAVGTIMFTCIICAVNCQIALTMSHFTWIQHLFVWGSIVTWYLFLLLYGMLSPAYSKNAYQILVEVLAPAPIYWTATLIVTITCILPYLVHISLQRCFNPMDHHIIQEIKYYRKDIEDQRMWKTERFKARQETQIGFTARVEAKMRQLRGKLQRKPTSAGVLSPSYAQK >OIW16006 pep chromosome:LupAngTanjil_v1.0:LG03:2326167:2329848:-1 gene:TanjilG_04541 transcript:OIW16006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQVPKLGSFRHSFKERKERLLSMKGGGYSQIGIVLPESDDDADVTVARRWWRRCSDGVVKGWNKVKHVAVSAWEMGHSDPRKVIFSAKVGFALMLITLLIFLNEPFKDVSRYFVWAVLTVVVVFEFSIGATLSKGLNRAVGTLSAGGIALGMAKLSKLVGEWEEVAIIISIFLVGFCATYAKLYPTMKAYEYGIRVFMITYCLVTVSGYRTGDFIITATHRFVLIALGAAVSLGVNIFIFPIWAGEDLHALVAKNFIGVASSLEGVVNSYLNCIEYERVPSKILTYQASDDPVYSGYRSVVESTSKEDALLSFAVWEPPHGRYKMLRYPWKNYVKVSGALRHCAFMVMAMHGCILSEIQAPPEKRHVFRNELKKVCSEGAKVLREIGNKVKKMEKLGDEDILFDVQEAVEELQRKIDKKSFLLVNSESWEIGNRPSHEVEPQDLLNNDEETHFLEYKSLSEAMLDLRAVRVPKLWGENGAPEVKPAVPAAIVEENMFKKQISWQAQRSFQADAILSKAESKTYENASSLSVATFTTLLIEFVARLPYLVDSFEELGEVAKFKDPLKQQSQDLPSGF >OIW14527 pep chromosome:LupAngTanjil_v1.0:LG03:27327061:27328425:1 gene:TanjilG_04960 transcript:OIW14527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLGKRGRAPMKRTTSMSEITFDLNMSTKDVDPNNKPNLNRAEPEGAEPVTGGFNGLGLDQSQVLLATVSARNHRRNFSDLEPITDFLTTCSFCKRCLVHDRDIYMYRGHNGFCSLECRQKQMNQDERKDKCYVASKKRVTKGETMIAL >OIW14678 pep chromosome:LupAngTanjil_v1.0:LG03:25805851:25812987:1 gene:TanjilG_33020 transcript:OIW14678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQMMMMVPSKSSRKNKSSSKENHPPSSDPNVLIHPKPSPLKSNHSLPPRPPSSSKRTKLTTDLNNSPTSDSGVKVVVRMRPLCKDKDEEDPIVQKISTNSLSINGHTFTFDSVADVDATQVDIFDHVGVPVVENCLAGFNSSVFAYGQTGSGKTYTMWGPANALLEENVEIDQQGLTPRVFELLFSRINEEQTKHSDKQLNYHCHCSFLEIYNEQITDLLNPNQKNLQIREDVKSGVYVENLTEENVATMKDVTRLLIKGLSNRRIGATSINSESSRSHTVFTCVVESRCKSTSDGVSRFKTSRINLVDLAGSERQKLTGAAGERLKEAGNINRSLSQLGNLINILAEVSQTGKQRHIPYRDSRLTFLLQESLGGNAKLAMICAISPAQSCRSETFSTLRFAQRAKAIKNKAVVNEVMQDDVNHLRQVIRQLRDELHRIKENGCNPVESSGGHSAAWIRRSLNILQSSLNRPQPLSCVDEDGDEEMEIIDEEGVENPDVVLCNANTPSNCNILVENDEEMNIDDQDLAEPCEANNIPSCSGSKFPNEEPSLAMGRSSFSCPVGESDISFSAPDASIGSPSATMSCVSPASLTIVKCDSSPVLISPPPSVSPRISSSRKSLRTSSGLSPSENGLHVESDLGIKHGNKKPTSTAFSSQTAPEFLTKTEDLAASIRHGLEIIDSHHRNNAALRRSSYRFSLRPRESRLTFPAGKVDMGVQTFLEDFVKEESVLFTCNNCKSRMQVDSSENDNDSNLQLVPHDNSSNLQLVPHDNNSKLQLVPVDFSETADKPKKQVLKAVEKVLAGSIRREMALEEFCAKQTYEIMQLNRLVQQYKHEKECNAIIAKTKEDKILRLESLMDGVLPTEDFMDEELVALTHEHKLLKDNYENHPEVLKMAIELKRVQDELEEYQSFYKLGEREVLMEEIQSLRSQLQFYIDSSSASAARMQNPLLQLTYSSTPNLAATLTAIPESTEERDETYETPASTEAEANEIPASAEESAEVKLEQERIRWTEAESRWISLSEELRAELEANRSLAEKRKRELDAEKKCNEELEEAMHMAIESHARLLEQYADLQEKHIQLFARHRNIQDGIEDVMKASSRAGARAAESKLINTLAAEISALKAEREKERRILRDENRGLQAQLNDTAEAVQAAGELLLRLKEAEEGITTAQKRAMDAEQEAAKAYKQIDKLKNKYEKEINTLNQLIVETRLPNESIRPAYVDDVVMPIYDEPHSVNDPFEILNNADGGELAKLAESSWFSGYDRCNI >OIW15911 pep chromosome:LupAngTanjil_v1.0:LG03:1551816:1552385:-1 gene:TanjilG_04446 transcript:OIW15911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFQTPDHDSGSESNNNQKRLYNPYKDLEVPIRNLYQLPTSPEHLFVEEARRKHRSWGENLTFYTGCGYFAGAVGGAGVGLVEAVKAFESGDTNKLRINRVLNTSGHSGRVWGNRLGVIGLLYAGIESGVAEARDTDDVWNSVAAGLGTGALYRAARGVRSAAVAGAVGGVVVGVVVTAKQALKRYVPI >OIW15961 pep chromosome:LupAngTanjil_v1.0:LG03:1893509:1898462:1 gene:TanjilG_04496 transcript:OIW15961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGLNTAPVPPPGAHEKQGVVVMISGDKAMFIGCKFIGAQDTLYDNFGRHYYKNCYIEGYVDFIFGDGRSLFEPLVVEEYDEDLAIWASLQFDAYDLRDKVKIPLSKPFVTIERVGVDNTILQWGDIAGTRGPQGEKLGINRCATCTLDSTHFIAKNITFKNTTPVPPPGAHEKQGVAVMISGDKAMFLGCKFIGAQDTPVDKFDRHYYKNCYIEGSVDFIFGDERSLFEGCHIRSIAYNLGVITAQRKNSSSENKGLSFVESKIIGSGNIYFGRTWGPFSLVIFAYTYMENIIIPKAGMIGVTLIIIEFFITVDGVGADHTIVQWDDTTRTCRPKGEKLELIIVQPLLWTLPISLRKTRN >OIW14452 pep chromosome:LupAngTanjil_v1.0:LG03:28578136:28586627:-1 gene:TanjilG_19500 transcript:OIW14452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEEERVLENQLELQLQEQRDSLSAIDHAILSDPSDPELLAVHEELVQAINDAEEGLLLLKRARLLQEADSVLHSINLFAEEEKVEPLDPTNVEPEPLEKSCYPVGSKCRFRHIDGRWYNGQVALLDNSMAKVSFLNPTSENMLMCKFFLQQRCRFGTNCRLSHGVDVQLSSLKKYIPTIYKPSLVGSSIWAVSNGNPGIWREAELESWDEQAGVGQVVFRDDGSSVKLGAEEMILSEYAEVSDLESDSSFEQSDASEYEEEESQGLGFMETTNQQRGIQTETAIFAKWENHTRGIASKMMANMGYREGMGLGVTGQGMLNPIPVKVLPPKQSLDHALGSHKKENTEKKGKKRSRGGKRKRDKKFAEASSAAKEEENSASDVFALINNQLAMHNETLNGGSMKKQQQSKGSGEGKKVDRRVLIAYEDDVKDLKVRVEKLEEIVNINRKEKLVFEAAMRKLMETRKALAEAEAAHVSASNAVDSKEKEKRWLKF >OIW15853 pep chromosome:LupAngTanjil_v1.0:LG03:1149322:1150584:1 gene:TanjilG_04388 transcript:OIW15853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDIEDDDTMYPPNPYRVSHLHQGYEYMSRRKPTSRVSPFNELVGNEYGEDNNNSNEGEEEVQEEEERLGEEEDDEDDIDQANNIQPSDKDIDDNEEEEEEEEEEDDANGGGDENCDDSDDGEDDDDYDDDDKHKSYIVEIDNDVECQPKKQKLKSLISTYELAPHVPAPSAAAPSVSKPYFGRNSVNDWTEHETLVLLDVWADWFLQCGSKSLQLEEWQEVAEKVSKVSKIERTGTQCRHHLNTLKKKYEKEKVKFREMDDGASKWVYFKRMDELMSSSPQQAGLCCGLNSGEYVPANARIYSNRTNGLDETRSSPRSTKPIAEEGSDRPHAKRGRKGRGSGEASSFRLLADSLHKFSNVYEKIENDRRQQMVELEKMRMDFQKEIETERRQILERLQSEISKLEQIDDENDGSSEKGM >OIW14826 pep chromosome:LupAngTanjil_v1.0:LG03:24691224:24692854:1 gene:TanjilG_17051 transcript:OIW14826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILQAVNDNDIHNVVLSYLIHNCYNESVESFIACTGMKQQTDYLENMEKRKRIFQCALERNALMAIELAEQLGPDILKNNEDLEFDLLSLHFVDLVCSKKCTEALEFAQIKLSPFGKEQKYREKLEDFMALLAYNEPEKSPVFHLLSLEYRQQVADSLNRAILGCTLESSQLHGNGKANTAGYSRQTMLDSGNCQGWTPTIFLEGFSQKLIGNNQISTGS >OIW15443 pep chromosome:LupAngTanjil_v1.0:LG03:9070945:9071388:1 gene:TanjilG_28642 transcript:OIW15443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHDQHSSSVNQREIGSVGIVAMYHKPEETRNMLDQDRMHQLTLSRSGSLHQVVCQPRSPALHQMRMGNEHQLALSQSGQTMVHQLALSRSGQAMAHQLALSRSGQAKAHQLTLSRSGGPWCIKSAIAMFTQVRFIITMNQMDTKSL >OIW14481 pep chromosome:LupAngTanjil_v1.0:LG03:28158280:28158858:1 gene:TanjilG_19897 transcript:OIW14481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFLDIPKGRSPTRKLQGPPPTPLRIKKDSHKIKKTRLAQVPQLSLPQPPQQREPIIIYTVSPKVIHTTPSDFMNLVQRLTGSTSSSSLSLSSTTLIPSPAARYTTVEKARSPMVEKQVQPFGDYVTHVGGLQMVNQGILYPGPASLSPISSSLFSSPSLDPSMVSFIHELNTPNFISPHTPSTDLFNYFVD >OIW15496 pep chromosome:LupAngTanjil_v1.0:LG03:7557941:7558867:1 gene:TanjilG_32900 transcript:OIW15496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREEDSNWFSRWEEELPSPEDLMPLSQTLITPDLAIAFNIKTNNNNNIIQQQQQSLHLQQQHNIQLQQQQQLPTTTSNPTTQHQQQPSSGDFADSGELGSGNGGDEPARTLKRPRLVWTPQLHKRFVDAVAHLGIKNAVPKTIMQLMSVDGLTRENVASHLQKYRLYLKRMQGLSGGGSGGGGAGGGVDAATDHLFASSPVPPHFLQPGRSNSDHFMPFVQVPALQHHHQMAAAAAVQQPQYHRQVGHFGSLQNGHFEHPFMSRQSQHQLHRMGAPVHIPVDGFVEDKESANASGGRKVLTLFPNGDD >OIW14616 pep chromosome:LupAngTanjil_v1.0:LG03:26334709:26336825:-1 gene:TanjilG_32958 transcript:OIW14616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSGTIDIDTLLELPNLISFSVINNDFEGPMPEFKRIASLRALFLTNNKFSGHIPDDGFEGMRRLKKVFLAENGFNGHIPRSLAKLPALLDVDLHGNNFQGIIPEFQQRHFRVFNLVNNLLVGPIPEGLSNVDPSSYAGNKGLCGKPLSQPCIRNTKPNFMLPHEEKEIRKQHTLFTAIMVVALTVLASILTLIFIHFHRRKRAQHSTVDEAQVKSYRSNSTVSSESKSILVAAGKSKKSNGDEDFSFVRKDGKEFDLQDLLKASAEVLGSGSFGSTYKAMMLSGPIVVKRFKQMSNVGKHQFFDHMKRLGRLRHTNILPLIAFYYGKEEKLLVYEFAENSSLASHLHGRCGSKLDWPTRLKIIKGVARGLAHLYEEFPDQKLPHGHLKSSNVVLDRSFEPRLTEYGLVAVMNKKHVQQFMCSSKSPEVSQYEKPSEKTDAWCLGILILELLTGKFPASYVRHGKGASEELETWVKAIVKEGWSGGDVIDKELLVEKNGEGQMLKLLRIGMSCCEWSLENRMDWKEAMVKIQELNVTDHDGNACSGVTSKKY >OIW14610 pep chromosome:LupAngTanjil_v1.0:LG03:26370710:26371978:1 gene:TanjilG_32952 transcript:OIW14610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSYLPATTESIALALEAKGPSEAISILYRVLDDPSSSPEALRMKEQAITNLSDLLRQESRGEDLRSLLTQLRPFFSLIPKAKTAKIVRVIIDSVAKIPGTSDLQIVLCKEMVQWTRAEKRTFLRQRVEARLAALLMENKEYSEALSLLSGLVKEVRRLDDKLLLVDIDLLESKLHFSLRNLPKAKAALTAARTAANAIYVPPAQQGAIDLQSGILHAEEKDYKTAYSYFFEAFESFNALDDPKAVFSLKYMLLCKIMVNQADDVGGIISSKAGLQYVGPDLDAMKAVADAHSKRSLKLFEVALRDYKAQLEEDPIVHRHLSSLYDTLMEQNLCRLIEPFSRVEIAHVAELIELPFDHVERKLSQMILDKKFAGTLDQGAGCLVIFDDPKTDAIYPATLETISNVGKVVDSLYVRSAKIMA >OIW14862 pep chromosome:LupAngTanjil_v1.0:LG03:24442310:24445578:1 gene:TanjilG_30581 transcript:OIW14862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSNGSDSQATEQNQQQRQTPPQPQPQAVAVPHHWVPMQYPAAAMVMHHPMLPAQHYAAAPPSPYVPYHHHYQNQVSPAHVPHHYNRHSSSAENKTIWIGDLHHWMDENYLHRCFASTTEISSIKVIRNKQTGLSEGYGFVEFYSHSTAKKVLENYAGILMPNADQLFRLNWATFSAGDKRSDNVPHLSIFVGDLAADVTDSLLHETFSSKYPSVKAAKVVFDANNGRSKGYGFVRFGDDNERSQAMTEMNGVYCSTRPMRIGAATPRKSSGYQQVGQGNSTSGQSEADSTNTTIFVGGLDPSVTAEDLRHPFSQYGEIFSVKIPVGKGCGFVQFANRNNAEDALQKLDGTTIGKQTIRLSWGRNPANKQFRVDFGSPWNGAYYGAYYGASIYDGYGYAMSATHDPSIYAATYGTYPIYGGQQQQVS >OIW15307 pep chromosome:LupAngTanjil_v1.0:LG03:14184073:14186521:1 gene:TanjilG_10747 transcript:OIW15307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKELLELYGAAKTAADAASSGDGEVEESRCIDALQQLKKFPVNYKILVSTQVGKHLKSLTKHPRQKIRAFAIDLIEIWKNIIIKETSKNKNGGSDDKVEPANGETAKAGKFQKSPSVKVEKAETVKVEKIDRNGTPRSSSDSTKKAQNVDLKIEKTDRVANVKVEKQASGVKRTSSSSAAAPKLKTMIKSNDSVRDKIREILQEALSKVPGEADEDVMDEVNACDPIRVAVTVESLLFQKWGPSNGAQKVKYRSLMFNLKDQNNTDFRRKVLLGVIEPERLINMSTAEMASEQRKQENQKLEQKALFECERGAQPKATTDQFRCGRCGQRKTTYYQMQTRSADEPMTTYVTCVVCNNRWKFC >OIW16051 pep chromosome:LupAngTanjil_v1.0:LG03:2762261:2765177:1 gene:TanjilG_04586 transcript:OIW16051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSTARTIAELRRVAATRGGVSSTYLLSVRSFSSAAAAATSSASDSHPSASSSSESQGKSSRWLLFLPGAITFGLGTWQIFRRQEKIKMLEYREKRLQMEPLKFSSANPSIEELDSLEFRKVVCKGVFDDKKSIFVGPRSRSISGVTENGYYVITPLIPVHNYPDSVGFPILVNRGWVPRSWRDKFLEDSHDEHFADALPSPSQADGTKSWWKFWSQKSIIVEDQVPSVSPVEVVGTIRGSENPSIFVPANDPESSQWFYVDVPGIARTCGLPEDTIYIEDINENVNPSKPYPIPKDVNTLIRSSVMPQDHLNYMLTWCATLFSLFAY >OIW14543 pep chromosome:LupAngTanjil_v1.0:LG03:27064192:27065971:-1 gene:TanjilG_12942 transcript:OIW14543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAPSPREEYVYKAKLAEQAERYEEMVEFMEKVSAAADNEELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEESRGNDDHVSVIRDYRSKIESELSNICDGILKLLDSRLIPSASSGDSKVFYLKMKGDYHRYLAEFKTGAERKDAAESTLAAYKSAQDIANTELPPTHPIRLGLALNFSVFYYEILNSPDRACNLAKQAFDEAIAELDTLGEESYKDSTLIMQLLRDNLTLWTSDMQDDGADDIKEAAPKPDEQ >OIW15404 pep chromosome:LupAngTanjil_v1.0:LG03:11956539:11968103:1 gene:TanjilG_15787 transcript:OIW15404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPNPGTFPIVSYVMSRLPSLTGKTTSTASESNQVDVEQPLAPPSSDHPEIVGQMPNLTDPELLASMSRALGEVSQTRVVLDLLGPRPTHEDVDDSRAKIAEIEAQLSRQLEEIVLLPRPAEIDIHAWRAHQAEKEKECRELAEKDKHIYKAVVQLDGMHDGYEKLLRDAEKRLVKIYESAEDVEDGGGDGGDGGDEVDEEVVGILNEAYGKGIERVNLSGRGLRFLPEAFGRIPGLVVLDISSNVLSAIPDSIAGLENLEELNISSNLLESLPDSIGLLQKLKFLYVSGNKLSALPDSICQCRSLVVLDASFNNLSYLPTNIGYELPNLEKLMIQLNKIRSLPSSVCEIKSLRHLDANCNELHGLPIAIGRLTNLEYLNLGSNFSDLKELPDTFGDLINLRELDLSNNQIHALPDAFGRLDNLTKLNLEHNPLELPPPEIVSQGVITIKNFMAKRWIDILMEEEKKNSQEMQEQGQSSWLTKSTSWLKNVPGNVVGYLGSPASSTPKSQTDAFLDTHGISQADASKCRSLGQIGAPIAPISIASLGQMAKHNAPISITILGQIAQPITQVPSYVSVRWPNMAHQISSSISVNWSSMAHQIVLVISVNTQAMAHQNVPVILMVTF >OIW15041 pep chromosome:LupAngTanjil_v1.0:LG03:22680533:22680997:1 gene:TanjilG_13968 transcript:OIW15041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGLGFQQHQPHGNSGIWVDTHAQPQQGYGFHQDSWGPDHNNYQNQHGNNGVFHDTIPNANYGHHGYDNFGHGNTVGQFPNGGAYKFNSGGRHGGYNSEEYEEYNEAVNHGAGKLKVDEVRYERHNYGGGDHGFHANPYGHGGHKADWIAKGV >OIW15623 pep chromosome:LupAngTanjil_v1.0:LG03:4433886:4434170:1 gene:TanjilG_08199 transcript:OIW15623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKVTFFVVFALVLVVPLGSVLGQGDWSSLLDQASAAGLPEGALDEAKKALNDGSAQMVAEAALSDGAAQKAAEEVLGGSGNADSLSDWVGDAK >OIW15071 pep chromosome:LupAngTanjil_v1.0:LG03:21306622:21307653:-1 gene:TanjilG_08558 transcript:OIW15071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHSSSSLHPPQETKHTNPSTKTIETSSNTSKGKCKVKGKGGPDNNKFKYRGVRQRSWGKWVAEIREPRKRTRKWLGTFSTAEDAARAYDHAAIILYGSRAQLNLQPSGSSNSQSSSRASSSTHTLRPLLPRPSGFAFTFSNSINNSHHFPQVFNSTATSGFIPYGVYSGGGGVENNNTNMGTNPVLCPISNNIVQQYHQAQFNSHCHSQQVVLVQQEQQQQQQQEEPGELVIGHNHTGSGKETSYKNNTIHQHHNHLVHSDTNVVSNNQLDEQHQNCMYDLVGSVGSSLSSSLTISVPVENSESQMGIGVGSPTSFMWPMPMEEDDYPANLWDYNESFFLDF >OIW15520 pep chromosome:LupAngTanjil_v1.0:LG03:6232416:6237650:-1 gene:TanjilG_27371 transcript:OIW15520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSDSNFLKNFWISNKILVPGFGFENNEIDYEDDNDGPECPVLVFVNSRSGGQLGGNLLKTYRALLKEKQVFDLGEEAPDKVLSRIYANLENLKLKGDEYAQKVIERLRLIVAGGDGTAGWLLGVVCDLKLSHPPPIATVPLGTGNNLPFAFGWGKKNPGTDEPSVMSFLDQVMKAKEMKIDNWHILMRMRAPKEGLCDPIAPLELPHSLHAFHRVSEADELNVEGCHTFRGGFWNYFSMGMDAQVSYAFHSERKLHPEKFKNQLVNQSTYAKLGCTQGWFFAHLLHPPSSNIAHLAKVKIMKRRGHWEDLHIPSSIRSIICLNLPSFSGGFNPWGTPNKDKQRDRDLTPPYVDDGLIEIVGFRDAWHGLVLLAPNGHGTRLAQAKRIRFEFHKVATDHTFMRIDGEPWKQPLPIDDDTVEVEISHHGQVNMLATHDCKSRSVYDPSSVHLVDAEDDSDDEDSISDEFRKFGAANTFKIPDEVDHAHLS >OIW14358 pep chromosome:LupAngTanjil_v1.0:LG03:30047769:30051139:1 gene:TanjilG_15712 transcript:OIW14358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNLQQKASEWSGINTNDAFSIDQTNLFNKLGLQTFINLSTNFYNRVYDDEEEWFRSIFASSEKENAIQNQYEFFVQRMGGPPLFSQRRGHPALIGRHRPFPVTHRAAERWLHHMQQALDSTPDIDDDSKIKMMNFFRHTAYFLVAGDELKNQN >OIW14420 pep chromosome:LupAngTanjil_v1.0:LG03:29341853:29344814:1 gene:TanjilG_20866 transcript:OIW14420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSDSNDNDVVEKDPSSRYLRYDEILGKGAFKTVYRAFDEINGIEVAWNHVSIEDVLQSSQQLERLYSEIHLLKTLKHENIIKLHNSWVDDKNKTITMITELFTSGSLRQYRKKHKNVDMKAIKNWARQILRGLCYLHSHSPPIIHRDLKCDNIFVNGNNGHVKIGDLGLAIVMQQPTARSVIGTPEFMAPELYDEEYNELVDIYSFGMCMLEMITCEFPYSECKNQAQIYKKVTSGVKPAALATVNDPEVKRFIEKCLVPASMRLPASELLKDPFLATENAKEIDRDLPKLPNLPIKLVNRPISEPHPMEIDSNVKHTSTGSAVRTIEEASQVLTFDLVRMTENNEFRLRGDKDGERTISLMLRIADAHGRARNIHFPFFINSDTAISIAAEMVEHLELASEDVAVIAELIHDMIVKLVPNWQPSSQDLQFRTDHSCKSPDVQNGEIGSCHWPPLSSDFNKNEVGGDFVLSKHIDVADQEKQESVTSDISVEYGVQAASYSIEHDFSILADCCKGSNGFNCNSDFMFCGQEDDGHKNKTNQSENHSTSSMMNSCCSASEIFDVSSICSLTLADKDHSSNELQLELDEIDLQYQQCFHQLEKMRQEAIENVKRKWITNEENIKPYMMH >OIW15631 pep chromosome:LupAngTanjil_v1.0:LG03:4493885:4497268:1 gene:TanjilG_08207 transcript:OIW15631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDKGNRVLPHRNAKQRAAAAITGTAKKKKRVALSAITNLPPEKPVSGSELGGNDTTKKRKRVPLSELTNIPPEKSVPGSDTENNRVRKRPTKSKPVTESNNNTSDPQLCAPYISDIYEYLRKMEVDPSKRPLADYVQKVQSDMNANMRGVLVDWLVEVAEEYNLVSDTLFFSVSYIDRFLSRNALSRQNLQLLGVSSMLLASKYEEIKPPEVENFCYITDNTYNKEEVVEMEADILKTLNFELGGPTVKTFLRHTSNLQFEFLCYYLAELSLLDYNCIKFFPSLVAASVVFLARFMLSPTTHPWNSALYQLTRYEPADLKECILNIHDLYLSRRGATLKAVREKYKQHKFKCVANTPSPPEIPLYFFEFRVADLRKL >OIW14806 pep chromosome:LupAngTanjil_v1.0:LG03:24855896:24857473:1 gene:TanjilG_05427 transcript:OIW14806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFIISKLRLHHSLLPHTFKLYSSTSSLLSEPLLQHDLNHTNLSTLEETNIVEKFHSLIKDHYSKNPNPDLNPTPPTPNLIIPDLSIEFAKISTVHLLTPSIARRVIEKCGGVHHGIPFHQSLGFFNWATTLDGFPLSPEPYNEIIDLAGKLRHFELAWHLIDLMKSRGVGITIDTFSILVRRYVRAGLAAEAVHAFNRMEEYGCKPDKVAFSIVISMLCKKRRASEAQSFFDSLKDKFEPDVIVYTSLVHGWCRAGDISKAEEVFRDMKVAGIKPNVYTYSIVIDSLCRCGQISRAHDVFAEMIDSGCDPNVVTFNSLMRVHVKAKKTEKVLQVFNQMKRLGCDPDTISYNFLIECHCRDGNLDEAVKVLNLMVLKGVDPNVSTFNSIYGRIANLHDVNGAHRMYAKMKELKCQPNTLTYNILMRMFADSKSTDMVLKLKKEMDENQVEPNVNTYRILIMLYCAMGHWNNAYKLMKEMVVEKGLNPNLSVYEMVLELLRNAGQLKMHEELVDKMVARGFVSRPL >OIW15413 pep chromosome:LupAngTanjil_v1.0:LG03:11326167:11327317:-1 gene:TanjilG_07186 transcript:OIW15413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHVDYYGTKPCERPLKRRVTGRGIYILYEDVKSCPYEDVHVLWSILVESNSPSLPSKRCKAMATV >OIW16011 pep chromosome:LupAngTanjil_v1.0:LG03:2362681:2363648:-1 gene:TanjilG_04546 transcript:OIW16011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLESLVCPKPRRLGLLSIDNHIRPFRPLFTDYQSEIHDSGVGAELLDIIHPKGSCYNPERSWGQVASSPPPFFCGSPPSRVSNPVIQDEQFGNVNVNFSSFSMAPPAPSSSARSCVRMKFGHTPAAVRIEGFDCLGRDRRNCSISAVA >OIW15114 pep chromosome:LupAngTanjil_v1.0:LG03:22075681:22081798:-1 gene:TanjilG_08601 transcript:OIW15114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLKKPSHPNRGVPTAVNCLSTILKEPVVRSSFVQADGVKLLVPLISPASTQQSIQLLYETCLCIWLLSYYEPAIEYLATSKALPRLIDVVKSSTKEKVVRVVVLTLKNLLSKGTLGAQMVDFQLPQVVQSLKAQAWSDEVVRVVVLTLKNLLSKGTLGAQMVDFQLPQVVQSLKAQAWSDEDLLEALNSLEEGLKDNIKKLSSFDKYKQEVLLGHLDWSPMHKDCLFWRENITNFEENDFQILRVLITVLDISNDPRTLAVVCFDLSQFIQHHPSGRLIVADLKAKERVMKLMNHENAEVTKSALLCIQRLFLGSKYASFLQA >OIW14749 pep chromosome:LupAngTanjil_v1.0:LG03:25216247:25219502:-1 gene:TanjilG_05370 transcript:OIW14749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNSFAISNGNGNVNANANDNGNVNPPSNLMRTYQVVVAATRDMGIGKDGILPWRLPSDLKYFKEITTTTADPRKKNAVVMGRKTWESIPLAFKPLPGRLNVVLTRSGSFDIATAENVVICASMSSALELLAASPYSLSIEKVFVIGGGQIFREALNGPGCEAIHITEIQTSIECDTFMPPIDFTIFWPWYSSFPRVENNIRYSFTTYVRVKSSAAESLGHSGSQDFVNKSESTKLEVQNFSFLPKMILERHEEYMYLRLVQEIISQGATKDDRTRTGTLSLFGQQMRFNLRKSFPLLTTKRVFWRGVVEELLWFISGSTNAKVLQEKGIHIWDGNASKEYLDSIGLTDREEGDLGPIYGFQWRHYGARYTNMHGDYSGQGIDQLLGVINKIKHNPDDRRIILNAWNPTDLKSMALPPCHMFAQFYVANGELSCQMYQRSADMGLGVPFNIASYALLTCMIAHVCDLVPGDFIHDLGDAHVYRTHVRPLQEQLQRLPKPFPILKINPKKDIDSFVASDFKLIGYDPHPKIEMEMAV >OIW15707 pep chromosome:LupAngTanjil_v1.0:LG03:173356:174490:-1 gene:TanjilG_04242 transcript:OIW15707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASLQTLPPQDPQGQKQHLGFEEANSKEEGSSSKCNKFAAFQAVEHQQHQHRIPPIRPPEKRQRVPSAYNRFIKEEIQRIKASNPDMRHREAFSTAAKNWAHFPHIQFGLKLDSNKQTNKPAL >OIW15406 pep chromosome:LupAngTanjil_v1.0:LG03:12028202:12034435:1 gene:TanjilG_15789 transcript:OIW15406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAPMEIFLQNPSTDETSDQNGVVISQHPPPSPLPSLILPQEKFLVPVEVCLKSSSTARIDDVRSAVERMLEKRSLSYNDGPIPVPPDETFLADNVQRICVCDTDEGMHNDNVLLFWQVKPVVHVFQLSEEGPSEEISSDGQTSTFNEWTLPAKEFDGMWESLMYESGLKQRLLRYAASALLFTEKCVDPFLVSWNRIILLHGPPGTGKTSLCKALAQKLSIRFNSRYPLCQLVEVNAHSLFSKWFSESGKLVAKLFQKIQEMVEEESNLVFVLIDEVESLAAARKAALSGSEPSDSIRVVNALLTQMDKLKSSPNVIILTTSNITAAIDIAFVDRADIKAYVGPPTLHARYEILRSCLQELMRTGILSSLQGCTDVTLPNYARAKEWLNAPDSHEPVLLCKKLLEAAESCEGMSGRTLRKLPFLAHANLTNHLDCDPIKFLSTMIETAKRERSELPD >OIW14860 pep chromosome:LupAngTanjil_v1.0:LG03:24461533:24461739:1 gene:TanjilG_30579 transcript:OIW14860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYFRKDKEGKSSRFSSIENPSLSSKKKPISAHELHYARKKAEVEDLKRKTFLPYKQGILGRMAGFTR >OIW15979 pep chromosome:LupAngTanjil_v1.0:LG03:2054097:2055373:1 gene:TanjilG_04514 transcript:OIW15979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPIAVGDVIPEGTLAYLDDDNKPQVLSIHSFSKSKKVIIVGVPGAFTPTCSLNHVPGFIERAEELKGKGVDEVIVISVNDPFVMKSWANTYTENKHVKFLADGSAVYTHALGLELDLKDKGLGTRSKRFALLVDDLKVKVANVENGGEFTISSAEEIIKAL >OIW15332 pep chromosome:LupAngTanjil_v1.0:LG03:14641368:14642155:1 gene:TanjilG_10772 transcript:OIW15332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKVTLSLVCFFLSTLFINFSYADVGTAAQYGPPFIPTTCFGGDASKFPSSNMFGAAGEGIWDNGAACGRKYKVRCLSAVVPKACIPGQNIQITIVDRAQSSISRPSSERATMVLSTTTFQAIANASVSLINIEYQE >OIW15263 pep chromosome:LupAngTanjil_v1.0:LG03:15318798:15325427:1 gene:TanjilG_16513 transcript:OIW15263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRTKSEAPNSRRFRLSYFLFGIGVLYLLFISFKFPHFLRILSNEVDDRLDGASVGGSEDSDISKSSVSSAYEDAIHRRLEDNKDHDSLLRPNKEPLKEGEHGHEPVKQHPPRYGRITGKIMEERKRANELPVLERMADEAWLLGLKAWNELDKVDEKELGNSSVFEGKAESCPSWISISGEELEKGDGLMFLPCGLAAGSSITVVGTPQHSHKEYVPSLAKLKNSEGLISVSQFMVELQGLKSVDGEEPPKILHLNPRIKGDWSRHPVIEHNTCYRMHWGKSQRCDGLPSGDEEDMLVDGYRRCEKWMRNDIVDSKESKTASWFKRFIGREQKPEVTWQFPFIEGRLFILTLHAGVDGYHINVGGRHVTSFPYRTGFTLEDATGLSVKGDVDVHSIFATSLPNSHPSFSPQRVLEMSEAWKASPLPKHPIRLFIGILSASNHFAERMAVRKTWMQSPAVKSSDVVARFFVALNPRKEVNVELKKEAAYFGDIVILPFMDRYELVVLKTVAICEFGIKNVTAAHIMKIDDDTFIRVDTVLKEIEKFPHKKSLYMGNLNLGHRPLRNGKWAVTYEEWPEEIYPPYANGPAYVISSDIVTYILSQHKNKKSRLFKMEDVSMGMWVEQYNNTLSAVRYSHSWKFCQYGCIEGYYTAHYQSPKQMICLWDKLSRGRASCCNFR >OIW14679 pep chromosome:LupAngTanjil_v1.0:LG03:25802854:25803936:1 gene:TanjilG_33021 transcript:OIW14679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMKGDACLFIQLDTVQQYNEEIELCPLRKEKQFSGVSNDILGFLSKWTRILDSCNGLILCRTTYKNPVELFVCNPSTQSWLSIPIPEHLQQNPNANLKVMLECGNEFLDSDDYKVINFEDPIDWESSSYYTCNVFHAKDGVWKTMEKPFFVGPRRMKFDMPVHYNGSLHFISDCSTYLAKNNPYFRPYVMSYNLENGTSSLLKLPNEARRGSHDLSCDMSIFKWSNLSSSVPQSICLVRLKKCVFTIWVLKDYESSSWYKIMKVRVKALGLKEKDPKVAAFTVMNGNILIFVVGKKVYSYGLNDENYMRLEEVGEHRCDSRVCFTSYSNTLRPCGTGATTMSYKQCYALEPSSQVQSSY >OIW15311 pep chromosome:LupAngTanjil_v1.0:LG03:14279548:14282767:1 gene:TanjilG_10751 transcript:OIW15311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGFGGRRITVSPRPCSGRRILAKKGHCHGGGVDGFVNSVKKLQRREICSKRDRGFSMSDAQERFRNIRLQEEYDTHDPKDPSAAVLPFLGKRSMIVEIVATQDIVFALAQSGVCAAFSRETNQIICFLNVNPVEVIRSLFYNKNNNSLITVSVYASDRYSSLTCRSIGIEYIRRGQPDAAFALFESESLKWPGFVEFDNVNAKVLTYSAQDSVYKVFDLKNYTMLYSISDKNVQEIKISPGIMLLIFSKASSHVPLKILSIEDGTVLKSFNHLLYRNKKVDFIEQFNEKLLVKQENENLQILDVRTFELTEVSRSEFMTPSAFIFLYENQLFLTFRNRSVAVWNFRGELVTSFEDHLLWHPDCNTNNIYITNDQDLIISYCKADSDDSLSEGSVGSINVSNILSGKCLAKITASNSFPVEEECSCADNCSGSGCNSRKRKHVSKISSTVAEALEDITALYYDEERNEIYTGNGHGLVHVWSN >OIW15592 pep chromosome:LupAngTanjil_v1.0:LG03:4111109:4116593:1 gene:TanjilG_08168 transcript:OIW15592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENIGKSSNLQSSSSATTTTTTTVSNTRSFWPSILRWIPTSTDHIINAEKRLLSLVKTPYVQEQVNIGSGPPDSRVRWFRSSSNEPQFINTVTFDSKEDSPTLVMVHGYAASQGFFFRNFDALASRFRVIAIDQLGWGGSSRPDFTCRTTEETESWFIDSFEEWRKAKNLSNFILLGHSFGGYIASKYALKHPEHVQHLILVGPAGFSSETERITKFLETWKGSILNHIWESGFTPLKIIRGLGPLGPDLVRRYTSGRFVKYTTGEILNESETTLLTDYVYHTLAAKPSGEQCLKYIFSFGAFARTPLLHSASEWKVPTTFIYGFQDWMNYEGAQEARKQMKVPCEIIRVPQAGHFVFIDNPSSFHSAMFYACRRYLRPDPDMNTRSWDKSPRVMALAP >OIW14963 pep chromosome:LupAngTanjil_v1.0:LG03:23542517:23543638:-1 gene:TanjilG_30682 transcript:OIW14963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKLCDSCNSATATLFCRPDSAFLCSSCDSKIHAANKLSSRHPRVTLCEVCEHAPAHVTCKADAASLCFACDRDIHTANSLAARHERVPVTPFFCNYDSIGSDDNFVDHDNHFFANDAADDGVSTEEAEAASWLLPNPKGTDLNAFAEVEPVPYVDLDYDLKTEQHKSSTANDGVVPVQNNEPFSYGYKFYCSHSQSQMSHSVSSSSMEVGVVPDANPVSEIYSKVAVVEGGNHGTGVAVDREARVMRYREKRKNRKFEKTIRYASRKAYAETRPRIKGRFAKRSDSDHHPLAGYGVVPAC >OIW14966 pep chromosome:LupAngTanjil_v1.0:LG03:23523901:23525570:1 gene:TanjilG_30685 transcript:OIW14966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDSSSDFTRRTEQAWLISQQPSPIGCSSCNSKGNIECKWCGGTGFFILGDNMLCEVPSRNTSCKICVGKMPTEQSETDTSSSAIHCLPDIRIANEANATATAERAFFHASKALTTVLHPLQCITCRKYLLAKFGACIVPMPPPPLAGEEADSPATIPAGACSTTAGSLGNEIVL >OIW15164 pep chromosome:LupAngTanjil_v1.0:LG03:19645384:19645917:-1 gene:TanjilG_21140 transcript:OIW15164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRYRGPRFKQIRRLGVLPGLTSKRPAXHSGTVVGKLEGEREITLGFVDLLRDDFVEKDRSRGIYFTQDWVSLPGVLPVASGGIHVWHMPALTEIFGDDSVLQFGGGTLGHPWGNAPGAVANRVALEACVQARNEGHDLASEGNQIIREASKWSPELAAACEVWKEIKFEFQAMDTL >OIW15858 pep chromosome:LupAngTanjil_v1.0:LG03:1180327:1181262:-1 gene:TanjilG_04393 transcript:OIW15858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKKFQKTLHDYISKIKTYHHPQIQLPFHSLPFSKGWILSGCKHPKTPSFALEGRNETHDGSNSSNNNDHKDDAAATLADVDRFLLENFKSLYLKDDDGDGENGSKYKNTRRVFLENQSHGEEGPNLGPMLFDSPRLLEMPRDLCGSTRFFVKPGFSGSLVVDDAMTSMTTTPRKISDHDETGSTATSTTTAITVNDSSSKEGVNMEKTTLPDNCIALLSCSPNPYEEFKRSMKEMVETRARNHEGEIDWEFMEDLLFCYLNLNEKKSHKFILSAFVDIITVMRQNSESGTMMKVKPHSVRTVMISRKVKK >OIW14642 pep chromosome:LupAngTanjil_v1.0:LG03:26100275:26106317:1 gene:TanjilG_32984 transcript:OIW14642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPLCCIAPVSIDRDRTTPVVAKPLPLGLDSSIRTVSYASKSSLSAQDSSLDDEEDVEGRDSKVNGGVAGSVAGILYKWVNYGKGWRSRWFVLEDGVLSYYKIHGPDKILVKDRSMRVIGDESVSSIRASKSDDKRLSIFTGTKTLHLRCVSRDDRAMWIEALQSAKDLFPRALSSSDLSTSEDIVVSTEKLRSRLSQEGISETVISDCESIMLSEVSYLQGKLKFLHQKHHMLLNTLKQLETEKIELETTVVDETKERESYCGQARRFSDFYSVMSEGSATDSVADNESQDGADVETDDEDGAYFDTNEFLSSDALRSASYRSRESTGNANIYNKDFILYDGLYGFEKEIKDVSYPYVQRRDNLPEPKEKEKPLGLWSIIKDNIGKDLSGVCLPVYFNEPLSSLQKCFEDLEYSYLVDRALEWGKQGNDLMRILNIAAFAVSGYASTEGRQCKPFNPLLGETYEADYPDKGLKFFSEKVSGLFSLNFSRFMEVSHHPMVVACHCEGRGWKFWADSNLKGKFWGRSIQLDPVGVLTLQFEDGETFQWSKVTTSIYNIILGKIYCDHYGTMCIKGSGNYSCKLKFKEQSIIDRNPHQVHGFVQDNRTGEKVAMLIGKWDEAMYYVLGDPTTKPKGYDPMTEAALLWERGNCATKTRYNLSPFAISLNEIVPGLSEKLPPTDSRLRPDQRHLENGEYELANAEKLRLEQLQRQARKMQERGWKPRWFQKDEDGSYRYKGGYWEARENNNWDEIPDIFGQSCDLPSCSEEIVS >OIW14773 pep chromosome:LupAngTanjil_v1.0:LG03:25080970:25081533:1 gene:TanjilG_05394 transcript:OIW14773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRLFRFLTDNHPSPPSSVVDSDYVVILAALLCALICVLGLLAVARCTCLRISSTASTPQPPPLPSSNKGVNKKVLRSLPKLTATAEYAVKLSDCAICLTEFAAGEEIRVLPHCGHGFHVTCIDTWLKSNSSCPSCRQVLTVSRCQKCGGFPASTSSSSNSAAASETDPEARSKVMERENDGNRFLP >OIW14895 pep chromosome:LupAngTanjil_v1.0:LG03:24187049:24189457:-1 gene:TanjilG_30614 transcript:OIW14895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYIPIFFLLILFASTSFATDTLTQSSSLSIEKTNDTLVSINGDFSAGFFQVGENAFCFSILFTRSIKPTVVWMANRDEPVNGKDSKLTLLKNGNLVLIDAGRTTIWTTSIVSSFQVELKLKNNGNLVLRTVQGGEETVLWQSFDSPTDTLLTGQPVTEKASLVSSRSETNYSSSFYRLYFDNDNILRLLYIAPSLSSVYWPAPWKLAVDVGRLAYNISKTAVLDVNGKFTSSDGFQFISSDYQTRVYRRLLMDPDGNLRLYSFNEESRTWDVTWQAINQPCTVHGICGANSMCTHDATGRSCYCLEGFKVKDPNDWTQGCEPEFSSSDIVCNNSESASFVHLPHTEFYGYDVGVYRVTSLKECVGICLSLCDNCKGFQFKFNEVATYNCYPKTILLNGRDTPNFDGESYLKLPNAIAINSKKPLNKHSPLNCSVSLSQPLNRTYQKAKRNTALRFLVWFATGVAVFEISVIFLVWFFLFRTNKQSNDGDQQRHILSATGFERFTYSELKRATKGFTEEVGRGAGGVVYKGTLYDNRVAAIKRLGEANQGAAEFLAEISTIGMLNHMNLIDMWGYCVEGKHRMLVYQYMEHGSLSQNLFSNALDWKKRFNVALGTARGLAYLHEECLEWVLHCDVKPQNILLDSDFQPKVADFGLSKLLNRDERGSSNLAFSRMRGTRGYMAPEWVYNLRITSKVDVYSYGIVVLEMVTGKSAMEIHSVQIGGGIEQRRLLTWVEEKVKNAPTSRFWIEEIADPNLEGKYNVSQVEILVNVALQCVQDDMNDRPSMSQVVEMLQSHEKYTHIN >OIW14821 pep chromosome:LupAngTanjil_v1.0:LG03:24652202:24654872:-1 gene:TanjilG_17046 transcript:OIW14821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPNHQGFSSVSYADLLSGNSPLLPHNYSEAQNEVKFITAIGDTVTMQSIDGNVPRTQMGIGDNTEQNVQCQGLSLSLGTLMPSTESSVPSFQYHYPNNTGLSSIITDHDLIKREGLYNQHPSMQSDPCLQGSSGFSNNVLNSQYLKAAQELLDETVNVRNALSLNQHGAEKQENNFRGIGLDGSKDSDGKSSGPNGSTANPSCELSPEERKNLLDKKTKLLAMLDEVDRRYRQYCHQMQIVMSSLDMVAGCGAAEPYTTLALRTISRHFRCLRDAISSQIQMTQRSLGEQEGIPRLRYVDQKLRQQKALQQLGVMRQAWRPQRGLPETSVSILRAWLFEHFLNPYPKDSEKVMLARQTGLSRNQVANWFINARVRLWKPMVEEMYKEEFGDSEMSCNVSSENTVLAKRDGVQASDNKWEESRDNLVTIDDSVQQGQLDGLNPSTTELNRGIYEGENFNGNQSLGMNNTNTFYSSVPIPTNQNGDDSVMASTNTPAAYDLSELGNFTVGGQVSLALELRNCENDGFSMSNDASNKRHNRPELASSSETDLLDYHFTESGKQQHRFGNPHLLHDFVV >OIW15383 pep chromosome:LupAngTanjil_v1.0:LG03:12319552:12321567:-1 gene:TanjilG_24368 transcript:OIW15383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFSYQYHPFLVDSSAFFLNNINISPTSQFNFHQQTSLDVTNQNTSCVEQSSKITISDNEPSVAKNISPQSSMVVDKLEIGEQVTQKVTPMMKKRRIRSVSSLSNSQSKDVTEGKIKRQRKSNNGGVKRENKPKEEKKGQRKSSEEPPKGYIHVRARRGEATDSHSLAERVTGKALMLDEIINHVQSLQNQVELLSLKLASVNPMFYDLATDLDTLLVRPEKLNSLASLSSLLHVQQCNSTNQVTNFDKTPTTIIPTPNNDYLFNGSTSVFLQGQRPNVF >OIW15335 pep chromosome:LupAngTanjil_v1.0:LG03:14658798:14664473:-1 gene:TanjilG_10775 transcript:OIW15335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASASAAASARGASLHIPPSQSRKQWRAVADHHHSTRNPDDDKLENAKPEQSDERTIYEVQQGREPLDVNFCSLTLDGTLDNDILQQQLHNVVRQRHEVLQVEIELKAQVIARTEIMEMRSTFDAQLKEHANNASKLQEQFHEKEHTIHELERKLEDKDRELHTIKLDNEAAWAKQDLLREQSKELATFRRDRNHSEAERAQHINQIHDLQEHFQEKESQLIELQEQQRVAQEAILYKDEQLREAQAWIARVREMDVFQSTTNQTLQAELRERTEQCHQLWMGFQRQYTEMERLHLHTIQQLQLELAEARERSGTYSDDSQMNSKNDVTQFGQDSGNQFDLNGGSASGGNNGHLSNQSSDNVPPFASSSNATIQTDHVPGVPITPSSLLVPPSYLPPGQVTTLHPFVMHQQGMPNSVSSHVPQSHAGHFHPVPAMTPLQQWQNQQVVSEGSQVSIQGGPSSSHNDRSLLSSDAQFNYEINGQALHRDYFDAHIHQDEEPRNVISSSTGETQVLQSADKGQLVASPQDQTLQQLSSHFSDALRLNSFELNGEIKEQNSVANDGPEVLVAEQVSSSVNASGVTSHNVNHNEMVQSNSTEPVLPEAFASTGQTTSSTMARTSETSLLDERSLLACIVRTIPAGGWVRISSTVITPMAQSHRLKKAPSIDSKNIKADKSLQEYAVISTNIGEDPLKLAVMQHQQSNGVFSVAGGLSNVKILSKSKDTQEMNDPQSRTVHSSVQLHVGNGGSIDRSSMSSAQNPVSANGRVVQSFALKPQSRATGAAYPSRR >OIW14596 pep chromosome:LupAngTanjil_v1.0:LG03:26528841:26531659:1 gene:TanjilG_32938 transcript:OIW14596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFYFKARSEAGDYTIFMGLDKHENEELIRYAFPEDIWFHVDKMSSAHVYVRLHKGQTIDDISEGLLEDCVQLVKANSIQGNKVNNIDVVYTPWSNLKKTPSMDVGQVGFHNSKMVRTVRVEKRLNEIVNRLNKTKVERKPDLKAEREAVNATERTEKKLHLREKKRREDLEVLEKEKQAEMRSYKGLMVSENMISNKQIASGSKSLQELEEDFM >OIW15166 pep chromosome:LupAngTanjil_v1.0:LG03:19651804:19652028:-1 gene:TanjilG_21142 transcript:OIW15166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALMGGFARIGNNEITILVNDAEKGSDIDPEEAQQTLEIAEANLSKAEGKRKTIEANLALRRARTRVEAINIIA >OIW15787 pep chromosome:LupAngTanjil_v1.0:LG03:684442:685599:1 gene:TanjilG_04322 transcript:OIW15787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISRSFHQGFQVFPLTEEDLVSSNVEVVNSGGSGSSSYPSSLLFNLSILKDKVSQVQTLVGVILSPNQSLPDSTSMAISSMISTIHEIIVTATSMMYTCQQMMDPPGTSTTNACTKESQSQQITQSNLGNNDKVVLRNIASDSREMLRNTLNRPLDNIRSQGFFSSTESEALDWFSQTYNNNNCSSSNVNKCNLKDEVAISGNNNVLLGDHGNDEGYKRGLSPSPKNHDIVELKAADLLAKYTHYCQVCGKGFKRDANLRMHMRAHGDEYKTSAALKNSLGSVKPNKYSCPQEGCRWNLKHAKFQPLKSMICAKNHYKRTHCPKMYMCKRCNQKQFSVLSDLRTHEKHCGDLKWHCSCGTTFSRKDKLMGHVALFVGHQPAITGL >OIW15679 pep chromosome:LupAngTanjil_v1.0:LG03:3631929:3633335:-1 gene:TanjilG_09617 transcript:OIW15679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNEYATSLEICEYEDFNSSKRPKLTSKVWEDMERIQTSDGSKVLCKHCGKLLQDNCGTSHLKRHLVICLKRPKSADIITKNQMASVCHRGNGSGKEPGSNTVLMVRPLKVEPQSQITCFSETPNNRAPTVIASTETSPLPIRELHQKTSSTLLLPSIESPKNHGALTLDDVEMKAFYASLDAEASVVTPPQDTSVVTESSNTTPCEESKKALKTLQDLLSKDFSILLHPGQSGTMKSTIEHLSKSSADDIISAELRLLLLEVSREFTRWSCDYNDASRKIESASTNILKADKLEEGLEANKNQFKEVLSLENDLTNQLASLEQKKKELEEQINAIKAHISVSQSAKNTVAKRKREIFEEAKTLKAQRDELREQLPHMRDECEVAKKIQANIKAEWSKLGEKVNKSLDGVNCQYLNQPQNV >OIW15172 pep chromosome:LupAngTanjil_v1.0:LG03:19759621:19763418:1 gene:TanjilG_21148 transcript:OIW15172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGVIVASTTAEKSKKRNPGESKGFVEEMRFVAMRLHTKDQAKEGEKEVKQPEEKTVAKWEPSVDGYLKFLVDSKLVYDTLEKIVQEPAYPSYAEFRNTGLERSASLAKDLEWFKEQGHTIPEPSSPGLTYAQYLTELSEKDPQAFICHFYNIYFAHSAGGRMIGKKVAQKILNNKELEFYKWDGDLSQLLQNVRDKLNKVAEEWIREEKDHCLEETEKSFKFSGQILRLVLS >OIW15727 pep chromosome:LupAngTanjil_v1.0:LG03:268550:270026:-1 gene:TanjilG_04262 transcript:OIW15727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKCSLCGNIGHNSRTCTTSFKGTFVGLRLFGVQLDISSSSSCITTMKKSFSMESFLPSSSRITIDENSADRTSIGYLSDGLIVRAQERKKGLVWTEEEHRRFLVGLEKLGKGDWRGISRNYVTTRTPTQVASHAQKYFLRLAAINRKRPRSSFFDLVDSSNRNTRAPISFTNNGKLGDSVTTNEHVQSKFEVENDATLSLLGSLTSFQQQTESDNQKTCCNYSPFEAEEDEPMHMSPIRG >OIW14588 pep chromosome:LupAngTanjil_v1.0:LG03:26600699:26607715:1 gene:TanjilG_32930 transcript:OIW14588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQEFESLFRLKTKRLLWLTGIAVAAIVVYNYFEFPYGNNILPYGFYADKIPESGSSRFQAAGPSSESEIFSNVTDLNQTNYTGEERGLETVNKTTTSDEKDTISMPGFVSEPAIVSNISSRFDESGEKSLVDSFRISDNEAAAEKARNLEPSSYNNSMGMSFIREVGVPSGSEHKFAEPTDFAPPISPSAEVSPNITAPVLSNDSNKTDSVKDERFRPSHDDVNILAKNSSIKTVPKENKDSDIPIPEVISISGMNELLLQNHASYRSMRPRWSSAVDQELLQTRSEIENAPIVENVENLYAPLYRNVSMFKRSYELMEQTLKVYVYREGSKPIMHSPYLLGIYASEGWFMRLMEANKRFVTRDPTKAHLFYLPFSSRMLEETLYVQNSHSHRNLIRYLHNYVDMIAGKHPFWNRTGGADHFLVACHDWAPTETKYHLAKSIRALCNADVKEGFVLGKDVSLPETYVRNTQNPTRNIGGKPASKRKTLAFFAGGMHGYVRPILLQHWENKDPDMKIFGTMPKSKGNRNYIEYMKSSKYCICAKGYEVNSPRVVEAIFYECVPVIISDNFVPPFFEVLNWESFSVIISENDIINLKSILLSIPQRRYLQMQMRLLSSLTTKITSFSMDPSLVNSNVKGNNITHLNAFEQIPISPQGSHLDQGRNPVVKAKGFDDVVVFNNFTTRDVASPIGSVQGKDVNLTALSSLAPQPMVLLPNRTSLDLETDSISPAISVTSNATLMKADTPDAVGKDEKSGSLQGNGKSKSTKNSRKRPSKVVSISEMNLILQRSHASSRLVKSKWSSAVDLEILYAKSEIENAPVIVDDSRLYSPLYRNVSMFKRSYELMEKMLKVYIYQDGDRPIFHEPLLDGIYASEGWFLKLMEANKQFVTGDPGKAHLFYIPFSSRLLQLTLYVRNSHKRSNLIEYMKNHVDMIAGKYPFWNRTNGTDHFVVACHDWAPAETRGRMLSCIRALCNADIEVGFKIGKDVSLPETYIRSVENPVKNIGGNPPSERPILAFFAGGLHGYVRPVLLKHWENKEPDMKITGPLPHVRGNANYLQLMKSSKYCICARGHEVNSPRVVEAIFHECIPVIISDNFIPPLFEVLNWESFAVFVTEKDIPNLRNILLSISEERYLEMHKRVKKVQEHFIWHAEPVKYDLFHMLLHSIWYNRIFQIGHT >OIW14430 pep chromosome:LupAngTanjil_v1.0:LG03:29207282:29211872:1 gene:TanjilG_20876 transcript:OIW14430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLTVAAFSGTILGFFLGILFPTLSLTKINLQSSLFPSIDLAYVEGKYSEFSTKSIRDALTSFTSNRRSKSNGLDTLDNTKIWVPTNPRGAERLPAGIVNSESDFYLRRLWGLPQKDPSFKPKYLVTFTVGYDQKDNINAAVKKFSENFTIMLFHYDGRVSEWDRFKWSKRAIHISARKQTKWWYAKRFLHPHIVAPYDYIFIWDEDLGTKHFDAEEYLKLVRKYDLEISQPGLDPRSSYNWQMTKKKDHGEVHKDAKERDGWCSSAHLPPCAAFVEIMAPVFSRDAWRCVWHIIQNDLVHGWGLDFALRKCVKTPHKKIGVVDTQWVLHQSVPTLGNQGQADGRRAPWEGVRERCHKEWAMFQERMVNAEREYFQENGVFPFNFSIDI >OIW15236 pep chromosome:LupAngTanjil_v1.0:LG03:15942917:15947305:1 gene:TanjilG_17556 transcript:OIW15236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVVPPPKSSDLGSGSGDLRVYQAWKGSNGRFIFGPDVRSLALTILLIVAPVAVFCVFVARKLMDEFSDHLGITIMAVAVVFTVYVLVLLFVTSGRDPGIIPRNAHPPEPEGFDGNLDVGAGQTPQLRLPRFKEAEVNGITVKVKYCDTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGLRNYRFFFMFVFSTTLLCIYVFAFCWVYIRRIMESEETIWKAMIKTPASIVLIIYTFISMWFVGGLTAFHLYLISTNQTTYENFRYRYDRRANPYNKGVLDNFGEIFCAGIPPSKNNFREMVPNEPALPARSVGGGFMNRNMGKPEDDIEMGRKAVWEGMGTGIDHSEGQLNNDRVAVKEGDLGELSPKIRTTVDERSGMHPRRSSWGRKSGSWEMSPEVLALAARVGEPNRVGGGSSSSLTNENSHT >OIW14744 pep chromosome:LupAngTanjil_v1.0:LG03:25250759:25251260:1 gene:TanjilG_05365 transcript:OIW14744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQEIDELIFNMDSLGTTFPQKRGLSRYYAGKSRSFACIAEVEVQSVEYLKKPEHNKKRKKHSHVKEILNPPPPYPCRGATNCTQFTTPYVNA >OIW14546 pep chromosome:LupAngTanjil_v1.0:LG03:27041441:27042490:1 gene:TanjilG_12945 transcript:OIW14546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLGLIVGIARTFRRKRTSSLDILSSKRASKGYYKGKNCKPTGFHTRKGGYVLVEEKLPKYIVPDLTDFKLKPYVSQCPINTTEASDATK >OIW15655 pep chromosome:LupAngTanjil_v1.0:LG03:3700226:3702058:1 gene:TanjilG_08411 transcript:OIW15655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVGHWTYPVLFYKKQLTLDHLIATYKKPMVSIINGAVMGGGAGLSMNTTFRIIFAMPEASIGLFPDVGANYFLSRLPGYFGEYLGLTGARLHGAEIAACGLATHFVPSMKLNLLENALQAVTSSNVSTIATLIETFSEKANVDEDNHFSRLEAINKCFSKGTVEDIIQTLVMGMDSEVEVVFVENDFMVKVVIRKYDFTK >OIW15744 pep chromosome:LupAngTanjil_v1.0:LG03:355509:370412:-1 gene:TanjilG_04279 transcript:OIW15744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKFFIGGNWKCNGTTDEVKKIVTTLNEAQVPGEDVVEVVVSPPYVFLPVVKGLLRPDFHVAAQNSWVRKGGAFTGEISAEILVNLGIPWVILGHSERRQLLNESNEFVADKVAYALSQGLKVIACIGETLEQREAGITLNVVAEQTKAIAAKISNWDNVVLAYEPVWAIGTGKVATPAQAQEVHADLRKWIHEHVSADVAASVRIIYGGSVNGGNSKELAGQPDLDGFLVGGASLKTGEIYYINWRNGMKAKEDPRSNNRNEESEEEEESWDDSEESSSSESCCPSSSFNNNKEYHQNQNQNQNNNVLVVAGCKSCLMYFMVPKQVEDCPKCTGQLLHFDRSQTNDPTSPSPSPSP >OIW15135 pep chromosome:LupAngTanjil_v1.0:LG03:20480575:20484866:1 gene:TanjilG_14134 transcript:OIW15135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDESWRMRMGLPAGLNHRRSMEDRTSSSTRHYIFSGAGTSESENMDPDDFTDVFGGPPRSLIMHKLSRSKSFYNEIFQPPDFISPALPKGGRRLPVFRFPAMNDTFYSDIFDDRRSRERSGPQSKAKSKSNSSSGLSSEDVSPLRPVIRDDVALSTFASKLRPINVPCRWDSSTMMLEEHPIKQERPFFPFNNQSFEVQYQDNEYKENLKSSHLGFSKRVSSPETVSLESKSCQSIKMFTDDWELNSPFSAVSSLCQEPDAKSSVHDHVLPELVIDEDDDDDDNEIMSSYVIEVNSNLREESCETTAVDEAIAWAKEKFQSRNSDEESRLRNDSSEQNAGMEGRPETDEYHDDGIRIVKSPKKLETETEKLDRDIRLWSSGKETDIRLLLSSLHHILWPESGWNAVPIMSLIQSSQVKKAYQKARLCLHPDKLQQRGATVLQKYIAQKAFSILQDAWTSFISEHVSF >OIW14416 pep chromosome:LupAngTanjil_v1.0:LG03:29390635:29398266:1 gene:TanjilG_20862 transcript:OIW14416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAASCQNHSLGSSHTSKNDIEIEVGQPDMCTSGGKQPPIKFHTAGGRSISVSNDALQRARSLLGDPDLGDFFDGGDAGDSIFSLPNQKQTNTSSSCDRSDCDTPLVHQVTSESNVMTKNFTTPFSSHRQNDTKFLCEGTANNLIKKFDAAGNENDQSLKSSIACGQKPLHDMNQVPDSTAYHSLLNGFSSKVDPLRMSSGRSLTDISNTIDTMHTNNKQEPASGKRRLGPHAMVSPFKKPRGSNTSIPSDNNVGVFSNGFSQFCGGVSVSNIKVSTLYPFRHPRMHIKHFFAVPSLDQNVHFPNLVRQMTSGNAEKYMFHEGSGEGGIGAEAFVNLLVQHGASTHFASKEWVLNHYKWIVWKLACYVRCCSARSAGNFLTVSNVLEELKYRYEREVNHGHRSTLKKILEGDALPSSMMVLCISSIHSNHGLENGTSSETETGTQSSESVIVELTDGWYSMNGMLDVPLSKQLASGKLFVGQKLRIWGAGLCGWNGPVSPLEVSSTVSLMLHINGTYRAHWADRLGFCKVAGPPVAFRCIKSNGGLIPQTLAGVTRIYPILYKERLSCGRSVVISERTENKMMDLYNQRCSAVVEGIISDYQKERRGSRIDESDSEGAKIYKMLEAAEEPEFLMADMSPEQLSSFSAYKTKLNAIKHSEMEKTIEKALKDAGLRNREVTPFMRLRVVGLTHKTRQDRPKEGIVTIWNPTEKQRQELVEGEAYAIAGLIPSGVDSDILHLQTRGSSTKWLPLSSNAKEQFKPFFSNRKSISMSSLSDIPLSSEFDIAAHVVHVGEVYISSQQKKQWVFVTDGSVMHGLQSETISLLAICFCSPSIDYDSLPPINYNLAGSTVGFCNLIKREKDQTNHIWVADATENSTYCLSYDSPHYAHLRNTASSIRRWANNSSLTIEKLKEKVLSMVGDCK >OIW15253 pep chromosome:LupAngTanjil_v1.0:LG03:15655141:15660709:-1 gene:TanjilG_16503 transcript:OIW15253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVSTQPQFRYTQPPSKVLHLRNLPWECTEEELIELGKPFGKVVNTKCNVGANRNQAFIEFQDLNQAIAMISYYASSSEPAQVRGKTVYLQYSNRQEIVNNKTAADVAGNVLLVTIEGEDARLVSIDVLHLVFSAFGFVHKITTFEKTAGFQALVQFSDEPTATSAKDALDGRSIPRYLLPEHVGPCTLRITYSGHSDLSVKFQSHRSRDYTNPYLPVAQSAMEGSGQAMVGLDGKRLEAESNVLLASIENMQYAVTLDVLHMVFSAFGPIQKIAMFDKNGGLQALIQYPDIQTAVVAKDALEGHCIYDGGFCKLHISYSRHTDLSIKVNNDRSRDYTIPLTPVINVQPSVLGQQPVQNPMGPPSQQQYNPYPPNSGQGPTPQSQSGWGTAPPPQHSMPMQMHINVYMPPGTPAPPMAPGMPYPSQSTPQPASTMSTYGTGRIQ >OIW15981 pep chromosome:LupAngTanjil_v1.0:LG03:2066765:2068907:1 gene:TanjilG_04516 transcript:OIW15981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVIVNSSSLEKPESELKVEEENMSFLNSLQELREMRSQLHHAADYCETTFLKSQEKKEVMENTKEYVCRALVNVVDHLGNVSANLEGLISQTNAFSEAESRIQCLKQRVLSCEQYADKLALTKMRWSEKLPRFHSRYLSAPPILERLSSEKSRDSKGEVPSKIEDKPEDLPLFMYTLKPNPIKNLKPATATINQHNNLAKVVPVRDGLSVLTKVSNPTFHFQGAQRDTRHRRSLHGSDILWLIRRTKRTK >OIW15401 pep chromosome:LupAngTanjil_v1.0:LG03:11840517:11844076:-1 gene:TanjilG_15784 transcript:OIW15401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWDFVLRYLLRELNLTDKSCQFKFIHGIPKLTQRFQKQNDVLFVVSEDQSQNSELETADLLLENHDASAEDISPATNTYFDLSGSDGKPGLISFYNRPYRKESEILVSNSKRSQNSILWFVGPAVLVASFIFPSLYLRKVLSIIFEDSLLTDFLILFFTEAIFYCGVAVFLFLLDHLRRPTQQDTNTSSSDTLPPQLGQRVSSVATLVLSLIIPMVTMGLVWPWTGPAASATLAPYLVGIVVQFAFEQYARYRKSPSWPATPLIFQVYRLHQLNRAAQLVTALSFTVRGAEMTSHNMAINSSLGTLLNVLQFLGVICIWSLSSFLMRFISSASTTTP >OIW14754 pep chromosome:LupAngTanjil_v1.0:LG03:25183890:25187871:-1 gene:TanjilG_05375 transcript:OIW14754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDRRSIVLLSFWSLLFLFLSLFQLTLEQNIEKLSSPTERVALLQLRGSLGLRSREWPIKPDPCLFWVGITCHNGSVVGINISGFRRTRLGRRNPQFAVDALANFTFLQSFNASNFMLPGPIPDWFGLRLGSLRVLDLRSCSIVGAIPGSVGNLTSLTNLYLSDNKLTGTVPDSIGQLLALSVLDLSQNSLTGSIPTSLTVLVNLSSLDMSSNFLSGPIPPGIGGLSKLQYLNLSSNDLTSLPSQIGGLGSLVDLDLGDNSFSGGVVPSDLKGLRNLQRMMLGNSMLGGPLPGNLFGTSSQLRSVVLRQNNFTGSLPLELWSLPKLTFLDASANNFGGLLPNSNSSANGTIAVLNISHNVFYGNLTHVLGRFGFVDLSNNYFEGRVLNFIHNASLASNCLQNTTNQKTTVDCASFYAARGLTFDNFGRPNATNPRTSEGSGESNKTKIILAAVLGGLGLIACLVLLVVLLLLCARKRGNSSQRGNGVGPAPAGGSPPHPTDASINFSNVGESFTYLHLLQATGDFNDANLIKHGHSGDLFKGVLDSGIPVVIKRIDMRSTKKDAYLLELEFFSKVSHQRFVPLLGHCLENENEKFLVYKNIPNGDLSNCLYFKKTTPEDGTLQSLDWITRLKIATGAAEALSYLHHECIPPHVHRDVQASSILLDDKYEVRLGSLSEVCVQEGDSHQSRRLRFLLLPQSSDPSSSGSSTSVCAYDVYCFGKVLLGLVTGKLGLSASSDGDVKEWLDQVLPNITMYDKDLVTKIVDPSLFLDEDFLEEVWAIAIVARSCLNPKPAKRPPMRYVLKALENPLKVVREESSSSARLRATSSRGSWNATLFGSWRHSSSDAATVVIPPSSGPSKVEGTSSLKLSGTPGSQSPRSFHDGGDGISSSQRRYSKEIFSKPSGLHDVERVDQE >OIW15261 pep chromosome:LupAngTanjil_v1.0:LG03:15348396:15348731:1 gene:TanjilG_16511 transcript:OIW15261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPGLSQKKVGVLKPGNVWTTSRHVGDADGSHLRMIVTHLTTWLGYTIPGLRLTVELRWLFSSTRYSASNAAYSAPDSAPWLADGFSPDGKTWTLFKLSLQAQPHCGGILL >OIW14721 pep chromosome:LupAngTanjil_v1.0:LG03:25484586:25486283:1 gene:TanjilG_05342 transcript:OIW14721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPEFLQTVAKSVSNFTNKPPTAMPCRAYVTFLAGNGDYVKGVVGLAKGLRKVNTAYPLVVAVLPDVPREHRQILESHGCIVREIQPVYPPENQTQYAMAYYVINYSKLRIWEFVEYKKMIYLDGDIQVYENIDHLFDMQDGYFYAVMDCFCEKTWNHTLQYKIGYCQQCPNKVQWPIELGQKPSLYFNAGMFVFEPCINTYHDLLRTLQVIPPTPFAEQDFLNMYFKDIYKAIPLGYNLVLAMLWRHPENVDLDKVKVVHYCAAGSKPWRYTGKEENMQREDIKMLVKKWWDIYNDDSLNYKDPSSVTQVSTVGVEIEPFSSQAISVVGHQVQYVTAPSAA >OIW14537 pep chromosome:LupAngTanjil_v1.0:LG03:27147757:27150588:-1 gene:TanjilG_12936 transcript:OIW14537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGNISHYQQQNQQHSPPIFFNPSSDFGSLISGGLFLEPTVPDSFVRLLRNYPESNPQRRTRSSGGVFLSVSLPNEEGKRFPEHKSYIVEKQQRKVHNVKRVRGGSAVNTTKHLWAGAIAAMVSRTCVAPLERLKLEYIVRGEKRNILELIKTIAITQGLRGFWKGNLVNVLRTAPFKAVNFYAYDTYRKQLLRFSGNEETTNFERFIAGAAAGITATSICLPLDTIRTKLVAPGGEALGGVIGAFQYMVRTEGIFSLYKGLVPSIISMAPSGAVFYGVYDILKSAYLHSPEGMKRIENLHKQGQELSAFDQLELGPVRTLLYGAIAGACAEAATYPFEVLRRQLQLQVRSTKLSTLATCVKIVEHGGIPALYAGLIPSLLQACKFLPPWHIVPCSQASFASILSIFF >OIW15049 pep chromosome:LupAngTanjil_v1.0:LG03:22729565:22731550:-1 gene:TanjilG_13976 transcript:OIW15049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGGGSRKDKGSLMISNTNVFAALDSLKKKKKSDKKSEGKSSKGSESESEPQVFWAPAPLNVKSWADVDDDDDYYATTAPPQSVWNVPQPHSNVPKHESFEIWYFMIDRVFAVQDSESEDMLDEGDDDVEEEHDPEPDYSMKPEPELQKHNEVPAAPKEAERHLSKKERKKKELAELDALLADFGVTQKESIGQDESQGNNVELCAILFSRLNILGVKVIGRTWHALPL >OIW16038 pep chromosome:LupAngTanjil_v1.0:LG03:2605352:2611343:-1 gene:TanjilG_04573 transcript:OIW16038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPRADEYEEDNLSSTDDDEDDVALKEDMAALSRACMLVSGSATAGDGVTARSKNDHHELVLHDDDDDDDDSGGILLEDPLLESGDAIVPLKNVDSDSDDDDDEDDLECLRRVESLYQPLASLPPLSPLRITMTNASGNNVDDEDEDDDEDDLETLRAIRSRFSSYNEGDMETLVDGDQTSCLGHEGETANGSVSDRWDVGELCAVSPIQDNATDSFPSNVEVEHCGLIDSCEQDACRLSKLPQKRSSFPSSAQAFIDAINKNRSMQRFLRSKLIEIEAKIEENKQLRNKVKVMKDFQAACIRKTGSALSLKKDPRVQLISAKKPSAPKNSKSRNKKISAMCYGPAENSHVSNYKMVLERFPLSLDRKKWTNSERENLKKGIKQQFQETVLQNSADRISSEFSDGYGNDMDSIIASVNDLEITPEDIKKFIPQVNWDKLASTYDVGHTGAECESRWLNYEDPLINHDPWTGEEDKSLLLTVQIMGIRNWSGIAVSLATNRTPFQCLARYQRSLNASMLNSEWTEEEDAQLCSAVALYGEHDWQSVASVLERRTGTQCSNRWKKSLYPDKKGCFTREEDERLTVAVMLFGRKWSQVAKFVPGRIQSQCRDRYLNSLDPSLKWGGWTKEEDSRLKDAIAKHGFCWSKVAEDLLPRTDSQCRKRWRVLCPDQVPLLRDARKMQKLTVNSNFVDRESERPALTLKDFLPLPMLPLLSDEADDVKVPRKRKWKWSNVSNKMRSKRQARKAQVCLKDIAFSDGVKICDEDAVNMACLRKSNFFPTNDQGDLSRQDTSEKFLYFNPIWKWQGCTGQNKENWSCTLPCESPASMTSRGKTHRQRRGRNHSAKDSLEHKSKHIRGAEPSEHKQKTKMRKPGSLSACVESLDQDRDITLASLLNHKSKKNIASHPKVKKQNVMIDDDGGGDDVTLACLLANKSKKPNEAAKGRRACCSPSKLKKGSVLLPEVLCTNKPVITADDNELSLPELVEEQPVSSGAVAEPTNINVEGDDLLVNFLQNKRRKQRKRARIG >OIW15170 pep chromosome:LupAngTanjil_v1.0:LG03:19664052:19664345:-1 gene:TanjilG_21146 transcript:OIW15170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKRQLSHLETYLGGIKYMTGLPDIVIIVDQQEEYTALRECITLGIPTICLIDTNCDPDLADISIPANDDAIASMRLILNKLVFAICEGRSSYRRNS >OIW15167 pep chromosome:LupAngTanjil_v1.0:LG03:19652202:19653581:-1 gene:TanjilG_21143 transcript:OIW15167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNIYNALIVKGQDTVGQQINITCEVQQLLGNNRVRAVAMSATDGLMRGMEVIDTGAPLSVPVGGATLGRIFNVLGEPIDNLGPVDTRTTSPIHRSAPAFIQLDTKLSIFETGIKVVDLLAPYRRGGKIGLFGGAGVGKTVLIMELINNIAKAHGGVSVFGGVGERTREGNDLYMEMKESGVINEQNIAESKVALVYGQMNEPPGARMRVGLTALTMAEYFRDVNEQDVLLFIDNIFRFVQAGSEVSALLGRMPSAVGYQPTLSTEMGSLQERITSTKEGSITSIQAVYVPADDLTDPAPATTFAHLDATTVLSRGLAAKGIYPAVDPLDSTSTMLQPRIVGEEHYETAQRVKQTLQRYKELQDIIAILGLDELSEEDRLTVARARKIERFLSQPFFVAEVFTGSPGKYVGLAETIRGFKLILSGELDSLPEQAFYLVGNIDEATAKATNLEKESKLKK >OIW14436 pep chromosome:LupAngTanjil_v1.0:LG03:29107754:29109719:-1 gene:TanjilG_20882 transcript:OIW14436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAKPLTTESIALTEKKMDMKLEDIIKLSKNKSSKPGKQRRISNKSQKFANNFTQDEPSKMRRYMESRSSVRQGALAKRRSTFQGNQFPIAAEVARKAVNAPLRNTVSNRNRGVHDNYVMKRRNMQFKERKISYQRKLEEEENFIDVLNSSTKKETLDMETQTCETFSMDIYSAAGEERVLHM >OIW15684 pep chromosome:LupAngTanjil_v1.0:LG03:3656439:3659590:-1 gene:TanjilG_09622 transcript:OIW15684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRGSDLKRPKVIPSVLGNNDGLRVFVVSDLHTDYAENLDWVKCLSTAKDKHKNDVLLVAGDVAETYDMFLVTMSLFKERFEHVFYVPGNHDLWCRREEQKYVDSLVKLNELLDACKRLGVETNPMVVDKIGIIPLFSWYHESFDKEKDIAGFRIPSLEMACKDFYACKWPEGLSNGDMSLALYFDAINDKQMEVIKEIQMTCDHIITFSHFVPRQELCPEKRMLFYPKLPKIIGSDSLEDRIRSIHGAEGRGDATSCHVFGHTHFCWDAVVDGIRYLQAPLAYPRERKRRMNGGENWLPFCLYADNKFADRLNPCFWSDYYSANSRTPHNTELAPWVSRFYKKTESIDV >OIW15279 pep chromosome:LupAngTanjil_v1.0:LG03:14928669:14933777:-1 gene:TanjilG_08076 transcript:OIW15279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSSPQTQRFILTNNLHSPSTSKNTHRTSSSNNCFTTITSRRSRCCSAIAIDAPSSLTEVPGIRWGSIALQGMREEMEDDIIVRPDSLHGFSFAAVFDGHGGVSSVQFLRDELYKECLEALQGGLLLVEKDFKAIKEALEEAFVKADTRLLKWLEMNREEDESGATATAVFIGDDKLLVSHIGDSSVVLCRSGKAEMLTSPHRPYGNNKASLQEIKRIREAGGWISNGRICGDIAVSRAFGDMRFKTKKNEMLQKGAQEGRWTEKFISRVRFNNDLVVACPDIYQVTLGSDTEFLVLASDGLWDYISSSDAVSFVRDQLRKHGNIQQACEALAQAALDRRTQDNVSIIIADLGRTDWQNVPLQQQNVTFELVQALATIGIVSIGIWFSSQLSL >OIW14844 pep chromosome:LupAngTanjil_v1.0:LG03:24553519:24554802:1 gene:TanjilG_30563 transcript:OIW14844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITVGSLCFLFRRRTKLRVTKSTKRRITEATGNYSVPIYPYKDIEKATNSFSEKQQLGNGAYGTVYAGKLYNDEWVAIKRIKHRDTDSIEQVMNEIKLLSSVSHTNLVRLLGCSIEYGEQILVFEFMPNGTLSQHLQRERGNGLPWPVRLTIAAETAQAIAHLHSTINPPIYHRDIKSSNILLDYNFRSKVADFGLSRLGMTETSHISTAPQGTPGYVDPQYHQDFQLSDKSDVYSFGVVLVEIITGMKVVDFSRPHNEVNLASLAADRIGKGLLDEIIDPFLEPEVSNDAWTFSSVHKISELAFRCLAFHRDMRPSMTEIATELEHLRLSNWSSFGDNNVTTSTELSSCSTSSYQSEKPLKMIMEKKVEPEVKANKAYLRIQTGPVALKSLERNNSASPVSMQDPEIWLSEQSSPSSYSFPSKSFN >OIW14776 pep chromosome:LupAngTanjil_v1.0:LG03:25062208:25064257:-1 gene:TanjilG_05397 transcript:OIW14776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLASSFNNLDHHSMVKIKPQSTFSLNLNRSTKRPLLVKATYSDGGRPGSASIFVGGFLLGGLIVGTLGCVYAPQISKALAGADRKELMRKLPKFIYDEEKALEKTRKVLSLKIEQLNAAIDSVSAQLRSEDDPNGVAVNSDKIEAAT >OIW15258 pep chromosome:LupAngTanjil_v1.0:LG03:15602741:15609405:1 gene:TanjilG_16508 transcript:OIW15258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRSSKHKSSKHSSRDASEREYSDSERDSGVKYRKSKEESAAAAKVEKRRVVDSKEGEYSDDYGGGSSKRRKDGSGDRWNGGGGDNDRGESTKKDSKSSRRREEEGEEVKRSGGKHNKDSSGRKESRESERKLKDRRSEELVDVVDGEEQQQPRVSKQVFENNSDSRKIDELRSPEFDNQLERRMRKRRDDYDDGGKLQDDAGDAYGQHKDETVKDGKKRDDRRKDDKYRDKYRAEMDKENKHRHDKQRDERPSKGHASIRLDDKHAREEKNSSESRQKRTKLPESDRDHNRDRDGEREWDHDFEYVCDRERRSERDRGRDYDYDRDQDYDCDRDYHDRDRDRERGRHRDRDGSHLDDRSAKSKEGGAKKRTLDDLDEYSDSKSRVVKSHYSDAEKRSLSNSRADSDIDRGRSQPHQAHADSIGTSNKHRSSPASNSHIGRDEYRNANAEDPKYRDSTIEQRTKFSREGYSGISERAPKYKLIEKSTKIGEGPVAELSTERSSCAKASPMGLMERSPSSTSIERRYVNKSGVKRSVEMDDGGRRNSIDARDDDRLGRELTLEKLPLDEPSRADSSFYARTSQSNASLVPPPPAFRVALDRPYMVSLEDDVRDNSNNRYRRNSEPGFGRVHGGNSWRAVPNWTSPIPNGFVPFPPGPAHGGFHTMMPQFTSQSIFGVRPPMDHAGIPYHIADGDRFHGHLRPPIGWQNMMDGTVPAHLHGWDSNNGIIRDDPHMYGGSDWDRNRHSTNSHGWESGSETWNEQNSDSKKDLSSPTCKEESIPTLVDNGVTDQSTKISLDERNWDEFHEKSPETKLASLNFPTKIPLNSSSRAIPEKVPDMTQSDSTSFLSRFYLLKLDISEDLVLPELYNQCMCALNTDKNASIDVDASTQPFLKNDRRAQQRYAATLSRQFLFPEINNSVFQRAMDIYKKQRVKLPNEGELDIIAPSNQMEVDDSLPASSLDNKTVSIPASEGTTDVLIPALELEKMKASSPANDHLDHTNQTCNQMEQDYDSTHSPKMDIAGQSSVHENQEEAVTALCENEDKVTSNTVKSSDEEENYSLASKSEAVLAQALQEDDDNMNSKATTCGDDTKVNLLILEDGSPKVCDGLMPGSNESESLILSRIHHSPESTH >OIW15508 pep chromosome:LupAngTanjil_v1.0:LG03:6059264:6063230:-1 gene:TanjilG_27359 transcript:OIW15508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKEPDIQKIGGESEDTEPIELVLFQVPECYVYIIPPRMSAASYRKGESHPVEPVIDSSRYFVLHVEENIGGRLRHAFIGIGFRERTEAYDFHAALHDHMKYLDKKTTAEEMEQQYQQNSSVDYSLKEGETLVLQIKSNKSGRSVKSKFFEQGLNSPLEEKGETKESVPSIKLPPPPPPLSPATTMENTPTHSPPKLNLENTSKVETPKAVKEDTEPQYSPENQSTKDIPDDDFGDFKAVV >OIW15193 pep chromosome:LupAngTanjil_v1.0:LG03:16398129:16399724:-1 gene:TanjilG_08785 transcript:OIW15193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPTNTNREKWLPKLGTKINSTYYGTEGASFLIYTSPVLLMSVLGCVFLHIAKKSNNSNLESYNVTKLDVAILKRPILVKGPLGIVSGTQLAFLLMFIALIIWSFATYLHNGFATITPQLAAKDGEKMSFLNMLIICGSIVMIASGAVVLNKKHNAKEANXIQNLEVSTPTMSPSSMIYNIDRELESLPCQSLIQTTNVHYGVRPNFRRILFEIKESSVKVLASGPKKMRQEVAAICSSGLAENLYFESISFSW >OIW15067 pep chromosome:LupAngTanjil_v1.0:LG03:22869001:22873869:1 gene:TanjilG_13994 transcript:OIW15067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFGKPFKGIVQDFKGRAACYKQDWACAVSGVSILAPTFYIFFASALPVIAFGEQLSRDTDGSLSTVETLASTAICGIIHSIVGGQPLLILGVAEPTVIMYTYLYSFSKNTPGLGPKMFLPWAGWVCIWTSLFLILLAIFNACNVITRFTRIAEELFGMLITVLFFQEAIKGVIHEFKTPKSENPLLEEFQFQWRYANGLLAIIFSIGLIGASLKSRRARTWRYGTGWLRGFIADYGCPMMVVFWTALSYGKPGQVPHGVPRRLFCPLPWEPASLYHWTVVKDMGKVPVIYILAAILPALMIAGLYFFDHSVASKMAQQKEFNLQKPSAYHYDMLLLGIMTLICGVLGLPPSNGVLPQSPMHTKSLAVLRSQMIRKKVVESTKECIKQQRTKSEMYGKIEAVFLEMNTDPTDKELQALKKAVMESGNKDGEKENFDPEKNIEEYLPVRVNEQRVSNLLQSLMIGVAILGISVIKMIPTSVLWGYFAYMAIDSLPGNQFFERILLLFITPSRRYKLLEGSHASFVEIVPFKTIAAFTGLQLAYFLFCFGVTHIPIGGILFPLPFFLLIVLRENLLPKLFNSRHLQELDAAEYEEIVGAPDAALNMKKSLKLKEKEPLVGDTDDNAEDDYFDAEKLDEMTTSRGELKLKSFNDRNRARSLKSFRDDKNLQGKLEKKKKLEELQNAFAELRLRKNEMEVKAMKENMELKGTIENLGAINGLLEDGIRAFEEKYTNLSLRVARLEQERMELLRRVATIDDDAT >OIW14785 pep chromosome:LupAngTanjil_v1.0:LG03:25010781:25012271:1 gene:TanjilG_05406 transcript:OIW14785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIVSPNTPLHYDYNYRCVFRNGAFSPARFSGVLPFMNVSTFKCDLPESVRRRRIFSQPALVTEASENEMNDPSPAPELLRWNFLVYESFSTEDDVVLFAKGVNHRQGYDRSPSELRCVFTTGEGNAVRTAVTSSVQEVFRCPRPDHALLFPESEKIGISLEIIAENLVVPSVASYRPRPKPRPNSVMKAQAQPKYFLCACTMVYNVGKFLREWVMYHSKVGVENFILYDNNSDDNLETVVNELHEEGYNITTLLWIWPKTQEAGFSHSILHSKSNALCSWIMYVDVDEFVFSPSWIGSSYKTRNELPSLKSMLPHRQMSKVGRIGQVSMQCNEFGPSGQRKNPTEGVTQGYTCRRRVEQRHKSIVLVDAVDPSLRNVIHHFEVNEKEGYRSKQLSMEKGVVNHYKYQAWDEFKNKFRRRVSAYVVDWRQNVNLKSKDRTPGLGFQPIEPKDWVHKFCEVRDQRLKSLTQTWFSIYLRHNETRMAWQKKLRDYFIP >OIW16078 pep chromosome:LupAngTanjil_v1.0:LG03:3048943:3049905:1 gene:TanjilG_04613 transcript:OIW16078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAMNNHNTMEDEEFGDTFAERAESYYQKRPQLLSLLHDLYNGYITLSDRYIQTLAKHKNHYHSKHSSQVSTLDGGGFSDQEETSGVLSHLDSDIESSISYQQHPSMIMIPRNTMLDFDVDVEAIVAELVIKNVEYDMLMHEVSVMERKFCDSSRKSELQKSLLEVLESERLVLLNENASLSYRVNTLMEENNELMTESVFIKRKAGELAKCVMKMREDHRVYLLHKKIEDLQAQINGLEKRNKEYYDKLLRRDDSIGSKGKNGGDGIAFEVRVQMEKFRRFKWKDSISRKDSDVKKAPSLWKKLKNMDLLLCGMNPTCA >OIW14509 pep chromosome:LupAngTanjil_v1.0:LG03:27809987:27810433:1 gene:TanjilG_12102 transcript:OIW14509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLTPTELQKIFEKMDMNGDGFVSLEELNWLLQKIGFHFSIDEVESIVEKKSLDLSEFLYFYNSISKENNDEIRSGDENDHDLVETFKVFDIDGDGFITSQELECVLKRLGLWDGKDCRSMIFFYDTNLDGQLDFEEFKNMMLLTIS >OIW14449 pep chromosome:LupAngTanjil_v1.0:LG03:29055992:29064108:1 gene:TanjilG_15362 transcript:OIW14449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNVMEASYSAHFSGLRIDTLITSPSSYPSFSGSSHNQPFVIGVCGGTASGKTTVCDMIIQQLHDHRVVLVNQNEEDILAGMTSICYPVPPFTPSCIRQPTSSLRRQTHSTSPSFSLSTTHAATSTTALLPLPSPPPPPLEISPTEPPLTCALNCPHFQSCSGCTHEFNLHRPIILDDVTNFFRRYGVSDFTFDTCKLWGWRCRAKLAVRGSSTNPLIGLYEEGTHNIVDIPQCKAHHPNINAAVELLRQGITELNIEPFVEDEGTGDLRYVQMAVTTYNTSLPAAERYKNGKVQVTLVWNSRNENSASSDKLNSLANFLWKNGGPRSSLHLIHSVWANFQTSSNNIIFGNRWRHMLGERDFWEHVGGIDVSLAPSSFGQANTRAFDTLLRKLHKYVPYGSSVADLYAGAGVIGLSLAAARKSVKCVEINKESRASFEKTIDRLPATIDSNITWHNADASKDPFSWLVDSDVIVIDPPRKGLDVSLVEALHNISSIERRVISSERSNSVKEEKRPWVLRAKEASVQIGSKQSPENFPQSVPQTLIYISCGWESFKEDCKSLLSGKVWYLDKAHGFNFFPGTQSIEVLAVFKRGPQKKKAGKKKKK >OIW14979 pep chromosome:LupAngTanjil_v1.0:LG03:23405615:23417027:1 gene:TanjilG_30698 transcript:OIW14979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRRTSNLTKSILSSLHSSRTFHKPLYRTRAAARVLSSFPHHVSASKIIDTDVASAKFLSHSFTRAFHASNPSYRSASSSAQIAQTEFTDMAWEGILGAVDSARVSKQQIVESEHLMKALLEQKDGLARRILTKAGLDNTSVLQATDNFIAQQPKVTGDTSGPVIGSHFSSILDNSRKHKKEMGDDYVSVEHLLLAFPSDKRFGQQLFKNLQLSEKALKDAIQAVRGSQRVTDQNPEGKYEALEKYGNDLTELARRGKLDPVIGRDDEIRRCIQILSRRTKNNPVIIGEPGVGKTAIAEGLAQRIVRGDVPEPLMNRKLISLDMGSLLAGAKFRGDFEERLKAVLKEVTASNGQIILFIDEIHTVVGAGATSGAMDAGNLLKPMLGRGELRCIGATTLNEYRKYIEKDPALERRFQQVFCCQPSVEDTISILRGLRERYELHHGVRISDSALVSAAVLADRYITERFLPDKAIDLVDEAAAKLKMEITSKPTELDEIDRSILKLEMEKLSLKNDTDKASKERLSKLESDLNLLKQKQKELAEQWDSEKALMTRIRSIKEEIDRVNLEMEAAERDYDLNRAAELKYGTLMSLQRQLEEAEKNLAEFRKSGQSLLREEVTDLDITEIVSKWTGIPLSNLQQTEREKLVFLEQVLHKRVVGQDIAVKSVADAIRRSRAGLSDPNRPIASFMFMGPTGVGKTELAKALASYLFNTENALVRIDMSEYMEKHAVSRLVGAPPGYVGYEEGGQLTEVVRRRPYSVVLFDEIEKAHHDVFNILLQLLDDGRITDSQGRTVSFTNCVVIMTSNIGSHHILETLRSTQDDKVAVYDQMKRQVVELARQTFRPEFMNRIDEYIVFQPLDSTEISKIVELQMQRVKNRLKQKKIDLQYTQEAVALLGVLGFDPNFGARPVKRVIQQLVENEIAMGVLRGDFKEEDSIIVDADVTPSAKDPSPLNRLHIKKLDNPVADAMVAND >OIW14459 pep chromosome:LupAngTanjil_v1.0:LG03:28772077:28774337:1 gene:TanjilG_19507 transcript:OIW14459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSTLSFWQLGDELRGHSKASEDHKWFMVASKLAEQTRLKGERMNNLDFYKGPIETRSNDKYGFQEENKFDNLNLSMLNLDSKFTETVSKNSLRNGVYNMNAVYHKSNLNLVGNMNGNKYSGNVQHSKEPINNSNNANNNENNNSNAADKRFKTLPAAETLPRNEVLGGYIFVCNNDTMQEDLKHQLFGLPPRYRDSVRAITPGLPLFLYNYTTHQLHGIFEATTFGGSNIDPTAWEDKKCKGESRFPAQVRIRVRKLCKALEEDSFRPVLHHYDGPKFRLELSVPETLDLLDLCEQAGSTT >OIW15560 pep chromosome:LupAngTanjil_v1.0:LG03:5226767:5230957:-1 gene:TanjilG_01083 transcript:OIW15560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPEVVGFDGLVGSDTVTSSHGFVSNASDPETKHKLYGSGGFLKQERSSANVEDEWRSSKVAKTNDDDISGSSSKAMLFQQRNSLLRSCNNNNGTVFCDGQQQMLSFSSPKPETSSNATLHFSYQPYSRDAGYSSGSIIHGTITGGRGLFTPSQWMELEHQALIYKYITANVPVPSHLLIPIRKAIDSAGFYNFSTGVLRPNALGWGAFHFGFSNNTDPEPGRCRRTDGKKWRCSRDAVVDQKYCERHMNRGRHRSRKPVEQGHLGHAGIVTASGTTATTTTTPNTTTCASNSLNFVPHQEHKNMQLPLASDTSPTININRIFMNKDKENDASERMQDSSSLPMLPPTLELKPKENNTFMIHKQQIQSFEGSTRNDNEFGLVTSDSLLNPSQKSSTLLGSRSFSSSHNQETEPQHSLRHFIDDCPNDDMQSDSTQLSISIPMAASSDFMSFSSSTRTDEKLTLSPLRLSRELDPIQMSLGTGSSIDESNTRQANWIPITWESCSMGGPLGEVLNLSNNNNNNASDHCSKNSSALNLMTDGWDNSPPIGSSPTGILQKTAFGSLSNSSAGSSPRAVDTNKTQESATFCNELIGSTMKPLL >OIW14576 pep chromosome:LupAngTanjil_v1.0:LG03:26691001:26697420:1 gene:TanjilG_32918 transcript:OIW14576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSNNGESQMKIVHGEGGYILEDVPHFTDYISNLPTYPNPLRSNPAYSVAKQYFVNMDDTVPEKVVAHKDSPRGVHFRRAGPRQKVYFKSDDVHACIVTCGGLCPGLNTVIREIVCGLSYMYGVNKVLGIDGGYRGFYSKNTVTLTPKVVNDIHKRGGTILGTSRGGHDTGKIVDSIQDRGINQVYIIGGDGTLRGASVIYEEVKRRGLKVAIAGIPKTIDNDIPVIDKSFGFDSAVEEAQRAINAAHVEAESFENGIGVVKLMGRYSGFIAMHATLASRDVDCCLIPESPFYLEGKGGLFEFIEKRLRENEHMVIVIAEGAGQDLFTEHMQDMNQKDASGNKLLQDVGLWISHRIKDHFAKTDKMPITLKYIDPTYMIRAIPSNASDNVYCTLLAQSAVHGAMAGYTGFTSGLVNGRHTHIPFNRIIERQNKVVITDRMWARLLSSTNQPSFLNVKDIEEANKPEQPPTQLLEGNKCKNIDEVKSESPSQLLEEDNLKDESGNNADQSIETENNADQSIETENNADQSIETEN >OIW14450 pep chromosome:LupAngTanjil_v1.0:LG03:28455712:28456125:-1 gene:TanjilG_19498 transcript:OIW14450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWRLAVWANTVMNETSLPYSMVVGSCSPLFSSPVAGSSFSTVLIVISRFRSLFVVSGGSLPLYQRQSRWFLMVGILFSWRLEESRLTKAEEGNQHGWCTVVLAP >OIW14852 pep chromosome:LupAngTanjil_v1.0:LG03:24500321:24502845:-1 gene:TanjilG_30571 transcript:OIW14852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLRESINNQNDVVLNITKHLISKEGKDKNLVFSPLSIHVVLSIIASGSKGPTLDQILSFLRSNSTDHLNSFASQLISVVLSDGSLAGGPRLCFVNSVWVEQSLSLNSSFKHVLDNDFKATLASVDFQTKAVEVTNEVNSWAEKETNGLIKEILPLGSVDSLTRLIFANALYFKGAWNDKFDASITKDYDFHLLNGNSVKVPFMTSKKNQRIRAFDGFKVLGLPYKQGEDKRQFSMYLLLPDAKDGLSALVEKVGSESGFLEHKLPLQKVEVGDFRIPRFKISFGLETSNVLKELGLVLPFSGGDLTAMVDSPVPQNLHVSNIFHKSFIEVNEEGTEAAAVTAATINYRSVQIVTKMDFIADHPFLFLIREDKTGTVLFVGQVLNPLAE >OIW14617 pep chromosome:LupAngTanjil_v1.0:LG03:26329070:26330483:-1 gene:TanjilG_32959 transcript:OIW14617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLFFSANWCRPCRGFIPHLVELYDTLRKRGVSLEIVFVSFDREEDGFNEHLKSMPWLAVPFDVNLHRRLIDRYRVDRIPSFIPLYSDDDMTVQDLIGCIEDYGANAFPFTRKRHEELKAIDKRKRDEANLEELLAGEGCNFLTSGDDIKVPVSELTDKTIGLYFSAYWSPPCRSFTIQLTDVYNNLNAAKNSHCFEIVLISTDRDLKEFNVNRSSMPWLAIPYEDRTRHDLCRIFDIKGIPALVVIGPDGKVISENGKFIVSSYGADAYPFTESRIRDLEAALRSQGETLPQQVEDIKHEHVLKLDMAKAYVCDFCKKQGKFWAFSCDVCDYDLHPSCVEKLHKD >OIW14919 pep chromosome:LupAngTanjil_v1.0:LG03:23941798:23943051:-1 gene:TanjilG_30638 transcript:OIW14919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLVNFSRRNKKSLLIIAFFGASGYGAYKAYNLPSVVRKRNHIIKLLRALISLAEMVSDSAETISVLTKDFNQFIASDSDEIPRSLRQLSKIATSKEFSVSLSRVSEALTIGILRGNRLQMKKNNPSEINAENSNFSDRLLEKLFSKAGTGFVSVVVGSFARNLVLGFYAEPLNGKINSAKARSEGSDFPPWVSVICDERCRKVIGDCVQTFVSTAVTVFLDRTVDVNSFDEMFSGMTNPKHQEKVKDILISLCNGAVETLVKTSHQVLTNPSVKSNSSLPVSSIAFKSEGPIATEDGYLQPEAFLQQHKSGNSISGVQDVGLLEQVRSTMSVPANRRLVLDVTRRVTFETLRSFVEFLLWRISDWFKRSASKAHNTVLDKGLEVVTYVGAKSSVVITFCIALYLHITGGGRILLPA >OIW16075 pep chromosome:LupAngTanjil_v1.0:LG03:2980444:2983986:-1 gene:TanjilG_04610 transcript:OIW16075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPVGLPPGFRFHPTDEELVNYYLKRKINGLEIELDIIPEVDLYKCEPWELAEKSFLPSRDPEWYFFGPRDRKYPNGFRTNRATRAGYWKSTGKDRKVSYQNRPIGMKKTLVYYRGRAPQGMRTDWVMHEYRLDDKDCEDTSGLQDTYALCRVFKKNGICTDIEEQGQCSMSSLIESSQTIINECETMSPDILGASYSCLEEEDKDDSWMQFITEDAWYSSNAAMVGGGEEVSHVTFTN >OIW15390 pep chromosome:LupAngTanjil_v1.0:LG03:11415503:11424245:1 gene:TanjilG_15773 transcript:OIW15390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARRFSWLLSHSLSASPSSKAACNLHSLDTVRNSGKWGNIGRFSTAATIEELINPQVQISYTKHLINGQFVDAASGKTFPTYDPRTGDAIAHVAEGDEEDIDRAVSSARKAFDEGPWPKMTAYERCKVLLRFADLAEKHSDELAALETWNNGKPYEQSANVELPLFVRLFYYYAGWADKIHGLTVPADGNYHVETLHEPIGVAGQIIPWNFPLILLAYKVGPALACGNTIVLKSAEQTPLTALYVGKLFQELAFTGSTDTGKVVLELAARSNLKPVTLELGGKSPFIVCEDADVDQAVELAHFALFFNQGQCCCAGSRTFVHERIYDEFLEKSKARALRRVVGDPFKKGVEQGPQIDKEQFEKIFRYIRSGIESNATLECGGQRIGSKGFFIQPTIFSNVQDDMLIAKDEIFGPVQNIFKFKDIDEVIGRANATRYGLAAGVFTKNVDTANTLMRALRVGTVWMNCFNVYDAAIPFGGYKMSGTGREKGIYSLNNYLQVKAVVSPLKNPAWI >OIW15140 pep chromosome:LupAngTanjil_v1.0:LG03:20558249:20559268:1 gene:TanjilG_14139 transcript:OIW15140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEEEVNRCQIQEWYPKFKSISIKTLIHQLPESFVQYLLDDSGPFVLPVSILNQDALPNRVHNPAEEEDFQVSEGSGDEAEEPSPPPSFPELELKIKESIESLGGEVFPKLNWSAPKDSAWISTAGTLRCTTFIEIALLFRASDSLVHDLCHAYDSCIDKSSSRPESFFLALRKWYQSLQPDMEFRCFVRGQKLVGISQREVTTFYPILLEKKNSLLLLIQAFFKDRVKSRFESENYTFDVYVTKDERVKVVDFNPWGAFTLPLLFTWDELEHIGSDGDDDDNDLEFRILEDRCAVRPGLKTAVPYDYLDTSPGSGWDQFLRNTDEEVQRQTRSTEADT >OIW15004 pep chromosome:LupAngTanjil_v1.0:LG03:23134967:23153734:1 gene:TanjilG_28263 transcript:OIW15004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKMKHLLRKLHIGGTTINNHHQQPLPAPEPEPDPIPVLIATTTPSSSSSALPSPTPIAPDPNPNNANNNFHLLEEEEFEMQLAMAISASDSDVVKKDPESAQIDAAKQISLGYEASDSDTQALAQFQSLRYWNYNVVDYKEKVMDGFYDVYGITSSNLIERGRMPLLADLQTAPVSRNVDCEVILVNRRNDIELKQLEEKACALFNECFVSELGLILSGLLQKLADIVVDRMGGPVGNADNIMRRWAMRSRELRNFSRTIVLPLGRLDVGLSRHRALLFKALADRINIPCMLVKGSYYTGSDDGAVNLIKADDGSEYIIDLMGAPGTLIPAEVPSSQLQNYGFNVMGCAEIAGLHNSMHPMLDDGTGVLGVLSDLGRIPTAGWVQAEELLDMGSQTKSNEINHVEVSETERFKHTKAYEFSSHIEASPAEKMHVKNVSKYVLSAAKNPDFAQKLQNVLLESGASPPPNLFSDINSQDTGKEKVNEKNDVQADPKRLLFSHEKSLMSSQGVGCSSDTRLCQSADQLSELEIELHTDGIRFYNSSQSDQRRKGFVTVSDGVNDLGQSNAVVLNSISINPQKMCKEKCIKSSLPKTAVSCERHNGIDCVCDNDENGLKNKVGASFENIEFGKDSAIQVNETASEDCNLYDGKSKKVNSVMGEGIEWEVQWEDLCIGERIGIGSYGEVYRADCNGTEVAVKKFLDQDFSGGALAQFKSEIEIMLRLRHPNVVLFMGAITRPPNFSILTEFLPRINIPCMLVKGSYYTGSDDGAVNLIKADDGSEYIIDLMGAPGTLIPAEVPSSQLQNYGFNVMGCAEIAGLHNSMHPMLDDGTGVLGVLSDLGRIPTAGWVQAEELLDMGSQTKSNEINHVEVSETERFKHTKAYEFSSHIEASPAEKMHVKNVSKYVLSAAKNPDFAQKLQNVLLESGASPPPNLFSDINSQDTGKEKVNEKNDVQADPKRLLFSHEKSLMSSQGVGCSSDTRLCQSADQLSELEIELHTDGIRFYNSSQSDQRRKGFVTVSDGVNDLGQSNAVVLNSISINPQKMCKEKCIKSSLPKTAVSCERHNGIDCVCDNDENGLKNKVGASFENIEFGKDSAIQVNETASEDCNLYDGKSKKVNSVMGEGIEWEVQWEDLCIGERIGIGSYGEVYRADCNGTEVAVKKFLDQDFSGGALAQFKSEIEIMLRLRHPNVVLFMGAITRPPNFSILTEFLPRGSLYRLLHRPNIRIDEKRRLRMALDVVCDFGLSRTKHHTYLSSKSCAGTPEWMAPEVLRNEPANEKCDVYSFGVILWELATTRIPWKGLNPMQVVGAVGFQNKRLEIPEEIDPVVAQIIRDCWQVSNSGKNNTPETECPVPLEQQPINEYQSLSTSFPFSWASGDVVEYGSRLVVTGAAFAILVGLPVAWYGTVGAESEPLKRIVCGASSGVFVVTLAVVRMYLGWAYVGNRLLSATVEYEETGWYDGRVKPVLSRLKTTLVSLATSLLVCALVLINIDGVEDYLMPKDAGTRVVPGVYNDDSARSFEPDAFCGEPDLQ >OIW14944 pep chromosome:LupAngTanjil_v1.0:LG03:23736286:23740460:1 gene:TanjilG_30663 transcript:OIW14944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFQQVSPINATMVAVDKDKNSAYAFRWAINHLDNPVIIVVHVKHKNLHHNGGTNVHPPDEEDMANVFSSLRGMCNRKAVEVKEAVIDDIDIVRGLQEYAHRNLIHSIVVGASRNPLSSFKKLKGYDVPTAMLKTAPDYSSVYVISKWKIVQARSAIRQMANAPVPPKNSFVQAIPYNESENGIRTPPHHPNGMSYDRNNNNVSRRPRSAGSNQSMDHIIEITSRSRHVSMDEKDISGLMSMNLSKQDMDLSDSSGYSPISQSTREMEAEMKRLRLELKQTMDMYSSACKQAISAKNQAEQIRQWKLKEERMVEESRLSQKAALAMAAQEKLKALAAWEEAEEARRKAEQEAQKRREAEKKAKKESEEKDRVLNALARSDNRYRRYTIEEIEAATDQFSASKKIGEGGYGPVYKGKLDHTPVAIKILSPDASQGRKQFQQEVEVLCRIRHPNMVLLLGACPEYGCLVYEHMDNGSLDDRLFRRNNSPPLSWRLRFQISAEISTALLFLHQTKPEPLVHRDLKPGNILLDRNYVSKIADVAKPAMGLAHQVKRAIEKDKFSEILDPAVTDWPVEKALEFAKLALECAELSKKDRPDLATVVLPTLSRLRDFGNASHRNRVLCGVDQMHSYVDYHLSSTSPNLNSKTLSTS >OIW14804 pep chromosome:LupAngTanjil_v1.0:LG03:24863740:24864813:-1 gene:TanjilG_05425 transcript:OIW14804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLSLFCTGALIAGGLYWFVCILGPAEQKGKRATDLSGGSISAEKVRDNYNSYWSFFRRPKEIETAEKVPDFVDTFYNLVTDIYEWGWGQSFHFSPSIPGKSHRDATRLHEEMAVDLIEAKPGHKILDVGCGVGGPMRAIASHSRANVVGITINEYQVNRAKLHNKKAGLDALCEVVCGNFLEMPFADNSFDGAYSIEATCHAPKLEEVYAEIFRVLKPGSLYVSYEWVTTDKYNCDNAEHVAVIQGIERGDALPGLRSYDDIAESAKKVGFEVVKEKDLAKPPAQPWWSRLKMGRVAYWRNHIVVTILAALGIAPKGTVDVHEMLFHTADHLTRGGETGIFSPMHMILCKKPHSS >OIW15620 pep chromosome:LupAngTanjil_v1.0:LG03:4383877:4384850:-1 gene:TanjilG_08196 transcript:OIW15620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLMSGWGSKSATLKRTGSLTKEEIDAYWKSKKEIEDDHLRAISDLSETIQANKDNGAEKKLLRSISMPLASLKESLGTNLEHHIIEKNDWWTKSSWAFLNEPPVTEAASQNKYASQFHVANMESSKNARISA >OIW16063 pep chromosome:LupAngTanjil_v1.0:LG03:2857364:2858029:-1 gene:TanjilG_04598 transcript:OIW16063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHAAYPMDYMRDVWISSQREKYMNMIPTNLTYGGIPETSSAHHMQMIQPPKDQKEERAIEEEPVVEKVSGTGKKRQSKVPKSPKAKKPKRGPRVPKDERAPSVQRARVPKKSVEIVINGIDMDISSIPIPVCSCTGTPQQCYRWGSGGWQSACCTTGMSMYPLPMSTKRRGARIAGRKMSIGAFKKVLEKLAAEGYNFSNPIDLRTYWAKHGTNKFVTIR >OIW16049 pep chromosome:LupAngTanjil_v1.0:LG03:2739763:2749046:-1 gene:TanjilG_04584 transcript:OIW16049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFKGRFFSSKKSDSSNSSPDASSNNNTPKSFTSNSPSRSQNINSKFPSNKPLAGAFAAAGAASRRTRPNDVIKGKEIQNQVPEYRPMTLNSAVAGGKEMPLPSSSASPIMASSLGLNKIKTRSAPLPQECFFEFCGDNELVANNLTRNSSIVGEKKKENRVWFQDIGASVDNDDRMSIGSALSRERSPIVSVQSPPQNGESDSEAGRQTSSMAHTGGLGSADVSTPETAYDYENPKETESPRFQAILRVTSAPRKRFPVDIKSFSHELNSKDVRPFPFWKRRGRNNLQEILVVIKAKFDKAKDEVNTDLAVFAADLVGILEKNVDTHPEWQVTIEDLLILARRCAMTSSGEFWLQCEGIVQELDDRRQEHPPGMLKQLHTRMLFILTRCTRLLQFHKESVLAEDEHVFNLRQSRVLHSVEKGISPGLGRDAKSSSAARASKTSTKKAYSQEQSSTLGWKKDTVQPKKLSPPAADETSKHFKSPSGRDRMASWRKFPSPSGKSPKESVQLKGQNIGRVEPSKTSNSNNEESSIICRICEEEVPTSHLEDHSRTCAVADRCDQKGLSVNERLVRIAETLEKIMELCTQKDTQQIVGSPDVAKVSNSSMNGECDVLSPKLSDWSGRASEDMLDCFPESNNSVFMDDLKGLPLISCNIHFDPKSDQGMTTSSGGSMTPRSPLMTPKSSQFDLLLAGKGAYSEHDDLPQMNELADIARCVASIRLDDDQSAPCLLACLDDLRVVVERRKYNSLTVETFGTRIEKLIREKYLQLTEMVDVEKIDTESTVIDDDVLVEDDVVRSLRTSPLHSSRDRTSIDDFEIIKPISRGAFGRVFLAKKRTTGDLFAIKVLRKADMIRKNAVESILAERDILITVRNPFVVRFFYSFTCRDNLYLVMEYLNGGDLYSLLRNLGCLDEQVAHVYIAEVVLALEYLHSLRVVHRDLKPDNLLIAHDGHIKLTDFGLSKVGLINSTDDLSGPAVSGTSLLGEDEPHLSTSEHKRELRKNRSAVGTPDYLAPEILLGTGHGYTADWWSVGVILFELLVGHPPFNAEHPQSIFDNILNRKIPWPAVPEEMSPEAQDLIDR >OIW15478 pep chromosome:LupAngTanjil_v1.0:LG03:6967533:6972503:1 gene:TanjilG_32882 transcript:OIW15478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENKHIASLFKPRSKKPSTSAVSAAVLRPPPDVDDLLTLLHGSDPVRVELSRLENELREKDRELGEALAEIKSLRNSERLKEKGVEELTDELNKVDEKLKASEALLESKNLEIKKINEERREALAAQFAAEATLRRVHAAQKDDEMPPIEAIIAPLEAELKLARMEVVKLQDDNRALDRLTKSKEAALLEAERTVQIALAKASLVDDLQNKNQELMKQIEICQEENRILDKMHRQKVAEVEKLTQTVRELEEAVLAGGAAANAVRDYQRKGEMQQLRDKLAVAERTAKAEGQMKEKYLLRFKVLEERIKATNGNAKIIASDGRNIASGPLRRQSFGGAESLSVSSSNGYLSRKSSLSKSGSLRSNSASLLLKHTKLSSRSFDGGSRSLHRERPTSGANVNELDNMATNTNDQTTAAKAYTPHKESANGTPIEKSKPEYEDSVSGMLYDMLQKEVISLRKACHEKDQTLKDKDDAIEMLAKKVDTLNKSVEVEAKKMRREVASMEKEVAAMRVSKEHDHRVRRTSAPRGAVNSSQSISSRSVFYIFLYYMIVFSYFSWLN >OIW15371 pep chromosome:LupAngTanjil_v1.0:LG03:13550656:13562448:1 gene:TanjilG_26744 transcript:OIW15371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRSRKALLKRRTIEKTSSERNYFYKVSLSLVFVLWGLVFIFRLWISFGHDDRDGSGVLPIGVSNRNKYNHTKCRNTNYAYEYLTKEIDVYVPSEYFCSNGAKIDGFVGESIPIEEILAYAEPYDKLNYNSPFREKHEAETSESSAKHENDVQKYDHLSKAFPLGIDEFKSRAIGPKINSGIGISGNVIHRVEPGGAEYNYASASNGAKILASNKESKGASNILSRDKDKYLINPCSSEEKFVIIELSEEILVDKIDLANFEHHSSNLKDFQLHGSLLYPTDNWVFLGNFTASNVKQAQSFVLKEPKWVRYLNLNLQSRYGSEFYCTLSIVEVYGVDAVEKMLEDLINTQDNPNYSEGVNDVLKNSLRGIKLEHASEISSAKEYSLNRIVPSQVGRMPGDTVLKILMQKVHFLDINLFVLEQYLEDLNPRYLNIFRAYSKDIGENDIILKKTKKNIRSFHDLVDVTMKDVTDFDSWKSHISMQLGNVLNDNYDSRGAEPKQLAFSAALGFTMGVFPICGVTVFLCVMAIALLGSFCHAPTVMLANFIATPIELSLVVPFLRFGETITGGSHFPLTSDALKKVLTGQASQEVLLSVAHALLGWLAASPFILGALYILLIPCFKILVLKFSSVPLSPKKPLHSHSEVRLKVRDV >OIW14898 pep chromosome:LupAngTanjil_v1.0:LG03:24168285:24170085:-1 gene:TanjilG_30617 transcript:OIW14898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METRGSKRKSSATNDSDIQDQNSMKKQRVVLGEISNSNNVSVSPISNPEVSGRVRNSRIKRVAATRKGLSSVDSDFDTENTPPESFTAKSITEVKFSDPQNCEAFVDEIDSYLYSMEREIKRRPKFNYIERVQHAVTTNMRGILVDWMVEVAEEYKLLPDTLFLSVSYVDRFLSLNRVSKSKLQLLGVSSMLIAAKYEEMTPPRVEDFVLITDNTYEKSEVVKMEADILKSLRFEMGNPTAKTFVRRFAGIGCENNKAKKLRFECLCNYLVELSLLEYCCLKFLPSLVAASATFLARYITWPKLHPWTETLCKFTGYNVAELKECVIVLHDLFLARRGGSYPAIREKYKQHKFKNVAHLPSPPQLPSSLFEEE >OIW15721 pep chromosome:LupAngTanjil_v1.0:LG03:235637:238911:1 gene:TanjilG_04256 transcript:OIW15721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSKLGSQTDTKLSSPDKATTLNPNAAEFVPFALRSSPSGSTGSVDPTAKFITYGSLDKAVLDRPGSSISNNSDDEAHQYWRCQLPDDITPDFKVMGEDDSQGLNNLSLAGLSMHDDDESSRFPSKGSRFILNEQQHLNENAIAADKFRFSNSTYRVEPSSASLLSPLAKPWDRQNENTNQHVIGGREALIYDDNSKHGFLNDILSDNVIVDDTSVNPLEFLASLFPGFASESLAEVYFANGCDLHLTIDMLTQLELQVDDSFNQNLSSKALSAPNLTTMDFPALTSPNGQTNYAKYATDNAQQSDNHYLSSGKDMLMFRTSSSIPSRGAVDFASTVRKLASQDSGIWKYDRNGSGDASSGSSRSLNVLASAYNGAQGRANFGDKSQNRGSARTAPVWLETGDAVANMYSELREEARDHARLRNAYFEQARQAYLIGNKALAKELSAKGQVHNMHMKAAHGKAQEAIYYQRNPVGSEMQVNGRGHERMIDLHGLHVSEALQMLKHELSVLRSTARAAEQRLQVYICVGTGHHTRGSRTLARLPITIQHYLLEEEGLDFTEPQPGLLRVVIY >OIW14651 pep chromosome:LupAngTanjil_v1.0:LG03:26019779:26019946:1 gene:TanjilG_32993 transcript:OIW14651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSGMSWADQWDNNPDPPPSLDKDKKKGKDGSSASKLKNVMTLQWMKDLRKKNQK >OIW15106 pep chromosome:LupAngTanjil_v1.0:LG03:21832359:21833657:-1 gene:TanjilG_08593 transcript:OIW15106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSSSTCGGGDEEYDLRMESSFSTLLDKTSPPPSSLQPRRVIRSTSVVNNHNSHMLDPLSNYLGPIQRSQQNFDRKWSKVVRSEPNQSDLASMMPSSIPSSCSHNEQVSFSSLGQTSSGVGVSLGHATFPTLHHSSMPPPHESASRGLLFSEDQGYNDNKNMVRNPKKRSRASRRAPTTVLTTNTTNFRAMVQEFTGIPAPPFTSLPFQRTRLDMLGSSSTIRSLDTPQPQPPYLLHPFPPSSSTSSIPISMLDSNNSHTLLGSNHSTSNPSSNPPQFMMNNMHNNPILSFQSILQGQQAQLNTKYPLGYSSKTQPAFETSNTSVDNSHFKTSVFDEIGLNHAHVNNMISSSPVAALSSRVNNSNMGNPSSPLEWVQRTGTTLISCNNNNNDGGDNHGNCTSNNLHGEKGPECNVAARSEGMVESWINCSSD >OIW14392 pep chromosome:LupAngTanjil_v1.0:LG03:29659810:29662620:-1 gene:TanjilG_15746 transcript:OIW14392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDTSTLSPVVDGLEVVHRNSVHQDPSNSGEDSVVLNDLDINVIESTEIVASNANFETRNSSVAVIEVSDSNNVNLSKEEEVKITNQTGQLKASKGPIKNKSAKTTTSSVVHASLVKRNKNAKDKETSSAVSIGTSALDSHTRQPIKNKSFNGRQTQLVKHPSNSDAASSEVATSTNAEDAKPRKVGTLPNYGFSFRCGERAERRREFYSKVEEKIHAKEMEKSNLQAKSKESQEAEMKMLRKSLTFKATPLPTFYQEPPPKVELKKIPTTRPKSPKLGRKKSSINSESDGNTSSSSKQGRLTFNEKMSQGNNPPTKGVTLVHPTKPLRRSLPAQLATRRLNSSNSKTVPASSEARKVEKTVSSATKKNVKSSNATKEQKIAIPATNEEDSTLPSETTEALPLNVVDSDKPSEAQSLLNGDLVVEKNPQLAFAQEPITAKH >OIW15473 pep chromosome:LupAngTanjil_v1.0:LG03:6762245:6767600:-1 gene:TanjilG_32877 transcript:OIW15473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIEQENGNLHHHYNHHDVNENETVNGCLSSFIDDGSIESHRYYLSRRTALEMLKDRGYSVPPSEIEISLSEFRVIHGQNPDVDRLRFSATHHSDPSKRILVIFCGPGVVKVNVIRNIAGQIVNRETLTGLILIVQNQITAQALKSVKIFSFKVEIFQITDLLVNITKHVLKPQHEVLTEQEKQNLLKKYSLEEKQLPRMLQTDAISKYYGLERGQVVKVTYNGEVTQLHVTYRCVW >OIW14470 pep chromosome:LupAngTanjil_v1.0:LG03:28014922:28016664:1 gene:TanjilG_19886 transcript:OIW14470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLVRPAKLVPTSYKNGKLYSLKGTEVTSGLSSQIFGPEKQRTMYMTDDESIESYEKYFQDSSIELIEPSISSISGGSIHPDGASSYELRARLATPTSFVSGRHQDAYQSNFGSDFLENGCLDSLDVDGLMKLKLQALERALLDDSDVEEEEEAIFGTSQSMEIDPNIAEWADPIQSMQLEDSPKESSSSGSNLSSISISKEISRISQTPKHLLYECAVALSEGNEEETLSRINGLRQMVSIQGEPSQRIAAYMVEGLAARLAESGKGLYKALKCKEPPSSDRLAAMQILFEVCPCFKFGFIAANNAIIEATKDELNVHIIDFEINQGSQYINLIQQLASRSNKPPRVRLTGVDDPESVQRSVGGLNVIGQRLEKLAETLGLPFEFRAVGSRTSLVTPQMLNCHPGETLVVNFAFQLHHMPDESVSTVNERDQLLRLVKSLNPKLVTVVEQDVNTNTTPFLPRFSEAYKYYSAVFESLDITLPRESQDRMNVERQCLARDIVNVVACEGEDRIERYEVAGKWRARMTMAGFVSSPMSTNVTAEIRKLIKMYGDRFKIKEEMGALHLGWEDKNLIVASAWK >OIW14359 pep chromosome:LupAngTanjil_v1.0:LG03:30033593:30042820:-1 gene:TanjilG_15713 transcript:OIW14359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWGNIYVRRVRVFTMALVVYLDYKSVQWRKKWTSKSGQDTLWEKAHERNAKRVLNLILEMEGLWVKLGQYMSTRADVLPPAYIRLLKLLQDSLPPRPLKEVYDTVQKELGKSMGELFADFVNEPLATASIAQVHRATLLNGQEVVVKVQHDGIKTVILEDLKNAKSIIDWIARAEPQYNFNPMIDEWCKEAPKELDFNHEAENTRTVAKNLGCRNQPDGNMNANRVNVLIPDVIQSTEKVLVLEYMDGIRLNDLESLDAFGVDKQKIVEEITRAYAHQIYVDGFFNGNFLVSKESPHRPILLDFGLTKKLSNTIKQGLAKMFLSSIEGDHVALLSAFAEMGLKLRLDLPEQAMEVTAVFFRATTPANESYKSMKSLADQTAKNMKAIQEKMNLDKKEMKRFNPVDAFPGDIVIFGRVLNLLRGLAATMNVHIVYMDIMRPFAESVLSGYIDKGPSVNDRWIFDSPVHSDVEAKLRQLLIELGSNDKILGIQVCAYKDGEVIIDTAAGVLGKYDPRPVKSDSLFPVFSVTKGITAGMMHWLVDNGKLSLGENVANIWPGFGSNGKDAIKVHHVLNHASGLHNAMADITRENPLLMSDWDECLNSICKSVPETEPGKEQIYHYVSFGWLCGGIIEHASGKKFQEILEEAIVRPLHIEGELYIGIPPGVESRLAALTVDTDDLRMLSAISGRPDLPSSFQPQQIAQIATTLPSLFNTLHARRAIIPAANGHLSARALARYYAALADGGKIPPPHSTASKPALGSHPHIPKLSSQKAPKNRKCFGRKEVIILPTTDISKGYEQVSHVEDFKDNNEDTSSNKDSNKMDSNPRTHATDNVFRNPTVIDAFLGAGEYENLTLPSGGFGLGFKRFSSKDGSSIAFGHSGIGGSTGFCDVTHKFAIAVTLNKMSFGGVTGKIVQLVCSELNIPVPDDFLRFAVKQSGTDLQLNMERPLIN >OIW14545 pep chromosome:LupAngTanjil_v1.0:LG03:27051774:27052304:1 gene:TanjilG_12944 transcript:OIW14545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLRRPYSSKNKDIVGWFNPNGRCKKHLKHHQSPGVCSLCLKDKLSHLSSSSKKPTSCSSCDSSSASSSLSSYYSSSSASSCASPMHPFEETKSGSSSNSIFLMSSSSKHGIVKSRSIAFLPRRRKYGDEDHNKKSAKKEGFWFKLLHPNNKRSMEKDIKMVHSESLRETMTLAC >OIW15538 pep chromosome:LupAngTanjil_v1.0:LG03:5880988:5884110:1 gene:TanjilG_16144 transcript:OIW15538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFIAPPNHRTLSLNLNPNTTTLHTLKQAIQENHNIPISQQRLFLSHSLQLLETNDSVPISDLGVGPYSTLSLHFSLLGGTQPPAPPKPRFDFLNSKPPQNYVAGLGRGATGFTTRSDIGPARAAPDLPDRSTAAIGAAAAPPASGVGRGRGKGEEEEEDEGEDKGYDENQKFDEFEGNDVGLFASAEYDEDDKEADAVWEAIDKRMDSRRKDRREARLKQEIEKYRASNPKITEQFADLKRKLYTVSAEEWDNIPEMGDYSLRNKKKRFESFVPVPDTLLEKARQEKEHVTALDPKSRAAGGTETPWGQMPVTDLTAVGEGRETVLSLKLDRLSDSVSGLTNVDPKGYLTTLKSMKITSDAEISDIKKARLLLKSVTQTNPKHPPGWIAAARLEELAGKIQAARQLIQRGCEECPKNEDVWLEATRLANPEEAKAVIARGVKSIPNSVKLWQQAAKLEHDDGNKSRVLRKGLEHIPDSVRLWKSVVELANEEDARLLLHRAVECCPLHVELWLALARLETYENAKKVLNRARERLSKEPAIWITAAKLEEANGNTSMVGKIIERGIRALQREGLVIDREAWMKEAEAAERAGSVVTCQAIIHNTIGIGVEEEDRKRTWVADAEECKKRGSIETARAIYAHALTVFLTKKSIWLKAAQLEKSHGTRESLDALLRKAVTYRPQAEVLWLMGAKEKWLAGDVPAARAILQEAYAAIPNSEEIWLAAFKLEFENHEPERARMLLAKARERGGTERVWMKSAIVERELGNIEEERRLLNEGLKQFPSFYKLWLMLGQFEERLAENAKQLDQPEKQRLYHMKEAKKVYESGLKNCPNCTPLWLSLANLEEEMDGLGKARAVLQMARKKNPQNPELWLAAVRAELKHGHKKEADNLMARALQDCPNSGILWAASIEMVPRPQRKTKSMDALKKCDHDPHVIAAVAKLFWNDSKVDKARTWLNRAVTLAPDIGDFWALLYKFELQHGNEDNQKDVLKRCVAAEPKHGEKWQAISKAVENSHQPTEAILKKVVVALGKEENAAENSKH >OIW15249 pep chromosome:LupAngTanjil_v1.0:LG03:16210226:16213408:1 gene:TanjilG_17569 transcript:OIW15249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKRGAKAPIVAAKKKQDNKVVNPLFEKRPKQFGIGGALPPKRDLTRFVKWPKTVQIQRKKRILKQRLKVPPALNQFTKTLDKNLATNLFKVLLKYRPEDKAEKKERLLKRAQAEADGKPVEGKKPIVVKYGLNHVTYLIEQNKAQLVVIAHDVDPIELVVWLPALCRKMEIPYCIVKGKARLGTVVHKKTASVLCLTTVKNEDKLEFSRILEAIKANFNDKYDEYRKKWGGGIMGSKSQAKTKAKERLIAKEAAQRLT >OIW15506 pep chromosome:LupAngTanjil_v1.0:LG03:6009235:6011958:-1 gene:TanjilG_27357 transcript:OIW15506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACQQVSRRENTTNERDVHVEKNRVPKMATHFEHLAEQAKDDGGKDTPQGSWEELQGGEYNKDVAGKAIGDVGGHGRARETHELGGAQYYESLADKEGGGGGVAPNVGKFDMHGGEGRRNDEELERRTRVGTGRERVGAEAEGVRGREINVGGDAEGVRVGESKVGGETKGVTAVITCRLESDDGQKGRGREEELERAEKERLEEVSKYRQEAKQKQNEAISAAQERYERAKQAANEALSNTTQTTQEKASQAKEIALEKGQQGYGPTKDTTTQAKDATIQKGQQGYGPTKDTTTQAKDTTIEKGQQGYATTKDTITSAAKTAVEYTVPVAEKAKDYTIQAAVKTKDVTLETGKSAAQIAGKVAVDLKDKATAAGWTAAHYSTQLTVDGTKAAANVVEGAAGYAGHKAAELAAKSVGAVKGLAATAGETAKEYTARKKEEARLELEAKREAQPQVAGERPSQGIGETISQYAPTGESLQGGQAQGSEGTGSTVLSAIGETVGNVGEKIKKPFESITKGSGENDQTTTTTTNIGETLGDVAQMVKKPMDNITEGGSQVVGAVGETVGEIGKTMIKPAEKVQEQGQEGQGGGVFDAIGETIVEIAQTTKNFVVGEGLEAQSQSTEHGKHGVGSVEGGQRVP >OIW14577 pep chromosome:LupAngTanjil_v1.0:LG03:26682376:26687420:-1 gene:TanjilG_32919 transcript:OIW14577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSDTLNGGASTPPPPPAPEYGITKPLSLAGPTAADFNRNLELEKFLVDSGLYESDEETATRQEVLRRLDQIVKSWVKQLTRLRGYTDQMVEDANAVIFTFGSYRLGVHGPGADIDTLCVGPSYVNREEDFFMILHNILAEMEEVTELHPVPDAHVPVMKFKFQGISTDLLYASISLLVVPEDLDISDGSVLHDVDEPTVRSLNGCRVADQILKLVPNVEVTGFLGGVNWALLVARVCQLYPNAIPSMLVSRFFRVYTQWRWPNPVMLCSIEENELGFPIWDPRRNPRDRFHIMPIITPAYPCMNSSYNVSASTLRVMLEQLHHGNMICDEIELNKAQWSALFQPYNFFEAYKNYLQVDIIAVDANDLLPWKGWIESRLRQLTLKIERDTNGILQCHPYPHDYVDTSKPCTHSAFFMGLQRKEGVRGQEGQQFDIRGTVDEFRQEINMYAYWKPGMEIYVSHVRRKQLPAFVFPDGYKRTRIPRHMSHSAEKVDEGATRCYSGSTERCIKRKNDAEMMDVNPRKPEKRASISPQRLECFSPESCSTRSGGTSQMSIDCIEGVKLDGSTMKDSNSNCQIKSSDGLVRSGVSAERLDTQITETGVVDSTLSRQKSRSPEVRNVSGVNGNKAQDLDLDCLEDVETASTETLTNCKEGAPDMDQPLDKACSFTTRADISDYVPNAST >OIW15028 pep chromosome:LupAngTanjil_v1.0:LG03:22369960:22394204:1 gene:TanjilG_13955 transcript:OIW15028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDSDSPTERKTLLLATGILAAGGAAAYMQSRFRVNKHDLLGHCNGHNNDKEVAKDEVVKDAADSKNKQKKGGLKSLQVLAAVLLSEMGQLGARDLLALVGIVVLRTALSNRLAKVQGFLFRAAFLRRVPLFFRLISENIILCFFLSTIHSTSKYITGTLSLHFRKILTKLIHSHYFENMVYYKMSHVDGRITNPEQRIASDVPRFCSELSEIVQDDLTAVADAVLYTWRLCSYASPKYVFWILAYVLGAGTVMRNFSPSFGKLMSREQQLEGEYRQLHSRLRTHSESIAYYGGERREESHIQQKFKTLVRHMKIVLHDQWWFGMIQDLLLKYLGATVAVILIIEPFFSGHLKPDSSTLGRAEMLSNLRYHTSVIVSLFQSLGTLSISARRLSRLSGYADRIYELMSVSRELSLVDENPSLQRKGSRNCTSEANYIEFSGVKACPNGSGKSCTELSEIVLDDLTAVTDGLLYTWRLCSYASPKYVFWILAYVLGAGTAIRNFSPSFGKLMSREQQLEGEYRQLHSRLRTHSESIAYYGGERREESHIQQKFKALVRHMKTVLHDHWWFGMIQDFLLKYLGATVAVILIIEPFFSGHLKPDSSTLGRAEMLSNLRYHTSVIVSLFQSLGTLSISARRLNRLSGYADRIYELMAVSRELSLVDENPSLQRKGSKNCISEANYIEFSGVKAITCTLIQVVTPTGNVLVDDLTLKVEPGSNLLITGPNGSGKSSLFRVLGGLWPLISGYIVKPGIGSDLNKEIFYVPQRPYTAVGTLRDQLIYPLTSDQEVEPLTDSGMVELLKNVDLEYLLDRYPSEKEVNWGEELSLGEQQRLGMARLFYHKPKFAILDECTSAVTTDMEERFCAKVRDMGTSCITISHRPALVAFHDVVLSLDGEGGWSVHYGREDSSTEMGIDKMKTLETTRQSDAKAVQRAFAINKKDSAFSNSKAQSYIAEVVASSPSMNQSISSSVVPQIRGNKRVLPFRVAAMSKVLVPTVLDKQGAQLLAVVFLVVSRTWVSDRIASLNGTSVKFVLEQDKAAFIRLIGLSVLQSAASSFIAPSIRHLTARLALGWRIRLTQHLLKNYLRNNAFYKVFNMSSKNIDADQRLTQDLEKLTTDLSGLVTGLVKPSVDILWFTWRMKLLTGQRGVAILYTYMLLGLGFLRTVTPDFGDLISQEQELEGTFRFMHERLCTHAESVAFFGGGAREKAMVESRFGELLTHSKYLLKKKWVFGILDDFITKQLPHNVTWLLSLLYAMEHQGDRASISTQGELAHALRFLASVVSQSFLAFGDILELNRKFVELSGGINRIFEFEEFLEAAQSGNFISDGDTLPERDFRSKDVISFSKVDIVTPTQKMLARELTCDIQLGGSLLVTGPNGSGKSSIFRVLRGLWPVASGRLSRPSEDLTQEAGSGCGIFYVPQRPYTCLGTLRDQIIYPLSREEAEFRALKMYGKGEVHPDTGNLLDMRLEVILENVRLIYLLERDERGWDAILNWEDILSLGEQQRLGMARLFFHEPKFGILDECTNATSVDVEEHLYGVAKDLGITFITSSQRPALIPFHSLELRLIDGEGNWELRKIEQ >OIW15019 pep chromosome:LupAngTanjil_v1.0:LG03:22985331:22986386:-1 gene:TanjilG_24128 transcript:OIW15019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKDGSVSDGVLKKIILSYTYVSIWIFLSFTVIVYNKYILDKKMYNWSFPISLTMIHMSFCASLAFLLVRVFRLVEPVSMSRELYLSSVVPIGALYALSLWLSNSAYIYLSVSFIQMLKALMPVAVYSIGVMLKKENYKNDTMFNMLSISLGVAVAAYGEARFDTWGVILQLGAVAFEATRLVLIQILLTSKGISLNPITSLYYVAPCCFVFLSVPWLIVEYPVLKESSSFHFDFAIFGTNSLCAFALNLAVFLLVGKTSALTMNVAGVVKDWLLIAFSWSVIKDTVTPINLFGYGLAFLGVAYYNHAKLQAMKAKEAQKKIAQSDEESGRLLEEREGDGTGKRIELQNKD >OIW15877 pep chromosome:LupAngTanjil_v1.0:LG03:1272697:1273275:1 gene:TanjilG_04412 transcript:OIW15877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPHGESLPTSFSNSKSNTISKPSKLQSEHNIQRTISDISFELTKECIDLSTTLPPITEVEDAKCECCGMCEECTLEYIECIRDKFLGKFVCGLCSEAVKEELEKNGGKKEEGLSAHMNACVRFNKFGRAFPVLFQAEAMKEMLRKSIMNGRRVKSFNPREKGGEKKGGISRSSSCIAAITREMNDLTTTKK >OIW15914 pep chromosome:LupAngTanjil_v1.0:LG03:1565042:1566076:1 gene:TanjilG_04449 transcript:OIW15914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLDAAEEESAPEVHVPAEIDWKMLDKSKFFFLGAALFSGVSATLYPAVVLKTRQQVAQCHVSCIKTAFSVIRGEGFRALYRGFGTSLMGTIPARALYMATLEVTKSNVGTTATVRLGLAEPTAATIANAAAGLSAAMAAQLVWTPVDVVSQRLMVQGGPDSPRQYTNGIDAFRKILRNDGPRGLYRGFGISILTYAPSNAVWWASYSVTQRLVWGGVGYYLCKKDVDDRSETTSAGNEMRPDWKTVMAVQGVSAAMAGGMSALITMPLDTIKTRLQVLDGDENGRRGPTVMQTVRNLVKEGGWMACYRGLGPRWASMSMSATTMITTYEFLKRLSTKNQEVLT >OIW14855 pep chromosome:LupAngTanjil_v1.0:LG03:24487153:24487966:-1 gene:TanjilG_30574 transcript:OIW14855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTKAKGVLVFLVIATMASGRESKTMLVGDSEGWSAGTNYTQWAIQNKPFHINDTLVFKYPAPDNSTAPMSVYLLPNMWSYTTCEFKEAKLLGSTTEGAGEGFKVKLKQRKPYYFASAEGNSYDCIAGLTKFIAVPSPRSLTHNHFSHKPSLLN >OIW14409 pep chromosome:LupAngTanjil_v1.0:LG03:29454808:29456778:1 gene:TanjilG_20855 transcript:OIW14409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRTATVASQWLELLHQDIKGRLLALRRSRRRVRSVITTELPFLISKEYENNQENDPHAMKIFDRLPPKKTTDLHRARWTALFSRMDEDLSEEEKQLGCWLNQVKEKQLLCDQGLNHANWSMAYGLQQSGTENNSRVSSSTIDISEKELTVNAAAASIYSTCNFLLSES >OIW15801 pep chromosome:LupAngTanjil_v1.0:LG03:802117:802536:1 gene:TanjilG_04336 transcript:OIW15801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKIWHMEYYVITIFLVCSLGYTDNHPNNNNKTTGLWRKIWQTSVYHKLLDASDKWVWHMIMELVWPIWMSRNDWVINGKQFNILQVLKRADSDIVSLEHIPVVPESVVKLITDKWKPPDSPSMKANIDAATKTGFRTF >OIW15568 pep chromosome:LupAngTanjil_v1.0:LG03:5009096:5016114:-1 gene:TanjilG_01091 transcript:OIW15568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGELYKISSIDWKPSPVLALATSVDGLRVAAARQDGSLEIWLVSPGSIGWHCQLTIHGDADKRVSSLVWCTGALNDEACGRLFSSHIDGSVTKWDLFHLKQTTVLDTDGVSIWKMAVTLPKRDVINSETKNNHVGNGFVSKFHDSDEHDENSESDEDSDSTDTMKQSVIEYPRVAIAYDDGCVRIYAISDTDDFMYVKSLPRVKGRVLSVTWSADANHIFSGSSDGLIRCWDVISGHEVYRITAGLGGLGSGHDLCIWSLLSLRSGALVSADSSGSVQFWDSQHGTLLQAHSLHKGDVNALAAAPGHDRVFSAGSDGQVILYKLSSQSASSDGVNSPIMMKKWIYVQGVRAHTHDVWALTVAVPITQEDALPDERVKRARREEKPVEFSYHKWAHLGVPMLISAGDDTKLFAYPVKEFTKFSPHDICPAPQRTPIQLVLNTAFNQSSMLLVQSSHGLDVHLLQLRNVHTAGGRAKTEMLARVKSKASQKIICSTISNSGTLFAYSDHLKPNLFELKRSEGGKVTWSVSKRKLPSRLPFAHSMIFTHDSSWLIVAGHDRRIYVVDVRSSELVHTFTPCRDLQDEKLPPTEPPITRLFSSSDKQWLAAVNCFGDIYVFNLEILRTPITVLALLQHWFISRLDGASVTAGGFPPQNSNVMIITTSSNQVYAFDIEAKELGEWSKRHTYVLPRRYQEFPGEVIGLSFPPSSTSSSAVVYSSRNESQACKIASIPLTPIPKYGLVAFTTYGFRTGVDSTVWAMCLIDFGLPVEQDDNDMLNIQDPRAKSSQNLSVKRKIKHKNFNVLPLENPVLFLAYMSKNSFFMIDKPWLEVVKSLEAPPVHRHIFGT >OIW15278 pep chromosome:LupAngTanjil_v1.0:LG03:14959596:14964195:1 gene:TanjilG_08075 transcript:OIW15278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERSRSKRNYYYDQDYDSETLARTRHRYNYHYSNRHRGASGGGGGRHVKTQQDVPVTVTTSYRILCHDLRAGGVIGKSGSIIKSIRQHTGAWINVHELIPGDEERIIEVSDTRRRDPEGRMPSFSPAQEALLLIHERILESDAAYRVAVEEEDYGGGRNRDRASTRLVVSRMHVGCLLGKGGKIIEQMRMETKTQIRILPRDHNLPRCVSMSEEIVQVTGDVNAVKNALEVISSRLRESQHRDRSHFHGRGHSPECVFSPDDDYIPHGGSRKSSVEETAFGSRGSATNARNYNHLSSGYATESGAAPMANDVQPFYGEDLIFRILCPVEKVDRIIGESDGIVEFLQNEVGVDIKVTDPIGGSEERIIIITSEEGPDNELFPAQEALLHIQTRIVDLVLDNDNTITTRLVLPSSDIECLDGKDASLLEIKRLTGANVQILPREDRPLCVAKTDELLQVTSRLRSYLYRDFIQRDTVPPSAPLSSIEASSSNTIAPAIETSTTYQNVQTVAAAILPSKKETGGSSMEAGKQKDNDRRDDVTSGLNRITKPLVTRSILEVVIPEYAVPKLIARSKSKLAQISELSGANVTLVEDRPDVTEKIIQLSGTPEQAERAQSLLQGFILSTQEDGP >OIW14726 pep chromosome:LupAngTanjil_v1.0:LG03:25447541:25451225:1 gene:TanjilG_05347 transcript:OIW14726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPNDPLNSNLELSLQNIFELSDGKLSVRGVPLLSEVPQNVSFSSFSSLFQSSDAPPSLLQRVISLSHKGGFFGFSQENPSDMLINSLGRFTERNFLSIFRFKTWWSTQWVGNSGSDLQVDTQWVLLDVPEIKSYVIIIPIIEGNFRSSLNPGSDGHVHILAESGSTQVKVSGFGSIAYIHVSENPYDLMREAYGAVRVYLNTFRLLEEKAVPNIIDKFGWCTWNAFYLTVNPIGVFHGLKDFDEAGLSTRFLIIDDGWQSINLDGDDPNKDTKNFVLGGVHMNARLHRLDEGDKFKKYKGGLILGPNVPSFNPNKVKALIAKGIELEHVEIELDNENANFAEIESRIKKVKKEIEDLYGSDESIECGSFCCKKEEYGMKAYTRDLRTKFKGLDDIYVWHALCGAWGGVRPGTTHLNANIVPCILSPSLNKTMHDLAVVKIVKGSIGLVQPDQAYDFYDSMHSHLAKSGITGVKVDVIHTLEYVCEGYGGRVQLAKAYYDGLSKSIIKNFNGTGIISSMQHCNDFFFLGTKEISIGRVGDDFWFQDPNGDPMGIFWLQGVHMIHCSYNSLWMGQMIQPDWDMFQSDHVCAKYHAGSRAICGGPIYLSDHVGSHDFDLIKKLVFPDGTVPRCISFPLPTRDCLFNNPLFDQKTVFKMWNFNKFGAVIGAFNCQGAGWDPKLQAIRGFSECYKPISGSVHVTEIEWDQKKEASYMGKFEEYIVYLNQAEELLFMTHKSEPIQFTIQPSTFELYSFVPITKVSGVSIKFAPIGLTNMFNSVGTIQELEHVEGGAMVKVKGGGNFLAYSSECPKKVLLNGFEVSFEWVVDGKLNLNIPWIEESCGVSDLAIFF >OIW15593 pep chromosome:LupAngTanjil_v1.0:LG03:4121219:4124641:-1 gene:TanjilG_08169 transcript:OIW15593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIATAIFLCFLTVAHFTATTLTCTATTLEEIEALTTFKANLDDPLGFLDGWDSSTPSAPCDWHGILCYNERVHQLTLTRLQLSGSISNSLSKLTQLRKLSLHNNNFTGSIPSSLSNCILLRAIYLHNNSLTGKLPFSLLNLTNLQVFNVAHNFLTGKVPGEVSNSLTFLDLSWNSFSYDIPGNFSSKSQMRIMNLSYNSFNGGIPFTIGMLQKLEFLYLDSNFLHGTFPSALVNCTNLVVFSAVDNFIGGLVPSTIGSMPKLKVVSLMQNRVSGLVPFSLLCNVGNKSSSLSIVELGFNAITGVSKPPNSEKCFTLLEVLDLKENHVHNTLFPSWLLTNATSLRSLDLSGNSFSGVLPVEIGNLVNLEELRLGSNSLSGKVPSEIVKCEFLQVLDLQGNKFSGHVPSFFGELRSLKILSLGGNLFTGSVPKSYGTLSSLEVLDLSNNNLSGIMPQEIMRLSNMSALNLSNNKFSGQVLSDIGIGDLTNLQVLNLSQCGFSGRVPSSVGSLMKLTVLDLSKQNLSGELPIELFGLPSLQVVELEENHLSGAVPEGFSSIVSLRHLNLTSNAFVGEIPATYGFLQSLVVLSLSHNSVSGNIPLEIGNCSQLQVLELESNHLHGTIPGDISHISSLKELNLGDNRLSGDIPSEISQCSALNLLLLNGNHLSGHIPQSLSKLSNLVELNLSSNQLNGEIPVNLSQVSGLKYLNLSRNNLDGEIPDMLGSRFNDPSVYAMNQRLCGKPLKRECKNVRRKRRKRLIIFVGVALAGVCILGLFCCAYIYSLLRWRKKLREGVTGEKRRSPNSASSGADRGRGSSENGGPKLVMFNGKITYAETVEATRHFDEENVLSRGRYGLVFKASYLDGMVLSIRRLPEGFINEAIFRKEAESLGKVKHRNLTVLRGYYAGPPTDVRLLVYDYMPNGNLGTLLQEASQQDGHVLNWPMRHLIALGIARGLAFLHSVPIVHGDVKPQNVLFDADFEAHLSEFGLERLTNIANPAEASSSSTPIGSLGYVSPEAALTGQATKEADVYSFGIVLLEILTGRKPVMFTQDEDIVKWVKKQLQRGQISELLEPGLLELDPESSEWEEFLLGIKVALLCTAPDPLDRPSMTDIVFMLEGCRVGPEMPSSADLTTLPSPV >OIW15217 pep chromosome:LupAngTanjil_v1.0:LG03:17260309:17260947:1 gene:TanjilG_08809 transcript:OIW15217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCPYTTSQNGRAEHKHRHITETSLTMLFHSQVSTSFWVEAFSTAVYTINRLPSLVLVGKSPFEVLFGALPNYENFHPFGCRVFPCLRDYVTNKFLPRSAPCIFLGYSANHKGFRCFNPASSRMYITRHAQFDEQFFPYAKTQSTTDISVLNYSNFWESITPILSSSLGPNAHKSPVAHPTPTVDPSIGSSQPDLYGFCLDDVGKSNSVIED >OIW14783 pep chromosome:LupAngTanjil_v1.0:LG03:25020229:25021600:1 gene:TanjilG_05404 transcript:OIW14783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGGKSKTESKKADPKLAVNKKGGATTKGARKPAKGKAAKDPNKPKRPPSAFFVFMEEFRKQFNKENPDNKAVSAVGKAAGAKWKTMSDTDKAPYVAKAGKRKQDYEKSMKAYNKKQEEGPTAADEESEKSLSEVEDDDDDEDDEDEDDDE >OIW15050 pep chromosome:LupAngTanjil_v1.0:LG03:22733529:22739261:1 gene:TanjilG_13977 transcript:OIW15050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDREGNSHGAEIPKKSKSSDLKSLYKLKLSKETPKKNLKRKGSSPSGGDEKGHKRKKSRKELSLSSFDNAEGSTKKNGDEECHEEPDLKSEARQGSRSTTEVNQDSLNPDEDVVHIPKRKRDFVRRKKCEVVQALTLAGHPSCKVVNGHDNPILKLSSDVLEKGIGSSKSKKKKDLDKFKKSRSGDSNSVQKFRGNEDHASHSVVNSGDSSIKRPQKKDRKRKASVPDRVRVAKEAEPLVNGRKTDHSREDDEENLEENAAMMLSSRFDPNCAAFSSSSKASTLPSANGLHPSISSNRNIISRGSMSPLGSESASVDAAGRALRPRKQLREKGSSRKRRHFYEFLLCDLDPYWILNRRIKVYWPLDQSWYIGLVNDYDEDKKAHHIKYDDREEEWINLHTEKFKLLLFPSEVPGKSRGERAVLKSRSSDQLKGSKARNDRHTREVTTENDSRGESSTDSEPIISWLSRSSHRVKSSPVHETKKCKSTVTFPNTASSLLYDEPLKVQRCLAKSSLGESKSNPASSSAPADNLVDHSGKRIISKLDKSPIVYFRKRFRKPTPTLPHISEEKNVDVGVSCSISFNPIGGGGAMDIREPNDRRDEIEGPLCFTYNAGVSNVFLDLGSSSLKLDLHYPTCLVLNGSFRSDILWLLRAVLLLQYGTLNTMWPRVHLEMLFVDNDVGLRFLIFEGCLMMATSFVFWVLRVFHRPTDQGKYIDLQLPVTSMRFRFSGFHVGKKPFVFAYYNFSRVNKSKWVRLDSKFKKHCLLSKQLHLSECTYDNIRSLQNGSSEYPITSIRGEPSLSKVMHKRIRPSIKTLGACKEFSQVDTNQSDGGKMKIPPFSLSFAAAPTFFLSLHLKLLMEQAVTHLSYYSRALVDDQEDSDLMMDHCYSTDDCSNRNLEFNPKKMLILSKDTTCDGLPCAGSDLLIGPSNCGHQILSQNANVHDAQHPADFSCNINGGVILSPNPTAPRSSWHHSKNSSSPLGFQSHGWSKGKADPLLNGFRNRPKKPRTQVSYLVPYAKYDFGSRHRNHHQKGLPITRIRKANDKKSLDVVGGPEKNLEFLSCDANVLITLGDKGWRDNGALVVLELFDHNEWKLSIKLGGITRYSYKAHQFLQTGSTNRYTHAMMWKGGKDWILEFPDRSQWALFKEMHEECYNRNIRAASIKNIPIPGVLLIEENDDNEPELTFIQSSIYFRQVETDVEMALNPLHVLYDMDSEDEQWVLAIQNAEKDISDMGGISEEMFEKMMDMFEKAAYAQQCEQFTHTEIEELMVGVGSSRVAKIIYEHWQQKRRKKGMALIRHFQPPLWQRYQQRLREWEVAMTKNNVPVSNGCQDKVATLEKPPMFAFCLKPRGLEVVNKGSSKHRSQKRISVSGHSNSTLYEQDGFHTYGRRPNGNALGDDRFVFSGHNYDSLDESPLAQTSPWVFSPRDAGMRGYYSMSTNGRYHKSKSRKFGSYMHHNDSQMNSYSPRGSGPGNRNEVSRWHIGYDLAGHRQYMLDGHQRHLTEQMDGPDLKELKLRDPSGAAQHARKVAKLKRERAESLLYRADMAVHKAVVALMTAEAIKASSEAQTVMGR >OIW16070 pep chromosome:LupAngTanjil_v1.0:LG03:2907567:2912953:-1 gene:TanjilG_04605 transcript:OIW16070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVITSTVKEPEEQGQSLHCTFASRYVRDPVPKFKMPGKSIPKDAAYQIINDELMLDGAPRLNLASFVTTWMEPECDKLIMDSLNKNYVDMDEYPVTTELQNRCVNMIAHLFHAPIEEDECAVGVGTVGSSEAIMLAGLAFKRKWQTKRKSEGKPYDKPNIVTGANVQVCWEKFARYFEVELKEVKLAEGYYVMDPAKAVEMVDENTICVAAILGSTLTGEFEDVKLLNELLTKKNNETGWNIPIHVDAASGGFVAPFLYPDLEWDFRVPLVKSINVSGHKYGLVYPGVGWVVWRSKDDLPDELVFHINYLGSDQPTFTLNFSKGSSQIIAQYYQFIRLGFEGYKSVMENCLENARVLKEGIEKLGRFNILSKDIGVPLVAFSLKESTQHTVFEVADHLRKYGWIVPAYTMPPDAQNIAVLRVVIREDFSHSLAERLVSDIEKVVKLLDTLPSPLSTKAAHITAITNEISDEKVGNSAIETQKEIAKYWKRLVDGKRVGAC >OIW14542 pep chromosome:LupAngTanjil_v1.0:LG03:27072324:27073286:-1 gene:TanjilG_12941 transcript:OIW14542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFVSSQCFFKILFLLCLFQLSFASRKLNELVEDQSQLLHYHNGPLLYGKISINLIWYGNFKPSQKAVITDFITTLSTQTPQSNQPSVATWWKTTEKYYNHRTSKKNSPPLSLSLGNQIYQNYTLGKSLNNKQLIHLASKGDQKNAINVVLTSSDVTVEGFCSRCGTHGFSSSSSYSLLGKNKNYKFAYIWVGNSETQCPGQCAWPFHQPIYGPQNPPLIAPNNDVGVDGMVINLATLLAGTVTNPFGNGFFQGPSDEPLEAATACPGVYGKGAYPGYAGALLVDPTTGASYNANGANGRKYLLPALYDPSTSSCSTLV >OIW15240 pep chromosome:LupAngTanjil_v1.0:LG03:16010637:16033031:1 gene:TanjilG_17560 transcript:OIW15240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLPQIPQGETAENVETVAAVLDCSQQFSDANPSGMSSSMNSVISSGLAAYTLGSSFNDDLSSKTPTFDDFTKNPCFEISNASDNTFYRGAVEVTSNVHSLKIDSAERSDLFNSKSMRCAHVPASRVVGFDSCRTSSLTDGLAVVSDANLHSSAFTNVAANDTETGSSLVRKRLLSPLSSMLSPRHFKGDPLNIGSKNNEIASLVKNDNVGNSTAQDNKKANIGSKNSHIMSSCSLTSCLEQKSVPHTTESIFLFDGTLQENRGLLSQGSSPTAGTDHFRQSTQFRPQSGLFSISPKSLSSPLSLSPLGPKFSERIKTFEGCRSVVEEIKNCNKTLWRIEQSLDSSNSCRTLNIKDDDLGTACKSFEDVELLCKDFSPSSLDDITEMSWPLSQEPIPAFHSMRFTRSLSGLSVRRSLVGSFEESLLSGRFLSGNGSKNIDGFLAVLSITGGNFSPKLQKLPFSVTSVDGDCSLLYYASIDLAGNSSNKLRGQFLKRGLINDDSQTVKSQLRVPIKGRIQLVLSNPEKTPLHTFLCNYDLSDMPAGTKTFLRQKVTLESSCSTSAPMKQGSTEKGILYKGNPATEKDHDISYCREVMHTDAVDVVNKTKSTNQRNGNDSSLVSLMNKEDFSKQSPNIPSLVKLDHGCITDKCKRNERKECCDKTCDDDSGKSLESFSKMKENCNSAGPLRYALHLRFICPFPKRTNRSAQKCRYSSLPEKAGSNMEGERRFYLYNDLRVVFPQRHSDADEGKVCACIVALPCHWFIFRVEFSVTFLLDIQSIRIEVKEGIYTRRVLYMEIIGQGAIPLTRIDENLTPREIEQQAAELAYFLRVPIEVF >OIW14439 pep chromosome:LupAngTanjil_v1.0:LG03:28948443:28948937:1 gene:TanjilG_15352 transcript:OIW14439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKREGRQHGMVRSYWIQPSSLNPRSEARYVNRFDSPPTAGLFVKVANKPTNHSKFTGKCTMPRCNSCHLNPSCKSKDKTKGTHKLKHSPIVDRPRSTFFGLSATELLNHLSNNAYIDHEIEDESDDNVDYDENNNDPIHISEIQIQVDQVEDENEDWFLVELSS >OIW15642 pep chromosome:LupAngTanjil_v1.0:LG03:4624099:4626935:1 gene:TanjilG_08218 transcript:OIW15642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAEMVEAEVAPAPAYNPGENNAVSDLLTLARQFINQGKPSQALQAVLVAMKNKGGDEAVFQSLHRARELYRSRLQENAAVDHLASLFAECAIAEAQPVINEPSANNIASPSVALDAHGTSILAETGRMQLVLDAVADGSSFICLKCGGLVSNHRKDEHFAYWCC >OIW15152 pep chromosome:LupAngTanjil_v1.0:LG03:20736489:20738578:-1 gene:TanjilG_14151 transcript:OIW15152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEVSAIQYRDHKFLRKAVEEAYKGVECEDGGPFGAVIVCNDEVVASSHNMVLRNTDPTAHAEVTAIREACKKLKQIELSDCEIYASCEPCPMCFGAIHLSRVKRLVYGAKAEAAIAIGFDDFIADALRGTGFYQKAQLEIKRADGNEAIIAEEVFLKTKAKFRMY >OIW14600 pep chromosome:LupAngTanjil_v1.0:LG03:26491321:26497536:1 gene:TanjilG_32942 transcript:OIW14600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPNQQSNRSPSPSQPSGKSEVSDLKSQLRQLAGSRAPGADDAKRDLFKKVISNMTIGIDVSALFGEMVMCSATSDIVLKKMCYLYVGNYAKVNPDLALLTINFLQRDCKDQDPMIRGLALRSLCSLRVANLVEYLVGPLESGLKDNNSYVRTVAVIGVLKLYHISASTCIDEDFPATLKHLLLNDPDSQVVANCLSALQEIWTLESTTSEEAARERETLTSKPVVYYLLNRQVIFQQIVSHALIFDKLNLNFLCGGGGGSSIQQYKPNNRLRLLNYPPLGLSFKEIIKEFSEWAQCLLLDLVTKYIPSDNNEIFDIMNLLEDRLQHANGAVVLATIKLFLHLTLSMADVHQQVYERIKAPLLTQVSSGSPEQSYAILSHLHLLVMRAPHIFASDYKHFYCQYNEPSYVKKLKLEMLTAVANESNTYEIVTELCEYAANVDIPIARESIRAVGKIALQQYDVNAIVDRLLQFLEMEKDYVTSETLVLVKDLLRKYPQWSQDCIAVVGNISSKNVHEPKAKAALIWMLGEYSQDMQDAPYVLESLVENWDEEHSAEVRLHLLTAVMKCFFKRPPETQKALGAALAAGVADFHQDVRGRALFYYRLLQYKVSVAESVVNPPKQAVSVFAKTQSNEIKDRIFDEFNTLSVVYQKPSYMFTDKEHRGTFDFSDELGNLSITPESGDVVPAERVEANDKDLLLGTTEKDEGRDPSSNGSAYSAPSYDGSSAPSAASQPLADLAFSSTSAPGQGPSSSLAIDDLFGLDFSVGTAATPSPPSLNLNAKAALDPGTFQQKWRQLPISISEEYSLSPQGIALLTSPQTLLQHMLSHSIHCIASGGQSPNLKFFFFAQKVEDASTYLVECIINTSSAKSQVKIKADDQSSSPAFSTLFESALSKFGLP >OIW16019 pep chromosome:LupAngTanjil_v1.0:LG03:2424144:2433941:-1 gene:TanjilG_04554 transcript:OIW16019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPLTAVLSNPVNKVDSSSSSSSNGFGFNRSQLESPILIFCFFHKAIRNELDALNRLAMAFANGNRSDIDPLSERYHFIRSMYTHHSNAEDEVIFPALDIRVKNVAQTYSLEHKNESNLFNHLFELLNSSNTSIHNDENFPRELASCTGALQTSVSQHMAKEEEQVFPLLIEKFSLEEQASLVWQFLCSIPVNMMVEFLPWLSKSISPDESHDLRKCLSKVVPEEKLLQKVIFTWMDGSSAKTVDNCIDHSQVLSSPSPLTHQIGKVKCACESTATGKRKCSGSILDVSDTMGTHPIDEILIWHNAIKKELNEIAAETRKIQLSGDLTNLSAFNERLQFIAEVCMFHSIAEDKVIFPAVDEEVSFFEEHAEEESQFNDFHYLIESIQNEGATSNSEAEFYSKLCSHADHIMETIQRHFHDEEVQVLSLARKHFSFKRQRELLYQSLCVMPLKLIERVLPWLVGPLSEYEAKLFLKNMQLAAPAIDSALVTLFCGWACKGRNDGLCLSLRASACCRFSDIEDNIVRSSCTCESALSGRGYSVLAESDGTQRPAKRNKLELHRNVDVPETSENGSTQKQCCGARSCCVPGLGVSTNNLGLSSLSTAKSLRSLSFTSSAPSINSSLFIWESDSSPCDVGSAERPIDTIFKFHKAIQKDLEYLDVESGKLSDGDETVIRQFSGRFRLLWGLYRAHSNAEDDIVFPALESKESLHNVSHSYTLDHKQEEKLFEDISRALSELSVLHEALQITHMSQDLSEGNFGTSNADDNDIIRKYNELSTKLQGMCKSIRVSLDQHIFREELELWPLFGRHFTVEEQDKIVGRIIGTTGAEVLQSMLPWVTSALTQDEQNKMMDTWKQATKNTMFNEWLNECLKESPVSISQAETSECSTSLRGSDYQESLDLNDQMFKPGWKDIFRMNQNELESEVRKVYRDSTLDPRRKAYLVQNLMTSRWIASQQNLPKALSGEPSNKEIGLVPSFWDPQKQVLGCEHYKRNCKLRAACCGKLFTCRFCHDNISDHSMDRKATLEMMCMRCLTIQPVGPICISSSCNGLSMAKYYCNICKFFDDERNVYHCPFCNICRVGQGLGIDYFHCMKCNCCLGIKSASHKCLEKGLEMNCPICCDDLFTSSATVRALPCGHYMHSSCFQAYTSSHYTCPICSKSLGDMAVYFGMLDALLAAEELPDEYKDRSQGDQDRGLKLQLLTKEVYSLCEGSTPTPFT >OIW15392 pep chromosome:LupAngTanjil_v1.0:LG03:11497999:11510268:1 gene:TanjilG_15775 transcript:OIW15392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRISFPLPFIKRLSCEYIIMLLARQFQLEDAVQKEDFHEAAKLNRAIAEATSKDSVAEIMSQLKSAIDDERYHDASRLCKYTGSGLVGWWVGYSKDPDDPFGRIIHVSPGMGRFVGRSYSPRQLITKSTGTPIFEIYVVKDTDNTYHMQVVYLRRAKGNSMGNPPSLSDKSATKPEVENASTVDVPEHEEKDEINDKKNSNMEEATEEGIKSVINFLEQKIPGFKVKVMNINVSVKQLMEKDGSKASSNESPEGEVNNLDEPDGVTLGADSDALEEENDLDMKLLVGGVVQDNEDTPVNDDFTRLPAEIRDMERDSFAFHIPRRNLDFDMREHKVTNINVATMATEGISQLMPPDVADEFWSSDLVSSKVSKSMREIVKLAIVKAQKRSRLSEDTHFRRIPSSIRDSDPFDGLYVGAFGPYGLEIVQLRRKFGHWNDADNENNPSDMEFFEYVEAVKLTGDLNVPAGQVTFRAKIGRGNRNTNRGMYPDELGVVIALQTSQLNAFACAFVCGYINMGLTSVAYLPFRWHQGDPTKVASYNGQGRTADFGFRNPKWVDGELLQFNGKGMGPYMKAADLGFLYSVPEQSVLVLFNRLKLPE >OIW14943 pep chromosome:LupAngTanjil_v1.0:LG03:23741962:23746643:1 gene:TanjilG_30662 transcript:OIW14943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLVLFGKVTLEDKLETPSSGDIFCISDCATCPVICSPPPISPSVPQSPFYFTFSSPPPPPKSQSPPTPSSHSASPPPPPPPSKSYQYNTPSPSSSSSSQPHPTVISMPHDYYYFYASSAPSLSNHAPFFVLLLFFVGYSFVALLKPASVVVAVMAGLTPEGSQFDARRYDARQYDANMNELSTSYANGQNMQIESYNRAPRPDDFNSYSTSNVQTQMGKDLKKRKSISGFLTKSWCMADPEITRKKRVAGYKMYYVEGKVKDSFRKSFRWLKNKCTKVVGGC >OIW15715 pep chromosome:LupAngTanjil_v1.0:LG03:216443:216816:-1 gene:TanjilG_04250 transcript:OIW15715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEIGNSVNQVHAQSTEQSRQTSRVEFSEDEQTLITRMYKLVGERWSLIAGRIPGRTAEEIEKYWTSRHSSSE >OIW14553 pep chromosome:LupAngTanjil_v1.0:LG03:26972355:26973668:1 gene:TanjilG_14939 transcript:OIW14553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIKFITPFILLSLLSLTTTTSLDPCASQSDDSNLDVIPIYGKCSPFNPPKADSWANTLINMASKDLARVRYLSTLVGQKNIVSTPIASGQAFDIGNYIVRVKIGTPGQLFFMVLDTSTDEAFVPCLGCLGCSATTFSPNSSTSYDPLDCSVPQCGQVRGLSCPAGGSGTCFFNQSYAGSSFSAVLVQDSLRLATDVIPNYSFGCINAISGGSVPAQGLLGLGRGPLSLLSQSGTHYSGVFSYCLPSFKSYYFSGSLKLGPVGQPKSIKTTPLLHSPHRPSLYYVNLTGISVGHVLVPVPKQYLTFDPTTGSGTVIDSGTVITRFVEPVYNAVRDEFRKQVSGPFSSLGAFDTCFVKTFETLAPPVALHFEGLDLKLPSENSLIHSSSGSLACLAMAAAPNNVNSVLNVIANLQQQNLRILFDTVKNKVGIARELCN >OIW15811 pep chromosome:LupAngTanjil_v1.0:LG03:851841:853905:1 gene:TanjilG_04346 transcript:OIW15811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGYFFDQCNKNSVDDHDFVLGKFLQQSGLSFESESLSPPNNGGSCLSFEDTTTTTTTTISNNHVKLMTKSTSSNSIASQHVPNKVVTANATSPKSYVLSFDESNVVAEVEASREIGNGRKHQGNNGDKSKGCNNNQTGARKSRNSSETLDHIMAERKRRQELTERFIALSATIPGLKKIDKASILSEAITYVKQLQKRAKDLEEQRCCKKIRVESVSFINKTNLNNDEGSVSSAKTSSYDCNEQNVAIPEVIARVLEKEVLIRIHCINQNGSMLKILTHLKSLDLSTISNSALPFGSSFLDITIIAQMGEKYKLTVKELVKNLRLTLLGP >OIW15160 pep chromosome:LupAngTanjil_v1.0:LG03:20129081:20134566:-1 gene:TanjilG_30831 transcript:OIW15160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSVEKESGAIEETFSLELPAPSGWKKKFSPKKPGTPKKNEIVFTTPTGEEISNKKQLEQYLKANPGGPPASEFDWGTGETPRRSARISEKAKAAPPPESEPLTKRGKRSSASKKETSEEEKEEAKDVQMQDADDSKVDKYIEEEKNTGKENQDEKRVEDTDVKESTHSGEAKAGENVQVPNDEEKSKNADEELHALKDKVDDKGAESSEVSKNKDEEKIGQPKEETNKDHELKVIVEGENGEEHNRSTHDPEEEIKEKEGTKVKNEEHHKVDEINKRAEAELTVNNNHGS >OIW15569 pep chromosome:LupAngTanjil_v1.0:LG03:5004972:5005544:1 gene:TanjilG_01092 transcript:OIW15569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESEEDLSMVSDASSGPPHYDDECYSENWCTYLSSTSKESQKKKKVKEYSRNQKHSTLDDTASSPFFNFPKESHKKQNGAVENAMDFSQCLSSTRIKRKPKFQKNFRGTQASEEPGHPFSLEYA >OIW14761 pep chromosome:LupAngTanjil_v1.0:LG03:25150833:25151642:-1 gene:TanjilG_05382 transcript:OIW14761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRDESNEEKKRKYFAKEDDIASTSNPTQRPRITRNINSSKQPTSSVVLRLGLLPSSSRFDLNSSPPPSPPSPLPLDVNRLSRVAVDPPTRVPRNQTQPTRIRHSDEIIPPPFPWATNRHAMVHTLTYLVHNQIQTIAGDVQCKRCGRNFVIEFDVRQKFLEVSNFIVEKMDNMHDRAPDIWLNPTLPSCRYCGQENCVKPLITYKNNKGSINWLFLLLGQWLGLCTIDQLKYFCKHTRNHRTGAKDRVLYATYIELCKQIYPQGPFSR >OIW16061 pep chromosome:LupAngTanjil_v1.0:LG03:2847908:2849632:-1 gene:TanjilG_04596 transcript:OIW16061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRYPTAISLSTRMELKGITPCVYTLTILINCYCLLGRVGFAFSVFGRILKSGYGLDIVALNTLIKGLCVSGEVVKALGFHDELVTKGFRFNEVTYGTLINGLCAAGQTGAAIQVMRRIEGRVVKLNVVMYSTIIDSLCKYELINEAHDLYSEMIAKGVSPTVFTYQSLIRGFCVAGQLKEAIQLLDQMVHKDIRPNVYIFTILIDALCKKRMLKEALDVHDKMIGSGHEPSIVTYTALMAGYCLNNKVDEARKLFDTVVHCGFAPDVWTYNVLINAYCKLEMLEDVMNLFDEMCHKNLVPNLVTYNSLIDCFCKSGKVSYARKLVKTMLDSGLTPDVITYSILLDALCKSQNLDQAIALFNQMLERGLTPDFWSYSILINGCCKDQRIGQAMNLLKEMHVMNLVPHTVTYTSLIDGLCKSGRISNAWKILNEMHDNGPPPDEITYSILLDALCKSQHVDQAIQLFNQMIERGVAPDVHSYTILIVGCCKSDRIDEAINLFKEMHLNDLVPDIVTCIVLVDGLCKSGRISYAEQLVNMMHNNGLNPDITTYGIFLDALGKSQHLGSKCLISAHG >OIW14695 pep chromosome:LupAngTanjil_v1.0:LG03:25665300:25677528:-1 gene:TanjilG_33037 transcript:OIW14695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHEPDLNPPLPLPDSKSGKVDAAMLQVLSSHLQLQKEGFLTAWTNSFVGPWDPSQGLHNPDEKIKLWLFIPGRHSSVVDNAQPLVSTLRVVASGLWLAPGDSEEVASALSQALRNCIERSLIGLYYMRFGDVFSKFHQLQSEELFRRGHPALEFAFVANEEAIFIHVIVSSKHIRMLTTGDLEKVLKHSTEATHGLPVIVSPHGIRGSLTGCSPSDLVKQSYFSSTMVKTSNGIVGFPYHVPQGVGCKLRGQNCHVEVSLGFSRPGTDKASQQNKNNVRNLTKFNAAESPVVGQSDHKGSPNHLLDYEKTFLYPAEAVLVPVLQTSLARSSLRRFWLQNWMGPSLPGSLSFIQCAGNVDNSEDPWTEINGTRTQNSYDSSSNSNSSSISSLSASSSDSDYKTTGPSELEADADSLTCRQSVLSSGDQLQNDGLKLGSKRSRTGVTESLSTATNVPVQDAYMSDVGSVEVNNSAITGVGNEPIGSYWDWDDDDRDTVMDIGALLSEFGDFGDFFVNDVLPFGEPPGTVESQALVFSAPDCGDVNSSPVGVMDVSDQMLLPVGFTSFESSNPPPPVAMEECLSKNQDNLSNSMSSVPVNQTQMSCTREVEHIMKAEAMMIFTAEFGAVETPTSDLSTLFTSPYFPKSRKAESSNSSSNNYLYGAAPPSTPYIDGSEGKNGIVATTKTICGKHDASMSLHPRNYYNYVESRKDINDRKPANLNDNGIGKSQGMVQPLFSKIGSNAVTKSVLRKMSEGTHETEHFLFSAKTLLATDVMCVMLQASMCRLRHILLSSSNLMPVGLSRSTGATFFNQLPGDPSTTTDNISNKYEVKKKENIPVRIAGDIDGGMLDGHHNAPVGVWRTVGVSKVVKSSNSPNMEVGPSFSHTSFNEEGMLSYSQRKPLQELLDGIPLLVQQAISFVDLALDADWGDGPYGLLALQEQWRRGFCCGPSMVHAGCGGTLASSHSLDIAGVELVDPLSADVHASTVISLLQSDVKTALKSAFSNLEGPLSVTDWCKGRNQLVDAGSIVDGVSAESSISECRDSSEPMSPSQSSVGGSSSIKGSSMMDSAKVDEISQRRSGQDMCSSESERQPCSRLKPTLTALPFPSILMGYQDDWLKTSANCLQYWEKAPLEPYALQKPITYHVVCPGIDPLTSAAADFFQQLGTVYETCKLGTHSPQVLGNQMEIESGKLSSSGFVLLDCPQSMKIESSHASLVGSISDYFLSLSNGWDLTSYLKSLSKALRALKLSSCLSTNPIEGSNSSCMVIYVVCPFPDPTAILQTVIESSVAIGSVIQQSDREKRSTLHSQVLKALSGLATVDEASASNILVLSGFSIPKLVLQIVTVDAIFRVTSPSVSELAILKETAFTVYSKARRISRGISSDFSLSAFSGRSHSVLTQMPSPISGMWKDSVGPRIVGHSLPREGDIDTSLRSGGWDNSWQPTRSAGLNCDPSRSGDTFLHDEIRYMFEPLFILAEPGSLDHGTSVIGSPTSESSKALGDDSSGSYMQQSTSTAGSMDSASSIDGLGSDQKTPPSLHCCYGWTEDWRWLVCIWTDSRGELLDCNIFPFGGISSRQDTKGLQCLFAQVLQQGCLILQSCDTSLAKPRDFVIARIGGFYELEYIEWQKAIYSFGGSEMKRWPLQLRKYMSDGVSITSNGSSLQQPEMSLIPERTLPSSPSPLYSPHTKATGFMKGGSLGQSAVRKQHMGGHSMVDNNSRGLLHWAQSISFVAVSMDHTLQLVLPASDSSGYTEGFTPVKSLGSTSCAYVVIPSPSMRFLPPTALQLPTCLTAESPPLAHLLHSKGSALPLSTGFVVSKAVPSMRKDHRSNLKEEWPAVLSVSLIDYYGVANIRGINNKQQGGGRSLSWEAKDFETETHLVLESLAAELHALSWMTVSPTYLERRTALPFHCDMVLRLRRLLHFADKELSKKSEKS >OIW15042 pep chromosome:LupAngTanjil_v1.0:LG03:22692279:22697877:-1 gene:TanjilG_13969 transcript:OIW15042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASISGSPSSGKVLRPRRSSAARATTPYDRPNWLSRYVISPTRLVASEEEEEEVDTFDDENDAGSNKNKHFIEQLVMQETFSRKECDRLIEIVRSRVVDSPANDVDGDKGLGDKPNRILGSDTASPDLCSAAVMEAKKWIQEKKSGLDSKLDLDYGSHSLNLATLPQALKDEGSPVDLAKSYMRTLPPWSSPSLQHIKPPMPTGIQLFKEEEPHLFGGNSTLPSKLRRDSPAARRSWSIQDEIRKVRSRATEEMLRTFPSSKIDWSVFSMEYKNVNSSAIKNTEATLGEKLNEFTNFVDASSNLARGLGTQASPGSRDHCRGITTSGQREGFSDDMHRNVGFLKVNGSNNTNEANHQLDSVEETVEAISPRLHDGNYSEFKEKIGADDTLANGFPPSGPSLYAGDVMEQNTNTLDNGPNTLDLSQESPAKVVLEQETRMLSSESIEVPDVMVKNTVAVKENDGIASASQNSSSVQYETQKDSESELAATPTSIAKQKGKRITARYNRGGRGKSVR >OIW14414 pep chromosome:LupAngTanjil_v1.0:LG03:29413528:29421535:1 gene:TanjilG_20860 transcript:OIW14414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPRFSNLLRSTPIDSANTTMAARAAFTFTRATFIPSFSSSSSSHFASVHLSNLPFVSFHSKSPWLGFHDALCRNETKRLGTPLVRASSEQKREYRKVRRTRGSKITPKELELSVGICIEEDLPDDPEVLNIAEMLRLNVPMAMKLAFDGLKGSEYKTRDAAISDVGGFESIELSVLLCNDDFIRKLNKEWRDEDHATDVLSMSSHIPGLKLPILMMGDIVISVETAARQAEERGLSLIDEIRILMVHGLLHLLGFDHEISEEAEVEMEKEEELLLKSLGWKGKGLIKSAYDTEINSNSHHDGSDDRKKEGSLRFYKPKFSHIFCDMDGTLLNSKSQISPATANALREATSRGVKIVIATGKARPAVIDIFKMVDLAGKDGIVSEFSPGVFLQGLLVYGRQGQEIFRSNLDPNVCREVCLYSLEHKVPLVAFAEGRCLTLFHDPLVDSLHTVYHEPKAEIMPSVEQLLASAEIQKMIFLDTEQRVASTLRPHWSQATEGRATVVQAVPDMLEIVPLGTCKGNGIKLLLDHLGVSAKEIMAIGDGENDVEMLELASLGIALSNGSEKTKAVANVIGSSNDEDGVADAIYHYAF >OIW15736 pep chromosome:LupAngTanjil_v1.0:LG03:312072:313120:1 gene:TanjilG_04271 transcript:OIW15736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEKKEKVLLKKAAAEIEKAKDYTKGKNKRAAIQCLKRKRLYEQQIEQLGNFQLRIHDQMIMLEGAKATTETVDALRTGAATMKAMQKAVNIDDVDKTMDEINEQTENMKQIQEALSTPIGAAADFDEDELEAELEELEGAELEEQLLQPATTAPAASVYVPAGRQPARPVPAKQPAPEEDELAALQAEMAL >OIW14587 pep chromosome:LupAngTanjil_v1.0:LG03:26609438:26612396:1 gene:TanjilG_32929 transcript:OIW14587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATETKSTTVKLKSSAQDGSSKPKFDSLALKKKVETSNKYPLDNSKIKSVTTTVTKSEAKSKPSSSSASKTVTKTTTTKVRERKVYSLPGQKHDPPEQKEPLRIFYESLSKQIPTSEMAEFWLMEHGLLSPEKAKKAFEKKQRKQKQIRTGTPVKPSKPITKTETSSQKQQQASKNGDIKAKKRIVNKSDDDDDDDDFVLSHKRRKW >OIW14639 pep chromosome:LupAngTanjil_v1.0:LG03:26137082:26137561:1 gene:TanjilG_32981 transcript:OIW14639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKASPSSNSISLKTVDGKIFKVSPAIANQMLTIQPLIKDSDSSTIISLPDVAAFHLTKIIEYLEGKPAAAPSAVDAFEAQFVKDLSPEELKGLLIAVGYLKVNGLVDLMANTVAKLIENKSVEYVRKFFGVVNDFTPAEEAKCRQEHAWAFDGVDED >OIW15001 pep chromosome:LupAngTanjil_v1.0:LG03:23237101:23239056:-1 gene:TanjilG_30720 transcript:OIW15001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSTIRKAIGAVKDQTSIGIAKVASNLAPELEVAVVKATSHDDDPASEKYVREILNLMSYSRGYVHACVSAVSKRLAKTRDWIVALKALMLVHRLMNEGPVLFQEEILYATRRGTRLLNMSDFRDEAHSSSWDHSAFVRTYAMYLDQRLELMLFDRKGGSVGGGSGSGSDSAAADRFVGRDNFRSPPPRAIEYEYGGEFREESGGGYGNGMRRTRSFGDTSENVGKEDKRIVSVTPLRDMKPERIFGKMGHLQRLLDRFLACRPTGLAKNSRMILIALYPVVKESFQLYGDICEALAVLLDKFFDMEYTDCVKAFDAYASSAKQIDELVAFFNWCKEMGVARSSEYPEVQRITSKLLETLEEFVKDRAKKPKSPERKKLEAPPVVKEEEEPVPDMNEIKALPPPENYTPPSPPKPEPEPKPQFTEDLVNLRDDADTADDQGNKFALALFAGGAANNGNGSWEAFSSNGQPEVTSAWQTPAAEPGKADWELALVETASNLSKQKATLGGGFDPLLLTGMYDQGIVRQHVSTAQLSGGSASSVALPGPGKTTTPVLALPAPDGSVKAVNQDPFAASLSIPPPSYVQMSDMEKKQQLLVQEQQLWQHYARDGMQGQASLAKLGGPGYYVGGPIPVMPYGMPPVNPTGYYHSPY >OIW15997 pep chromosome:LupAngTanjil_v1.0:LG03:2229131:2229385:-1 gene:TanjilG_04532 transcript:OIW15997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDILMTSSARQEYSLACAVDSGEYVFTYTRVYLNKSNGFDDSPGENETDEDNDDSKAGFGGGDDEDETSYKGAGGFDSEIWEDI >OIW15513 pep chromosome:LupAngTanjil_v1.0:LG03:6145807:6147255:-1 gene:TanjilG_27364 transcript:OIW15513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKNNRIMEGLNITEEMLSPNSVTRQLNDQISLAKAFVIIAKESNNLQFAWELSAQIHNSQILLSNAATRRAPLTTTESDSAIHDMALLLYQAHQLHYDSATMIMRFKAKIQALEEQMNSVSEKSSKYGQIAAEEVPKSLYCLGVRLTTEWFKNLNMQKKLKDKRQVEIKLKDKNLYHFCIFSDNILATSVVVNSTAINSKNPDMIVFHLVTDEINYAAMKAWFAINDFRGVTVEVQKFEDFTWLNASYVPVLKQLQDSEVQNYYFSGNSDDSRTPIKFRNPKYLSMLNHLRFYIPEVFPALKKVVFLDDDVVVQKDLSDLFSIDLNGNVNGAVETCMETFHRYHKYLNYSHPLIRAHFDPDACGWAFGMNVFDLVQWRKKNVTGIYHYWQEKNVDRTLWKLGTLPPGLLTFYGLTEPLDPSWHVLGFGYTNVDPQLIKRGAVLHFNGNSKPWLKIGIEKYKPLWEKYVEYSHPLLQHCNFH >OIW14405 pep chromosome:LupAngTanjil_v1.0:LG03:29474469:29475691:1 gene:TanjilG_20851 transcript:OIW14405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMHENKKRKNGRLVWSLELHNKFIDAVNQLGIEKALPKKILKLMNDENLTYDQIASHLQRYKLHLKRNGSSVSHQQQCSTDVRNIHELPSSSTKQLGQNDDTNDKDMHTQIGVIPTSTPSNDSFEWWDIDKLEAILNSNFIGEGCTSKNSTFNNSPEDSVNAYSSCSRSSQISAEIVELRQEMAAARDDNRKLWEIIQDIMARQDMLKQTISQVVPPSRISPICPEYSAMGCNGKWMASYPNLH >OIW15944 pep chromosome:LupAngTanjil_v1.0:LG03:1796570:1802825:1 gene:TanjilG_04479 transcript:OIW15944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHINNNIIRGVFFVVFIVGFLLAVEARPQGNEFSPASAPAPDVKVALALSLVGGLSTSLGALFVIINPAPNLKMLGLLQGFAAGLMLSISFFDLAHNALNALGFLKGNLWFFAGVIFFAVIANFIPEPTLAPTSNGKNRKKNGDDGGKDVMKKHRRQVLYSGIITAIGISLHNFPEGMAVFLGSLKGLRVGINLALAIALHNIPEGVAVALPVYFATQSKWQAFKLASLSGFAEPLGVIIVAYLFPSSLSPEVLEGLLGSVGGVMAFLTLHEMLPLAFDYAGQKQSVKAVFFGMAFMSASLYFLSISLPEEISL >OIW15161 pep chromosome:LupAngTanjil_v1.0:LG03:20152944:20154473:1 gene:TanjilG_30832 transcript:OIW15161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLPWYRVHTVVLNDPGRLLFVHILHTALVAGWAGSMALYELVVFDPSDPVLDPMWRQGMFVIPFMTRLGITNSWGGWSITGGTITNPGIWSYEGVAGAHIVFSGLCFLAAIWHWVYWDLEIFSDERTGKPSLDLPKIFGIHLFLAGVACFGFGAFHVTGLYGPGIWVSDPYGLTGRVQPVNPAWGVEGFDPFVPGGIASHHIAAGTLGILAGLFHLSVRPPQRLYKGLRMGNIETVLSSSIAAVFFAAFVVAGTMWYGSATTPIELFGPTRYQWDQGYFQQEIYRRVGAGLAENKSLSEAWSKIPEKLAFYDYIGNNPAKGGLFRAGSMDSGDGIAVGWLGHPIFKDKEGRELFVRRMPTFFETFPVVLVDGDGIVRADVPFRRAESKYSVEQVGVTVEFYGGELNGVSYNDPATVKKYARRAQLGEIFELDRATLKSDGVFRSSPRGWFTFGHASFALLFFFGHIWHGARTLFRDVFAGIDPDLDAQVEFGAFQKLGDPTTRRQVVG >OIW14696 pep chromosome:LupAngTanjil_v1.0:LG03:25658958:25660613:-1 gene:TanjilG_33038 transcript:OIW14696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHGVTMFIMMLLGLMAVAVQGEDPYLYFTWNVTYGTISPLGISQQGILINGQFPGPEINSTSNNNVVVNVFNNLDEPFLLTWSGVQQRKNSWQDGSLGTGCPIAPGTNYTYKFQVKDQIGSYFYYPSTRMHRSAGGFGGLRINSRLLIPVPYADPEDEYWVIIGDWYTKSHTTLKKLLDNGRSIGRPDGVLINGKTAKGDGSDEPQYTMKPGKTYKYRICNVGQKNTLNFRIQGHSLKLVESEGSHTVQNVYDSLDVHLGQCFSVLVTADKEPNDYYMVASTRFTKTILTGKGIIRYTNGKGPASLNLPEAPVGWAWSLNQFRSFRWNLTASAARPNPQGSYHYGQINITRTIKLVNSVSNIQGKFRYAINGVSHVDPETPLKLAEYYGVADKVFKYNIISDAPPANLNMITVEPNVINATFRTFIEIIFENPTKTLQSYNLGGYSFFAVAVEPGTWSPEKRKNYNLLDAISRHTIQVFPKSWAAIMLTFDNAGMWNLRSENAENRYLGQQLYISVLSPEHSLRDEYNLPETQLLCGIVKDLPKPPPYST >OIW15988 pep chromosome:LupAngTanjil_v1.0:LG03:2133392:2136485:-1 gene:TanjilG_04523 transcript:OIW15988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYLKELEGKSAHDCYHHQIKVMDKMVSSPKIWVHGPVIVGAGPSGLAAAACLKQKDIPSLILEKAECLASMWQHKTYDRLCLHLPKQFCQLPLMPFPKNFPSYPTKQQFLTYLKNYAEHFDLKPAFSTTVVSAEFDHRSGFWRVKTEGMKKNEEVEYVCKWLIVATGENAEEVVPQIEGMGEFEGTILHTSLYKSGSMFCGKNVLVVGCGNSGMEVCLDLCNHNAHPSLVVRDTVHILPQQMLGKSTFGLSMCLLKCFPIRFVDQFLLLMSHFMLGDTSQFGLNRPKLGPLELKNLNGKTPVLDVGTLAQIRSGKIKVCRGIKRLTQHTVEFVDGKVKNFDAIILATGYKSNVPSWLKGTDMFSEKDGLPKKPFPNGWKGENGLYTVGFTKRGLLGASIDAKKIAEDIQHSWKVIEEATHVLHDVRKKNTKIFYD >OIW14442 pep chromosome:LupAngTanjil_v1.0:LG03:28973273:28977476:1 gene:TanjilG_15355 transcript:OIW14442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLADSFLADLDELSDNEAEIPEDNNVDTADMEEDIDGDLADLENLNYDDLDSVSKLQKTQRYIDIMQKVEEALQKGSDVSIQGVGLEDDPEYQLIVECNALSVDIENEIVIIHNFIRDKYRLKFPELESLVHHPIDYARVVKKIGNEMDLTLVDLEGLLPSAIIMVVSVTASTTTGKPLPEEVLGKTVDACDRALELDSAKKKVLDFVESRMGYIAPNVSAIVGSAVAAKLMGTAGGLTALAKMPACNVQLLGAKKKNLAGFSTATSQFRVGYIEQTEIFQTTPPPLRMRACRLLAAKSTLAARVDSIRGDPSGNTGRLFKDEILKKIEKWQEPPPAKQPKPLPVPDSEPKKKRGGRRLRKMKERYAITDMRKLANRMQFGIPEESSLGDGLGEGYGMLGQAGSGKLRVSAGQSKLAAKVAKKFKEKHYGSSGATSGLTSSLAFTPVQGIELTNPQAHAHQLGSGTQSTYFSETGTFSKIKRT >OIW15681 pep chromosome:LupAngTanjil_v1.0:LG03:3642842:3644973:-1 gene:TanjilG_09619 transcript:OIW15681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMEEEEEGEKARMGKLGSYGGEVRLLLEDTEEESASEEIMLLWGIQQPTLSKPNSFVSQTSLKLSLDSCGHSLSILQSPSSLGTPGVTGSVMWDSGVVLGKFLEHSVDSGMLLLQGKKIVELGSGCGLVGCIAALLGGEVILTDLPDRLRLLRKNIETNMRHGSLRGSVTATELTWGEDPDPEIVEPMPDYVLGSDVVYSEGAVIDLLETLGQLSGPNTTIFLAGELRNDAILEYFIEAAMSNFTIGRVDQTLWHPDYRSNRVVLYVLVKK >OIW14583 pep chromosome:LupAngTanjil_v1.0:LG03:26637212:26642048:1 gene:TanjilG_32925 transcript:OIW14583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSVETGMMEPLTSGASNRIIPILKALRASSIFVYTFFLSFLLFILPRRRRLSPTSELAPPSPKKSLKRRWLIREEEDTFRRRALAQDVGMGPDDRCCRWNTSIFYGIRNNALFCRSWFPVTGHLKGILIIIHGLNEHSAHFFSGRYADFARQLTSRHFGVYAMDWIGNFITYSFIWSLVILIDGQCFPPLRWIYLRLNIGTNMIILFLSCPYFFLWVGVFFVSQVMEGVMACMVMFLLLIMSLQTQGILIIIHGLNEHSGRYADFARQLTSRHFGVYAMDWIGHGGSDGLHGYVPSLDHVVADTGAFLEKIRSENPGIPCFLFGHSTGGAVVLKAASLPHIEVMVEGIILTSPALRVKPAHPIVGAVAPIFSLVAPKFQFKGANKRGIPVSRDPEALLAKYSDPLVYTGPIRVRTGHEILRISSYLTRNFKSVTVPFFVLHGTADKVTDPLASEDLYNKAASEFKDIKLYDGFLHDLLFEPEREEIARDIINWMEKRLFAI >OIW16071 pep chromosome:LupAngTanjil_v1.0:LG03:2914237:2916477:1 gene:TanjilG_04606 transcript:OIW16071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYNIISQCLNSTTVTLFQARQAHAHILKLNLFSDSHLSKRLLSIYSNNLCFPEINHIFHSLPQPSLFTFSSVIHSFTRSNLYHHVLRIFSQLGSHDLVPDGYLIPSAIKACAALQALKTGKQVHGFVSVSGYGSDSVVMSSLVHMYLKCDQIVDAHKLFDRMPERDVVAWSAMISGYSRRGLVDKAKKLFSEMRNEGVEPNLVSWNGMIAGFSSYGSYVEAVMLFQMMLLEGFSPEGTTLSCILPAIGQLEDAVMGAQVHGYVIKQGLELDKFVVNSLLDMYGKCGCAFEMSQVFDEADQTEICFLNAFLTGLSRNGLVDTALEVFEQFKDEGMELNVVTWTSMIASCSQNGKDMEALEFFRKMQADGVEPNAVTIPSLIPACGNISALMHGKEIHCFSLRKGLFDDVYVGSALIDMYAKCGRIQLSRRCFDIMSAPNLVSWNAVMSGYSMHGRAKETIEMFHMMLQRGKKPDRITFTCVLSACAQNGLTEEGWQYFNSMSKEHGMEAKMEHYACMVTLLSRVGKLEEAYSIIKEMPYEPDACIWGALLSSCRVHHNLNLGEIAAKKLFLLEPDNPGNYILLSNIYASKSMWDEVNRIRDVMKNKGLRKNPGYSWIEVGRKVHTLLAGDKSHPQMKEILEKLDELTMKMKKSGYLPKTSFVLQDVEEQDKEEILCGHSEKLAVALGLLNTSPGESLQVIKNLRICDDCHAAIKFISSLEGREIFVRDTNRFHHFKDGGCSCGDYW >OIW15524 pep chromosome:LupAngTanjil_v1.0:LG03:5901200:5901766:1 gene:TanjilG_13830 transcript:OIW15524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYFSIKPSIISCFVLMFVLFAESSYAAKVVDVNDICKQSQNPSFCLTILNSKPGGVAGADLVTLAQYTIDIVRGNLTNSVTLIQSLIANSGNDATAKSHYEQCLTFFGDKEGALVDIDYTQELLKKGDYFGVNSAASAVIVDVDDCIFGEDPEQPPYPDKSDLPKNADFIDKVLEALLIISQLLYQK >OIW14974 pep chromosome:LupAngTanjil_v1.0:LG03:23461240:23463475:1 gene:TanjilG_30693 transcript:OIW14974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKAASININKFIWKMYFHELLPIFVASGDDGNYAQTAASDLSLLQAISRRIHYGKFVAEAKFRESPKDYEPLIRAKDREALMKLLTSKSVEEMVIKRVEKKAMVFGQEVSVDNVVKGKYKVDPLMVSHLYKKWLIPLTKIVEVEYFLHLLD >OIW14578 pep chromosome:LupAngTanjil_v1.0:LG03:26676388:26679014:1 gene:TanjilG_32920 transcript:OIW14578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFEVGKDSRFDDDGKTKRTGNALTATTHIITVVVGAGVLALAWAMAQLGWVAGISVMVAFACISMYTYNLIADCYRYPDPVTGTRNYTYMQAVGAYLGKTMHLICGLVLYGKLAGVTVGYTITTSTSLVAIKKAICFHYKGHEADCKFSNNPYMMAFGVVQVFLSLIPNFHKLTWLSTIAAITSFGYAFIGSGLSLAIVLQGKGEPTSFTGIKIGPGLSSTDKMWKIFSALGNIALASSFATVVYDIMDTLKSCPPENQQMKKANALGITTMTILFLLCGGLGYAAFGDDTPGNILTGFGFYEPFWLVALGNVFIVIHIVGAYQLMAQPLFRVVEMGANVMWSHSDFIKKDHSFKLGSLKFSFNSFRLVWRTIFVIVATIVAMAMPFFNEFLALLGAIGFWPLIVFFPIQMHIAQKSIKRISLKWFMLQLLSLICLLVSLAAAVGSIRGIAQNINKYKIFQYKQ >OIW15248 pep chromosome:LupAngTanjil_v1.0:LG03:16206001:16206768:-1 gene:TanjilG_17568 transcript:OIW15248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQALSIILPHTFNDSKEFSPISKKPDEDLNSNIKLEHEEEYFEEEEEFSFSCDNPQGTLFFADEIFGNGQILPMFPICGQSALHADTHKKRTLSPRPPLKKLFVEEHNHLSSMLDRKTEEPLYKWSEEMTILEVVTSHERCKKSSSTGFSDQLRIMRDMKLRSNSEGDDTFILMNPSSPAPPKQVKHNDLKDKNVTKKKTKKEEHKIEFSAYEKHYRMSRTRKEGDKRRSFLPYKQVMVGFFAKTNIFSRNLHPF >OIW14502 pep chromosome:LupAngTanjil_v1.0:LG03:27625058:27638398:-1 gene:TanjilG_12095 transcript:OIW14502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIQTSSILFLLAVFTTVSLADKLTSATFDGAIYSDQTRSNKKQRLSLSSFKNNNTAIATTETGTGCEVWSKQCSEAVLSMARRREVVEWIRKIRRRIHENPELAFEEIETSGLIRKELDMMKISYRYPVAKTGIRAWIGTGGPPFVAIRADMDALPIQEAVELEYKSKIAGKMHACGHDAHVAMLMGAAKILKTREHLLKGTVILLFQPGEEAGNGARKMIQDGALENVEAIFGVHVFHHLDTSIIGSRPGSFLAGCGIFRAVISGKKGTAANPHHSTNPVLAASAAIISLQGIVSRESDPLDSQVVSVTLFNGADNHDIIPDTVVIGGTFRAFSNTTFYYLLERIKQVIVEQSSVFRCSAEVNFFENENSIYPPTINDEKMYEHLKKVSIDLLGQNNFMVVPPVMGSEDFSFYSQVIPSTFYFIGIRNETLGSTITGHSPHFMIDEDALPIGAATQATIAERYLIEHGK >OIW15373 pep chromosome:LupAngTanjil_v1.0:LG03:12782446:12785781:-1 gene:TanjilG_16835 transcript:OIW15373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKEEIRPHKSIDAELTKILLMRTIVESHDPTSKEVDDLSLRRFLRARDLDVEKGSAMFLNYMKWRHSFVPNGFVSLSEIPDEVAQGKVFVQGLDKKGRPITIAFAAKHFQNKDDPDTFKRFAVFALDKLCSRMPPGQETFLAIADIKGWGYANSDISGYINAFTILQEYHPERLGKLFIVHAPYMFMKVWKVIHPFIDNNTKKRIVFVENKNLKETLLEDIDESQLPEIYGGQMPLVPLQDC >OIW14466 pep chromosome:LupAngTanjil_v1.0:LG03:27953650:27955743:1 gene:TanjilG_19882 transcript:OIW14466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVSAASVLKHLLHHRNLKPQPPTAATSTAAVTTKILTYLKSGRIRKAASTLFAFPAPFSYDLYAHLLRACSLHRHAIVEARKVESHLLTFSPNPPIFLLNRAIEAYAKCGSLRDARELFDEMPQRDGGTWNALITAYSQFGFPSEALNFFLCMNRSGVRANEITFAGVLGSCAVAGELVLSMQVHGLVTKFGFCGNVILESSLVDVYAKCGVIVDARKMFHEILHPNAVTWNVIVRRYLDVGNAKEAVFMFSRMFPAAVRPLNFTFSNALVACSSIAALEEGMQIHGVVVKLGLQEDNVVSSSLINLYVKCRKLEDGYEVFDQLGSKDLVSWTSIVSGYAMGGKTWEARKLFNEMPERNVISWNAMLAGYTRFSEWYEALDFVHLMLNTIKDLDHVTLSLMLNVSAGLLDHEMGKNVHGYIYRHGLHSNLMVGNALLDMYGKCGNLNSARVWFNQMSNWRDRVSWNALLATYGNHHLSEQALTIFSEMQWETKPTKYTFGTLLAASANTFSLYLGKQIHGFIIRHEFQIDTIIRTALVYMYSKCRCLEYAIEVLKGAVSRDVIIWNTIILGCCHNHRGKEALELFGAMEAENIKPDHVTFHGILLACVEEGLVEYGAKCFKSMSNEYCVLPRLEHYDCMIELYSRHGYMDELERFIKTMPIDPTISMLTRALDACQKNEHARLGEWIAEKINEFQH >OIW15365 pep chromosome:LupAngTanjil_v1.0:LG03:13403363:13403530:-1 gene:TanjilG_26738 transcript:OIW15365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEVRNKQVVLRNYVSGFPKESDMYLVESKITLKLPEGSNDVLLKNLYLSCDPYM >OIW14770 pep chromosome:LupAngTanjil_v1.0:LG03:25094787:25096921:-1 gene:TanjilG_05391 transcript:OIW14770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMLSMEGVLSELSDYEKRNEQGLPPGFRFHPTDEELLTFYLASKVFNGTFTTHLNFAEVDLNRCEPWELPEVAKMGEREWYLLSMRDRKYPTGLRTNRATGAGYWKATGKDKEVYNASSGALLGMKKTLVFYTGRAPRGEKTKWVMHEYRLDAHFSIHSHPPNTFNSKEEWVICRIFHKSGEKKTHVLQIQGNLDASSKSSLPPFHTTPPFFTQFQNDLSNSNLFPFHPFAPTNAITNNTNHDALNSCTLKVIKEHINLPKSTKTEDTFYPYQLLHDTNMLSNTNSSNFQSSLPLNMNDSGLMMGFSGAEDAELRDMMMSTSIPFNKQSLQQIMLDSPIAIDSWPLPQHV >OIW15692 pep chromosome:LupAngTanjil_v1.0:LG03:55485:58502:-1 gene:TanjilG_04227 transcript:OIW15692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARIKVYELRSKTKAELLNQLKDLKAELALLRVAKVTGGAPNKLSKIKVVRLNIAQVLTVISQKQKAALREAYKNKKYLPLDLRPKKTRAIRRRLTKHQASLKTEREKKKELYFPIRKYAIKV >OIW14843 pep chromosome:LupAngTanjil_v1.0:LG03:24555928:24557565:-1 gene:TanjilG_30562 transcript:OIW14843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSFQSLSSQSLNNVTSPLLSIPLTRSNTKIASFTSVSSNFLQLQFPSTKKPSNRSPTKATLSETPSFSSLPPTPKPTQLPLRDIPGDYGFPIVGPIKDRLDYFYNQGRDTFFSSRVKKYKSTVFRANMPPGPFIASNPNVVVLLDGKSFPILFDVTKVEKKDLFTGTFMPSTELTGGYRILSYLDPSEPKHEKLKRLIFFHLRTRSNSVIPEFHSNYTTLFDSLEKELAGKGKVEFGDKHDQTAFNFLAQSLYGTNPKDTKLGNDGPTIITKWVLFNLSPILTLGLPKLLEDPILHTFRLPPSLVKNDYQRLYDFFYESSGFIFEEAKRLGIAKEEACHNILFATCFNTFGGMKIFFPNVLKWIGSAGVKLHAKLAEEIRSAVKSNAGKITMGVTEQMPLLKSVVYESLRIDPPVPLQYAKAKQDLVIESHENAFKVKKGEMLFGFQPFATKDAKIFERGEEFIGDRFVGEGEKLLKHVLWSNGPENESTSVNNKQCAGKDFVVFISRLFVIELFLRYDSFEVQVGKSPLGSSITITSLKKATS >OIW14929 pep chromosome:LupAngTanjil_v1.0:LG03:23852271:23852540:1 gene:TanjilG_30648 transcript:OIW14929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRQRRNLTEHSASATYQQRTNLTEHSALATHQGRTNLTKHSASATHQAPTNLTEQGTTEDAPINVHQGKPLNQVGAPDYQLDPYTPIT >OIW15131 pep chromosome:LupAngTanjil_v1.0:LG03:20367050:20369366:-1 gene:TanjilG_14130 transcript:OIW15131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPVLCVSSINVKSNSNRKVIVCGLRKSYAKKPPSRVLSKEAIQVIHSLKLAKSDEKLDQVLNSSLTRLLKVDVLDLLAELQRQNHFHLCLKVFNFIREEPGYNTLLSLYSDMILLHGRNKNIDMAEELFSHVTSKGLKPDTRMYTEMIGAYIRAGMMEKAIEIYRSMKASGCSPDRLTFMILIRNLERMGEQELVATLKEECYEYVEFPNKFIKEVEQKYSGKTEISQSHKNVSGGR >OIW14497 pep chromosome:LupAngTanjil_v1.0:LG03:27561484:27569188:1 gene:TanjilG_12090 transcript:OIW14497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAYIIGIVIPLIVLLLRNSKNRKRRGLPVDVGGDHGVALRNHRFTSPMSSAWEGVTTLAEMFERACKVHPDKLLLGTRGLISSEMEVVNGRSFEKLHLGEYKWITYRKVFEVVTAFGSGLAKLGHVREERVAIFAETREEWFIALQGCFRRNATVVTIYASLGEEALCHSLNETEVVTVICGGKELRALVHISGQLHSVKSVICMDDDIPPEASSVQHGWTITSFTDVERLGRENPVDADLPLPGDVAVIMYTSGSTGLPKGVMMTHRNVLATVSAVMTIVPNLADKDVYLAYLPMAHILELVAENLIASVGGAIGYGSPLTLTDTSNKIKKGTKGDATELMPTVMACVPAILDRVRDGVFKKVNAKGGLSKKLFDIAYVRRLHAMNGSWFGAWGLEKALWNFLVFRKVKAILGGRIRFILCGGAPLSGDTQRFINICLDAPICQGYGLTETCAGAAFSDFDDISVGRVGPPLPCSYIKLIDWPEGGYSVNDSPMPRGEIVIGGPNVTLGYFKNEEKTRESYKVDERGTRWFCTGDIGRFHADGCIEIIDRKKDIVKLQHGEYVSLGKVEAALLGSPFVDNIMLHADPFHSYCVALVVVSHPALEGWASKQGIAYSDLSELCGKEETGKEVHASLVKEAKKARLEKFEIPAKLKLLSDPWTPETGLVTAALKIKRDPIRKAFHEDLSKLYAS >OIW14988 pep chromosome:LupAngTanjil_v1.0:LG03:23323355:23325935:1 gene:TanjilG_30707 transcript:OIW14988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKVASASQYLVITGAGIKDIKLAKKAWVLPGQTYSVFDLSPVNYSFDVQAMSAEKLPFVLPAVFTVGPRVDDEHSLLKYAKLLSSHDKLSSHVKELVKGIIEGETRVLAASMTMEEIFRGTKEFKKEVFETVQLELNQFGLLIYNANVKQLVDVPGHEYFSYLGQKTQMEAANQAKVDVAEAKKKGEIGAKLRQGQTLQNAATIDTETKIVSTQRQGEGQKQEIKVKAEVKVFENQREAEIAEANSELAKKKAAWSKAAKVAEVEAAKAVALRDAELQGEVERMNALTTTQKLKAEFLSKASVEYETKVQEANWKLYKKQKEAEAILFEKEKEAQAQKTLAEAAFFTRQQAAEAEFYAKKKEAEGLLAIGQAQGAYLRTLLEALGGNYGALRDYLMINNGMFQDIARINADAIRGLEPKISIWNNGNNNGGEVSDAMKEVGAVYKMLPPLFKTVHEQTGMLPPAWMGTLPDKKT >OIW15281 pep chromosome:LupAngTanjil_v1.0:LG03:14887049:14891038:1 gene:TanjilG_08078 transcript:OIW15281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEWQPLLQSIFIGLIISYLIAKLISLVVSFNHENATVSSTTTTDIKPNLPDAVKRDDAVSEINHPFEAESVIAEQGSVRNDSDADRDQYDDDDDDDDDWEGVESTELDEVFSAATAFVAASAADRLSQKVSNDMQLQLYGLYKIATEGPCSTPQPSPLKMTARAKWQAWQKLGAMPPEDAMQKYIDIVSELYPSWLDGSSLKSKSGDGGGSSSEAKGPMGPVFSTFVYEEDYGSDLKMDAIHGFAREGDMANLLKCIENGVSVNLKDSEGRTPLHWAVDRGHLDVTELLVGRDADVNAKDNDGQTPLHYAVTCEREAIAEYLVKHNADTQSKDNDGCSPRDGSESKWPCLQQHVGKVN >OIW15133 pep chromosome:LupAngTanjil_v1.0:LG03:20433740:20437643:1 gene:TanjilG_14132 transcript:OIW15133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGFTKGCLPMHRWDELKKNFANAGAKIIFGLNALAGKSIQSGSAVGPWNYTNAESFIRYTVSKNYTISGGELGNELSGKGIGTSISADQYASDLAAMRDIVYKAYENIDPKPLIIAPGGVDEHLVEKILNPLYLDGEAHTFADLKNVLANSGTSATSWVGESGGAYNSGRHLVSDAFVCSFWYLDQLGMSATYDTKTYCRQSLIGGNYCLLNTTNFIPNPDYYSALLWHRLMGRGVLSTTFTGTSKIRAYAHCAKQSKGITILLMNLDNSTAVETEVTLRSTKNLWHRKISDNNSKVMKLNFLNRTETAREEYHLTPHDGNIHSQIMFLNGNNLTVNSDGEIPSLQPIYVNSSNPIIVAPFSIVFIHIPDAGVAACR >OIW15668 pep chromosome:LupAngTanjil_v1.0:LG03:3499850:3502246:-1 gene:TanjilG_09606 transcript:OIW15668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSSGVKKGAWSKVEDELLRTCVQQYGEVKWNLVPEQAGLNRCSKSCRLRWLNYLKPNINRGKFTEDEVDLMIRLHKLLGNRWSLIAGRLPGRTANDVKNYWNTHIRKKTSSQNEDISARPMDIVMEPHVIIKPQPRKISTKMPFLMYSENQCGDNEFITNQACLATEFYEENDTCLLGEQDLMLLKDINWDEDLYSLTTKFDDFVGVDECWNDVPFHFNL >OIW15486 pep chromosome:LupAngTanjil_v1.0:LG03:7136435:7138398:-1 gene:TanjilG_32890 transcript:OIW15486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHQQQQMRMENSELLQLLAGTNTSFFHSATTTMHQSLCSSSSNSYSYPLELSEITDALSNEKDRALAAMKNHKEAEKRRRERINTHLNQLRTLLPCNSKTDKASLLAKVVQRVKELKQQTSNINIELETLPSESDEITIISSADGDYGDLVGDGRLIFKATLCCEDRSDLITDIIEILNSLHLKTLKAEIVTLGGRTRNILVIAPDKDHSIESIHFLQNSFKSLLERSNSSDRSKRRRGLDRRLMP >OIW15497 pep chromosome:LupAngTanjil_v1.0:LG03:7592439:7593216:-1 gene:TanjilG_32901 transcript:OIW15497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLALFSLVESRTLFCPRLECFIERSHEKTYVSRWNWPITKGDVRRVRAWLDSVFSPGRVGGEDWQSSSFSGGLIGSDSPSVGCPTAHKIPINNLEVWLSGLRHWFAKSTYNKIVSWVRIPFPSAQKWNGRAKLRDRKNLLVLVQSLGGQNSTT >OIW14882 pep chromosome:LupAngTanjil_v1.0:LG03:24296442:24297593:-1 gene:TanjilG_30601 transcript:OIW14882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPYVECISECFIKPKHPIEESKQICYLAPADIARLSLHYIQKGLLFINPVSNNQKTFIQNLLDNLKQSLSLALVHFYPLFARLVTRKTEDPPTYVVFVDCSSNSPGAGFIYATLDMTVSDILSPIDTPSIVQSLFDHHKAVNHDGHTMPLLSIQVTELLDGVFIGCSMNHSIGDGTSYWNFFNTWSEIFQSQGNVHDHDIPISHQPIHNRWFPESCNPLINLPFKHHDEFISRFEAPKLRERMFHFTVESIAKLKAKANKECNSNTTIVSSFQSLSAFIWRSITRARCLSHDQATSCILTINNRTRMQPPVAQDYFGNLIQRVSGKSTAGELLEHDLGWAAMKMHVAVANHNNIVVQNYFNEWLQLDEEFISATSVSNSLC >OIW15382 pep chromosome:LupAngTanjil_v1.0:LG03:12323448:12324407:-1 gene:TanjilG_24367 transcript:OIW15382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFSYQYHPFLVDSSAFFLNNINISPTSQFNFHQQTSLDVTNQNTSCVEQSSKITISDNEPSVAKNISPQSSMVVDKLEIGEQVTQKVTPMMKKRRIRSVSSLSNSQSKDVTEGKIKRQRKSNNGGVKRENKPKEEKKGQRKSSEEPPKGYIHVRARRGEATDSHSLAERVS >OIW15343 pep chromosome:LupAngTanjil_v1.0:LG03:12830784:12831338:1 gene:TanjilG_26716 transcript:OIW15343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFENCKFDIGEFQDLVFDNIESGSSDNLSEFESQLCVPQDPIEELENFPYFPNDFISLNEVEWVMPELEQNKEKEELKAKQQNKEVVEFLVHGFVGKKARTKNYHKPKCEVKWVKKRCSHCEAEVTPQWRNGPMGPKTLCNACGVRYKSGRLVSDYRPANSPTFDSSIHSNYHRNIMKRRRCS >OIW14404 pep chromosome:LupAngTanjil_v1.0:LG03:29514743:29538073:-1 gene:TanjilG_15758 transcript:OIW14404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGKMEEEEIGKLKLKRDFRVAAPWLQLAAVITLLLHLFSEVTVTVGSPIETRKSAKSSVFSLFNLKDKSRFWSEDVIHNDFDDLKSSPHLKASAFNYTNAGSIANYLKLQEVDSIHLPVPVNFIFIGFEGKGHREFKLLPEEIERWFTKIDHIFEHTRIRQEDVITPFFKTSEGKMQWHHLPTVSHVNYNFSVHAIEMGEKVISIFEHAINVFGRKDDPVGSGDSVGGWQVDVDMMDALLASLVEYLQLENAYNIFILNPKHGEKRPKYGYRTNNSCILQNKSLQTKLLQAEGISENIIALSKTQRPLYVKHPMMKFAWTRAEDSDVVDWYNIWLDTLEKFGRPNQGKDTVDIIEAKALQLLKGKDQDIKLLLERVLKSGDYSGLQEECLTDTWIGKDRWAFIDLSAGPFSWGPAVGGEGVRTEASLPNVEKTIGPTSEISEEEAEDRLQDAIQEKFAVFGNTDHQAIDILLAEIDIYELFAFKHCKGRKVKLALCEELDERMRDLRNELQSFEGEEYDESHKKKAIEALKRMESWNLFSDTREGFQNYTVARDTFLAHLGATLWGSMRHIVSPSVADGAFHYYEKISFQLFFITQEKVRDIKYLPVDMKAIMEGLSSLMVPSQKPMFSPHMLPMSEDPALAMAFSVARRAAAVPLLLVNGTYRKTIRSYLDSSILQYQLQRLNDHGSLKGRHAHSRSTLEVPVFWFIYSEPLLLDKHFQAKALSDMVIVVQSEPSSWESHLHCNGHSLLLNLRRPIKAAVAATAEHLAGLLPLHLAYSQAHETAIEDWTWSVGCNPFSVTSQGWHISRFQSDSIARSYVITSLEESIQLVNSAIHLLLMEAGKTFKIFQSQEPELVKKYNYVVSLWRRVSTVTGEMRYVDALTLLNTLEDASKSLATKGCFLECQEIKSGPTNIHENKTVVDL >OIW15451 pep chromosome:LupAngTanjil_v1.0:LG03:10021703:10023064:1 gene:TanjilG_28650 transcript:OIW15451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSSIHIAMYPWFAMGHLTAYLHLANKLANKGSKISIIIPKGTKSKLVHFNHFPNLITFVPITVPHVQGLPHGAETTLDIPFSSNSLLMTALDLTQQEFELILIEIKPSIVFFDAAYWLPNITRNLGIKCVTVLYWVVSSLTASFVEFTVRKCDGNGEVEYPGSSHIKLHAHEARAMDAILKKEFGSGVGFYERAKRASTMADAIGFRGCREIEGPYADYIGNVYRKPVLLSGPLLPEPQTSALEKKWAFWLGKFKAGSVIFVALGSEWELHQNQFQELVLGLELTGFPFLAAIKAPLGFESVEAALPNGFKERVQGRGVVHGGWVQQTLILKHPSIGCFITHCGSGSIVEALVSRCQLVLLPHIFDHIITAKLISTSFKAGVEIQRGEEDGLFSKESVFEAVMTVMNEESDVGKEIKVNHNKLRSLLLSEDLESTYVDSFYHKLHEYLGDF >OIW15484 pep chromosome:LupAngTanjil_v1.0:LG03:7079620:7085113:-1 gene:TanjilG_32888 transcript:OIW15484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLCHAKPIETSQTQRENQPFQNDNEFLPLPPRSSSKFPFYSPSPLHKFFKNSPSNSTPSSVSSTPLRLFRRPFPPPSPAKHIKALLARRHGSVKPNEATIPEGSECVIGLDKSFGFSKQFEVHYELGEEVGRGHFGYTCSAKGKKGSLKGHEVAVKVIPKSKMTTAISIEDVRREVKILRALTGHKNLVKFYEAYEDDNNVYIVMELCKGGELLDKILSRGGKYSEEDAKVVMVQILSVVAFCHLQGVVHRDLKPENFLFTSKDENSTLKAIDFGLSDYVKPDERLNDIVGSAYYVAPEVLHRSYGTEADMWSIGIIAYILLCGSRPFWARTESGIFRAVLKADPSFDEGPWLSLSADATDFVKRLLNKDYRKRLTAAQALSHPWLTNHGDDMRIPFDMIIPKLINAYICSSSLRKTALRALAKTLTLVQLAYLKEQFTLLGPNKSGLISMQNFKTAILKSATDASKDSRVLDYVNMVSSIQYRKLDFEEFCAAAISVHQLEGMESWEQHARSAYELFEKDGNRPIMVEELASELGLSPSVPVHVVLQDWIRHSDGKLSFLGFVRLLHGVSSRTLQKA >OIW15746 pep chromosome:LupAngTanjil_v1.0:LG03:380996:383394:-1 gene:TanjilG_04281 transcript:OIW15746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQCLSCRVNHNEHVLFTAVQHGDFQTVITLLQDEPSLLYHTTLYDRHSPLHIAAANGQIQILSRLLHGSVNPDVLNRQKQTPLMLAAMHGKIDCVEKLLEAGANVLMFDAIYGRTCLHYAAYYGHSSCLKAILSAAKSSPVAASWGFARFVNIRDGKGATPLHLAARQRRPECVHILLDSGALVCASTGGYGCPGSTPLHLAARGGSMDCIRELLAWGADRLYRDASGRIPYLVALKHRHVACASLLNPTSAEPLVWPSPLKFISELNAEAKALLEQALMDANKEREKNMLKGGAYIPPSSHSDGVDDDISEVSESELCCICFEQVCTIEVQNCGHQMCAQCTLALCCHNKPNPTTASLTPPVCPFCRSVIARLVVVKIENHHDTEADEDCVDMNCSKLSKSKKSRNLNDGGSSSFKGLSFGKLGCCNSGRISAENEWVDKQ >OIW15512 pep chromosome:LupAngTanjil_v1.0:LG03:6141415:6143434:-1 gene:TanjilG_27363 transcript:OIW15512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKSILGCCKLYISESRNKSALESIERASKLFPNAPIVNKFEDVVYNRVGYTLVSELHPNPALPSSEPCHLISAVLAMVKAAFETIDFELHSGTHPRLGVVDHICFHPLADASLDHAAETARCLATDMGSSLKVPTYLYGAAHEEGRTLDSIRRTFGYFKPNSSENQWIGSQEYSLPLKPDNGPAQLNPAKGVVVIGATNWVDNYNVPLLSSDISAVRRIAKRISGRGGGLPSVQAMALAHGDDVIEVACNLLDPKKVNGEIVQQEVERLAKEEGISVGRGYFTDFSQEEIIQSYLKLFEERI >OIW14550 pep chromosome:LupAngTanjil_v1.0:LG03:26998253:27000504:1 gene:TanjilG_14936 transcript:OIW14550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSISENLTNDQYVYLAKLAEQAERYEEMVQFMQKLVTSSTSELTVEERNLLSVAYKNVIGSLRAAWRIVSSIEMKEEGRKNDEHVVLVKDYRSKVENELSNVCDSILKLLDDNLIPSATLSESQVFYLKMKGDYHRYLAEFKIGHEKKSAAEETFFSYKAAQDIAQVNLPPTHPIRLGLALNFSVFYYEILNQSDKACGMAKQAFEEAIAELDTLGEESYKDSTLIMQLLRDNLTLWTSDVQDQLDEP >OIW14575 pep chromosome:LupAngTanjil_v1.0:LG03:26699024:26701703:-1 gene:TanjilG_32917 transcript:OIW14575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIATETQQPQSQQHKASSDVSAGDQKRWTLNDFDIGKPLGRGKFGHVYLAREKISNHIVALKVLFKSQLQQSQVEHQLRREVEIQSHLRHPHILRLYGYFYDQKRVYLILEYAPKGELYKELQKCKHFSERRAATYVASLARALIYCHGKHVIHRDIKPENLLIGAQGELKIADFGWSVHTFNRRRTMCGTLDYLPPEMVESTEHDASVDIWSLGILCYEFLYGVPPFEAKEHSDTYRRIVQVDLKFPPKPIVSSAAKDLISQMLVKDSTQRLPLHKLLEHPWIVQNAEPSGVYRS >OIW16039 pep chromosome:LupAngTanjil_v1.0:LG03:2618511:2624387:-1 gene:TanjilG_04574 transcript:OIW16039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNILKKLHIMYNQSENEQGSSSSSNRNKFNSDGSSSSSKKLSSEHKPFSGLSSLLYSVTNKQSPIDATSSCGSNVVLDSARHDSGFSTSRDPEVEEEYQIQLALELSAKEDPEAVQIEAVKQISLGSCGPDYTPAEVMAYRYWNYDALGYDDKILDGFYDLYGILTESTSTGMPSLVDLQGTPTSDSVTWEAVLVNRAADSNLLILEQNALELTAKSRPGFEEAMDSNLVHKLAIFVADYMGGPVGDPESMKRAWKSLSYSLKATLGSMVLPLGSLTIGLARHRALLFKVLADSLGIPCRLVKGQQYTGSEDVAINFVKIDDGREYIIDLMADPGALIPSDAVGSHIEYDDSFFVTSPSSRDLDFSRVASSSSGAGSSSQETSYFPMLDKGNRSKHISYAGKESDVGRPSTGKEESLRTLNEFRNPHNVKKIIGKESSRRRNHPHVLARSLSWTEGISSPDVHSMKVKDVSLYMIDAAKENPHLAQKLHDVLLESGVVAPPHLFSEIYREELISPTEANFPTEVKDEHKYGSGQQESQVDNNLGTTQFLSPLPHYLLHPKATPSCQSEHSKPVDTLGIKPPLDTRVADGQQIPSWAKYGENVPVAAVAAAAAAVVASSMVVAAAKSGNDSNIELPVVAAATATAVAVVASTAAATRLYEQGIRSDGDTDGSGYDLKGSGDGEHFALGMNSEGERISDRSVASNASIKSDYAFDDVAEYDIPLEEITVGERIGLGSYGEVYHGEWHGTEVAVKRFLDQDIYGESLEEFKSEVQIMKRLRHPNVVLFMGAVTRPPNLSIVTEFLPRGSLYRLLHRPNSQLDVRRRLRMALDVARGMNYLHNCTPVIVHRDLKSPNLLVDKNWVVKVCDFGLSRIKHSTFLSSRSTAGTVRYHNPYFLNPKPEIQCHKPFSF >OIW14982 pep chromosome:LupAngTanjil_v1.0:LG03:23366643:23367503:-1 gene:TanjilG_30701 transcript:OIW14982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLMSQEPYLCDNTRELPQSPTTLLQNPHENNQHHSLEEQEPKEKAKTVITLLDLNAPCGDSALDCSSPKLNPLTCLEMDSAKTSSEENPPDPEGFDAAEEPRVFSCNYCKRKFYSSQALGGHQNAHKRERSIAKRGQRLGTHIMASAAAFGIPFLHDIASLPFSSNKPLGIQAHSLVHKASNFSSNIIGFGSTYGQQLHGWSRSKPIINQQPGIGKLAMESSHKKGLTLSSRDNSVGRFEVVNVRSSMLNYEANEETSGYVVNGTRLKTIHQEDMKLLDLSLKL >OIW15760 pep chromosome:LupAngTanjil_v1.0:LG03:474442:474657:-1 gene:TanjilG_04295 transcript:OIW15760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRKETLAKRKSTQNPPPRRGQVKMRILKSIVEVLSCSGSMRQQEQEERAPVPLSSTSTTPTIPSGYTSEA >OIW15879 pep chromosome:LupAngTanjil_v1.0:LG03:1284964:1285560:1 gene:TanjilG_04414 transcript:OIW15879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENNVPIITKRVWNMLRVVLFMFRKGISKRKLMMNLNFILKRPGKLAGKAIANLMFHHHHHNHGGCSTSSRHDSNLQFSTQREYEFSCSNTPNHFFPIGGKHHRNHDHFYTYYHAPPTVDDDTVMVNTVKAVLEVLNSNNSEVMVEPSNSTPVLEKNHMVRQLRVAESPLLLGGADNMVDKKAEEFIKRFYKELRKQD >OIW15797 pep chromosome:LupAngTanjil_v1.0:LG03:766275:769106:1 gene:TanjilG_04332 transcript:OIW15797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLFSSSSSSSNSFTISTWNHHHHHDNTLQSNPTFISLKNPNFEHPFSYFNHKVSTFRIHCIGSHPKSNAPLEKQPKFHGGDGGGGGAGGDGGGGGGGGGGDEGGSEGDEEFGPLLNFEAVMKEAEARGVKLPSDMVDAAKHIGLREMFLLRYLELEGSAWPLSFLMKHCAMLRNRMLADPSFLFKVGTEIFIDSCCATFAEVQKRGKDFWAEFELYAADLLVGLVVDIALVGMLAPYARIGKPSVSVSKGLLGRIRHACAALPSSVFEAERPGCKFSTQQRIATLFYKGALYGSVGFGCGLIGQGIANTIMNAKRSFKKSEEDVPVPPLLQSAALWGFFLAVSSNVRYQVINGLERIVETSPVSKKVPLVAMAFTVGVRFGNNVYGGMQFLDWAKLSGVQ >OIW15341 pep chromosome:LupAngTanjil_v1.0:LG03:13676442:13677849:-1 gene:TanjilG_23885 transcript:OIW15341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPKQRTARVSRNPELIRGIGKYSRSKVYHKRGLWAIKAKNGGVLPRHEPKAKAAAPAEKAPKFYPADDVKKPRLNKHKPKPTKLRASITPGTVLILLAGHFKGKRVVFLKQLPSGLLLVTGPFKINGVPLRRVNQAYVIGTSTKVDVSAVNVDKFDDKYFTKDAKKKNKKGEGEFFEAEKEEKNVLPQEKKDDQKTVDSALLQAIQSVPDLKTYLGARFSLKSGQKPHELLF >OIW15561 pep chromosome:LupAngTanjil_v1.0:LG03:5186143:5197528:-1 gene:TanjilG_01084 transcript:OIW15561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANNSQPPGMQFRPVIQSQQGQPFVPMTSQQFGHAGHAIPSSNVVQSQQVQYSQPVQQLAPRPIQPGHPAPLSQAPMPYIQTSRPLTSASPHSQQMVSHLSNHMPGLAVSGAPPHPSYTFTPSYGQQQDNANALAQYQPPPQMLAPPAGQPWMSSVSQSASAVTPVQQGGVQSLDSTSTDARTSAPNQQSLSDWQEHTSADGRRYYYNKRTRQSSWEKPLELMSPIERADASTVWKEFTSSDGKKYYYNKITQQSTWSIPEELKLAREQAQKAVNQGVQSETTDASNAAVSSNATSTDANVAISNTSLTSNGIASSPASLPPIGAADPQQLISGSGTPVSHSIVTPASTGVEQSTVTMTITEPITVAGSSGAATDSLDSKVPSIVENQASQDFATSVTGASLQDIEESKRGVTGVGKINVTPPEEKADDDETLVYANKLEAKTAFKGLLESANVHSDWTWEQAMREIINDKRYNALKTPGERKQAFNEYLGQRKKLEAEERRMKQKRAREEFTKMLEECKELTSSIRWSKAISMFENDERFNAVEKQRDREDLFESYMVELERKEKEIAAEEHRQNVAEYRKFLESCDYVKVYSQWRKVQDRLEDDDRYLRLEKIDRLLVFQDYIRDLEKEEEEKKRIQKDRVHRGERKNRDAFCKLLEEHVAAGVLTAKTQWREYCLKVRDLPQYQAVASNTSGSTPKEIFEDVAVELENQYHEDKTLVKDIIKTCKITVVSTSLFEDFKSAVLEEGSKTISEINLKLVYEELLERAKEKEEKEAKKRQRLADDFTNLLYTFKDITTSSQWEDCKPLFEETQEYRSIGDESYSREIFEQYITYLEEKAKEKERKREDEKAKKEKEKEEKEKRKEKDREREKEKSKERHKKVDTDSENQEVNDSHDYKEEKKKEKDKERKHRKRRQSSIEDVDSERDEKEESKKSRRHGSDRKKSRKHANSESDNESKHRRHKREHRDGSRKTGGHVELEDGELGDDGDI >OIW15057 pep chromosome:LupAngTanjil_v1.0:LG03:22792005:22797386:-1 gene:TanjilG_13984 transcript:OIW15057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSSSSSSPSQMYHVPELDNNDMDHVPDHTFQKYTSSNSTKRGSTSKGTSIVWFRNDLRILDNEPLYKAWLSSQTVLPVYCFDPRLFATTYHFGFPKTGVLRAQFLLECLADLRKNLMKRGLNLLILHGKPEDIIPSLAEAFGVHTVYAQRETCSEELNVERSVITGLQQVVIPCPEESSTGVTHSNDSPKLQLIWGSTMYHIDDLSFDVTCLPDVYTQFRQCSIRTCIKLPAFLGPPPAVEEWGCLPSLEQLGLCSQKVSKGMKFVGGETAALSRVYEYFWKKDLLKVYKETRNGMLGSDYSTKFSPWLASGSLSPRFIHEEVKRYENERQANSSTYWVLFELIWRDYFRFLSVKYGNSLFYIGGPRKVQRSWSQDQNLFESWKDGRTGYPLIDANMKELSTTGFMSNRGRQIVCSFLVRDMGIDWRMGAEWFETCLLDYDPCSNYGNWTYGSGVGNDPREDRYFSIPKQAQTYDPEGEYVAYWLPQLGKIQKDKRNFPGNLYIPQIVPLKFGNTGRKDKFSGARRANDRVSERRWNMR >OIW16036 pep chromosome:LupAngTanjil_v1.0:LG03:2588309:2590754:-1 gene:TanjilG_04571 transcript:OIW16036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGLVLRGTLRAHTDEVTAIATPVDNSDMIVSSSRDKSIIVWHLTKEDGTYGVPRRRLTGHSHFVQDVVLSSDGQFALSGSWDGELRLWDLAAGVSSRRFVGHTKDVLSVAFSVDNRQIVSASRDRTIKLWNTLGECKYTISDGAEGHNDWVSAVRFSPNNLQPTIVSASWDRTVKVWNLTNCKLKNTLAGHNGYVNTVAVSPDGSLCASGGKDGVILLWDLAEGKKLYSLEAGSIIHSLCFSPNRYWLCAATEQSIKIWDLESKSIVEDLKVDVKADANTGGGIANKKKVIYSTSLNWSADGSTLFSGYTDGVIRVWGIGRGF >OIW14788 pep chromosome:LupAngTanjil_v1.0:LG03:24978999:24991885:-1 gene:TanjilG_05409 transcript:OIW14788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNFIISKSRQFGYKTCFDIRRYNFGKLSSSFPLIYRERHSENYCAMHDICGKRSDDKVLNCPYGSAAVKPNDLFSSKIQSLCPTITGNVCCTEAQFDTLKTQVQQAIPFLVGCPACLRNFLNLFCELTCSPNQSLFINVTSVDKVGGNLTVGGIDYFVNDAFGEGLYESCKEVKFGTMNTLALQFLGAGAQNFRVCSSSPSTTTRKKDSCSIKAGSLTVKCVDLILTVLYIILISVFLGWGLYHRIRERKLAYRTRPVSNIISGGVLHSLDREKDENLPMQVHMMQDVAQNRNEVQLSAVQGYMSIFYRKYGSYVAKNPITVLFSSLAIVLLLCLGLIRFKVETRPEKLWVGPGSKAAQEKQFFDSHLAPFYRIEQLILATVPDHVNNTSPKIVSEDNIMFLFEIQKKVDAIHANHSGLMISLQDICMKPLDKDCATQSVLQYFKMDPRNFDDYGGVDHLNYCFEHYTSADQCMSAFQAPLDPSTVLGGFSGNDYSAASAFIVTYPVNNAIDEEGNETTNAVAWEKTFIQLVKDELLPMVQSRNLTLAFSSESSIEEELKRESTADAITILISYLVMFAYISLALGDAPHLSSFYISSKVLLGLAGVMLVMLSVLGSVGFFSALGVKSTLIIMEVIPFLVLAVGVDNMCILVHAVKRQQLDLPLEGRISNALVEVGPSITLASLAEVLAFAVGSFISMPACRVFSMFAALAVLLDFLLQVTAFVALIVLDSLRAEDKRVDCFPCIKVHSMHVDFDKGVGQSKPGFLARYMKEVHAPILSIWGVKIVVIAIFTAFTLASIALSTRIEPGLEQDIVLPRDSYLQIAKAALVPDTSYIAKPAASWLDDFLVWLSPEAFGCCRKFMNGSYCPPDDQPPCCAVGDGSCVSDGVCKDCTTCFHHSDLDNDRPSTTQFREKLPWFLSALPSADCAKGGHGAYTSSVDLKGYESGIIQASSFRTYHTPLNKQVDYVNSMRAAREFCTKVSHSLKIEIFPYSVFYIFFEQYLNIWKTALVNLAIAIGAVFIVCLVITCSLWSSAIILLVLVMIVVDLMGVMAILNIQLNAVSVVNLVMSVGIAVEFCVHMTHSFTVTSGDKDRRVKEALGTMGASVFSGITLTKLVGVIVLCFSRTEVFVVL >OIW14369 pep chromosome:LupAngTanjil_v1.0:LG03:29914761:29916020:-1 gene:TanjilG_15723 transcript:OIW14369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSRQSSRIDLGELKAHIVKKLGPDKAKLYFYYVNRFLSQRLSKTEYDKLCYRVLGRENLPLHNHFIRSVLKNVCLAKTPPPQVQPSDPPKSRSHLNDISPGREDGNEHNVANFQNQPIWSNRVFPVSPRKARSGIRDRKLKDRPSPLGPNGKVDSIAHQSTPTEDSSASKIDTENGTLAPCDYQRPIQNLRPVAEQLHENEMGDAIQRPAKKSRIYGKGPTEISIVENGEVAVQQLNHLSFSRRALIAPLGIPYCSASVGGAHKAMPVSSSGFVSCCDCGGLSDTVTLKSRMEQIAIVQGLGGVSMECANMLNNVLDVYLKRLIRSCVDLVGARSKNEPSNPPVSKQQNPGKVVNGMWPNNHLYVQSVSRPEEPEPEHRPLCSISSHDFKVAMELNPQQLGEDWPLLLEKISMQSFEE >OIW15907 pep chromosome:LupAngTanjil_v1.0:LG03:1522896:1525433:-1 gene:TanjilG_04442 transcript:OIW15907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPPGLVSNLQEALLNRKGAQPKQEQEESKDNVEPVEAEIDPSKPILLITNSDGVDSPGLTHLVEALVHEGLYNVHFCVPQSDKSVSGHSVTLRETVEASSANVGGATGFEISGTPVDCVSLALSGALFSWSKPILVISGINRGSSCGHHVFYSGVVAGAREALLWGVPSLSISLNWKKDESQETDFKDAAAVCLPLINAAIRDIEKETFPKSCFLNIEIPTSPLSNKGFKLTKQSLWRSTPNWLAVSTNRYPAGHFLANQQAGLGIQFAQLGRDASAAGAARRLTTQKKNLEIVESIGAAGKSDSKRVKKYFRLEFLDKQQEDTDDDDLDYRALESGYVAVIPLSLSPHIENDIQIAASDWISTVLPGEQ >OIW15699 pep chromosome:LupAngTanjil_v1.0:LG03:119465:122096:1 gene:TanjilG_04234 transcript:OIW15699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQCHSPPHPSLPQFLQLLKRVSHSSSPASESITLLDDSGFQANRDLICSAIWALREEWKAAFVAFNWGNCYDDEKVCNLMIWVLGTHAKFSTAWSIIGDMHRSSISTRQAMLIMIDRYAAANNATKAIQTFNFMEKFRLALDQEAFYALLTSLCKHENVEEAEEFMLANKKLFPLETEGFNIILNGWCNITTDIFEAKRVWREMPKYCITSDATSYSHMISCFSKIGNLFDSLRLYDEMKRRGWIPTIEVYNSLVYVLTRENCLKEAIKVVDKMKEEGLQPDSTTFNSMIRPLCEAGKLAEARIILNTLLEENLSPTTETYHAFLEVTDYQGTLEFLSKMKGSGLGPNEDSFLIILAKFLKLKQHANAVKIWMEMKKCDVVPSCMHFKTMVEGLVACRWFIKARDFYEEMISNGCSEDPKLKMIFQKELVDSGGKGKQNVKKVTSY >OIW14417 pep chromosome:LupAngTanjil_v1.0:LG03:29380515:29384528:-1 gene:TanjilG_20863 transcript:OIW14417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKESMSLSLEGEKVILVPYFDSHVPNYHLWMQNPFLLQSTASEPLTLLQEYHMQLSWSQDPNKETFIVLDKDLVVGNFLHGQPHIEAMVGDVNIFMNDLDDPHLAEIEIMIAEPNSRGKGLGKESVMMMMAFAIEKLGINVFQVKIGESNEASINLFKKLGFVQTSESNIFKEVTLEFQVKEPKNEELVNLMGTVIKHTHT >OIW14511 pep chromosome:LupAngTanjil_v1.0:LG03:27814138:27817934:-1 gene:TanjilG_12104 transcript:OIW14511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSIVHDTSVLEETLQHEDGFHTGDRSVNIKGELAMKKKTGTWKASSYVLGTFFCERLAYYGIASNLVTHLTTKLHENKIVAAKNVNTFQGTSYLTPLIGSFFADAYWGRYWTIVLFYGVYLIGICILIVSASIPALEPVECVKSVCPPPTSSQRGVFFLGLYLVALGTGGIKPCIWPFGADQFDDTDQNEKAIKGSFFNWNYFTSNIGALVATTVLVWTEENVGWGIGYGIAASFIAIGIMVFFLGTNKYRLQRPHGSPLTRICQVIVASLFKWKLKVPHDSCLLYEVEMKNSSIELIQGSRKLEHSNGLRCLDKAAVISDEKESAEATDPWKLCTVTQVEEVKILIRMFPIWATGIIFCAVYAQMSSLFVVQGKMMHATIGSFKIPAASLSTFNIIGVLIWVVIYDRGVVPIARKFTGHARGFTELQRMGIGLFISITCMLTAGILEYKRLQIAKELGLVDKNVPVPLSIFWQVPQYFLLGAAEVFTFVGQHEFFYEQAPDSMRSFCSALALLTNSLGNYLSTFILTIVGNITTKGGKSGWIPNNLNEGHVDYFFWLLAGLSFINMLVYIVFARQYKQKYYHRI >OIW15214 pep chromosome:LupAngTanjil_v1.0:LG03:17219966:17234960:1 gene:TanjilG_08806 transcript:OIW15214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHYSAIFQGYLRFKPTFSIPFFTAMSLRSQPLSLTRRGLHLHPAAAKTSRLRSIRVPSCSVALDLDSSGNRRRGPGFKQRTVAVPFWTHQTSGYGRFAYQDFNSDDEDDDSDVELFSSHSRARTQQKQPQQQKQQPGDSTHENIDEWRWKLTMLLRSTDEQEVMSREKKDRRDFEQLSTLATRMGLHSRQYARVVVFSKAPLPNYRPDLDDKRPQREATNSIASFDDSLYRSSDGRNNPAGEGLYGRPEPMTHTSIAKEKILQRRSLQLRNQQQDWQESPEGKKMLDFRRSLPAFKEKDAFLKVISENQVVVVSGETGCGKTTQLPQYILESETEAARGAVCNIICTQPRRISAMSVSERVAAERGEKLGESVGYKVRLEGMKGRDTRLLFCTTGVLLRRLLVDRSLNGVTHVIVDEIHERGMNEDFLLIVLKDLLPRRPDLRLILMSATLNAELFSSYFDGAPTMHIPGFTYPVRSHFLEDILQMTGYQLTPYNQIDDYGQQKTWKMQKQADAFKKRKSQIASTVEDALEVADFKGYSPRTRESLSCWSPDSIGFNLIEHVLCHIVKNERPGAVLVFMTGWDDINSLKNQLQAHPLLGDQSQVLLLACHGSMSSSEQRLIFENPEGGVRKIVLATNMAETSITINDVVFVVDCGKAKETSYDALNNTPCLLPSWISKAAARQRRGRAGRVQSGECYHLYPRCVYDAFADYQLPELLRTPLQSLCLQIKSLQLGSISEFLSRALQSPEPLSVKNAVDYLKTIGALDEDENLTVLGRKLSMLPVEPKLGKMLILGAIFKCLDPIMTVVAGLSLKDPFMMPADKKDLAESSKAHFAGRAYSDHLTLVRAYEGWKEAEAQQAGYEYCWRNFLSSQTLRAIDSLRKQFFYLLKDTGLVDHNSVAYNTWSHEEHLLRAVICAGLFPGVSSVENKPKSITLKTMEDGQILLSGNSVNGNVPRIPYPWLVFNEKVKVNSVFLRDSTGISDSVLLLFGGNISRGGLDGHLKMLEGYLEFFMKPELAKTYLRLKSEMEELIQKKLLDPKLDIQSHNELLSAVRLLVSEDNCEGRFVFGRKVQSQLKKEANSKSGGGGDGGGEGETDNFKNHLQMFLNRAGHDSPTYKTTQLKNNQFRSTVIFNGLNFVGQPCVGKKLAEKSAAAEAILWLKGDTHSPNNDDINHASLLLKKSNKKSKKKSLNSAKWS >OIW15991 pep chromosome:LupAngTanjil_v1.0:LG03:2168325:2168570:1 gene:TanjilG_04526 transcript:OIW15991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHTQTQGSNLIYSTSPKSDGGSGGRRVASLSKGQQCLCSPTTHEGSFRCRFHRLAGSSNSSTSPWMKRSKSMPANKATR >OIW14995 pep chromosome:LupAngTanjil_v1.0:LG03:23277951:23286808:1 gene:TanjilG_30714 transcript:OIW14995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWRYKTGLFLMATVVIIWVTSAEVTQDIFTDYKQPFAVTYLGASLMVVYLPIAFIKDWFRNFLKRRSSKGGKTAVSMDEFSVSISSLSGNGVQKNLELELGSVIRKDSDLDLPALAELKPLVAKYNDNSNVLKVERKLTGREIATYGFYIAPIWFITEYLSNAALARTSVASTTVLSSTSGLFTLFIGAFLGQDTLNVPKIAAVFVSITGVAMTTLGKTWASDESELSAVNGNHSLVGDLFGILSAISYGLFTVLLKKFSGEEGEKADVQKLFGYVGLFTLVALWWLVWPLMALGIEPKFTIPHSAKMDEVVLANGIVGSVVSDYFWALCVVWTTPLVATLGLSLTIPLAMVADMVIHGRHYSAMYILGSVQIFRRLYHLWSSEDPDHNVPAGTHLIEVAALGYFFSPVRVDVSARNPGKIQAALTETRRGLSEFVLEPLKGEQYYEIREPFSIMSIVKSPMGLMMGFMLIVVFLMPKLMENMDPEEMKRAQEEMRNQGVPSLASLLPGAARSN >OIW14380 pep chromosome:LupAngTanjil_v1.0:LG03:29786923:29788332:1 gene:TanjilG_15734 transcript:OIW14380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFLTTFYVCTMLYLCLLIWKLFDQRRNQECYILGYQCYKPSDDRKLGTDCCGKIIGRNKNLGLDEYKFLLKAIVSSGVGEKTYAPRNVIEGRETNPTLNDGINEMEEFFHDSIAKLLSKQGISPSQIDILVVNVSMLASYPSFASRIINHYKMRHDIKTFNLSGMGCSASLISLDIIKNIFKSQKNKIALLVTSESLSPNWYSGNDRSMILANCLFRAGGCAILLTNKRSLKHKAILKLKCLVRTHHGARDDAYNCCNQKEDDLGRVGFYLGKNLPKAATRAFVDNLRILSPKVLPTRELLRYMIMSIIKKLNMKTNSNKSLITTSSKSPLNFKSGVEHFCLHTGGKAVIDGIGMSLDLCEYDLEPARMTLHRFGNTSASSLWYVLGYMEAKKKLKKGDRVLMISFGAGFKCNSCLWQVMKDVGDRNVWDDCIDDYPPKSLANPFMEKFGWINNVQDPSTFQFLDFLK >OIW15098 pep chromosome:LupAngTanjil_v1.0:LG03:21667515:21667727:1 gene:TanjilG_08585 transcript:OIW15098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSIGASSAQIFVMRERQKEKMKKMEEERRRKENVGTEEIKIVSSSAGRSNKKVHPGGEQISTSNVNNPA >OIW15021 pep chromosome:LupAngTanjil_v1.0:LG03:22960518:22964993:-1 gene:TanjilG_24130 transcript:OIW15021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKGIQVFDDKRDGFFSVCNLGCENNFHPGGLFASVGQMGMGFGSNVQPNNPSDSRDNNGNGNGNDGGLNLKLCFNELYFRYVKSQGKVQVVGVPLEEDEEGFKSKKQKGCGGGLKLKIKVKNPSLRRLFSGAIAGALSRTAVAPLETIRTHLMVGSSGHSTTEVFNNIINTDGWKGLFRGNFVNVIRVAPSKAIELFAYDTVNKNLSAKPGEQPKLPIPASLIAGACAGVSSTICTYPLELLKTRLTIERGVYDGLLDAFVKIIREEGPAELYRGLTPSLIGVIPYAATNYFAYDTLRKAYRKVFKQEKIGNIETLLIGSAAGAISSTATFPLEVARKHMQVGALSGRQVYKNVIHALSSILEQEGVQGLYRGLGPSCMKLVPAAGISFMCYEACKKILIDDEDEE >OIW15936 pep chromosome:LupAngTanjil_v1.0:LG03:1713772:1714176:1 gene:TanjilG_04471 transcript:OIW15936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGRGKGKKLSVTNHDDVGSGEDEKVPVQKRRGRPQKPLKDDFDEDNVEKMDDDSDNVKNGVSSKEMKSHTAAERKRKRNQQVREKLESAEEESGVGNLSSTEELTKSSGFRHNGSRRKNTPRRAAEAGVQCK >OIW15465 pep chromosome:LupAngTanjil_v1.0:LG03:10318899:10319861:-1 gene:TanjilG_28664 transcript:OIW15465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRGKTLGSTAAKKATSRSSKAGLQFPVGRIARFLKAGKYAERVGAGAPVYLAAVLEYLAAEVLELAGNAARDNKKTRIVPRHIQLAVRNDEELSKLLGDVTIANGGVMPNIHNLLLPKKAGTSKAAAADDE >OIW15507 pep chromosome:LupAngTanjil_v1.0:LG03:6022297:6027094:-1 gene:TanjilG_27358 transcript:OIW15507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFLLTPLFLSLSVLFSHQTNAEDLNTTTTNTSSVRKLAGRCNLFHGKWVYDPSYPLYDPSTCPFIDPQFNCQKFGRPDSLYQKYRWQPFSCSIPRFNAFDFLVKYKGKKIMFVGDSLSLNQFNSLACMIHSWVPKTRTTFTKNGAISTVTFQDYGLQLFLYRTAYLVDLDHEKIGKVLKIDSIKSGDAWRGMNVLVFNTWHWWTHTGIAQPWDYIQEGNKLYKDMNRFIAFYKGLTTWARWVNINVNPAQTKVFFLGISPVHYEGRDWNQPARSCKSEKQPFFGLKYPAGTPMAWVVVNKVLSRIKKPVHFLDVTTLSQYRKDAHPEGYSGVMATDCSHWCLPGLPDTWNVLLHATLFG >OIW14975 pep chromosome:LupAngTanjil_v1.0:LG03:23452174:23459389:-1 gene:TanjilG_30694 transcript:OIW14975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEDQIVGYVTAYLKKKGFKQTEKVFQEEFQLNKTISPSDSDIGNQILSFAHLESGPARFHDGYSRLRTWTYSSLDLYKYELLRVLYPVFIHCFMDLVAKAHIQEARNFFNTFREDHEMMHLRDLQKLEGVLSPTHLEEMEFAHSLRQSKFNIKICEYSYELLLQHLHSTQSTTILGIINEHINFQVTPGQPSSISDDPEAVTLTGSSQDAINQINQKEVHWGLLEDSLEERLEKAGALLSDSEKGEGEAKEGDNDESKKRSIEGGKQGASIKKVKKDKGGSATGKSAKPEANIVSTAPRVKPELPLPVIPTEVEHSILEDLRNRVQLSSVALPSVSFYTFINTHNGLSCSSISHDGSLVAGGFSDSSLKVWDMAKLGQQSSSSMSQDENDTSQNQQIPGQGGGKRQYTLFQGHSGPVYAASFSPIGDFILSSSADSTIRLWSTKFNANLVCYKGHNYPVWDVQFSPLGHYFASCSHDRTARIWSMDRIQPLRIMAGHLSDVDCVQWHANCNYIATGSSDKTVRLWDVQSGECVRVFVGHRSMILSLAMSPDGRYMASGDEDGTIMMWDLSSGRCLTPLIGHTSCVWSLAFSCEGSVIASGSADSTVKLWDVNTSTKVPRTEDNKSGNASRLRSLKTLPTKSTPVSALRFSRRNLLFAAGALAKSV >OIW14908 pep chromosome:LupAngTanjil_v1.0:LG03:24029291:24030313:-1 gene:TanjilG_30627 transcript:OIW14908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSLSDLTINDNSSSAFSDCNSDRSGEFPTPSSQSRRLFIACAADNSDHFIPQLISDLNSSSIHHQKQAALEIRLLAKNKPENRLKIAKAGAINPLISLISSPDLQLQEYGVTAVLNLSLCDENKEIIALSGAIKPLVRALKSGTSTAKENSACALLRLSQVEENKLAIGKAGAIPLLVKLLENGSLRGKKDASTAIYSLCSAKENKVRAVKAGIMKVLVELMADFGSNMVDKSAYVVSVLVTVAEGRAAFVEEGGIPVVVEIVEVGTQRQKEIAVVILVQICEESVVYRKMVGREGAIPPLVALSQSGTNRAKQKVSVAPPPPHFLLTWQCAFDFSVH >OIW15993 pep chromosome:LupAngTanjil_v1.0:LG03:2178551:2178793:-1 gene:TanjilG_04528 transcript:OIW15993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCYPGIPFRSQEGLVVQRRWIMFQGTLMAGQVHGDGGNNDDNSGQGGSGKRCVCSPSQHPGSFRCRQHHDQYVWRGRTVK >OIW14592 pep chromosome:LupAngTanjil_v1.0:LG03:26571674:26578841:1 gene:TanjilG_32934 transcript:OIW14592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNPLVARATSDMLIGPDWALNIEICDVLNRDPGQAKDVVKGIKKRIGSRNSKSQLLALTLLETIIKNCGDIVHMHVAERDILHEMVKIVKKKPDPHVREKILTLIDTWQEAFGGARARYPQYYAAYQELLRAGTVFPQRSEPSAPVFTPLQTHPLASYPQNVRDSDASQGTAESSAESDFPTLSLTEIQNARGIMDVLSEMLNAIDPDNKEGLSQEVIVDLVEQCRTYKQRVVHLVNSTTDESLLCQGLSLNDDLQRLLAKHESISSGTSAQNHTEKPKIEPSGALVDVDGPLVDTGNTSNQTHGSSSNAEAGSQTLNQLLLPAPPTSNGSALPAKIDPKWDLLSGDDYNSPKTENSLALVPVGEQQPTSPMSQQNALVLFDMFSNSNNASTAVNAQPTNVASQTNQLGPQFQQQQTFISPSALYPNGNVPNGGSPRYEHSPYTQSIDPAWSGQAVQQQPPSPFHGTPSIGSLPLPPWESQPADNGSPVAGTPYPQPLQASQMVQNAAYPQGPQAMGNDHAVGMYMQPNANSHLSTINNHVGQSNQVGMHPQYIQGAAGPYVGMVPHQMQNGSAVSMYPQQMYGNQFTGYGYGQQQGVQYVEQQMYGLSMRDDSGLRNSHQVSAASYVPSGKPSKPEDNLFGDLVNMAKVKPKSPPGRAGSM >OIW15350 pep chromosome:LupAngTanjil_v1.0:LG03:13005012:13015392:-1 gene:TanjilG_26723 transcript:OIW15350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTWWSKKPNNKNTKTQQQQQQHQTNGNSNTTLNFNKSPINKVKKNAEKPKSFDEVTGAAFLSRNSPRVSKDFTSYGGAVVDVDGGDKIGLPLPRPCVSSSFSNEQGLVFGSGSFSAGSSVSSSGSIDDHSISQINASRQVDMKFNVRPKSPGPVSRGPTSPTSPLHSRLNALSLDSPTSRQEDGRSQCHPLPLPPGSPTSPSSLSNTRANGQLENNTSNRGKWKKGKLLGRGTFGHVYQGFHSKKPNNKNTKTQQQQQQHQTNGNSNTTLNFNKSPINKVKKNAEKPKSFDEVTGAAFLSRNSPRVSKDFTSYGGAVVDVDGGDKIGLPLPRPCVSSSFSNEQGLVFGSGSFSAGSSVSSSGSIDDHSISQINASRRQVDMKFNVRPKSPGPVSRGPTSPTSPLHSRLNALSLDSPTSRQEDGRSQCHPLPLPPGSPTSPSSLSNTRANGQLENNTSNRGKWKKGKLLGRGTFGHVYQGFHSETGQMCAIKEVRLVCDDQSSKECLKQLNQEINLLSQLSHPNIVQYYGSDLGEEALSVYLEFVSGGSIHKLLQEYGPFGEPVIQNYTRQIVSGLSYLHGRNTLHRDIKGANILVDPNGEIKLADFGMAKHVVMNTNGYSLPVDIWSLGCTILEMAMGKPPWNQYEGVAAFIDMYLTCLQGEEALSVYLEFVSGGSIHKLLQEYGPFGEPVIQNYTRQIVSGLSYLHGRNTLHRDIKGANILVDPNGEIKLADFGMAKHINSSASMLSFKGSPYWMAPEVVMNTNGYSLPVDIWSLGCTILEMAMGKPPWNQYEGVAAIFKIGNSKDMPEIPEHLSNDAKNFIKLCLQRDPLARPTAQMLLDHPFIRDQSATKSVNVRITRDAFPYMLDGSRTPPVLEHNSNSNRTNNTLINGDYATKQVVASSRAVKSTRDSTRMITSLPVSPCSSPLRQYGPAHKSCFFSPHHPSYTMMGQNNLNSYPLRSNAAFTLEPWQEKSLYRAHTPPGGSPRTRLI >OIW15689 pep chromosome:LupAngTanjil_v1.0:LG03:3111064:3114958:1 gene:TanjilG_10971 transcript:OIW15689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNTPESSSNQHSPSIAPPLTAASGDSISPKFPPQTLPSPWAQVDRGGDAESTIPAPHSPSLPPPTSSSSSSSSSLLPDQPVSSDSIEKSNSNTVAAADSSSFDANDDNVGRSQKPAWRKLPNGFIFEAGAVMGADSWPALSEFTKVSGKLQPEWSSSKAAAEGSSHSSSQGPLTSHSPQKQDNSNAKPNTAVNYNMPNGQRLMKRGGGNNIGSGPTQSSFSNHPPPPPFPVYQLHPGSYGISDHSPRDHYWNNSWDTRPPAGGFVPVTYDHWGSSRRGNFGPHPCRDGSYHNNYGSQRDRDHGNYANSRDAHVHQPRMPPPRELLRHPPPNTAGFIGPQPIRGPFPNHGDFPKFYYFPTPLFDYFKGMPFITHGPPPALFFPAAESPLTNMIVNQIDYYFSDANLMKDDFLRSNMDEQGWVPVALIADFPRVRSLTNNIQVILDSMRTSTVVEVQGDKLRRRNEWKTWVPSAKLQADSSSISPGGSRHNNLAADFQTEALENATKEEGPRESSNHSQLPNGDAAGNSNY >OIW14725 pep chromosome:LupAngTanjil_v1.0:LG03:25453189:25468867:1 gene:TanjilG_05346 transcript:OIW14725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNNNHHHNHHPHPFNHGSGCNNNNNVFPIPNPYYDHHQQQQQQQHVNHFNNNHSMPPRPFRKRAWHQGDQVDGCSHVKVYVAPVPRTSTEADVRLVFEVYGTIIEVVLLKDKLTGARQGSCLVKYATLDEADRAINALNNQYTFPGEASPVVVRYADRERERLEVVLYKVYVGHINKEASKRDFEDIFSPYGHVEEVFIPNLRGYAFVKYSNREMASAAIEGLNGKFTMRGCDNPLIVRFADPMKPKSGESRGKYLPGNFGPGSQEPAAWPQPNFGNSNIGRSIPPTGPHHPTIPHPQVTSQMQNWECGTSVVQQPFPFQQVHSQMVSMPLQPIQAPNVSSQPFSTEVQRQSHPADSSAQNMEQKLNSQLPTQTGSNPDKVVDSIPPVVPINFPDEVFPDCDWSEHYCPDGQKYYYNCVTCESRWEKPEEYVLYEKESQKPQGQEDHSSLHSQLSSSSPQQVAQRHQDINNDLKQSETSNVEQEYVTLSNVAWRNTKVVAMGSNPGNNLSVAEIPQFVLDAVELETPDKRKKMMVACTQPRRVAAMSVSRRVAEEMDVTIGEEVGYSIRFEDVSGAKTVLKYLTDGMLLREAMADPLLERYKVVILDEAHERTLATDVLFGLLKEVLKNRPDMKLVVMSATLEAEKFQGYFYGAPLMKVPGRLHPVEIFYTQEPERDYLEAAIRTVVQIHLCEPPGDILVFLTGEEEIEDACRKINKEVGNLGDQVGPVKAVPLYSTLPPAMQQKIFEPAPPPLKEGGLPGRKIVVSTNIAETSLTIDGIVYVIDPGFAKQKVYNPRIRVESLLVSPISKASAHQRSGRAGRTQPGKCFRLYTERSFNNDLQPQTYPEILRSNLANTVLTLKKLGIDDLVHFDFMDPPAPETLMRALEVLNYLGALDDEGNLTKLGEIMSEFPLDPQMSKMLVVSPEFNCSNEILSISAMLSVPNCFVRPREAQKAADEAKARFGHIDGDHLTLLNVYHAYKQNNEDPSWCYENFINQRGLKSADNVRQQLVRIMGRFNLKLCSTDFNSRDYYINIRKAMLAGYFMQVAHLERTGHYLTVKDNQTVHLHPSNCLDHKPEWVIYNEFVLTSRNFIRTVTDIKGEWLVDIAPHYYDLENFPNCEAKRVLDKLYKKREREKDEARSRK >OIW14711 pep chromosome:LupAngTanjil_v1.0:LG03:25540401:25542431:1 gene:TanjilG_33053 transcript:OIW14711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSASSSSQQYSEDLDLLLSLQDSVPESPPLEHSIDVAPNPRIPLKPKSKPKPKPRPLTDDADLDTFSGLRIRDRLLTSMELRDSFSDIRFVRLSVIKNLLNGDSFSGSWVTVGVLTEKGSIRKTSTGKEYCIYKITCLDENTVSLFLFAHAYQSHTQHKPGTVFALFNSNVRRDTNGDGYSLSIYSPGQILKMGMSVDYGVCKGRRPDGMACTLAINKRNGTYCKYHKNKASDKYSTMRTELKGGNLRTAFRPRDYLKSEGIHLVDPLANKTNMKKSQSLKLMSVDGLRRALSNAGKVTTNMHSQGIRFLTEVTGKLGQAPVSRGPKIPNEQSNCTGKRKSSSVNVGSSEMMKNQHLDAKRVKTDGQVLVDKTTSTGKMIELDFVSSDEDF >OIW15097 pep chromosome:LupAngTanjil_v1.0:LG03:21642819:21643307:-1 gene:TanjilG_08584 transcript:OIW15097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEFHDGGHGFLAQELDFKLVPLLQDECIFGYKEVYKQEPAISGKDEEKFKACLGAKGYSQQQRINYNEIFSSVVKHTSIMAVLSLIARWKRNMTWPYSLCLMRHRITEKSMRWVWWQPWSWVNTLSKIQQFGPWTFRVTKWVGVISYKYVFCKSRCINPIL >OIW15502 pep chromosome:LupAngTanjil_v1.0:LG03:7914025:7914786:-1 gene:TanjilG_32906 transcript:OIW15502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSLSRMIKNIFSDNSNIMLAAIISLLLVILFVLLLHLYAKWFLFQAQARARRRRRRHRITTVTVSDVFGPARFHHFHSFNIQDSPLSSSYTKGLDSSIIAAIPMFMYKAEQAQEQSHDGYDDKKIERELECVICLSRFEDGEMGKCLPKCGHGFHVECIDMWLSSHSNCPICRAPIVALVGEGDDSSALVEIVVDDAIAATSSDEIRENENGNDDAGVSDSVSEASSFLFGCSLKRFLSKVFHSSYVNELQS >OIW14505 pep chromosome:LupAngTanjil_v1.0:LG03:27720685:27725078:-1 gene:TanjilG_12098 transcript:OIW14505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEFGVLTESFGLKPQGKSAPMASSKRSNSNPSLNPKSSLNGSRSHQNSTFDFNFNSFPSSNKNPHHFDGIDDIFGGVSAKPSNNNVGSFDFVDDIFGGMPKKNVVGVDDLLDKIGGFNVNGSKSLNQKKTPDFDELIPGFGGTTSSNHGKASTGINKPRTNVSQDDPFLIFETTSSTASTDSFLDSLEQTSKLSSSKGTKGSSSSSNIPTLRPPPKPTSVSNTNKVNNSSVSSIDELENFAMGRVQNNVSRKANINTSEIKQNSAANTNSGKGSSAARVNKSNDMGDLESFFSMGARSSSVPKSRAAPMDHMFDHQVNNKVRSEASQSVPSGSSTNVKKSSVSTSLDDLSLIFGGSPSSEFKEVEGENEERRKARLGRHQRTQERALKAVADMNQRDLQTKMEQEERRRIADIADAQIKRWAAGKEGNMRALLSTLQNVLWPECGWQPVSLTDMITSTSVKKVYRKATLCIHPDKVQQKGASLEQKYTAEKVFDILKEAWTKFNKEELS >OIW15023 pep chromosome:LupAngTanjil_v1.0:LG03:22945319:22947851:1 gene:TanjilG_24132 transcript:OIW15023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVPSAYVAVRCVSCEGFPSRQSLPSILPFQQRKPIFSSLLLSSTSHSSIHASSSKNNNNKKKSSHNHVTKGEDEEVDAFELLFKQLEEDLKNDDLSKDDDDDDNEITEEDLALLERELEDALGEYDPEILNVDTNDAEISNDSEEGNINDDGDEGSLKLRNWQLKKLAKALKAGRRKTSIKNLAADLCLDRALVLELLRNPPPSLLMLSLSLPDEPTETVTLLETQPREIVQEEMTTDPSESGPKAKVPVHAMQRTWSAQKRLKKTQLYTLEKVYKRSKRPTNTMISSIVHVTNIPRKKVVKWFEDKRAEEGVPDHRIPYQRSVPETA >OIW15260 pep chromosome:LupAngTanjil_v1.0:LG03:15491425:15492624:-1 gene:TanjilG_16510 transcript:OIW15260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKQILSKLPRKSSKGSERGGSDSSENHVVYSKSGNPPDLDVGHNHGNNDPVLGLNVSPDGDLAFFYNEPLPVFKDVPNSEKPNLFVKKLGLCCVVFDFTDPTKHVKEKDIKRHALVEMVDYVTSANAKFSEAVIVEVVKMIHLNIFRTLSPQPRDINFVNGLEMEDDEPLMDPAWPHLQIVYELFLRFVASHELDAKVAKRYINRSFILKLLDLFDSEDPREREYLKMTLHRVYGKFMVHRSFIRKAINNIFFGVIFETEKHNGIAELLEILGSVINGFALPLKDEHKLFLVRALIPLHKPKCLAMYHQQLSYCIAQFVEKDCKLADTIIRGLLKYWPITNSSKEVMFLGELEEILEATQNPEFQRCVVPLFRRIALCLNSPHFQVWSCQYFALVPFL >OIW15321 pep chromosome:LupAngTanjil_v1.0:LG03:14455757:14457968:-1 gene:TanjilG_10761 transcript:OIW15321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPGPVVEPAAVAATVQELLSADETTLKKNPLPQEDDAPVVEDVKDDDKDEGDDDEDEDDDDEDDGALGGTEGSKQSRSEKKSRKAMLKLGLKPVTGVSRVTINRTKNVLFFISKPDVFKSPNSETYVIFGEAKIEDLSSQLQNQAAQQFRMPDIQSLTEKPDHGASATGTQPDEEEEEVDEAGVAPHDIDLVMTQAGVSRSKAVKALKTHDGDIVGAIMELTT >OIW14816 pep chromosome:LupAngTanjil_v1.0:LG03:24793951:24796932:-1 gene:TanjilG_05437 transcript:OIW14816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALEDFFTLSEMKDGLTTPSRVQELVSVMQKEKDCVAKNAGDATRQWSAVASTIAATENKDCLDLFIQLDGLWFINRWLKNAQNFALDANDNFIEETITAMLRAVEQLHLDGQKSASSGIHATVSNLLDHHSSTVQNRARVLFDSWKGGGNGDADSCDIAEVNNASGKIVREEDQPSISEVGNDNDHASGLAGSEKSLLRSPDSSPSERIASVPIQSSGDASVKCEEIKEKSPNYLASVSSSAQEVASLHEGLPLYAPGENALVETCNLPVLKQDSFEGQPDDVLLSDFTKKEQQEQNVNGPPEKLDAPEICSVSTKLEPEPVSMGASETKALEPVKETTLKHNVENNEVGFCHKIVISDGSRTAISDRKSRVDHIIAVSGVSEYDDDRPSNLLWDSSVSESELGKSEILEMSISGTEYVRTVKEGKGRVSSEGENTSNASDSNKPGKGSRSPSIMDKKGSADEFDNGTVDAIEVTRLIALEIEREVLSSSSEKIAQGGIRQPGSPESVEKGDEPNLVPPKEVSSRESHSIEACSDVEQRASISNNIEAEPECRPNMESLQVTEAAEDSGGNSEKPLCMFDLNEDGSDGMDVSVNAMSTTIPVVSASKPAPNPGLPGSPLQFEGTLGWKGSAATSAFRPASPRNSERNLSVGGSSDISKQRQDWLDFDLNVADGDEGQTKPFAESSGPPPGQLPVEFSPKRSSRLELDLNSIGDDCDAQPSHQKMEGQLFLGRNGYWSPSPASSTSSMQPSVRNIDLNDRPCLQTDLVDQGPGKSSHFIDAFGRSKSDAPVISIFGTKVEVDRREEYVPPTLFLPNGKAIEPAIDLTMSRTGGILGIPPTVSYNHSSIFGYNGVASASTPPLSYSSAMYGSGGTIPYMVDSRGASVVPQVAAGSSSTVLPSYSQPPYIMNMSATQLALNGAGPSHPPNLDLNSGFMIEGGGNRDALTARQFFFPGQGTMLQPSSSGVGGKRKEPDSGWESYPFNYKHPQPPWK >OIW15813 pep chromosome:LupAngTanjil_v1.0:LG03:867820:869089:1 gene:TanjilG_04348 transcript:OIW15813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCLISHVNGVRPFHPLTSFFIHFQTLISSISKHTQCSNFHSSNSNSTLQTLTPLDHETVRETLVSFNNDWKRALEFFNWVEETQSNFHHSTDTFNYMLDILGKSFEFSLCWNLIHRMKQNPCSLPDHTTFRVMFKRYVSAHEVNEAIDTFQRLEEFNLKDNASFCNLIDSLCEYKHVIEAQDLIFGKNKILGFDQSLIFGNNKIMGVDQNSIFGSNKIMGVDQNSIFGENKNLGVDGVENNDTKICNMILRGWYKLGWWSKCRDFWDEMDRRGVHKSLYSYSIYMDIMCKSGKPWKAVKLYKEVKNKGIKLDVVVYNIVIKAIGLSQGVDSSIRVYREMKELGLKPTIVTYNTIIRLLCDGYRYKEALALLHKMRWLKVEFVRLQILVSCS >OIW15999 pep chromosome:LupAngTanjil_v1.0:LG03:2250158:2252377:1 gene:TanjilG_04534 transcript:OIW15999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKKTFSPILLLLFIPFLFQTTFSFSFSNSQSFTVNHGSLTDSELSYITQRQLLYYKDQFGDRGENVTVDPTLVFENNRIRNAYVALQAWKQAIFSDPHNLTLDWVGSDVCNYTGVFCAPALDNHKIRTVAGIDLNHGDIAGYLPEELGLLTDLALFHINSNRFCGTVPRTFEKLVILFELDLSNNRFAGKFPAVVLRLPKLKFLDLRFNEFEGTVPKELFDKDLDAIFINDNRFVFDIPENFGNSPVSVIVLANNRFHGCVPSSLGNMSNLNEILLLNNALRSCFPQEIGLLKNLTVFDVSFNQLLGPLPESLGGAVSLEQLNVAHNLLSGVIPASVCNLPNLQNFTYSYNFFTGEPPKCLALAAFDDRRNCIPARPLQRTERQCKSFLSKPVDCNSFRCKPFVPSLPPPPVALPPPVPKSPPPPVYSPPPPPPVYSPPPPPPVYSPPPPPPVYSPPPPPPVYSPPPPPPPPVYSPPPPSPPPPSPPPPSPPPPSPPPPSPPPPVYSPPPPPPVYSPPPPPPSSPPPPVISPPPPSPPPPAPVYCVRSPPPPPPNSPPPPPPNSPPPPAPVFSPPPPVSYYYNSPPPPSHSPPPPSPPPPSHSPPPPPPHSPPPPPVYPHLSPPPPPPVHSPPPPPLPSPPPPLYSPPPPPPCIEPPPPSPPPCVELPPPPPPPPSPPPCEENSPPPYATPYLPPPSPSPPVQYNSPPQSPPPAPVYEGPLPPVIAVSYASPPPPPFY >OIW14940 pep chromosome:LupAngTanjil_v1.0:LG03:23756567:23766316:-1 gene:TanjilG_30659 transcript:OIW14940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGEDEDPDRKGLNLKQYSWRTNFQRDLIAGAMMGGMVHTVVAPIERAKLLLQTQESNLAIVESGRRKFKGMVDCIVRTVREEGVLSLWRGNGSSVLRYYPSVALNFSLKDLYKSVLRDGDSRDNNPFSGASANFIAGAAAGCTTLILVYPLDIAHTRLAADIGRTEVRQFRGIYHFLTTIFHKDGVRGIYRGLPASLHGMVVHRGLYFGGFDTMKEILSEQSKPELALWKRWVVAQAVTTSAGLISYPLDTVRRRMMMQSGLEKPVYNGTLDCWRKIYIQEGLTSFYRGAVSNVFRSTGAAAILVLYDEGLFFSRDSQWSSMRNTILSVYQPSHLSILVPTMQSFIESATQNLDSQQEDITFSNLSLRLATDVIGQAAFGVNFGFSNPHSVCDSIKNVNNNVGDAAGASDEVADFINQHVYSTTQLKMDLSGSFSIILGLLVPILQEPFRQILKRIPGTMDWKIEHTNQKLTGRLDEIVENRRKDRTRGSKDFLSLILNATESKTVSEKVFTPDYISAVTYEHLLAGSATTSFTLSTVIYLVAGHPEVEEKLLEEIDKFGPKDQIPTSQDLSDKFPYLDQVIKEAMRFYIVSPLVARETSNEVEIGGYLLPKGTWVWLALGVLAKDPKNFAEPEKFKPERFAPNCEEMKRRHPYAFIPFGIGPRACIGQKFSLQELKLSLIHLYRKYVFRHSPDMENPLELDYGMVLNFKHGVKVRTIKRT >OIW15820 pep chromosome:LupAngTanjil_v1.0:LG03:925570:929380:1 gene:TanjilG_04355 transcript:OIW15820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFIDFSYNAYTFCDPTIINPFSPFQYQSVHLPNDTVLINPKHRHDGTSPLPLGMDWSLPPSIWDGRNSVWPHDPHTGWSFCVTVPSWVDVPQSTDSDPVVFYRVQVGIQSPQGITTSRVILRRFNDFLNMFSDLKKEFPEKNLPSAPPKKIMRIKSHALLEEFIFEKLMNSYFQRRCLLADWMEKLLSDIDLSRSAPVAIFLELEAAARSAFHDMNQHISDEISTLPDNSCGSVKAPCSSVASNSDKVTPYEDRSMQNTTVINSTEETLDRATSNKDFIFEHNGIDKVTSDTLAPGMHDYKLNDHVKRLSMESTGGDLSSLGNSETSNSAATTSIQDDSRDLPVSHEPSENSDSLITSRSDERPKLNRILNTQQQGLTTAETNVEDLIARLNQEMAARQYLITKVKDLEIELETTRVIFRENMQQAVLTEKERFTQMQWDTEEFRRKCLEMEAKLKSEEDERLLAESTKTSVIQEKQMLQQDLDVAREQIEHLMKNHDEFVMKSKTDTKLLITEVNSLRSSQLELKQQLSELMKEKLDVESLLHEEKQRMKLSHNVNSKLVHECAILHKRLEECNVNFLVEEEDKVNILTSKSDALDLLATSDNQIGILLAEAQLLAEDVESDTTYDDLKKMLAHVFVDNGSLRKQINSVIRCALNAKMNS >OIW16004 pep chromosome:LupAngTanjil_v1.0:LG03:2293678:2299003:-1 gene:TanjilG_04539 transcript:OIW16004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQRLVDNVLAVTKESVKTITYESLNNIVRLINGVSALLLALLPGKATILEGIHGWELRPTLRGPRFPRWMENGVSSFNQFIHELSVDSDDSSLGYSSEEEDSDRYECPPSPASHTSRASGTTKYNRHQMDWIQYILLWILFPINFLLGIPLRLFKLVYCTVSRSLSISGNQRPPRLDKRMQSIKDHIIHRVTDRRSGVVEDLHLGIEICIEAVFDVVRKAAHFLLSPSKAFGTLMRLFSFHGSGNMEDHGVVDDAFISTATTGENGQTSMERNTNFQSLSTDARTCQDVITELGYPYEAIHVITDDGYVLVLERIPRRDARKAVYLQHGVFDSSMGWVSNGVVGSPAFAAYDRGYDVFLGNFRGLVSREHVNKNIPLREYWRYSINEHGTEDIPAMIDKIHEVKTAELRLSKPDIEETNDDQLYKLCAICHSLGGAAMLMYVITHRLQDKPHRLSRLVLLSPAGFHQDSNIVFSAIELILTLMAPVLSPLVPAFYIPTRFFRMLVFKLARDLHNLPAVGGLVQTLMSYVVGGDSSNWVGVLGIPHYNTNDMPGVSFRVAVHLSQMKRAKRFRMFDYGSPSANMRVYGSPEPLDLGEHYELINIPVDLVAGQKDKVIRPSMVKRHYKVMKGAGVNVSFNEFEYAHLDFTFSHREELLSYVMSRLLLVDPKHQMNQRASRSRKKGQVAASSM >OIW14878 pep chromosome:LupAngTanjil_v1.0:LG03:24317158:24323890:1 gene:TanjilG_30597 transcript:OIW14878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGAVGRLGSFISQGVYTVTGPLHPFGGAVDIVVVEQPDGSFKSSPWYVRFGKFQGVLKAREKVVDINVNGVNADFNMYLDGKGEAFFLREIDHDNGEFYSGYETDDNISNNSNNSNNNDTVKSLKSKSCNFDSENDGNKIVVGRRGSRRARLLGLMFGRKSLKGTVEEGEEEERVEDGDGKTVDSIENAEVAANLLELKWSTNLSGDQTTPKQAHDLLYLAGECGEVNVHDQVLHSKTALLPDGTEIEEVTNNVDLGMPVMEVSEFHSGAQGTSCSNSFVSTCDVTDGEELQTSPKFQTVNMGLGRCSSEEVESNFVTKPSGSSSPDNQTLDENDRKDKDLSSTLSSPVESLGDCLPGKSTTRSPSASSEEENFLFSDLDESRTNDQLAGSISPEYIDKEDHLSNENGTEKVDHLGYAICNLHSSLENSTTVNQTSDLEELGVISSPIVIPRNEAAKEEAGQHTGSLPNFSSHSDSMRQACFPLSQSLNSRSTSLLWTFPGKDNLEYLKSDESKENELLHEEPGANDYHSSGELNNTVLNVPLGDPSTLNPSPSGNWRVWPFSLRRSGSKSPLPPTPSDAKNTTFTNSPENTIREDADKNELKPNFMKKKVRENTPTSEQVSLLNLKEGRNTVIFTFSTAMLGKQQVDARIFLWKWNTRVVISDVDGTITRSDVLGQFMPLVGVDWSQTGVAHLFSAIKENGYQLLFLSARSISQAYITRQFLVNLKQDGKVLPDGPVVISPDGLFPSLYREVIRRVPHEFKIACLEGIKTLFPSDCSPFYAGFGNRDTDEISYLKVGIPRGKIFIINPKGEVAVNRLLDTRSYTSLHALVNGMFPSTNSSEQEDFNSWNFWKLPPPLVD >OIW14532 pep chromosome:LupAngTanjil_v1.0:LG03:27276382:27276666:1 gene:TanjilG_12931 transcript:OIW14532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIKKSLWVMLMITTIIVSSQFSFVHSRVLRSKELELKTHVGEGRDCDEEFKEAELSLGIMTFVVSSNNSRTHHSSLSVAFRLASGPSKKGPGH >OIW15650 pep chromosome:LupAngTanjil_v1.0:LG03:4739743:4743342:-1 gene:TanjilG_08226 transcript:OIW15650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTSSTRAHDRESSPDSVIFTLESNLSLFSSASASVDRCSFASDDHHSLSSEISLHWSGPDPDPDPGRGKRHAQHNDNKHRTLQKEDSDTEEDGNRILDFDSARNSFSLALKECQGRRSRSEALFNKHDRRRPASLDLNNAIATGYSPRLEAMKRSSVLGRRSGSGNFPSPGTPNYRQASVAMQKGWSSERVPSHTKAAHKQVGAALLPLSNGRTLPSKWEDAERWILSPVSGDGTGRASLPQPQRRPKSKSGPLGPPGVAYYSLFSPTGPLFEGGNAGNFMAASPFSAGVISADGLTVGSSGRGRVLPMRADPCMSRSVSAHGCSDMHSQSSMPAQDEKFDDFKDADTNVSPAVSRRDMATQMSPDGSSCSSPNMRLSFSASTPPPLPVTEFQSASFSKIDIRDVQVDERVTMTRWSKKHRALFSGRGSENVDSWKKKETSSRFSSWDNSEGSKTVSKANREIAKITAWENLQKAKAEAAMRKLEMKLEKKRASSMDKIMKKLRLAQKKAHDMRSSVLANQAHQVTRTDHKEKSICRTSQMSSLSGCFTCPAF >OIW15532 pep chromosome:LupAngTanjil_v1.0:LG03:5780466:5785294:1 gene:TanjilG_16138 transcript:OIW15532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVVLIYMSFGGIALFSFDEVPTLSFVGRNGTQFMLDGKAFYVNGWNSYWLMAQSVDEETRPRVREMLKNGADMGLTVCRTWAFNDGDYKALQISPGRFNEQTFKALDYVIAEARQHGIRLLLSLVNDLNAYGGKNQYVKWAWQEGIGISSSNDSFFFDPSIRSYFKNYIKAVLTRKNTINGIEYRNDPTIFGWELINEPRCMSDLSGDTLQEWIEEMSAFVKLIDKNHLLTIGLEGFYGPNDPKDLTVNPEYWASKLGSDFIRNSKTTNIDFTSVHIYPDQWFPDQEFEDQLKFVYKWMRSHIEDGDTVLKKPVLFSEYGLSETMANFTLSNREKMHKTISDIIYKSAKKNRSGAGALVWQFLVGGMKEFSDSYGMVPYESSSTDSIFIKQSCRLARIKGWSHVMKVSNNFVSKNCGKEY >OIW16059 pep chromosome:LupAngTanjil_v1.0:LG03:2831695:2834142:-1 gene:TanjilG_04594 transcript:OIW16059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFRYAMVCSSNQNRSMEAHFLLKKQGFDVSSYGTGAHVKLPGPSLREPNVYDFGTPYKHMLDDLRRKDPELYKRNGILPMLKRNSLVKLAPQRWQENAADGSFDVVFTFEEKVFDMVVEDLHNRDHVLMKTVLIINLEVKDNHEEAAVGARHTADLCQEIEAAESWEESIDDIVTGYEKQHRRKLLYTISFY >OIW15921 pep chromosome:LupAngTanjil_v1.0:LG03:1606029:1608438:1 gene:TanjilG_04456 transcript:OIW15921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKNGDSDDESPREVNMIDCLSELVNFTLQSHSHLLKHDLISFLTQDSIEGVPPYSLYKCIASSLLKCIDSEAFCLTPCNLAMQKKYDEWHNLILDKGSEIVNILKSVSFEIHVQEPFFSQLKDGLKTIEGRCASGKYRRIELGNLILVNKSVVFEVQGLRKYPTFYDMLEAESLEKVLPGVESVEEGVKVYRRFYTEEKEQENGVLAIIVSKVALQPYISLADLFSGLSYEGVQGLLA >OIW14778 pep chromosome:LupAngTanjil_v1.0:LG03:25052996:25056988:1 gene:TanjilG_05399 transcript:OIW14778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLCWVLCWVVFLRVSLFPYVAATNVSSSSSRPAIVNIGAIFTFDSTIGKVAKIAMEEAVKDVNADSSVLRGSKLVLSLQNSNCSGFLGMIQALRFMETDVIAIIGPQSSVVAHIISHVANELKVPLLSFAATDPTLSSLQFPFFVRTTQSDFYQMAAVAEIIDYYGWKEVIAIYVDDDYGRNGVSALDDQLAGRRCRISYKVGFNSGVSRGEITDLLVRVALLQSRVIVLHVNPDSGFTIFNVARYLGMTGNGYVWIATDWLSAVLDSSSLPSETMDLLQGVVVLRHHTPDSDRKRAFLSRWKKLTGHSLGLHSYGLYAYDSVWLVARAIDKFFDQGGIISCTNITWLHDAKGGGLNLDAMSVFDNGTLLLKNIMMSDFVGLTGPVKFAPDKSLVHPAYDIMNVAGTGFRRVGYWSNYSGLSILPPETLYAKPPNRSSANQQLNSVIWPGETVSKPRGWVFPNNGKQLRIGVPIRVSYREFVSPIPGTDMFKGFCIDVFTSAVNLLPYAVPYHFVPFGDGKNNPSYTEIVTSISTGYLDGAIGDIAIVTNRTKIVDFTQPYAASGLVVVAPFKKTNSGGWSFLQPFTPLMWIVTASFFFFIGIVVWILEHRINDEFRGPPKQQLITILWFSLSTLFFSHRENTMSTLGRFVLLIWMFVVLIINSSYTASLTSILTVQQLSSPISGIDSLKASNEPIGFQVGSFAEHYLKEDIGISKSRLVPLGSLEEYANALQLGPGKGGVAAIVDERPYVEIFLSTQCTFRVVGQEFTRSGWGFAFPRDSPLAVDLSTAILQLSETGDLQRIHDKWMTRSTCSLDDDEIDADRLKLQSFWGLFLICGIACFIALVIYFLQIMVQLRLSDPAHAAANVNSISGRFQRFLSLIDEKKDPSKKDNRRKRNGEEISYEDQIERQPKRIQTEITAEFNSKN >OIW14361 pep chromosome:LupAngTanjil_v1.0:LG03:30006818:30007348:-1 gene:TanjilG_15715 transcript:OIW14361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMDPKKSNKTREIVRLQQFLEKWRKQANSSKTNNTNTNNNTSSKSIKFLKRTLSLSEHEEGVSNNNVVPKGYLAVSVGENLKRFIIPNEYLTHQAFQILLREAEEEFGFQQIGVLRIPCEVSVFENILKIVERKKNKFSSTHECRIRVEEIMGFCYLENQHAYSSSHHSQSPLCR >OIW15464 pep chromosome:LupAngTanjil_v1.0:LG03:10309225:10310187:-1 gene:TanjilG_28663 transcript:OIW15464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRGKTLGSTAAKKATSRSSKAGLQFPVGRIARFLKAGKYAERVGAGAPVYLAAVLEYLAAEVLELAGNAARDNKKTRIVPRHIQLAVRNDEELSKLLGDVTIANGGVMPNIHNLLLPKKAGTSKAAAADDE >OIW15454 pep chromosome:LupAngTanjil_v1.0:LG03:10151271:10152549:-1 gene:TanjilG_28653 transcript:OIW15454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSELDAQIPTTFDPFAEANAEDSGAGTKEYVHIRIQQRNGRKSLTTVQGLKKEFSYNKILKDLKKEFCCNGTVVQDPELGQVIQLQGDQRKNVSTFLVQVIVISSYALCN >OIW15924 pep chromosome:LupAngTanjil_v1.0:LG03:1622828:1624111:1 gene:TanjilG_04459 transcript:OIW15924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGVEVRQSYTMKLPLCTGHNMTSSGDGKESEWINRIRSEGSIPLLEPDNCSNGWASPPGDAFMVRGPEYFSTRVKIPAGDYLLKPLGFDWIKSSVKIGEVLNNPNSRVRKVIDNEFPSGDKPLVWAFNLQVPSKDNYSAVAYFTTKEPVAEGSLMEKFWKGDDAFRNSRLKLIANIVKGPWIVRKAVGEQAICVIGRVLSCKYCVAENFVEVDIDIGSSMVANAIVHLAFGYISTLTVDLAFLIESQAEPELPEKILGAFRFSDLDPASATTIEPSSFMSSDNLQTPLPSRWWKSIGQGFSNILHPGSQEDGSTSGTQHVNIVDHKDSPTDFKKW >OIW15121 pep chromosome:LupAngTanjil_v1.0:LG03:22294882:22295079:1 gene:TanjilG_08608 transcript:OIW15121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLGYNTIELEKRTKTEEKAFFSSTLQQFQSFTKKKRTSTKSRIVIHHFICNIKDFLITIFTIIL >OIW15923 pep chromosome:LupAngTanjil_v1.0:LG03:1617177:1619726:1 gene:TanjilG_04458 transcript:OIW15923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKDKYMGHGGGFPPPSGCFSGYSPTESVAFNVKSEPASSSSSFPPPVVPGTGADSSRFGHGMSTESSGFSHDISRMFDNPMKNRGHRRAHSEILTLPDDISFDSDLGVVGGADGPSFSDDTEEDLLSMYLDMDKVNSTSAATSTFQFSEPSNAAAASGSGPTSAPASGAQTFSAENIVTGTNERPRIRHQHSQSMDGSTTIKPEMLVSGSSDDISAVDSKKAMSAAKLAELALIDPKRAKRIWANRQSAARSKERKMRYIGELERKVQTLQTEATSLSAQLTLLQYYYCFLPYHLIEKLIGGFHKSILGYHEQRDTNGLNSENSELKLRLQTMEQQVRLQDGMLLLL >OIW15246 pep chromosome:LupAngTanjil_v1.0:LG03:16193789:16200760:1 gene:TanjilG_17566 transcript:OIW15246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFASSTLTCFSLVDKSDDLRSQIAHFHPTIWKDYFLQYASDSKELDLTSPEIETLKEEVRSMLLSTVEKPLTKVDLIDSICRLGMQYHFEYEIEQVLQDTYKNYVENGEIIVEGNLDTLALIFRLLRQEGFMVSPNVFNKFRDVHGKFDERINTDVEGMLSLYEASYLRIHGENILDEALAFTSTHLESISSQLRPFLEEQIKYSLRQPLHMGLPRLEARRYISIYQQDPSHHECLLALAKLDFNILQKLHQKEVGNISKWWKELDIPRNLPFIRDRIVELCFWILGVYFEPQYSQARKIMMKVIFLVAIVDDTYDAFGTIDELELFTKAIERWDISCLNDLPEYMKLTYGLLMNVYEETKQLVMKEGRAYSIDYGIKEFKKIAQAYMTEARWLNCKYIPTTEEYTNISLVSCGYPLLATTSYIGMGDIATEEIFKWVTKEPKIIKASSVVGRLMDDIVSNEFEQKREHVASFLECYMRQYGMSREDAINECRRRVTNAWKDINEECLRQTKVPKPFVMRILNLTRSIDVIYKDQDNFTHAGGVMKTYIQALLVDPVPI >OIW14389 pep chromosome:LupAngTanjil_v1.0:LG03:29689047:29689505:1 gene:TanjilG_15743 transcript:OIW14389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPDGIHRESSIKDKLKYSICCFSGTLSHDALEHGEFFYNKLSIPRTPTTPNSSSWFKKSSTTSEFGGEQPKVRGRSLKSRMGRRYHRASQSADFSYDPSSYALNFENESPEEFPVRNFSARLPISPPTPSSPTKFSEEINVPPICPKKLLG >OIW15928 pep chromosome:LupAngTanjil_v1.0:LG03:1648636:1648854:1 gene:TanjilG_04463 transcript:OIW15928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEAFNVFDQNRDGFITVEELRSVFASLGLKQGRTVEDCKKMIMKVDVDGDGMVDYNEFKQMMKGGGFNALS >OIW14372 pep chromosome:LupAngTanjil_v1.0:LG03:29864598:29869137:-1 gene:TanjilG_15726 transcript:OIW14372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAAMVSLPKLIHTLSSSYSSTTSKTITRSISNNIITLSKKEKQQLGLVKEKRRTRSDKEFDKDSIIQRYGSSSHIPVMLTEVLDVFSTSSSLNSFVDCTLGAAGHSSAVISGHPELKYFIGMDVDPVAHKMAQARINSVLPSGDSSVKVFTMLKNFRHIKSALIETGEEKLVANGVDGILMDLGMSSMQVDNPQRGFSVLGDGPLDMRMDPQASLKAEDILNSWPDSEVGRILRDYGEESNWRTLQKKIAQARLTGGFHSTGDLLDLIRRVTPAARGGRQGWIKTATRVFQALRIAVNDELKTLEDSLYSCFDSLSPGGRLAVISFHSLEDRIVKQTFLDIVKGGEENVAQGEGCNNDLIKAIDEIKEKEAWIRNVIHGSNGAILTKRPITPSGDEEKLNRRSRSAKLRVIQKI >OIW14970 pep chromosome:LupAngTanjil_v1.0:LG03:23488039:23491227:1 gene:TanjilG_30689 transcript:OIW14970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLPNGSMLNWKKRGKKQVGLGAHEVVVVSDKRKTRVSSEEVIGVLKSISDPSSALSYFKVVSLLPKIVHTTEACNYMLELSRVHGRIGDMAFVFDLMQKQVINRNLNTYLTVFKALSVKGGIRQAPSAFGKMREAGFILNAYSYNGLIHLLLQPGYCSEALEVYRRMILEGLKPSMKTYSALMVALGKRRDTRTIMDLLEEMKSLGLKPNIYTYTICIRVLGRAGKIDDACGILRKMDDEGCGPDVVTYTVLIDALCAAGKLDKAMELYAKMRGSSHKPDQVTYITLMDKFSDSGDLDSVKMFWREMEADGYAPDVVTYTILIDALCKSGSVDQAFSMLDTMKIKGFVPNLHTYNTLISGLLKLRRLDEALELFENMESLGVKPTAYSYVLFIDYYGKSGDPGKALDTFGKMEKRGIAPSLAACNASLYSLAEMGRIREAKHVLNSLYNCGLSPDSITYNMMMKCYSKAGNIDKAIKLLAEMISDGCEPDVMIVNSLIDTLYKAGRVDEAWKMFQRLKELKLDPTTVTYNTLLAGLGKEGKVQRALELFGSMTESGCAPNTVTFNTLLDSLCKNDAVDLALKMLSRMTVMNCNPDILTYNTIIYGLIKENRINYAFWFYHQMKKSLHPDHITLCTLLPGVVRYQRVDDAIKIIMEFVHQAGLQTSREFWAELMECILTEADIEQAILFAEKLVDSICQDDYVIIPLIRVLCKRNKPLDAQKLFDKFTKTLGVHPTMESYNCLMDGLLGHNVTEKAWDLFVEMKNAGCYPNIFTYNLLLDAHSKSRRFNELLELYNEMLCRGCKPNAITHNIIISSLVKSNRLDKALDLYYDLISGDFSPTPCTYGPLIDGFLKAGRSEEAMKIFEEMLDYQCKPNSAIYNILINGFGKAGEVDIACDLFKRMVKEGIRPDLKSYTILVECMCMIGRIDDAMRYFEELKLTGIDPDTVSYNLMINGLGKSGRLEEALSLFSEMKNKGITPDLYTYNALILHLGIAGMVDLAGQMYEELQLVGFQPNVFTYNALIRGHSMSGNKDRAFTVYEKMMTMGCSPNKGTYAQLPNK >OIW15243 pep chromosome:LupAngTanjil_v1.0:LG03:16111082:16111411:-1 gene:TanjilG_17563 transcript:OIW15243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIGASLCPAASFPVHGREEPSHTVLKLKPNRIPIMPPRFALSVDQVPETTTTAMSPNNGIPSVKMNGEGILAEKEKRGENKKEEEELNRRSSWRSYVEQSKEISQLP >OIW14801 pep chromosome:LupAngTanjil_v1.0:LG03:24889750:24891051:-1 gene:TanjilG_05422 transcript:OIW14801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVEASFQTIGTGTLNFNPIFNAPGVKGKLVTALSENEGTVYDFILSLIHQKPQLDSPFVVLDLRVVVGLMENWSTNLPTVQPFYAVKCNPNPSLLGTLAALGSSFDCASKAEIELVLSLGVTPNRIVYANPCKSESHIKYAASVGVNVTTFDSKEEIQKIRKWHPKCELLLRIKPPQDSGARVPLGLKYGALEEEIPDLLESAHNSDLNVIGVSFHIGSGGGDSRAYHEAIAAAKTVFEVASRLGMARMKMVDIGGGFSSGTQFDDAAFHVNEGLREYFKNEEGIVVIGEPGRYFAESAFTLASKVIGKRVRGEVMEYWIDDGIYGSMNCILYDSQTVTCAPLACSSKPEDPRCREVKTYSSTVFGPTCDSLDTVLRDYLLPELQVNDWLVFPNMGAYTTASGSNFNGFSTSDIETYLAYSTPIVGELQTMF >OIW14482 pep chromosome:LupAngTanjil_v1.0:LG03:28165581:28167678:-1 gene:TanjilG_19898 transcript:OIW14482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYDYNRNRSGQHPMYRPPPPSSQPSPMYPKIGPHPQSAVNRPSPYHQNPHPPSSSSGGGGGLGIRVTIKPQYKITPPPHLSPYGADIPRSSFQFDFGLEKKILAESHKDNPNWTKFGIENFPTKQLSQSTSSSKFSASNPVESKYIAMGLNREAVSIAVANYGDNPAKVQEFVNGYTLLHEMGFSSNSVAEALIMHDNDTDKALAHFLSGSS >OIW15771 pep chromosome:LupAngTanjil_v1.0:LG03:549441:549941:-1 gene:TanjilG_04306 transcript:OIW15771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPKFDPSQVVDVYVRVTGGEVGAASSLAPKIGPLGLSPKKIGEDIAKETAKDWKGLRVTVKLTVQNRQAKVSVVPSAAALVIKALKEPERDRKKTKNIKHNGNISLDDVIEIARVMRPRSMAKELSGTVKEILGTCVSVGCTVDGKDPKDLQQEVGDGDVEVPQD >OIW15739 pep chromosome:LupAngTanjil_v1.0:LG03:320346:324243:1 gene:TanjilG_04274 transcript:OIW15739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGNYDGENKLPEFDIYVGANFWSLVKFRNASEQVVLEIISIAQSDVIYVCLVNKGSGTPFISALELRPLNSSIYNTEFGESASLLLFERWDIASSALNGSGRYVDDIYDRIWSPYSSSTWDSISTSSAIDVNTDGYRAPSEVIKTAARPRNGSDTLEFSWSSNDPSWKFYVYLYFAEVEHLKKTQLRKFNVSWNGSPLFEPFVPSYLYATTLSNSKSLVANEHRISIHKTADSTLPPILNVVEIYVVRQMDALPTFEQDVDAVMDIKEDYRVQRNWMGDPCEPKNYSWEGLKCNYSTSFPPRIVSLNLSSSSLSGIIASSISNLSSLESLDLSNNTLTGSVPQFLEELRSLKYFVDDQNLSNSDTSNKNKVVVPIVASVLSVLALLVVFILIWKLRKRKQSDEEINKPNKEGRTVASKNWQYTYSEVLNITNNFEMVIGKGGFGTVYFGKMKDGKQVAVKMLSPSSSQGPTEFQTEAELLMTVHHKNLVSFIGYCDDDDNKMVLIYEYMANGNLKHYLSDINPDCLSWEKRLQIAIDAAEGLDYLHHGCKPPIIHRDVKSANILLSEDLEAKIADFGLCKVFGNDKQNAEAVVMGTTGYLDPEYYKLRHLNEKSDVYSFGIVLLELITGRPAVLKGKILMHILEWITHEVERGDLSRIIDPRLQDKYDASSVWKAIGIAMACTASTSIQRPTMSVVLAELKHCFKMDLPSQNHIFVDSRPRQSYTEFYSSSEPYSMDSDSITHPFPR >OIW15150 pep chromosome:LupAngTanjil_v1.0:LG03:20679476:20681686:-1 gene:TanjilG_14149 transcript:OIW15150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPIRSSGFIDPGWDHGIAQDERKKKVRCNYCGKIVSGGIYRLKQHLARVSGEVTYCEKAPEEVYLKMKENLEGCRSSKKQKQVDSQAYMNFHSNDDEDDEEQVGCRGKGKQLMDDTNVSVNLTTLRSLGYVDPGWEHGVAQDERKKKVKCNYCEKVVSGGINRFKQHLARIPGEVAPCKSVPEEAYLKIKENMKWHRTGRRHRRPEVKELIPFYSKSDNEDEEYDQGEDALHHMNKETFIDVDKRSSKDLRKTLKGISPSTGTEPVLRRSRLDNVYLKFPNNPAPQTHKQVKVKTGPTKKLRKEVISSIWKFFYHAGIPSQAADSLYFHKMLEVVGQYGQGLVCPPRQLISGRFLQEEINSIQNYLVEYKAFWAITGCSILVDSWINTQGRTIINFLVSCPHGVYFVSSIDATNVVEDAPNLFKLLDKIVEEIGEENVVQVITENTSSYKAAGKMLEEKRRNLFWTPCATYCTNQMLEDFMKIRCVGECMEKGQKITKLIYNQTWLLNLMKSEFTLGQDLLKPAGSRCASNFATLQSLVDHRVGLRQMFLSNKWISSRFSRTSEGKEVEKIVLNVTFWKKMQYVRRSVDPIMQVHEKVCSGESLSMPYIYNDMYRAKLAIKSVHGEDARKYEPFWKVIDSHWNSLFCHPLFLAAYFLNPSYRYRQDFVPVCENIECFLLVTNFQILFKFSPEVNFDMTLAF >OIW15972 pep chromosome:LupAngTanjil_v1.0:LG03:1980376:1986720:1 gene:TanjilG_04507 transcript:OIW15972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSILPFTLPSLSSFNPSQRPYLFHLRSAVPQSQNFGLKASRLLRQDDNCINVMASGNLSYAVTQEHVLRRRDMPEQKYTNVFLGYGQEHAHFVIELTYNYGIDSYGIGNGFGHFGLAVDDVSRTVDIVRVKGGKITREPGPVRGRSSVSALIEDPDGYTFELLERPPSPEPLCQVMLRVGDLNRSIKFYEKACGMDLLYMRDNPESKSTIAMMGYGPEDKNTVLELTYNYGVTEYDIGDAYAQIAIGTNDVYRTAEAIKLAGGKVTREPGPVPGINTKITACVDPDGWKTVWLLRMLHKNEKIEKEEGIEVMENNAAQEENQNVEQQQQEEIEVDLPQDPRLKLLNKAWIEGLDCLHIGCNDGKITIQIGRISEANLNLSRTVDPISVEAYWELDTSSSSGLYSDDEIPMEQIEELPQNQEQIEELLQNQEIIEEFQGNEEHNVGPFLRNPSDIISFKIENIYRNEIRLMINEYDMILCLSTTHWTEMNLEGHNLVTLFRRLWYNLRSKGRIVLEPKSLKPYGSNFYDSEAEEPKYRKVYQINSNGECIVVFKK >OIW14795 pep chromosome:LupAngTanjil_v1.0:LG03:24939743:24942045:1 gene:TanjilG_05416 transcript:OIW14795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFSLDSSFSYFVFDLSYHKVENTVISKNDGSKVENNTVVSKNDGLIGSKMAVCLVGGARRFELTGPSIMEMVLKQYPNSDLFLHSPIDKDSFKFSLLKSAPNKVAAVRLFYPQPLPENDSSVRVLTAQNSPNGIQGLLQYFNLVEGCLTMIKSHQQKNNFTYDWIVRTRVDGYWNNPLGPEYFIPGQYLVPPGSAFRGLNDRLGIGDITTSTVALNRLSLIPQLDSLGLKELNSESAFKAQLEAQNISYITKRLPFCILSDRRYNFPPGRFGVPVGSLSSQSLLSGAKCRPCTPVCRGACVEDVMGSVEKFWSWTNYEDGALELCDARKGWEKDWENIFDRVAGKKYAKARKGIHSMKFDKCVKDLLQLKKRSAYWDAPSVEDICKLGFNPF >OIW14381 pep chromosome:LupAngTanjil_v1.0:LG03:29769595:29777964:1 gene:TanjilG_15735 transcript:OIW14381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSAEEQEDKDLHQKLLEAANNLLHPPSSLNDLLHLLQEVDSCLGLVEQSPDESVQAAMAPLAVALIGDELLRHADIDVKVAVASCISEITRISAPDAPLDDDQMKEAFQLIVSSFENLHDMSSRSYRKRTGILETVSKVRSCVVMLDLECDDLILEMFQHFLKEIREHHPENVFSSMQNIMTVLLEESEDISLNLLSLFLDSIKKENEAVLPIAKKLVENVLESCATKLKPYIVQAVNTMSISLDDYSDVLSSICNDGSVNLEQSDEDESKSVREPLEESAPVIKEDGKEAAPLQQDSSAAPPQQDNPVGDHSPKSVTSNGNPQAAEDDTLVDSKSLEKQENTDCTVQSKSINLSANEDPNNLDTEKGENSDQKQDPATKRARKTISSTKPEELYESSYPANVKVDVKVIDSESHSNEDEKVIDSESQSKEDEKVIDSKSHRKEDENVIDSESHSKEDEKVMDSESHSKEDEKVMDSESHSKEDEKVIASESLSKEDGIVIDSDSHSKEDDNSDQKQGEASIKVGRKLISSTKSAELPKGSYPANVKEVEKVIDSESHSKENEKVIDSESHSKKDDNNYQKQSQVTKKRGKRKPISSTKLAEPLKGSYPANVKENEKDIDSEIHSKEDDDSDQKQDRASNKRGREPSSSTKSAANVNEDGKVINSVSHSKKVPSSLHEDDFVEAEGLSENDKEIDAKISSPKAGDGESDMVSSPSPRGSLHGENSKKKLRQPKKKEVVAMDVPQKVYEETSDSEAKPTKRSVKKALGRTSDVKKNTVVNSVKKGNETASEPDAKKHPSKKTEDASKSGGRSDKKRHGRGKANSESGEEKSPVKDVDKELVSLSKSNTKSIKDEDSEELPKTNLKRKRTPGKGNESGTKKDGENLVGTRVKVWWPDDDMFYKGVIECFIPTKKMHQVTYDDGEIEILNLKNETWEIIAVGADSDGEEGADHPSPNVSADMPKKKKGKTSTADPKKGGNKDVSSTSGGATSSKSSGKSMKSSHRSKDGSKSDRKSKVSKIAAARDSDEVIIKTKNQTPKSGGSGKSIDAAARKKRSGKSKDIDKDDVSTPKLSSKTAAASSKAAKSSKSGGKSSANGNSKAKVKLLKDSVQDDDSEDSTEEAVEDTKSKKSSSSKASGGSEVKIGKKRARN >OIW15282 pep chromosome:LupAngTanjil_v1.0:LG03:14884167:14885582:1 gene:TanjilG_08079 transcript:OIW15282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLPPLSTDPDCCERAFVGNTIGQANGVYDKSLALRLCDFINEKSNLYDADKSQVVMSKAYAVGASFKSAKTKSVDLSNAVLDRVNFAKTDLEGAVFRNTVLSGSTFEDANLEGADFEDTIIGYIDLQKLCTNKTISGLTRDELGCR >OIW14700 pep chromosome:LupAngTanjil_v1.0:LG03:25592260:25593506:-1 gene:TanjilG_33042 transcript:OIW14700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIASIVHLYVFPAKPYELMGDLHPGSISVLGDYSADCPLDPDEIRDSERPTKLRLPAPDVGAKSGMTIRESVRDVVIGGGGYIVNDVKFTVHQAVEPVEKGITRFNEKLHKISENIKKHDKDGRRAKDDSSIGSSSPAKRVIRGIDDPLLNGSISDSGMLRGKTKKHCKKSGYTSGESGGESDLSYGGYQIRGRRWVTKE >OIW15387 pep chromosome:LupAngTanjil_v1.0:LG03:12141645:12144493:1 gene:TanjilG_25395 transcript:OIW15387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEFARIQKELVECSKDTEGSGIRVTPKTDNLVRLNGTIPGPLGTPYEGGIFQIDITIPDGYPFEPPKMKFATKVWHPNISSQSGAICLDILKDQWSPALTLKTALLSVQALLSAPQPDDPQDAVVAQQYLKEYQTFVSTARYWTESFAKTSSRGIEDKVQKLVEMGFPEAQVRSILEAVGGDENLALERLL >OIW15073 pep chromosome:LupAngTanjil_v1.0:LG03:21378919:21379458:-1 gene:TanjilG_08560 transcript:OIW15073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGYESRSSSSCAACKLLKRRCTPNCVFAPYFHSDECNKFAKVHKVFGASNVSKILIEVPQEHREDTVNSLAFEAEARLRDPVYGCIGDIVLLQMKMVDLQHDLAIAKDRLARCAAATATATTTTSDDVLHATHASLPHLRGFSTSNDFNDSLCHGSSSSELLRRHEIMDDCIEIPYIL >OIW14772 pep chromosome:LupAngTanjil_v1.0:LG03:25085704:25087122:1 gene:TanjilG_05393 transcript:OIW14772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREVFSRTRRKERPGSVADLVRRGQLRSRPLKYEDPFNNPLVKVGKTNSTVEMCGKVYRLAPVTLTQEQQTIHQKRRSRAYQWKRPTVFLKEGESVPPDVDPDTVRWIPANHPFATTAIDLDEDLAQSNVYQKNGVPFRIRAEHEALQKKLEALQNEPKLDKLVIDPANAKEFERPFNSHARLNDHVEKSPLNNQHQASDSPSPKLDYGPNHFESATPLEEDLSL >OIW14903 pep chromosome:LupAngTanjil_v1.0:LG03:24139228:24140941:1 gene:TanjilG_30622 transcript:OIW14903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSFDLWGKDPFFNAAEQVQESSDRMEYAYRTWIHAKKDPLSPYNIDELRRDLHTTHSTAKLQLDEFQRAVSSSYSKSSSVDAVARHRLFISAIDGKITEIEHGLLESVPSGDKASFRWVRLDEGERYELALFLSGMSASEPKSPHKCIRSGSENIQSSDKDSFRIFSDNLRVSSGKGSSEAMEVKSPEHCRTAGADIASWKITVSVKMQNSSNISSGPMHKVSNLSGFLNSKESVSKWKWPKNGYRKLQAGNHHQETDDTLLSTTQSNAVRSQSAFVLCSESINGTLHE >OIW15732 pep chromosome:LupAngTanjil_v1.0:LG03:293371:294843:1 gene:TanjilG_04267 transcript:OIW15732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKLQQLQSKACQASQFIGKHGNSYYKQLLEKNKQYIQEPPTVEKCDQLAKQLFYTRLASIPSRNESFWKELDHVKSLWKNRQDLKVEDAGIAALFGLECFAWYCAGEIVGRGFTFTGYYV >OIW15366 pep chromosome:LupAngTanjil_v1.0:LG03:13405656:13407839:-1 gene:TanjilG_26739 transcript:OIW15366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEVSNKQVFLRNYVSGFPKESDMYLVEGKITLKLPEGSNDVLLKNLYLSCDPYMRTRMNKVDGPEVEGFNAYILDSPLTGYGVAKVIESGDPNYKEGDLVWGFTKWEEYSLVPSTQIVFKIEHNDVPLSYYTGILGMPGMTAYAGFFEIGSPKKGENVFVSAASGAVGQLVGQFAKLTGCYVVGSAGSKEKVDLLKNKLGFDEAFNYKEELDLNAALKRYFPEGIDIYFENVGGKTLDAVLLNLKLHARIPTCGMISQYNLTQHEGVTNLSNLIYKRIRMEGFVVSDYYHLYPKFLEFLLPHIREGKIVYVEDIAEGLENGPAALVGLFSGRNVGKQVVVVARE >OIW14983 pep chromosome:LupAngTanjil_v1.0:LG03:23357706:23359425:-1 gene:TanjilG_30702 transcript:OIW14983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCKLVGVPRKKKHGTVPVYLNVYDLTPINGYAYWFGLGVYHSGVQVHDIEYGFGAHEHETTGVFEVQPKHCPGFTFRKSIFIGTTDLGTKDVRAFMEKLAQDYSGNTYHLISKNCNHFCNDACLKLTGRSIPRWVNRLARLGLLCNCVLPPGLNDTKVRHVTLDKVQEGEKKKTRSQSNKCEASSNPPLPSCPQGSTIKRTSRRHCLPPSTSLIDASSTPTLTVK >OIW15849 pep chromosome:LupAngTanjil_v1.0:LG03:1112604:1120781:-1 gene:TanjilG_04384 transcript:OIW15849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYDQRKLAQRSERVKSVDLHPTEPWILASLYSGTVCIWNYQSQIMAKSFEVTELPVRSAKFIARKQWVVAGADDMFIRVYNYNTMDKVKVFEAHTDYIRCVAVHPTLPYVLSSSDDMLIKLWDWEKGWVCTQIFEGHSHYVMQVTFNPKDTNTFASASLDRTIKIWNLGSPDPNFTLDAHQKGVNCVDYFTGGDKPYLITGSDDHTAKVWDYQTKSCVQTLEGHTHNVSAVCFHPELPIIITGSEDGTVRIWHSTTYRLENTLNYSLERVWAIGYLKGSRRVVIGYDEGTIMVKLGREVPVASMDNSGKIIWAKHNEIQTVNIKSVGVDVEIADGERLPLAVKELGTCDLYPQNLKHNPNGRFVVVCGDGEYIIYTALAWRNRSFGSALEFVWSTDGEYAIRESTSKIKIFSKSFQEKRSVRPTFSAERIFGGTLLAMCSNDFICFYDWAECRLIYRIDVNVKNLYWADSGDLVTIASDTSFYILKYNRDVVASHLDSGRPVDEEGVEDAFELLHEMNERVRTGIWVGDCFIYNNSSWRLNYCVGGEVTTMFHLDRPMYLLGYLANQSRVYLIDKEFNVIGYTLLLSLIEYKTLVMRGDLERANEVLPSIPKEHHNSVARFLESRGMIEEALEVATDPDYKFDLAIQLGRLDVAKGIAVEVQSESKWKQLGELAMSSGKLAMAEECLEYAMDLSGLLLLYSSLGDAEGISKLATLAKEQGKNNVSFLCLFMLGKLEDCLQLLVESNRIPEAALMARSYLPSKVSEIVAIWRKDLNKVNPKAAESLADPEEYPNLFEDWQVALAVESKAAESRNVYPPAEQYISHADKSHVTLVEAFRNMQIEEGDEPLENGDSSHELTEQNGEDDYAEALEEPNGEEGSQEEEVVVDADSTDGAVLVNGNEADEEWGTNNEGAPSA >OIW15680 pep chromosome:LupAngTanjil_v1.0:LG03:3636964:3641686:-1 gene:TanjilG_09618 transcript:OIW15680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSTPQVITCKAAVAWGAGEALVMEEVQVSPPQPMEIRIKVVSTSLCRSDLVAWETHAIFPRIFGHEASGIVESVGLGVTEFKEGDHVLTVYIGECMECRLCTSGKTNICHVLGLERKGLMHSDQTTRFSVKGKPVYHYCAVSSFSQYTVVHSGCAVKVDPHVPLEKICLLSCGVAAGLGAAWNVADVSKGSTVVIFGLGTVGLSVAQGARLRGASRIIGVDNNPQKFDKAKAFGITEVVDPNSYKEPIAQVIKRITDGGADFSFECAGDTDMITTALQSCCDGWGLTVTLGVPKVKPEMSAHYALLLMGRTLKGSLFGGWKPKSDLPSLVEKYVKKEIDIDGYITHNLPFDDINKAFNLMKEGKIRSFKSLPLENPRLLRFPMFIPASQPCTMVMGMDTAMPT >OIW15105 pep chromosome:LupAngTanjil_v1.0:LG03:21754713:21758105:-1 gene:TanjilG_08592 transcript:OIW15105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQKQNVIVSETKSEVVAQNKPLGGGYISISRKNILKKLEINGGRVNGWIENMRASSPTHPSLSQDQTSWNVHHPSALDMFDQIMESSKGKQIVMFLDYDGTLSPIVEDPDRAFMSNSMRKTVRKLARCFPTAIVTGRCKDKVYNFVRLAELYYAGSHGLDIKGPTRSSKYNKDRKGETLHFQPASQFLPMIDELYQRLVDKTKSVPGAMVENNKFCVSVHFRCVDEKKWSELAHQVKSVLKDYPKLRLTQGRKVLEIRPTIKWDKGKALEFLLESLGLAHCNDVFPVYIGDDQNDEDAFKQKLRDRGQGFGILVSKFPKETSAYYSLQEPKEVMDFLQRLVEWKEVSLSAHSRV >OIW15869 pep chromosome:LupAngTanjil_v1.0:LG03:1225857:1227666:-1 gene:TanjilG_04404 transcript:OIW15869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNSNWWNMHPPSLNPSQYVLGSSSIPFNSLAENHEPPQSWSQLLFTGLPGEEERMGLSHFQTKKLENWDEQILDPSSRVPIFDIIKQEVSQSGNLYGHEHEEFQVAGSSWSHMVPIFSPMSCVTTSLSSNNILDFTYNNVDQKKINQLLDQTSECNSTAPIGVSNKKARVQQSSNQPPLKVRKEKLGDRITALHQLVSPFGKTDTASVLLEAIGYIRFLQGQIEALSSPYLDNASKNMRNQPYVTTLFPNSLKL >OIW15052 pep chromosome:LupAngTanjil_v1.0:LG03:22753034:22755876:1 gene:TanjilG_13979 transcript:OIW15052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRMDDILNLPVQDPPCPEFCAAHINWSKLEGGRQGGDDIALVPYARVDDFVKRESSNPECPASFCVESRRKRPEASIAKPRVDGYLEYTLYWCSYGPEDYRESDSCTEDGTSTKPAFGKGSRPGRRHMMRGCLCHFTITQVQSLQQMLRYGNGNFISFHSTFGFKKLKYPVCSLLVFDSSQNAIPAAWIITSSFVGIDIHKWIGLLSERIRTKDPRWRTRAVLLDDPSFDYSIVREAFQCRVLLCAWHVRRAWIKKIFKKCCNFEVQREMFRHLGWVLYCTRCGPNAMDTVEEFMQIFVDQCTFMDYFKSRWLASIDLWTNGIKILPVSTPEPYAAIESYHLKLKSMLLKKNCANFWSRVDWLIHTLSTKLHSAYWLEQYSLETGYFENLRDSSLSTNAWHNVLHIPDADVILDEQNLHIAKVLSQTDRSFVYTVWNPGSEFLLCDCSWSRLGNLCKHVIKVANFCRSRQVARPLMSAKVYKQALLTLLHNPPDDPLVLDHTILHVTHLQQDIKALEDLSNIGLLQPLAPDLSSQMAENPLFFQRPH >OIW15534 pep chromosome:LupAngTanjil_v1.0:LG03:5821443:5824498:-1 gene:TanjilG_16140 transcript:OIW15534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGRGSKGFDFGSDDILSTYEDYANNNHDEFSNGIHNNDPSKDFNKPRMPRTSVFPATASPEDSLSGEVISTVENSMKKHADNLMRFLEGISSRLSQLELYCYNLDKSIGQMRSDLNSDHGEADSKLISLEKHVHEVHRSVQILREKQELAETQKELVKLQLAQKGSSFSSHSQSNEEKSSPSATDPKRTDNSASDTNNQQLALAIHHQLAPQPQPVAPPSQAPAPNVTQGTQQSPHYMPYTSMPVPSVVTQFPQNQYLNSDSQYRTPQPTSSQVTQSPHVQQFSQYQQPQPQPQQWHQHVQPPQPPSVQPQMRPASTNIYAPYLPSQAANSSPTETLPTSMSLQMLPYSAIPPQGSSHGDAIPYGYSGTGRTAPQHPPPQQIKGSFPVQPGDVYGTSGTHATLPPASAYMVYDGERGRTHHPSQPPHFAHVGYPPTSASLQNPAPHNLIVQNPSPSQFIGGHPYNELIEKFVSMGFRADHAASVIQRMVETGQPIDFNSVLDRLNVRSSVGPQRGWSG >OIW15089 pep chromosome:LupAngTanjil_v1.0:LG03:21561093:21562697:-1 gene:TanjilG_08576 transcript:OIW15089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSVDNRIGNLEDEIEESNGSEVNEFASVHISTSRKTSTSGENPQTKFRGSSNSLPNRINLIKFDSPSANFKRIATEKDKISQSVPSPSPQPHCLKASFSSMFDEKLDWGKVKKMGMEWIRNPINIALFAWIVCVGVSGAILFLVMTGMLNSVLPIKSVRNTWFEVNNQILNALFTLMCLYQHPKRFHHLVLLCRWRPSDISTLRKLYCKNGTYKPHEWAHMMVVVMLLHLNCFSQYALCGLNLGYKRSDRPAVGVGICISISIASPAVAGLYTILCPLGKDYDSEMDEEAQVQITSAQRQELRQKPFEKKYSFATKDRRRVIENRPKWSGGILDIWEDISLAYLSLFCSFCVFGWNMERLGFGNMYVHIATFMLFCMAPFWIFILAAVNIDDDDVRQPLIAVGVILCFFGLLYGGFWRILMRKRFNLPAYDFCFGKPSVSDCTLWLCCCWCSLAQEARTGDHYDLVEEKVCRKDFNSTDQPSIRPLAREDVVSTKSGTSPAIPLSTLKEEQSQSDENGIMTPPIPSLIQREAP >OIW15329 pep chromosome:LupAngTanjil_v1.0:LG03:14574024:14580691:1 gene:TanjilG_10769 transcript:OIW15329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAENVGTKVDSSSQNLDNSVVSSETIEVEKPKPVNGSLNGDQNLNDVFNHHDRAPNTVSVPNSNYVVQMGQIENGFKGNGVQTLQMVVNNNGYGGVNGENGGESFKKDMRDLEELLSKLNPMAEEFVPSSLTNNLGYLAGLGAAGFGYPNNFLFPNNYGNVNGEKNRRRKNGYNQGKRRVNNKTETVIRDEMVRRTVYVSDIDHLVTEEQLAALFLNCGQVVDCRVCGDPSSILRFAFIEFTDEEGARAALSLSGTMLGFYPLKVLPSKTAIAPVNPTYLPRNVGTKVDSSSQNLDNSVVSSETIEVEKPKPVNGSLNGDQNLNDVFNHHDRAPNTVSVPNSNYVVQMGQIENGFKGNGVQTLQMVVNNNGYGGVNGENGGESFKKDMRDLEELLSKLNPMAEEFVPSSLTNNLGYLAGLGAAGFGYPNNFLFPNNYGNVNGEKNRRRKNGYNQGKRRVNNKTETVIRDEMVRRTVYVSDIDHLVTEEQLAALFLNCGQVVDCRVCGDPSSILRFAFIEFTDEEGARAALSLSGTMLGFYPLKVLPSKTAIAPVNPTYLPRSEDEREMCSRTIYCTNIDRKLTQADVKQFFESICGEVQRLRLLGDYQHSTRIAFVEFTVADSAIAALNCSGVVLGSMPIRVSPSKTPVRSRAPMH >OIW15824 pep chromosome:LupAngTanjil_v1.0:LG03:942448:943078:-1 gene:TanjilG_04359 transcript:OIW15824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMASPAVTSRIYSLHGFKVAITVAFFSTLLLPKSDGSVFFESNEIMEDESKMVIGSKPPACVNKCMNCRPCMATVVVHNHHQKKGFKVLSHGEDDRYYLLSWKCRCGNKLFQP >OIW15165 pep chromosome:LupAngTanjil_v1.0:LG03:19648559:19649035:-1 gene:TanjilG_21141 transcript:OIW15165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGRLSAWLVKHGLIHRSLGFDYQGIETLQIKPEDWHSIAVILYVYGYNYLRSQCAYDVAPGGLLASVYHLTRIECGIDQPEEVCIKVFVPRKNPGIPSIFWVWKSADFQERESYDMLGISYYNHPRLKRILMPESWIGWPLRKDYIAPNFYEIQDAH >OIW15945 pep chromosome:LupAngTanjil_v1.0:LG03:1809351:1811953:-1 gene:TanjilG_04480 transcript:OIW15945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDPYNSNDLNGWFDLNSPNLFSSSSSSSSCSNPPFYFPSSYYHHHHHHNITSGMISFTHHHHMHHHQSSHHDPSSPPPREALPLLNLSPTKHGEDLETSFSSMEVDNNNNSKEKPISFLDHDEDTTVTVALHLGLPSTSTTLTDLASSNIFNTEISEKDDEKVTVDSSSEYPSSSRISRGQFWIPTPSQILIGPTQFPCPLCFKTFNRYNNMQMHMWGHGSQYRKGPESLRGTQPTAMLRLPCYCCAPECKNNIDHPRAKPLKDFRTLQTHYKRKHGIKPFMCRKCCKAFAVRGDWRTHEKNCGKLWYCSCGSDFKHKRSLKDHIKAFGNGHKAYGIDCLDQDDEGGSEIEQESESLRL >OIW14629 pep chromosome:LupAngTanjil_v1.0:LG03:26248858:26251063:-1 gene:TanjilG_32971 transcript:OIW14629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEYSGRRTTGAVVAPRKGMGHVFRDTANTRDRNGQACNRLGCSSRVSSPKGAQIGSSEKGKSLRHSTRSSSSVKEAMGSSSRTFTGTSNPGKTFRRPRKTLFSQVEGDSSETSSVQDEPEPSNLVPPPENIKRGLQAKVENSDSSDVMPMEAGSSSVASNTRSRRNFHTKPGLRAQEVKTVGQIVRVGNNRSALRNLRCNSISDVIPAGVCSSSDSTLNRSKGLIKKRNCEGESSSSARGKNLTGPSFEGRNSASRNGISISDSRRSINTPSLGDRPERNVASVRTRRAVSGQARGRLSNQGNANPVAPNDSLATITPLPCSGGLNVPCVSHHSSVEAALSRPGSYIMPVTSSEELYGVMPTSPSEYGITRSLISREGFRRRYNMDGIAEVMLALERLEQDVELTHEEEYLVEDEVGSLKCEHKYHAVCIQHWLRLKNWCPICKAPVGPSNSSSSSH >OIW14534 pep chromosome:LupAngTanjil_v1.0:LG03:27196852:27199275:1 gene:TanjilG_12933 transcript:OIW14534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGWAIAVHGGAGVDPNLPLQRQEQAKQLLTRCLNLGISALRSNASAIDVVELVVRELETDPLFNSGRGGALTEKGTVELEASIMDGSKRQCGAVSGLTTVKNPISLARLVMDKSPHSYLAFDGAEDFARKQGVELVDNEYFITPENVGMLKLAKEANAIVFDYRIPLVGHETCGADTESPLQMNGLPISVYAPETVGCVVVDGEGRCAAATSTGGLINKMSGRIGDSPLIGAGTYASNLCGVSCTGEGEAIIRGTLAREVAAVMEYKGLGLKEAVNFVIDHRLDEGKAGLIAVSNTGEIACGFNCNGMFRALATEDGFKEVEIW >OIW15628 pep chromosome:LupAngTanjil_v1.0:LG03:4479338:4480070:-1 gene:TanjilG_08204 transcript:OIW15628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLVAPRTGDAIFANVERVNVELFTLTYGAIMCQLLTDLEEVDEGYNIGIHLIDEFLAKSNVSTCVDFREAIDVIAKPARIVKLAT >OIW15717 pep chromosome:LupAngTanjil_v1.0:LG03:219788:220462:-1 gene:TanjilG_04252 transcript:OIW15717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSQILSVSGWELIDGLDMEDYPIVTEGTKVDLTIKPVEPTHPNDNNNNHNHPNKMQITIKFSARQINVEVDQTDTIRSLKEKIHIIDSTPIKRMTLYFSGRELDEDFRNLNECGIHEFSEIVVFLKAVNRSRDEPPARKLSIVVQTSSSLLNAATIPLEMRDINTVNDLKQLLLSRKTLPLDDYLFIHRQRIMRDSCSLRWHGVENGDCLYVFKGTVSRAGYV >OIW15873 pep chromosome:LupAngTanjil_v1.0:LG03:1251856:1255292:-1 gene:TanjilG_04408 transcript:OIW15873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRATFFISKIPPFFSILTHSIPPRPFLSLHLNNRSSFSHNAKRTLTVTSDSLSIKKTPQRDDKTSVKLLGRARRKAEKESPEGVLKSKLGQCSKAGNVVQALSLYDDARKKGVLLNVDHYNKLLYLCSIGEGDKNGDGKVSDLGLKRGFEIFQQMLNDRVEPNEATFTNAARLAAAKEDPEMAFELLKRMKSAGIAPKLRSYGPALYGFCKRGDAEKAYEVDADMIESGVMAEEPELSAILEVSVEAKREDKVYEMLHRLRATVRQVSDSTLQIVEGWFNSDYATKIGKKNWDVNKIREGIVRGGGGWHGQGWLGSGQWKVVNTHVNEDGVCLSCGEKLVSIDIDPKETENFAISLTKLACQRETKGNFNRFQKWLDQNGPFDAVVDAANVGLQNMHHFSFGHLNSVVRQLRELSPTKRMPLIILHVSRVTGGPAQIPNNKRLLENWKNNGALYATPQGSNDDWYWLYAAVRSKCLLVTNDEMRDHLFQLLGSSFFPRWKEKHQVRISVSNRGPSLIMPPRYSIVIQESSNGSWHVPTITADDDHEVPRKWLCATRSGQKSLHNLWASNSNA >OIW15119 pep chromosome:LupAngTanjil_v1.0:LG03:22273343:22273846:-1 gene:TanjilG_08606 transcript:OIW15119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMICSSLCRHALKYSKLPENLRLLLYSTLAELIKMLKSVPICELQKKHKDTISGHLATFGHCSLSNVWLDEVEACINQPLHAADAHRLQALRDLELQYSKSNDILKREISSMSSLLEVGKANLLDVMTQKQNLLSGSSSYNAFFPLDI >OIW14755 pep chromosome:LupAngTanjil_v1.0:LG03:25178683:25182450:-1 gene:TanjilG_05376 transcript:OIW14755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDQLIQRLESAVKRLEALSTGFHGGASSRDGGDSPDASSDPAIVAFADLVDEYLGRVTSAAEKIGGQVLEITNIVREAFAVQKQLLIQIKHSQKPDLAGLAEFLKPLNEVIVKAARMTEGRRSDFFNHLKAAVDSLSALAWIAFTGKDCGMSMPIAHVEESWQMAEFYSNKVLVEYRNKDPNHVEWVKALKELYLPGLRDYVKGFYPLGPVWSSTGKNIAPSKASAPPAPAPPPPPPASLFSSESTQASSSKPKEGMSAVFQELSTGNVTSGLRKVTADMKTKNRSDRTGVVGTIEKENRAGSHAFSKTGPPKLELQMGRKWAVENQIGKKDLVIEDCDARQSVYVYGCKDSVLQIQGKVNNITIDKCTKMGVVFKDVVAAFEIVNCNGVEVQCQGSAPTILVDNTSGCQLYLSKDSLEASISTAKSSEINVLVPGAGPDDDWAEHSLPQQYIHVFKDGHFETTTASHSGG >OIW14736 pep chromosome:LupAngTanjil_v1.0:LG03:25316255:25324712:1 gene:TanjilG_05357 transcript:OIW14736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAIAGEEVVKWEKMQGVSGREENILVLLRLRPLNDKEISVNEVPAWECINQTTILYRNTLREGSTFPSAYTFDRVFRGDCCTKQVYDEGPKEIALSVVGGINSSIFAYGQTSSGKTYTMNGITEYAAADIFDYINRHEERAFVLKFSAIEIYNEIIRDLLSSENTPLRLRDDPEKGPILEKLTEETLRDWEHLKELISFCEAQRQVGETYLNDRSSRSHQIIRLTIESSAREFLGKSNSTTLAASVNFVDLAGSERASQALSAGSRLKEGCHINRSLLTLSTVIRKLSKGRQGHINYRDSKLTRILQPSLGGNARTAIICTLSPAQSHVEQTRNTLLFACCAKEVTTKAQVNVVMSDKALVNKLQKEVERLESELRTPGPPSNNGDYASMLRKKDHQIEKMEKEIRELIKERDLAQSRVEDLLLMVGNYQKSGKEKDDTWEDDNSVSESSSTYHPGHSDVRIRQFNNPHYNEEEDSESTPEEVVKWEKMQGVSGREENILVLLRLRPLNDKEISVNEVPAWECINQTTILYRNTLREGSTFPSAYTFDRVFRGDCCTKQVYDEGPKEIALSVVGGINSSIFAYGQTSSGKTYTMNGITEYAAADIFDYINRHEERAFVLKFSAIEIYNEIIRDLLSSENTPLRLRDDPEKGPILEKLTEETLRDWEHLKELISFCEAQRQVGETYLNDRSSRSHQIIRLTIESSAREFLGKSNSTTLAASVNFVDLAGSERASQALSAGSRLKEGCHINRSLLTLSTVIRKLSKGRQGHINYRDSKLTRILQPSLGGNARTAIICTLSPAQSHVEQTRNTLLFACCAKEVTTKAQVNVVMSDKALVNKLQKEVERLESELRTPGPPSNNGDYASMLRKKDHQIEKMEKEIRELIKERDLAQSRVEDLLLMVGNYQKSGKEKDDTWEDDNSVSESSSTYHPGHSDVRIRQFNNPHYNEEEDSESTPEEYPEEYCKEVQCVELEESSRDELEYPVPSTSDNGVLVLPLSGEENVTSQEILTPVDEEMEDRQFQANSTYSVLEQRLNDVQLSIDSSVGSYPDEQSPQAISSTMSNFRNLKLTRSWSCREHYMTGFSTPPNGFGQGFLGKQDSFQRKFLPLTVGASSRLSMNGSASSVDDSKSSSIRTSANEDITSIQTFVAGMKEMVKHEYEKQLVDGQDQHMFGKNVRDVGVNPMLELEAPPIEWPLQFKKLQEEIFELWQTCSVSLVHRTYFFLLFSGDPTDSIYMEVELRRLSFLKETFLNGNHSMEDGQIVPLTSSVKALRRERETLIKLMQKRLSSEERKSVFKKWDIPLDSKRRRMQLAYRLWSNTDMNHITESAAIVAKLVKFSEQGKALKGMFGLSFTPQCSQGRSYSWRSRRASLY >OIW15611 pep chromosome:LupAngTanjil_v1.0:LG03:4298286:4299790:-1 gene:TanjilG_08187 transcript:OIW15611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPVEPPNGIRNEGKHYFSMWQSLFEIDTKYVPIKPIGRGAYGIVCSSVNRETNEKVAIKKIQNAFENRIDALRTLRELKLLRHLQHVNVIALKDIMMPVHRKSFKDVYLVYELMDTDLHQIIKSSQALSNDHSQYFLFQLLRGLKYLHSANILHRDLKPGNLLINANCDLKICDFGLARTHASKNQFMTEYVVTRWYRAPELLLCCDNYGTSIDIWSVGCIFAELLGRKPIFPGSECLNQLKLIINILGSQREEDLEFIDNPKAKKYIKSLPYSPGIPFPRLYPNAHPLAIDLLAKMLVFDPSKRISVTEALQHPYMAPLYDPNSDPPAIIPVDLDIDEDLGEETIREMMWMEMLHYHPETAMMNAELCS >OIW15868 pep chromosome:LupAngTanjil_v1.0:LG03:1218059:1222568:1 gene:TanjilG_04403 transcript:OIW15868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKMRIEEVQSNTKKQRVATHTHIKGLGLEPNGRALPFSAGFVGQAEAREGAGLVVDMIRQKKMAGRALLLAGPPGTGKTALALGISQELGTKVPFCPMVGSEVYSSEVKKTEVLMENFRRAIGLRIKENKEVYEGEVTELTPEETESVTGGYGKSISHVIIGLKTVKGTKQLKLDPTIYDALIKEKVAMGDVIYIEANSGAVKRVGRSDAYATEFDLEAEEYVPLPKGEVHKKKEIVQDVTLHDLDAANARPQGGQDILSLMGQMMKPRKTEITDKLRQEINKVVNRYIEEGVAELVPGVLFIDEVHMLDMECFSYLNRALESSLSPIVVLATNRGICNVRGTDMTSPHGIPVDLLDRLAIIRTQTYGPAEMIQILAIRAQVEELVVDEECLASLGEIGQRSSLRHAVQLLSPASIVAKMNGRDNICKADLDEVCSLYLDAKSSAKLLQEQQEKYII >OIW14951 pep chromosome:LupAngTanjil_v1.0:LG03:23673525:23676457:-1 gene:TanjilG_30670 transcript:OIW14951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENKVEVVVAISNAGGENHDSKVIVESPQTKVFNDNEVFTKSPPLHCASPEIRFTPSPNKTPRVPTNGNLIRRKSLARLVYSKPKSRFGEQPYTLDGSVLEENSISTLQEQLAVNSPYRNSIGKAQLSKKPGPVTRTASTNSTITPKTSLIASPGLGGKDEGELIYMKVELSKGKRKRLTIKVLNDFFVFLFILANLVASLTIEKVKRTNIWGLRIWRWCVLFMVTFCGMLVTRWFMHFVVFLIEKNFLLRKKVLYFVHGLKKVVHVFIWLGLVLLTWELLIQQSELATKVLDGVTWTLISLLIGAFLWLLKTLLLKILASNFHVKSFFDRTQEAIFHQYVLQTLSGPPLMEEAEKVGGSQSIGQCSFRSTTSKGATKKEVIDMATLHRMKQEKVSAWTMKILVDAVMNSGLSTISNSLDESFYDGGTEQIDKEISNEMEAIAAAYHIFRNVANSTISKSCYMYIDEYDLRRFMIKEEVDLVFPQLEQAEMGQITIKSLTGWVLKVYQERKALAHALSDTKTAVKQLGKLVTGILVVVSIIVWLLLMGIATTKVLVFLSSQLVLAAFMFGNTCKNIFEAIIFVFVMHPFDVGDRCVVDGVELLVEEMNILTTVFLKTNNEKVYFPNSALATKPISNYHRSPDMVEIVELSIDFLTPMEKIGVLKEKIKGYLESNPQFWHPNHNLVVKDIENVNKIKMNLAVIHTMNFQEFSERNKRRSELVMEVKKIIEELNIRYYLLPQGVHLRHMEPDTTLPK >OIW15829 pep chromosome:LupAngTanjil_v1.0:LG03:966846:968414:-1 gene:TanjilG_04364 transcript:OIW15829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALHLHVPSCVGRFNSNFTSLSAPKVTTFATLSQSQSDSVNWVNATSTFFDQDKRPIMLFDGVCNLCNGGVKFVRNNDLKKTIRYEALQSEAGKMLLRRSGRAPDDISSVVLVERDRSYIKSEAVLKIMEYINLPFPQLAFLLQFVPLFVRDFVYDNVANNRYTIFGRSESCEI >OIW15899 pep chromosome:LupAngTanjil_v1.0:LG03:1440839:1446175:-1 gene:TanjilG_04434 transcript:OIW15899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKPKLLHGTIEATIFNATPYSPSFPLNCICANGKPAYVTIKIGNKKVAKTTEECERVWNQTFQIQCAHPGDSTITITLKTSCSMLGKFHIQAQELKEGSLINGFFPLLVDNGKPNSRQKLRFILWFKPAELEPSWTKLLRNGEFQGLRNATFPQRSNCRVKLYHDAHHSSSFQPPFDLIGAPRNLWEDVYIAIEDAKYLIYIAGWSFNPKIVLVRDPQTEIPHAREVKLGELLKKKSEEGVAVRVMIWDDETSLPFIKNKGVMNTHDEDAFSYFKHTKVICRKCPRLHHKFPTLFAHHQKTITMDTRVHNSVNEREIMSFLGGVDLCDGRYDTEKHSLFHTLDKESRSDFYQTNIAGASLNKGGPREPWHDAHACVTGEAAWDVLTNFEQRWTKQFDPSVLVPENTLVNLVPRTSSSTLSERDWKVQVYRSIDHVSASQLFRKLTVERSIHEAYVEAIRRADRFIYIENQYFIGGCHLWEKDKYSGCTNLIPIEIALKVVSKIKAKERFAVYIVMPMWPEGVPESEPVQDILHWTRETMKMMYRLIGEALQESGEQGHPRDYLNFFCLANREHKKEGEYLPPHSPHHETQYWNAQKHRRFMVYVHSKLMIGSANVNQRSMDGQRDTEIAIGCYQCKDYGADNQIEHGDIHAYRMSLWYEHTASADGLFLEPESAECVQRMRSIGDKMWKIYNGEENVDMEGVHLVTYPMKNMTNYDTIPTSTTPTTTTTTTTTTAANLEFISRAKQRIQESLAMCRPWNLMFNLHSFSLPHGIIDIVSRIRSNLSYFHMNYTILILILVLVLNLGLLWYPISLIIFVSLMAAWLFLGDQMIMIFGYNINGWVVQFISVVVFVGLLVFTGIASNIFVALLIGAVLVVVHAALRRTDDLFVDEEQVASLTSTAS >OIW15440 pep chromosome:LupAngTanjil_v1.0:LG03:8952149:8952874:-1 gene:TanjilG_28639 transcript:OIW15440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATIFSSHSSLFSSSATASATTPTPRKLPNPLLHIPLSKQPLTNLTAAVAIATILTTAPPSLADTSTTTYQLYYGTAASAANYGGYGGNSSKKDSAEYVYDVPEGWKERLVSKVEKGTNGTDSEFYNPKKKTEKEYLTFLTGFRQLAPKDAVLNNLALSDVVLQDLIASAEGLSSEEVKDDKGQVYYVYEIDGVGTHSLISVTCANNKLYAHFVNAPTPEWNRDKDVLRHVHESFKTVGSF >OIW15271 pep chromosome:LupAngTanjil_v1.0:LG03:15126454:15126966:1 gene:TanjilG_08068 transcript:OIW15271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPTLLTPTSTPTKSLPPLNPKTTTISATLTPPTTTPRRRVFLSMTASTTTCLFLLPLTPALAASDEEYVKETEEVINKVRTTITLDKNDPNVAEAVAELRDTSNSWVAKYRREKNLLGRASFRDMYSALNAVSGHYISFGPTAPIPAKRKARILEEVTTAEKALQRGR >OIW15860 pep chromosome:LupAngTanjil_v1.0:LG03:1192240:1193818:-1 gene:TanjilG_04395 transcript:OIW15860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSESFSYTPPTTMALLTLAVPISSLFARPSTSSSSSNLILLPLHTPRFHRHVVRMAPDEEKMTRRSPLDFPIEWERPKPGRRPDIFPQFSPMKTPLPPPLPADPPEEDEEEEEKKEEEEEDPEKEESDKPEKY >OIW15786 pep chromosome:LupAngTanjil_v1.0:LG03:678012:678917:1 gene:TanjilG_04321 transcript:OIW15786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMEEQLVELSHNNNDANHVVKGKRTKRQRPLSPCAVAATAVTSSCSSATSGAGDHGSTFSSPTTTFDKTRNEEEDMANCLILLAGGKREGNDARNNKNMTIAPTTNTTITATATTITTTTTKIGFYDYECKTCNKTFSSFQALGGHMASHKKPKMTLEEKKLPPSLSSSGIFKIKEANQTHIKSSPQIPTSLQLGCPNNKTFDGNKSKFHECSICGAEFTSGQALGGHMRRHRTSTNSRTNTSTKTSFDATSTTIEVKPRNVLEFDLNLPAPDEDISDSNFQFTTTSKSMVLPAMVGCRY >OIW15074 pep chromosome:LupAngTanjil_v1.0:LG03:21383667:21391527:-1 gene:TanjilG_08561 transcript:OIW15074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHSTSSLLHHNNNNHFLFFPFNSNPNNTFHSHTLSFFKSLTSPSSSYSLSSSSASLPYSPLCCRVARVSTEHLELSPPQPGFNFRREIERLAALRDKLAACETLDEKLRLIDGDSRVRRFFSGSRQNAFSRVLATVDLCREEVFLLKCLVAAGQQHVLEIGGNGFDDSVSSSSSSVSSLKSALYSLAEMIENWDSYNRNGGGGGFGNKMGMALEDEEIRELKKLLASLAEIERFYDCIGGIIGYQMKMLELLVQKMFERQNINWSQDRHGMKECQISGIDAPKGLDLSENTEYASQAALWGIEGLPDLGEIYPLGGSADRLGLVDPNTGECLPAAMLPYCGRTLLEGLIRDLQAREFLYFKLYGKQCITPVAIMTSSAKNNHKHITSLCERLSWFGRGRSTFQFFEQPLVPVVGAEEGQWLITKPFSPLNKPGGHGVIWKLAHDKGIFKWFYNHGRKGATVRQVSNVVAATDLTLLALAGIGLQQGKKLGFASCKRNSGATEGINVLMEKKGLDGNWEYGVSCIEYTEFDKFGVTDGHLAPKSLQADFPANTNILYVDLRSVELVGSSKNENSLPGMVINTKKPTVYVDQFGLRHSVSGGRLECTMQNIADNFSNKFSSRCLNGVEDKLDTFIVYNERRRVTSSAKKKRRHGDKSLHQTPDGSLLDILRNAHDLLSQCNIRLPEIKANENYVDSGPPFLILLHPALGPLWEVTRQKFYGGSISEGSELQVEVSEFLWRNVQLNGSLIIKTENVMGSMKINESGESILHYGQRCGRCRLQNVKVQNKGIDWTYGGNIYWKNDVQRSEVLQIILHGNAEFDATDVVLEGNHVFEVPDGYKMKITAGSPGLAIQLDPIDPRTVDSGSWHWNYKIEDSHIQLELVES >OIW15885 pep chromosome:LupAngTanjil_v1.0:LG03:1340529:1343977:1 gene:TanjilG_04420 transcript:OIW15885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDERSINEVSPQLLDLISNEKEWNIKKSEGKCSEEKELELKLGPPGCEDNMKNNINNTSTIERDHSLLSLGYFPNNGFQKQNLSTSDIPSKAIYPSPWSSSTSYHHQHQYKGNNKALSFLVMGNDASPKVGATEKKVLSPSSANTAVSNNTSQKRNAPGSVVGWPPIRSFRKNLANSSTSKPSHGSSQQQKQHDKVVGKKHVDNYVVGGSKSLFVKINMDGVPIGRKVDLNAYYSYENLSSVVDELFRDLLAAQRDSSGVRVHNKKEEEKPITGLLDGSGEYTLVYEDNEGDRMLVGDVPWHMFLSTVKRLRVLKSTELSAFTLGSKQEKIPIDSAMK >OIW15584 pep chromosome:LupAngTanjil_v1.0:LG03:3972897:3974856:1 gene:TanjilG_08160 transcript:OIW15584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIAPSLSLPHLHSSFICCPLKSFSPSLKTRIQPKPTFYPRIRALELDQNTVLAITVGVVSVAVGIGVPVFYESQIDNAATRDNTQPCFPCNGSGAQKCRFCLGTGNVTVELGGDEKEVSRCINCDGAGSLTCTTCQGSGIQPRYLDRREFKDDD >OIW14614 pep chromosome:LupAngTanjil_v1.0:LG03:26344355:26347228:1 gene:TanjilG_32956 transcript:OIW14614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVNFDLNAPHSMGTTIIGVTYDGGVVLGADSRTSTGVYVANRASDKITQLTDNVYVCRSGSAADSQVVSDYVRYFLHQHTIQLGQPATVKVAANLVRLLAYNNKNFLQTGLIIGGWDKYEGGQIYGVPLGGTLVQQPFTIGGSGSSYLYGFFDQAWKEGMTKDEAEDLVKKAVSLAIARDGASGGVVRTVIINSEGVTRNFYPGDQLPLWHEELEPQNSLLDILGAPEPMNI >OIW16001 pep chromosome:LupAngTanjil_v1.0:LG03:2275766:2282862:-1 gene:TanjilG_04536 transcript:OIW16001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSLLKEDEKNERIIRGLLKLQHNRRCINCNSLGPQYVCTNFWTFICANCGGIHREFTHRVKSVSMSKFTSQEVKALQEGGNQHAKEIYFKEWDAQHQSFPDSRLRDFIKHVYVDRRFTGERTNDKPPRVTTDDKEDSYENRRVETDQGGSKSPPFERRYDDRSSSGGRSPGYDEESRQYGDYKRGFGYPPVVNDWRREDHRISESQSPERTKDLDSSSSPVVRPVRDILGENVIPLRISDPPKPDSGGAAYGSALTQRNASSTSLTSNNANPMPEDVKLETIKSLIDFDADPEPPAAPAISQAQQTNMSQPAVQPANPSDDNWASFGVAPGAKATPRPANINTLESVLSQLSVPASSPAHVPGVQGGPNVATAIPGGSMMTLPRTDDLSVTPAGGGPASSFTFASGPARVGGFSAFPQSGALAPSPGFTTVSPLNNSGQWANFQHYQPLFPVTVNQSTTQQFTPPVGGAVNNQPWNAPSVQRHPSTPMPHAYHHASKPANDTISSVVSQPSTVEVNPSGRKALPEDLFTVKYSSFPAPVRGWQMGPPRGMGIPMQYNNVVPVGSFPQPTRSTNPFDIGSEQAPNQAPTFPSMSPLQGALPSVAPSVSVHLSGPSYPSHAWTPLPSSSYVSVLPAQAQTHGLAFGPRAYMGQQMPTNMGQHVPPNIPIPIPRQESNPYQQLTGNSSTNPNPHPFPGGGNPFG >OIW14790 pep chromosome:LupAngTanjil_v1.0:LG03:24967736:24969685:1 gene:TanjilG_05411 transcript:OIW14790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSLGIPVKLLHEASGHVVTVELKSGELYRGSMIECEDNWNCQLESITYTAKNFCRFMVIPDMLKNAPMFKRLDARVKGKGASLGVGRGRAVAMRAKAQAAGRGAPPGRGAPPVRR >OIW15765 pep chromosome:LupAngTanjil_v1.0:LG03:513154:513642:-1 gene:TanjilG_04300 transcript:OIW15765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSVVRYQHILSTEMGSLQERITYSKERSITSLQADNIFRFVQAGSEVSALLGRMPSAVGYQPTLSTEMGSLQERITSTKEGSITSIQAVYIPADDLTDPAPATTFTHLDVTTILSRGLAAKGIYPAIDPLNSTSTMLQPRIVGEEHYETAQRVKQTLQCYK >OIW15494 pep chromosome:LupAngTanjil_v1.0:LG03:7452837:7455843:1 gene:TanjilG_32898 transcript:OIW15494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMKSLSLDHWRSYFRSANSDIFEIIHHAIMVAASDCPKEFRLRRDKIAETLFSCKLSRCVGCDRVELVVSAGDKEHNNVDNDDDDDDDVEFEAGASKESKVNSGGIREDHSDMNVNHVSNFSFGDAEALTDEIEEESQYIGEVLRIKDILLNHEEESDSVLFESLRRLQLMELTVDRLKATEIGKAVNPLRKHGLNEIRQLARTLIGEWKEMVDEWVKATSSAALAASEGTPDSVNPSVVDDDEEGLPSPPMDEGAFFVTQAGSIELSQFFDGIDDYGNPRQSGQFNRNRENGRKPSMDSHTIEKGIMQASNATSITRKDSKSQQAKKNEVAVRLNKPLTADSGLGRPPKSSMQRKSNAEPKMQQKVDSNTFTKRPPIGQQDKLKYSDDAAVQVKLEATKRKLQERYQQAENAKRQRTIQVMELNDLPKQAVVHRNPHFKPGNHNRHWGAQARR >OIW15619 pep chromosome:LupAngTanjil_v1.0:LG03:4360883:4364790:-1 gene:TanjilG_08195 transcript:OIW15619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAEALAEEHDVAQTYPPIILGLQPSALVDHVARVDSSFLIHIPGEPGGSIPVAVEEVEYILRKMNTHVLSSPDHASPIKTMAGGSVANTIRGLSSGFGISSGIIGACGEGEKGELFIHNMNLNGVDLSRLRKKKGHTAQCVCLVDALGNRTMRPCLSSAVKVKAEELTKEDFKGSKLLVLRYAILNLEVIQAAILLAKQEGLLVSLDLASFEMVRNFKQPLLKLLESGNIDLCFANEDEATELLRGEPNADSVAAVEFLAKYCKWAVVTLSANGCIAKHGKEIVHVPAIGEAKVTDATGAGDLFASGFLYGVIKGVSLEECCKLGTCSGGSVIRSLGGEVTRENWQWMYKQMQIKGLLMPDIYPNE >OIW14699 pep chromosome:LupAngTanjil_v1.0:LG03:25595272:25597047:-1 gene:TanjilG_33041 transcript:OIW14699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMELAASYSPPTWATLIAAVFLLITVTLSIYLLLDHLSAYKNPEEQKFLIGVVLMVPFYSVESFVSLLNPSISFICAILRDYYESFAMYCFARYLVACLGGEDRTIEFMEREGRSAFKTPLLHHSSHNRGIVNHPFPINFFFKPWKLGHAFFQVVKFGIVQYMIIKSLTAITAVILEAFGVYCEGEFKLGCGQCPNHNLDVNSVLLSPLALLHGIAHVFCQFPRAP >OIW14559 pep chromosome:LupAngTanjil_v1.0:LG03:26875890:26881657:-1 gene:TanjilG_14945 transcript:OIW14559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYDPMNQIVAIDDNNDTSATIQPIDDNHHTHIIHYEDTNDDVNEDGSTDNRVYVSGPINMATQALDDSSQLTLSFRGQVQAVLLLLGGCEAPSGSQGVEGPPLDQRGVQEYPKCSQSQRAASLVRFRQKRKERCFDKKVRYIVRQDVALRGLKCSQMLLVECFTIIVQSGTIVPGHLAAIASANVCPKFVIHPVRIWHSVADLQSPHYSLDCFCCEINIFHDIVSTFPLIETVSPVSRMQRNKGQFTSAKKQDGSNGWGADQESEQDVQSETSCTHCGISSKSTPMMRKGPSGPRTLCNACGLFWANRGTLRDISKRNQERPLAPPEQVGEANNNLDCGTPIPAHNSLVTFTDDNKPAAMVSDH >OIW15925 pep chromosome:LupAngTanjil_v1.0:LG03:1627075:1630038:1 gene:TanjilG_04460 transcript:OIW15925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPNQVSVSVAELEEGLKVLDSSISLINWRLKPSSKRRLHLDILALCTRLRPVVMIDYGGKMPELQYCLSSLLQLSQKESPIFEHIRVMVIQDMIYLIHLTELAHHVRSTFNSETQLLFVDLEHESPEMITQIEKNQLAMQLKSIQKFFLTVFPSDGMNNPLPSPEAKCLDNNNESTSQHVHSLSTECIDLSNCMDNTEVTLPTLNGWLLGYPVVYLFGKEHIADAIYNLSTKYLHIFQVFVSRRNSTLKKGTQPEELLSFSVPYGLSMRGNNEQWAETFLAHMRAKWERCANVWKSLNMEVSECHPQAIVL >OIW15070 pep chromosome:LupAngTanjil_v1.0:LG03:22883049:22883654:1 gene:TanjilG_13997 transcript:OIW15070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPNQQTVDYPSFKLVIVGDGGTATIGVEVHPLDFFTNCGKIRFYCWDTAGQEKFGGLRDGY >OIW14374 pep chromosome:LupAngTanjil_v1.0:LG03:29853199:29854458:1 gene:TanjilG_15728 transcript:OIW14374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVEKMMHENKKMKKNGRLVWSIELHNKFLDAVNQLGIEKALPKKILELMNDENLTYQHVASHLQKYKLHLKRASSSVLHQQKCSLNNPLAQNMHELSSFTSIQQPGQSNNTNNEDIHTQMRVFSTSIPSNEQFESWKDHSLDAIYHSNLIGAFDPKGSTLTNSTFKNSSANLVSASSNCNLCSQLVIEIAELKREMAATRDENCKLREIIQDMMARQDMLIHAVLQVVPPSSISSIWPKYSPMSYSSKWVTNFSNVQ >OIW15730 pep chromosome:LupAngTanjil_v1.0:LG03:280377:286749:1 gene:TanjilG_04265 transcript:OIW15730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESVSLDMERIYLGGKLNIIIHIVPLELPQEFFQLGAASICHDDHIPSAEDLADQIIEVLNYFGLGAVMCMGVTAGAYILSLFAIKYRDRVLGLILVSPLCKAPSWTEWIFNKVMSNLLYFYGVCGLLKECLLQRYFSKEVRGNAEVPESEIVEACRKLLDERKSVNVLRFLHAINQRPDITEGLKRLKCRTLVFVGDSSPFHSEALHMTSKLDRRYSALVEISEYQIIEMAENICNLKKAEADWILRIDIVEKEDRLELVEQDSEGQCNSECKTIERACQEVMGYSDTDVAEYLYKSKPDVDSLLNYLCKDLTKACSKKPPPVPKDRTPGEPFVAKSDKEAEMEKLLKSMEGMPGAPGMKMYSRDDLMNKNLGDEDADDEDEDDDEADLPSKLGKVLREKESGKGDWKQVIIKGIADTSTTLKKHADRVSNRIRKWWQGKKTTTTKKGSKAWKSEL >OIW15831 pep chromosome:LupAngTanjil_v1.0:LG03:987877:989400:1 gene:TanjilG_04366 transcript:OIW15831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGSVGNPLHLKSVNHISLICGSVEQSMNFYRNVLGFFPIRRPGSFNFDGAWLFGYGIGIHLLRAEDPEDLPRKTEINPKDCHISFQCESMEAVEKKLKDMDIDYVRAMVEEGGIQVDQVFFHDPDGFMIEICNCDSLPVIPLAGEMVRSCSRVNLQMMQQKKSQVVHQL >OIW15441 pep chromosome:LupAngTanjil_v1.0:LG03:9005995:9009145:1 gene:TanjilG_28640 transcript:OIW15441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARVLCKFFAHGACLKGEHCEFSHDWKVPSNNICTFYQKGACVYGSRCRYDHVKASRLPSSTPSSSVTERQPVVLDSVIFANTIMTSNGVGTAADVSPSGGAYLLPSQPAWIQESEYQDFSGEDDIGQSRITSPSELPICSFAAAGSCPRGEKCPHIHGDLCPTCRKHCLHPFRPEEREEHMKSCENRQKHLEALKRSQEIECSVCLERVLSKPTAAERKFGVLSECDHPFCISCIRNWRSSNPTLGMDVNSTLRACPICRKLSYFVIPSVIWYSTSEEKHEIIDSYKAKLKSIDCKHFDFGEGNCPFGTSCFYKHAYRDGRLEEVVLRHLGAADGDTVIAKEIRLADFLANMHLR >OIW15976 pep chromosome:LupAngTanjil_v1.0:LG03:2018064:2018495:-1 gene:TanjilG_04511 transcript:OIW15976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKTSEKFSQRIKITSSSGLNLKATELRLGLPGSESPERKDENNVMYPLSLHNKCLVSGAKRGFSDAIDGGSGKWILSGNGDSEVGLGKDGNLFSPKGVIAAKALVGAECTNQQKVAGVKDKVPHSSKILNEKKPQISATVAM >OIW15525 pep chromosome:LupAngTanjil_v1.0:LG03:5922725:5923645:1 gene:TanjilG_13831 transcript:OIW15525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEESVSSSPTFWAALFSWFTPTVFFVVLQLVIGTIYITSTLANNHKNHHQEQQQQQDPQENHVFQQQLARSPSVLQRLKSINYYSPYSYRSQDPYNQNTQEFQTHEQQQQQQQQQLLRSPSLLQRFKSINLYSYFPTEPLSHNLATTNDTNVSNTTITYEPEDQLQQQQHVYNMAVVEKDEVNEEDDVVGKILFQHNLKEHELPEQGGYIARTQSDTKPTSGEVTVKLPKKMKKSASSKSAFSHFKEDEVVESRRPATMREKKASGSAEEDVEVDAKADDFINKFKQQLKLQRLDSIMRKEVIGD >OIW14713 pep chromosome:LupAngTanjil_v1.0:LG03:25531554:25534401:-1 gene:TanjilG_33055 transcript:OIW14713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLSTIFGFFGFGFGISIGLIAGYFLFLFIQPSHVQDPQIQPLLDQDSETLQRMIPEIPLWIKNPDFDRVDWLNKLIQCMWPYLDKAICKTVENIAKPIIAEQIPTYKIDSVDFEELTLGSLPPTFQGMKVYVTDEKELIMEPSIKWAGNPNVTIAVKKFGLKATVQVVDLQVFVAPRITLKPLVPSFPCFANIYVSLMEKPHVDFGLKLLGADLMSIPGLYRFVQELIKDQVANMYLWPKTLEVQILDPTKAMKRPVGILNAKVLKATKLKKKDLLGASDPYVKLKLNGDNLPSKKTSVKHKNLNPEWNEEFNLVVKDPESQVLEFHVYDWEQVGKHDKMGMNVIPLKELSPEEPKSFTLELLKTMDPNDVQNDKSRGQIVLELTYKPFKEEELEKGFEKTQSLKVAPEGTPEGGGLLVVIVHEAQDVEGKYHTNPHVRLMFRGEEKKTKHMRKNRDPRWEEEFQFMVEEPPTNDKIHVEVVSTSSRNLLHPKESLGYADISLADVVANKRINEKYHLIDSKNGRIQIELQWRTSA >OIW14514 pep chromosome:LupAngTanjil_v1.0:LG03:27850735:27851930:-1 gene:TanjilG_12107 transcript:OIW14514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDLRTHQPTNSFHLSDGTRHRTNNYYDDTWEDTNRLWLSKGVFDADESDICSPPLWKTSPSSSPKDEENNDYRSLSPKSRKEAIVKGQRELMEMVKNMPESNYELSLKDLVDNHHQSVDSRQEKKVEEKNKSGGGSGRRVVVVDKMGSVKRKNGVKVDHGDGFYLKMVFPFALRSKDKKNKMSMKEINSKVSAKPSLGFEKEWWKKSTSASKESDSGVWSINSGSMKSSSGSSSSSRHEKSGGHCWYFIRRPKSQTKK >OIW15698 pep chromosome:LupAngTanjil_v1.0:LG03:116071:118395:-1 gene:TanjilG_04233 transcript:OIW15698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLKERLLPPKPASALNLRETANRPSASGRQPLQGVDVLGLKKRGQGLRSWIRVDTSGNSQAIEVDKFTMMRRCDLPARDLRLLDPLFVYPSTILGREKAIVVNLEQIRCIITADEVLLLNSLDSYVFHYVMELQRRLTTTGVGEVWQTDSSDINRRRGNRSFENAFNNTSPDYLPFEFRALEVALEAACTFLDSQSCSCHFQKAAELEIEAYPLLDELTSKISTLNLERVRRLKSRLVALTRRVQKVRDEIEQLMDDDGDMAEMYLTEKKRRMEFSFNGDQSLAGYRSVDGASISAPVSPVSSPPESRRLEKTLSIARSRHESMRSTESATESIEELEMLLEAYFVVIDSTLNKLTSLKEYIDDTEDFINIQLDNVRNQLIQFELLLTTATFVVAIFGVVAGIFGMNFEIPLFDEPHAFNWVLIITGVCGVFIFCAFVWFFKYRRLMPL >OIW14492 pep chromosome:LupAngTanjil_v1.0:LG03:27519723:27521602:1 gene:TanjilG_12085 transcript:OIW14492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKDKNLRYETPTTLFLILLLSFTTILLPQIDAIWLTIPNSGTKCLSEEIQTHVVVLADYYVVADDTEGHHLHTISAKVTSPYGNNLHHNENVTHGQFAFTTIESGNYLACFWLEGNHQGSATISLEWKTGISAKDWETVAKKERIEGVELELRKLEGAVEAIHGNLLHLKNREAEMREVSEATNGRVAWLSIMSLGVCISISGLQLWYLKRFFRKKKLV >OIW15476 pep chromosome:LupAngTanjil_v1.0:LG03:6901870:6902310:-1 gene:TanjilG_32880 transcript:OIW15476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIISNTMMNSVQQRTPTKRSKPKKKNQNNIKPMKVVYISNPMKVKTSASKFRALVQELTGQDAESPPDPSRFQESGHDNDGDCNTSGYKMISDNWFVKIGHDDEINDHTRVAPSAVDPNNYCQGHGQVANESSSMKSFEHFSYAT >OIW15688 pep chromosome:LupAngTanjil_v1.0:LG03:3096539:3097435:-1 gene:TanjilG_10970 transcript:OIW15688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLTEYLLEPRQGIITNMTRSFKVHNLIVGYFDATLEASLCCDNILEGINSMRLAKRRITGVVMLSKRVLDFTNENDQTLKDLYKELASFSFQNNPLSIISTIQFHDIHDRYIKLLHILKSKRRGIQRTLLLKKVCKRLGGIALVTSHCAILIAILVFSFHSIVGLVAAPTIVGGLVGLFMKRIKRVHERFRTSYSERLCDQLDVAAKGVYILVNDLDTMGRMVKRLHDEVEHWKMIADVCVKNTKGEILKQVLWDFNEHESSFLEQLEELEEHVYLCFLTINRSRIQVMQEITDKEH >OIW14429 pep chromosome:LupAngTanjil_v1.0:LG03:29214966:29216959:1 gene:TanjilG_20875 transcript:OIW14429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSTTASPHHHHWELRNDDGFVYKLKKRRIDPSASHPPPSTDAGEAAAAEKDAELRRRERKKRTLLKLKVKYESEILHWDNLSNTLCAMQDRAVQIQQEREVTPSLASSSEVAKDVDSVGGSLLDELLTQVEAQEAIIDDFSNLCDVAEAICHKKEEQFKQSLFNLPIWASPHELMKSLCDD >OIW15847 pep chromosome:LupAngTanjil_v1.0:LG03:1095911:1101359:-1 gene:TanjilG_04382 transcript:OIW15847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSVVEEDDIEYESDPEDAKRLLGMWRRREASDDEDDDGMDNTPNRRVIHSDDSEGEGGVADYDDEEELEEEEEDEGVEEEVYEEKGIEEEGGVVNGTVLVKDSDAVDVNTPLEEEDSGNKDSEEKKENEPFAVPTAGAFYMHDDRFRDNAGARNRRMNDGRRLWESKDDGKWGHDKFEEITVQERHSKEGRRPSRGSYRGGRGRTRAIDRGGHIRGSRGEYDNSGSQGRVPKGVVRGRGPRRYEASNKKSNDPASQMENKRSFKPTEETSRISSDRTLAPTSSESDIAPAKKQVSSSLNYASPPFYPSGSSSKEINLTPKRDVQTSTTSRSFRSVDEGFSVQQNNAPLRGKNAVDSINMDKFYIDESVNPSVGKAINNLQMPPPGSSGVNASHSPHLRRPGRGGTIPVQMNYQSAATSHNRVKKIPPTQYQAIQRNSAPVRTSTSVQAPASRLGHRPGSGLRASSPTKTDSGELDTTSELGKSKGTLVGKGRGASQGGGKGFVYDGPMGNVGGSQGDQNFPAFLPVMQFGGQHPGGIGVPAVGMAFPGYVQPQHGLGSSEMTWLPVLAGAAGALGASYCPPYLTVDGAYHARQSGQTSVPGTASKENDANKTNNELKPPQRPELVSDEFGQRQNKPRRQGVGYALVDAIGMIFSLLIIPMNGYALMQIFRDEFWAVKLPVLAGAAGALGASYCPPYLTVDGAYHARQSGQTSVPGTASKENDANKTNNELKPPQRPELVSDEFGQRQNKPRRQGVGYALVDAIGMIFSLLIIPMNGYALMQIFRDEFWAVKYYIAWSLFE >OIW15080 pep chromosome:LupAngTanjil_v1.0:LG03:21458735:21463804:-1 gene:TanjilG_08567 transcript:OIW15080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKNVMSFLVFLITLLLLFFFSFSISEQHQQNEAFNVRQHLSTVTRYGAVKHIAHNHFIPSNIPQGCVPIHLNLVARHGTRSPTKKRIKQLDNFSAHLQVLISDAKERNLPMERIPSWLHGWKSPWQGWLKGGELTSKGEEELYELGIRIRERFPNLFEEEYHPDIYPIRTTQVPRASASAVAFGMGLFSNNGSLGPGHHRAFAVTSESRASDIVLRFHDCCHNYKDFRKRKGPAVDKLKEPILDEITATLVGRYGLNFTRQDTSSLWFLCKQEASLLDITNQACSLFSPSELTLLEWTDDLEVFILKGYGNSLNYRMGIPLLEDVVLSMEQAIKAEEERRPPGTFEKARLRFAHAETVVPFSCLLGLFLEKSEFEKIQKEQPLQLPPKPRQKRKWQASTVAPFAGNNMLILYSCPANDKSTSKHFVQVLHNEHPIPMLGCNGSDFCPFEVFKEKIVAPHKKHDYDTVCNAKLERNRTGGKFSEIFQWLLSLGKDMSTY >OIW14697 pep chromosome:LupAngTanjil_v1.0:LG03:25650192:25651940:-1 gene:TanjilG_33039 transcript:OIW14697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGIMYIVLLCCLSTMWVVQGEDPYLFFTWDVTYGTLSPLGVPEQVILINGQFPGPNINSTSNNNVVVNVFNNLDEPLLFHWNGIQQRKNSWQDGVPGTTCPILPGTNFTYKFQVKDQIGSYFYYPSIGMQRASGGFGGLRVNSRLLIPVPYPDPEDDYTVLIGDWYTKSHSALSKFLDSGRSLGRPEGVLLNGKSAKGDGKDEPLFTMKPGKTYKYRICNVGLKNSLNFRIQDHPMKLVEMEGSHVVQNTYDSLDLHVGQCFSVLVVADKEPKDYYMVASTRFTKTILTGKGIMRYANGKGPASPEIPEAPVGWAWSLNQFRSFRWNLTASAARPNPQGSYHYGQINITRTIKLINTVSRGSDKLRYAFNGVSHIDTETPLKLAEYFEMADKVFKYNIISDSPSHDVGNTLIIQPNVLNITFRTFVEIIFENPEKSIQSFHLDGYSFFLVAIEPGTWSPEKRKNYNLLDAVSRHTVQVFPKSWAAIMLTFDNAGMWNVRSELAENRYLGQQLYISVQSPERSLRDEYNIPEGDACLLCGAVKGLPKKKLYSGF >OIW14356 pep chromosome:LupAngTanjil_v1.0:LG03:30071893:30097200:-1 gene:TanjilG_15710 transcript:OIW14356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWMREEDEDEEEEEDEDEEEEEDEDEEEEEDEDEEEEEDEDEEEEEDEDEEEEEDEDEEEEEDEDEEEEEDEDEEEDRMRIGGGRGRRWGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGG >OIW15169 pep chromosome:LupAngTanjil_v1.0:LG03:19660304:19661908:-1 gene:TanjilG_21145 transcript:OIW15169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPLISAASVIAAGLAVGLASIGPGVGQGTAAGQAVEGIARQPEAEGKIRDSCLVREEIIDVLKLNEWKDNLLSLSNLLDNRKQRILKTIRNSEELREGAIEQLEKARARLRKVETEADRFRANGYSEIEREKLNLINSIYTTLEQFENYKNETIRFEQQRAINQVQLRVFQHALEGALGTLNSCLNNELHLRTISANIGIFGGMKEIKD >OIW15228 pep chromosome:LupAngTanjil_v1.0:LG03:17746999:17748542:1 gene:TanjilG_08820 transcript:OIW15228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALNSLSTQIIALSDTASNYFCNSLEGLSKILRLSPTIAGVTLLSLGNGAPDFFATVVSFTSSNNGAVGLNSILGGAFFVSSAVLGIITILVSSQNVAIEKGSFIKDVLFFLFSLTILIIIISIGEISLLGSICYVSIYFLYVFAVSTTHFIYGWGNKKEKEFEVSSEDLLESGMPLLGYVDDEKVIEDKEEKLGNIDMNSFNFTHIVMFLQVVELPLCLLRKLTIPVVSEEKWSRPYAVLSVTLAPVFLAALYNTQGVNVSSMSCVVTYLTASLIGIVLGNMAFVTTKSCNPPKKCLFPWLAGGFAMSVTWTYIIAEELVSLLVSLGNIIGVSPSVLGLTVLAWGNSLGDLIANGAMALNGGADGAQMAISGCYAGPMFNTLMGLGLPLVLSAWSEYPNNYVIPEDPSLYETILFLMGGLLWALVILPNKNMKLDKSLGAGLLVIYFCFLFIRIAMAIGVLKF >OIW14935 pep chromosome:LupAngTanjil_v1.0:LG03:23811285:23813407:1 gene:TanjilG_30654 transcript:OIW14935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METVIMYNAPMNIYVRDSSVIDEISQHSTSNPLIQSYSFVDLNNQTHIINGISILAGEHGEQPISNIISDVPFINHASIANSSSLVTSQGKNVVGDSSNRINNTEYQEHLAAGMHITPVSLAARIDLDESLDNSTVLPPSMGALEPYVFNNWQATSDPLSETFQNHVYEKVPHRGYDEVTGGNMWNNVNKFPKATEIVETVCQPYSSIGNMDPNGWTSNISNLTNHAYNSSNFSNELSLSLTTSRTAGQCSEASFPDVSSNRELAMGSTKYVQFSPLVLGSRYLVGIQQILAQIARYSFEDVEQMNGSSSAFPTMRSVLVNDKENSKFEEPHAESPLQRHAAESKKSQLLVLLQLVDDRYSQCLDEIHTVVSAFHAATELDPQIHAHFALRMISILYKEMQERISSHILGMGSDFNKSCSDENNDRCVETSFIQKQWALQQLKRKNHQLWRPQRGLPERSVSVLRDWMFQNFLHPYPKDAEKHLLAVKSGLSRSQVSNWFINARVRLWKPMIDEMYAEMSQRKACRNEQGMESSHGNRRISMTHLN >OIW15754 pep chromosome:LupAngTanjil_v1.0:LG03:444151:446693:1 gene:TanjilG_04289 transcript:OIW15754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVVSMIAPAVQQHAAPVSTPRKKMTKQLTGKKDDNPLHSAARAGHLAVLKDIFANAEEDELHELLAKQNQDGETALYVAAEYGYVDVVREMIQYYDLVDAGIKARNGFDALHIAAKQGDLDVLKILMEAHPELSMTVDPSNTTALHTAAAQGHIEVVKFLLEAGSSLATIAKSNGKTALHSAARNGHLEVVKALIQKDPVAATRTDKKGQTALHMAVKGQNLVVVEELIKADPSLINIVDTKGNAALHIAARKGRSQIIKFLLGVKETDLTAVNRSGETALDTAVVTGNHDVKAILLEHGAQSARALKPQATTSAARELKQTVSDIKHEVHYQLEHTRQTRKRVQGIANRINKMHAEGLNNAINSTTVVAVLIATVAFAAIFTVPGQFVDDPDNIPAGMSLGEANIAPQASFIIFFVLDSIALFISLAVVVVQTSVVVIESKAKKQMMAIINKLMWLACVLVSVAFLALSFVVVGKQEKWLAIGVTIIGTTIMATTLGTMCYWVIRHRIEASNLRNIRKSSLESRSKSFSMSLSDSELLNNEFKKMYAI >OIW15490 pep chromosome:LupAngTanjil_v1.0:LG03:7337711:7338728:1 gene:TanjilG_32894 transcript:OIW15490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRLIPLFNRVLVEKIVPPSKTNAGILLPEKSTKLNSGKVIATGPGTHSKDGKVIPVSVKEGDTVLLPEYGGSEVKLGDKEYV >OIW14585 pep chromosome:LupAngTanjil_v1.0:LG03:26624281:26627386:-1 gene:TanjilG_32927 transcript:OIW14585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAGNFSNSGNLSHKVIAVAAGEAHTLILTGDGSVYSWGRGMFGRLGLDSEKDEIFPVQVKFQNPNGDTLKIVAIAAGAYHSLALSVFKMTKMTKLSNVVSNAELLSAKLLRLLMMDLFGAGVIISVSLECLESLGRIQFQILLVFTSIRFLTLYYIFIIHILDYCMDGQLGINGEDSNDINYTGRDYSLVPCLLNKFLELHVPDSSTDTPEAEGKESLKICAVKAGGMMSLAIDNHGALWIWGNCPQKVKEGELSLVSNFTPTPVWDFHGHTVVKVACGNEHIVALVSAGESYNGEDLVCYSWGCNSHGQLGLGDRDSRSRPEVVKTFDKESPWAVYEVACGAFHTALLTHKKKPHDTLESTCWTFGLGDNGQLGHGTTQSALFPTPVNELPQNVFFISVDCGLFHTSAVSSAGDVWSWGMEKGLGLCPDASHSEADSGDALLPLLISRMPYQPQFPDPVQVACGAAHTVIVAQEGYKIWSWGRGRSGALGNGREVDFYIPTIVLWPPLKEDFKEEELKSGDEQDKVKEKETEAITETDEKLSSALNEVKLLQSKLSTIEKYAGILHGCVFGKPFVEQDIPASLRNSGSFDIAKEWENMLEAADHRNLMRLEMFYRDMHAGVKDKLMKRRIKEIITECLQSSEVKK >OIW15485 pep chromosome:LupAngTanjil_v1.0:LG03:7121531:7124418:1 gene:TanjilG_32889 transcript:OIW15485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKLPERPLNGDVEDDDEDEANDGLEAWERTYAEDRSWEDLQEDESGLLRPIDDTAIYHAQYRRRLRALASTAATARIQKGLIRYLYVVVDLSKAASERDFRPSRMAVIGRQVETFIREFFDQNPLSHVGLVTIKDGIAHCLTELGGSPESHIKALMGKLECSGDASLQNALELVLGYLNQIPSYGHREVLILYSALSTCDPGDLMETIQKCKKSKIRCSVIGLAAEMFICKHLCQETGGTYYVALDETHFKELILEHAPPPPAISEYATANLIKMGFPQRAAEGSVAICTCHEEAKTGGGYTCPRCKVRVCELPTECRICGLTLISSPHLARSYHHLFPIVPFDEVSPSSQNDPRNSLSDTCFGCQQSLLTQGSKPGLSVTCPKCKQQFCLDCDIYIHESLHNCPGCESFQHSKSVIAAQ >OIW15600 pep chromosome:LupAngTanjil_v1.0:LG03:4216766:4219496:1 gene:TanjilG_08176 transcript:OIW15600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPNQSFSSGSFVEMLSQTPLLPHSYNESVGQNELKFITSIGDTVTMQTIDEHSNAEISNPASNSFVGSRESHVVPRTQFDSDQNIQCQGLSLSLGTVMMPPPFHYQYPDNGFSSLMTSSPPNVKGNASVNDDVAGLKELRNAECMASVSSGGFHNIIKREGLYHPNSSTCLSEGQSDSFLHGSPGFPNTVLNSQYLRAAQELLDEIVSVRKALKETELEKRQSFCDIGLDGTKDDGKSTCQSMQVSSVLTGSTTNTSSELSPAERQNLLDKKTKLLSMLDEVDKRYRQYCHQMQIVVSSFDMVTGCGAAEPYTALALRTISRHFRSLHDAISGQIQVIQRSLGEQEGIPRLRYVDQKLRQQKALQQLGVMRQDWRPQRGLPESSVSILRAWLFEHFLNPYPKDSEKIMLARQTGLTRNQVANWFINARVRLWKPMIEDIYKEEFGDAEMINNNLSSENIPNKAPKVQESDNNNNMNNNGPYSSAPITIKQNNDGCSLMVSTTPATYDLSELGNFSMGSHMSLALELRNCERNEFGVSNDDIHRRNNETLVSSSETDLLDYHFTDPENQQHKFGNPHLLHEFIV >OIW15289 pep chromosome:LupAngTanjil_v1.0:LG03:14761123:14763958:1 gene:TanjilG_08086 transcript:OIW15289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKLMPRMTHNTFFRFHSPFLNLKPLFIHRIVSSSFSTLHHKRVGTHSGTFHCDEALACFLLRLSKHFSNANIIRTRDPKVLESMDAVVDVGGVYDPLRCCYDHHQKGFEEVFGHGFVTKLSSAGLVYKHYGLEIIAKALQLDEEHPHVHQLYQALYRNFVEVANSVNYAVDAVDNGVNEYDLDEPPKYVINTTLSSRIKRLNLGWMDSDQSSDRENEAFHRAMALAGGEFLENVNYYAKSWLPARSIVMECLAARETIDSSGEIIKLNRSCPWKLHIHELEEEMKISPSIKYVLYPDDRSEKWRLQAVAISHTRFESRKPLPYLWRGLENDKLSEAAGIPGCTFVHMSGFIGGNQTYDGALAMARASLKA >OIW15062 pep chromosome:LupAngTanjil_v1.0:LG03:22829279:22832282:1 gene:TanjilG_13989 transcript:OIW15062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDIEEGVNESESKNSIEGLPPNPTAAIVYRQITRTDPLVLPCKNSLKIKAKLSDDYAESGCITEDCQSDFCPQLHSGAYADIGFRSTMEDVYICADNFVQNSGLKNHIDGPSAFYAVFDGHGGKHAADFACHHLPKFILEDETFPSDIERVVTSTFLQTDNAFAEACTLDAALASGTTALAAVVIGRLLVVANAGDCRAVLCHRGKAIEMSRDHKPACSKEKKRIEASGGYVCDGYLNGQLNVARALGDWHMEGMKARDGGPLSAEPELMTTKLTAEDEFLIIGCDGIWDVFRSQNAVDFARRRLQEHNNPTMCSKDLVDEALKRKSGDNLSAVVVCFQQQPPPNLVAPHSRVNRSFSAEGLKELQSFLDGLGN >OIW14533 pep chromosome:LupAngTanjil_v1.0:LG03:27235023:27235814:1 gene:TanjilG_12932 transcript:OIW14533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRIKGPWSPEEDEALQKLVETHGPRNWSLISKSIPGRSGKSCRLRWCNQLSPEVEHRPFSPEEDDAIIRAHSMFGNKWATIARLLNGRTDNAVKNHWNSTLKRKCSAVIVSDPDSPPLKRSASLGAGTTISGLFLNPESPSGSGFSDPGLPAIPTPQTKNDVSSTMDPPTLLTLSLPGSGSSNNGSGSGSKQTPNSSPTQFIPSVPEKQLFNEEFLAVMQEMIRDEVRSYMSGIEVQGGMCVQQTEAIRNAVVKRMGVSNVK >OIW15833 pep chromosome:LupAngTanjil_v1.0:LG03:998834:999936:1 gene:TanjilG_04368 transcript:OIW15833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEEGLDVQTAKNADHHGALIHHLALVRNKRCLMAYVYNRADVIRSLLWKIGHVLPKEIEEKLSHSEEVYFKKHSARLKSYMSSLQVDLTVDMVPPKDPYIKIRVLDDIGEGIVLSDDKTANFARHSIHFLKRTDAEQFISRGLMEELTG >OIW15675 pep chromosome:LupAngTanjil_v1.0:LG03:3581719:3586600:-1 gene:TanjilG_09613 transcript:OIW15675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHCALQGIGNDTPKGSEAVKDSISTLYQQQNNLASSKVCERSSLSRTNSGSRCAVVSFLNFEPDGTWRVIAAPVRVLNHINLACSVNMDGLQLLFSPPLNRLKIDRCKGPRGPPPPYAYSAKPFTRSVTGSNVYRRCQNKIANKASKPNELPKNSCSGSSSVCSSGLFPDNSAVVSSSDKFTSNSKADKPLKKKSRKNIRKKVKQNKQQSLDNGPTEREALNEEYVCVSLTSETCSSNDVDKEVGLMSYTTEPESSSSDDRLIKNDCARNENDIVNIMDPPKSCNSFIDEAEMLKATAPNIQNSAGDNATFEPKTQLRDRVHDLAVLDGETKDIQHVDIYCYNEIQDTMVLDSVSTGSKGDEIIKGDNIGKRSNKARQRIASNSGDGHYLGQHVTNGNCNNGEHNEEVRHDGQNCFGNSSRAKQKRTVSKSSSFNKFVGVGILHGRTGKENKHSVWQRVQKCNSVECGDGDMKKVSTTSSQFGSPVRRDPSVIRKCSSASDNFLSKMEDKKQFKHKAGRKSKGEMDLVSKKEHCTYSRKGSYFNQSMLNDNMKVSVQHNDNDQQGLSRVSGFNSDINCLVSGFQTSIVEQIAPELVQSADFHLEESDPQSSASRTVANMKNEHKDLQHSSLAIPCENMNQLNMTEEQHSVSSNHLDDELVQTEKEFPSADNNAQNHSSGPTLWKWIPIAKKDTSMAKSESNSLFTEYSDAPSCKNSNLENSVEPLVASFSQNQDSSLDISRRRMGLVYSKIYCLDEGEKQNSGKQVACSLTEHRDKYEVANHVIHECQNQDTLDNDSYRIAQAVNDACRAQLACEAVHMATGGPIAEFERFLHFCSPVICQSPDSASCLACSQDNAEGTSVCRHEMPDLSLRYLWQWYEKHGSYGLEIRAQDYEYSKRLLAVGQFPFRAYFVPSLSAVQLFKNRKSQRLNSSDKCEMSDISEHSSTTSQHPIFSVLFPQPRNQDASIQTPTKMSSINNASNSSIDSTCSGGLELLFEYFELEQPQQRQPLYEKIQELVSGAIPIQSKTYGDPTKLDSINLQDLHPRSWYSVAWYPIYRIPDGNFRASFLTYHSLGHLVRRSSNSDLPSLGASIVSPAVGLQSYNAQFIYAHVSYEQGECWFKPKHSAVATEVLGLNPSLLLKERLRTLEETASLMARSVVNKGNQMCTNRHPDYEFFLSRRRY >OIW15308 pep chromosome:LupAngTanjil_v1.0:LG03:14192218:14193894:-1 gene:TanjilG_10748 transcript:OIW15308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGFDDSDFSGGKASEFETPFFTPFQKPDFPKSDIEPFSIDFSLSPGGDSSADSMKESSGSCYSSLSSYSDSQSSNPSVNTDEKILPEGVKQGIHLDVEGNPDNILLEGEKKSYDELLRRFIKNEEELKVSNSKLQFSEMEITQLKIQIEESEGLLGNVRKELKMKEDDLEYEKGQVLELQKQTAELETHIPDCSHKIANLKIQIEESEGQLDNVRKELKNKEDDLEYEKGQVMELQKLTAELETHVPDCSNKIEKLVQELEVAQEQLKVSNDEKTRLKEELKSRYAINHELQCKVKEIQDAAQQSEATVQWLRDWGGKRSKELEDKITQHQANETEHDHEVRKLKAEIDDLKSDISRLSKSQKLLDSRLKEWEARSKVSQRKMKQSEAEKVKLEKLHANQKLLFKAEITSLKEELDHKRHDVEAVNKEFDQHKQKYDMLMTEIDEANAKVDKLMAEVSSRDNQIAKMKTKLVQLQAQQPELISRSEARLNLVNELKLKVEDLENKVTIQNAVISDRAEEKREAIRQLCISIEHYRSEYRELRRAFAGHEHHSVTAS >OIW14462 pep chromosome:LupAngTanjil_v1.0:LG03:28809156:28817314:-1 gene:TanjilG_19510 transcript:OIW14462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEPKQKCWRQQVEENLHRLHSLHFGAELALDNNDFHSAHIFSLRLLGFLDSHTVSAVDEAFVHPIRREVLAKVHSIRRSLVPHSDRYAFEQAKKTPGLVFGATGDIDIDKICKSKFFRALNENDDNQLGDQQGKQHKTCGKEPKERVQAKLTTISEKSTFKTNSSEDCMVVERPQSSTKGRAFFSFDEEERAFANTFGKKRAHLEIHSPKVGYANSPSSKEEIDSDGHGNGFVTARAKLEMEARQKRGGVGSPSAAVSPQCDNNPANRLYGGRSYGVSRRGVRGNFVPPIKSNGNNVGNMTSRIGGKSDDSLEDSTKRCLEMLYGPDGELPEKLRNLEPRLIEHISNEIMDRDPNVRWDDIAGLDHAKKCVTEMVIWPLLRPDIFKGCRSPGKGLLLFGPPGTGKTMIGKAIAGEAKATFFYISASSLTSKWIGEGEKLVRALFGVASCRQPAVIFVDEIDSLLSQRKSEGEHESSRRLKTQFLIEMEGFDSGNEQILLIGATNRPQELDEAARRRLTKRLYIPLPSSEARAWIARNLLEKDGLFKLSNEEIDIICNLTEGYSGSDMKNLVKDASMGPLREALKQGIEITKLKKEDMRPVTLKDFENALQEVRPSVSPHELGTYDEWNKQFGSLSL >OIW15942 pep chromosome:LupAngTanjil_v1.0:LG03:1779860:1780996:-1 gene:TanjilG_04477 transcript:OIW15942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTVILVQDTTTITTTATTTTTTTTLIALKVFDKTFTHTHHHADRRARWEIQVLSRLSHPFLPSLLGTFENDQFLGWALPYCPGGDLHHLRHQQTDHVFSPTVIKFYLAEILCALDHLHSMGIAYRDLKPENVLINCFGHVTLTDFDLSRNLSRDTKPIVPLGDEDSYLKHSLSSETNKFSKSNSPKLNRVKHSRWTPQVKEKKANSARVNPVTRRKNGERSNSFVGTEEYVSPEVVSGEGHEFAVDWWALGVLSYEMLYGTTPFKGKNKKETYRNVLFKEPEFVGKKTALTDLIERLLEKEPVKRLGYVRGGSEIKEHEFFKGVKWDLLTEVVRPPFIPSRDGDVDGVGQDIREYFQKLKSPPLTSPESQNVSFAEF >OIW14997 pep chromosome:LupAngTanjil_v1.0:LG03:23256202:23262071:-1 gene:TanjilG_30716 transcript:OIW14997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRRSPPKHRHDGTSPLPLGMDWSPAPRKWDGKDTAWPHNHGSGWSYCVTIPSWVFLPKSKNSDPVVGQFYRVQVGIQSPEGVTTLHGVLRRFNDFIKLFTDLKKEFSRKNIPPAPPKGLMRLKSRTLLEERRCSLEEWMTKLLSDIDISRCAAVASFLGLEAAARSSFQDATHQNSESDPDSSNRAYSVQSPQHSSLSLVAGSSSVASDYGSDTAYEPSELGTPTIGRDDNSEVGTDDLTLDEDMTNPMEKLVKYGISNIDEGLFMSQTILDQLEGLPRHKVNARRDNYVTEKGKSNGNSYNASLLADNSLELFSELEHAKAIDLAHILSNESFGSEGSSIRGSDMSNSWVPNSSGGGSPGGALVPRATYIMNHAELQFTGDAQVVLPLDQRNKLNRILLTMQRRLVTSKTDMEDLIVRLNQEIAAKDFLATKVKDLEVELEANKQKSKENLQQAILIERERFTQMQWDMEELRRKSLEMEMKLKSEMGGISSQNSITESLVQPKDVLHNLNANKEQLEVLSKHYGELEAKSKADIKVLVKEVKSLRNTQKELKKELSESVKEICEREKLLQHEREKRVQAETAWRNLVPKCRLLFNQLQECNVNLSIEDEDRKILNSSSLTDTFNQLALSDDQIDILLEEVENLQDYGSAASNVDKTDDIKCGGICDDDLTKIIADLFIDNVRLRKQTNHVTRHALKTYMTASDDPP >OIW15742 pep chromosome:LupAngTanjil_v1.0:LG03:348947:350206:1 gene:TanjilG_04277 transcript:OIW15742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKSYILNFLLLIIIILYLSETQAAFGVSGGVGVGVGVGSGGVVWVGGRINSPETPRSSFPKLNEAYTALQAWKSAITDDPLKILDTWVGPNVCSYKGVFCSNPQDEMVSSTLLVSGIDLNHANLQGVLVKELSLLTDMSLFHLNSNRFTGIVPDTFRDLTSLEELDLSNNQLSGPFPSVTLYMPSLIYLDIRFNSFSGSLPQELFNKNLDAIFVNNNQFEGEIPQNLGNSPASVINLANNKLSGNIPASFGFMGSKVKEILFLNNQLTGCIPEGVGLFTEMQVLDVSFNSLMGHVPDTISCLQDIQVLNLAHNMLSGELSDVLCSLRSLANLTIAYNFFSGFSQQCSKLFFRNIGFDFSLNCIPGRDMQRPQPECSMIPGSSLSCIRIPTPNPLVCAALVVSSSTTKNTHHSSPSQSP >OIW14870 pep chromosome:LupAngTanjil_v1.0:LG03:24386344:24387477:1 gene:TanjilG_30589 transcript:OIW14870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMMMMEFPEFSEVYGQNDTVAVFAPPSELRGGAANSIRNNMSLADLINNPPMPWSSFTNSPSTAISFTNNPTQVLQQQEQTPPAMLYMSETANPYEKRKSMAAMREMIFRIASMQPIHIDPESVKPRKRKNVKISKDPQSVAARHRRERISEKIRILQRLVPGGTKMDTASMLDEAIHYVKFLKSQVQSLQRVSVTSNTRPVHATMNPLPKPYQSGNVEYYGDYA >OIW15213 pep chromosome:LupAngTanjil_v1.0:LG03:17193882:17195335:-1 gene:TanjilG_08805 transcript:OIW15213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAKTDSEVTSLDASSPTRSPRRPVYYVQSPSRDSHDGEKTTTSFQSTPVLSPMGSPPHSHHSSSLGRHSRQSSSSRFSGSRKLNNNRKPHQKPIDIIDEEDLLLQNEDHHRTLSRRYYFLAFVLGFFVLFSFFSLILWGASRPMKPKIFVKSMKFDHVQVQAGSDATGVATDMISMNSTVKFSYRNTGTFFGVHVTSTPLDLTYSEIVIASGNLKSFYQSRKSQKLVSVAVMGTRIPLYGSGASLSSSTGMPTVPVPLKLSFVIRSRAYVLGKLVKPKYYKKIECSITLDPKKLSVPFSLKNSCTYD >OIW14777 pep chromosome:LupAngTanjil_v1.0:LG03:25057901:25060756:-1 gene:TanjilG_05398 transcript:OIW14777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATHSVLASTRFPTNTKLPSNASHCFPTQCASKRFEVTEFSGLRSSSCVTYANDAKQSSFFDVVAAQLTPKTTGSTPVRGETVAKLKVAINGFGRIGRNFLRCWHGRKDSPLDVVVVNDSGGVKNATHLLKYDSILGTFKADVKIVNDETISVDGKQIKVVSNRDPLKLPWAELGIDIVIEGTGVFVDGPGAGKHIQAGAKKVIITAPAKGADIPTYVVGVNEQDYSHDVANIISNASCTTNCLAPFVKVLDEEFGIVKGTMTTTHSYTGDQRLLDASHRDLRRARAAALNIVPTSTGAAKAVSLVLPNLKGKLNGIALRVPTPNVSVVDLVVNVEKKGITAEDVNATFRKAAEGPLKGVLDVCDVPLVSVDFRCTDVSSTIDSSLSMVMGDDMVKVVAWYDNEWGYSQRVVDLAHLVAAKWPGTPAAGSGDPLEDFCQTNPADEECKVYE >OIW14710 pep chromosome:LupAngTanjil_v1.0:LG03:25545243:25547196:-1 gene:TanjilG_33052 transcript:OIW14710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEDEMLEEEFSVWKKNTPFLYDLLLSHPLQWPSLTVHCFPSSPLPYSLNPSFNLHKLLFATHTADNVPNFLIVADALLPSSNSQPDPLNPVIPNIQITQKILVDGEVNRARSMPQNSNIIGAKTSGSDVYVFDTSKQLEKEGLECQPDLRLKGHDKEGYGLSWSPFKEGHLLSASYDHKICLWDVSAPQHNVLHALHTYQAHESVVNDVSWHLRDHNLFGSVGDDSKLIIWDSRTNQPHNSLIAHDKEVNFLSFNPYNEWVLATASSDSTIGLFDTRKLTVPLHVLSSHTDEVFQVEWDPNHETVLASSGADRRIMVWDLNRVGDEQLEGDGDDGPPELLFSHGGHKGKISDFSWNKNQPWVISSVAEDNSFHVWKMAESIYHDGDGDDDEIWTVDEQH >OIW15544 pep chromosome:LupAngTanjil_v1.0:LG03:5706645:5707834:-1 gene:TanjilG_01067 transcript:OIW15544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELRIDVSGLSSSLQLKEGRFEEREQLVRAKFMGLGLTIFYDAIGRSLFSVPMKKMSSVEEKPERLKEFRVGLKVHHDRHETVLFRLREMRGRSYSKIPRLACFSEHFGFNECGISSWAWLT >OIW14921 pep chromosome:LupAngTanjil_v1.0:LG03:23931058:23932379:-1 gene:TanjilG_30640 transcript:OIW14921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDKAKLFVGGISRETTDDILRNHFARYGNVLDSTISVDRITRNPRGFGFVTFSDLSAAVKALQDTHVVLGRTVEVKRAIPRSEQQHQNQMQNRVASNYCSNECSGDQIRTKKIFVGGLSSSISEEEFRRYFERFGRITDVVVMQDSVTHRPRGFGFITFDSEKSVENAVVQSFHDLNGRQVEVKRAVPKEGNYGGDGFSKSRHNKIERGPSQIYPPYSPRYMFPGSAPLSYYSSGGVYAYGSNPYGYCYTMGGYGVNGFAVPSDASRNFWYGPMVTGPQACQMPYVNASPNVAYTGGRVQIVGKYTLTATSGPVGIFIV >OIW14424 pep chromosome:LupAngTanjil_v1.0:LG03:29263515:29269645:1 gene:TanjilG_20870 transcript:OIW14424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFKTLATSPVSLITLLVLFFAFFLSFFIKSNHTPQGLFNAQKLALFNGTNEGLPILLGILGSVFDVTNGKSHYGAGGGYNHFAGRDASRAFVSGNFTGDGLTDNLRGLSSTEVKSVVDWRDFYHKRYKYVGKLVGRYYDSQGNPTKHLKGVEAKAAKGAQLLEKQKIEEAKQTTCNSRWSQDEGGEVWCEDGYPRLVQRPTEIALTGKMSKRCVCFNDSQLDQPGLEVYEGCDFHAKKCRV >OIW16017 pep chromosome:LupAngTanjil_v1.0:LG03:2415470:2416795:1 gene:TanjilG_04552 transcript:OIW16017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYTSRKKIHKDKDAEPTEFEESVGQALFDLENTNSDLKSDLKDLYINSALQVDVSGNRKAVVIHVPYRLRKGFRKIHVKLVRELEKKFSGKDVVVIATRRIVRPPKKGSAVQRPRSRTLTAVHEAILEDVVLPAEIVGKRTRYRLDGSKITKVFLDPKERNNTEYKLETFSAVYRKLSGKDVVFEYPITEA >OIW15093 pep chromosome:LupAngTanjil_v1.0:LG03:21606589:21611224:-1 gene:TanjilG_08580 transcript:OIW15093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSPSIPSSHPQQSYHHLQPFSSFSSNLPLLKFKRLGFVKNRLVARCSSGSKEFGSVNGFTPNKLFMQEAIGAEYGEGFETFRTDGPLKVDVDYLNDKLQEGFLKRIRYAMKPDEAYGLIFSWDNVVADTRVLKRKAWKQLASEEGKDIPEDRDIQRLMLYGGADQVLRKHFLSDKAESELDRLKSRFSQLYYDNLLKLERPKEGIKDWLEAVNTARIPCAVVSSLDRRNMVEALEVLGLNNYFQAIVTEEDGMESIAHRFLSAAVKLDRKPSKCVVFEDDPRGVTAAHNCTMMAVALIGAYPAYDLEQADLAVANFNELSVINLRRLFANKGSTFMDLQKQIIEKTPPKRKLTIDTIF >OIW15902 pep chromosome:LupAngTanjil_v1.0:LG03:1479635:1486089:1 gene:TanjilG_04437 transcript:OIW15902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYTQNKTNQKQPLRGNENSNEHHYPFIKKASTFSSSPSDSTSTTNNGYEYIIVGGGTAGCPLAATLSQNFSVLLLERGGIPFTNPNVSFLENFHITLADTSPTSASQYFISTDGVLNARARILGGGTSINAGFYTRASTRYIENVGWDSKLVNESYPWVEKQIVHRPKFSAWQRAARDSLLDAGVSPFNGFTYDHKYGTKVGGTIFDRFGRRHTSAELLSQGNSDKLTVLIHATVQKIVFDTTGKRPKAIGVIFKDENGKQHQAMLGSDRHSEVIVSSGAIGTPQLLLLSGIGPKPELENLNITVVLDNKFIGKGMADNPMNTIFVPSKRPIQQTLIETVGITKLGVYIETSCGFGQSNNSIHCHHGLLSAEIGQLSTIPPKQRSRESVEAFIKNKKDIPIEAFRGGFILSKVANPWSTGELKLINTNVDDNPAVTFNYFNHPYDVQRCVEGIRLATKVVQSQHFTNYTMCDRQTTEELLNLTVKANVNLIPKHLNDTKSLEQFCKDTVITIWHYHGGCHVGKVINADYKVLGVDRLSVVDGSTFTESPGTNPQATVMMLGRYMGVKILRERLGKLAGI >OIW14973 pep chromosome:LupAngTanjil_v1.0:LG03:23466239:23472040:1 gene:TanjilG_30692 transcript:OIW14973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHIGSSKDANDLDSMDIDNDDYYTGFSSDENDIDLPPNRALEGLTERTVKNLCDKASTLFFKENGLISHQINSYNSFISAGLQSIFDSFGELLVTPGFDPSKKGDSDHYRYAAVKFGKVTLERPRFWGGEGNAQEFKMLPRHARLQRMTYSSKMKIDVQIQVYVPKVVRSDKFKTGKEQYVDREVLKEDSRDIIIGRLPVMVMSDLCWMKDADKPDCEFDNGGYFLIKGAEKTFIAQEQIYTKRLWIINTPYWTIAYKSPMKRNRLVIKLVGNSRIEEVNSGEKVLTVYFLSVEVPVWILFFVFGVTSDREVIDLIDCGNDDVRLENILSASIRDADEKCDGFRKGKNALRYLEGFVKGVQFPPPESIEECLNLYVFPNIKGLKRKARFLAYMVKGLLLAYSGRKRSDNRDDFRNKRIELAGELLDRELRVHIAHARKRMSKVLQRDLYGDREVRPVEHYLDASIITNGLQRAFSTGAWSHPFKRMERVSGVVATLGRTNPLQTIAELRRTRQQVQYTGKVGDARYPHPSHWGKVCFLSTPDGENCGLVKNLAVTGLVSTNISQSILPQLIECGLEELVDDTSTYLGKKDKVFLNGDWVGVCANSISFVDELRRKRRTNQLPHQVEIKRDQSQQEVRVYSDAGRILRPLLVVDNLYKTKESKGSKWEPDSFQSLLENGVIELVGHEEEEDCCTAWGVQYLFRKEGKSSVKYTHCELDMSFLLGFSCSLVPFANHDHARRVLYQSQKHSSQAIGFSTLNPDIRVDTLSHQLNYPQKPLFRTMTSDCLGKPGYSEVQNRILPRAEFYNGQNAIVAVNVHLGYNQEDSLVMNRASLQRGMFRSEHIRSYKAEIENKDSSEKKRKPEDIVNFGKMHSKIGRVDSLDDDGFPFVGANLQSGDIIIGRCAESGTDHSIKLKHTERGYVQKIVLSSNDEGKNFAVVSLRQVRTPVLGDKFSSMHGQKGVLGFLECQENFPFTRQGIVPDIVINPHAFPSRQTPGQLLEAALGKGIALGGSLRHATPFSTPSVDAITEQLHRAGFSRWGNERVYNGRTGEMVRSLIFMGPTFYQRLHHMSEDKVKFRNTGPVHPLTRQPVADRKRFGGIKFGEMERDCLIAHGASANLYERLFTLSDSSQIHICSKCKNVANVILRPVSGGRKIRGPYCRSCESVDDIVVANVPYGAKLLVQELFSMGISLKFETKLC >OIW14443 pep chromosome:LupAngTanjil_v1.0:LG03:28981424:28984243:1 gene:TanjilG_15356 transcript:OIW14443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSFLRYGRYGVRQIIKFRDATNDSSVVNPLLYASQGLRYNRKLQVILTTNIDKLGKAGDTVKVAPGYFRNHLMPKLLAVPNIDKFAYLITEQRKVYQPTEKEEKKDVKVVKESKEDMMKEYEKAALRLDKAKLVLRRLIDVQKAKARATKDDPLELRFPITKEVIVAEVSRQLCVNIAPENLHLPSPLATLGEYEVPLRLPRSIPLPEGKVNWSLKVKIRSK >OIW15364 pep chromosome:LupAngTanjil_v1.0:LG03:13392510:13393498:-1 gene:TanjilG_26737 transcript:OIW15364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGITAYAGFFEIGSPKKGDNVFVSAASGAVGQLVGQFAKLTGCYVVGSAGSAGSKEKVYFPEGIDIYFENVGGKTLDAVLLNLKVHARIPSCGMISQYNLTQHEGFLLPHIREGKVVYVEDIAEGLENGPAALVGLFSGRNVGKQVVVVARE >OIW15142 pep chromosome:LupAngTanjil_v1.0:LG03:20571234:20573297:-1 gene:TanjilG_14141 transcript:OIW15142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFPLLSRSGVISRLITETPESEEECVIHLLNIPGGAKTFELVIKFCYGVKLELTASNVVYIWCAAEHLEMTEEHGEGNLISQAKTFFSEVVLRSWKDSLRALQTCDDVLSHAEALHIVKSCIESLAANASNHTNLLGWPVLEHGGPVQGLGGSVLWNGISTAARPNNSSSDWWYEDVANLSLPHYKRLVAAMESQGIRQDIIAGSLAFYAKRYLPGLNRRHVAGESSTHLILGSPPSEEDQKILVEEIERLLPMEKGLVQTKFLFGLLRTGMILRVSYSCTSNLEKRIGMQLDQAALEDLLMPTFSYSMETLYNVDCVQRILDHFLVMDQDTGAASPCSIDDGQLIGSPSLTSITMVAKLIDGYLAEVAPDVNLKLPKFEALAAAVPEYARPLDDGLYRAIDIYLKSHPELVESEREQLCRLMDCQKLSLEACTHAAQNERLPIRTIVQVLFFEQLQLRTSIAGCFLVSDNLDGSRQLRSGLIGNNEGGWPSAVKENQVLKVGMDNMRMRVSELEKECLNMRQEIEKVGRGKGSSTWGILSKVFGFKIKSQICSAEEGSVSNQNNGNSKVEKLKNRLVVKQKKSSYISDKESVSSIVPS >OIW15940 pep chromosome:LupAngTanjil_v1.0:LG03:1757282:1759536:-1 gene:TanjilG_04475 transcript:OIW15940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTVTTTTQSFQDHDNNSNNGNTEISRQEFQTSISKAVELRALHAALTQGSSPVNARFSSPSPVSRSVSHFSAQDYPVFTPSYEDDPMPYHQSPTTKNRIISECWYDNGLERGNNIETNVSDYNEKSSSRKGLPFGFSNLESPHICPADDAKSATGSCANHITALQTSPANDYFRSRRRNSLDDCKPVSSCNRCNPAVITSDFDSARNNKSSNIVVPLTDSHVSFRTGLKSKGVLSWLFPRFKKKHNKNENLPSRTESDEVSQVLKDMGVMSVDSLKKELMEANERKDSALVEVSEMKSSLGDLKQKLEYLESYCEELKKALRQALQAKESPLCEKLRANGENVMPMNEEAMVEGFLQIVSESRLSVKQFCKTLICQIEENDQSLMDNLNMLLQPYSLSLNFKYSKAVLYHFEAFINQCLYQDFENCVFQQSGCTKLLDPRQDRQAQFSSFVALKNLSWNEVLRKGTKYYSEEFSKFCDQKMSCIITTLNWTRPWSEQLLQAFFVAAKCIWLLHLLAFSFNPPLRILRVEDNRIFDPHYMEDMVSDRQMSQGPIKVKIMVMPGFYVQDRVLKCKVLCRNKSVP >OIW15767 pep chromosome:LupAngTanjil_v1.0:LG03:529627:531409:1 gene:TanjilG_04302 transcript:OIW15767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNRFVCEICKKGFQRDQNLQLHLRGHNLPWKLKQRNKQEVIKKKVYVCPEKSCVHHDPFRALGDLTGIKKHYSRKHGEKKWKCNKCSKKYAVQSDWKAHSKICGTKEYKCECGTTFSRRDGFITHRAFCGALAEQSARLITSVPSVFNFRNDQAPRIPHSLPGFHQEFSGQGSEPLIGNFVDSEHALKLRLPLWLDQTNSNVYSSNEVVQTMNMNMNMNMSGSSQQAQWLSYKYPEASFPAAMSQGLKQEEEGENKGNFSHHHHSLSSSLYSSAQNLQGGPTHMSTRINDNAIFNNNSNNVFGLNNNIVEMQKVFKQGNQEGQNFNNMVVSSSLNDANCTSFGNLDHMVMPTVDEDQLGLTRDFLGVGDETLRTPFLQHQQQLHNFNPIHSVLNLHSHFNRHHNMMK >OIW15495 pep chromosome:LupAngTanjil_v1.0:LG03:7466184:7468469:-1 gene:TanjilG_32899 transcript:OIW15495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREILHIQGGQCGNQIGSKFWEVVCEEHGIDPTGRYIGNSDLQLERVNVYYNEASCGRFVPRAVLMDLEPGTMDSVRTGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLVPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSSVCDIAPRGLSMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATADEEGEYEDEEEEPEHI >OIW15814 pep chromosome:LupAngTanjil_v1.0:LG03:877461:878048:1 gene:TanjilG_04349 transcript:OIW15814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMASSRNETASFSSSFASSSSSNFTLTTRSSSPLFTYRSPPSPPSPTSSLRFSADNGTRSITVTKKHRNNNSNASVTSSSSSSSSQKRSCMCSPTTHPGSFRCAYHKRIMEQQQSKTASSSSPLRKSSNSTSSRLNLIRTAMKNSLVKIGGVESEILRRPLTTVISSSSHQLRRRETFQPRLTRLSIMSKAQDS >OIW14931 pep chromosome:LupAngTanjil_v1.0:LG03:23827343:23830452:-1 gene:TanjilG_30650 transcript:OIW14931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKGKDDEKIVGPMFPRLHVNDKEDKGGPRAPPRNKMALYEQLSIPSQRFNHGVLLQNPDTSSNNVPPTSTSQGAGLERNYGFPAYLPSQTSTRRAEKYTSRSYGANLNASPVQFQKRKKVDEDDLRVPVYIHSRTGQSNSKNLESFDWKKLTLAPKGCRYIGCSTAGQNDFERDPKQFGSLFVNMRKDVRSETKGLVQVTPSKEQPIKSVRDLSSTGENVDTLVRQAKVTPNQEFRDSPASKHNMLHQGDDTFLQREREYGSQFNDTRQGDGLVEPTRETDNGNAAVSNQTTPTVAINDTEYHVIRAGKLESVSTLKVSPDDVVEIIGQKRFWKARKAIASQQRLFAVQVFELHRLIKVQKLIAGSPDLLLEHAAFLGKSPLKGSTPKKPALEFVVKPQPQTLKRKHESEKANHKMECSAENAVGKTSLSPMKNGSHHSNYTPFSRNQHTANVPADSGMPPWCFQQSPGHQWLIPIMSPSEGLVYKPYPGPGFTGTTCEGGYGPTPLGGTFMNAAYVIPASHQENGVPKNTPPSSHAYYPPYRMPVVNAAMSESAVEQVKQFSEHASHGQNGHLNGRETDINTNNQSSCDLPVQRNRAISHAMKKYQTSKEVELQGSTASSPSEMAQGISTRQIAEGRDALPLFPMAPVAAPEEVPQSLETGQQPRAIKVVPHNPRSASESAARIFQSIQNERKQHDLV >OIW15625 pep chromosome:LupAngTanjil_v1.0:LG03:4458901:4461078:1 gene:TanjilG_08201 transcript:OIW15625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSFSDHLNWFDSTLKSVSDSAEMLYTYKHVAHGFSTRLTAQEAEALEKQPGILSIIPEVIYNLHTTRTPQFLGIDKTTTVLPDSGQVSQVIIGVLDTGIWPELKSLDDAGLGPVPSTWKGVCENGINFNSSNCNKKLIGARFFSKGYEAAAGPIDEKKESRSPRDDEGHGSHTLTTAAGSAVAGASLFGFASGTARGMATQARVAAYKVCWLVGCPSSDVAAGIDKAIEDGVNILSMSLGGRIQDYYKDIIAISAFTATAHGILVSVSAGNGGPSEGSLSNIAPWLTTVGAGTIDRNFPAYVSLGNGKTYTGVSLYAGKPLSDSPLPVVYSGNVSKSSEGEFCIEDSLIPSEVSGKIVICERGGIPRVEKGLVVKSAGGLGMILVNNEVNGEELTSDPHLLPEVSLGLKSSDALKNYVFSNPKPTAKLAFGGTKLQVQPSPMVAAFSSRGPNSLTPKILKPDLIAPGVEILAGWTGTVGPSGLSIDKRHVSFNIISGTSMSCPHVSGLAAILKAAHPEWSPAAIRSALMTTSYTTYKSGETIQDCVDEKPATPFDYGAGHVDPGAALDPGLVYDANVDDYLGFLCASNYTSRKIKIATRIDFTCDKRKKYRVEDFNYPSFAVALETTSGIGGSSNAPSTVQYSRTLTNVGKPGTYKASVSSQSTSVKIVVEPQTLSFTELYEKKSYTVTFTYTSSPSGTTNFAHLEWSDGNHRVGSPIAFSWT >OIW15438 pep chromosome:LupAngTanjil_v1.0:LG03:8827150:8831565:-1 gene:TanjilG_28637 transcript:OIW15438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQQHEHFGIMRRTHRSQGRVNVDDYGAIANDGRDDTKAFEKAWNEACSRGYILVVPEKFVYHLKPITFSGPCQPNTAFKVYGTIKAWPHISAYEKDRRLWIMFDNVSNLVVDGGGIINGNGRKWWQNSCKVNNSLPCKDAPSAVTFSQCNNLKVVNLNFKDAQQMHVRFQRCSNVTASNLVVTAPGNSPNTDGIHVSETQTMVISNSVIGTGDDCISIVSGSNNIQVIDVTCGPGHGISIGSLGAGNSEAEVSDVVVNRATLKGTTNGVRIKTWQGGYGYAKNIKFMNIVMRNVTNPIIIDQNYCDQKEPCQQQDSAVQLSNVVYQNIRGTSASEVAIKFECSKTVRCREIYLQDVILTPEEGGDTGTIAACENVVYANSGKLHPQCSFS >OIW15185 pep chromosome:LupAngTanjil_v1.0:LG03:18485726:18492497:-1 gene:TanjilG_16443 transcript:OIW15185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFAVPSVGSAGGRSALRAFEFGRTYVVRPKGKHQATIVWLHGLGDNGSSWSQLLETLPLPNIKWICPTAPTRPISIFGGFPSTAWFDVGELSEDAPDDLEGLDASAAHVANLLSTEPADSRKMVEGASIGTLENALIASVLGNTVKVSVTVVDASLLIHDDFCQEGLVAVVFESAQVFSMVEVGIKEDQWNPVKLGVGGFSMGAATALYSGTCFTVGKYGNGSPYPANLSAAVGLSGWLPCAKSGLLVLLKTLSNKLQGVGEAAGRAQSFPVLLCHGKGDDVVSFKFGDKSSKCLSSTGFQDVTFKAYNGLGHYTIPGEMDEVCAWLRSKLGLEGTSA >OIW14418 pep chromosome:LupAngTanjil_v1.0:LG03:29370456:29378689:1 gene:TanjilG_20864 transcript:OIW14418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMESVVVIEEAAEVTDLPPLPGIEKAAELPPLLPLPPDVPPKFDTEQVAPTVYSVISRQGVGTVGKRIPLLANHFKVAVNVPDATFFQYNVTVTSEDKISIESKGIRRKLINRLSQTYSSELGGKSFAYDGERTLCTVGSLPLDKFEFKVLLEESYAKHSTENPGANGKPHEETKRSKRSFQSKTFIVEISFAAKIPLQSIALALDGVESDTNSQDALRVLNIVLRQQAANRGCLLVRQSFFHDDSRNFNDVGGGVTGVRGFHSSFHLTQAGLALNMDTSTTMILKPGPVIDFLLSNQNVREPRNIDWAKAKKMLKNLRVRATHSHQEFKISGLSGKPCIQQLFSMKLRNVDNSNGVQTVDITVFEYFAKHCGIQLTSSAYLPCLDVGKPNRPIYLPLELCSLVSLQRYTKELSPMQRASLVEKSRQKPQERVKIVTNAVGDYCYDDDPVLAACGISIERKMTEVEGRVLETPKLKVGNNGECVPQNGRWSFTKKALLQPSQIDYWAVVNFSAKCDTSYISRELIRCGMSKGINIERPYTLIEEDPQLRRSDPVTRVENMFELLISKLTKEPKLILCVLPERKNCDIYGPWKKKCLSEFGVVTQCICPVKITDQYLNNVLLKINSKLGGINSLLAIEHSGHLPLVKDTPTMILGMDVSHGSPGRSDIPSIAAVVGSRCWPLISRYRASARTQSSKVEIIDSLYKPLDNGNDDGIVRELFLDFYESSQRRKPTQIIVFRDGVSESQFNQVLNIELNQIIKAYQHLGEVDVPKFTVIVAQKNHHTKLFQANGLENVPPGTVVDTKVTHPRNYDFYMSAHAGMFGTTRPVHYHVLLDEIVAPIHYAHHAAAQMGRFLNFDDLSEASSVTSEGNVPIPELPRLHSNVKSTMFFC >OIW15630 pep chromosome:LupAngTanjil_v1.0:LG03:4486445:4490714:-1 gene:TanjilG_08206 transcript:OIW15630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNAFSDVPYSRGEFSDISWLNQNPIQMNQISLEEAFSHLSFNHTPLGFNGYGLPPLSNRCDVGAIPSQNRGYTSGYADASPFVSQKQFQRFSSNEELLCSAELQRKFRGDALNELRNNTNGLNVTNNVRRNQRWLQQQNLNHRSIYDFRGRILFLAMEQGGCRVLQEIMKRLKSEEEISFIFVELINNVMELMMDPFGNYVFQKLVEICSEQQRTHIILVVTNSDFHFVTMCLDIHGTRAVQKLLEHVTTQEQRSLIMSALSPGAVALTKDINGIHVVEHCLKHFSNEDNRYLLNVVANNCFEIATDKSGCCVMHHCLDYAQGETKELLMAEIIVNASLLSEDCYGNYVVQHLVTMKIPRVTENLLRQLEGKFLLLSCNKYGSNVVERIFLVSEEQYSARIILELLHNPNVSRLLVDPFGNYVTKTALMVSKGAIHNAILELIQLHSAMMRSNIYGKKLLDRVDSGKIRHM >OIW15331 pep chromosome:LupAngTanjil_v1.0:LG03:14618700:14621050:-1 gene:TanjilG_10771 transcript:OIW15331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNHINLGTATGSYSALGADAGASATSSVPVRPLQQPFDIAAYYTSGITTYPAFKSSDVMAASMGFPFTNAQWKELERQAMIYKYMMASVPVPHDLLIPTASSSILNGGFNLRLSSSDPEPGRCRRTDGKKWRCSRDVAPSHKYCERHVHRGRPRSRKPVEIHTNNTNNQNQIKRVHHDCNLFPTSDVSVAISNNFTTRKDGYSSQFIASSANQQCLDSSSLSLHNFGVKSGNFDSVASVSSNKAPKGLECMLNGDPISLGASDSEFQFIMHNKVGLNTEPQYLNSFALHNSGVLDQQNQHPPMFLNPLDYPMENLQSRKPRGFIDAWSNTGTEESNGNNTNNKSSVASIGKFSLDLSMGDDCVHEDIGTTDMGLGLMEHNGNNNTQHNEAKSHLSNWLTRTSTSPWVASTTLGGPLAEVLRLSTTTATNDGASNPSSPVITHAESSTSPLGTLASSPSGVLQKTLASFLDSSSNSSPKVASSRASNSDIAMLRFIQK >OIW14873 pep chromosome:LupAngTanjil_v1.0:LG03:24348356:24350937:1 gene:TanjilG_30592 transcript:OIW14873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPEHHFQRHHQPQPSNSLRHIHSIDFDDQMPQPLSYFNPNDFHHHPFHVVGLAPSDGSDGGADLKWNYGVEPERKRLIEQDFLENNSQISCVDFMQPQSVSTGLGLSLDNTRKSSAGDSALLSLLGSSIDRELLQQDAEIDRFLRVQGEQLRKTIMEKVQTTQLQSISIIEDRVLQKLREKEAEVEGINRRNTELEERVEQLALEADAWQQRARYNENMIATLKFNLQQVYAQSRDIKEGCGDSEVDDTASCINGRTIDFHLLTKGNNDMKETMKCKACRVNEVTMLLLPCKHLCLCKDCETHS >OIW14456 pep chromosome:LupAngTanjil_v1.0:LG03:28720007:28721922:-1 gene:TanjilG_19504 transcript:OIW14456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMFIVFNILSKGEGKLVENFYNSTCPNVESIVRDAVTKKFKEKNITGQATLRLFFHDCFVEGCDASVIISSPNGNAEKDASENLSLAGDGFDTVIKAKQAVEIVCPNIVSCADILALATRDVITLLGGPSFNVELGRRDGLVSQASRVEGKLPKSNFDLNQLNTMFSHHNLTQTDMIALSGAHTIGVSHCDQFANRLYPSIDPTLDFNYAQQLKATCPQNPDPDTVFSLDIETPRIFDSLYYKDLVSGKGLLSSDQVLFTNPKSRSTVIDFAKNPNEFNDAFVIAMRKLGRVGVKTGNKGEIRRDCSKFNS >OIW14835 pep chromosome:LupAngTanjil_v1.0:LG03:24762280:24763723:1 gene:TanjilG_17060 transcript:OIW14835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QVKLFLSEDSPSLVGLSSQDHLQAKRSLHSHHDRPGSDDMLPPGFEGTHASSQFEIDLSQIPVIQWISPPKIVLDQTWLVVAGEESKEVEDQHKREMRVLEAIYPRPSSIPPKFDSSRLIQLFFLYSMFLSSKVFLFIFSFACSPAIAMDVEDSHAIDDGQTALIPITPLEDEDAAVDAVSDSQSIELPPGIPRNPMQLAGGVDIAAASAALTNIIKSNQHGNFTEHEVLNSILNNTEMIETLVRDYGATNNLQYVHNQGSSSVAFSHPHAPNNQGETTIPSLGLSATSYPPSTGAEVESIASQWLPRPSMSSVTVSPPIEAPPVKDANYYKSLIQQHGGDRQETPPYSSNHQIHQPVTNPETTHIYRSRDSKPKIMKPCIFFNSSRGCRNGTNCAYQHDASFQPRGGAVPRMQSSKRMKMDSEISS >OIW15855 pep chromosome:LupAngTanjil_v1.0:LG03:1156125:1156969:-1 gene:TanjilG_04390 transcript:OIW15855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQGDPIEERYKEYEERGAVIDELIYCINGYRETVISNNPKFDHIDISEKEKVLNECLEAENWVREKKQQQDSLPKYATPVLLSADIRKKAEAVDRFCKPIVTKPKPKPAKVATPETPPTPPPEGNEHQQPQQNGNGSANENAGDSGNQAPPESSEPMETDNKTEHTGSA >OIW14787 pep chromosome:LupAngTanjil_v1.0:LG03:24996446:24997493:-1 gene:TanjilG_05408 transcript:OIW14787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFDENRFFKESSEEEREHAEKLIKYQVAGRNNDPQLADFIESEFLAEQVESIKKISEYVAQLRRIGKGHGTWHFNQSLLHEGNTA >OIW15840 pep chromosome:LupAngTanjil_v1.0:LG03:1039050:1043611:-1 gene:TanjilG_04375 transcript:OIW15840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGGQCFEKVRRCIRTIFFVAALVASLLVTSLPVVVAVMDVLVPCVLISSFTCVRCYSFKEHLRKYDFRSSLGDIPMVSVMRSLIIICVYSICDGPALSHGPYLGTVTVSSLVSIVLLSVKACVFSVNSQIEAEASVSITRKRLHLKKSWGMPVLFLSSVVFALGHIVVAYRTSCRARRKLLFHRIDPEAVLSCKSVFSTYQKLPRSPVPSGGRTPKSDSEMRRRPCGAVLDEEMPATLLADSDSLFITCQGLSLHYKLSLPGSPPHNLSSAPFLQSRVSSSISSVDSSTSSTAAGLAKFNRHLLCMSPKVQRQLYRSYSNQFHPSSLYAPLLDGPVTSPLSEDIPVLRLDEIGEDETIKSCSLSLEQNLEGFAQVGIVLIHGFGGGVFSWRHVMGSLARQSNCTVAAFDRPGWGLTSRLRREDWEKKELPNPYKLETQVDLLLSFCSEIGFSSVVLIGHDDGGLLALMAAQRVQTSMNSFNVAVKGVVLLNVSLSREVVPSFARILLHTSLGKKHLVRPLLRTEITQVVNRRSWYDATKLTTEVMTLYKAPLFVEGWDEALHEIGKLSSETILSVKNAESLLQDVEDIPMLVIAGAEDSLVSLKCCQAMASKFINSRLVAISGCGHLPHEECPKALLAAISPFITRLFPVYDSQSHGNA >OIW14857 pep chromosome:LupAngTanjil_v1.0:LG03:24476900:24477265:-1 gene:TanjilG_30576 transcript:OIW14857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMPMYQQPPLVTVSQQHSSYDRASEHDSIGPLIGVLIVVILLGIIAVMIGRLCSGRRIMGYGQYDIESWAESKCSTCIDGRINLSSPPIRTTEPTTISLPATPTQETKQAEPSSHNQTPN >OIW15749 pep chromosome:LupAngTanjil_v1.0:LG03:404556:416786:1 gene:TanjilG_04284 transcript:OIW15749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AGVGKSCILLRFSDGSFTTSFITTIGIDFKIRTIEMDGKRIKLQIWDTAGQERFRTITTDIRNWIRNIEQHASDNVNKILVGNKADMDESKRAVPTSKGQALADEYGIKFFETSAKTNLNVEEVFFSIAKDIKQRLSDTDTKAEYYYEPGTGRKFRSLLSVQRYLTHGIIDDRSRTSGSIIPQNQNTVTSIFVIDWLYFMQTDIEPGTGRRFRSLKAVERYLAGENGCTAITKSGVKPRTGNQRSSFAEEEKNTLKASMHSTKSTEKIMRVPYGAEKEYFKYSLFLVFCNRITTSAVSAGFLLASRKAIDPVAPIYKYCLVSVTNILTTTCQYEALKYVSFPVQTLAKCAKMIPVMVWGTLIMQKKYHAPDYLLAFLVTLGCSVFILYPVSDINPYSRGRENTVWGVLLMTGYLGFDGFTSTFQDKLFKGYDMEIHNQIFYTTLCSCILSLTGLIVQGHLVLAADFVYRHHDCFFDIALLSTVATASQFFISYTIRTFGALTFATIMTTRQLVSIMLSCVWFSHPLSWEQWIGAVIVFGSLYAKSFWRKAPQKTTTSATLVQNGDANNLKENP >OIW14996 pep chromosome:LupAngTanjil_v1.0:LG03:23265993:23274414:1 gene:TanjilG_30715 transcript:OIW14996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGVLSTSNSSSSAVAAEDLTISSRDGGGGAAALETVAVDRRGEYSAVCRWTVQNFPRIKARALWSKYFEVGGYDCRLLIYPKGDSQALPGYISIYLQIMDPRGTSSLKWDCFASYRLAIVNVADDSKTIHRDSWHRFSSKKKSHGWCDFTPSSTVFDPKLGYMFNNDSVLITADILILNESVNFTRDNNELQSSSSSTTFSVVANPVSDVLSGKFTWKVHNFSLFKDMIRSQKIMSPVFPAGECNLRISVYQSSVNGIEYLSMCLESKDTDKTVTLSDRSCWCLFRMSVLNQKPGTNHMHRDSYGRFAADNKSGDNTSLGWNDYMKMSDFIGTDSGFIVDDTAIFSTSFHVIKEFCSFSKNGTVIAGRSGGGARKSDGHIGKFTWRIENFTRLKDLLKKRKITGLCIKSRRFQIGNRDCRLIVYPRGQSQPPCHLSVFLEVTDSRNTSSDWSCFVSHRLSVVNQRVEDKSVTKESQNRYSKAAKDWGWREFVTLTSLFDQDSGFLVQDTVIFSAEVLILKETSIMQDFTGQDSELSSNGSLDSGEKRSSFTWKVENFLSFKEIMETRKIFSKFFQAGGCELRIGVYESFDTICIYLESDQAVGSDPDKNFWVKYRMAIVNQKNPAKTVWKESSICTKTWNNSVLQFMKVSDMLEADAGFLVRDTVVFVCEILDCCPWFEFSDLEVLASEDDQDALTTDPDELVDSEESEGISGDEEDIFRNLLSRAGFHLTYGDNPSQPQVTLREKLLMDAGAIAGFLTGLRVYLDDPAKVKRLLLPTKLSGTCDGKKATKTDESSPSLMNLLMGVKVLQQAIIDLLLDIMVECCQTSEGGPAADSVDACSKPSPDSSGAASPLKCDRENGATVSSQFPIKERLDNVVEDISSTSAVQSSDLNANGIQEKALPGQTIFPPETSATGSENMPFRSKTKWPEQSEELLGLIVNSLRALDGSVPQGCPEPRRRPQSAQKICLVLDKAPKHLQADLVALVPKLVEHSEHPLAACALLERLQKSDAEPTLRIPVFGALSQLECGSEVWERILFQSFELLEDSNDEPLAATIDFIFKAASQCQHLPEAVRSVRARLKNLGLEVSPSVLDFLSKTLNSWGDAAETILRDIDCDNDYGDSCSAVPCRVLLCGEHSIAPPGLHVVDEQAFRACRHFSDIYIMLEMLSIPCLAIEASQTFERAVARGAIHAQSVALVLESRLSQSFNDNARYVSENFQHSDDIVEGDTGEQMGVQRDDFTLVLGLAETLALSRDRCVKEFVKLLYTILFRWYADESYRGKMLKRLVDRATSSTDNDREVDFDLDILVNLVCEEQEIIRPVLSMMREVAELANVDRAALWHQLCASEDEIIRIREESKTEISNMARENATISQKLSESEATNIRLKAEMKAEMDRFTREKKELSEHVQEVESQLEWLRSERDDEIKKLYADKKVLQDRLHDADTQLSQLKSRKRDELKKVVKEKNALAERLKNAEAARKRFDEELKRFATENVTREEIRQSLEDEVRRLTQTVGQTEGEKREKEEQVARCEAYIDGMESKLQACQQYIHTLEASLQEEMSRHAPLYGAGLEALSMKELETLSRIHEEGLRQIHALQHRKGSPAGSPLVSPHTLSHNHGLYPPPSPAMAMGLPPSIIPNGVGIHSNGHVNGAVGPWFNHS >OIW15828 pep chromosome:LupAngTanjil_v1.0:LG03:963379:965439:1 gene:TanjilG_04363 transcript:OIW15828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRPPYTTDIEAARYPHPPPPTFRPQPPTQWTPWLVPVIFVTNVAMFVYSMYLNDCPSHLDKDECLFTEKLGRFAFQPFKENPLLGPSTSTLRKLGALEKDLVVYDNEPWRFFTCMFLHAGVVHLLANMFSLLFIGVRLEQEFGFLRIGLLYMLSGFGGSLLSVLHLKHSAIVQTISVGASGALFGLLGSMLSELLTNWTIYANKCAALISLVVIIGLNLAVGFLPHVDNSAHIGGFLAGFFLGFVLLMRPQYGYVNRKYIPSGYDIKRKSKYRWYQYFFLVLSLIILLLGYAYGLVKLYIGNSEENFAFLENKPR >OIW15571 pep chromosome:LupAngTanjil_v1.0:LG03:4955809:4958183:1 gene:TanjilG_01094 transcript:OIW15571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFAGTTQKCMACEKTVYLVDKLTADNRVFHKACFRCHHCKGTLKLSNYNSFEGVLYCRPHFDQIFKRTGSLDKSFEGTPKIAKPEKTSDNEKPAAAKASSMFGGTRDKCAGCQKTVYPTEKVTVNGTPYHKSCFKCCHGGCVISPSNYIAHEGKLYCKHHHIQLIKEKGNLSQLEGDQEKNAVHEKINGEVAAET >OIW15429 pep chromosome:LupAngTanjil_v1.0:LG03:10719386:10725895:-1 gene:TanjilG_12283 transcript:OIW15429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCSLSGLSSLYDAVNGGEVWINENRFRIVRQIGEGGFAYVYLVKELVTDSDATAGIGNKLKYSSHLSGDGTYAIKKILIQNSEQLELVREEIRVSSLFSHPNLLPLLDHAIISVKAIYLDGTLLDNAKTMNANKQFYSTSDVLQIFSQICEGLKHMHSLDPPYAHNDVKPGNVLITHRKGQPPLAILMDFGSARPARRQIRSRPEALQLQEWAAEHCSAPFRAPELWDCPSHADIDERTDIWSLGCMLYAIMYGVSPFEYVLGESGGSLQLAIINAQVKWPAGPKPPYPEALHHFVTWMLQPQPTMRPRIDDIIIHAKKLIAKSSP >OIW14658 pep chromosome:LupAngTanjil_v1.0:LG03:25953686:25959069:-1 gene:TanjilG_33000 transcript:OIW14658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPSPALRYSPGRDPRADGHKRGRSLESGLLFREKDDDLALFNEMQSKERESFLLHSTDDLEDTISTKLRHFSDAIRGISIPGRGKTSDLLNADADKNDYDWLLTPPDTPLFPSLDDEPPPMNVASRGRPQSKPISISRSSTMEKSYRSSRGSASPNRLSPSPRSGTNTLQSRGRPSSVPNSSPAPSLWHATPSRRPSPPPNKPKSPSSRSKNSTPMRMSTGSSGPIASSGVRGTSPIKTGRGNSASPKIRAWQTNIPGLSSEAPPNLRTSLADRPASYVRGSSPASRNGRESTSKSSRQSMSPSASRSSSSFRSHDRDQFSSRSKGSVASSGDDDVDSIQSIPMGSLDRQGSIRSGSLSTSRTPTFSKKSARMASPNSAPKRLFDSAIGQMDRKSPQNMFRPLLSSVPSTTFYAGKANSAHHSLVSRNSSLTTSSNTSSDQGTNFAADTVSSEEVFAFDKIETLNENEESVDIQHNEVRDPKIVFYPTESEDSVRHGGIDTEGNENSETSRNRGDFYEIGGSENTAICYNCRCCYEATEQAEKDVTLCTECSRKITLLRVIIPERTLAVSKDSSVISKNMPEEGKTLSLSETDRLTVASELPQDSDVDELRIRLGEKDAEECQTSCSELIHDRLQNSPLPSSSAEGGVEMNQSGVHDEKPNNDFADQKLHLYSDPPNLNVNLMEGTGISVLLKRSSSNKGPVLQGRSFTATTISYDDLSLARDSVNITRSSTRRGSYSASSSVDLSSVRQTEFCVQRQLSDKKLDVNCGYDLQNKPPSTGPSLSSTSNHFHDGLVLATPETSGNTDCGFVEEMPQVLQEMRASAITITDVTGASSVSLIGVEEDKFECEDNSKLTNACSSEILSQNAVVVQSDDNSVVSFPNLGDCVSYENVEDDPNNASVSSFHEKHDVQNSNVDEPNALVTANSSKTTESEIEGGENYCENNTGTVNDDLSLVSKNALDEFQELPTESPSDDCITASVSELNTSEYSHGIGGSTVTVECEGAGNTKSLTLEEATDTILFCSSIIHDLAYKAATTAIEKEFSYDPFEVSEPAVTLLGQPNSNRKDTRSRTVTTRTSKPHKARQRRVVETNVKPASGKTENDENIDESFTHNHNNVGLPNKVYSNTMKPLKLESKCNCIIM >OIW15223 pep chromosome:LupAngTanjil_v1.0:LG03:17556940:17561429:1 gene:TanjilG_08815 transcript:OIW15223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDLSSFAKDVFLVKGLKNSTLVWRLVLLTFAMVCGVYICSICLKQISTGSKVGFFDINVIQKPCPEPNIEPWEIPYVHYPNPKTYSRVECTCNPVRYFAILSMQRSGSGWFETFLNSHTNISSNGEIFSVKVRRSNMSTIGETLDTIYNLDWVSSASKNECTAAVGLKWMLNQGLMQNHEQIVEYFRVHGVSVIFLFRRNLLRRMVSVLANEYDRNAKLLNGTHKSHTHSAEEAEILAKYKPTITATLLIPNLKQVNDTTTKALEYFKNTRHIILYYEDVVKNHTKLLDVQDFLKVPRMDLKSRQVKIHKGSLSSQVENWKDISKALTGTPYESFLHEDDYRK >OIW15547 pep chromosome:LupAngTanjil_v1.0:LG03:5630368:5648014:-1 gene:TanjilG_01070 transcript:OIW15547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHATPRPTVRFPPDGDLLPTLDPRAALDDGDHFYEDQPIEFQSDPILGFQIDRTGVHHNLLGGEGWAANRAQKAKPSHPVIPVQASHRNQVPVEEKLLLVRVGSYPSIVMAVQSRLSSIFNWGYQRGQYIPKGALVIFDGLNKELCVGIHLVVIRIVHLGRKSGWLFCALYFKQAASSLMMAYGGDEFVHPDNAVPVSLTRSGYPRIIPSHHRRMILKKDEKADMLVKFY >OIW15967 pep chromosome:LupAngTanjil_v1.0:LG03:1934158:1943249:1 gene:TanjilG_04502 transcript:OIW15967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTDAQNNGTAIRNAFGNVLAFFILLLIGLLAFSIRLFSVIKYESVIHEFDPYFNYRVTQYLTKNGIYDFWNWFDDRTWYPLGRVIGGTVYPGLTLTAGTLWWILNSLNIPLSVETVCVFTAPIFSAFASWATYLLTKEIKGTGAGLTAAVLLAMVPSYISRSVAGSYDNEAVAIFALIITFYLYIKVCTLLDRNRLSLSYSLLSFLLDLHVMQTLNTGSLFYATLNSIAYFYMVCSWGGYTFIINLIPMHALLCIVTGRYSSRLYIAYAPLVVLGTLLASLVPVVGFNAVMTSEHFASFLVFIIIHVVALVYYIKGILSPKMFKVAVALVVSVGLAVCFATIAVLIALVASSPTKGWSGRSLSLLDPTYASKYIPIIASVSEHQPPTWPSYFMDINVLAFLVPAGIIACFLPLSDASSFAVLYIVTSVYFSGVMVRLMLVLAPAACILSGIALSQAFDVFTRSIKFQLPSLLGHSSVDAGDASSKSVVPNDAVKTEKSEDTSKERTSRKSKKKEKEPVERPIRKSQVKKRLLVLPLETSIIAIILLVFLGAFYVVHSVWAAAEAYSAPSIVLTSQSRDGLHVFDDFREAYAWLSHNTDVDDKVASWWDYGYQTTAMANRTVIVDNNTWNNTHIATVGTAMSSPEKAAWEIFHSLDVKYVLVVFGGLVGYSSDDINKFLWMVRIGGGVFPHIKEPDYLRDGQYRIDSQATPTMLNCLMYKLSYYRFVETDGKAYDRVRQAEIGKKYFKLTHFEEVFTTHHWMVRIYKLKPPKNRIRGKAKKLKSKAKSPTSSKRKETRRNPF >OIW15306 pep chromosome:LupAngTanjil_v1.0:LG03:14177544:14180863:1 gene:TanjilG_10746 transcript:OIW15306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLPAKLRLQPSDVKSAALWGVAAASGALYLVQPWGWIKKTFLDKPEPEQK >OIW14412 pep chromosome:LupAngTanjil_v1.0:LG03:29442830:29445967:1 gene:TanjilG_20858 transcript:OIW14412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQMTKLAVTVDMDASKEAKSGRKTFKAEVNLAASPLDLNKEPCSARYSSLDKGGGCVTLTKVSLTEKRKREQHSNFVKSKGINVDLNADDAISSVNLKSAHSQKAHRQFKSKDVSESASCTGRPTEEKDPMRIWKEMKQNGFLSSSHGGIPMPKPCERKSTNNMLKKNLELAKREQINKFTKIAAPSGLLNDLNPGIINHVRNRKQVHSIIEALVKSEKNESRSTGRNQSSHRISGCTEVSQRDPGHTTDVGKRLLTFPHEEGNLHSSSGRRDTEQFPVTMNNSSWILNAATVASQWLELLHQDIKGRLLALRRSRRRVRSVITTELPFLISKEYENNQENDPHAMKIFDRLPPKKTTDLHRARWTALFSRMDEDLSEEEKQLGCWLNQVKEKQLLCDQGLNHANWSMAYGLQQSGTENNSRVSSSTIDISEKELTVNAAAASIYSTCNFLLSES >OIW16005 pep chromosome:LupAngTanjil_v1.0:LG03:2303564:2319357:-1 gene:TanjilG_04540 transcript:OIW16005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESRNPKLGSFRYSFAERKERLLSMKSDSYSQVGFGLPESDEESNGPVARRWWRRCSDGVVMGWNKVKHVAASAWQMCQSDPRKVIFSVKMGLALTLISLLIFLKEPFKDVSRYFVWAILTVVLIFEYNIGATFSKGLNRAAGTLSAGGIALGMSELSKLAGEWEEVAIIISIFLVGFCATYAKLYPTMKAYEYGIRVFMITYCLVTVSGYRTGDFIITATHRFVLIALGAAVSLGVNIFIFPIWAGEDLHALVAKNFIGVASSLEGVVNSYLNCIEYERVPSKILTFQASDDPVYSGYRSAVESTSKEEALLGFAVWEPPHGRYKMLRYPWKNYVKVSGALRHCAFMVMAMHGCILSEIQAPPEKRQVFYNELKKVCSEGVKVLREIGTKVKKMEKLGDGDILFDIQEAAEELQHKIDKKSFLLVNSESWEIGNRPSPEVEPQDLLNNDEETQFLEYKSLSEAMLDLRAVRVPDIWGQNGAPEVKPLSPAANVEENMFKKQASWPDHHSFQAEAILKMAESKTYENASSLSLATFTSLLIEFVARLPNLVDSFEELGDVAKFKDPPELQSPELPGGFWISAVMDNATQLGSGSERDKSNTSSSPISVVTAFWNDFELEKEKSILDEQGLRIAENQENSQKNRRKLAENTRGFKKASPEDKLSLFNSLLKGYQEEVDNLTKRAKFGENAFLNIYQKLYEAPDPYPALASIAEQDIKLSELESENRKMKVELEEFRTEATHLKNQQATIRRLEERNRQLEQQMEEKVKEIVEIKQRSLAEENQKTLEVLKEREQALQDQLRHAKDSVSNMQKLHDLAQNQLFELRAQSDEERAAKQSEVSLLMDEVERAQTMLLSLEREKGSLRSQLQNEETETKKSDTLDSNTVLENFLNAKEKLISELNMELHNIETTLSNEREQHINEVKKLNSMLNEKEAALEVMKKELQARPTEKMVDDLRKKVKILQAVGYNSIEAEDWEVATSGEEMSKMESLLLDKNRKVEHELTQLKVTLSEKTSLLETAEQKIIEFTTKVNEQQKLIQKLEDDISKGYSSNPKDRKGTFIDDWDLSEAAGGETSENTDQRQASLDQDQSSMLKVICNQRDRFRTRLRETEEEIRMLKEKIGVLTTELEKTKADNVKLYGKIRYVQDYNNEKVVSRGSKKYAEDLESGFTSDVESKYKKIYEDDINPFAAFSKKERDQRYKELGFRDRITLSSGRFLLGNKYARTFAFFYTIGLHILVFTCLYRMSALSYLSNGPEEFLIGEKTVDLPRAP >OIW14685 pep chromosome:LupAngTanjil_v1.0:LG03:25747502:25749148:-1 gene:TanjilG_33027 transcript:OIW14685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSSPPDTSKTIKLERYNSYIRRLSSTKLLNASSKLLFRTTLLIALILVFFFIFNYPPLSSSENNNNNHHHHRQLHTRTNFLSSAFGGGAAWEKKVRHSSTPRRPNGMSVLVTGAAGFIGSHCSLALKKRGDGVVGFDNFNSYYDPSLKRAREALLKQHEIYIVEGDLNDALLLSKLFDVVPFTHILHLAAQAGVRYAMQNPQSYIKSNIAGFVNLLEVAKSVKPQPAIVWASSSSVYGLNTDNPFSELHRTDQPASLYAATKKAGEEIAHTYNHIYGLSLTALRFFTVYGPWGRPDMAYFFFTKDILHGKTIDLYQTQDGKEVARDFTYIDDIVKGCLGAVDTAEKSTGSGGKKRGPAQLRIYNLGNTSPVGVGKMVGIIEGLLSTKAKRHVIKMPSNGDVPYTHANVTLAYKDFGYKPTTDLASGLKKFVKWYVGYYGIQPRLKKDNHVQPQDSS >OIW15369 pep chromosome:LupAngTanjil_v1.0:LG03:13497168:13498004:-1 gene:TanjilG_26742 transcript:OIW15369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLIRNKVYYCCVSKGNHVLYGYSGGGQELENVAVVCLEKAPDFHRWYFETVGKRTYGFFMEDGYVYFTIVDEGLGNSVVLRFLEHVRDEFKKIARKGSRGILPNLNSIYVQEKLVPVIRNLITSLENVSHGSSNWRGETSSSFHVDLSPSLSNLNGQIEGATSTKAPLLGKSSKPEKKKVKDNVIAMRDVELEEHRQSIDRGAKVDSGNLDLISQGGAAMSTSLQKDMDSMRMRSVPENIRKKWWRQVYIVLAIDAAVCIILFVIWLVICNGISCIR >OIW16022 pep chromosome:LupAngTanjil_v1.0:LG03:2459388:2459669:1 gene:TanjilG_04557 transcript:OIW16022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKATHIALCTFLVLLLASEVQVSMAVTCSPVQLSPCASAITSSSAPSNLCCSRIKEQKPCLCQYLKNPNLKKFVNTPNARRVASTCGTPFPRC >OIW14999 pep chromosome:LupAngTanjil_v1.0:LG03:23244724:23245489:1 gene:TanjilG_30718 transcript:OIW14999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTSKHGCPRRNQGNYVGLDRNADNGSILVRDHGVHGPIRVHASTLDRVTNGLEAGDWVHVKEEDRNHSPVSILHSVNRDGRVTVGFIGLQTFWKGNSSELEMAESYCVGLFVRRKANVLSPQFEWPRKRGGTWGLLIFGDEPNMFLADPSEADAVNFSSCPKMIGKYQHVEDHHWTVRLVLIASGFLTVVKFRMLIGKKMERKVNPIAFDNESKYSDYQEGWKSYMDFFSS >OIW14602 pep chromosome:LupAngTanjil_v1.0:LG03:26437816:26446092:-1 gene:TanjilG_32944 transcript:OIW14602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEGGNAEELEFEWGIKGKRGGKKKDVQFYESFRYDGVDYKRYDSVYLYKEGELDPFIGKIIKIWENADKSKKVKVLWFFRSCEILNFLEGIDTLENELFLAYGDGLGLANINPLEAISGKCNVVCMAKDSRNPRLFDEIQSSEFIFYRFFDVEKCKILDKINDDKVADIEVKNIFNNLDSEKVFGVVTLDLDKKESSGNVMASNEVVDLPSQNNSQPIIGKVNGKGFDTLVRENVESKPLLGEKHVSLNGVTKTSEFSDKTIPQVEIKGNRVHKASLVMKKLSTKLYGATREITKTNDNRRNISIEKTPSWSKVDSKKGQAEIVGGLVGKINKELEKEKCCDSIQVSNEKLKSNFQSRRLVPNDGDMNKIDLPSSKVDSNNGEVNDVGRLVGKIHKGSVKENVENEKCCASIEVSNEKLKSNLQSQRIASNNGDVNKIAIPSSKVVSKKGEGKVVGSLVRKINKGSIEENGENKKCGDFNLQSQRLISNEDDAKKISQYSPKDKCKVQRVKDFCEVEEVPSKKLKLDQKPMKLSDDKLHKNPTASPNLEQKLNPFSKEVTRRPDTDRSRWFKGLPWEVRLKTAYEQGTLVLLENLDPSLTSGEVEDIVWNGFNESCTAKVIQRTALSSLHSEDMAGSSEEWQYIADIPLVGSTGRPCFPEKKPVFYGHHIVDQLRTQMQREMKDVVSTSHCSQSNNIEYEMALEWCLLQERADKAWSRLFKQQEEELRNVEAKMKSK >OIW15810 pep chromosome:LupAngTanjil_v1.0:LG03:843409:847074:1 gene:TanjilG_04345 transcript:OIW15810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCDDNWVNLAMTDDSKVVDLLLLLHHPPPPAPHLHLHWTVRQHRSRSVPRTKADPTTSTRASPTTPLSWSGATSASGGAVDCYEHSSHPIKHAETSRSKIVNPSNTTTAKKSRRKKSLAELKEEENLLLQERKSLKNELTSLRLVVEKHRATNESLKRMKLDLESRQNSKSATTLKVLDDNEPVSASNASSKPREIRNQESTFVFPDLNLPVEDDLSPNAMH >OIW14395 pep chromosome:LupAngTanjil_v1.0:LG03:29642026:29642970:-1 gene:TanjilG_15749 transcript:OIW14395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLIAEAPSFLQVYSNGLVKRFAPQIVTLSLESSFNGYKSKDVIIDPSKQITARLFFPHSNDASSLENNLPILVYFHGGGFCIGSTTWLGYHHFLSDLCVASNCIVLSVDYRLAPEYRLPIAYEDCYASIEWLAAQVTYEPLLQKADLSRVFLSGDSAGGNIAYHVTIKAIRNKGSCPVKIKGLLPIHPYFGSETRTDKEMDKSVAGEVAMNDMFWRLSIPEDSDRDYYGCNFEKLELCESVWCEFPAIEVYVAGLDLLKERGVMYAEFMKKKGVKEVKIVEAKEENHVFHVFYYESDATRLLQTQISQFIKRY >OIW14539 pep chromosome:LupAngTanjil_v1.0:LG03:27129992:27131638:-1 gene:TanjilG_12938 transcript:OIW14539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSPCCDEIGLKKGPWTLEEDQKLIHHIQEHGHGSWRALPKLAGLNRCGKSCRLRWTNYLRPDIKRGKFSKEEEQTILHLHSILGNKWSAIATHLPGRTDNEIKNFWNTHLRKKLIQMGFDPMTHQPRTDLVSTLPYLLALANMADIMDHNQSLDEHALRLQAEAVQLAKLQYLHFLLQSSNSSTTNNLSMKEHNTVMELTQVDNNNNNNNPNSFSHGIIAGFGSQPLHHQNNMLYDFLDPQVSFNSQSFLNNEQGQGTNYAIISQGGHTTFDHASTNWIIPSPITPNAMGTSITSNPGDASSSTSSYGGGISSYNWPELFFEDTIKMHDSI >OIW15552 pep chromosome:LupAngTanjil_v1.0:LG03:5511342:5512849:1 gene:TanjilG_01075 transcript:OIW15552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFNDNSNTGIQLGLDLSLGQHDHHKQRDQSHKERKDGPSTCNKAYPSLTLGLSDHGDEANNQPNSKTEISSRSAVSSLVSNSSSIKRKRDNFLGEDFEVEVQKVTSNSKGGDVEDEVCNTRKKLRLTKEQSAVLEENFVEHSTLNPKQKQELAMNLNLRTRQVEVWFQNRRARTKLKQTETDCELLKKYCETLTEENKRLKKELQELKSIETSPGPFPMQIPSATLIICPSCERICNGNINGYSPMTMLHIGSKAHHHLYTENIHSPTHLGPDERNHCMIFN >OIW14528 pep chromosome:LupAngTanjil_v1.0:LG03:27313600:27314737:-1 gene:TanjilG_04961 transcript:OIW14528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCVLPAMVAAIRPEKNPFSVKGRVFCDPCRATFETSATTYIAGAEVIVQCNDRVTNEVVYTKKGITDSTGTYTIDVNEDHKDQVCDAKLVNSNHPTCNEVTPGRDQARVILTGYNGIASMNRLVNAMGYMTNEVQSGCADVLRQYQEFDEDN >OIW14419 pep chromosome:LupAngTanjil_v1.0:LG03:29347711:29362682:-1 gene:TanjilG_20865 transcript:OIW14419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHERSFSVNRSDYELLEEVGYGATATVYRANFLPLNQLVAIKSLDLERCTLNLDDIRRETQTMSLIDHPNVVRAFCSFTVDHSLWVVMPFMNEGSCLHLMKSAYPDGFEEDAIGSILKETLKALEYLHQQGHIHRDVKAGNILLDSSGTVKLGDFGVSTCMFDSGDRQRSRNTFVGTPCWMAPEVLQPGSGYNSKADIWSFGITALELAHGHAPFSKYPPMKVLLMTIQNAPPGLDYDRDKKFSKSFKEMVAMCLVKDQTKRPSAEKLLKHSFFKNAKPPELSVKKLFADLPPLWNRVKSLQLNDLAQLALKRMPSSEQEAISQSEYHRGVSAWNFDVDDLKAQASLLRDEDDIVDIREEEYKVDVTDSQSSAGKENTKNSPKDDITSYVDGSDTKHIEQSEYANKKKILESDLREPGLPNNFIWNRNGSIAEATSTIEKDASLSKIKTQSAKNRETQSGPLVPGAVLSRSSTDRGRTFESTSRLENGNQLPSEKNIHQVRRAPSFSGPLMLPTRASANSLSAPIKPSGGFRDSMDDKFKANLVQIKGRFSVTSENLDLVKDIPLCSVSHRSSQGSTIRKSASVCDWMSDSKQMIGQAPTSTATTNISGSLLVPHLQNLFQQTSIQQDLIMNLLNSLQQDEANDVSQNGRLPPLPNGSEDNGSVETTATERERVLLAKVSELEARMINLTEELDAEKLKTIQLQQQLNSGEQNGDIAEEIA >OIW15414 pep chromosome:LupAngTanjil_v1.0:LG03:11207547:11208275:1 gene:TanjilG_32653 transcript:OIW15414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLKICQGYGKVEDNHNLEDQQQSHHQPPHPKFSKPIIITISVFTILFLTFTISLTLAALIYHTNTESPDQPSNSATTIKSVCNVTRYPKSCFSSIISSSSFSQNPTTDPQAILKISLHVAFEELSALASSLVPMGNGHGPAVADCKEQIDDALSRLNDSVSLMSSGGDVLTDGEIGDIQTWVSAAVTDQQTCLDGLEEMGSVDAGEVKEKMLRSSEYLSNSLAIVAHLQVLLKQSHVPLH >OIW15403 pep chromosome:LupAngTanjil_v1.0:LG03:11928319:11929059:1 gene:TanjilG_15786 transcript:OIW15403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAECNQELEILGNCLDRIFIKKERSSKVEDLSKQTNSLSEYEDTIQEKSPSCSFEETIALMASIENKLEMPKNLPGGILVDHIYVVSPYDLNLFLFAPNSRFRKDLAELQGTTNVEEGPWALNPKDISCLKRVVTYTKAATKLVKAVNATEEQTYIRVTREEFSVLFSISMPEVPFGNKFRIELLYKVMPGGISYGDVSSHFVVTWAIVFLQSTILKGIIERGVQQGMKESFDQFCNLLAQNFEVL >OIW14737 pep chromosome:LupAngTanjil_v1.0:LG03:25308534:25311480:1 gene:TanjilG_05358 transcript:OIW14737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLVSATAHDYNEALSKAILFFEGQRSGFLPEEQRQKWRRNSGLSDGWTYNMDLTGGYYDAGDNVKFGFPMAFTTTMLAWSVIEFGDMMPSQELRNTLVAIRWSSDYLLKTISQPNRIYVQVGDPISDHNCWERPEDMDTSRKVYAVDAPNPASDVAGETAAALAASSMAFRSSDPGYSETLLRNAVKAFQFADSYRGAYSDNADVRDGVCPFYCDFDGYQDELLWGAAWLRRATHGDDFLDYIQSNGKTLGADDNINEFGWDNKHAGLNVLVSKEVLEGNIDSLESYKSSAESFLCTLIPETSSSHIEYTPGGLIYRPGGSNLQHATVITFLQLVYANYLSRTSQTINCGNVYVSAQTLRQRAKKQVDYILGDNPLNLSYMVGYGNNYPQRIHHRGSSLPSIKDHPQFIACKEGSVYFNSTDPNPNVLVGAIVGGPGEDDVYEDDRADFRKSEPTTYINAPFVGVLAYFVANPNP >OIW14464 pep chromosome:LupAngTanjil_v1.0:LG03:28836496:28837623:-1 gene:TanjilG_19512 transcript:OIW14464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLLELSLSDGNGSSDEPLPQDADYNGPFLSDELETTILVRMPRSQHWKLCFLDKRFSSLVNSGEIYKVRKELGFVEPSVFMLASGESNWWGMDWPFKSSRKLPIIPSDYSFKYGDKESFTAGSHLLVSGKEFDGPVIWRYEFATNQWLKGPFMNNPRCLFASATCGNFAFVAGGIDTNTYSQVLDTAERYNSESKSWELLPKMNQKRKFCSGCFMDDKFYVIGGQDEHQKDLNCGEFFDAKTNSWNLIPQMLKDIPLSVSQSPPLVAVANNQLYTLDSSSNELKVYMKESNLWKKLGRVPVRADAQGGWGVAFKSLGDELLVIGAPLVSHTERALTIYTCCPDPATEKLRWRQIDCGSIQLNHFIHNCAVMRGT >OIW15834 pep chromosome:LupAngTanjil_v1.0:LG03:1001803:1003777:1 gene:TanjilG_04369 transcript:OIW15834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCKLRSCHVQAMPSSSVILNAVESSNFYQSKKLHGSNIASGIKGQSVSPLISNVNGVGIIGGVCVDATLNFLRRIVELSSDSSKGGGKSNSIPFVLCSDPLLNKEVLSYEKSHFVNGRSKVEFLKLDSSPIVQNLRNKRVFLENSGSSCIVMPCNVSHSWYEEVSKGCSVPFLHMAECVAKELKEAKLKPLEAGSPLRIGVLATNATLASGFYQEKLRNEGFEVVLPDRATMEHTVIPATEALNRKDMEGACNLLRIALQVLLVSGVNSIILASDDMRDLLPQDDPLLKKCIDPMDALARSTIKWVRSARENT >OIW15122 pep chromosome:LupAngTanjil_v1.0:LG03:22306362:22306631:-1 gene:TanjilG_08609 transcript:OIW15122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQRHTNLAENSASATHQRCTNLTENSASATHQRRTNLTENSVSSTHHRRTNLTEQGTTEDAPNNMHQGEPLNQVGTPDCQLGPYTPIT >OIW15992 pep chromosome:LupAngTanjil_v1.0:LG03:2174337:2176361:1 gene:TanjilG_04527 transcript:OIW15992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGTSAKIDYSPLPSNPNSPNYPYPPSQNVVVLLPSYHRHRNRSFCLLYSLIALLILAAATFFLYPSDPKIHLARIRLNRVAIRTKPKPVLDISFSVTVQARNRDFFSVSYDSLAIAVGYRNREVGSVSSSGGGEIKARGSSYIDATLSVDGFEVIHDAFYLIEDFAKGVIPFDANTRFEGKLGLFFFNVPLKATVSCGVYVNIKNQTIAHQDCYPENLPSISSLFIYMVVFTSLKLQG >OIW15526 pep chromosome:LupAngTanjil_v1.0:LG03:5936467:5941130:-1 gene:TanjilG_13832 transcript:OIW15526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKETGCFPLFAFSFCLFHFQIYAGNRLLCAQERFQSSYISNLARRVRDADEASEVAHLKELYYRNDPEAVIKLFESQSSLHSNPSALSEYVKALVKVDRLDESELLKTLQKGVSNSLREEQSIGGLTAFRNVAKSANDRALGTASSPIHMVATEVGSFKDQLWRTVRSLAVVFLLISGVGALIEDKGISKGLGMNEGVQPIMETNTKFSDVKGVDEAKAELEEIVHYLRDPKRFTRLGGKLPKGVLLVGPPGTGKTMLARAIAGEAGVPFFSCSGSEFEEMYVGVGARRVRDLFSAAKKRSPSIIFIDEIDAIGGTRNPKDQMYMKMTLNQLLVELDGFKQNEGIIVIAATNFPESLDKALVRPGRFDRHVVVPNPDVEGRRQILESYMTKVLKGGDVDLMIIARGTPGFSGADLANLVNVAALKAAIDGAKTVSMADLEHAKDKILMGSERKSAVISKESRKLTAFHEGGHALVAIHTDGALPVHKATIVPRGMALGMVTQLPDKDETSMSRKHMLARLDVCMGGRVAEELIFGENEVTSGASSDLKQATSLAREMVTKYGMGNEVGLATHNYNDDGKSMSSETRLLIEKEVKHFLERAYHNAKTILTTHKKELYALANALLEHETLTGNQIKALLAQVRSQKQQQSHVVEAQSSSQSNTPPPTSNPAASAATAAAAAAAAATAAAKGAAAKAQGVQV >OIW15396 pep chromosome:LupAngTanjil_v1.0:LG03:11709776:11713919:1 gene:TanjilG_15779 transcript:OIW15396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESFVPFRGIKNDLKARILCYKQDWTSGIQAGIGILAPTTYIFFASAIPVISFGEQLERNTDGTLTAVQTLASTALCGIIHSIIGGQPLLILGVAEPTVLMYTFMYNFAKDRNDLGHKLFLAWTGWVCVWTALLLFMLAILGACSIINRFTRIAGELFGLLIAMLFMQQAIKGLVEEFGVPKTHKESTNPVALQSSWLFGNGMFALVLSFGLLFTGLQSRKARAWRYGTGWLRGFIADYGVPLLILVWTAVSYIPTNQVPRGIPRRLFSPNPWSSGAYSNWTVIKEMLNVPPLYIIGAFIPATMIAVLYYFDHSVASQLAQQKEFNLRKPSSYHYDLLLLGFLTLLCGLIGIPPSNGVIPQSPMHTKSLATLKHQLLRNKLVSTARKSMRRNMNLSQFYQSMKDAYDEMQTPLVHQMPSTLGLKELKESTIQLASSHGYIDAPVDEIVFDVNNDVDELLPVEVKEQRLSNLLQALMVAACVGAMPLLKKIPTSVLWGYFAFMAIESLPGNQFWERILYLFTSPSRRYILLEEYHATFVESVPFKAVAMFTVFQTTYLLLCFGITWIPIAGVLFPLLIMLLVPVRQYILPKFFKGAHLHEMDAAAYEEAPAIAFNMSFEDSSSRARTVNISGEEILDEIITRSRGEFRRTQSPKTTSSTPTSFGDIRPAYSPQLPHRIPSPRISELRGESSQGPTGKEIMLKQTPSPRKSVLGKDTNGSSSS >OIW16010 pep chromosome:LupAngTanjil_v1.0:LG03:2361018:2361359:-1 gene:TanjilG_04545 transcript:OIW16010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKKSYVEFDELPPDNFDPEHPYKDPVAMLEMREYIVREKWIQIEKAKIIREKLRWCYRIEGVNHLQKCRHLVNQYLESTRGIGWGKDGRHPSLHGSKRFVTHHIIFFIFSD >OIW14434 pep chromosome:LupAngTanjil_v1.0:LG03:29138403:29145730:1 gene:TanjilG_20880 transcript:OIW14434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNVSRNLLASDKHERVGPDYFGYYSSEVINLLSRDEDALPAAMQKSERPQSKSGEEKMSSIKNNDDLSISLYNDAIGADLSDIKKERLRSLLRQSVTTLSSEVNEVDDFQSLLENDNVEVEETVKRYTEELSGKLVYMEQHLESLLDAVMSKCRYGVILLSGYVKNGPMTLVEKQQLQRLIQKLPAENLDRVVQIICRSRPVKEQSSDKIFVDLEKEDNATLWRLYYYIEAFEKAKILSS >OIW15874 pep chromosome:LupAngTanjil_v1.0:LG03:1258710:1259294:1 gene:TanjilG_04409 transcript:OIW15874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQNSTTTTTNSLTKNSSNKTESRKQSKNRAPKPRDCKNHPVYHGVRKRSWGKWVSEIREPRKKSRIWLGTFATPEMAARAHDVAAMSIKGNSAILNFPNISHVLPRPLTCTPRDIQAAAAEAAAMVKFDSETETESSELSEIVQLPNLEQGTFDSVDSVTEFMFIDSLDSWLYPPMRDEVIEFMFQGDSFLLE >OIW15477 pep chromosome:LupAngTanjil_v1.0:LG03:6944931:6950722:1 gene:TanjilG_32881 transcript:OIW15477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRSRKIDVNNTEDTNMPSYTPSLPSSNSMGTEANNVHPSRISEFGALEQSLGFRVEDAINLSRNQVFSQMKSNSQALGADVQFGALSKSFATSDINLSAAIAGTQTLPLQRDSQSNLALTAVGHRENWGESNMADASADTSTDDTDDKHQRAERGESSDRSKDKSDQKTLRRLAQNREAARKSRLRKKAYVQQLESSRLKLTQLEQELQRARQQGIFISSTGEQTNSMSGNGAMAFDVEYARWLEEHNKQANELRAAINSHAGDIELRTIVDNFMTQFDDIFRLKGIAAKADVFHILSGMWKTPAERCFMWIGGFRSSELLKLLVSQLEPLTEQQVMGIYNLQQSSQQAEDALSQGMDALQQSLAETLANGSPSPSGSSGNVANYMGQMAVAMGKLGTLEGFLRQADNLRQQTLQQMLRILTTRQSARALLAISDYFSRLRALSSLWLARPRD >OIW15416 pep chromosome:LupAngTanjil_v1.0:LG03:11052726:11059874:1 gene:TanjilG_32655 transcript:OIW15416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNMERRFYPDWTDVIRWLKHFLHPQFLFIDERYKPKTLSPFSIFTVSEKRNRESAKMVADKSKKLKVSEKEETEIDGELVLSIEKLQEMQDELEKVNEEASDKVLEIEQKYNEIRKPIYDKRNEIIKSIPDFWLTAFLSHPALGDLLNDEDQKIFKYLSSLEVEDSKDVKSGYSITFNFNPNPYFDDTKLVKAFTFLEEGTTKVTATPIKWKEGKDITNGVNHEKKGSKRAPIDISFFSWFSDTEQKDDLDDIHDEVAELIKDDLWPSPLTYFNNEEPDEEDGDEDEAADEDKDGDDSEDDDAQEDDDEGEDGGN >OIW15701 pep chromosome:LupAngTanjil_v1.0:LG03:141837:145321:1 gene:TanjilG_04236 transcript:OIW15701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANASGFFTPSVPNLRLKVQTFMGIHRFQAFPSKVLCFAAIEGADKSASPSKSRLPRLVSQGCKLVGCGSAVPSLQISNDDLSKFVDTSDEWISTRTGIRSRRVLSGKDSLTILAAEAARKALEMAKVDPDDLDLILMCTSTPEDLFGSAPQIQKQLGCKTNPLAYDITAACSGFVLGLISAASHIRGGGFKNVLVVGADALSRYVDWTDRGSCILFGDAAGAVLVQACNSDEDGLFGFDLHSDGNGQRHLNASIKENNSNNALDSSSGSVSDFPPRQSSYSCIQMNGKEVFRFAVRYVPQSIESALQKAGLPASSIDWLLLHQANQRIIDAVATRLEVPSERVISNLANYGNTSAASIPLALDEAVRSGKVKAGQTIAAAGFGAGLTWGSAIIRWG >OIW15266 pep chromosome:LupAngTanjil_v1.0:LG03:15261246:15268850:1 gene:TanjilG_16516 transcript:OIW15266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTIKSWRKAYGAIKDTTKVGLAHVNSDYADLDVAIVKATNHVECPPKERHLRKVLFATSAVRPRADVAYCLHALSRRMTKTRNWTVALKTLIVIHRLLREGDPTFREELLNFSRKGHILQLSNFKDDSSPIAWDCSAWVRTYALFLEERLECFRILKYDIEAERLNKPAQGQEKGYSKTRDLDSEKLMEQLPALQQLLYRLVGCRPEGAAVSNNVLQYALALVLKESFKIYCAINDGIINLVDKFFEMPRHEAIKALDAYKRAGQQAESLSDFYEVCKGLQIARNFQFPVLREPPQSFLTTMEEYIREAPRVVTVPSEPLLLTYRPEEALEIKDTKLSEEEQEPSFHVDNIVVSNSEPAPPPPPQNFEIGDLLGLNDTAPNESSIEERNAFALAIVPTETGATSAFNPIAAQTKDFDPTGWELALVTAPSTDISSVNERQLAGGLDTLTLNSLYDEAAYRSAQQPIYGAPAAPNPFEVQDPFVLSTSVLPTPNVQISSMGQQQVNPFGPVQPFQQPQQQQQHMLMNPANPFVNATGYEPFPANHVSQPQNNNPFGTPGLL >OIW15351 pep chromosome:LupAngTanjil_v1.0:LG03:13037361:13037621:-1 gene:TanjilG_26724 transcript:OIW15351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTKPPRRGAVLGFQRRYWVPRRLIPTMGFQPTPCFKASATSKSLNFKFDSVMIYSTILKWYREEIRIMFFDNNLCRIRLLLFCCF >OIW14675 pep chromosome:LupAngTanjil_v1.0:LG03:25835717:25840147:1 gene:TanjilG_33017 transcript:OIW14675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIFSATLGSSTSFFYHSSIPFIQTSFTFPKCPYKCSLSNSINTEIQPNQDSAILQRPLVTDIDPNQLAIRQARRSSDWKAANAYKESSIIYNGRVEEYNSGGLLIRFFTILGFLPFPQLSPSHASKEPHKSIQDIAKSLVGSVLSMKVIVADEDNRKLIFSEKEAAWSKFSGRVKVGDIFEARVGSVEDYGAFVHLRFPDGLYRLTGLVHISEVSWDLVHDVRDILTEGDKLRVKVINVDREKSRIKLSIKQLEEDPLLETLDKVIPQDDSSDSDSLSDEDSSVIEPLPGLETILEELHKEDGIFDARISRQGFEKRVVSPDLQLWLSNAPPSNQRFTLLARAGRQVQEIHLTTSLDQEGIKRALQRVLERVP >OIW15613 pep chromosome:LupAngTanjil_v1.0:LG03:4320507:4321271:-1 gene:TanjilG_08189 transcript:OIW15613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPHQNPTTLDSDPQLPQIKIHHTSSPRHHPSSAATPTAGARRKIGVAVDLSDESAFAVRWAVQNYIRPGDAVILLHVSPTNVLFGADWGSIDLSINTDPNTDEETVNSVNHSDLNKRKLEDDFDAFTVAKSADLAKPLKDAQIPYKIHIVKDHDMKERLCLEVERLGLSAVIMGSRGFGAVRRGSDDKLGSVSDYCVHHCVCPVVVVRYPDDKDGVEIGSGGGAGTPVVVVKESDEAEAEIKPVLVAEEHKKG >OIW14893 pep chromosome:LupAngTanjil_v1.0:LG03:24200286:24201690:1 gene:TanjilG_30612 transcript:OIW14893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRGGVVNHTAPWDGVVPPQCNPNPSILRFNALMEWVEAQEPLHRDIDTKKTNGIGPGMAFANSILEKREGFGVVGLVPCAIGGTNIREWERGKLVYNHMMKRVKASLNDGGSVQALLWYQGESDTLNINDAQSYKRRVHKFFLDVRNDLQSPLLPIIQVALASGQGSYIDIVREAQLDIDLQNLRTVDALGLSLEPMGLHLTTQAQVKLGEMMADSFIQFLPSPNPEH >OIW14536 pep chromosome:LupAngTanjil_v1.0:LG03:27155184:27156741:-1 gene:TanjilG_12935 transcript:OIW14536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISFEEVLAESPRAKVHIELEASTKKRKWEEPYAREFFKNQTNLEERKSTLDIDFNLETPFISDNWRHYLSIQPGQIHICEDSKRSTEPLPHHQMSLELGLNLTPESVWNKEEDNSYDMIENQSYSNSLGTLLELDHDDLIIETNKHKKDTSYGLIHSSSSPSWLSSSSEGDHKEMVAAVCMQCHMLVMLCKSSPTCPSCKFMHPPDQNPSNFLKRRSNLFC >OIW14731 pep chromosome:LupAngTanjil_v1.0:LG03:25362513:25364219:1 gene:TanjilG_05352 transcript:OIW14731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSNASPKLESEAFSELKSDTHASKKRKMVEKRVVRVRVGENVSKVKNEGLPSDFWCSTCKGCSAKKQVERCRTDSSMLIITYTSNHNHSAQQPKESKTETKENLMINSTEEDQEHIEEQMNHDISIINDQATDEDNFHYLQSPIGYLEDVIIDQEDPFKLNIEKSHDRIDPLIEEEPLCSAQFKNLSALKSEELDFFYELEELPMFSSFLPFTRSNFLDEMIHIAP >OIW14612 pep chromosome:LupAngTanjil_v1.0:LG03:26352596:26353054:-1 gene:TanjilG_32954 transcript:OIW14612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPSNKSNMIKQIVRLHQILKRLRKIANSPKTSRSRSNNSNKRTNILKRTLSLSESDGGSCNMVPKGYFAVCVGIELKRFVIPTEYLGHQAFHKLLREAEEEFGFEQTGVLRIPCEVSVFENILMIVSSQKCRFSVEKIIGYCSPNHLAYSH >OIW15252 pep chromosome:LupAngTanjil_v1.0:LG03:15698770:15700862:1 gene:TanjilG_16502 transcript:OIW15252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSETLRLGVAVLGNVASVSLYAAPITTFKRVIRKKSTEEFSCIPYIIGLFNCLLFTWYGLPVVSYKWENLPLVTVNGVGIVLELSYVLIYFCYASAKGKAKVTMVATPVLLLFCITAIVSAFAFHDNAHRKQLVGSIGLVVSIAMYGSPLVVMKKVIQTKSVEFMPLPLSLCTFLATTLWLTYGALIRDIFVAGPSVVGIPLGILQLVLHCKYRKKSVLEEPNKGDMEKGNLEKVELEKVNMEMEDAEKNVSIHKNSNL >OIW14856 pep chromosome:LupAngTanjil_v1.0:LG03:24482926:24483585:1 gene:TanjilG_30575 transcript:OIW14856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRSSSNATSSSDSSSSESNHPRNPNKPERIKGPWSAEEDRILTQLVERYGAKNWSLISRYIKGRSGKSCRLRWCNQLSPAVEHRPFSAQEDDTIIAAHDQYGNRWATIARLLPGRTDNAVKNHWNSTLKRRARDQKRGSFVATTSTLGERGNSSSEDPMTVLTLAPPGIDNGGAVEKERQSPEEGVPSGFWDMMRDVIAREVREYVSSNFADNNSRFH >OIW14758 pep chromosome:LupAngTanjil_v1.0:LG03:25164382:25164829:1 gene:TanjilG_05379 transcript:OIW14758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSIFVMFIVFSLLSVANLSFARKDIEDYWKNKMKGQPMPELINDLLLASDAEKSRFIRDFDIKPNVILYHTRVVSKEQKQKNPFVKKFEAKFKKLDDVMVEQIVKKD >OIW14874 pep chromosome:LupAngTanjil_v1.0:LG03:24342445:24345983:1 gene:TanjilG_30593 transcript:OIW14874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGQRGAVGSMPETFEFDCGSTSSNPTMDQQTCWNNVRSNAENQIPEYMLSPSDINSVYVNSINHEWQNLSGWSFGEPSSGNTANEINNNEQNRELQWSSSINVGVLSGPRLEERCLEPTNALLLDNIITGPTHMHSSNSHPISENLNLNSGIADSGSDNSQQLDHHNLHKSSRSVNEHTPPSIGSGSFLIPTENNGFLVEDTDGRPGCSLDTRRVPCKRKAVEGSDGQSSDSGSSSYTQHGDGSAWHPLPTQDNARSSLSRAISAEQLNARLGLGIGDEASESIPNSNVAGNSESLYRNFRLRINPSNPQSSTPPTAFSTGSVIRHSGAFSFSSTPQRFHPVDNSSNLRSAPPIDNMIPTSQPPIIHVPALPRNRQSYRWSGGSSSRSIQSLNSIICPDRDNLPQEGASSGSMSRNTLEHPVFVPASNLRNLVQNPAIRGSSSANLSIPGNVASSSRTALNAATNPSSASTWASRPNPSEHPRRLAEYVRRSLFPPGSEATGGPSNNHSSLQSGPSASESRALSSGAHPRSALWLERQGDSDLGIPHSLRTLAVASEGSSRIVSELRNVLGLMRRGGNLRFEELLALEERIGNVSTGLSEETILKLLKHKKYVAETGSEIDAEPCCVCQENYVDGDDIGTLNCRHDFHSNCIKQWLMHKNLCPVCKTTGLAT >OIW15891 pep chromosome:LupAngTanjil_v1.0:LG03:1371110:1372477:-1 gene:TanjilG_04426 transcript:OIW15891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGMSWDASQLVSNIPILWSTNEQHQDLEGGGYYMPNPISSSGVNQMQEIHKAETEPGTTTDEIIMSIMMPNTNLSQKIATTAGASLELEAFTYKSCYQSLSVNMMNNYVPDFSIAHKQQLQINNGTLQKTNVNNILNQSLDHCLLSTTNSMISTDDKSVENGDGISMILSDCRNLWNNNFSYGNSTTISSIESESNASNTRDKNMQQYHHVNELDETLSQSSSDHYVSPAKIIYSSKVSNCTTKRSNDDIQYDPYFNILQNSSANNIEGGGFKLISENATKSKKPRWDHKHPCSSTISFQQPNSSSVSSFNEEPDQEAIAQMKEMIYRAAAFRPVNLGLEVVEKPKRKNVRISSDPQTVSARQRRERISERIRALQNIVPGGSKMDTASMLDEAANYLKFLRSQVKALESLGNKVDAMNNYPPSSFAFSFNPTFPMQTQFHIHNPSHNIQHSLG >OIW15622 pep chromosome:LupAngTanjil_v1.0:LG03:4420386:4422507:1 gene:TanjilG_08198 transcript:OIW15622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEGIGNMYRKGAEAKHMHLYGKSHIAPECSSPVLSSSSSSSLSSIVGEQSRWTNLPPELLLDIIQRVEASEISWPARKVLVACASVCRSWREITKGVVKTPEQCGWITFPISLKQPGPRDTPIQCFIKRERVTSTYRLYLGLSPALSGEMSKLLLAAKKIKRLTCTEFFISLVADDFSRASNTYIGKLRSNFLGTKFTILDGEPPHDSALPSNCKSQRSVHLKQVLQRVAAANYNMGTVSYELNVLRTRGPRRMCCTMHLIPISAIKEGGAAPTPLKFTKCYNEHATPLPISKGKKQGIEFASGGTNTTTESTHSARQPLILKNKAPRWHEQLQCWCLNFKGRVTVASVKNFQLVAVAEPSENVPVAEQEKVILQFGKIGKDIFTMDYRYPLSAFQAFAICLSSFDTKPACE >OIW15444 pep chromosome:LupAngTanjil_v1.0:LG03:9083149:9084072:1 gene:TanjilG_28643 transcript:OIW15444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDLWQRLGPLVILPLVMLGSVDKSRVAYGTNTADSSRLHQEACFGGAVKGCMAASDADHYLQEMGSQQDKSDRLAGVCP >OIW15220 pep chromosome:LupAngTanjil_v1.0:LG03:17288591:17288947:1 gene:TanjilG_08812 transcript:OIW15220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLLDVEILTGQHAGHRAFLPRIKLKTSDNVGLPFVLIRKQFPVRLSFALTINKAQGQTIPNVGIYLPKHVFGHGQLYVALSRGVSKATTKILIKEGKIQGEEGDFTKNIVFKDILLH >OIW15913 pep chromosome:LupAngTanjil_v1.0:LG03:1561970:1563481:1 gene:TanjilG_04448 transcript:OIW15913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEKDTIPPFWLQDSTTNHHRLRRTNSLFFNSAALVIFFLVATLALIFIIAPTLHSFTSHIFKPHAVKRTWDSLNLVLVLFAILCGFLSRNNNNNTDGQTPRSYSYQDQSFTGSETIQDYTKPNPERETQRAWYEYDYSDRTAYSYNNKPFNRLRSINSDPDLRQESSWVNSDERWRFYDDTHVNGYQDRRQITAVGEEKEELGIKKVEVDTFEVSKKEVPGAPPMPVVAPSSSPPLPHGRDVRRKGKGTYQAVGQAKNHELGAKISQPPPPSTTPPPPPIRSKSATKEFLTSLKGKKKKQRHRSLENFDSILNYPKPHSTLPSQPPPPPPSVFESPLSSKKSKHKKTHISSSKTEPFKGVTTLKPSMRESFYTLKETLSTANESPLNLPIPPPPPPPPFNMPAWKFKVKGDFVIINSIVESPNATSEVNQCNINDFGEPEIPLLYQNPDVDIKANTFIENFRAGLRMEKMNSIKSNLGPSIYTETKEETGPSSRYKAVYVL >OIW15242 pep chromosome:LupAngTanjil_v1.0:LG03:16051319:16108165:-1 gene:TanjilG_17562 transcript:OIW15242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIGASLCPAASFPVHGREEPSHTVLKLKPNRIPIMPPRFALSVDQVPETTTTAMSPNNGIPSVKMNGEGILAEKEKRGENKKEEEELNRRSSWRSYVEQSKEISKPDGGPPRWFSPLESGSRLDKSPLLLYLPGIDGLGLGLTLHHQKLGSIFDVWCLHIPVADQTPFTELVKIVEKTVRSEHQQLPNRPIYLVGESLGGCLALAVAAHIPDIDVVLILANPATSFGRSQWQLLTPLLEAMRGPLSLFPPEILSSISGDPLRLLDNLVRGFPLQITARELLEDFTTFSGSLPVLANILPIETLQWKLKLLKSASAYVNSRLHAIKAQTLILCSGNDRLLPSQQEGERLRQLLPNCELRKFEGSGHCLFLEGSIDLVTVIKRASFYRRGKYHDYVSDFLPPTINEAKEVIESYSLINTLTSPTMLSTLEDGTVVKGLDGIPSEGPVLFVGYHMMLGLDIVPLISRIFMERNILVRGLAHPLMFTRKELGVVPDLSAYDGHKLMGAVPVGPTGLFKLLSSKSHILLFPGGMREALHKKGEEYKLFWPEQSEFVRMAARFGAKIVPFGTIGEDDVGNLVFDYDDMVKIPPLKSAIENLGKDAPQLRTDVVGELGNQPVHLPGFFPKIPGRFYYYFGKPFETEVLKLKANRIPIMPPRFAHSVDRVPETTSTAISPNNGIPWVKVNGEEGTLAEKEKRGENNEEEELNRRSSWRSYVEQTKEIAKPDGGPPRWFSPLESGSRLDKSPLLLFLPELVKIVEKTVRSEHQQLPNRPIYLVGESLGGCLALAVAAHIPDIDVVLILANPATSFGRSQWQLLTPLLEAMHGPLSLFPPEILSSMPVEMIGYYLVNRKVKDYASYFQNEGSVDLVTVIKRASLYRRGKYHDYVSDFLPPTPNEAKEAIESNSFINTITSPVMLSTLEDGTVERGLAGIPSEGPVLFVGYHMMLGLDIVPLISRIFMERNILVRGLAHPMLFIRKAGGLLPDESAFDAQRLMGAVPVGPTGLFKLLSSKSHILLFPGGMREALHKKGEEYKLFWPEQSEFVRMAARFGAKIVPFGTIGEDDVGNLVFDYDDMVKIPPLKSAIEDQTKEVPQLRTDVVGELGNQPVYFPGFFPKVPGRFYFYFGKPFETKGRKLELKDREKAHELYLEIKSEVERCLDYLKEKRESDPYRSILSRSLYQAIHGFMSEVPTFEI >OIW14950 pep chromosome:LupAngTanjil_v1.0:LG03:23681552:23686495:1 gene:TanjilG_30669 transcript:OIW14950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSVVYNHRTVEEVFSDFKGRRTSIINALTTDVQHFFQQCDPVDLHELYDTDKENLCLYGFPTEHWEVNLPAEEVPPELPEPVLGINFARDGMQQKDWLTLVAVHSDAWLLSVAFYFGAKFGFDKADRKRLFTMINDLPTISEVVSGLAKKQGKEKSSVSNHSSNKPKSNSKGGSEPQAKNSRAVQLKDEDENGFEEEDEEDHGETQCGACGENYITGQFWICCDVCGRWFHGKCVKITQARADHIKIYKCPSCSHKRSRPLP >OIW15198 pep chromosome:LupAngTanjil_v1.0:LG03:16522054:16522941:1 gene:TanjilG_08790 transcript:OIW15198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVPNKKTPFVTEDSDDDEVEDLNAEVSESESGSESEGDEDVKLAEPSKTSVNNRDALLDKLGDISWPDNVEWTHKLAIDIDQEQEVDVNDDLARELAFYTQALEGTKQAFEKLHSMGQPFLRPPDYYAEMVKTDTHMVKVKGRLLAEKRKMEEADERRKARESKKLAKEIQAQKTKERAKQKKEDIESVKQWRKQRQKSGFASGGKDAEFNFEDGKVFERSKKKRPGVLPGDRSGGKAKQGFAKGKKPNRRESRDSKFGFGGRKGLRKQNTAETTYGLDGSKKGSSQGNKKRKR >OIW15954 pep chromosome:LupAngTanjil_v1.0:LG03:1852918:1854279:-1 gene:TanjilG_04489 transcript:OIW15954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAPMPFTISLVLVACCQMEHYEVGIQLHCLCLKSGFVNVVLGTNLIDMYSKCQNVEASRRVFDHMEVDRNVLTWNSIVSSYARNSQPDEAMVLIREMLRSGVNPKQATYNSLLSSFSTDGHFDKCKQIQCRIIKEGFEVNHYLMVTLMTVYSECNGSLDDFWKLCSGVREWDQISWNALIAGLSHLEHGEEALTQFSFMREAGVETDHFTFISILSAITIASALRAGKKIHALILKYGYASHLDVQNGLVSMYARCGNVHDSRKVFSSMFTHDVISWNSLLSGYAHNGHGREVVELFEEMRRTEIKPNHATFLAVLTACSHVGMLDKGLEYFELMVNDKTLPPPPIEHYTTIVDLLGRFGYLNEAESFINNMPIEPGPSTYKSLLRACQVHGNKEIALQSAQMLLKLHPNDPAAYILLSNVLDDGGCWSDGAGVRKLMYDRGLAKKPGCSWI >OIW15283 pep chromosome:LupAngTanjil_v1.0:LG03:14882423:14882689:1 gene:TanjilG_08080 transcript:OIW15283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGESDGGRASGEDERSVVVVVGGREVDARTPFQGGEEGCRQIRSDPACHAVPTRAVALHFCSHALRIAKMESIRVVVDGYGQRQIQS >OIW15233 pep chromosome:LupAngTanjil_v1.0:LG03:15845194:15847960:-1 gene:TanjilG_17553 transcript:OIW15233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPISKALILVFVFIILCFFSNSHASSDVPFIIAHKKANLNRLKSGSETVSVTIDIYNQGTSTAYDISLADDSWLNDAFNVISGSTSKSWEKLDAGGVLSHTFELEVKTKGVFSSEPAVVKFRIPTKAALQEAYSSPILPLDVLADRPPEKKFDWRLLARYGSIISVISIMVLFVYLVASPSKSGAKGSKKKR >OIW14687 pep chromosome:LupAngTanjil_v1.0:LG03:25732000:25738669:1 gene:TanjilG_33029 transcript:OIW14687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRILPLCSATPTYYSSSSSHQIPLFGGLHPLCPLRKDHNESTHLGLQYGPHSHSFTNSLTVQATTSSGYSPLTPDLHHSLGNGQIKYVDSSNLSQGLVDFAEKSTEEFNALVEPTQPEPLSSVDIVTEKLTPTLDSINMDNESLATTKASLGDLFAGIDDSLNALRSSLDTATSFVDSIIKDANKTAHDVFSGAFSALDQTGELANKKLSSFSSALSGVTDKAPIVATDVLRRTITAVEGSLTSGASYAVYLYGSAKEFLPSGIRDAVNVYEDKATEILKPIGPVSEKVYIALYSLEKSLDLDPNDPIIPFIVFVGSSATIWAVYWLWTYGGYSGDLSPKAAFELLAGNKDATLIDIRTEASEEKDGIPDLRRAARFRYASVSPLEVDGSTSKLLKSGRDLYDSLTAAVIRNLKIDSSKIIVLDADGTRSKGIARSLRKIGFKNTYMVQGGFQSWVKQGLRIKELKTETAFSILNEEAEAILEEVSPSPLQLLGYGTALIAGSYALVEWEKTLQLIGTFGLGLTIYLRVSSYEGSEDLKEDVRLLLTPVRVGAQAFSWTAGKIESNGIGLPTSPSSLDVQNRVLQAAAKHESQPSDSGDNNQDSVPLNQNV >OIW15794 pep chromosome:LupAngTanjil_v1.0:LG03:744767:750529:-1 gene:TanjilG_04329 transcript:OIW15794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTSPLLLHFFSDPPPSSPPLSPPTILLRRTNFSVPSPPKPIFNTLSPSLKCLTRSTENEQCSHNETAVSDSGEGVNGENDHTSTPTSAPSNNRFETQRIASSASTDSLSLGIREPVYEVVEVKADGTVSTRKINRRQLLKSSGLRPRDVRSVDPSLFMTNSMPSLLVREYAILLNLGSLRAIAMQDCVLIFDYNRKGGKAFLELLLPRLNPKNTNGGPSIPFELEVVEAALLSRIQRLEGRLMDLEPRVQALIEAVPNRLTGDILEELRISKQTLVELGSRAGALRQMLLDLLEDPNEIRRICIMGRNCTLKKGNNDMECAVPLEKQIAEEEEEEIEMLLENYLQRCESCHGQAERLLDSAREMEDSIGVNLSSRRLEVSRVELLLQVGTFCVAVGALVAGIFGMNLKSYLEEQVFAFWLTTGGIIVGAVIAFFFMYNYLKARKIF >OIW15352 pep chromosome:LupAngTanjil_v1.0:LG03:13123512:13145141:1 gene:TanjilG_26725 transcript:OIW15352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRPSRSAVMTTFSTEVFDNDVVPSSLASISPILRVANEIESERPRVAYLCRFYAFEKAHRLDQSSSGRGVRQFKTLLLQRLERDNASSLASRHKKTDAREIQAYYQQYYEHYVRALDQGEQADRAQLGKAYQTAGVLFEVLCAVNKTEKVEEVAPEIIAAARDVQEKTEIYVPFNILPLDSAGASQPIMQLEEIKAAVSGLWNTRGLNWPSTFENQRQRTGDLDLLDWLRAMFGDNVRNQREHLILLLANSHIRLHPKPEPLNKLDDRAVDAVMNSLFKNYKTWCKFLGRKHSLRLPQGQPEIQQRKLLYMGLYLLIWGEASNIRFMPECLCYIFHNMAYELHGLLAGNVSIVTGENIKPSYGGNDESFLRKIITPIYRVIEKEATKSKNGTAPHSTWSNYDDLNEYFWSPDCFSLGWPMRDDGDFFKSTFDSGRKGARKKSRSTGKSYFVETRSFWHIFRSFDRVWTFYLLGLQVMVILAWKDISLMDLFQKDVLYNLSSIFITAAVLRLLQSILDLVLNFPGYHRWKFTDALRSFLKVTVSLIWVVVLPLLYIHSFENIPDGIKKLLSFVHQIKGIPPFYILAVALYLLPNLLAAVLFLFPMLRRWIENSDWHLFRLLLWWSQPRCYVGRGMHESQFALFKYTLFWVILLVSKFAFSFFVQIKPLIKPTKDIMSIRHVDFAWHEFFPNAKQNFGAVIALWAPVIMVYFMDTQIWYSIYSTVCGGVIGAFDRLGEIRTLSMLRSRFQSLPGAFNTYLVPTDNKQKKKFSFSKRFAEISASRRSEAAKFAQMWNEVICSFREEDIISDRKGLTANFFIYFSLEMDLLLVPYSSDPTLKVIQWPPFLLASKIPIALDMAAQFRGKDSDLWKRIYADEYMKCAVIECYESFKHVLNYLVVGEAEKRIISVIIKEVESNISKNTLLTNIRMGFLPSLCKKFVELVEILKDANPSKRSTVVVLLQDMLEVFTRDMMVNEISELVELNQSSKDTGRQLFAGTDAKPAIEFPPKVTAQWEEQIRRLYLLLTVKESAIEVPTNLEARRRIAFFTNSLFMDMPRAPRVRKMLSFSVLTPYYSEETVYSKNDLEVENEDGVSIIYYLQKIFPDEWTNFMERLDCKKDSEIWEKDENILQLRHWASLRGQTLSRTVRGMMYYRRALKLQAFLDMANEKEILDGYKAITVPSEEEKKSHRSLYASLEAIADMKFTYVATCQNYGNQKRSGDRRATDILNLMVNNPTLRVAYIDEVEEREGGKVQKVYYSVLIKAVDNLDQEIYRIKLPGPAKLGEGKPENQNHAIIFTRGEALQTIDMNQDNYLEEALKMRNLLEEFNEDHGVRPPTILGVREHIFTGSVSSLGWFMSNQETSFVTIGQRVLARPLKVRFHYGHPDVFDRIFHITRGGISKASRGINLSEDIFAGFNSTLRRGNITHHEYIQVGKGRDVGLNQISLFEAKVACGNGEQILSRDIYRLGHRFDFFRMLSFYFTTVGFYASSMIVALTVYAFLYGRLYLSLSGLEGSIDQLARRKGDDALKAAMASQALVQIGLLMTLPMVMEIGLERGFRSALGDFIILQLQLAPVFFTFSLGTKMHYFGRTLLHGGAKYRATGRGFVVRHEKFAENYRMYSRSHFVKGIELLILLICYRIYGTSTVDSTSYALLSWSMWFMVISWLFSPFLFNPSGFEWQKIVEDWDDWQKWISNRGGIGVPSIKSWESWWDEEQEHLQHTGFLGRICEVILAFRFFIYQYGIVYHLNIARGDKSIMVYALSWIVIVAVMVILKIVSMGRKRFSADFQLMFRLLKLFLFIGAIVALGLMFTLLSLTIGDIFVSLLAFLPTAWALVQIAQACRPFVKGIGMWGSVKALARGCEYLMGVTIFAPVAILAWFPFVSEFQTRLLFNQAFSRGLQIQRILAGGKKQKQG >OIW15179 pep chromosome:LupAngTanjil_v1.0:LG03:18539912:18545546:-1 gene:TanjilG_09918 transcript:OIW15179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGMGDGYVGTAQDAILETAFKKETVGLVTREEYVEKRVHIKTKIEEEEKEKLQKQQQEEEDFQLQKRKKRKIKGNSRLSFAEDIENDGEEEELQDKDLETYRLRQGKLGKDPTVETSFLPDRILFSEREAEEEAERERLRKQWLREQEQIRSEPLQITYSYWDGTGHRRVRKGDSIGEFLRAVQQQLAPEFREIRTTSVENMLYVKEDLIVPHESVAIVTREKAANGYRVRCETEMTPVAAEFVAGRSFAEEKSRVGTFTVEGTTSVAMEFVGEEKLPGKMSSGKALSAEMNSDEELVPDMRIA >OIW14633 pep chromosome:LupAngTanjil_v1.0:LG03:26196190:26200502:1 gene:TanjilG_32975 transcript:OIW14633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLRVTIYNKLLFHQESAEIVSQKLQDHGYEYVVVDYLWYRMKVPGASHYSLGYDVIDEWGRMVPDPGRWPSSEDGKGFAEVADKVHSLGLKFGIHVMRGISTQAFNANTPILDITKGGTYQEFGRVWHAKDIAIPERACAWMPDGFMSVNTNLGAGKAFLRSLYVQYAAWGVDFVKHDCVFGDDFDLNEITYVSELLREFDRPIVYSLSPGTSVTPAMAEEVSGLVNLYRITGDDWDNWGDVKAHFDVSRDFAAANMIGAKGLKGNSWPDLDMLPFGWLTDPGSNQGPHRNTKLNIVEKTTQMTLWSMVKSPLMYGGDVRKIDNTTYDLITNPTLLEINSFSSNNTEACERFNFFHSGCNKFFPYITSLKSEDPLWSPMRRSNEEMKTTYTHSLSFTSCAESKASGWSSDNIDQYGERVCWKRSLGNKNLDPFCVHKREIRFTLHQESMFRKNHRAEHHLVATDKDKFCLDASPRRKLTSEESRRGSFSPCRWDSNQMWQLNSNGTLVNSYSGQCATIEATKDTINVGGFRSWIATGRKGEVYVAFFNLSEQENVISAQISDLAKVLPGRDFNSCEASEVLSGDDISTTHGTLSTRGTLSATVTKHGSALFVLNCN >OIW14827 pep chromosome:LupAngTanjil_v1.0:LG03:24694131:24696247:-1 gene:TanjilG_17052 transcript:OIW14827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIGSDVFQVPSGYNAPQQVHITQGDYEGKGVIISWVTPHEPGSSTVIYWAENSESKLQVHGFFLTYNYFNYTSGYIHHCTIHNLEYDTKYYYEVGIGNTTRQFWFKTPPHVGPDVPYTFGIIGDLGQTYNSNTTLTHYESSRTKGQTMLYVGDLSYADDYPLHDNTKWDTWGRFIERIAAYQPWIWTAGNHEIDFAPELGETKPFKPYTSRYHVPYGESDSTSPLWYSIKRASAYIIVLSSYSAFGKYTPQYKWLEKELPKVNRAETPWLIILMHSPIYSSYVNHYMEGEAFRVMYEKWFVEYKVDVVFSGHVHAYERSERVSNIAYDVVNGKCKPIDDQSAPVYITVGDGGNLEGLATG >OIW14661 pep chromosome:LupAngTanjil_v1.0:LG03:25932441:25938971:1 gene:TanjilG_33003 transcript:OIW14661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCKQLSAIYCKILSIFPSLEEARPRSKSGIQALCSLHVALEKAKNVLRHCSDCSKLYLAITGDSVLLKFEKTKCALEDSLKMVEDIVPQSIGCQIDEIVKELASMVFTLDLSEKQIGDDLIALLQKGRQFNDSSDSGELECFHQAATRLGIISSRAALTERRDLKKLIERARSEEDKRKESIIAYLLHLMRKYSKLFRSEFSDDNDSQGSQPCSPTVPKSQEDGVSGSNCQTFNRQLSKLSSFNLKANSRKSGQMNLPPEELRCPISLQLMSDPVIIASGQTYERICIEKWFRDGHNTCPKTQQKLSHLSLTPNYCVKGLVASWCQQNGVPIPQGPPQSLDFNYWRLALSDSESTNSRSVNSVNSCKLKGVKVVPLEESTISEKTETNATESLSSGEEDTEQYLSYLKALTEGDDWKKKCEVVERLRRLLRDDEEARIFMGANGFVEALLKFLQSAVQEGHLMARESGAMALFNLAVNNNRNKEIMISAGVLSLLEEMILNTSSHGCATALYLNLSCLEDAKPVIGSSQAVQFLIQVLQTNTDIQCKLDSLHALYNLSTVPSNIPYLLSSGIINTLQSVLVGQSDSLWTEKCIAVLINLAISQVGREEIMLAPELISALASILDTGELQEQEQAVSCLLILCNKTDKCIEMVLQEGVIPALVSISVNGTPRGREKSQKLLMLFREQRQRARDHRDHAHAETLQRAETLQHPPEASDLSMAPPEVKPLCKSISRRKPCFNASIIHVLHMNGAYFVVSKVKKKRIPGDGRCLFRSVVYGACLRKGEPSPSINKQKELADELRAKVVDEFIKRREDTEWFVEGDFETYTVQMRKPHIWGGEPELFMCSHVLQMPITVLMQDKNSSSLKVIAEYGLEYDKDNNPIRVIYHGYGHYDVLKGSTGYYTQS >OIW15770 pep chromosome:LupAngTanjil_v1.0:LG03:546841:547308:-1 gene:TanjilG_04305 transcript:OIW15770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENAKLAILLLLLSLLSITVSANSPPSRSPSPSHSDSPSPASAPSPAPWNLISPPLAPSPKTPSPFTSPAPSTEDFPTPSPAPVDRSSINHAEAADENAAEKLSGGGNSGGKKAGIAIGTILAAGVIVVGGVVYKKRQHNIRRSQVGYATRNEFL >OIW15079 pep chromosome:LupAngTanjil_v1.0:LG03:21452977:21456921:-1 gene:TanjilG_08566 transcript:OIW15079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILLFANNNNTITFSTSSTLDTIGFYPNVKVQEQIPNPSPLVLQDSSSSTKSPIEKEQEIHKNKELLNKVEGFGNVDVKIDWKLCKGVVAVDYIPCLDNMKAIKALRSRRHMEHRERHCPDSRPRCLLPLPKGYKVPVPWPKSRDMIWYDNVPHPKLVEYKKEQNWVVKSGEYLVFPGGGTQFKEGVTHYINFIAETLPAIQWGKNIRVVLDAGCGVASFGGYLLDKNVITISFAPKDEHEAQIQFALERGIPSTLSVIGTQKLTFPDNGFDLIHCARCRVHWDADGGKPLFELNRILRPGGFFAWSATPVYRDDERDQKVWNAMVTVTKAMCWNVVAKTVDSSGIGLVIYQKPTSISCYEKRKENNPPLCTYNDRKNSSWYARLSSCLIPLPVDDMSNIQSWPMPWPQRLTSTPPSLSTESDAAEMFDKDSKHWSQLVSEVYVDGLSINWSNVRNVMDMNAGYAGFAAALIHLPLWVMNVVPTDMPDTLTTIFDRGLIGMYHDWCESLNTYPRTYDLVHSNFLFQNLEKRCDIVDVIVEIDRVVRPEGYVLVQDSMEVMNKIGPILHSLHWSVTLYQNQFLVGRKSFWRPRP >OIW14636 pep chromosome:LupAngTanjil_v1.0:LG03:26167095:26170844:-1 gene:TanjilG_32978 transcript:OIW14636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPELEAWTAFVAILLFIGFILKDSIIGGKNSRESESSSRRHVPSSSWDHNNYDYVGVYQSSQSLYPSHQSSWDHNNYQTHENNHASVSAPVHDYGQNPRRMLDRKYSKIADNYYSLDEVTAALANAGLESSNLIVGIDFTKSNEWTGKRSFKRKSLHHIGSGYNPYEQAISIIGKTLSVFDEDNLIPCFGFGDASTHDQDVFSFHSDERFCNGFEEVLMRYREIVPHLKLAGPTSFAPIIEMAMTIVEQSGGQYHVLLIIADGQVTRSIDTQHGHLSPQEKKTIDAIVKASEYPLSIVLVGVGDGPWDMMKEFDDNIPLRAFDNFQFVNFTEIMSKNDDATRREAEFALGALMEIPSQYKATIDHGILGTRRGHSPDRVALPPPPYGIGTTSSSNTGRSFRSNSFQQSAPTDTGGGHTKPSSSSLYDNRVCPICLTNAKDMAFGCGHQTCCECGEDLELCPICRSAIQARIRLF >OIW14622 pep chromosome:LupAngTanjil_v1.0:LG03:26294211:26295422:-1 gene:TanjilG_32964 transcript:OIW14622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METSTGDIFDVIIIGAGVMGSSTAYEASKAGLKTLLLEQFDFLHHRGSSHGESRTIRATYPQHHYYPLVIESYNLWQQAQAQAGYNVYFKAHHFDMGPRNDPTLLALIDNCRKHNIQHRLLRHDEVADKFSGRFNIPENWIGVYNSLGGVIKPSKAVAMFQTLAIKNGAVLKDNVEVIDIKRENENENEKGIVVFTASGEKFRGKKCVVTVGAWVRKLVKTVSGVDIPIQPVETNVMYWRVKEGHEGKFNIGGDFPTFASYGDFCVYGTPVLEYPGLVKVAFHGGDTCDPDKRKWGPGVMVDKLKEWVEERLGGMVDSSEAVVKQSCMYSMTVDEDFVIDFLGGDFGKAVVVGGGFSGHGFKMAPVIGKILTQLVVHGEANGVDLNHFRIRRFNTTTSEPLIN >OIW15607 pep chromosome:LupAngTanjil_v1.0:LG03:4250533:4250730:-1 gene:TanjilG_08183 transcript:OIW15607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSCMKDGNCSQYFPKKIQQSKIVDEDGYHVYMRRDNGNIVEKNGISLDNRYVVPYNPQLLIKY >OIW14796 pep chromosome:LupAngTanjil_v1.0:LG03:24933493:24936191:-1 gene:TanjilG_05417 transcript:OIW14796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCNTSKLDRLPAVALCRDRRKFMKQALSQSYVFANAHVAHMESLNTLASSLSSFLNQFQHSLSINQIISHSSSFTSHSRDDDDAHVHLHSDSELEEEEEDNNNNNNNNNNRDKEFSSRHDVAFMNYVPFSPPLNHGGYYGSKSSPPPPPPSFSAWDYFNLFEPLHRSYETPYNPSHGSYCDEATEENDKRMVAIEEDQKVKEDDLNEKKLVSENEIPELEKCSRINSIEVESKKGLCETVKEIQILFEKASDSGKPILEMLEVGKLCYNSKFAFNQVSCKVKHVFTPSISSLVGRRMGIEYEVVDRDNGHSYGNLCFTLKKLCMWEKKLYHEVKAEEKLRILHQKKCSQLKRMNKKGADAQKVETVQTFIALLATKMKISVQVVDKISITISKLREEELWPQINKFIHMFLKMWEDMQECYRCQYKEIAELKAFDASTFDRNLSNDQIDAAIKLKSELQNWNLSLSDWIHAQISHVKALNGWLVRCLMYEPEEVPDDSTPFSPSKIGAPPVFVICNKWSRLVDNISEKNVMEAVNGFMLRINDLLDKHILDLQQKLTLDKELERKVKILERHEQKMHKVVQARERKMVPIVREESVALLQGDDAVHHGDFVDDNSMQSHLKQFFSAMEKFSASTAQLYKELCQQIKPQCHVLGDSNKIY >OIW14371 pep chromosome:LupAngTanjil_v1.0:LG03:29875891:29876791:1 gene:TanjilG_15725 transcript:OIW14371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFKSSSLLCLALLHAFSCAIHAFYIKKLLNKYPEFSTFKNYLTQTNLVDQINSRNTITILAVDNGVASSISSKSQSAIKAIISTHIVLDYFDEKKLNEAIGLAVNQQGFIKVALVGEGTVGFGSVVKGSPINVELERMPYNISILKVSKLIIFLGADKVHTAKTPTVATKATVSSQSTKAPASSRKARAPSPLAEEGISDSPKEAGALEAEAPTPIASSPVAEALGLGDDDVANAQTPSRSSRIHIGFGAMMSFVSLLVVL >OIW14517 pep chromosome:LupAngTanjil_v1.0:LG03:27443433:27455352:1 gene:TanjilG_04950 transcript:OIW14517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKEGLGLEITELRLGLPGGESIKVVNKNDKKRVFSAVNGGVDENCGRNVEKKNQVVGWPPVCSYWKKNYMNEGSKMYVKVSMDGAPFLRKIDLGMHKEYKELALALENLFGCHGLDLLKKLAYRRFALTAAKAQFISTMSVGEDNVSSVKRRLAQLFETSLRETVPGEPDVVPIVDSCSDRSGGKFGDYQCNNAMVLWSKIKGKQTGYKGPPAVGKAIMTNLPPSEMIESCSVAGPGFVNVVLSKNWIAQILLRMLIDGIDTWAPLLPVKTAVVDFSSPNIAKEMHVGHLRSTIIGDTLARMLEFSHVKVLRRNHVGDWGTQFGMLIEFLFEKFPNPEEVNEAAIGDLQAFYKASKLRFDSDPEFKQRAQQAVVRLQGGEDRYRKAWKKICEISRTEFHRVYERLGVSLEEKGESFYNPYIPGVLDELDNKGLIKESEGARVIFVDGVNIPLIVVKSDGGYNYASTDLAALWYRLNEEKAEWIIYVTDVGQQQHFDMFFKAAKRAGWLPADDSLYPKATHVGFGLVLGEDGKRFRTRNTEVVRLVDLLDEAKSRSKTAVLERDAAKDWPEEEVEKTAEAVGYGAVKYADLKNNRLSNYTFNFDQMLNDKGNTAVYLLYAHARICSIIRKSGKDIEELKKNGKIVLDHDGERALGLHLVQFSEIVEEASINLLPSTLCDYLYNLSDIFTKKFYSNCQVIGSPEETSRLLLCEATAIVMRKCFYLLGIEPVYKI >OIW15712 pep chromosome:LupAngTanjil_v1.0:LG03:204636:206085:-1 gene:TanjilG_04247 transcript:OIW15712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEEKSMVESKEWYLASYAREGVPTSDHLKLRTLHLSLALHSIPHGHVAIQSLFLSIDPYLRSTFTATLDGLCLPQYQLNQVITAFGIGRVKGSKDNKYEEGDIVLIAYSPVAEYFVISSTEIISKVDTESGISLPEYLSSLGVAGFAAWVGIEVVGEAKTGSNVFISAACGAVGIIAGQLAKLKGCRVIGSTGSDQKVRVIKEEFGYDDGFNYNKETDFDAALSKYFPNGIDVYLDNVGGKMLEAVLNHVNKHARIALCGMISDYNKVWREREGPRNLLNMIGKEVRMEGYMVLSYFNRFGDFATEMEGYIKEGKVKSKHQINIGIETFLDCLCSLFSSSNVGKVLVQLHP >OIW14923 pep chromosome:LupAngTanjil_v1.0:LG03:23904833:23907459:1 gene:TanjilG_30642 transcript:OIW14923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLFNWGVKESHRGTPVVVKMENPNWSMVELEGPSEEDFLITNPSSVAARDKRRGKNAKQLTWVLLLKAQRAAGCLTSIAPALFTLVSAVKRRVAAGRTDADVDNDGVGREKENPTVKSRFYSCIKVFLFLSVLLLCFEVAAYFKGWHFGASNLQLGYLYWAPAFGVKDFFEWVYARWVLIRVEYLAPPLQFLASACIVLFIIQSLDRLVLCLGCFWIRFKKIKPVLKEGALDLETGEKGFFPMVLVQIPMCNEKEVYQQSIAAVCNLDWPKSKFLIQVLDDSDDPITVSLIKEEVQKWQQEGANILYRHRVIRDGYKAGNLKSAMNCSYVKDYEFVAIFDADFQPTPDFLKKTVPHFKDNDELGLVQARWSFVNKDENLLTRLQNINLSFHFEVEQQVNSVFINFFGFNGTAGVWRIKALEEAGGWLERTTVEDMDIAVRAHLHGWKFIFLNDVECQCELPESYEAYRKQQHRWHSGPMQLFRLCLPDIIKAKISVWKKFNMIFLFFLLRKLILPFYSFTLFCIILPMTMFVPEAELPAWVVCYIPATMSFLNILPAPKAFPFIVPYLLFENTMSVTKFNAMISGLFQLGSAYEWVVTKKSGRSSEGDLVSMIEKPKYQRGSSEPDLDEMKEEILRQQEEKAKKKNKKKKKHNRIYMKELALAFLLLTASARSLLSAQGIHFYFLLFQGISFLLVGLDLIGEQVD >OIW14832 pep chromosome:LupAngTanjil_v1.0:LG03:24741135:24745901:1 gene:TanjilG_17057 transcript:OIW14832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGALSTFTPWSSEDDLLLKNAVEAGASLESLAKGAVQFSRRYSIREIKDRWYSILYDPVVSEEAAAGMANFELSASPLPSKFYKFGQSKERKIVSVKRKAESVRTSYYAMRKRICNNTLTPMDLGFLVDPENDNYLMNEIEPLPENCMPEDATLNHFENLDLVRYDFPENTMDGGIALNGVTSCSFYNGVEQTNEVNEKPKILRDNGSLNGAVEELAIDSLIGDDNLEAMPLSTFDDINTGPGNLCSEFDGDHIFDSPELECGTSFDALQLSPLPEMPMWATDKSFQKPDMPCDDFEDSIPCRDDYLAELSNSLLNFTNEEEFYLMDVDGKDGIDKSYYDGLSSLLLNSPNDVPDQIPIKAETESLVASHPHVSNPSVSCHPKADDKTGSHSSDGQAHKLQPQIPPSAPVRDPRFPELINGVICCMLSIEDPEVPSNDDVFLPFDVPPSNFSSASKWTPNESNKPTSSSVMDCRFSNQRASGRGKVLKQVEQKNPREYHTSSLNMGSPPLPISVGVSNVKCELPNSHISHTVARSAVNVSGSTGVNSSANTTREKPVLGSNDFRNHPQPNGSSIKQELAVALPIQDHQLQHTEMRSSNALESELLANPPTLDVEEQYFESDDDVPYFSDIEAMILDMDLDPDDQDLYYNEEVSRYQHEETKRTITRLEQGAHSHMQRVIASHGAFAILYGRRSKHYIKKSEVVIGRATESVPVDIDLGKEGYANKISRRQAVIKMDNDGFFYIKNFGKGSILVNSKEVHNSQSQRLHSNCLIEV >OIW15906 pep chromosome:LupAngTanjil_v1.0:LG03:1510168:1512706:1 gene:TanjilG_04441 transcript:OIW15906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASGRWFKSLLSHRKTSTTTDQGEDSDIHNKSNNNNKKWKLWKSSSEGSSSMCVKKCGVGVSDKCCESSLYNAAVAAVVRAPPKDFMIIKKEWAAIRIQSVFRAFLARRALRALRAVVRLQAIFRGRLVRKQAAVTLRCMQALVRVQAHVRASNVRNSPEGKAVQKLLDAHRNQNDPVKQAEQRWCEIPGTVDEVKTKLRMRQEGAIKRDRAMAYSLSTQQPRLCASPNSRASKAVTPLRHHNLDNKSLGCSLLEHWMATKPCESPISRRSEDLVPTFQSRMNGVTKRISVKSLTQSTPSSSAESSECMYDDSPVSTSCTSGSLPSTTNMVEAIEEKDVHKLSYMNLTESTKAKLKACRSSSKNSKRLNMEDSMSHSSKTTTFLNGDARSSNSGSDPSVNLWKDLSATPLRAKPNVSTFNESNSLLTQ >OIW15471 pep chromosome:LupAngTanjil_v1.0:LG03:6748912:6751634:-1 gene:TanjilG_32875 transcript:OIW15471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTSEDFSVVVLASDLAVDARPFLSYQQEEEENWHDCSQHLFPDEDFSDLELLQFLRLQGCDNNGHPVLRIVGKYYPATVVSAERLKRYVSNKICSELPEGPFCIVYMHTTVQKEDNSPGLTILRWIYEDLPSDFKDRLQTVYFIHPGLRSRLVIATLGRFFLSGGLYWKIKYINRLQYLWDDIKKGDIEIPEFVKSHDDILENRPLTDYGIEPDPFNLTGMPSTTFSYGRYEERWAGREYIS >OIW15132 pep chromosome:LupAngTanjil_v1.0:LG03:20393558:20395774:1 gene:TanjilG_14131 transcript:OIW15132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKKKVGQKSDQSKVGDKIPKAYHNDNDTLVLISMSQELKDEGNKLFQKRDLEGALVKYEKALKLLPNDHIDVSYLRSNMAACYMQIGLSEFPRAIHECNLALEVTPKYSKALLKRARCYDGLNRLELALRDVSTVLKMDPHNIIALEIADNVKRALEKKGLIVNDAEIELPPDYVEPLYALPTKIVVKEKIHKKKSNKEKVNFPIKIVEKLAEEKLQEQKKEDDVVAKIANKSKKDMEKIDKNDDVKEVVKENCNIKSEVIPKKMAKLVFGEDIRWAELPINCSLFQLREVICDRFRNLGAVLVKYRDLEGDLVTITCDEELRWVETGSHGSIRLHIIEANPDQDPFFGKLTAKDDKEIGSENICMIKAKEIISSSCVEDWIIQFAQLFKNHVGFESDRYLDFHELGMDFYSEAMEETVTSEEAQSLFDIAGSKFQEMTALALFNWGNVHMYRARKKVYLSEDSSTEHIHEKIKSSYECAQKEYAKAGEKYEAAFKIKSDFYEGFLALGEQQFEQAKHSWYYALSSNVDLATWPSAEVLQLYNNAENNIEIGMLIWEESKQQHSSGISDSNDIRLHLQKMELDEVLKNMPSEETDAQASDMRSQINLLWGTVLYERSILEFKLGLPVWHESLEVAVEKFEHAGASPTDIAAMLKNHCLNDTALHRQSWNEMYEAKTWRNGVLSLRLEALLRRRASKIYHAL >OIW15466 pep chromosome:LupAngTanjil_v1.0:LG03:10348152:10351487:-1 gene:TanjilG_28665 transcript:OIW15466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEELTGLVIGISIGVVIGLVLAITALLCLRYHGQRSQIGNSSSQRETAIPIHTNGADSCTILSDSTLDPESPVKSGRNSTSFSIWNNRIFIQATYNFTTLIGQGAFGPVYKAQMSTGETFAVKVLATNSKEGEKEFHTEVMLLGRLHHRNLVNLVGYSAEKGQHMRVYVYMSKGNLASHLYSEENRALGWDLRLRIALDVARGLEYLHDGAVPPVIHRDIKSSNILLDESMRVRVADFGLSREEMVDKHASIRGTFGYLDPKYISSGTFTKKSDVYSYGVFLFELIAGRNPQQGLMEYIELAAMDTEGKVGWEEIIDSRLDAKCDFKELNEVAALAHKCINRAPRKRPSMRDIVQVLTRILKSKRCKNNRYHNKSLSSIAEEVSIDVDQPENKNYVTDHRRDESIDSAADSYDL >OIW14824 pep chromosome:LupAngTanjil_v1.0:LG03:24682578:24683438:1 gene:TanjilG_17049 transcript:OIW14824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLQIIPSASASASPSSSLPHNTFSFSLNPKFQYSTRLNIFQRRRTNSKSLLLRCSASSFSEKHHTNSPKSDDVVELPLFPLPLVLFPGAILPLQIFEFRYRIMMHTLLHTDLRFGVIYSDAVSGTADVGCVGEVIKHERLVDDRFFLICKGQERFRVNKLVRTKPYLVAQVTWLEDRPSASEDTDLDGLADDVETYMKDVIRLSNRLGGKPEKEVGDLRRNLFPTPFSFFVGSTFEGAPREQQALLELEDTAARLTREKETLRNTLNYLTAASAVKDVFPSSSS >OIW14906 pep chromosome:LupAngTanjil_v1.0:LG03:24046383:24047150:1 gene:TanjilG_30625 transcript:OIW14906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMEALKSPTTATPSFTFQEDNTSHHESAPWAKRKRSKRSRIDHYHPSCTEEEYLAFCLIMLARGGTTTTTTTTTTVSADKTRTNPTPSNKSATVATATEQSKLSYKCSVCNKAFPSYQALGGHKASHRRLAGGSGGDNQPTSSAVTNSSANTVSSKGGNKTHECSICHKSFPTGQALGGHKRCHYDGGAGGGSSSAITASEGVGSTHTVSHSHKRDFDLNLPAFPDFATKFLVEDEVSSPLPMKKPRLFGHSQL >OIW14819 pep chromosome:LupAngTanjil_v1.0:LG03:24641082:24647393:-1 gene:TanjilG_17044 transcript:OIW14819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDTEDAVRRRNAVTEYRKKLLQHKELESRVRSVRENLRGSKKEFNKTEDDLKSLQSVGQIIGEVLRPLDNERLIVKASSGPRYVVGCRSKVDKEKLTSGTRVVLDMTTLTIMRALPREVDPVVYNMLHEDPGNVSYSAVGGLSDQIRELRESIELPLMNPELFLRVGIKPPKGVLLYGPPGTGKTLLARAIASNIDANFLKVVSSAIIDKYIGESARLIREMFGYARDHQPCIIFMDEIDAIGGRRFSEGTSADREIQRTLMELLNQLDGFDQLGKVKMIMATNRPDVLDPALLRPGRLDRKIEIPLPNEQSRTEILKIHAAGIAKHGEIDYEAVVKLAEGFNGADLRNVCTEAGMSAIRAERDYVIHEDFMKAVRKLNEAKKLESSSHYNADFGKD >OIW15653 pep chromosome:LupAngTanjil_v1.0:LG03:4827158:4831468:1 gene:TanjilG_08229 transcript:OIW15653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFLLPKLNRRPQNQTSAKLPPGSMGWPYIGHTFQLYSQDPNVFFSSRQKRYGEIFKTNILGCPCVMLTSPEAANFVLVTQAHLFKPTYPKSKERLIGPCALFFHQGDYHMRLRKLVQRSLSHSALQKLVPDIEALALSSMKSWGHGHVINTFREMKRFSFEVGILCNFGHIELQLKDELKKNYWIVDTGYNSFPTNIPGTQYKKALLARKRIGKILSEIICERKEKKLVGRDLLSCLLNWKGEKGEILSDEQIADNIIGVLFAAQDTTASVMTWIVKYLHDEPKILESVKAEQKAIQRSNEGNQPLSWNQTRNMPITYKVVLESLRMASIISFPFREAVTDVEYKGFLIPKGWKAMPLFRNIHHNPEFFPEPKKFNPSRFEVAPKPNTFMPFGSGVHSCPGNELAKLETLIMIHHLVTKFRWEVVGSQCGIQYGPFPLPFNGLQAIYWKESTI >OIW14729 pep chromosome:LupAngTanjil_v1.0:LG03:25384575:25385168:1 gene:TanjilG_05350 transcript:OIW14729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFTKSLILIVSISSLLLSINAVPTTSYTALTPAASEPGRLIGIRVIPEVILFCKDTENPILCAETIVPYFQGEFNPIVALHSEFEATLNQSLKVANIIAQLQVPKEAIDALDICKKQYEYIVDTIKEADELLNISNVVDAYYKFASVLAYRSACEDAFVESNGVLNPFAEDSLIVYQLGGNCLAILDGIINSNYRF >OIW15731 pep chromosome:LupAngTanjil_v1.0:LG03:287590:290689:-1 gene:TanjilG_04266 transcript:OIW15731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNNSNHLRFQDADEVVEDAAESSNLDHSMCDLVADADVDAQPSSLDVVSADKTSADYYFDSYSHFGIHEEMLKDSVRTKTYQSVIYQNKFLFKDKIVLDVGAGTGILSLFCAKAGAKHVYAVECSDMADMAKEIVEVNGYSNVVTVLKGKIEELELPVAKVDIIISEWMGYFLLFENMLNSVLYARDKWLVDGGVVLPDKASLYLTAIEDADYKEDKIEFWKNVYGFDMSCIKKQAIVEPLVDTVDQNQIATNCQLLKTMDISKMAPGDASFTAPFKLVAARDDYIHALVAYFDVSFTRCHKLMGFSTGPRSRTTHWKQTVLYLEDVLTVCEGEAIVGSMTVAQNKKNPRDIDIMLKYTLNGRRCNASRIQYYKMR >OIW15850 pep chromosome:LupAngTanjil_v1.0:LG03:1122944:1126635:-1 gene:TanjilG_04385 transcript:OIW15850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEEKSLKISELPVRSAKFITREKWVIAATDDKIIHVYNYEKMEKIIEFEGHKDYIRSLVVHPSLPYVLSASDDQVIKLWDWSNDWASHRTFEGHSHYVMQVAINPKDLDTFVSASLDGTLKIWSLDSSAPIFTLDGHSKGVNSVDYFITNDKTYILSGSDDYTTKVWDYDSRSSVQTLEGHGNNVTSVFAHPKLPIIITASEDSTVKIWDSVTFRLEKTLNYGLERVWSIGYKEGSSLLAFGCDKGFIILKLNIQANK >OIW15841 pep chromosome:LupAngTanjil_v1.0:LG03:1047214:1050151:1 gene:TanjilG_04376 transcript:OIW15841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNNQSSQEPVTTPQETKSPTQFSSEYWFNDACILDMDYFVKTLSCIKEKGVHADLIGSIITYYASKWLPDLSAVDISQKGINQFQGSSPESVTISWMKKRFFVETLVGVLPPQKDSIPCNFLLRLLRTANMVGVEDNYRTELEKRISWQLDQASLEELMIPSFSHTCGTLLDIDLVIRLVKRFVSLDHEGAKNGAALVKVAKLVDCYLSEAAVDSNLRLSEFVALAGALPSHARATDDGLYIAVDTYLKAHPGVSKQERKGLCRLIDSRKLTPEASLHAAQNERLPVRAVIQVLFSEQTKINQHLDWRSSLSGLRSPNGAAFEPPTRCLSKREMDVQQMEIRKLKEDVYRLQSQCNAMQVQMEKMMVERKKGFFKWKKFTFSKGVEEAEKEDEFGRQTPMDMNTSLVKGMIPHKWRKSMS >OIW15782 pep chromosome:LupAngTanjil_v1.0:LG03:647700:649115:-1 gene:TanjilG_04317 transcript:OIW15782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMKLNVVVVEGECGKVEATKFTEKLANKMKNKVDIISTNPNKNPSKPEENKSKQPPVVNTVVLKVAMHCQGCIERIRKTVLKTKGVHDMTIDKEKETVTVKGTMEVKALVGNLMERLKKKVEVVPPKKEKEENGDKKGANNDKGGNGDSKKKKGGGGGGGGGGGGGGGGDNVKDEKGSGGEGQGKGKVEQNKVEPLVTIHDPVYGYGYGYGYYSYGGEYNYEPVYMGQLHAPQFFSDENPNACSIM >OIW14771 pep chromosome:LupAngTanjil_v1.0:LG03:25088462:25091480:-1 gene:TanjilG_05392 transcript:OIW14771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGAILVAIAASIGNFLQGWDNATIAGAIVYIKKDLGLETSVEGLVVAMSLIGATVITTCSGTISDRVGRKPMLIISSMLYFVGGLVMLWSPNVYVLCLARLVDGFGIGLAVTLVPVYISEISPSDIRGSLNTLPQFSGSGGMFLSYCMVFVMSFNASPNWRLMLGVLSIPSFLSFLLTIFYLPESPRWLVSKGKMVQAKKVLQRLRGKEDVSGEMALLVEGLEIGGDTCIEEYVIGPATDEAVDGDEHATEKDKIRLYGSQGGLSWLAKPVTGQSSLGLVSRHGSIVNQNLMDPVVTLFGSVHEKLSDAGSMRSAHFPNFGSMFSTADPNAKTEQWDEESLQRDGEDYKSDGGARDSDDNLHSPLISRQTTSLEKDMPHSPGSNASSKRHLNNLMQGSGEEAVDSTDIGGGWQLAWKWTDENKKEGEFQRVYLHQEGTHGYQNVSITSIPGEGEFVQAAALVSQSALYSQHLIGQQPVGPAMVHPSETSSKGPIWKALLEPGIKHALMVGIGIQLLQQFSGINGVLYYTPQILEEAGVEVLLSSLGIGSESASFLISALTTLLMLPCIGLAMRLMDVSGRRQLLLVTIPVLIVSLLILIIGSMVDFGSVVNAALSTVCVVVYFCTFVMAYGPIPNILCAEIFPTRVRGLCIAICGLVFWIADIIVTYSLPVMLSSIGLAGVFTIYAVVCFVSWIFVFFKVPETKGMPLEVITEFFAVGAKHAASAQNE >OIW14987 pep chromosome:LupAngTanjil_v1.0:LG03:23327756:23330569:-1 gene:TanjilG_30706 transcript:OIW14987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTIFNDDWVSAALTDDTLVADLLLTIKNTVSVKSHAMLPFTWGLKKPRANSASRSRLPHADASRCGGGGAGGSTRFSPTTPLSWSGAASPSDTGDGNDDSNHRHVARSKVTSTSGFTVNSASTKKCRKKKTFAELKEEESSLLKEKVYLEKEIANKNANFEVEKAKNERMKRMKIDFGTKWHSNPSSTSVELQCTPTGQPHQRIIAPLGPLKVTHTTQDDSHSQVSESRGHFSLIPDLNMMPPDDDS >OIW14677 pep chromosome:LupAngTanjil_v1.0:LG03:25817784:25824124:1 gene:TanjilG_33019 transcript:OIW14677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFCAWESTTHPEIGLPNIPIQILESTGFDIRDAYRARFSSVTEKDVLKALDNLVKPNRAESLAVDARQEIDLKVGVAFTRFQTSFFQGKYGNLDSRVISLVAMMFQKLVSQDGIVKVTEMSEKQETKARPVGLNTVNLLKVASSALGFGPQMAMQLAERLYTQGFISYPRTESTAYPPSFDFRGALSAQANNPTWGNYVQGLLTNGYHKPRSGTDVGDHPPITPMRSAAEDTLGNDAWKLYQYICQHFIGTVSPDCKYLRRKVEFSIGGESFHCSGHNVITKGFTAIMPWLAVSDKNLPSFIKGQKIEVSKMELYEGSTAPPDYLTESDLISLMEKNGIGTDASIPVHINNICERNYVQVQAGRKLVPTTLGITLVRGYQTIDPDLCLPDIRSFIEQQITLIAKGQVDHHCIVQHVIQQFMQKFSYFVKKVGTNYVVCVLGHQIEDMDALFEAQFSTLTESGRILSKCGKCLRYMKYISAQPPRLYCGTCEEVYDLPQKGIIKLYKELCCPLDNFELLLCSMPGPEGKSYPLCPYCYSNPPFEGIEALINTAKTGTSGKMGKGAGMPCTLCPHPTCPNSLVSQGVCACPECSGTLVLDPVSAPKWRLFCNMCNCLVFLPQGAHRISTTRERCSECDSSIIEVDFNKKTTPLEDGSTLHRGCILCDELLHSLIEMKHGRGFRSSRGRGRGRGRGRKGGYRGRGRGGKMMDPKMSFRDF >OIW15148 pep chromosome:LupAngTanjil_v1.0:LG03:20659915:20666173:-1 gene:TanjilG_14147 transcript:OIW15148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTLQMSPQLEQIHGEIRDNFRTLANGFQKLDKIKDSNRQSKHVEELTEKMRECKRLIKEFDREMKDEEGRNPPEVNKQLNDEKQSMIKELNSYVALRKTYMNTLGNKKVELFDMGAGSSEPTAQENVQLASEMSNQELISAGTKTMDETDQAIERSKQVVHQTIEVGTQTATTLKGQTEQMGRVVNELDSIQFSIKKASQLVKEIGRQVATDKCIMLLLLLIVCGVIAIIVVKIVHPNNKDIRDIPGLAPPAPTRRLLYVRTVEHFD >OIW14645 pep chromosome:LupAngTanjil_v1.0:LG03:26079944:26085470:1 gene:TanjilG_32987 transcript:OIW14645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIESLDSKADCNNTNVVFIDTNIHTHLALLVSLSDTVSLLKKRILVEHPLCFPKIGHIEIHAVKVKRKGCFYHLSDSVLVKSAFNGVSKDWFLSVDASALVDVSPETISGFNYSPLPPLENKQDEKEVPFVSPLVSEHTGKEIVEYSGTGVKSSGNNDTIIPLPLSIPETEDHRHANNEFSSLQLENKQDEKEVPFVSPLVSEHTGKEIVEYLGTGVKSSGNNDTVIPLPLLIPETEDHRHANNELSSLQIERELDRTSKSTPKDDYKVHEEVPSISVASVKKRRKSKRKKDDKERDDISKEDIASVDNVLSVASKRVSRSINFKVPQVENKLDEKEKSQFASPRESENTGKVVKKSGKGVKSSSNNDTGIPLLGSFPETENHDSVNKELRSKLDRSSKGTTKGDYNVHKEDPLTPMPSVKEKWRSKRKKGGIVQDDTSKENIASADNPLSVPSKDVSSLNTFQAPQLESKQDEKEDVEIGDESMKEASTAGPAANKKHKKRKRSSTLDSTEMLEIEAASQKDAAQEPDEAHKQSKRSKDQLEYNNDKSRDDARSKKNEVTEDFSDARPPAKKKRKGKNRSEDKSLSKEKSSMVSDFNVENAPDHLLEDQQKIKNSSNEQTGEHIKDAEPPKTSVPDRRKKGKKNSSNPPQTPVVTSSRKGYEADPSSIGGGIEEEISEVGILSKDLSMNKSTINNMEIGTDACKEDIGSNIHPSNVSSLVTAQVIESKELTEDNVNIVIDHCHKSDVGQSANIGKSNGILKAKDSGRDTSLIEGTDHVDASDNENFFKQFFKATNCDPISGNTEMEENPLNQTEGEKKQQDEMKGTVISSTGKEDDLSADNAGSLDNSEQVDKHVDKRQTNKPNIKETSITKSISNHTMSSIGENRKPHTNASGENTDLEKRKEPIPISNSKLEASKKKVQNKARRASGRTARVPSSTQQKKSLLEGAIFTEVSSGTSEDERGVDNSDASTRTPSDNSLLSDFSDVDSNAGLDSQQSGLRGGRSALKDGISGTKVAIDHVLRSSRRYKKAKIIASQTESQLEFVPDSLAD >OIW15398 pep chromosome:LupAngTanjil_v1.0:LG03:11768046:11771775:-1 gene:TanjilG_15781 transcript:OIW15398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSSSFTLSHNPSFSFQNPKQTHFIPFNPIRFSSNAVSFSSFTRQSWPLPLSSSFKFRPRPSLATSFPDPFQPRAVSVPENAENSEDASSSLVKTLQLGSLFGLWYLFNIYFNIYNKQVLKAAHFPVTVTAVQFAVGSVLVAFMWGFNLYKRPKLSGAQLAAILPLAVVHTLGNLFTNMSLGKVAVSFTHTIKAMEPFFSVILSAMFMGERPTPWVVGSLVPIVGGVALASITEASFNWAGFWSAMASNVTNQSRNVLSKKVMVKKEDSMDNITLFSIITVMSFFLLAPAAVFLEGVKFTPAYLESAGLNVRQVYIRSLLAALCFHAYQQVSYMILQRVSPVTHSVGNCVKRVVVIVSSVIFFKTAVSPVNAFGTAIALTGVFLYSRVKRIKSKPKTA >OIW14871 pep chromosome:LupAngTanjil_v1.0:LG03:24371308:24372609:1 gene:TanjilG_30590 transcript:OIW14871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLLDNNCESILFPLDSNKTVPAPFLTKTYQLVDDPATDHIVSWGQDDTTFVVWRPPEFARDLLPNYFKHNNFSSFVRQLNTYGFRKIVPDRWEFANELFKKGEKHLLCEIHRRKTAQPQQVSTTHHHDSSLGINVPSFFPFTSRVSISPPYDSDEQPNWCDSPPPTSLINVGAANYNSSVTALSEDNERLRRNNNMLMSELAHMKKLYNDIIYFVQNHVKHVAPSNTYSPSSFLPCNAPQQQVASNVSVVQRPMNQLLGYYSTTTNPKQSFQPQPQPQPQAQAHTLNSPINTSRGSVTVVEGHSSNTSKTKLFGVFLQSKKRVHPEYGSNFINSETNKTRLVLEKDDLGLNLMPPNSSY >OIW14484 pep chromosome:LupAngTanjil_v1.0:LG03:28181969:28184136:-1 gene:TanjilG_19900 transcript:OIW14484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIEENSRSEPSENNPEDVETTVQVNAPSKGDHINVLSHPYVMKIMNKQGDQLVLFADKVLKFTGSGKIKCRILLITDFAIYVVDPDTGSLKRRIALAALDKICVSELNDNFFTIIIPTEYDLLMASTRKNEILYAVKTASDYELEVVSSNRFEFNAASDLVKEIEFEEVEGGVKTRILRK >OIW14454 pep chromosome:LupAngTanjil_v1.0:LG03:28687290:28690636:-1 gene:TanjilG_19502 transcript:OIW14454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWLQSWAGVGYLFGSISPIIKSSLNYNQKQVAMLGVAKNLGGCVGFITGVLCEILPMWGALIVGACLNLFGYGWVWLVVTGRVPTLPLWAMCALIFVGTNGETYFNTVSLVSCVQNFPKSRGPVVGILKGFAGLSGAILTQIYAIFHSPDHASLLFMAAVGPSLVGIGLMFIVRPVGGHRQVRPSDEKSFTFVYGVCLLLAAYLMGVMIVQDLVPLNEIVITMFTAILFIILLIPIVIPISLVFSPNQIPIEEEALLQEQQNSEPGQSQQYSDEVILSELEDEKPKEVDLLPALERRKHIAQLQSKLLQAAAEGAVKVKRRKGPHRGEDFTLTQALIKADFWLIFISMVLGSGSGLTVIDNIGQMSQSLGYHNTHIFVSIISIWNFLGRVGGGYISEIVVRDHAYPRPIALAVFQLVMTIGHVFIAMGWPGAMYVGTLLVGLGYGAHWAIVPATASELFGLRNFGALYNFITLANPVGTLVFSSLIASRIYDSEAEKQARDGHHDHRSTGSFMFSSLNAGQPLKCEGSICFFLTSIIMAGLCIVASGLCIILVFRTKIVYANLYGKSSTSRLR >OIW14567 pep chromosome:LupAngTanjil_v1.0:LG03:26797412:26798974:-1 gene:TanjilG_14953 transcript:OIW14567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFYDNDFLEELMALRRETFNTNPSIEENEFFSNGYSFDCFEQNSSTFLLNNTLCGQEFPQSYNNEYNTFNEINGSLLDEPSAFQILDSYHNTLDTPLNTTSFLGQEDFSFSMIEGEDNTCFLGEELQNLELQNTCKMEPTLSPEMAVFNIGTGLERKNRSKKLQGQPSKNLMAERRRRKRLNDRLSMLRSIVPKISKMDRTSILGDTIDYMKELLDKINNLQQEIEVDANMTGIFKDVKPNEILIRNSPKFEVERNLDTRVEICCVGKPGLLLSTVNTLEALGLEIQQCVISCFNDFSMQASCSEELEQRTMLSSEDIKQALFRSAGYGGKCL >OIW14620 pep chromosome:LupAngTanjil_v1.0:LG03:26307229:26310284:-1 gene:TanjilG_32962 transcript:OIW14620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIRGDADTGSFSSLFAAVSYGISSMAMVFINKAVLMQYSNSMTLLTLQQLVTALLIHFGRRMGYTRARGVDMATAKRLLPVSVFYNANVAFALASLKGVNIPMYIAIKRLTPLAVLITGFFSGKGRPTMQVTLSVLLIAAGVLIAALGDFSFDLFGYSMAFISVFFQTMYLVLVERSGAEDGLSSVEIMFYNSFLSLPFLMFLIIATGEFPDSLSILFAKSYSFSFLVILFISLVMGIVLNFTMFLCTVVNSALTTTIVGVLKGVGSTTLGFVLLGGVQVRALNVTGLVINTAGGVWYSYAKYQQKKSKTVKQIPDVELHHK >OIW15817 pep chromosome:LupAngTanjil_v1.0:LG03:896775:899701:-1 gene:TanjilG_04352 transcript:OIW15817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSASSVLTQYDIEDVQEHCNSLFSQQEIVSLYQRFCQLDRNAKGFISADEFMSVPEFAMNPLSQRVLKMVDALNFKDFVTFLSAFSAKANAHHKIERMSQLYSRINLSSQKLFLSTCFEVRRCNFIFRVYDSDHNGKVSFKDILEVLKDLSGPFMSDEQREEVLGEVLEEAGYTKDSDLTLDDFIKVLGQSELKMDVEIPVD >OIW14378 pep chromosome:LupAngTanjil_v1.0:LG03:29804938:29806239:-1 gene:TanjilG_15732 transcript:OIW14378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNKNKDHENKNKKPRLTWSEELHRKFVNVVNLLGIENAVPRKILAFMNDERLTKENVASHLQNVSPSDPVYQNGAVQEMPMATLRSDERLDSLVDDVFHGISPSDPVYQNDAVQEMPMPTLHSDERLNSLVEDVLLQWWEDNNQDPTDLSDVIGTLLNTPPLKDAVNSNLAQTQDLVTLTSSSCVSHESIEIGELRQEIAATRNETNQLRETIQALKAQQDMLVQVVLQLLPPNALTQQ >OIW14611 pep chromosome:LupAngTanjil_v1.0:LG03:26363982:26364461:1 gene:TanjilG_32953 transcript:OIW14611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTLMATTSILLSSGLAAVISSTYSVKKPLDETVYGAHGEFMVALKYVTLLTIFLFSFFCHSLSIRFINQVNILINIPHDPLSLVTPEYVNEILEKGFLLNTVGNRLFHGALPLVLWIFGPVLVFLCCLTMVAVLYNLDFVVISGKGKMDVNENRDFV >OIW14365 pep chromosome:LupAngTanjil_v1.0:LG03:29948433:29962085:-1 gene:TanjilG_15719 transcript:OIW14365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQSSPNSCLQYTALGVGIGNSIQCQRCFPKVKIGVKPKNYSNIRPSFRSFNGTRSGGGGGGSRCIAASLGDFGFMYPGNGIGRSLISDGGFPKRFSSNVKKATDNKKKGVKALTVHAKDKDASDVAPASNDVVVNGVASRKSSDGVKKKLKKVSPVSTPPSDAASDKKDTKVAAKKKKPSKSKKNSMVAELKKTSNSSEPKADSTVEEVPDNNASTKNQTKKKSGNTTQAEQVKSSLGKFTDKPLYPPTGKTVLVVESVTKAKVIQGYLGDMYEVLPSYGHVRDLAARSGSVRPDDDFSMVWEVPSPAWTHLKSIKVALSGAENLILASDPDREGEAIAWHIVEMLQQQGALHDNISVARVVFHEITEKAIKGALQAPREIDVSLVHAYLARRALDYLIGFNISPLLWRKLPGCQSAGRVQSAALSLICDREMEIDEFKPKEYWTAEAHLKRKEPGSNEDLNFPAHLTHFDSKTLNQFSITSNTEATDVENKINSAEFQVIRLKRNKIRRNPPTPYITSTLQQDAANKLHFAASHTMKISDEAVTNIRALITERYGQEFAAQSAPKYIKKVKNAQEAHEAIRPTDIQKLPSMLVGVLDEESLKLYTLIWSRTVSCQMEPAVFEQIQIDIGNAGESIILRSTSSRVDFAGYRAVFTDIETEAVQDKGNDGSNRDQHFGVLSSLKQGDPLLLVQAELNQHHTQPPPRYSEASLVKKLEELGIGRPSTYASTLKVLKDRNYVIVKSRVLTPEFRGRMVSAFLSHHFSEVTDYSFTADMETELDNVSAGLTEWKGLLRDYWTRFKSYCDRTSNVHIHQVEKMLEKKFGDYLFASLPDQSRVCPSCMEGTLIFKVSRFGSGYFIGCDQHPRCKYIAKTLYGVDEDEDTPEPNTTIEEPKLLGVSSGSNEKVLLKKGPYGFYIQLGEDRKGYIPKRSSASHIKDVDSITLEDALELLKYPLTLGNHPDDGQPVILKLARVGFSVRYRRTIASVPKDMKPSEVTFEKALELLSGKDVRQSGRPKGKSKSKEVEAIESF >OIW14888 pep chromosome:LupAngTanjil_v1.0:LG03:24240678:24244515:1 gene:TanjilG_30607 transcript:OIW14888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHIKPVHPKVLLTQVSSTMANIKHLYYIFLFLLSNSYLSLSTPLDAEALLAFKLKADLNNKLQYNSSLTFCHWQGVQCNHHKITRLLLQHLDLAGVFAPNTLSRLDQLRVLSLHNNSLTGPIPDLTSLYNLKSLFLHNNRFIGSLPPSLFSLHRLRTLDFSHNNLSGPISTRFINLDRLIYLRLSYNNFNGTVPPLNQSSLKTFDVSGNNLTGAIPVTPTLFRFEPSSFSSNPGLCGEIIHKECHPTVPFFGNRTSASPPEAATRSHSAQLHGSVNGLIRQPYSKKHNRKALIIGFSIGIFVLMVSLFIFAVTVKKNRKKRRSSDDLSSDAVATAEAAAVMQMEQERELEEKIKRVEVAKSGNLVFCAGEVQVYTVEQLMKGSAELLGRGSLGTTYKAVLDNRLIVTVKRLDAVKMGAHANKQVFQRHMESVGGLRHPNLVPLRAYFQAKEERLIIYDYQPNGSLFSLIHGSKSSRARPLHWTSCLKIAEDVAQGLSYIHQAWRLVHGNLKSSNVLLGPDFEACITDYCLTVLANPSSFSEDVDSAAYRAPETRNPNHQQTPKSDVYSYGILLLEILTGKSPSEIPFLVPGDMLSWVRSIRDDNGGEDNRMEMLLQVATTCSLVSPEQRPTMWQVLKMLQEIKEIVLLEDSDLDLLTNVMP >OIW15354 pep chromosome:LupAngTanjil_v1.0:LG03:13152830:13153964:-1 gene:TanjilG_26727 transcript:OIW15354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLIPGFRFHPTDVELVKYFLKRKVLGKKFPFDIIAEVEIYKFAPWDLPDKALLKTGDLEWFFFCPRGKKYASGARMNRATEVGYWKTTGKDRSIEHRNEVVGMIKTLIFHTGRAPKGDRTDWVMHEFRLEDKELSDKGILQDSYVICKVFQKEGLGPRNGAQYGRPFQEEEWNEDEEIGCVESLPVAALSSEGHLQFTACQSSVAKDMHPSTSECIGLTSVSCLSELTPSCLALPPAPPSSSNQAEDDILLMLNSFKDDDTLALNESNKIKVWNLFPLIYAVVL >OIW14904 pep chromosome:LupAngTanjil_v1.0:LG03:24132708:24135218:-1 gene:TanjilG_30623 transcript:OIW14904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEEEQLCEAAKNGETEKVKTLIESGADVTYFDEEGFTPLMHAANHGHATVITTLLSAGAPWNALSPSNLSAGDFAMDSGHNDSFEILLNAGIQAELVLGTIARKENQNKNSGHDYLEDRVSFSEDKIMDNDSKAVMMAWEKPLMEAHATAVCSGGGHVLNIGFGMGLVDTAIQQYKPVTHTIVEAHPEVYERMIRTGWGQKENVKIVFGRWQDVLPQLQTYDGIFFDTYGEYYEDLREFHQHLPVLLKPGGIYSFFNGLCGGNAFFHVVYCNLVSLELENLGYSTQLIPLPVKDCLGEEVWEGVKHRYWQLDTYYLPVCQSAEDSE >OIW14701 pep chromosome:LupAngTanjil_v1.0:LG03:25587389:25590366:-1 gene:TanjilG_33043 transcript:OIW14701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPSSIGEMALDMDLKMDELRDLPLNDSSVSNSNSNETPPTTSYKTPTPQYSLKRPPHPHHDRNTSNSALLLKTKTRSRLLDPQFSQPQQQDYSSPIPKSSGQLFSTFIGTKKGDNDDEDDPFLEEDLPDEYKNETHFSLWVLLEWLSLICIIAAFIATLCIPSWRDNNLWDLRLWKWEVMILVLICGRLVSDWVIRIVVFCIERNFVLRKRVLYFVYGVRKAVQNCLWLGLVLIAWHLLFDKRVERETRTEFLKYVTKVLVCFLVATVVWLLKTLGVKVLASSFHMSTYFDRIQESLFNQFIIETLSGPPTIEDDKLASEVRKLQNAGVAIPPDLRASAFPTMKSGRVNKSGVLKSATFSQRYSTKKVAMDDNGITIDHLHKLNPKNVSAWNMKRLINMVRHGALTTLDEQILDDSTQQGDESAKQIRSENEAKAAAKKIFHNVARPGSRYIYLEDLMHFMREDEAFKTINLFEGASETSKISKSALKNWVVNAFRERRALALTLNDTKTAVIKLHRMLNFMVAVIVLIIWLLILEIATSKVIVFVTSQIVVVAFVFGNTCKTIFESIIFLFVMHPFDVGDRCEIDGVQMVVEEMNILTTIFLRFDNQKIMFPNSVLATKAIHNFYRSPDMGDALEFFIHVSTPPENVTLMKQRIVSYVDNKKEHWYPSPTIVFKDHESLNMIRVAVWPTHRMNFQDMAERFLRRSLLIEEMVKIFKDLDIQYRLLPIDVNIRSMPTTSDRLPPSWSTITS >OIW15435 pep chromosome:LupAngTanjil_v1.0:LG03:8536905:8538380:-1 gene:TanjilG_28634 transcript:OIW15435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSPATDLMLTRWVESTVEAHSKTRRHVEFQLVKRQSTLNIRDSAHDPSRFGEAVRDSTSGPTRFDEAIRDFTGGPSRFGEVVRDSNGGPLRFSEIVQNSSSGPSKFDEVFRDSIGEPLRFCEAVLDSASEPSRFGEAVRDSTGGPSRFGEVVRDRAGRVARFDEVV >OIW15529 pep chromosome:LupAngTanjil_v1.0:LG03:5969617:5972577:-1 gene:TanjilG_13835 transcript:OIW15529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVAPEGSQYDTRQFDSKMNELLAADGQDFFTSYDEVHDSFDAMGLQENLLRGIYAYGFEKPSAIQQRGIVPFCKGLDVIQQAQSGTGKTATFCSGVLQQLDYSLVECQGLVLAPTRELAQQIEKVMRALGDYLGVKVHACVGGTSVREDQRILSSGVHVVVGTPGRVFDMLRRNSLRADHIKMFVLDEADEMLSRGFKDQIYDIFQLLPPKIQVGVFSATMPPEALEITRKFMNKPVRILVKRDELTLEGIKQFHVNVEKEEWKLETLCDLYETLAITQSVIFVNTRRKVDWLTDKMRSRDHTVSATHGDMDQNTRDIIMREFRSGSSRVLITTDLLARGIDVQQVSLVINYDLPTQPENYLHRIGRSGRFGRKGVAINFVTKDDDRMLVDIQKFYNVVIEELPANVADLL >OIW14688 pep chromosome:LupAngTanjil_v1.0:LG03:25727392:25728091:1 gene:TanjilG_33030 transcript:OIW14688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSYNLLHHHHPCVVVLTRRSNCGWFYLGSNNSVKFSTSLKLRGSFSTSLKIRGSSSGSWCENEMSLYSVLGVGETVSCKEVKQAYKKMARKYHPDVSPGDRVEENTKRFIKLKEAYETLSDPITRAVYDTNFAISLNFASSSHYHHHQVSEQKKEWKKGWESQLSKLKRRSECRDRSEENMPWAARMGQNMDVLLDNYYDH >OIW15609 pep chromosome:LupAngTanjil_v1.0:LG03:4255017:4256510:-1 gene:TanjilG_08185 transcript:OIW15609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLARTMTFRVKVQPSYHQSSVIRLSESPILIKAIADQFGALEIHTVSPSEELNGKFHVNDISQHESVS >OIW15493 pep chromosome:LupAngTanjil_v1.0:LG03:7425990:7431280:1 gene:TanjilG_32897 transcript:OIW15493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFQIDNDDDHSSQPSQSQTHHLLGFIIANIVGTRYYPGYISGREMVGLVREPLNPYDSNAIKVLNTQTIQVGHIERSVAAVLAPLIDSNDIVVEGIVPNSRSKGKIPCQVHIFAAVYAFPTLKELILSSGLQLISDSDAAFTMSDSMAVKETRAEKRGRSVDDIFKLVDETNRNRGLEDLVPSKSVIKTELLKHQKEGLWWLVRRENSDELPLFWEERDGNYVNVLTNYKTNARPEPLRGGIFADDMGLGKTLTLLSLIAFDKEQSIGNTSHEVRDEGSSLSIKKGKRGRVSNEGSGSQKRRKIMSHSLDDVSIGKEVCVADTCSIDMKTKTTLVVCPTSVLSTWISQLEEHTKRGSLKAYLYYGNRTKDADELKMHDLVLTTYAILSSEETSSDSPLKKLEWWRIILDEAHTIKNVNAQQSKAAIKLNAKRRWAVTGTPIQNGSYDLFSIMAFLKFEPFSIKNYWRSLVQRPLNQGMEKGLSRLQVLMATISLRRTKDKGLVGLPSKTIETHYVELSVEERELYDLVKAESKRLMMGYINNGSLVNHYSSVLSMILRLRQICTDLALCPSDLKSVLSSANIEDVSNNPELLKALVEVLQDGEDFDCPICISPPTNIVITCCAHIYCRECILRTLQCSNPCCPLCRHPLSESDLFSAPPESSKTADAELSSSEPRLFSKASALIKLLIDSRDQNPTTKSVVFSQFRKMILLLEEPLKAAGFKTLRLDGNMNATQRAHVIKQFRVSGGEPMVMLASLKASSTGINLTAASRVYFMEPWWNPAVEEQAMDRVHRIGQKEDVKVVRLVAQNSIEERILMLQEKKKKLAREAFGKGSKDVGMGMEDVRFLLSQ >OIW16009 pep chromosome:LupAngTanjil_v1.0:LG03:2343295:2343933:-1 gene:TanjilG_04544 transcript:OIW16009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEECNKRKRVPHDSVYSEPHSKSHRVDSGSDVNSSESQLTRADSCVNSCESELTRVDSEIQEDLFNILDDSENVAERDSAIQGLDSVIKSFEEEILAPSSGLDPMDPNSVPDSSELLQPNLGYLFEASDDELGLPPSVPESDEPGRVEPDGVDLSGFVGFEDDIPNYDAFGFGNEMVAECDGVAGGLTAVDELFDYSETAENLWRLESLQAM >OIW14379 pep chromosome:LupAngTanjil_v1.0:LG03:29797145:29799529:1 gene:TanjilG_15733 transcript:OIW14379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGNYTLDSVRDVLVREEDIIIFGLIERAKFPLNFNAYNKNYFNIPSFALTLLHFVAIDTEALQAKGGRYGNPEENPFFPEKLPPSFVTPYPFTKFLHAGGASININKSIWKFYLDEFLSKWFDVLGDDGNYAQTAASDFSLLQDKKGIEKLLTDTSVEEKVIKRVEEKARVYGMYVTLNKGNKNGTKYKVDPLVVSTLYKKWLIPLTKSVEVEYLLHRLD >OIW14641 pep chromosome:LupAngTanjil_v1.0:LG03:26108477:26113721:1 gene:TanjilG_32983 transcript:OIW14641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSHGGGVPSAAESLPPSSGRSSEKLSLPSLQSKMKCDPEGYESELLLLRSQFQSSLELFREQAKMSFTSVSGFGFDSTVAKDLSDRVMILSHVTPFYPQHLADFPKQLADLLSDAARSLPSGLRCDLTRSLILLLNRKVIDIGETLSLFMELQTLGDKVLKKLAFDHVVHSIKRMNQKHKNEAKNRALQNVMFRDLNQEDEARAKIALVTLCELHRRKVWFDDRTANAICRASLHPAPRIMIAALSFLLDYEKIENDEDSDDSSSDDESASPQVVLNKHTIYKASHQGTTASKKKKKLKLQRAMRSLKKQQRRSSEKGTNSQYSPLNHLIDPQGFAESLLSRLQTCNERFEVKMMMLKLIARTAGLHRLILLKFYPFVQKYIQPHQRDVINLIAAVVQACHDMVPPDAVEPLFKQIVNQFVHDRSRPEAITVGLNAVREICMRMPLVTSEFSSSVKISVFNDLCLIEIYHATPMRAGLASMIGQPFDEKLMNEDLLQDLALYKKSHEKAVSVAARSLITLFREVCPSLLVKKDRGRPVDPTARPKAYGEVDVATDVIGAELLLENSSKKRKFSDFNDQLMAGDTSLRALKKMAGTTTVNSLPESTDGILSNEDFQRIKELKAKKEAERAMAQHGLSTSAGFKVRNSDELSTKRVDAATLEAHVRKKMSKDERLAMVKEGREDRGKYQARAAVKQKKTGGLSNKQKEHKKKMPLAAKRNKVTRTRIEKKKKNARAGKQFRGRKAWK >OIW14407 pep chromosome:LupAngTanjil_v1.0:LG03:29463304:29465908:-1 gene:TanjilG_20853 transcript:OIW14407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQKFVSIFTKSLLWLIAITMAVIFAFRYLELPYSNVLLSLFSACKLPTSGTSTFHATGPSPDAEILKNVTMFNQPNSVGEHVFEEVSKTRMSRENVTYPRNGFDLEPGSESNKSIGFYECNNSSIVDSVKRSGNVSATEQVGDSSYNNTMGLILLTNCSRGENFTSKHEDRSGRPYANSPEKAPTYIDFQPYANSPEKAPTCITPPLSSITKVSRNITNAVLSQLDNETISMKEESFRPPQKDGNTSGKNSSINRVPKENQDSNIPVPEVTSISEMNKLLLQSHASYRSVRPKWSSAVDQELLHARSEIENAPIVKNDPNLYAHIYQNVSMFKRSYELMEETLKVYIYREGAKPILHSPFLTGIYASEGWFMKLMEANKRFVTNDPKKAHLFYLPFSSRKLEEALYVEGSHSHKNLIQYLHDYVDTISAKHPFWNRTGGADHFLVGCHDWAPSETKLHMDKCIRALCNADVKEGFVFGKDVSLPETYVRNALNPTRELGGNSASKRTNLAFFAGSMHGYLRPILLHHWENKDPDMKIFGKLPKSKGNRNYIHYMKSSKYCICAKGYEVNSPRVVEAIFYECVPVIISDNFVPPFLEVLDWESFAVIIMEKDIPNLKSILLSIPEKKYLRLQMRIKKVQHHFLWHNNPIKYDIFHMILHSIWYNRVFSAISIDT >OIW16077 pep chromosome:LupAngTanjil_v1.0:LG03:3027493:3032920:1 gene:TanjilG_04612 transcript:OIW16077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDSATVGDAENGGNKPWNAGGSGSSSHLLVKWVVKITVFFVGFAVLWVFLYNSASPFGFPSISHHFIAVSTKESTESTLENVLRNASMVDKTVIITTLNDAWAEPGSIFDLFLESFHKGNQTKKLLNHLVVVTLDQKAYTRCLALHSHCFQLETKGDNFTSEAFFMTPTYLDMMWRRIEFLSSVLKLGYNFVFTDSDIMWLRNPFTEFYKDADFQIACDFFNGNSYDLKNLPNGGFTYVKSNERTIWFYKFWFNSKDSYPNMHDQDVLNQIKMDPIISKMKLSIRFLSTAIFGGFCQVSRDLNKVSTMHSNCCVGLENKINDLRILLEDWRKYMALPENQKKESHSTWSVPQSCR >OIW15186 pep chromosome:LupAngTanjil_v1.0:LG03:17865770:17866462:1 gene:TanjilG_31649 transcript:OIW15186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDKKMKRKMNEAPTSGVAESKRSQCLRLGSNPVVGESSSWKSSKKAYEPSNDRDDPKEDPPLKYVCQYCDRKFSSSQALGGHQNAHKHEHERQLKKEKEKLLHGLQSDTSFSTRPYPSMVNNYQHSPSHLYYGVRFQRPMAQIPSMSLPYHSSIGYGHYQGPHVPNPSLHGHQFMNVSSSLGHGAIPPRYNNLQGLTHFQGQPHSGVGNPLENPSAPQASEDIDLTLKL >OIW15410 pep chromosome:LupAngTanjil_v1.0:LG03:11358037:11366449:-1 gene:TanjilG_13746 transcript:OIW15410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKEESYGVVSDLISFLNASPTAFHAVDEAKKRLLIAGFEQLSEREVWNLEPGKKYFFTRNYSTIVAFAIGQRYVAGNGFHLIGAHTDSPSLKLKPISKVVKGGILEVGVQTYGGGLWHTWFDRDLTVAGRVIVREEKEGSVSYSHRLVRIDEPIMRIPTLAIHLDRGVNDGLKINTQTHLLPILATSLKAELNKVSPENGSVESGKHSDGTQTNDKTGTGNTKHHSLLLQLLTSKLGCKPDEICDFELQACDTQPSIVAGAAKEFIFSGRLDNLFMSFCSLKALIDATSSDSSLKEETGVRMVALFDHEECGSDSAQGAGSPVILNALSRITNSFSSDSKLFDKGIQRSFLVSADMAHALHPNYMDKHEENHQPRLHGGLVIKLNANQRYATNAVTSFIFREIASKHNLPVQDFVVRNDMPCGSTIGPILASGLGIRTVDVGAPQLSMHSIREMCAVDDVKYSYEHFKAFFQEFSHLDAKITVDI >OIW15267 pep chromosome:LupAngTanjil_v1.0:LG03:15218780:15221724:-1 gene:TanjilG_16517 transcript:OIW15267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKVWHSLKKSLHCKPHSTEVHDPSRTRHHNRSDQRKKHSKESHSKQGIPLSQGSSDVLNLVTHEIVLDTLTGENKFCSCPFPQNNEDASKGVESSYGSTRKTIISDTTHYVDFDDKYDTSTEHSAIQLHKEDSSWQIIEKICQPSYTNSESKVTEIQCVLKVLNHQKTFASFEECREVAMTYAEKLQDNKLSRFLANGNELLRFHGTTIACSLGMNNGSSNKLCTFDQCGLCHILRHGFSTKNQDFDGVVGILTTSTSEKAFYSVGSYEKMPLRKCVIVCRVIAGRIHNPLQENQEMITDSGFDSLVKKISAESDIEELHILNPRAILPCFVVIYKL >OIW15741 pep chromosome:LupAngTanjil_v1.0:LG03:338012:341866:-1 gene:TanjilG_04276 transcript:OIW15741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSNVDSKPKLVSGTAGYVLQDVPHLSDYLPNLPTYTNPLQVNPAYSVVKQYFVHVDDSVPERITVNSDSPRGVHFRRAGPRQRVYFEADEVHAAIVTCGGLCPGLNTVIRELVCSLYHMYGVKKVLGINGGYKGFYARNTINLTPKNVNDIHKRGGTVLGTSRGGHVTSKIVDSIQDRGINQVYIIGGDGTQRGASAIFEEVRRRGLKVAVVGVPKTIDNDIPVIDKSFGFDTAVEEAQRAINAAHVEAGSVENGIGVVKLMGRYSGFIAMYATLASRDVDCCLIPESPFYLEGPGGLYDYIEKTLKENGHMVIVIAEGAGQELVSESIQSKNKQDESGNKLLQDVGLWISQNIKDHFAKEKTLAITLKYIDPTYMIRAIPSNASDNVYCTLLAQSAVHGAMAGYTGYTSGLVHGRQTYIPFYRITERQNNVVITDRMWARLLSSTNQPSFLGSKCVDEEKKEEEEPILDGNCSDETLVTKQINSINLNAL >OIW15995 pep chromosome:LupAngTanjil_v1.0:LG03:2213227:2219478:1 gene:TanjilG_04530 transcript:OIW15995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLTNGHHHHASSIKIPSIKFTKLFINGQFVDSISGKEFETIDPRTEEVIAKISEGRKEDIDVAVKASRIAFDNGPWPRMPGSERAKIMMKWADIIEENIEELAALDTIDAGKLYNWCKAVDIPGVANTIRYYAGAADKIHGEVLKPSRELHAYTLMEPIGVVGHIIPWNFPSTMFAAKVAPALAAGCTMILKPAEQTPLSALFYAHLAKQAGIPDGVLNVVPGFGHNAGAAISSHMDIDKVSFTGSTDVGREVMTAAAKSNLKPVSLELGGKSPLVIFDDADVDKAVELALLGILFNKGEICVASSRVFVQEGIYDEFEKKLVEKAKTWLVGDPFDPKSQQGPQVDKKQFEKILSYIEHGKREGATLLTGGKRVGNKGYYIEPTIFSNVKEDMLIVQDEIFGPVMSLKKFKTIEEGIKSANNTKYGLAAGIVTKNLDIANTVSRSIRAGIVWINCYFAFGNDIPYGGYKMSGFGRDFGLEALHKYLQVKSVVTPIYNSPWL >OIW15805 pep chromosome:LupAngTanjil_v1.0:LG03:818934:819582:-1 gene:TanjilG_04340 transcript:OIW15805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLQKVSGEDFDLELEGAKSFKGLGMENCNSEDEECLVKRMTLEVHIDYIYTEHHKP >OIW14841 pep chromosome:LupAngTanjil_v1.0:LG03:24567698:24568156:1 gene:TanjilG_30560 transcript:OIW14841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYNNNNMYNNGVKFKDVFEHFDVDKDGKISSKELVDYFASVGESVNHKVAKIVVNEFDSDGDEFLDFGDFVKLMKEENNEELKNILRSAFEMFEVEKGSGCITPKGLQQMLKRLGDVKSHDECANMIRAFDLDGNGFIDFHEFQHMMSLAT >OIW14453 pep chromosome:LupAngTanjil_v1.0:LG03:28653199:28656547:1 gene:TanjilG_19501 transcript:OIW14453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGDLQSYLADLSLFLANDSKRMFIFVDNRPWLIDLGSRGAHIWQLMVTKSRLSPFAYSKARRERKDSKTACSQSSTSKPKKFKRWFSLIKAVMLSRKRALLPVKNLRNSLQFSSELQRTLYGFIVFEVAWTSVRGINYFNELQTDTSLAIESKLMKRWEFDSIAQAASCMSSWFSGTLSEQLILKEHLDSAPGEIFYDASEEFYGTVSIGDGDDDDTGCTDILTGVCVFSDDTEETKGMLHTPPPFGPYKRRKLMNSLSAGVEVDSYSVDEIHESLNCSKSSSRVSDDTVETTQYRDVLLLFRFNDHDLPFKLREVIISDLRLLTLLEAGLPSWVIFLQSYPVICNLYRPWMCPLARLLYVLISFVTVLIGFYDLYKNVPVLKATASRISGPLFDWIETWEMVSRVKYLGTMFFLHNVQRSVRWFLAFTHNMRSFFSVLVQPLAESLVDIFGFLLPSLNFLSGLVESIFSVIWFGIETTCTLVGDVLELLFLPLWFVITVVWSIAAYILYPLFWVLWEILYAPVRLVLAISSSMTFICSHICNIFGNTWQFVSSIFQLASSSEATVNTYEISMWRSLWNDLFSQIFRALKSILYGFVAFFTACNRHRLRRLSIDCIDNVKDQNKQILLTVEKLA >OIW15475 pep chromosome:LupAngTanjil_v1.0:LG03:6845127:6850935:-1 gene:TanjilG_32879 transcript:OIW15475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGKDSNVMKAWEFTVRKTHAAAKKRANSIFGTISLANVEVEEDENNRDNDNDDDDEEGGSKSFELYQADKVLANGDYYTGEWADNLPHGVGKYLWTDGCMYVGEWYKGKTKGIGRFSWPSGATYEGEFKSGFMDGNGTYTGCNGDTYKGQWVMNLKHGHGLKSCVNGDWYEGEWRRDLKEGQGRYEWKDESYYVGEWKNGSVWGKGSFVWANGNSFEGYWEEGVPKGNGSFKWPDGSYYEGNWNKDAKDYNGTFYPSESSEEGHFDWDPQELYNELSEYVVCPGEKVSVLPSQKRLAVWRSTKGGDSGKNRRTSVDGRVSSEGAERTPDRTSLCDEGNVSAGPRTSTVGCDLDEELMALQVDNLDDIVAQLQPLKAPKKSKRQGETICKGHKNYELMLNLQLGIRHSVGRPAPAASLDLKPSAFDPKEKVWTRFPPEGSKYTPPHPSCDFRWKDYCPVVFRTLRKLFNVDSADYMLSICGNDALRELSSPGKSGSFFYLTDDDRYMIKTMKKAEVKVLIKMLPAYYNHFRAHENTLLTKYYGLHCVKLTGTAQKKVRFIIMGNLFRSEYTIHRRFDLKGSSLGRMTDKPEAEISETTILKDLDLNFIFRLQKSWFQDFCRQVDRDCELLEQEGIMDYSLLVGIHFKDISQDGDIIPSGGSRTPCGDSENEGTPQLTRKDTDQLLLDPARWASIVLGVNMPARVERTVRRNDIELQLVGEPIGEFYEVVLFFGIIDILQDYDISKKLEHAYKSIQYDPTSISAVDPRQYSRRFRDFIFRIFTEDS >OIW15821 pep chromosome:LupAngTanjil_v1.0:LG03:930840:933465:-1 gene:TanjilG_04356 transcript:OIW15821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAVCGSKRSLFEDDLSPSPPLSSKRLRCCCSSSPISLSLFNHLLSLFPHMDQHVIERVIQECGNDIDAAIKCLHGLCLDNNVAENVHQSDPNLNAGDLEDNGNASVSASDNHSASNNHPADGAEWIDSFVTEMTCAASVDDARARAARLLEVLEKSISAHASSEATNALQKENLMLKEQIEVLTKEKNCFKSAFRIQLERLSDYESKNQELQLLKQSVSQYQEQIRTLEVNNYALQMHLNQAQQYNPFPGRFPPDAF >OIW15009 pep chromosome:LupAngTanjil_v1.0:LG03:23087306:23090874:-1 gene:TanjilG_24118 transcript:OIW15009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSLSNHLLLSLQRPSTSTVNPNDHTVACSDIGPIAVIPSSKRRELICSLATLPCLLPLTRVLDCLPANAIPLDRKEYVLIKQELRKVLSKAKAAGVLRLVFHDAGTFQLDDNTGGMNGSIIYELERSENAGLKKSVLQKAKTEIDVIQQVSWADMIAVAGAEAVELCGGPPIQVSLGRVDSLGTDPEGKLPEESLGASGLKKCFRRMGFSTQELVALSGAHTLGSKGFGSPTTFDNSYYKVLLEKPWTSAGGSMIGLPSDHALVEDDECIRWIKKYADNENMFFEDFKNAYLKLVNSGVRWNGL >OIW14437 pep chromosome:LupAngTanjil_v1.0:LG03:29091947:29098272:-1 gene:TanjilG_20883 transcript:OIW14437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMLEGMSPTHEVVESGKRWAILVAGSKDYLNYRHQADICHAYQILKNGGLKDENIIVFMYDDIAFHPENPRPGIIINKPNGPNVYEGVPKDYTGDDVNIHNFYGVISGNRSAIHGGSGKVVESGPNDFIFIYYSDHGAPGMLEMPTGHPVMANQFLDVLKRKHVANGYKKMVIYIEACEAGSMFEGLLPNNMNIYVTTASNATESSYGGYCPFPEALPPQDIICLGDIYSISWMEDSEENDLRKETLKQQYETVRRRTLIGDGDIDHSSHVMQYGDTKLNNDILFTYIGAGSTNVIENSNLTTTLVPITLVDQRDARLLYLKLKLEKAQDGSNEKLEVQKELEAEIAHREHVDKSIDLIVKLLFEKEKSSTLMTNVPPKGQPVVNDWDCYMTIMNTYESHCGALSSYGRKYLRAFANMCNAGISEQQMVAAASQVCPKENEIALNVV >OIW14603 pep chromosome:LupAngTanjil_v1.0:LG03:26430637:26433962:-1 gene:TanjilG_32945 transcript:OIW14603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCAQSRIENEESVARCKERKNLMKEAVVARNAFAAGHSGYSMALKNAGAALSDYGHGETYIDIDIQNHQPPPLDTVSNPPPLPLMEDNLFPPPPPLPSFSPSSNLKRAATMPPAMAMQHRRETDSAAIAEEDEEVEEEESLKVNGRNGGNALLKKSSGGIGSPPGMKAVLPESKETWDYFFMMNNMPEPCLSVEDENEEIEGEGDGVDNDDDNHNDNNNNSNNKNNNNGVVIDEVEPKTPEKVKGNLNVELAHVEITPESHHIQHSKTAPAEFKRALKGVPNVTLLQILNRIDDKFLKSSESAQEVNKLLEATRLHYLSNYADNQGNFLFSPFQVHVVEGHVDHSARVMRVITWNRSFNGVSNGDGAKNDFDSEEYETHATVLDKLLAWEKKLYEEVKQGELMKFEYQRKVAILNKQKKHGASAESLEKTKAVVSHLHTRYIVDMQSMDSTVSEVNHIRDAQLYPKLVVLVSEMANMWENMSLNHDNQLKIVTELKSLDVSQAPKETTKQHYERTVQLWNVVREWNSQFEKLVSYQKQYIQALSNWLKLNLIPIESNLKEKVSSPPKAPNPPIQALLLAWHDYVDKLPDELAKTAISSFAAVIKTIILQQEDEMKLKEKCEDTRKEYLRKKQSFEEWYHKYLLKRGPDEADHERGGEGNTTNNPLLEKQFAVESLKKRLDEEVESHQKLCVQVREKSLQGLKTRLPELFRALSDYAHACADAYGKLKSITQSRAGAA >OIW15460 pep chromosome:LupAngTanjil_v1.0:LG03:10241999:10243545:1 gene:TanjilG_28659 transcript:OIW15460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLTNLHGITKTLGPITCLPNAYKTHKRGHIVGFMGSSKTHEISECSIQTTRRATIGLASIVLTGQFNENISLAGDNGFWYDEPLPQPTATNKIANEKTGTRSFIKKGMYMANIGVKGSAYRIKKYAFDLLAMADLVAEDTLNYVRRYLRLKSTFMYFDFDKVISAIPLDDKQQLTEMANKLFDNLEKLEEAATKKNFPEARSCYHDTEVMLREVMNRMDLLYKTI >OIW15284 pep chromosome:LupAngTanjil_v1.0:LG03:14844974:14845795:-1 gene:TanjilG_08081 transcript:OIW15284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSSKPPPTQPPMIERSRARPQKDQALNCPRCNSANTKFCYYNNYNLSQPRYFCKTCRRYWTEGGSLRNVPVGGGSRKNKRPFPSSSTTSSQQGPSNKKLYDLSTTPNNFPHSASQNPKIHQGQDLNLAYPPLTEDYNTLSKFIEVPYNTELDKTRNHLHNPSSSAMELLKNGITTSFMPMSLSDSSTMYNSTGFPPLQEFFKPGLNFSLEGFENGYHGIHHQDGANGARILFPPPENLKQQVPSSAEFHEQNRNQGDSSGYWNGMLGGGSW >OIW15268 pep chromosome:LupAngTanjil_v1.0:LG03:15195781:15204257:-1 gene:TanjilG_16518 transcript:OIW15268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVGESSGSGVLCKSSTSASMNSNNPSGSNSDISCPDDEGMIDDEGFDDAPDYGFDFYYNDDDGDAYENDYLSLMDQFDNVDLPPGVEAPLPWLKDIASSESKQGVAPACAESSSKGKADETEDEVMQNFRQFKQFDTIDAYPDHFYDKESAKEAQSPKNWAKKIQEEWKILEENLPETIFVRACEGKMELLRAAIIGPQGTPYHDGLFFFDCYFPSTYPAIPPKVHYHAGGLRLNPNLYACGKVCLSLLGTWHGKNNENWIPEKSTMLQVLVSIQALILNEKPFFNEPGYSSTYAGQEGQRRSKDYNDNTFILSLKTMMYTMRKPPKHFEDLVAGHFRERAYNILIACRSYVEGAPVGTVLHSLPQTTYNSIPYVDNQKEFQSAVSRMMNTLIAFFSKNGSTDCEEFRSLDLCNISDVATTNLELYKIESASTLAITASDV >OIW15091 pep chromosome:LupAngTanjil_v1.0:LG03:21587712:21588327:1 gene:TanjilG_08578 transcript:OIW15091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAETSSDQNSLPMVTVETLGKGFLVNVISANSCPGLLVSILEAFEEINLNVVDARVSCADTFRFQAIGEVRLLIQNIYIHTYQLNKNIIMCLLPYIQNHVDR >OIW14897 pep chromosome:LupAngTanjil_v1.0:LG03:24176192:24176650:1 gene:TanjilG_30616 transcript:OIW14897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDPEVTLAPSSVAESSIEPPHNNQNHQSTTTTFTIWPLSQRTRDAVINRLIETLSTPSILSKRYGTLSSEESSTVAVQIEDEAFAAASAASEEDGIEILQLYSNVISKRMLETVKARSTTPFAAVDNDISASDDTHSSSEESVTIAPESGA >OIW14989 pep chromosome:LupAngTanjil_v1.0:LG03:23321069:23321957:1 gene:TanjilG_30708 transcript:OIW14989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIYQQRFHPWSHSCCTLLELVLFQKLPLSTVRFNVLKVIPAGSSGGAKKAFTGM >OIW14977 pep chromosome:LupAngTanjil_v1.0:LG03:23429911:23432925:-1 gene:TanjilG_30696 transcript:OIW14977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIAFFSLALFLFLSLDSDLPYSPTSSVSAASSEGVEVQITYGSVLKLMHEKTKVRLHSHEVPYGSGSGQQSVTGFPSVDDSNSYWVVKPELESSAKQGDTIKSGTLIRLQHMRTRKWLHSHLHASPISHNLEVSCFGGETESDTGDHWKLTIEGSGKTWKQDQKIRLQHVDTGGYLHSHDKKYTRIAGGQQEVCGVREKRAENVWLAAEGVYLPITESK >OIW15426 pep chromosome:LupAngTanjil_v1.0:LG03:10576353:10580511:1 gene:TanjilG_12280 transcript:OIW15426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVYLTSIPEDWICESCQSTNDTASPSKVNQDCGRQASKRRRGITTGKVKFIPEDEVIKLSSGNLPVKHRPGTSTFLTQKTSVGSKNVISKTPSMALKSNYSKSKLPRIGVHRNSATDKHAHLSQSKGPVKEYRLENQQLLITPMPGGILCAVSECNKSIEKSDLQSIQENFNLHRKFLPSSIATWRGQFQIGEAAASSKVYDGFKAQPPCTVNSKAYKFSTTMPSLLQLKSLPTLNVLTDVFQNDCPTLQDIALYFFPLDHIERYRENFNSIFEFMNAEKLMLSSVIDGVELMVFTSNELHVISRGTIATVNEDKKDFLWGVFRRIKIHKGNEIQPVKEPVETDADMIRGKEVNIDRSADMDAVDMDIDMIGGKDVAGRIDHVKKDLFQRSSTTLLQESGCSSRL >OIW14432 pep chromosome:LupAngTanjil_v1.0:LG03:29180798:29186229:1 gene:TanjilG_20878 transcript:OIW14432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRMKEFVGVMMLLSTWFMSMKGEEIKSSTTQGCDFPAIFNFGDSNSDTGTMASAFYPEISPYGETFFKEPVGRASDGRLIIDFIAKHLGFPYLSAYINSIGTSYRNGANFAAGSSTIMRQNRTYFDGGSPFTLEIQTQQFNQFKTRTAKFFTSGRKSSYTKQFPRPEEFGKAIYTFDIGQNDIASAISNGDKEHFQVLASQIVQYFSNQVQLLHGLGARTFWIHNTGPIGCLPVSLPIHNSNANNTQNGYLDQNGCVKYQNEMAIIFNKKLKDIVIKLRELFNDASLIYVDIFSAKYHLISHAKKEEFYIMH >OIW15327 pep chromosome:LupAngTanjil_v1.0:LG03:14545114:14547982:1 gene:TanjilG_10767 transcript:OIW15327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRFSRTIYVGNLPSDIRESEIEDIFYKYGHIVEIELKVPPRPPCYCFVEFDNARDAEDAIRGRDGYNFDGCRLRVELAHGGRGPSSSDRRGYGSGSGSGGARFGISRHSEFRVIVRGLPSSASWQDLKDHMRKAGDVCFAEVTRDSEGTFGLVDYTNYEDLKYAIRKLDDTEFRNPWARSYIRVRKFESSRSRSRSRSRSRSRSRSRSRSHSRSRSPKRNRRCLLSVIVY >OIW15157 pep chromosome:LupAngTanjil_v1.0:LG03:20038627:20039118:1 gene:TanjilG_30828 transcript:OIW15157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSKINASLFIYILFISSATPILSCSQCVKPTKSHKPTKKSKSPIKLPPITLPPITLPPITLPPIVKPPVTNPPTQATCPIDTLKLGACVDLLGQFLHIGIGNPVVNKCCPLLQGLVELEAAVCLCTTLKVKYINLNIYVPLAIQLLITCGKTPPSGYICSL >OIW15348 pep chromosome:LupAngTanjil_v1.0:LG03:12960871:12962313:-1 gene:TanjilG_26721 transcript:OIW15348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHRNLVSYNSLISGLSRNSFHKESVKLFYEMQNGCDHLVLDEFTLVSIVGSCACLGNLQWLCQVHGVAVISGMGWNMILNNALIDAYGKCSKPEFSFSVFSYMPLRDVVSWTSMVVAYTRASRLEKASMVFKDMPFKNTVSWTALITGFSQNGRCGEALDLFQQMLEEGVRPSAQTFVSVLGACADEALIERGKQIHGQIIRRSNSGNLFNVYIFNALIDMYGKCGDQKSAEILFEMSPTRDVVSWNTLITGFAQNGHGKESLSAFTRMIEAKAEPNHVTFLGVLSACSHAGLDDKGLELLNLMELQYRVKPRPDHYALLIDLLGRKNRLKEAIDLIEKAPSGIRNHIAVWGAVLGACRVHGNLDLAIRAAETLFELEPENTARYVMLSNIYAAFGRWGDANRIRKLMKERGLRKGVACSWIELRNARHEFVAKDKLHPQIAEIYEVSNKLVDHLKDAGYHPYTDYPFLQDEDDDFQLS >OIW15301 pep chromosome:LupAngTanjil_v1.0:LG03:14045434:14046168:1 gene:TanjilG_10741 transcript:OIW15301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLGIYSGGSNCVNAKHGTFHKRCGITIATQCSVAINDYSRSTVRKGRIYAAGTATKATAARLIDNRKSYGSGGANDVVGAQERLDEWMRNSVVEIVKNLKEAPLLVQVYTKNKNGEGETSVSTEKKVVVEDWTKVKERWEAGETPLPEGVIFVEEIGSDEKPEDGGAGDRMERTTRVWGVVVQGRGVGCKPVCYLLKTCRVGSGIGSGLYSTHFCLVRVKSLRETAQSQLKNCWLLQSQLQ >OIW15958 pep chromosome:LupAngTanjil_v1.0:LG03:1875216:1878832:1 gene:TanjilG_04493 transcript:OIW15958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKMKTKVPLKHLKLSVPAQDAPITSFLTASGTFHDGDLLLNQKGLRLISEEKESRPSDGKELEFDFSLDDLETVKVIGKGSGGVVQLVRHKWTGKLFALKVIQMNIQEDIRKQIVQELKINQASQCPHVVVCYHSFYHNGVISLVLEYMDRGSLADVIRQVKTILEPYLAVVCKQILQGLVYLHNERHVIHRDIKPSNLLVNEKGEVKITDFGVSAMLATSMGQRDTFVGTYNYMSPERISGSTYDYSSDIWSLGMVVLECAIGRFPYIQSEDQKGQPSFYELLADIVQSPPPSAPSDQFSPEFCSFVSSCIQKDPRDRLTSLELLSHPFIKKFEDKDLDLEILVGSLEPPVNFTR >OIW14568 pep chromosome:LupAngTanjil_v1.0:LG03:26780989:26781923:1 gene:TanjilG_32910 transcript:OIW14568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLSNEMIVSLGSGPWDQLFGGGNSPAFAVGAVAGLVGGLIAVFTIPRPGGQKPRSQV >OIW15956 pep chromosome:LupAngTanjil_v1.0:LG03:1864109:1864969:-1 gene:TanjilG_04491 transcript:OIW15956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEVKNYSHETERVTEWEIGLPTADDLTPLSQPLIPPELASAFSISPEPYRTLIDVNRASNDTIAALRSGAGGGSQTLSAVIEPDEEEEEEEEPDRENGSGTECKKLRRLDSAEDADSNMQNNNNDDASGRSVKRPRLVWTPQLHKRFVDVVAHLGIKNAVPKTIMQLMNVEGLTRENVASHLQKYRLYLKRMQGLSNEGPSSSDQLFASTPVPQSLHDSGGGGGGGHSHHAPVPMPYPPPHMMAMLGMPHHGFNNGGFQQRDWSSGFSYPHHAHGHGITPSGDK >OIW15586 pep chromosome:LupAngTanjil_v1.0:LG03:4013086:4013400:1 gene:TanjilG_08162 transcript:OIW15586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGKSSKLPQKALKQILKRCSSLGKKQGYDHEQGILLDVPKGHFVVYVGENRSRYIVPISILTRPEFQTLLHQAEEEFGFDHEKGLTIPCEEDVFESLTSILR >OIW15068 pep chromosome:LupAngTanjil_v1.0:LG03:22876083:22877975:1 gene:TanjilG_13995 transcript:OIW15068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFLQSFIDPKKNWLAAIHMKTISKRLRNYGLRYEDLYDPYYDLDVKEALNRLPKEIVEARHQRLKRAIDLSMKHQYLPEDLQAVQTPFRSYLRDMLAFVKRERAEREALGGLPLYQRTLP >OIW14990 pep chromosome:LupAngTanjil_v1.0:LG03:23308208:23311921:-1 gene:TanjilG_30709 transcript:OIW14990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSYQQPLHFCLLLTFFLSHSSTSFSHQPSYSPTLLTKLTPGPSQNEGNALDFRPGRLLLDEIAAEPVPFENTVNSPVLFPLAAERTRRKDPLDGFNKYTHGWNISDHHYWASVAYTAVPLFSFAAVWFFGFGLSLLLIIICYCCRDRETYVHSRACYTVSLILLILFAFAAMIGCAVLYIGQGSFHHSTTTTLHYEGGTYHNSRSYASLDISRIFLIIAGWVLVTGTFILCGLFLLLHNVTEDTCVAMGEWIQFPTANTALDDILPCVDNATAQETLLRSKEVTSELVNLVNQVITNVSNINFAPNFTPLYYNQSGPLMPLLCNPFHPDMTDRQCDAGEVGLSNATQVYGNFACQVSPSEICMTQGRLTPTFYNQISAAINSGDALYNYAPSLLDLLDCTFVRETFSDITRDHCPGLQRYSGWIYTGLLIVSFAVMFSLIFWIVYGREKRHRLYTEESKDLTLVTPAAAAPATPNALALAHIPEEYR >OIW14425 pep chromosome:LupAngTanjil_v1.0:LG03:29259005:29259571:1 gene:TanjilG_20871 transcript:OIW14425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDRSTPHQVQVHTTTTRYDTPTNPQGRYRSGGSGGVGGVNTATTNIFPEKGPTTSQVLAILAGLPVSGILLALAGLTLVGTLTGLAITTPLFILFSPVLVPATIVIGLSIAGFLTSGACGVTALSSFSWVTEYIRQTQGTVPEQLESAKQGLADVAGYVGQKTKEVGQKTKDVGQEIQTKAQDAKTS >OIW14393 pep chromosome:LupAngTanjil_v1.0:LG03:29655533:29658074:1 gene:TanjilG_15747 transcript:OIW14393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGGADFCPGGSIFADDEDASFFLPRGHQVDVYFPTRKRSRINAPFVFSGELFDQKHKTSFESLPDECLFEIFRRLPEGEDRSACACVSKRCLTLLSNICKNEICSNIKNIRPENGFSEKGHEFGGEGYLSRSLEGKKATDVRLAAISVGTASRGGLGKLSIRGSNSDRGVTNLGLKAVAHGCPSLKTLSIWNVATIGDGGLIEIASGCQQLEKLDLCKCPTISDKALIAVAKNCPNLAELLLESCPNIGNEGLEAIGKCCSNLRSISIKDCTSVSDQGIASLFSSASLSLTKVKLQALTVSDLSLAVIGHYGKAVTDLVLNFLPNVSERGFWVMGNGNGLQKLKSLTVASCQGATDIGLEAVGKGCPNMKSAHLRKCVFLSDNGLVSFTKAAVTLESLQLEECHSVTQNGFFGVLFNCGANLKAISLVSCFGIKDMNLSLSSISPCESLRSLSICNCPSFGNTTLYVLGKLCPQLQYIELTGLEGLTDDGFLPLLKSSKAGLVKVNLSGCVNLTDKLVLCLANLHGWTLETLNLDGCKNITDSSLMAISENCPFLCDLDVSKCAITDSGIAVLADAEQFNLQVLSISGCTLVSDWSLPALRKLGHTLLGLNIQHCNAISSSTIDMLLELLWRCKILS >OIW14947 pep chromosome:LupAngTanjil_v1.0:LG03:23714415:23721929:1 gene:TanjilG_30666 transcript:OIW14947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQFKGQARLPKFAVPKRYDLTLKPDLTACRFAGSVAVDLNIVAATSFIVLNAAELSITNASVSFTNRDSSKVLKPSSVALFEDDEILVLEFPETVPIGLGVLAIQFEGTLNDRMKGFYRRQVLVGSLCTYEHNGEKKNMAVTQFEPADARRCFPCWDEPACKATFKITLDVPSELIALSNMPIVEEKNDGNFKTVSYQESPIMSTYLVAVVVGLFDYVEDHTSDGVKVRVYCQIGKTNQGKFALHVAVKTLELFKDYFATPYSLPKLDMIAIPDFAAGAMENYGLVTYRETALLYDDQHSAAANKQRVATVVAHELAHQWFGNLVTMEWWTHLWLNEGFATWVSYLATDSLFPEWKIWSQFLDEITAGLKLDGLAESHPIEVEINHAGEIDEIFDAISYRKGASVIRMLQSYLGAEPFQRSLASYIKKYAGSNAKTEDLWAALEEGSGEPVNKIMTTWTKQQGYPVVSVKATDQKLEFNQSRFLSSGAQGEGQWIVPITLSFGSYDVRKNFLLQTKSETLDVKEFLGTSIEDKGVNSWIKLNVDQTGFYRVKYDELLATKLRYAVEKQLLTATDRFGVLDDLYALCVARQESLTSLINLMGAYRDEVDYVVLSNLITISYKIESISADAVPDLLEHLKQFFINLFQPTAERLGWDPKPGESHLDAMLRGEILTALALFGHDLTLDEASRRFQAFLEDRNTPLLPPDIRKAAYVAVMQRASKSNRLGYDSLLKIYRESDLSQEKTRILGSLASSPDPDLILEVLNFLLTSEVRNQDVVFGLPSSREGRDVAWAWLKENWETLLKAYGSGFLITRFVGATVSPFATFEKAKEIEEFFATRGKPSIARTLKQSLERVSINANLVESVKNEKDLADTVKELAYKKY >OIW14428 pep chromosome:LupAngTanjil_v1.0:LG03:29230853:29233974:-1 gene:TanjilG_20874 transcript:OIW14428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQTSLDLFFLYVEELIKKLNDEIGLRGLKDQVSVMACSHVGGHKYAGNVIVYSPGPDGNITGHWYGYVTPNDIPELLDQHIAKGEVIQKLWRGHMGPSVEEVKGEDDLKVSNGEVTRNGKKDHIENDNLSNNKNMVSCCQGVNAGVSCCRDASFELNKGNEETIKAQKKIGSKITWNLPALAERDRNVLTAVGVVGAVAVVAVAYKLYRR >OIW14764 pep chromosome:LupAngTanjil_v1.0:LG03:25121157:25121975:1 gene:TanjilG_05385 transcript:OIW14764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGRMELIKKEKNRMITFQKRKEGLMKKAYELSTLCGIDVCVIIYAPHFNDQGSQLQTWPKDTKEVNRIIEKYKDTTIDRSPKIYDVQEYYKDRVKKVESEIAKVRREKLKIMYPTWDESFNVMGEQQLRMFVTMLDNKLDVCNQRINVLKQDHKGRVITEEAPFKSEICEGQVYGPSHMKQDSDNKNHIPFYTFNHGQNSQSSMLHFDQNMQTMLWPYDTSMQTPNNSQNLQNEAAFQIQPQGFQINGFYDTNALQSQMLNYMHGWNNRQ >OIW14986 pep chromosome:LupAngTanjil_v1.0:LG03:23337832:23341902:1 gene:TanjilG_30705 transcript:OIW14986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLLIVFILHQLIRVLVAADPPVSSPQPAHITTLSTSMPAFSPGVEDKHKHSHKKLVIALVVVSTALAALVLSLLCFWIYQHTKHPSKSNKKKNAQRSDAEKGVAAAPSLSKFSSVKLVGMKGSVPIIDYKQIAKDTNNFKESNILGEGGFGCVYKACLDDNLDVAVKKLHCETQIAEREFENEVDLLSKIQHPNIISLLGCSIDGNTRFIVYELMQNGSLEAQLHGPSHGSALTWHMRMKIALDTARGLEYLHEHCYPPVIHRDMKSSNILLDAKFNAKLSDFGLAIIDGSQSKKNIKLSGTLGYVAPEYLLDGELTDKSDVYAFGVVLLELLLGRKPVEKVAPAQCQSIVTWAIPQLTDRSKLPNIVDPVIRNTMDPKHLYQVAAVAVLCVQPEPSYRPLITDVLHSLVPLVTTELGGTLRVSQVPKHVSSADPPLNTSH >OIW15783 pep chromosome:LupAngTanjil_v1.0:LG03:654679:658257:-1 gene:TanjilG_04318 transcript:OIW15783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKSGYGSDGIYKSVRPEITLPNTHSLVHHLFTKVSSFPSKLALIDADSNLTLTFSELKSLTVKLSHAFIHLGLNKNDVVLFLAPNSIYYPVIFLAVTAIGAIASTVNPTYTNVEVSKQVKDSNPKLIITVSELLDKVQNLNLPTVIIGSHNGGSSSKIISLEQLLDGSDYPTEFPTNVNVKQSDTAALLYSSGTTGVSKGVVLTHGNFIAASVMMGLDDELAGRMHDVFLCVLPMFHVFGLAVITYSQLQRGNCVVSLKRFEFELVLKTIEKYRVTHLWVVPPIIIALAKHALVDKYDLKSLIHIGSGAAPLGKELMHECASRFPQATVCQGYGMTETSGAISVENPRTGVRNTGSAGILISGVEAQIVSVDTLKPLPPTQVGEIWVRGPNMMQGYHNNPEATRSTIDEKGWLHTGDLGYFDEDGNLYVVDRIKELIKYKGFQVAPAELEGLLVSHPEILDAVVVPFPDAEAGEIPIAYVVRSPSSSITGEDIQKFIAKQVAPYKRLRRVTFINSVPKTASGKILRRELIDKARSKI >OIW15092 pep chromosome:LupAngTanjil_v1.0:LG03:21597103:21603438:1 gene:TanjilG_08579 transcript:OIW15092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAKVDYTQDGTVDFRGQPALQSKTGKWKACAFLVEVINISGYEAFERMAFYGVASNLVNYLTTQLHEDTVSSVRNVNNWSGSVWITPILGAYIADSYLGRFWTFTLSSLIYVLGMTLLTIAVSLKSLKPTCTNGICNKASNTQIAFFYTALYTMAIGAGGTKPNISTFGADQFDDLNPNEKSIKASFFNWWMFTSFLGALIATLGLVYIQENFGWGLGYGIPSAGLLFSLIIFYIGTPIYRHKVRTKSPTRDIICVPIEAFKNRKLQLPSDNSDLYEHHHQHYVSSGKRQVYHTPTLRFLDKAAIKENSTIGSSRAPLTVTQVEGVKLIFGMVLIWLVTLIPSTIWAQINTLFVKQGTTLDRNFGPDFKIPAASLGSFVTLSMLLSVPMYDRFFVPFMRTKTGHPRGITLLQRLGIGFSIQIIAIAIAYAVEVRRVHVIKANYALGPKDIVPMSIFWLLPQYVLIGIADVFNAIGLLEFFYDQSPHDMQSLGTTFFTSGIGVGNFLNSFLVTMVDKITGKGDRKSWIGDNLNDCHLDYYYGFLLVLSCFNLVAFLWVSSRYIYKRESIMVKEGLCVQLEENPTLDASLGLQV >OIW14838 pep chromosome:LupAngTanjil_v1.0:LG03:24584453:24586423:1 gene:TanjilG_30557 transcript:OIW14838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSDGATSAMAASNRRKPSWRERENNRRRERRRRAIAAKIYSGLRAQGNYNLPKHCDNNEVLKALCNEAGWTVEPDGTTYRKGSRPPSGSGAGTSTRNTPFSSQNPSPLSSSFPSPIHSYQLSPSSSFFQSPSHLDLNNADFPYIHNVFPPSLAPLRISNSAPVTPPVSSPTSTNPKPIPTWDSFAKAASFNLNHNHNHHPFFAVSAPASPTHRHLYTPPTIPECDESDTSTIESGHWLNFRQTFAPSAASPHPFCPSLSFSIKPPLQQQHALPDNRGKMQAMTISEAEFGEQVKPWVGEKIHEVGLDDLELTLGSGKN >OIW15115 pep chromosome:LupAngTanjil_v1.0:LG03:22090714:22091390:-1 gene:TanjilG_08602 transcript:OIW15115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKLISGTSLQLLRRYDHQPESHRAQLLDDDGPAYVRVFIQVLHDIFKEDTVEYVLALVDEMLAANPKRARLFHHITLAVEDTYEPFLS >OIW15830 pep chromosome:LupAngTanjil_v1.0:LG03:974516:976630:1 gene:TanjilG_04365 transcript:OIW15830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDENLKPTVEAFSALVLAYAESGLVDRAMDLFNVVREVHGCFPSVVASNSLLMGLVKNQKVEIVCKVYDEMLESDDGSGTIVDNYSTAIVVKGLCNLGKIKEGRKLIEDRWGKGCVPHVVFYNMLIDGYCKKGDIKGASKVFKHLKLKGFLPTLETYGAMINGFCKAGEFEAVDKLLTEMTERGLNVNVQVYNIVIDAQYKHGLVAEAAETVRRMSEMGCQPDITTYNTLINFSCKDGRIEEAEELIERAIQKGLVPNKFSYTPVMHAYCRQGNYVKASNILFKIAETNEKLDLVSYGAFIHGVVVAGEIDVALKLREKMMEKGVFPDAQVYNVLMSGLCKKNRFPAAKLLLSEMLDRNVQPDAYVYATLVDGFIRNDELDEGKKLFELIIGKGIDPGIVGYNAMIKGFCKFGKMTDALSCFNRMKIAHHAPDEYTYSTVIDGYVKQHDLDSALNMFGQMVKQKFKPNVVTYTSLINGFCNKADMGRAEKVFRGMQYFNLEPNVVTYTVLIGGFCKAGKPENAASFFELMLMNNCLPNDVTFHYLINGLTNNSTSSVLTEKNESHKNDGSLILDFFAMMISDGWSHVIAAYDSLIICLCKHGMVETAQLLQTKMVSKGFLMDPVCFAALLHGLYQRGKSNEWRNIISYDLSKTELQTAVKYSLALDRYLCRGRLSEASVILKNLIEDSKFSDQPEEDLKVIVR >OIW14626 pep chromosome:LupAngTanjil_v1.0:LG03:26263958:26265018:-1 gene:TanjilG_32968 transcript:OIW14626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACTIPLSSFRVFFTSSLPFSTSTTQFRNKIRVPYLPIASRLNSNSMSQLATDPTTKDINDTASQPENTDVVVQYVVLRRDLIDTWPLGSVVTQGCHASVSAVWCSKDDPVTIDYCSPEKLDSMHKVTLEVKGETQIKNLSEKLTAGGIIHKLWIEQPENVPTCLATKPYPKSIVSSHFKKLKLCK >OIW15737 pep chromosome:LupAngTanjil_v1.0:LG03:314728:315261:-1 gene:TanjilG_04272 transcript:OIW15737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDWLELGRALAFVAPSINAELLPHAPAPSPTSDGTAVDQGIACMLMLLALVLTYIIH >OIW15751 pep chromosome:LupAngTanjil_v1.0:LG03:428931:431438:1 gene:TanjilG_04286 transcript:OIW15751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIDLSPQLAKKVYEDNGGSYYSWFPSDLPMLHQGNIGAAKLALQKNGFALPRYSDSSKVAYVLQGSGVAGIVLPESEEKVVSIKTGDALALPFGVVTWWYNKEDTELVVLFLGDTSKAHKPGEFTDFFLTGSNGIFTSFSTEFVSRAWDLEEKDAKTLVGKQSGKGIVKLEGNISLPEPKPEHRNGMALNCLEAPLDVDIKGGGRVVVLNTKNLPLVGEVGLGADLVRLDGKAMCSPGFSCDSALQVTYIVRGSGRVQVVGVDGRKVLETTIKGGNLFIVPRFFVVSKIADPDGLEWFSIITGTPNPIFTHLAGSSSVWKALSPTVLQAAFNVDSELEQLFRSKRTSDAIFFPPPN >OIW14960 pep chromosome:LupAngTanjil_v1.0:LG03:23562330:23564990:-1 gene:TanjilG_30679 transcript:OIW14960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQEDEFGEEEFESKNNSSPSSVVTTTKSSSEKSTLSREQREYIRFKNVRCKKDFVRFERIKGTSVNIVEGLELHYNIFSAAEQRRIVSFVTSLQEIGRKGQLKDRTYSAPQKWMRGKGRQTIQFGCCYNYAKDKYGNPPGILNDLSVIDPMPDLFKVMIRRLIRWHVLPPTCVPDSCIVNIYEEGDCIPPHIDNHDFVRPFCTVSFLSECDILFGSNLKVVGAGEFAGSIPISLPVGSVLVLNGNGADVAKHCVPAVPTKRISITFRRMDVSKRPSGYVEEPDLQGIQPLVYESGHQTIQPPIKEVNKERWSNGSVANYQLKRQTDRRGARIDSPESAMRGGRFSEPRGWTQRPTNRWTKNPVNGRMSSE >OIW15931 pep chromosome:LupAngTanjil_v1.0:LG03:1669741:1676078:-1 gene:TanjilG_04466 transcript:OIW15931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAPSDVCCTEDTVKAFLEYLVDPMLPAKSTSRDNPTLSQQQSLAKQVHSVVLLYNYYHRKQHPELAFLKFGDFCKLAVNLRPPLLAYMKYMQKPDETELVDAEKQLSLTEKKIMDACDICMCLDASKNVPNIKGWPISKVAVLLIDSEKKNCVLLFSSITEGVWSVLEKDVDSPSQSSDVRSGTMVTYKKRRVVKKRTKDESNVDDSGFLQVGYSAVKEAAGIDKTDIMLLESCTVYSQSKETAASRFYIMKCSQLISQEDNQVPIRYLIESLQGPLVKKSSSSWIFTPVVEYFHVLPYSQIISDWISRETFSNSLQDPKLAEKNITADSPEVTESHVSSDEGMSVTLYNKPGSDDIESQNQKENNGSCTIIQSDSIEEAKDMNVDDPTLFPSQNIAECQDIAHTLQVSEDQEIETPYVQHYSNGSSALVKAEKDDSTRMLITVDEIKNQSYCNQNCVNTASEKAAVNECALIANHCNSDLQKLQTLLSSKRETLSQTALTALIGKRNELELDAVCHENNWILPTYRVSHSDEVRR >OIW14433 pep chromosome:LupAngTanjil_v1.0:LG03:29147944:29149404:-1 gene:TanjilG_20879 transcript:OIW14433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKEKVHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIVKEVSSYLKKVGYNPDKIPFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQINEPKRPTDKPLRLPLQDVYKIGGIGTVPVGRVETGVVKPGMLVTFGPTGLTTEVKSVEMHHEALQEALPGDNVGFNVKNVAVKDLKRGFVASNSKDDPAKEAANFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFGELLTKIDRRSGKELEKEPKFLKNGDAGMVKMLPTKPMVVETFSEYPPLGRFAVRDMRQTVAVGVIKSVEKKDPTGAKVTKAAAKKK >OIW15861 pep chromosome:LupAngTanjil_v1.0:LG03:1194543:1195100:1 gene:TanjilG_04396 transcript:OIW15861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGTGSFGKRRNKTHTLCVRCGRRSFHLQKSRCSACAYPAARVRKYNWSVKAIRRKTTGTGRMRYLRHVPRRFKSGFREGTEAAPRNKGAAATA >OIW14839 pep chromosome:LupAngTanjil_v1.0:LG03:24576389:24580421:-1 gene:TanjilG_30558 transcript:OIW14839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFRKTLTKRLLHCFKTTSPSAYLVSSSPLRATVPPPLASKINIHHEYGNRGFFRRFVHRRPVYGPQGSPILPEFLSIPVGEKLREKLKSINKEALCSRMNSVFDGSVITVKDAKKILRTWQIEKIKVKLKSIKENSISYSQFVRICVQVCDNEDEGTVLAKIFDDSGCVIVTGNVVFLRPEKVAKALECLIFESITHPIEPRRKELEQMEKKKALIDEKAKAHVRSELYCGLGYLMVQTIGFIRLTFWELSWDVMEPICFFVTSLHFALAYIFFLRTSIEPTFEGYFHARFKSKQQKLMERYNFDIQRYNELCKVAKALECLIFESITHPIEPRRKELEQMEKKKALIDEKAKAHVRSELYCGLGYLMVQTIGFIRLTFWELSWDVMEPICFFVTSLHFALAYIFFLRTSIEPTFEGYFHARFKSKQQKLMERYNFDIQRYNELCKVNGATGVPRKCFLDLC >OIW14683 pep chromosome:LupAngTanjil_v1.0:LG03:25766518:25768416:1 gene:TanjilG_33025 transcript:OIW14683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLVEKTTSGREYKVKDMSQADFGRLEIELAEVEMPGLMASRTEFGPSQPFKGAKITGSLHMTIQTAVLIETLTSLGAEVRWCSCNIFSTQDHAAAAIARDSAAVFAWKGETLQEYWWCTERALDWGPGGGPDLIVDDGGDTTLLIHEGVKAEDIFEKTGQFPDPDSTDNAEFKIVLSIIKDGLKTDPKRYHKMKDRVVGVSEETTTGVKRLYQMQANGTLLFPAINVNDSVTKSKFDNLYGCRHSLPDGLMRATDVMIAGKVAVVAGYGDVGKGCAAALKQAGARVIVTEIDPICALQATMEGLQVLTLEDVVSEVDIFVTTTGNKDIIMLDHMKKMKNNAIVCNIGHFDNEIDMLGLETHPGIKRITIKPQTDRWVFPETNTGIIILAEGRLMNLGCATGHPSFVMSCSFTNQVIAQLELWNEKTSGKYEKKVYVLPKHLDEKVAALHLGKLGARLTKLSKDQADYISVPVEGPYKPFHYRY >OIW14812 pep chromosome:LupAngTanjil_v1.0:LG03:24819820:24827350:-1 gene:TanjilG_05433 transcript:OIW14812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTINKDQIIDESKCSEEEEDLVKLLLPDVQNLPLIPPSSVQSNFVTYFAIDFTKPAHDHYVYRHANGLCVIGLASSHVAFKDEGGITAIDFNVGKSDRSGVKVTGKRKKNAQHFEANTALCKVNTKNDSYIVRCCVKGSLLEVNQLLINQPELLNVSAEREGYIAIMMPKPADWLKAKASLVSLQELVKSTDSKSQNLMHIKMDTYPKESSSPSHNGVYVVYMGAADSRNASLRSDHAHILNLVLRRNDNALVRNYKHGFSGFAARLSKEDAASIAQKPGVVSVFPDPILKLHTTRSWDFLEYDSHVIIDSDRNTLSNSSSSSDIVIGIIDTGIWPEAASFSDKGMNPVPSHWNGTCMASQDFNSSNCNRKLIGARYYPSPDGDATLASSVRDSLGHGTHTASTAAGSTVSVASYYGLAEGTARGGFPESRLAVYKVCSEFGCRGSSILAAFDDAIADGVDILSLSLGASALFHPDLMTDPIAVGAFHAVERGIMVVCSAGNDGPDPNTVVNAAPWILTVAATTIDRDFQSNVVLGGNKVVKGEAINFSPLSSSPEYPLIYSETVKKSDADIDEARQCHPDSLDESKVKGKIILCNGESDTVYSPIAIADTVKEAGGLGLVHITPDQNRAIASKYGDFPATTISTKDATTILQYVNSTSNPVATILPTVSVINYKPAPMMTYFSSRGPSTLSKNILKPDIAAPGVNILAAWLGNSTEEVPKGKTYSPYNIISGTSMSCPHVSGFAGRLKSLNPTWSASAIRSAIMTSATQINNMKTPIATDSGSLATPYDYGAGFITASGPLQPGLVYETSTTDYLNFLCYIGLNTTTVMVISRTVPTSFSCPKDSSSDLISNINYPSISISDFNKKGGAVNVSRTVTNVGEVDEIEYSAAVDAPSGLNVKVIPDKLKFTKTSKTLSYQVTFSLTSTSLKEDLFGSITWSSDKYTVRSPFVLAK >OIW15842 pep chromosome:LupAngTanjil_v1.0:LG03:1050784:1053960:-1 gene:TanjilG_04377 transcript:OIW15842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDYVFTPEEMAVNENLGYPKAYAKLCRDRGFTPYTYGPPFTFTPYALHEDEASRARDLDQMFPMIDPKAKPTNKPKIFVSILWKQLSHLGNAGFDPAVIRVDTYGNVIYFHADSASPLAWDIDHWFPCSRGGLTVMSNLRIIQRQAYRRKKNKLEFLVPWWDFQLGISVNQFLSIFAASNSDFRHRGFSFLFSEGENQELNASQIVDSHSFPQHFIGLQEEVGLAPAAIVDTRREPYDALALRQLDYNKKPRPMSLAIVAARKGKGNLLKENEDPGFVKNPYQAIVIARDSLKQKEETTKMQGEMQKLDNEVNEMKLKNDEEKLIIQDLELALIKRRRKAEKCRRLAEAQSSYRAMLEKMIRDTMHQSVIYKEQVRLNQAASNALMARLEAQRVICDAAEKELHKKYMQKDDIEKQIRPELEQGRKRSRIDDNSTFEEREKCKPVLCLPGMKPRSSLHKELRVFLEEEQKASEDDLLADEEQKLEKKEEELEIIEEKHVRSLVNLEEENSIEHRLRKLEITEEKRDYGASLLFHHETETEEDEETRKQRGKGNVDKWLQMLLENGQEGMDPHEAQENISSGTEEIIQQLNQKFPQKELKVSKVIGMAGYEYKEKQLQLLQDKNGWTNKDDESCMGEGNGTPSFETMERKKKEKMLGRSESGKTLRRIPSAPSLLLRKGVDYFRGKSLW >OIW15203 pep chromosome:LupAngTanjil_v1.0:LG03:16641126:16646712:-1 gene:TanjilG_08795 transcript:OIW15203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTVLPIPTTQDQKKVDEKVDYFNLPCPIPFEELHREAMMSLKPDLFEGMRFDFTKMLNQNFSLNHSVSMGPTEVPSQSAETIKIPTANYEFGATFIDHPRLLLWGRVMTDGRLNARVKCDVSENLTFKANAQLTNEPHMSHGMANFDYKGKDYRTQFQLGNGALLGASYIQSVTRHLSLGGEVFWAGQHRKSGVGYAGRYNTDKWVATGQVASTGMILVSYVQKVSEKVSLASDVMCNYLSRDVTASFGYDYILRQCRLRGKIDSNGCVAAFLEERLNMGLNFILSAELDHRKKDYKFGFGLTVGE >OIW15694 pep chromosome:LupAngTanjil_v1.0:LG03:75713:79854:1 gene:TanjilG_04229 transcript:OIW15694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAESVEEENKLTLYSYWRSSCSFRLRIALNLKSISYDYKSVNLLKGEQSHPEFLKLNPVGFVPVLVDGPVVLTDSFAIIMYLEDKYPHRHPLLPNDILKRAINFQAANIVSSLIQPLQNLSVLNYIAEKVGPDEKLPWAQTVIRKGFTALEKLLKDHTGRYATGDEVFLADVFLAPQLYSAFTRFKIHTDEFPILSRLYATYNDIPAFREALPENQPDAVH >OIW16073 pep chromosome:LupAngTanjil_v1.0:LG03:2971078:2974357:1 gene:TanjilG_04608 transcript:OIW16073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMQDSIKGSRSNNSRSGCNSHSTGGTQSENVVQSWDVTSAVNNHTPKARKPYTISKQREKWTEEEHQKFLEALKLYGRGWRQIEEHIGTKTAVQIRSHAQKFFSKIVRESDSSAETSIQPIDIPPPRPKRKPLHPYPRKSIGSSKGHSIPSESGISPSSNLLVAEKDTHTPTSMLSAFTSEAFGQSFSEQTNRCVSPNSCTTDIHSLSLSPVEKDNECMTSDSSEEDKKGSPPSVPLSTSSKLLLPVKSELSSKETECFNEEAAKMPHVTCIKLFGRTVSMVSNQKSLNVDEESNKPITTKSDEVDNVEYQKLGQAWSLEQVDIQLSLGLCNSNCHTTPDGGKVECIEAPKEDLCSGESALDDSLPCTQITSSVPLCPSLKATTGGEEGSSCTGSNAESVSGMENQGKNSDAVDSQYKKSHHKEGKVSQRGFVPYKRCLAERDANSLIASLEEREGQRPRVCS >OIW14479 pep chromosome:LupAngTanjil_v1.0:LG03:28132996:28133636:1 gene:TanjilG_19895 transcript:OIW14479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVAAVVHLYVFPAVPYKRGERCVRNVAVMADYASIGTSPDPLEVQDCERSTRMRVGRHDEKEKHKFTHNVRDVVIGSGEIIVDDMKFTVSHVVEPVERGIAKINKTFHQISENVKRHDEERRRSNKVKDDSYLIPLHSRTAEFSDAHDGLVEGSVSDSGLSSGKRQRNQTKASTSRMRR >OIW15720 pep chromosome:LupAngTanjil_v1.0:LG03:231623:233714:1 gene:TanjilG_04255 transcript:OIW15720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDASNPSSNHAINVEDLSISLAGSKLYAFALEELREATHNFSWSNMLGEGGFGPVYKGFFDDKLRPGLDPQTVAVKRLDLDGLQGHKEWLAEIMFLGQLRHPHLVKLIGYCYEDENRLLVYEHMTRGSLENQLFRRYSGTMPWSTRMRIALGAAKGLAFLHGADKPIIYRDFKASNILLDSDFTVKLSDFGLAKEGPEGEETHVTTRIMGTHGYAAPEYMMTGHLTTKSDVYSYGVVLLELVTGKRVVDKLQSNKGRSLVEWARPMLKDQSKLHGIIDHRLEGEFPMKGAMKVAMLAYKCLSHHPHTRPTMSHVVNILEPLQDLDHIFIAPSVYVSLSENHNKDTRLEHR >OIW15188 pep chromosome:LupAngTanjil_v1.0:LG03:18019123:18020466:-1 gene:TanjilG_31651 transcript:OIW15188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLFKMAVAIDQHHGFKPFSRSQRCKLQSFGHLDHKILELTHTSFSHSFEQVFEADNIHRSFSTPCLPLSTLSGEDLSTSNPRIELVSGSGAPVHALVVEVAIAMASGVHPKPLPSGLGGAYVFCNKSGNNIAVAKPVDEEPLALNNPKGLGGQKLGQPGLKSSIRIGEMGVRELAAYLLDYGGFAGVPPTALVKFCHASFFPTDAGVSATGPNLPKLASLQRFIGHGFDAGELGPSFFSVASVHQIGILDIRLLNLDRHAGNMLVKKHDHNSYVAGVAADLVPIDHGFCLPEWLDDPYFEWLHWPQASTAFSGSELEYISKLDPFKDADILRTKVPSLRESSIRVLIVCTIFLKQAAAAGLCLADIGQMMTREFCDGEEIQSELETICSQVKANVPLVSNDENNSKGEIGISGISFGDLSQGQWKLFLENFNKLLFAVFEDKKCKN >OIW15900 pep chromosome:LupAngTanjil_v1.0:LG03:1453201:1456547:-1 gene:TanjilG_04435 transcript:OIW15900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRHRGHREPMSGRRGYPAEGPYARAPPPIPRPHIPHHPAVLEEEIDLQRAEMRRLVEDNRRLLDDRVALQRDLEAAKEDLRRMNRAFGDIRADHELHTTELLQKGMKLEVELRATEPLKNEVVQLRAEIKKLNNVKDDLAGKVQTLTQDVARLQADNQQINLLQSQMDGLHQDLMRARSLVDYEKKANIEFMEQRQSMEKNLVSMAREVEKLRAELASIDGRRWSAGGPYGTNFASSEGGFLASYAEGYGVHLGAAEKAPLYGTGTTSRKAHEKPRMNRR >OIW16081 pep chromosome:LupAngTanjil_v1.0:LG03:3084193:3086878:1 gene:TanjilG_04616 transcript:OIW16081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAHETDKNIEVWKIKKLIKALEAARGNGTSMISLIMPPRDQVSRVTKMLGDEFGTASNIKSRVNRQSVLGAITSAQQRLKLYNKVPPNGLVLYTGTIVTEDGKEKKVTIDFEPFRPINASLYLCDNKFHTEALNELLESDDKFGFIIMDGNGTLFGTLSGNTREVLHKFSVDLPKKHGRGGQSALRFARLRMEKRHNYVRKCAELATQFYINPTTSQPNVSGLILAGSADFKTELSQSDMFDPRLQAKILNVVDVSYGGENGFNQAIELSSEILSNVKFIQEKRLIGKYFEEISQDTGKYVFGVDDTLKALEMGSVETLIVWENLDMNRYVLKNGTTGEIVIQHFNKEQEADQSNFRDPVSSSDYEEMEDKIPAPLCEVVAHAATAAVAAPPPSRISQTIRGISVVAPLLSACAQKGENKLVLPKEKQSGMNGAPLLSACAQKGKIKIVLPKEIQSGINEVPLHNIDEVAAKYFVILP >OIW15509 pep chromosome:LupAngTanjil_v1.0:LG03:6066699:6066977:1 gene:TanjilG_27360 transcript:OIW15509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATTSFSPAISATSKTLAKPATRSFAATNFAPGPLSLKLSFKLLSLRLCVTGGSTLGAHMVSMLAISKSPELLNFETSIFKKEKISLAGPR >OIW14867 pep chromosome:LupAngTanjil_v1.0:LG03:24405776:24407612:-1 gene:TanjilG_30586 transcript:OIW14867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAAYEESRRKRMEENKKRMEALNLHHLSKSLHKSTSPKPSPSKPRTPNKDKELVLVRRSGRVANLPSPLYKQVLLDRVTIPRRSYNRHRDYSNRIYASDEDREEAMEKAQKIESDLDSQYPTFIKSMLQSHVSGGFWLGLPVDFSRRNLPKRDETIDLIDEDGNEYPTVYLSQKRGLSGGWRGFAISHDLADGDALVFQLINRTAFKVYIVRVNGLAEEGEQHE >OIW14718 pep chromosome:LupAngTanjil_v1.0:LG03:25506016:25508398:-1 gene:TanjilG_33060 transcript:OIW14718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGERNTIHVISSWVRRQPPKVKAFLAVVSGMAALVLLRFIVHDHDNLFVAAEAVHSIGISLLIYKLMKEKTCAGLSLKSQELTAMFLAVRLYCSFVMEYDIHTLLDLATLATTLWVIYMIRFNLKSSYMEEKDNFAIYYVVIPCAVLALFIHPSTSHHLLNRIFWAFCVYLEAVSVLPQLRVMQNTQIVEPFTAHYVFALGVARFLSCAHWVLQVLDSRGHLLVALGYGLWPSMVLISEIVQTFILADFCYYYVKSVFGGQLVLRLPSGVV >OIW16018 pep chromosome:LupAngTanjil_v1.0:LG03:2420594:2421919:1 gene:TanjilG_04553 transcript:OIW16018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYTSRKKIHKDKDAEPTEFEESVGQALFDLENTNSDLKSDLKDLYINSALQVDVSGNRKAVVIHVPYRLRKGFRKIHVKLVRELEKKFSGKDVVVIATRRIVRPPKKGSAVQRPRSRTLTAVHEAILEDVVLPAEIVGKRTRYRLDGSKITKVFLDPKERNNTEYKLETFSAVYRKLSGKDVVFEYPITEA >OIW15962 pep chromosome:LupAngTanjil_v1.0:LG03:1906060:1907568:1 gene:TanjilG_04497 transcript:OIW15962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIESKSSTTNTTNASSTTIMMGTKTDELLSSPYTFIDPEKQTQTKKLVTLSNIITEAKSLLSLAFPIALTALIFYTRSIVSMLFLGHLGELELAAGSLAIAFANITGYSILSGLSLGMEPLCSQAFGAKRPKLLSLTLQRCIIFLLTCCIPITLLYINMSKILLLLHQPHQISTLAQTYLYFLLPDLVTNSFLQPIRVYLRAQNVTHPVTLSSLAGTVIHLPFNFLLMKHGVAGVAAASAASNFSILVMVVAYLWISGIHGATWQNPSRECFSGWKPLIRFSAPSCVSVCLEWWWYEIMIVMCGILVDPTATVAAMGVLIQTTSLIYVFPSSLGFAVSTRVGNELGANRPSRARLSAVVAVFFAGGMGFTAVVFALTMRNRWGRMFTGDKEILQLTAAALPILGLCELGNCPQTVGCGVVRGTAQPNVAANVNMAAFYFVGMPVAVGLGFWLDIGFRGLWMGLLSAQVCCAGLMLYVVGTTDWEYQARRAQLLTSVDDVSDG >OIW15857 pep chromosome:LupAngTanjil_v1.0:LG03:1165340:1178086:1 gene:TanjilG_04392 transcript:OIW15857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTYNIRGIDVDFPYEAYDSQIVYMEKVMQSLQEKSNALLESPTGTGKTLCLLCATLAWRKSLGSFTTGVSMKTGDKSDGITDVSLSQSQAPGHSTIVYTSRTHSQIRQVIQELKRTSYRPKMIVLGSREQLCIHDQVKQLHGKTQSNACRMLCRRRGKHQCKHFSEVSGYLNSNPHLGEEPVDIEDLVNIGTRFGPCPYYLSKELHKVVDIVFAPYNYLIDRGYRNSLQLSWSNSVLIFDEAHNLESICADAASFDLPSWLLTACISEAQTCIGLSIKRRDKSNDKSHNPEDFALLKALLLKLEKRISEMPIVSKELGCTKPGPYIYELLNDLKITHKTASKLKDTIAQASTLLEEHNQQKSTGTICRLDSFSEILDTVFRDGRTGHGKYYRAHVQEVEGRAANGSKEVELRYNDKVACLVTWKNFPIRLENPHVITPSQIWAGVVTVGPSGCTFNSSYRSRDSLEYKQELGNAIGMRHTYDYNEFGKMKPYLVNLARIVPDGLLVFFPSYYLLDQCIGCWKSLSNESSTSIWERICKHKKPVIEPRESSLFASSIKDYVSKLKDTSASGAVFFAVCRGKVSEGLDFADHAGRAVVITGLPFATITDAKVRLKREYLDQQPHAQGEISKVLTGDEWYNQQASRAVNQAVGRVIRHRHDYGAIIFCDERFAQPHRQSQVSRWIQPHIKCFSRFGEVVFTLTRFFRDGRTTQGPAKLSLLEAKKEEILEETTSSEHHLDKFYHDKLFSPRTTPAAPNCSLKASSSLDSKKWHTSFLGEVVPANRSSLASDNCKIVGCESSRGTCHTVLHGRKIVLSEERDMVDLADSCQPGEKSKDMLIAPCSAKKRRFISGEYDLKQHFGNSREHSSGGEQHRKDDITSQSISPEFGRQKRNLPADSAASTVDKTEGSAFLAQVREKLSAAEYIDFVGYMKALKTKSMKIGDILQCISRLFSGPERLPLLKRFKDYIPAKYHSLYEQYADEKN >OIW15886 pep chromosome:LupAngTanjil_v1.0:LG03:1348096:1348374:1 gene:TanjilG_04421 transcript:OIW15886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPMKSVCGDTFFRPCPNSPNYIANTQSSKAKLRSHSASKQRPEPKKRLSGNEIVAARNSISGVRMQYNPQTREYSIFNKAVQEYYVNWEKS >OIW16060 pep chromosome:LupAngTanjil_v1.0:LG03:2841395:2843763:-1 gene:TanjilG_04595 transcript:OIW16060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQNKSFFSSQNQIPDLSLNMSLPYGSAPSSVCTERVSSSSSSSPFDIRKAETDEGAAFKSHSDSSMNSIRGISPHKTTSIELSLSNNNPTSSTPSESESPRRRNLVRSIRESQVTTTTTTTTTTTTNHGITRPFNGTLLYTSNFPSSPENNSSIERINSKFSPYADAYSNPSKYSTSSDFYSNIGVKGVEPISRFNGITMESLRPHQEFEYLNHHQKQQQQHQNQFGVDQGSDFANGFVRSRMWPRLQSKRNTRAPRMRWTSSLHARFLHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQMYRTVKNTDKTVPYSDGEDFMSLTPALNQNIMDYNSSNLLGNSSSR >OIW14948 pep chromosome:LupAngTanjil_v1.0:LG03:23694714:23695187:1 gene:TanjilG_30667 transcript:OIW14948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLRLLGFDSPLFNTLHQIMDLTDENAEKNLNAPSRTYVRDAKAMAATPADVKEYPKSFVFVIDMPGLKSGDIKVQVEDENVLLISGERKREEEKEGGKYLRMERRVGKFMRKFVLPENANTDSVSAVCQDGVLTVTVEKLPPPEPKKPKTIEVHIA >OIW14494 pep chromosome:LupAngTanjil_v1.0:LG03:27533659:27534425:-1 gene:TanjilG_12087 transcript:OIW14494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSAPSKLYADDVSLLVVTLDTNPFFWSTFSVPFSDFLSQVLAFLNSILLLSQLNQLVVIATGCNSCGYVYDSASSKNLVSPTASMPALYSNLLHNLEQFVARDQKLAKPDHDTRGIVPASLLSGALSMALCCILLIDYYILHF >OIW15293 pep chromosome:LupAngTanjil_v1.0:LG03:13865219:13865802:1 gene:TanjilG_10733 transcript:OIW15293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEANPNSCDVQEACVYEEYALPKLYVKLQYCVSCAIHSHVVRVRSRTDRRQHDPPQRFIRRRVS >OIW14892 pep chromosome:LupAngTanjil_v1.0:LG03:24204419:24206483:-1 gene:TanjilG_30611 transcript:OIW14892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGWAIAVHGGAGVDPNLPPQRQEQAKELLTRVLNLGISALRSNATAIDVVELVVRELETDPVFNSGRGSALTEKGTVEMEASIMDGTKRRCGAVSGLTTVKNPISLARLVMDKSPHSYLAFSGAEDFARQQGVELVENEYFITPENVGMLKLAKEANTILFDYRIPTSGYDTCSAAIESPLVMNGLPINVYAPETVGCVVVDLEGRCAAATSTGGLMNKMTGRIGDSPMIGAGTYACEVCGVSCTGEGEAIIRGTLAREVAAVMEYKGLGLQDAVDFVIKNRLDEGFAGLIAVSNKGEVAYGFNCNGMFRGCASEDGFMEVGIWE >OIW14830 pep chromosome:LupAngTanjil_v1.0:LG03:24722069:24723549:-1 gene:TanjilG_17055 transcript:OIW14830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLFSLGQQNKEEEEENNNNRNSLFLFRDENEEIYNKGFEIWPQSYHHHYSSFGVGPSSRNSNVNNIVGDEHVSLSDESTRFGLMVMKSSGSSSSGMNCQDCGNQAKKDCVHLRCRTCCKSRGFQCQTHVKSTWVPAAKRRERQQQLLRDTASKRHREHQGLHSPPPVTTMGLELGVQFPPEVNSTAVFRCVKVTAVDTPEEQYAYQASINIGGHVFKGVLYDQGPEGSYTNIEGSSGGGGEGGEATQQQLGLITSATTVTTTGNLFDPSSLYPPPLMNAFMAELWVMEMVVLR >OIW15985 pep chromosome:LupAngTanjil_v1.0:LG03:2093101:2097972:-1 gene:TanjilG_04520 transcript:OIW15985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKPTLTPPIVSSGQSSSSYAPALPPPPPPFINSSGGSGSNYSLGEPHPSTLSLGFVKSTFSYEELVQATDGFSDANLLGVGGFGYVHRGFLPNGKEVAVKQLKVGSKQGEREFQAEVEIISRVHHKHLVSLVGYCITGIRRLLVYEFVPNSTLEFHLHVEPYGVALQQLQYNAPHLSDHGVLEMPKPTLTPPIVSSGQSSSSYAPALPPPPPPFINSSGGSGSNYSLGEPHPSTLSLGFVKSTFSYEELVQATDGFSDANLLGVGGFGYVHRGFLPNGKEVAVKQLKVGSKQGEREFQAEVEIISRVHHKHLVSLVGYCITGIRRLLVYEFVPNSTLEFHLHGIGRPTMDWPTRVRIAVGSAKGLAYLHEDCHPKIIHRDIKAANILLDFKFEAKVADFGLAKISSDIYTHVSTRVMGTLGYLAPEYAATGKLTDKSDVFSYGVMLLELITGRQPVGKNRSDTDSLVDWARPLLTCPLEEVDFDSLTDPRLQNEFDPNELARMVACAAACIRRSAKRRPRMSQVLRALEGDVSLADLDEGITHAYGSFGSTDYDASPYRDDMKIFRNPSFGGGNQGYGANSGYSAATSEYGLNPSGSNIEATREKKINMMKNGNKGL >OIW14837 pep chromosome:LupAngTanjil_v1.0:LG03:24589650:24594024:1 gene:TanjilG_30556 transcript:OIW14837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESELKDLNSKPPKSNGNVNTDTIPDHRPLLKSDSVTISSDTIQEMEKKYAAYVRRDVYGTMGLGELTVKEKLLLGFALVTLLPIRLILAMTLLLLYYFICRVCTLFYSPNRDDEQEDYAHLGGWRRRVIVFCGRRLSRVLFFVFGFYSITDSSHNHNPASEKEDKQQPGEIERPGVIISNHVSYLDILYHMSSSFPSFVAKKSVSKLPFVGLISKCLGCVYVQRESKSSDSKGVSAVVTERIQEAHQNKSAPLMMLFPEGTTTNGDFLLPFKTGGFLAQAPVQPVILKYPYQRFSPAWDTISGVRHLIFLLCQFVNYMEVTQLPVYYPSQQEKDDPKLYANNVRRLMASEGNLVLSDIGLAEKRIYHAALNGNNSLRSILHQKDE >OIW15310 pep chromosome:LupAngTanjil_v1.0:LG03:14205860:14207324:-1 gene:TanjilG_10750 transcript:OIW15310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSCAGADRLQTGMRGAFGKPQGVCARVAIGQVLLSVRCKDNNSHNAQEALRRAKFKFPGRQKIIVSRKWGFTKFNRSDYLRYKSEGRILADGVNAKLLGRHGPLANRKPGQAFIDSVTA >OIW14926 pep chromosome:LupAngTanjil_v1.0:LG03:23877905:23879349:1 gene:TanjilG_30645 transcript:OIW14926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAPCCDKANVKRGPWSPEEDAKLKSYIEKHGTGGNWIALPQKIGLKRCGKSCRLRWLNYLRPNIKHGGFTEEEDNIICSLYISIGSRWSVIAAQLPGRTDNDIKNYWNTRLKKKLLGKHRKEQQQARNSRSNGVVAKQENNRGSGDSSSSLVPQNGTQQQLYWPQMPVLPPPLPYTNQGPSFNDQESVRKLLAKLGGGYNDDYQPILDEVLNPQFADCHHHSSQQVYEEQVHIGSSSSSCMNSTNNNLVQFPQTNDQYCVEGASGNDMVQGQCSLSGFEFFYGEDMFTDKIIGTTANCSQSTNWCESTSTVICPPHVANSNYRQETPKECIFQELSSYTREQ >OIW15867 pep chromosome:LupAngTanjil_v1.0:LG03:1215283:1216747:1 gene:TanjilG_04402 transcript:OIW15867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTSSEEKVEIQNIEDQEIQQNEPVNSITPRYEADMIGNPWNTGLFDCHENETNAAMTLFLPCLTFGQIAEVVDGGEQSCHVGSFIYLWMMAALCSHWVIGSNYRTKLRKRYNLVEAPYNDVISHIFCPCCSLCQEFRELQSRDHDPALGWNGILAKQHARQQDDQTLKKPPSNQVMSK >OIW15697 pep chromosome:LupAngTanjil_v1.0:LG03:112182:115042:1 gene:TanjilG_04232 transcript:OIW15697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVVESAPFNNSSSAFDLESSHTSPLHLPKSFQIRTVYLVLIKPKINVLLPFGPLAILLHYLTPKHHGWVFFFSLIGIAPLAERLGYATEQLAFYTGPTVGGLLNATFGNATEMIISIYALKNDMIRVVQQSLLGSILSNMLLVLGCAFFTGGIIHHKKVQVFNKAAAVVNSGLLLMAVMGLLFPAVLHFTHSELHFGKSVLSLSRFSSCIMLLAYASYLFFQLRTQQNLYTPVHEVADNSEISDEEEELELTKWEAIVWLAILTAWVSVLSGYLVDAIEGASESLNMSMAFISVILLPIVGNAAEHASAIMFAMKDKLDITIGVAVGSSTQIAMFVIPFCVVVGWCMGKEMDLNFQLFETATLFITVLVVAFMMQEGTSNYFKGLMLILCYLIVAASFFVHVDPQNDDD >OIW15499 pep chromosome:LupAngTanjil_v1.0:LG03:7617314:7627749:-1 gene:TanjilG_32903 transcript:OIW15499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEPDHNHDTLPSSVLQTKQKKLRKRKRARKTEQVNHQSEEQDNKDDAESLEEENEGNMNDGDVSQEQNLEDDDDDDNSEDKEGGQNVASGIMSVESFESLGLSEPTYKAIMDMGFHHMTQIQARSIPPLLIGKDVLGAARTGAGKTLAFLTPAVELLYNIQFTPRSGTGVVVICPTRELAIQTHAVAKELLKYHSQTLGLVIGGAGRKGEAERIVKGVNLLVATPGRLLDHLQNTKGFIYKNLKCLIIDEADRILEANFEEEMKQIITILPKSRQTSLFSATQTKKVKDLARLSFQTPPIYIDVDDGRTKVTNEGLQQGYVVVSCAKRFVVLYSFLRRYQSKKVMVFFSSCNSVKFHADLLKCTGMDCLNIHGKQKQHARTTTFFNFCKAEKGILLCTDVAARGLDIPDVDWIVQYDPPDEPKEYIHRVGRTARGEGGKGNALLFLIPEEVQFLRYLKAAKVPVKEYAFDEKKLANVQSQLEKLVAGIYHLNNMAKDAYRSYLLAYNSHSMKDIFNVHRLDLLAVAASFCFSNPPKVNLNIDSSASKHKKKIRKVEGSRLELP >OIW15103 pep chromosome:LupAngTanjil_v1.0:LG03:21706846:21711492:-1 gene:TanjilG_08590 transcript:OIW15103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFIENFKVQSPNVKYTESEIQSVYNYETTELVHNNNEWIVKPKTVKYEFKTKTHVPKLGVMLVGWGGNNGSTLTGGVIANKEGISWATKDKIQQANNFGSLTQASAIRVGSFQGEEIYAPFKSLLPMVNPDDIVFGGWDISNMNLADAMGRAKVFDIELQKQLRPYMESMVPLPGIYDPDFIAANQGERANNVIKGTKKEQIQHIINDIKEFKEANKVDRVIVLWTANTERYSNVTVGLNDTIENLLAAVDRNETEISPSTLYALACVMENVPFINGSPQNTFVPGLIDLAIKKNSLIGGDDFKSGQTKMKSVLVDFLVGAGIKPTSIVSYNHLGNNDGMNLSAPQTFRSKEISKSNVVDDMVNSNGILYGPGEHPDHVVVIKYVPYVADSKRAMDEYTSEIFMGGKNTIVLHNTCEDSLLAAPIILDLVLLAELSTRIEFKNEAEGKFHSFHPVATILSYLTKAPLVPPGTPVVNALSKQRAMLENIMRACVGLAPENNMILEYK >OIW15796 pep chromosome:LupAngTanjil_v1.0:LG03:762788:764654:1 gene:TanjilG_04331 transcript:OIW15796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFPLISRCRKIARSHEESKNTKGKTLTIELEEFPGGPDIFLIVAKFCYGFRVELTARNVALVYCAADYLEMTDEFGEDNLLPKSESFFHKSVLHNWKECILALQSSEPVLPRAEKLQLVSKCLNSLSMMACTDPSLFGWPMKMYGSFQSPGGSILWNGINTGARIRSSESDWWFEDISYLSVSLFERLIKTMQKRGIRPENLVGAIMYYSRKHLPGLGRWRGEQGGKTRTVASFSLSPATVDQKVLLESIEKLLPEKKGESFCRFLLGLLRVALILNVNQTCKDSLERRIGMQLDLATLDSLLIPTYSDSDTLYNTGCIERIVHYFITIESNLTAFSPSSLEMQASPSPESLRKVAKLIDSYIAEIASDANLKPEPVRALAEALPDPSRSLHDGLYRALDIYFQAHPCVSDKEKEELCNIIEFRKLSIDACAHASQNKRLPLRVVLQVLYFEQMHLRSALTGCLNTLDGEIAPTAAVAATSLGDTAGEIVQRDGWVKFVHENKVLKVDMNRISSRVGELEEEFSKIKQEMKTVTKSHSSFSSPRLIARKFGCKLVPRPSDAQPESLNRSGSVERARRSLKSRHSESFS >OIW15852 pep chromosome:LupAngTanjil_v1.0:LG03:1143303:1144757:-1 gene:TanjilG_04387 transcript:OIW15852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSSSKFSLPCNTLSPRQRSFSFFQTSGSRIGVGTKVLPDSESVWSPTSPLDCKLFSNLSNPFSVKSPRPSFQTVHKKQLDCSEVGLRIISSLVNENKLDDEILGKFQRKNIFHGLRVKTGILNLSKNNHKTLASYLKSSSLPKNYVIPLPSETKIPKSEGVSIDDVSRKKEFIAESKPLTNYTTSLPDSSMHSSSLINSNQISNLVINDLCVENTSTIKSLPPASGKSLQLDYSLKTRPSSLPISIDFNNGYIGPLSAREIELSEDYTCIISHGPNPKRTHIFADCILECHKNDFPEFVKKEGPAFGYSEVSTFSEGSACPNPSDNVLSFCYSCSKKLEKEEDIYTHRGEKSYSSFNSRSEEIMAEDEELEKSYANPAETSSDSSYHDFFLSGIHLS >OIW16080 pep chromosome:LupAngTanjil_v1.0:LG03:3058804:3064136:-1 gene:TanjilG_04615 transcript:OIW16080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKQYFFLCSGKRRFSSFFRIWFVLYLFISCYCFVVDIVFLYGKHIVLPVQYLVSDAVSTCVGFFFCYVGYFLKNESEDSSILQEPLLNGDTSVSNGLGSKEAKGDDTVTPFSNAGIFSILTFSWVGPLIALGNKKTLDLEDVPQLDSRNSIIGAFPTFRDDLEADCGAVNNVSTLKLAKSLVLSAWKEIIFTGFLAMLNTLASYVGPYLIDSFVQYLAGKRRSENQGYMLVSAFFFAKLIECLAQRHEAFRLQQVGIRIRALLVTMIYNKSLSLSCQSRKDHTSGEIINFMSVDAETVGGFSWSIHNLWMVPVQIILALLILYKNLGLASIAAFVATILIMLLNFPLGSFQKKFQSKLMESKDTRMKATSEVLRNMRILKLQGWEMKFLFKITEFRKTEQGWLKKYLLTSAISAFVFWCTPMFVSAVSFGTCILIGIPLESGKILSALATFRILQDSIYGIPDTISAIAQTKVSLDRISSFLRLQDQQLDAVERLPHGSSNTAIEIVDGNFSWDLSSPTIALENINLKVSKGMNVAVCGTVGSGKSTLLSCLLGEIPKISGILKMSGSKAYVAQSPWIQSGKIEDNILFGKEMDRDWYAKVLEACSLKKDLEILPFGDQTIIGERGINMSGGQKQRIQIARALYQDADIYLFDDPFSAVDAHTGSHLFKECLMGLLSSKTVVYVTHQVEFLPSADLILVMKDGNITECGKYSNLMNSGSNFMELVGAHQQALSALNSQDEETVSNEISTLDQDANVSGDLDVKEKDSQTDKTDDKSEPVGQLVQEEEREKGKVGFSVYWSYITMAFGGALIPVILLAQILLQVLQIGSNYWMAWATPISSDVEPPVGEITLIAVYVGLSFASSLCILARLIFLIIVGFETATILFNKMHHCIFRAAMSFFDSTPSGRILNRASTDQSAVDTEIPYQFNGLSLSLIQLFGTIAVMSQVAWQVFIVFIPVIAISICYQQHYIPSARELSRLLGVTKAPIIQHFAETISGISTIRSFDQQSRFRQSNMKLNDAYSRPWFNIAGAMEWLCFRLDMLSSMTFAFSLIVLISIPQGVIDPAMAGLAVTYGLKLNMIQAWVIWNICNLENKIISVERMLQYACIPSEPSLIVEENRPDPSWPYYGGVDIHDLQIRYAPHLPLVLRGLTCTFPGGLRTGIVGRTGSGKSTLIQALFRIVEPTAGRVMIDGINISSIGLHDLRSKLSIIPQDPTMFEGTVRNNLDPLEEYSDAQIWEALDKCQLGDEVRNKEGKLDSPVSENGENWSMGQRQLVCLGRVLLKKSKVLVLDEATASVDTATDNLIQQTLKQHFTNSTVITIAHRITSVIDSNMVLLLNQGLIEEYDTPTTLLEDKSSSFAQLVAEYTMRSSSQN >OIW14441 pep chromosome:LupAngTanjil_v1.0:LG03:28964747:28967709:-1 gene:TanjilG_15354 transcript:OIW14441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFIRKLQACSVIFDFTDPTKNLKEKDIKLQTLVELVDYVSSANGRFTEIMIREIVKMASINLFRTLKSPSRENKVLESFDLDEDEPLMDPAWSHLQIVYEFILRIVASPEADAKLAKRYFNRSFILRLLDLFDSEDPRERDYLKTVLHRIYGKFMVHRPFIRKAINNIFYHFIFETEKHNGIAELLDILGSIINGFALPLKEEYKSFLVRVLIPLYKPKCVPMYHQQLSYCITQFVEKDCKLADTVLQGLLKYWPITNSSKEVLFLREAEEVLEATQHAEFRRCMVPLFKRISRCLSSSHFQITRQSTLPLRQSSPQVAERALSLWNNDHIQSLIKQNHKTILPIVLPALEKNVRNHWNHEVRSSTINVNKILSESYPEFYEECLRKFQDDEAHEKAKKTKCEATWKRLEEIAAAAARHEPVLVSPRIASPAKSSG >OIW14949 pep chromosome:LupAngTanjil_v1.0:LG03:23688336:23689397:-1 gene:TanjilG_30668 transcript:OIW14949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSTTNDDSSSTSSSEIHIPEEIDWHMLDKSKFFFLGATLFSGVSAFLYPMVVLKTRQQCSSTQYSCLNMSCAIMRYEGFRGFYKGFGTSLMGTIPARAFYMASLEVTKSNVGTTLLDLGFSDTTASAIANAAAGVTSAMAAQLVWTPIDVVSQRLMVQGCNNSTNTKNVLTNLNSENYRNGFDAFRKILCADGAKGLYRGFGISILTYAPSNAVWWSSYSIVHRLIWDTFGSYMGKRDDGNLVNRGYGFRPDSKAMVAVQGLSAVMASGVSAIVTMPFDTIKTRLQVLDSEESGRKRPLTFVQTVKSLVNEGGLLACYRGMGPRWASMSMSATTMITTYEFLKRVSTKSEE >OIW15362 pep chromosome:LupAngTanjil_v1.0:LG03:13376111:13376596:-1 gene:TanjilG_26735 transcript:OIW15362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSSTSMLSSLALSPSIQKHHHLKPSNVSFLGLKPLTGSTKVTTFNPTNKRVTRGGVVKAQLNPSLVISLSTGLSLFLGRFVFFNFQRENVAKQGLPEQNGLTHFEAGDKRAKEYVSLLKSNDPVGFNIVDVLAWGSIGHIVAYYILATTSNGYDPSFFG >OIW15245 pep chromosome:LupAngTanjil_v1.0:LG03:16166106:16167430:1 gene:TanjilG_17565 transcript:OIW15245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCHILPLLTSYPIFLLDKTAYDMADAKDSFMVDVKPSRTPLTQISNQQHRSLFMVQNILRILVIVFSAASIAVMVTNNQIIVLFTIPFEAHFYFSSSLKFLVAANGVICVFSVFRLIINFLLRSQVSHRKDYYFFLFVLDILQQPLDTWDSLERNT >OIW15652 pep chromosome:LupAngTanjil_v1.0:LG03:4803601:4805424:1 gene:TanjilG_08228 transcript:OIW15652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTPEWTNEKHSMYLKSIEATFINQLYDSKHTQPSFSLKGTSKVPANTSGQFKVLHGGCWKRIKFERENPQMSRTNQNHDLTKNPWIQHYRSSSKQPSVAAPSLLESVTSTTQVVDLSQRNGFSSSFSGQLHLCETHVSHDDMLCSDTEMSDQNFVDEEVEESEENIRSNVKRLKETFDN >OIW15010 pep chromosome:LupAngTanjil_v1.0:LG03:23080867:23084264:-1 gene:TanjilG_24119 transcript:OIW15010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDPSNLSLADGLDAIHQNGVLEESSNSVNGVVSNNVNSGVTEMAETVALNGNLENFNHLDSIVTNNSSTAEEEVKIIDQTVQSRAQKGPVKNKTVKPASPRGIRSSLVKTGKDGKGEEAPAAVSNGTLGLHSNPKQPIKNRSLNDKQTQPKHRGKPDAATFEAPMEKTKPRSLKKGPPDTVQGETDSSSPTSKDAKPRKAGALPNYGFSFKCDERAERRKQFYTQLEEKIHAKEVEESTLQAKSKESQEAEIKMLRKTLAFKATPMPSFYQEPHPPRVELKKIPTTRAKSPKLGRRKNSAYLESEGITNNSVPLGRLSLDEKMSQSKPIKGVIPVHQKKPQRKSLPPRLTSEKIISSNSATPPTSSKALGDEMTSLSEVTPLSNATGDEKVEMAAAIEENNTLSNETTSDKTSEAESHVNGDIVDEEKPEITLLPEPIAAEH >OIW15099 pep chromosome:LupAngTanjil_v1.0:LG03:21672565:21678005:1 gene:TanjilG_08586 transcript:OIW15099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSHDKPVVLITGCSHGGIGHALARAFAANNCAVVATSRSRLSMAEMEKDERLLLEELDVQSDESVREVIEHVVEKFGRIDVLVNNAGVHCVGPLSEIPLSQIQNTFDTNVFGSLRMVQAVVPHMATRKKGKIVNIGSVAALASGPWSGAYTSSKAALHALTDTLRMELSHFGIDVINVVPGAIKSNIGKAAIIGYNRMPEWKLFKPFEAAIRERAYFSQTSKSTPTDEFARNTVAAVLKKNPPAWFSYGQYSTAMAIMYHLPLSIRDFVLKKTMKC >OIW14984 pep chromosome:LupAngTanjil_v1.0:LG03:23350587:23351387:1 gene:TanjilG_30703 transcript:OIW14984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPQQRYRGVRQRHWGSWVSEIRHPILKTRIWLGTFETAEDAARAYDEATRLMCGTRARTNFSYNPNSSQSSSSKLLSATLTAKLHRCYMASLQMTKPSLPEPQRVITSPNNESESDAMLLLPKKREQEEQETEGKWVFKKVKVESPQQFKALEEDHIEQMIEELLHYGSIELCSVFPPQTL >OIW15212 pep chromosome:LupAngTanjil_v1.0:LG03:17106010:17113710:-1 gene:TanjilG_08804 transcript:OIW15212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSGLSKSNNGQQQRLGITEPISLGGPTDYDVIKTRELEKYLQDAGLYENQEEAVGREEVLGRLDQMVNFGVTVRLLLSNDEVTNPNLRSSLSICGIVKMWVKTISRAKGLNEQMVQEANAKIFTFGSYRLGMVNFGVTVRLLLSNDEVTNPNLRSSLSICGIVKMWVKTISRAKGLNEQMVQEANAKIFTFGSYRLGVHGPGADIDTLCVGPRHASRDEDFFGELHKMLSEMPEVTELHPVPDAHVPVMKFKFNGVSIDLLYAKLALWAIPEDLDISQESILHNVDEQTVRSLNGCRVTDQILRLVPNIQNFRTTLRCMRLWAKCRGVYSNVAGFLGGINWALLVARICQLFPNALPNMLVSRFFRVYTQWRWPNPVLLCAIEEGSLGLQIWDPRRNPKDRFHLMPIITPAYPCMNSSYNVSSSTLRIMTEEFQRGNEICEAMEASKANWDTLFEPYPFFEAYKNYLQIDISAENADDLRKWKGWVESRHRQLTLKIERHTYGMLQCHPHPGDFSDKSKPFHCSYFMGLQRKQGVPVNEGEQFDIRLTVEEFKQSVNMYTLWKPGMFIHVSHVKRRNIPNFVFPGGVRPSRPTKITWDSKRSSELKISGNAQAEKSEEVKAVSFEADDERKRKRAEDSMDNLRNSKSFASLSPSIGEVHEVRNPISTTSSCSMKCDDSEVNNMSEPKSEKPDLKSFRGCPSSDIETNGSVESKLQFNPILATTDTFTSKDAENVAIEKIMSAPYEAHQAFPEESEELEDDFEYRNQVKYFGGNMKKSNLESSNSTAAVSEETVISNKETTCSTRLSSNGGLEELEPAELAPPMLSVSAPLSQKKPLIRLNFTSLGKAADKSS >OIW15937 pep chromosome:LupAngTanjil_v1.0:LG03:1717895:1721855:-1 gene:TanjilG_04472 transcript:OIW15937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLNPNQRICGDYTIITGGGSDSSCGDAVIISSDIQQPPVAESIAVMRDIDLNIPIDLSWDSDCLPIDPEIGSENNVNLEVSSIPSSEICLGLEQEVHEKKRGMFSGIEFISHAISIYIKITLQNVVKISKSQTENNFFLETVHEGDEVSDIELVARNVNIEHPTGHLPPESVACSDAVPMGNTGNIAKDGIQDHRPAKSTHKKHRKMRLITDLLSENHQEPRPEQIAGQRSASHPPCNVPADSQAPSTLPDRVDVQEGMMTFRKRGRKRKFLADEESKKPAYMCFQRIENEVQNPQGDARTNDTVLNNISEDVLVGTSLQDGMKGHQHKPELERRDIMGEKGITEKEGASTEKGMDNFALDAPRIENESNLSRGKGKMLQVDEELDYLSCWKNDKLVEDAFAHTREKVLPNMPASVSISSAQGAPNGEGSEDGLHLSLNCYSSVEASSKKSIRQTKNWRPLSLTEGSSKHHLTREDSEPNIFTASRHITNAISGKGVHLEEIVGARNKAKTVQFYDLTMEELGEGAHDDVTMEVLELMGRNQYERSLPDAENRSSLLDKSTQMAKDQAGDRTNGTVRREENVLPAKGNSTNFLYPYGGNQFGLNNVTKTQSPFGLDVLHSKNKPSKGLYFSPVNTLKFGATGASRYNRGVAEHGSSVVALQARGVSNLRKSTLIPDYEASPPWPTLTSNAPLGFDAAPRQVVSQPTSGSNMNMTSHQSSSMHTIPVMNLPGLMGPGRQSATFFDAGVGAQMLQRAFYSGACSNNLRIGSATSDRTMTKSGKGESSKLAMQGGVSKQFRWPNLERKFKELVSGTDVHGTQGTSGPSNTISENLCKLNRNPADFTLSVERNTYTINGEDLKFEKGVPEEMSDLPVHGCKLKRNIKGKMKEHEKD >OIW15745 pep chromosome:LupAngTanjil_v1.0:LG03:372008:374405:-1 gene:TanjilG_04280 transcript:OIW15745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIKLYFNLSADKRKTDLDNHTGNQQNGDAEAKSLPVRKHGKKLSAKGKSCPKNHKGQCTKECLHLESSGENQANSESALDLEEDGKSKQEASLNQTQLESNKLKRDISDKLDKHSDSLEILGMENDLVLKFQGDLDVGGENLHQTSNEKHLTKSESFPLTRYSMMRRMNTPTFRHKQTEIWAFSKGEKLLAGAQAPKMSASSFVEDISYEKQMKQKQNIYSRFSQGLNHKGWNQMVLHSFRVIKQKIKNALSEAIYHVASPEYSSTNDEKEVSEIVDAGVIRECEKTESSNEIKTSDYVSNKDEAGLIQRTSSLDGSLDKYTELFEKIFSKDANKYKCLNLINEDKVLKSGSASNLSRRNYSLPCLESLGFILHEVLRDRNIGHTLETDNRVQRKSLSSSLQTAKSLDRIEETEIVETVEGDGRDVNSGLLSGKIVDKIDEGIACDQRENIHEIAWEDGSFLNENVEISKTIYPSKEVVASFEAICEDNTTIHAEGGELNPRSSGMEESESDNHFLLHKSGTENDSNFKYVKKILEVSGFMGNEQNQMWHTLNQPIKPSLRKDLENEIESYGEEIASHYDHQLLFNLVNEVLLEIDQISPTYFPVPFSFNKKTRPMPKGNYLLNDVWTIVNSYLSLRPELDQTLDDVISRDLAKGSVWMNLQQEEEYVGLELEEMIVDDLLDELVFS >OIW15690 pep chromosome:LupAngTanjil_v1.0:LG03:3143805:3144188:1 gene:TanjilG_10972 transcript:OIW15690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGEDEEEAISLVVHLTHHHPSEPKNHEAEPRLYDGVPHYSLMEPLEALLLPVQPRLEVAPKLEWYHRCSQVLCRRDLSSLGSGCTQTRGFKATTMSEVSLYTLTLSRSSISFVCRREEKRRRSSSN >OIW14556 pep chromosome:LupAngTanjil_v1.0:LG03:26930870:26944415:1 gene:TanjilG_14942 transcript:OIW14556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSIPDLETTSHTSRISTVVPATPRRDQNCEFQLTYMDLLMKLHYIRPVYFFASEAVQGLTISDFKKPMFMLLDTYSHVSGRIRRSEAGRPFIKCNDAGVRIAEAYSDKTLKEWFHENGSYSVDGMVHDHVLGPDVSFSPLVFVKFTWFKCGGLSIGLSWAHVLGDAFSAFNFITKWSHILSGHMPPKSLHNPILSEPQFPQNSTFENPISIKKARVIGEYWLGANDNDVATHSFHFTFKQLQHLVTPKSTFGYTTKTKTKTKTSYFEVISAMLLKYIAHIRGEFGPKFVTICTSNISNREENECPNNGLVLSKFEADLASDISDLARLIAEKKMVENHIMKKLVESDEGKEDFIVYGSNLTFVDLEEAEIYGVKLNGHKPIMANCTFRGVGDEGVVLVLPPPPEDNGGGSNGRIVTVSLPEKELNQLKDKIEIAHYPGSKIDWNELVQKTATGISNAREYQMIWRHLAYCDALPENPGDAAEPLDDDSDLECEMEALPPISADSASEATACVKVMIASRMSSTPSSSTIEAPLTVNVPVCLSSRTPNESSEASNLMQRMSIIFPVTVQRTPLPTVSSTEGIETRGLGGGNMASKRKRWSEEEDNQLRAAVQRWGEGNWETMAKGDSFTMKRTPIQLSQRWTHLRKKDASTNPGTNSVQNRRSTAEQLAMNNAVSCALDLPSNTLFKKFNAPGATNPASFNKSIKSPAQPSNTAEAPIVRSSLAPTLNPSQKVVLGSSDFPAKSKSILERTVKCNLTPDSTVKANAVPSGARIVSSSNAVQFKVAQATSSSLAKSTVPVALGSNPKLPNVRTDSSVAPALVPSKSTVVVTSPAPSISTVKSVSSTLKNSPAALSISSESDKHVISVFNKVALKQEVIATEELKVPVPSPTTNDKIDAADETSTGTTNNHRIPVHSNSNEGRQDLNQDKSVNLPLHKGDETSTVKNTSKEISNDKSISQN >OIW15647 pep chromosome:LupAngTanjil_v1.0:LG03:4708093:4712607:1 gene:TanjilG_08223 transcript:OIW15647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQNQSPQNGVVSGAPNANTVATSLYVGDLDFNVIDSQLYDLFNQVGQVVSVRVCRDLTTHRSLGYGYVNYSNSQDAVRALDVLNFTPLNNKPIRVMYSRRDPSIRKSGTANIFIKNLDKAIDHKGLHDTFSTFGQILSCKIETDASGQSKGYGFVQFDSEEAAQNAIDKLNGMLLNDKQVYVGHFLRKQDRDNALSNTKFNNVYVKNLSESITDDDLNKFFGEYGTITSSVVMRDGDGKSKGFGFVNFENPDDAAKAVEALNGKKFDDKEWYVGKAQKKSEREQELKGRFEQSIKEVSEKNHGVNLYLKNLDDSIGDEKLKELFAEFGTITSYKVMRDPSGVSRGSGFVAFSTPEEASRALAEMNGKMIAGKPLYVALAQRKEERRARLQAQFSQMRPVAITPSVAPRMQFYPPGAAGLGQQFLYGQGPPATIPPQAGFGYQQQLVPGIRPGSGPMPSFFLPMVQQGQQGQRPAGRRGVGPSQQPQQPVPMMMQQIPRGRVYHYPPGRNIQDVSLTGMPIRDAVGQPMPIQALATALANAPREQQRTMLGEALYPLVDQLEHDAAAKVTGMLLEMDQPEVLHLIESPDALKAKVSEAMDVLRNVAQQQANLPADQFASLSLNDNLVA >OIW15313 pep chromosome:LupAngTanjil_v1.0:LG03:14316002:14325060:-1 gene:TanjilG_10753 transcript:OIW15313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLKASIPEGLKQMISESSVDDLSTTCSYLHRFFLRFNPFHHMITELADPKYGLCGKSKHDALESKQLGNQCFLDKDYVKALNFYTQALRKAPFEDGDMENNLVATLYVNRATVLHKMSLLVECLRDCTRALQICPSHAKAWYRRSQANASLGNYKDAICDLNVAKSMESSLGGKRKIENELKSILDQCKSASMAVKHSGNCLNTVDEMPQIKLQCVTIPDKGRGMASSCDILPGSLLHTEEPYAMVISKQCRETHCHYCLNDLPADRVPCISCSIPLYCSQQCQIKAGGQMFRIYPEIHGILKSLPIDIGEYAAEVIQFNDSEQEIENISEHKLECQGVNWSAVLPSEIVLAGRILARFLPKSASEDIKDFVDGLELSHCYKHMPSESKLDSHIHAIVLLYCLQHSSSIVFPIDGVSLSQVVIIISQIKVNCMTIVRLKSIDAHVLSDQFGEFPSNAYLTSNVEQVRVGKAIYKTGSLFNHSCQPNIHAYFLSRSLCIRTTKFIAAGCELELSYGPQVGLLVCKDRLNLLKDEYAFQCQCTGCSEANLSDIVLNAFHCVNPNCSGAVLDSRVVDCEKQKIKRFPIADEADKNSDIYEVCIRAFSQSDASIPIQPGYCLKCGSYCDLGSSHAAVDKALTCIKSFHFCLYDDMICCMQVEDNLAQAFCLLGELELCMDHCKASIQILEKLYDPDDIVIAYELVKFSSIQLSLGDVTAVDSISRIGDIFSRYYGLHADLVFPYLRYLRGEIKKKSVECT >OIW15033 pep chromosome:LupAngTanjil_v1.0:LG03:22539791:22541642:1 gene:TanjilG_13960 transcript:OIW15033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAEGEDDPKAFPLMLDMLLARTMAFRVKVQPSYHQSSVMRLSESTVLIKAIADQFGTLDIHTGSTSDALNGKCHLNDMSQYESIKHHSLDDKLSPQHYFWLLGLF >OIW14488 pep chromosome:LupAngTanjil_v1.0:LG03:28377468:28380151:1 gene:TanjilG_19904 transcript:OIW14488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LTSMENYSMLFTYPSSLTSVVGNSEHGFSGMNGQSSNSIGLDQKLLRREEVHRDGILSHQISGRRISVSDELGDSGNNNNNNNKKKGEKKVKKGRYAFQTRSQVDILDDGYKWRKYGQKAVKNNKFPRSYYRCTHQECNVKKQIQRLTKDEGVVMTTYEGIHTHPIEKKTDNFEHILTQMQIYTPLPF >OIW14909 pep chromosome:LupAngTanjil_v1.0:LG03:24024167:24025692:1 gene:TanjilG_30628 transcript:OIW14909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDGVSSSQMCFELEFGDEEVQLSLEDYGVSEDEHLGILIEKEIHLCFKKDQALVSDEWMKRARIDAIIWILKTRAALGFRLQTAYLSVTYFDRFISTRSINSEKHWAIRLLSVACISIAAKMEECNVPCLPEFQLEDYCFESKVIQRMELFVLTTLEWDMAIVTPFVFLPYFIPKLCNESQPNNILYKTTMQLIFTTMKEVNLMDRKPSVIATSATLVALDQQLTIEDVELKINSIPQHRRFLELKDVFDCYNIIQRLYKERTRRDKLLHTPGSSPILSKPIDMTENSLVNSATMSKRRRLTFDDDKQGGDGNGSDSTKENPKL >OIW15488 pep chromosome:LupAngTanjil_v1.0:LG03:7280332:7285186:1 gene:TanjilG_32892 transcript:OIW15488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YTREITKTRELGNAIDKYNIKGFSQFLNWTSRPNDTPYPPCYLVNHHFRSDHNDGCTKEFSSKLLKSIPDFVKIVEVGPRDGLQNEKSIIPTDVKVELIKLLVSAGLSVVEATSFVSPKWVPQLADAKDVLAAIQNVEGASFPVLTPNLKGFEAAIAAGAKEVAVFPAASESFSKANLNCGIEDNLSRCRDIALASRDLSIPVRGYISCVVGCPLEGYVAPAKVAYVAKSLYEMGCSEISLGDTIGVGTPGSVIPMLEAVLDVVPVDKLAVHFHDTYGQALSNILISLQMGISTVDSSVSGLGGCPYAKGATGNVATEDVVYMLNGIGVKTNVDLRKLMLAGDFICKYLGRSSGSKAATALSKVTAHASKL >OIW14541 pep chromosome:LupAngTanjil_v1.0:LG03:27088436:27090757:-1 gene:TanjilG_12940 transcript:OIW14541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAICKCMPIFTGWRDKNKGTEKSSKKDFKTLLAKVQHPQISPEISDLKSRTLDVTVPPTIIQKTSRSNIRVMSLESPVKAATEDAYEGGDEHDDSPSIKWELSDFDLQAHEVVTNEGGYDQTDKEMKFPILYHNKAKNIQLEGNDDTYSKIDDDTIQSGHVSDPGIGKADFSGSPKLTRSCSNLERTGVLNEKAFHFLSSNPQSFEDLQELSANQMANLGSPQSVMTHGSADRVMLKRHASSHVLPSRSKRLWWKLFLWSHRNIHRPQLSKSTQLHTALKNQCGYSSDTLEPKKGKALMRHVESTSPGSSSGEYFHKSCIDKNTNNQRWSRFHNEGFGFSSQNQWVAFTTESSSFNRVDEWVKDLEIQQPLPEDDDYDDDDNMGSIVYPPSPEASASMARNTAQLVRQSDANLSKEILIANSMVQSLSPASTAAHISGIGIKVIPAISHFFGLRSVNLSSNFIANITPGFLPKGIHTLNLSRNNISTIEGLRELTRLRVLDLSYNRISRIGQGLSTCTLIKELYLAGNKISDVEGLHRLLKLTVLDLSFNKITTTKALGQLVANYNSLQALNLLGNPIQRNISDDQLRKKVCGLLPKLVYLNKQPVKPRRAGEVLTDSVAKAALGSSSWSNRRAPKKGGQGASSSSGVHRSSLSVTQKSKNKPKNRTESK >OIW15259 pep chromosome:LupAngTanjil_v1.0:LG03:15566971:15575446:1 gene:TanjilG_16509 transcript:OIW15259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIKRNLKSQMPIVKRCKLIDPVGEKDECFYARKKRKKMSSDYYPLNLLGDIASGAISVSFHGLLTEKGFSASWCTEVSCSPPCEVESNSNGGGSGGGATKNQGGEVQRPPLVRTSRGRVQVLPSRFNDSIIDNWRKDSKSSVHDCEIDDDFEPKRVKFGSKAQKNCNQNAKKGPKDEKISCKPQKYPTLCGGKARKDVGTRFRSFDVRERFLEMEGVESMGNKRASKENRERKDGLYGPEDFYAGDIVWAKARRREPFWPAIVIDPMSQAPELVIRSCVADAACVMFLGFAGNKNQRDYGWVRDGMIFPFMDYVDRFQEQSELSYYNPSQFQVAMEEAYLADQGHTEKLIADINSAAANTDIDDTILKVLQEDTGPNRYARYHYVNQDLFDKDTRPCEACGLALNFKMSKKIKDSTPGGQFLCKTCARLTKSNHYCGICKKVWNHSDSGSWVRCDVCKIWVHAECDKISRSIFKNLEGTEYFCPTCKAKFDFELSDSEKLRSKAKCNKNNGQLVLPNKVIVLCNGVEGIYFPSLHLVVCKCDFCGNEKQALSDWEKHTGSKLRNWKTSIRVKDSMLPLEQWMLQLAESHAKAVVTTKPKKPSLKERKQKLLTFLRERYEPVHAKWTTERCAVCRWVEDWDYNKIIICNRCQIAVHQECYGARNVKDFTSWVCKACETPHIKRECCLCPVKGGALKPTDIDPLWVHVTCAWFRPEVSFPSGEKMEPALGILSIPSNSFVKICVICKQIHGSCTQCCKCSTYFHTMCASRAGYRMEMHCSEKNGRQTTKWVSYCAYHRAPNPDNVLIMQTPNGVISTKSLLKNKKKARSRLIASNIMKQDDTPLVDNAEDEPFTAARCRIFRRTNHTKKREADEAIFHQVRGPYHHPLDAIQRLNKYRHVVEEPEAFSSFRERLRHLQKTENERVCFGRSGIHGWGLFARRDIQEGDMVLEYRGEQVRSSIADLREARYRLEGKDCYLFKISEEVVVDATDKGNIARLINHSCMPNCYARIMSVGDDESRIVLIAKTNVSAGDELTYDYLFDPDEPDESKVLCLCKAPNCRKFMN >OIW15053 pep chromosome:LupAngTanjil_v1.0:LG03:22758128:22759532:-1 gene:TanjilG_13980 transcript:OIW15053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKNKTKSLHKDQQQMGLMTNLDVNLDNHFSQSHTLGHNMKSHSIFNHPCLFVGLGPKILLDSDSMRSPTSPLDARVLSNLGNPVITPRSTISTLESGNHRSWDYCKVGLSIIDSLEDCSKHHSLSPQIMIKTPNFKTYMDSFESSKSLPKDFCKSPYTQNGSTFHKGESSVVFEIGESSLEHELFGKTRSCSLYSCSPFKTLSGLTDSDNGNNFALKDKDSITQMSTPPHFIGGSHNSNSNTLSISSSNEFIRTLSASEIELSEDYTCVISHGSNPKTTHIFGDCILETHSNEFKNHFKHEEKEHGGVTLMDNMLHNTHTPIQYPSIDFLTFCQHCNKKLLEGKDIYIYRGEKSFCSLTCRAMEIMIDEELEKSDTLENSPEPELGEKFFEIGILIAT >OIW14782 pep chromosome:LupAngTanjil_v1.0:LG03:25024573:25025539:1 gene:TanjilG_05403 transcript:OIW14782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMACSGHKISARQRWTPTPLQLQLLERIFDEGNGTPTKQKIKDITNELGQHGPISETNVYNWFQNRRARSKRKQLAPTSNNNNTSSAEPEAETEVESPKEKKTRPESFQLQPYDYENSSPHSLYIHSPDIGFDQLMSKIEVSGCYSSYFL >OIW14900 pep chromosome:LupAngTanjil_v1.0:LG03:24156334:24156915:1 gene:TanjilG_30619 transcript:OIW14900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLVYANVILVFLLLCSSMLQVSMAASDFCDSKCLVRCSKAGVQDRCLRYCGICCEKCSCVPSGTYGNKDECPCYRDIKNSKGQDKCP >OIW16050 pep chromosome:LupAngTanjil_v1.0:LG03:2756548:2758590:1 gene:TanjilG_04585 transcript:OIW16050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQWSFSNKGSGLPQFLSFKGTQEDRQRKTVLDSIASTGYMTTSSTKDSSESIQKSFSGVVQRNLSRNQHEITVYPQQCFDTHSPCHQESRIFPVSNRSNQVSRVLQSSVAMTGSNMINSSLKPQPVSVLPSICSIVGSTDLRNCSKSSVTPSQLTIFYGGSVCVYDDISPEKAQSIMLLAGNSIKPIQNRTVSTPKLQPEISTPSNDDCIIVGKSYTSILPSPLPVTSRAGSQPGGGSSSSNELTILRPVGPSATLSNHLQSAKVVGSVGFAATKMVQQVGGLPQARKASLARFLEKRKERAKLTW >OIW15027 pep chromosome:LupAngTanjil_v1.0:LG03:22918562:22924274:-1 gene:TanjilG_24136 transcript:OIW15027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKKKNPLVFMDVSIDGDPFERMVFELFYDVAPKTAENFRALCTGEKGVSPNTGKPLHYKGSFFHHILKGSFVQLSKLQALFHLHVSYDNGTGGESIYGSNFPDESYRLKHDAPGILSMAIADRDTLGSHFIITLKADHHLDRKHVVFGKLVLGHNILKKIEDVGDEEGRPTVTVKIVNCGEYAEVRLKGKHKKSSKDRRKRRRYYSSESESSSDSDTESSETDSDSESDVSSSSDLSSSSDDRRRKRKRSRKDKYRHGKRRDKRHDKRRRRRDKRSKRRLRREPGSDSDSVSNRNINSDGDSLDAQGKEQKSKDHSHKNVAEVRSPLVVERHNHNNDGVVDMLENAELPKENGNQITNGIGADYRSDRSKERQPDVMDDHSGKSRSRSMSPKQPVSKSMSVSPRRSPSKSPSVTPKKRSSRGPTGSRSPPPSRKNVSRSPIRSIGRSLSKSISRSPVRGRMGISVSRSPARGGKGRSVSRSPVRGGKGRSVSRSPVRSRKSRSVSRSPVRSRKGRSESRSPVRSRKGRSVSRSPVRSRKGISVSRSPVRSRKGRSVSRSPVRTRSHRSVSKSPVRSRRPVRSRKGRSVSRSPVRSLDRVRRSPPRASPRKTISRSPVRVSRKSVSRSPVRSPARSLSRSSGRVPSRRDISRSPVRAPSRSNRRSYSRSLSPVRRTRTPCRTSLSRSVSPASSPKRVRRGRGFSERYSYARRYNTPSRSPVRSYHYNGRDRERYSSYRRYSPRRYRSPPPRGRTPPRFYPTPCSEAGGHHLFPPAHLTVLDDIAEAVAWSAVVPQLSHNDLTPLLVWRGDCLGAGVHPSTGLPNHDLREKQAETTGQGHRPEVQMERKAWSLMEMVLPARAKGEICSVGILSFNELEFSMLELTCFACCNS >OIW15054 pep chromosome:LupAngTanjil_v1.0:LG03:22770176:22776513:-1 gene:TanjilG_13981 transcript:OIW15054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLEGAKLSRCIFLLFLNVLLLGSVCGIGVNWGTQATHPLSPSKVVKLMRNNGIQKVKLFDADPGILDALKKSGIQVMVGIPNDMLYTLANSLQAAEKWVSKNVSAHVSSGGVDIRYIAVGNEPFLSTYNGTFESTTLPALQNIQAALTKSGLSNRVKVTVPLNADVYQSSSEKPSDGDFRTDIHDLMLQIVKFLNDNGAPFTVNIYPFISLYADANFPVDYAFFSGFQPAIDDNGKAYDNVFDANHDTLVWALQKNGFGNLPIIVGEIGWPTDGDRNANFVYAQKFNQGFISRYATGKGTPMRPGPIDAYLFSLIDEDNKSIQPGNFERHWGVFYFDGQPKYELNLGSNRGITGAGGVDHLAKKWCVLKPNANLNSDEVAPSVAYACQNADCTSLGYGTSCGNLDIKGNISYAFNSYFQINDQMDSACKFPGLSMITDKDPSAGNCRFKIIIQTDSAGIHERIGVRVFALNLSTLQRSLLHFTLSLTMFVVSLKTPLTNFCSSFPFFSINPNPILPFRSTTKPLAISAAATPLHLQTPAAAAPLTPAQFRVDILSESLPFIQKFRGKTIVVKYGGAAMKSPSLQASVINDLVLLSCVGLRPILVHGGGPEINHWLSRLNIQAVFRDGLRVTDAETMEIVSMVLVGKVNKTLVSLINKAGATAVGLSGMDGRLLTARPSPKAADLGFVGEVARVDPTILRPLIDNNHIPVITSVAADELGQPYNINADTVAGELAAALGAEKLILLTDVAGILEDKDDPKSLVKEIDIKGVKKMVEDGKVGGGMIPKVNCCVRSLAQGVITASIIDGRVPHSLLLEVLTDEGAGTMITG >OIW15011 pep chromosome:LupAngTanjil_v1.0:LG03:23076683:23078599:1 gene:TanjilG_24120 transcript:OIW15011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGFSGDDFYHGGSLYPNPKEASLFLSVGHQAGFYYPLPKRSRVSVPFDFSGEWFVQKPKTTIESLPDECLFEILRRLPVGQDRSQCASVSKRWLMLLSNISKNEICINESAKNEKEGDDEGYGDEGYLSRTLEGKKATDVRLASIAVNTACRGGLGKLSIRGNNKSECRVTDLGLKAIGCGCPSLKSLSLWNLASIGDAGLIDIAAGCQQLERLDLINCPAISDKALVTVAMKCPNLAELSIESCPNIGNEGLQAIGKLCHNLRSISINGCSGVGDQGIGGLLSATSSVLTKVHLESLMVSDVSLAVIGHYGISVTDLVLNCLPNVTERGFWTMSNGRALQKLKSLTIGSCRGVTDVGLEAIGKGCPNVRYFQLRKCPFLSDNGLVSFAKAASSIENLQLEECHRVTQFGFFGLLFTCGAKLKVLTLASCYGIKDMNLKLPEVFPCVSLVSLSICNCPGFGNAILAVLGKLCPQLQNVELNGLEEITDAGLLPLLESSKAGLVKVNLRGCKNVTDKVVSSIANLHGSTLDVLNLDGCNKIGDASLKAIASNCHVLSDLDVSRCAITDAGIAALARGNLHNLKILSLACCALVSNKSVPALKKMGRTFAGLNILACNRISSSAVIKLMEHLRTCDILS >OIW14890 pep chromosome:LupAngTanjil_v1.0:LG03:24231542:24234299:-1 gene:TanjilG_30609 transcript:OIW14890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPLFLRQFLHLRVHVYNYKRFTFRDVAPPHRLWCSAATNQPLNEDEDKVSNFVRAKEMSNYTPWDDPDYRTWKDKEREILSDIEPIILLTKDILHSRRYLDGEQLSVEDEKVVVERLLAYHPQTQDKIGSGLDSIMVDRHPQFRHSRCLFVVRTDGGWIDFSYQKCLREYIRYKYPTHADRFIREYFKRGSGSG >OIW15934 pep chromosome:LupAngTanjil_v1.0:LG03:1688915:1689715:-1 gene:TanjilG_04469 transcript:OIW15934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLTGTGTSGYMGLEPKQIAFERDSDLFDEDKSYSSSLHFLGLANGCLAFWLLLVASSYLDLSTTLNFFCTCRSKTVEKVSLTVRTISNARTEKGATASNSHHECDAYSEISDFDKTSYFDALLSLEDEDTEWLSYIGSPSYWDSLLNLEEEKDSDKDMYFDSLLSLEDEDNGWLSDSFENPSTPLSYKCDFLSEISDIDSPNYWSSLLKLEEKDNDEPLFWPFEEENFASNNDHSIETLLGLNEFDGHEGLDSEFNIFGDDFMLD >OIW15577 pep chromosome:LupAngTanjil_v1.0:LG03:4834677:4845304:1 gene:TanjilG_01100 transcript:OIW15577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQSMLPPKKRKYVGPSSESPRSSPLVEFEERPPSSQTLTVLSTQSLTRKEIAVKQRNMDEIRRVYKCLKHINVCLSQEDKSFASDIEKGYLTLIAASKGCRSVRRIVADLIPQYACYCPTALEAAAEVMINMHNWSLELINRGEDSNGIAFATARACIFGLADICCTASSVAPKSAVIRGISSAVFQNVLTFFMAFFEGKDVMQLVDKNFLNMQDSIKVFSELKQKVLDKDECLLTKLTRSCALCLLWIFFSCPKDLLAACLELSGCAAKEKASKGHHFLGFVTSTLDDGAVQLLDSANVGPKSCTGSTRSYIRYHEGDEEIKTDDNHVSECNSSLRSSCLLMQVLNKDSSLREWMLCGCKKLLDLLTDSSPEISSVLEGIIEMFAQQADLEDCQVDSDEDKPDSSIYMNRNCVVPRISEEHDIVDKSSGKVGNSSFLVNSSTDCLTDKVSDKHLIAQGSAVICSTPRDSVSHQISPSGVRIPVDFKSTSCEVSGDFTNVEKNQVFNMNLNSPPVRSSSETESKILILPNNSFMSPSASKSQIAWCSDGDPAAMDIVSASKQLWVGCVGPDMSESHIRFHLERFGPIEKYFFLSVKGFALVEYRNIIDAVKTRHCLPGSFPCCVKFMDIGFGTRGDMNGLAIGYSSYIYAGRISSQLAKDEILHEAWKVIRKRPLSVIDLSCECALLMEFETPEEAVSVMLHLRQFRRERSNYNPHSAPETGNAGIGQVYSDGAIHVPGPPHLELRINNQVAGPSHARTSPENPADSAHTRMSHLSSLLASLRTKYNINQNIGLHDNYIAGNSYAFSMCGEDIVPSSTLWITIPSSGSLFLTDDELMAICILAVGNSGSIVRLTQANMNMGCGWFVECNNVDAAVSVLKNLRACPGLFFQIQFSKPGNQNVLPFPITLEKKSMEHVSPRINSENHGGGVHGAPMSQSNWHFPGSREMTKVGARKADGYDNLSMVPQQGGNVTRSLSVTQGPSFPPPQQIQSSTGIRPIYGPPNGPWGSQGRNNHLPVNKFRAGVMPNNSHGGAALNPFVPASVTLFAQIQGTPMQPYNQLIPQPVIRPPLSSLPHPHPEILALPPLPKTRPPLIPPPPLSSPPHPHPEILALPPLPQTQPPLIPPPPLSSPPHPHPEILAPPPLPQTPPPLIPPPPSSPPPSPHPPLPVQESVNMECSSQSLQYQWHGTLCKSGVDYCTIYACRADSNICSYTNAIPEPAEWPTKLDMAKRTNFQHVKSAFTATPPDRVSCQISFGFPPLPSHLMLTVS >OIW14544 pep chromosome:LupAngTanjil_v1.0:LG03:27063548:27063745:1 gene:TanjilG_12943 transcript:OIW14544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVDSTWSLSQFTSIIDKWSGYKLWDRKCSSHKTEPIFASSFKPITKKYLTLVYRNAPKRIALKK >OIW15649 pep chromosome:LupAngTanjil_v1.0:LG03:4735616:4738080:1 gene:TanjilG_08225 transcript:OIW15649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSNGNDGIQKTNPFSMRPIIPSDSEDLSSFFNQLLSPPPPSPPPEMDPNNSFSDPNNFYAYIPDPSLFLHNSHSNNTTTCDFTSSLEKNGEALELPSSKPFPPSRTSSKRSRAAEFHNLSEKRRRSRINEKMKALQNLIPNSNKTDKASMLDEAIEYLKQLQLQVQMLMMRNGLSLHPMSSPGGLRPMILPQTGLNFDEVNGFQNSNRGIASSANVESLVPPSYNFSKHCSFSDQSIVPPSVTNVTTSHPSTSIQPHIKDSFCGSMPQLLLDTTKMGKAPSSDVS >OIW15880 pep chromosome:LupAngTanjil_v1.0:LG03:1293728:1302587:1 gene:TanjilG_04415 transcript:OIW15880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGNISRNRSVSSNLSRSISRSLSRSGWRMEEVFASGRYSRRTSTVDEDEEALKWAAIEKLPTYDRLRTSILQTHVEGTEITERAREVDVRKLDGNERQQIIDKIFKVAEEDNEKYLKKFRNRVERVGIKLPTVEVRFNNLNVEADSYVGSRALPTLPNVGLNIIESAIGIFGISTAKRTKLTILKNASGIIKSSRMALLLGPPSSGKTTLLLALAGKLDRDLRMSGEISYNGHKLNEFVPRKTSAYISQNDVHQGEMTVKETLDFSARCQGVGTRYDLLAELARREKEAGIFPEAELDLFMKATAMEGTESSLMTDYTLKILGLDICKDTVVGDEMTRGVSGGQKKRVTTGEMIVGPTKTLFMDEISTGLDSSTTFQIVKCFQQIVHLSEATIFMSLLQPAPETFDLFDDIFLISEGQIVYQGPRDHILEFFESCGFKCPDRKGTADFLQEVTSRKDQEQYWADRNIPYRYTTVTEFANRFKQFHVGRKLESELSVPFDKTVGHKAALVFKKYTGSTMKLFKACWDKEWLLIKRNAFVYIFKTGQIVVIGFIAATVFLRTEMHTRNESDASLYVGAILFAMIMNMFNGFSELPLTIARLPVFYKHRDHLFHPPWTYTLPNFLLRLPISVMESIVWTVITYYSIGFAPAASAFFKHLLVVFLVQQMAAGMFRLISGVCRTMIVANTGGALMLLLVFLLGGFILPKDDIPNYWIWGYWISPLMYAFNAFSVNEMLAPRWQKPSSTGQTTIGLATLNNYGAHAEKRWYWIGAGALLGFVILYNVLFTLALMYLNPIGKKQAIISEEEASEIKSGEDSKEEPRLLKPESNKELPLGSLSSADGNITGEIRNDDPTIELATGVAPKKGMVLPFQPLAMSFDSVNYYVDMPSEMKEQGVSEDRLQLLREVTGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDVRISGFPKNQETFARISGYCEQTDIHSPQVTVKESLIYSAFLRLPKEVGDEEKMKFVEEVMDLVELNNLKDAIVGLPGVTGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLMKRGGQVIYSGPLGRNSHKIVEYFEAIPGVSKIKDKYNPATWMLEVSSIAAEVRLGMDFAEYYKTSALAQRNKALVKELSVPPPAAKELYFPSQYSQPAMGQFKSCLWKQYLTYWRSPDYNLVRYFFTLLVALVVGSVFWKVGTKRNSSSNLATIIGALYGSLFFVGVNNCQTVQPVVAIERTVFYRERAAGMYSALPYAIAQVIIEIPYCFVQTLVFSFIVYAMVSFEWQVAKVFWFLFVSFFTFLYFTYYGMMTVSITPNHQVASIFGAAFYGLFNLFSGFFVARPKIPKWWIWYYWICPIAWTVYGLIVSQYGDVLDQIDVPGWDHRPTIKNYIDQEYGFKSNFMGPVAAVLVAFPVFFAFVFATGIKVLNFQTR >OIW15201 pep chromosome:LupAngTanjil_v1.0:LG03:16564663:16565730:1 gene:TanjilG_08793 transcript:OIW15201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTTNTTSLRFKPIPTSIPSFSSLLSKPHSFFPFNPIPIFKPISSSSIPKTPSVLKSSFTDNPTTTAAAATASPNNLKSRLRNGDTLYGLFLLSFSPTLAEIAGLAGYDYVVIDMEHGYGGISDALPCLHALAATNTAAILRVPETSATWAKKALDLGPQGIMFPMVDSARSAENAVSYCRFPPAGVRGSAHTVVRASGYGVDEGYLGNYMEELLIMCQVESEEGVKNADKIAAVDGVDCVQMGPLDLSASMGHLWDPWNKKVREMMYEAEKKVLDSKDEDGDGAYLCGFAMPHDGPQYLRSRGYHMVAGAVDVGLFRSAAVEDVNKFKISLVEDEGSDSERGEGKEGDEKYWSE >OIW15725 pep chromosome:LupAngTanjil_v1.0:LG03:253430:256302:1 gene:TanjilG_04260 transcript:OIW15725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATYFVHAIPFQSHSLLPFSSSSSSSSSSSSSSFFLSLSPPFHSSPLSNSKRISTSSSSSSSSSSPFTPTKHALSFKLCRIAEEHRDIVFLKVNFDENKPMCKSLNVRVLPYFHFYRGAEGQLESFSCSLAKFQKIKDAIETHNTARCSIGPPKGVGDLVLDPSCVTKDKPDESV >OIW14376 pep chromosome:LupAngTanjil_v1.0:LG03:29834829:29840563:1 gene:TanjilG_15730 transcript:OIW14376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGREENFTETSITKKSRSLDLKSLYKSQLTKESLKKKSLKRDHSCDGGDDEKTNKRKKVRKEVSLSRLDNADGGIKKTLDGEPSSGRQDLGELKSGLSSSSRTDGVFICLRDNLVHVPTRKRSERKKIEDCEAANRNGLPNCQNGRGEQVPKLGRDDMRKRIDSSKIKQKKNPDELKEKRSSNSNSLQRFKGGEDLASHPLVNSSVSSLKKLRRKDRKRKTLASDRSGVAKEAEPLIDSCKLSDDLQQDEDEENLEENAARMLSSRFDPSCTGFSSSSKSSRLPSTNGFSFLLSSSENIVNHGSKSQLDSESASVDTAGRNLMPKKRRHFYDIPLGDVDACWLLKRRIKVFWPLDQSWYYGFVNDYDEEKRLYHIKYDDRDEEWINLQTERFKLLLLHSEVPGNPKRRRSSTKGRSSDQENGSNSRNKRQGKATTVDDSSCGSGMDSEPIISWLARSPHQVKSSSFHGNKKQKSKVTPPCTNTSLLYDESVGVKRHLAKGSLGDAKNNLSCDPVSQDKSESLREKSPLQRAAHTKDGKPHIVYFRKRFRRAAPIPVVSEPSGGRVEIEGPLCFTYKAGVPKIFWDMKSSTFRFDLNFPIRLVLNCSFESESLWLLGAGLLHNYGTVVTKWPRVSLEMLFVDNVVGLRFVLFEGCLNTAVAFIFLVLRVFHQPAPQGNPVDLQWPLTSIGFKFSSLQLIKKPLVFAFYSFSKLKNSKKWISLDSKLKKHCLLSKQLPLAECTYDNIQALQNGSHRFPMTSISDPTSVKLLKEQVRQKSSRQGIYIMGGSKVSTQLEHQSVDAIERKFPPFALSFAAAPALFLSLHLNLLMKQSAARINFCDHAPIDVQEEFGLVEDDCPSINLKNDMMTLSKGAAVDEQCCAELDQVIGSSTCSDQIVSEKYKDIDLSGAVTSISHGSERHGTISEWQSHPSRLELSSLPLCSSIHKDKAADGSHFCNLSVQIPQFEKPVNGDLHVVQNSPDFSRNIDGGVIPRPNLTAPRSSWHRNRSNSLLSPGWSVGKADSFYSEFSVGPRKPRRQVSYSVPFAGYEFSSRYKNHNQKVLSHKRIIKASEKKSPDAARVPEKYFESISCDANVLITAGDKGWRESRSEVVLELLDDNEWKLAVKNLGTTIYSYKANQVLQPGSINRYSHAMTWKGGKDWTLEFPDRSQWALFKVLYEECYNRNIRAASVKNIPIPGVHLKEENDDDGPEVSYVRSCNYFRQVETDSEMALDPLRVLYDMDSDDEQWISNIQNSEKDNCDLNGISEEMFEKTMDLFEKAAYAQKRDEFTPNEIEELMVNVGPLCLVKIIYDHWQKKRQKKGMALIRHFQPPLWKRYQKQVKEWEVALSKNNNPGNGGLNEVATLEKPPMFAFCMKPRGLKLLNKGLKHRSQKRVSLSRHTNSSLYQDGFHTFGRRLSSGFAFGDEKFKYPGLSYDSLDDSSLRVFSPKDADNMRYYSMSNDGYYRNPVPKFRKGNSNKFAPFMYHNDSQFKASYSQRMSASGKRNGFNRQHLPDGPQRDGSEHLDGSELEEFKLRDASAAAQHARNRAKFMRQRALVMQSIADAAIQRAAVALMTAEAMKASEDSVEGDAKPQKASK >OIW15648 pep chromosome:LupAngTanjil_v1.0:LG03:4722540:4726262:1 gene:TanjilG_08224 transcript:OIW15648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEQRSTIGSLPETIEFDYGSTSSNATVNPPICLNNMQNPAENRIPEYLLSPAPSEINPSYVNYINRDWQNTSGWSSGEPSSSNTQKEVNNNEQKRELGCLSSVSASAIAGPIRERRFRPVNALSLGNVITSSVPMHNSNSHLGSQSLNLNASLANSGSSNGHHAEHPNLPKSSGPINEHIPPITSSGSFMLPSECNSFLIEGSDGRTGCSRDTRRVSCKRKAVEQDFGQSSDVGSCSSYSQNRDGSAWNTLPTQNYAGSSFSRSTSAEQINARLGLSMGNVASESVLDTNVAGNSESFPRNCRLRINPSSQQNSTPPAAFPSGSVIRNTGVSSSTPMLQRIHPVDNSWDLRSVPPVDTMIPQSQPLLVHVPALPRNAQSFRWSGGSSSTNNHSSNSVISATRDNLPQQEGSSRSMARNIIEQSMFFPPTNLRNLVRNPTIRSSSGANLSIPGNVASSQPGSNSAINPPSVSPWVSHPNPQQHYPRRLAEYVHRPVFSPGSEAAGNPISNYSSLRGPANSSVLSSGVVHLGSSSVLERPGDSEYAIAPSLRSLTGAGSGRISELRDVLGRMRRGRNLRLEELLALEERIGNVCVGLSEETVLKHLKQKKYSVEAGSQHEAEPCCICQEEYKDRDNMGSLNCGHDFHMDCVKQWLMRKNLCPICKTTGLAT >OIW15682 pep chromosome:LupAngTanjil_v1.0:LG03:3647134:3648835:1 gene:TanjilG_09620 transcript:OIW15682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRRYAFGRVDEATHPDSMRATIAEFASTFIFVFAGEGSSLALDKIYIDAGLSAGQLLAIALAHAFALFAAVSASMHVSGGHVNPAVTFGALIGGRISVVRAIYYWIAQLLGAIVAALILRLVTNNMRPNSFHVSAGLGAGHGLVLEIIMTFGLMYTVYATAIDPKRGTSGALAPLAIGLIVGANILVGGPFDGACMNPALAFGPSLVGWRWKYHWIYWVGPLIGAALASLIYEYVVIPTEPPHPHQPVAPEDY >OIW15637 pep chromosome:LupAngTanjil_v1.0:LG03:4547492:4550108:-1 gene:TanjilG_08213 transcript:OIW15637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGWAIAVHGGAGVDPNLPLQRQEEAKQLLTRCLNLGISALRSNASAIDVVELVVRELETDPLFNSGRGSALTEKGTVEMEASIMDGPKRRCGAVSGLTTVKNPISLARLVMDKSPHSYLAFDGAEEFARQQGVEIVDNDYFITPENVGMLKLAKEANAILFDYRIPTVGYETCGAGVESPLQMNGLPISVYAPETVGCVVVDGEGRCAAATSTGGLMNKMTGRIGDSPLIGSGTYACNVCGVSCTGEGEAIIRGTLAREVAAVMEYKGLCLQEAVDFVIKHRLDEGKAGLIAVSNTGEVACGFNSNGMFRGCATEDGFMEVGIWE >OIW15064 pep chromosome:LupAngTanjil_v1.0:LG03:22849224:22851174:-1 gene:TanjilG_13991 transcript:OIW15064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLISIFTNHHFNFNTMSFGSSLFSPPRAVVLNRNRTSQTSRFKAAADVPDFLSADWFESRKKRPSGPRLDFSAEDAVNFQLQALKHNDQPRQDYGIEVMYRFAGFDPFERSTYFGPFFDLGQFERFRRIFHHSTYRVLLGHKERKIMSSLFVEENKYKQRVWIRGSRPEEEEIFQFTMVQRVGGCWDGYWLTESLLHDRDTFAGGLAY >OIW16046 pep chromosome:LupAngTanjil_v1.0:LG03:2695589:2698965:1 gene:TanjilG_04581 transcript:OIW16046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLSDLINLNLSEYTTDKIIAEYIWIGGSGLDIRSKARTLTGPVNDPSKLPKWNYDGSSTGQAPGEDSEVILYPQAIFKDPFRRGNNILVICDAYTPAGEPIPTNKRHNAAKIFNQPEVIAEEPWYGIEQEYTLLQKDTNWPLGWPIGGYPGPQGPYYCGIGADKAYGREIVDAHYKACIYAGINISGINGEVMPGQWEFQVGPSVGISAGDEIWAARYILERITEIAGVVVSFDPKPIPGDWNGAGAHTNYSTKSMRNDGGYKIIEKAIEKLGLKHKEHIAAYGEGNERRLTGKHETASIEKFSWGVANRGASVRVGRDTEKEGKGYFEDRRPASNMDPYVVTSLIAETTILWKP >OIW14814 pep chromosome:LupAngTanjil_v1.0:LG03:24814409:24814996:1 gene:TanjilG_05435 transcript:OIW14814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTIRIRTPKKNYGKGTFIISSKPSENFETYAGLLNPPPRAMSLSSSVFNNQYRQQQQQPPLLPLPNVSAKIQQPQLSRSISISQGHFRRNRTKDISLTPKKSTPTKREEGKKKISATQSSISEFLIVTSENRLGPDPKDLPKNLTVLSPPPSSLPLPKFFLRSKLSCNAEAAATDGGGVDAGATNNLRQLLRLQ >OIW14763 pep chromosome:LupAngTanjil_v1.0:LG03:25124322:25129069:-1 gene:TanjilG_05384 transcript:OIW14763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGESEKPSDMELVVYDDNKNDDSTLTPQHQHQNLHLHDSKSITQNDSHHNNLPKTKEIKSVLNDSEMSEYEETGTPLEQQAFMKELQTFYRERSLEFKPPKFYGVPLNCLKLWRAVIKLGGYDIVTGSKLWRLVGESFHPPKTCTTVSWTFRIFYEKALLEYEKYKRETGELQLPVGSFHQPSSVEKETTVYQTPGSGRARRDAAARAMQGWHAQRLQYGEVAEQVSKDKNFSPTTKREKNLKSIGVINKQRTPTGLEQAEKASNIDGDRQLSYWSLLLVTAVVDIGPPADWVKINVRETKDCFEVYALVPGLLREEVRVQSDPVGRVVITGHPEQVDNPWGITPFKKVVNLPARVDPLQTTAVVSLHGRLFVRVPFEQGAI >OIW15277 pep chromosome:LupAngTanjil_v1.0:LG03:14987143:14989365:1 gene:TanjilG_08074 transcript:OIW15277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVEGRAGYAANRFTQDFRMLHISCDHQSSVSKKLKSDRPPSNSINDHVHAVSGWTPRNANSDKLPDMKWWLHVKTNMEGEGNYTCQSLNSWESDLDAVYDGFLDDNVKNFDALSYTGGSNKSGTRMPKTEAALNNNIHLTPKKDQGEFYFSDGHFMDSDVNNFSISEKSKMTSYDLESSFMDTEKTGPWWRNAGKDELASLVAQKSLEHIENCDLPQPQTKHFWQRPLYPKGIDHDKTLPSSLNQKAETVSSNADSYTSGTPTSSSSFQDSTRNFGSSETKDHGSSKKDCPINSQNNGIAELMEALCHSQTRAREAEKAAQQAYNEKEHILTLFFRQASQLFAYKQWFHILQLENLCLQLRKKNQPLLNLLPYRGMQLKKSHGRSGKRKGCKRRRCGIGKCAIAFVVGLGLASAGLLLGWTMGWMFPPL >OIW15708 pep chromosome:LupAngTanjil_v1.0:LG03:178701:180136:1 gene:TanjilG_04243 transcript:OIW15708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTLARVLPIIFLLLALYCALDPFNHSPIAGFPHYEVHKVDSPPWSQVPIHRDKHNLLQQSELMFVNQVQGPESIAFDPLGRGPYTGVADGRVLFWNGLSWTDFAYTSPNRSELCNPKASASPSSYVETEHICGRPLGLRFDKITGDLYIADAYFGVMKVGPQGGVAASLVTEAEGIPLRFTNDVDIDTQGNVYFTDSSSNYERRNFIQLVFSGEPSGRVLKYNSATKETTVLVRNLQFPNGISLSKDGSFFVFSEGSIGRLSKYWVKGDNAGSSEVLAILPGYPDNVRVNGNGEFWVAIHCRRYMYSYLNAIYPKLRKFILKLPIPTKIQYMLQIGGRFQALVVKYSPEGEILHILEDSEGKVVRAVSEVEEKDGQLWMGSVLMPFIAVYNFT >OIW14823 pep chromosome:LupAngTanjil_v1.0:LG03:24675727:24681086:1 gene:TanjilG_17048 transcript:OIW14823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEANSVSKLLRLLFFTLFVMGSELIHCNVTYDRKSLLINGQRRILISGSIHYPRSTPEMWEDLIQKAKHGGLDVIDTYVFWNVHEPSPGNYNFEGRYNLVRFIKTVQKVGLYVNLRIGPYICGEWNFGGFPVWLKYVPDISFRTDNGPFKAAMQGFTQKIVQMMKSEKLFQSQGGPIILSQIENEFGPESKAGGTAGQAYLTWAASMAVGLGTGVPWVMCKENDAPDPVINTCNGFYCDNFSPNKPYKPSMWTESWSGWFTEFGGPVHQRPVQDLAFAVARFIQKGGSFVNYYMYHGGTNFGRSAGGPFITTSYDYDAPIDEYGLIRQPKYSHLKDLHIAIKHSEHALVSADPTVTSLGTYQEAHVYSSRSGACAAFLANYHAQSAATVNFNNRQYDLPPWSISILPDCRTVVFNTAKVRVQASQIKMLPTNSKLFSWTTYDEDLSYLAESSRITATGLLEQMNITRDTSDYLWYITSVDISSSESFLRGGHRPSITVFSAGHAVHVFVNGEFSGSAFGSKKQKNCTFNGPVNLRAGTNRIALLSMTIGLPNAGRHYETLKTGITGPVLLHGLDHGQKDLTWNKWSYQVGLKGENMNFAYPNVVSSVGWFLDSLPIRRRSQLKWHKTYFDAPGGTEPLALDLGSMGKGQVWINGQSIGRYWMAYAKGDCNSCMYSGPFRPTKCHLGCGQPTQRWYHVPRSWLKPTRNLIVVFEELGLHTYMWIRLWGMVALK >OIW15548 pep chromosome:LupAngTanjil_v1.0:LG03:5618916:5623104:1 gene:TanjilG_01071 transcript:OIW15548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFMKVFDQTVREIKREVNLKVLKVPEIEQKVLDATDNEPWGPHGSALAEIAQATKKFTECQMVMNVLWTRLSETGKDWRYVYKALAVIEYLISHGSERAVDDIIEHTFQISALSSFEYVEPNGKDVGLNVRKKSENIVALLNDRDKIQEVRNKAASNRDKFIGVSSSGITYKSGSASFSSGSFQSNTKYGGFGSRDDDRFRDSYRDKGNYEEQKVKKDQDNSFKKHSARSASKSQEKMSSGVSKSSTNADVYDSYNSVPSQSAGANNTEDNFDDFDPRGTSTSKYYEQSPMFLLYV >OIW15573 pep chromosome:LupAngTanjil_v1.0:LG03:4941003:4945317:1 gene:TanjilG_01096 transcript:OIW15573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWDSDDDNSVSSSSTARTDAASLSGTEEVVFDQDTLLDQALDALDEKRGSTREKALSIIIEAFNSNMQHQFVEKKFATLLHQCLASIKKGSKKATAKEIALASHAIGLLALTVGCSDNAREIFEESVRPLDEYLTSTSDVTKIPSLLECLALITFVGGNDQEETERTMDLLWRVIHPKLGSNVVTIKPSAPLITAVVASWSFLLTTVKELNLNSKYWQNSISYLSSLLDKEDRPVRIAAGQALALIFEIGIIDKFSAECKSASDMTQEESKHQASYIHLQGLKGKVINQVKDLSVEAGGKGSAKKDLNSQRSLFRDIVEFFEYGYPPEISMKIGGDSLQTSSWSQMIQLNYLKHFLGGGLIKHMQDNEFLHDVFDFTPKRKHLNSNEQRMSSGEKRMFKSPNSFQNKARTQFLNKQRLLSEGRNLGHYAADVTDD >OIW15570 pep chromosome:LupAngTanjil_v1.0:LG03:4971825:4972904:1 gene:TanjilG_01093 transcript:OIW15570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKTLTPYPNPAKTAEIMSRYRPIAPKPETSSTSMNEGSTSQKIKSSPYLRSLWSQLQARPTRTRKRGRAPLTLPSTLKRQKTHVLGICPPCHVTSPSKNLSFQTFPPLPQLHFPPPNRGLGMLNTTTNQNLVTLPLLPCTPEQCPTPKFDSTKDVVAIDLNTTAPKNIPEERDFLQQLQRPVCNKVIAPHPIRPIGSCINVGCISDHSTTSPPQCAAKVKRKHEVEEEVESETLPTVISDSNNRIRMANSAYKEMVGQPECAWLESMASYGAADGRVVQCSKRISGEVTLNLCDSSVVPISSNGFSCWVRIEWETELKKVSVNAFCDVLRLSCESKDYLFTWRFHTRTREASQPSCNV >OIW15700 pep chromosome:LupAngTanjil_v1.0:LG03:125633:140351:1 gene:TanjilG_04235 transcript:OIW15700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTIVMIVVIGFNHKIGRGQRLQRSHAQRTLVEKLFLDFAPAIGACLSVLDIIFLWKKEPNSHFVGYSMWFYSCSELIIWTNIILFSKFSITHCAVFNHVLCYWWILKSISAVYHLITKFPSLEVSICIIESLVVLLNITFGIAINVIRTKIQPSKSSPMEDPLLSNSVDPEEGGHSDLGNNENFWDLMTFKFISPVMNQGVVKQIDSEDLLQLPTDMGPSSCHDLISSCWKAQLSNNLSNPSLFRALCSAYGWPYLRLGLLKMINDCIGFAGPLLLNKLIKSLQQGSVSVDGYLLAMSMGLTSIIKSFLDTQYTFHLSKLKLKLRSSIMTLIYEKCLCVNLAERSKFTNGEIQTFMSVDADRTVNLCNSFHDLWSLPLQIGVVLYLLYTQVKFAFVAGLAITILLIPVNKWISKLIARATEQMMKEKDERVRRTGELLTYIRTLKMYGWELLFSSWLMETRSLEVKHLSTRKYLDAWCVFFWATTPTLFSLCTFGLFALMGHQLDAAMVFTCLALFNTLISPLNSFPWVINGLIDAIISSRRLSRFLSCPEHKVGDISYCSSTFPSKQPDSVQDSAVSFEDACCTWSSGDEQTLNLVLNHVTLSLSKGSFVAVIGEVGSGKSSLLYSVLGEMRLAHGSVYSNGSIAYVPQVPWILSGTIRDNILFGKGYDPERYADTLQACALDVDISLMVGGDMAYIGEKGVNLSGGQRARLALARAMYHGSDVIMLDDVLSAVDVQVAQWILRNAILGPLMRGKTRLLCTHNTQTISSADMVVVMDKGHVKWMGGSAEFPFSSYTALSPMNEMDSSLQNHTQSLNTNLSSKSKEQPLPDKVIMHALDGPEEVIEVESRKEGKVELGVYKNYAVFTGWFTTVIICLSAILMQAIRNGNDLWLSYWVDSTTESRQTKYSLSFYLGILCLLCIMNSFFTMVRAFSFAFGGIQAATKVHNRLLRKLINAPVQFFDQTPGGRILNRLSSDLYTIDDSLPFMLNILLANFVGLLGIAIILSYVQVLFLVLLLPFWYIYSKLQDFFFAKFTEHITLYQKTSYTETVTSLWLSLRLQLLAAFIVSFIALMAVVGSHGSLPINFGTPGLVGLALSYAAPIVSLLGSFLTSFTETEKEMVSVERALQYMDIPQEEQAGCLKLNPYWPNEGVIEFYNVTLKYMPSLPASLSNLSFTIARGMQVGIIGRTGAGKSSVLNALFRLTPVCTGSITIDGMDIKNIPVRDLRAHLAIVPQSPFLFEGSLRDNLDPFKMNDDSKIWNALEKCHVKEEVEMAGGLDILIKEAGMSFSVGQRQLLCLARALLKCSKVLCLDECTANVDILTASLLQSTISSECKGMTVITIAHRISTVLNMDNVLILDHGSLVEQGNPQVLLKDNSSIFSSFVKASSM >OIW15777 pep chromosome:LupAngTanjil_v1.0:LG03:601920:606198:-1 gene:TanjilG_04312 transcript:OIW15777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSRLESHLAQQIRRDKLRIQDSSHHNLQEFLNNNPGQSSLHPGFNLDQVRNVRNASILVDEPVTGYSSSEMVNFSNPLSAPRNNNSLQCQELGASEPAIKVMMHQFPSSSISLGNWRNSATHQGVDWNVLNYASSSMSSERNQNSFLASEINNNVSSNYQHFGKPCFNELTETQSSSLSREIHKKLGVNVMHHNPSSSYQNALQDIVKSSASISACNGSDMASLMQQTGQNIWVSNANELAQLQPSYLNQQNQQSHFTCGNLWTNKPIPSDSTPQSLSLSLSSNSQSKPFVSHFEEASASASAFASDHGISKDPPKHVKPTIISRDCGKSPLQDYVRISPNSNSTSYQNVGPLGPFTGYATILKSSRFLNYAQQLLQEFCCVSDPRFANAFDVPNRVSEEVSASTSTDAVIETRGVAPKGGNLGSSSSMFYRANENITERVTESSFGFSPQPDYQQKKAKLLYMQEEVGWRYKQYHHQMQMVVSSFESVAGLSSATPYVSLALKSISRHFRCLKNLISDQLKLISEVLGEDLSIPTTGTSYKVDNNITNPRSGDQRFQKNKGIRNNKALLEPQQHVWRPQRGLPEPAVAILKAWLFEHFLHPYPTDNDKHMLATQTGLSRNQVSNWFINARVRVWKPMVEEIHMLETKGITSDNQNTNENERTEGGTRNPRMDKPLSKFGMYSIHESQFQCMEMGSTIADESGLNHEIQQWSQEKRSKLEFRLSNNSSMHGSMTGFLPYRHRGGVEVEGLGSVSLTLGLRHGVEGVQNQQQLQEEQLIHHFGGHIYDP >OIW15207 pep chromosome:LupAngTanjil_v1.0:LG03:16756143:16761622:-1 gene:TanjilG_08799 transcript:OIW15207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIHQNPVALRMHEQSGNKGKYTFIQAHRAWLSKFLLFWITLMALISWCIYSNMDADTKVRRREALGSLCDQRARMLQDQFSVSVNHVHALAILVSTFHYYRSPSAIDQETFMEYTARTAFERPLLSGVAYAQRVVNSERERFEMQHGWVIKTMQREPSQIRDEYAPVIFAQETVSYLESIDMMSGEEDRENILRARATGKAVLTSPFRLLGSHHLGVVLTFPVYKSKLPPKPTVEERIKATAGYVGGSFDVESLVENLLGQLAGNQAILVHVYDVTNSTNPLIMYGNQYEEGDGSLIHESKLDFGDPYRRHQMICRYHQKAPTNWIALTTASLFFIILLLVGYILYGAGNHIVKVEDDFHEMQELKVRAEAADVAKSQFLATVSHEIRTPMNGILGMLGLLLDTELSSTQRDYAQTAQACGKALIALINEVLDRAKIEAGKLELEAVPFDLRSILDDVLSLFSEKSRHKGLELAVFVSDKVPHIVMGDPGRFRQIITNLVGNSVKFTERGHIFVKVHLAENRKSTMNGKSEMFLNGGPDEVLHTSGGYHSKTLSGCEAADERNNWDNFKHLIADEEFFFDASIKKTASSESYEQITLMVCVEDTGIGIPFSAQDSIFMPFVQADSSTSRNYGGTGIGLSISKCLVELMGGKINFISRPQVGSTFSFTADFGMFNNPTTDVKKHNLEDLPSSFRGLKAIVVDGKPVRAAVTRYHLKRLGIQAKVANNINKAVSLCGKNGSLTSALFQPDIIIVEKDSWVSGEDGIFFNVWQLDWKQNGHVFKMPQMILLATNISNAEFDNAIAAGFSDTVIMKPLRASMVAACLQQILGMGKKRQLGKDNPNGSTFLRSILSGKKVLVVDDNVVNRRVAAGALKKFGAEVKCAESGKSALEMLQLPHSFDACFMDIQMPEMDGFEATRRIRKIESKANEEMNSECIEGGNGMKSEFHIPILAMTADVIHATYDECLKCGMDGYVSKPFEEENLYQEVARFFMTKPMLDS >OIW14872 pep chromosome:LupAngTanjil_v1.0:LG03:24352247:24354548:-1 gene:TanjilG_30591 transcript:OIW14872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASIASSFFSSSITTLKCLRSKNFSIDPPLLTTSTNRTMLPSLSLSYIVEPLSIGTMSQKLWLPRISAAVAEQELVVDAEEKVEENEMGEEAVVAEEDTENSISTKLYFGNLPYSVDSAQLAGLIQDYGSAELIEVLYDRGTGKSRGFAFVTMTCIEDCNTVIENLDGKEFMGRTLRVNFSDKPKPKLPLYPETEHKLFVGNLSWSATSESLIQAFQEYGNVVGARVLYDGETGRSRGYGFVCYSTRAEMESALASLDNVELEGRAMRVSLAEGKRSQG >OIW14501 pep chromosome:LupAngTanjil_v1.0:LG03:27610997:27615002:1 gene:TanjilG_12094 transcript:OIW14501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFGGAAAPLSARILPSATSASLSLSSSSFECLRYSPRISNLFLNQRRVSEVRVSSRGYGSISAVASDPDQLKNAREDIKELLKTKFSHPILIRLGWHDAGTYNKNIEEWPQRGGANGSLRFEVEQKHAANAGLVNALKLLQPIKDKYSNVTYADLFQLASATAVEEAGGPKIPMKYGRVDVTSPEQCPEEGRLPDAGPPSPADHLRQVFYRMGLNDKEIVALSGAHTLGRSRPDRSGWGKPETKYTKDGPGAPGGQSWTAQWLKFDNSYFKDIKEKRDEDLLVLPTDAALFEDPSFKVYAEKYAGDQEAFFKDYAEAHAKLSNLGAKFDPSEGIVIDGSPNA >OIW15641 pep chromosome:LupAngTanjil_v1.0:LG03:4597565:4601665:-1 gene:TanjilG_08217 transcript:OIW15641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTRSNTFTMILLIFTLLALSSAIDMSIISYDKTNIHRTDDEVMTLYEEWLVKHGKLYNALGEKEKRFEIFKDNLRFIDEHNDYDVNRTYKLGLNRFADLTNEEYRAKFVGSRIDSNRRVGKLRSRSNRYAPRVGDKLPEFVDWRKEGAVVGVKDQGGCGSCWAFSAIAAVEGINKIVTGDLISLSEQELVDCDRSYNEGCNGGIMDYAFEFIIDNGGIDSEEDYPYKAVDGRCDQYRKNAKVVAIDGYEDVPTYDEKALQKAVANQPVAVAIEGGGREFQLYESGIFTGRCGTALDHGVNAVGYGTENGKDYWIVRNSWGPNWGENGYVRLERNLANSRAGKCGIAIEPSYPVKNGQNPPKPGPSPPTPVQPPSVCDNYYSCTASTTCCCIFEFGNSCFEWGCCPLDGATCCDDHYSCCPHEYPICNVNAGTCLRSKNNPFGVKALKRTPALLRASVGSENEVSSA >OIW15558 pep chromosome:LupAngTanjil_v1.0:LG03:5388550:5391718:1 gene:TanjilG_01081 transcript:OIW15558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTMNDINSNNGDGSNHNWLGFSLMKMENNHHDDDDQVQDHHHYYHNSQQDSTVSNTSVPTNFYWENSDFHSLPLSMMPLKSDGSLCILEAHTRSQTQDFLGGATMGNDQYGGSEREAMALSLNSIYYNQNPHESQTSRDLLSDPFRQQHPYYSGFACNGIYQPPMMEQETKQTHVTVCSSSQIPQVGDEGIACFKSWENQLNNNNTSIGDDVGASGSGSGGVSSVQHCGNLQALSLSMSPGSQSSCVTIPSVTTTNSVAIETKKRGSAKLGQKQPVHRKSIDTFGQRTSQYRGVTRHRWTGRYEAHLWDNSCKKEGQTRKGRQGGYDMEEKAARAYDLAALKYWGSSTHINFPVENYRMQLEEMKNMSRQEFVAHLRRKSSGFSRGASIYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSSQEEAAEAYDVAAIKFRGVNAVTNFDISRYHVEKIIASNTLLAGEQARRNNKESEPRTKAIEYNVVPSQINNKEVEAENNNNNNNDTNVSDWKMALYHESPQQQQQSNSNSCGQKAMNCGNYRNHAFSMSLQDLIGIDSLGSSHQTTMLDHDSTTKLGTHFSDQSSLVANLSSTRECSSDKTCPTMFFPKPPIGSTKIVSPIATTGVSSWFPSPAISMSQLPVFAAWNDA >OIW15687 pep chromosome:LupAngTanjil_v1.0:LG03:3668456:3670684:-1 gene:TanjilG_09625 transcript:OIW15687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKLPIACVLSLSHASLDSIFYYWRSLSDINSLWQPLGKDLIKFFSLKDLWDCYYEWSAYGASTPVMLENGDIVVQYYVPHLSAIQIYTNKSVAASRNRREDSSDGNEFESDSWSDDSGSDKLSRSLSDTSSKAWDSISEDSSFDQEGSSPRDKLGHLYLEFTETTSPYSRIPLMEKITELAKTYPALMTLKSVDLSPASWMAVSWYPIYTIPSRKNDKDLEAGFLTYHTLSSSFEDCAMENDDDFDLGKDICCYNEWRSIVKERLKKKESGCISLPPFGLATYKMQENLWLKPDPRDYERVCYLYSAAESWLKQLNVYHHDINFFTFHPSIL >OIW14719 pep chromosome:LupAngTanjil_v1.0:LG03:25501559:25501852:-1 gene:TanjilG_33061 transcript:OIW14719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKQILKRCSSLGKKNNGSYNDYDDGLPVDVPKGHFAVYVGESRSRYIVPISLLTHPHFQSLLHQAEEEFGFHHHMGLTIPCEELLFRSLTSSMLKS >OIW15146 pep chromosome:LupAngTanjil_v1.0:LG03:20652939:20653544:1 gene:TanjilG_14145 transcript:OIW15146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIMEQKTLILFAMTTKELIIITIMEDNDRAEFFDLDDMDVDDNDRAEFSDLDDMDEDDNNNGELQLMIFPDMFGLRQYDLSLTTLPTLPAYIHISGNYNYKSTVFLINSDTSVFLICPAPPLPVSPLDKAENDDNNNNNQPIYRAITMPFVLTLPFNMANTNIVIHIYIRVSVRRVIVYATAQVGVTDCAAYLTMPAPQT >OIW16012 pep chromosome:LupAngTanjil_v1.0:LG03:2373888:2375842:1 gene:TanjilG_04547 transcript:OIW16012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVENLPPGFRFHPTDEELITYYLIRKVSDTSFTSKVITTVDLNKSEPWDLPGKASMGENIWYFFSMRDKKYPTGLRTNRATESGYWKTTGKDKDIFCARVLVGMKKTLVFYKGRAPRGEKSNWVMHEYRLENKHGFLHSQDEWVVCRVFQKTAAAKKSQQISSSQPESPCDTTSMVNELGDVELPNNLNNVTNSSTAFININSSGQTYNNDLSNVNTNMNFTMNLPSDVPSIPSWSSGLLNPNNISVNSLLLKALQLRNYQQQREVAAASDHFASYNNMPQQGISQVGTHHDLSSSNLSASSSSKVLECMLQQEQPFNLDSIW >OIW15516 pep chromosome:LupAngTanjil_v1.0:LG03:6199422:6199679:-1 gene:TanjilG_27367 transcript:OIW15516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYMNRICMAATVAIAQGHTDPSHKWKTTLNSIHHNRTCLFSAGGSSELRPFSAVMGSEFTGAVTENSSDDSLRKVMYLSCWGQG >OIW15768 pep chromosome:LupAngTanjil_v1.0:LG03:537305:541735:1 gene:TanjilG_04303 transcript:OIW15768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGCLSCLGETEEDENSCNGEVTSNTTSGDDSDMHVRPKRSEEILNFRAENGMICRQFPVKETHKLDRSEDENGNKMINEYVREHKIGSGSYGKVTLYRSCLDGKHYAIKAFHKSHLMKIRVAPSETAMTDVLREVFIMKMLGHPNIVNLVEVIDDQESDHFYMVLEYVEGKWICEGSGRSCALGEETARKYLRDIVSGLAYLHAHNIVHGDIKPDNLLVTRHGTVKIGDFSVSQAFEDDNDVLRRSPGTPVFTAPECCLGLTYHGKASDTWAVGVTLYCMILGEYPFLGDSLQDTYDRIANNPLVLPDNINSELKNLIEGLLCKDPRLRMTLSDVAEHRWIIGDDGPISQYLCWCKRKSLESEDFISDQQPLLTK >OIW15255 pep chromosome:LupAngTanjil_v1.0:LG03:15620011:15622062:1 gene:TanjilG_16505 transcript:OIW15255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCNKDEALRAKEIAERKFSEREYVGAKKFVLKALNLYPALEDLSQFLTTVDIYISAENKISGEMDWYGILGVSPFADDETVRKQYRKLALTLHPDKNKTLGAEGAFKLVSEAWSLLSDKTKRLAYNQKRTGSNPNDAGSQSTAPSSNDIYNFKKNVTSNVRTGTNARAPSAPVPPPQKRAETFWTICNQCKTHYEYLKVYLNQTLLCPNCNEAFKAVERSPPPNVFKPPNWSSHQQHQNARHHAGTNNTSVQWDSHRSSSVAAQIVQQASEKVRREGAQSFSEWERKSTNGSKKRRTDNIHMNGYQGHMANHTGMGHGAAGVGSFSQPGKANMETQRNYGFSGVTGKHHSTRELSVFELRNMLMDKARIDIRKKFKEWRSVAEAKSTNKDRGNKRQRSTYNDKASSEKHVESTDNGNRRLVVDSFPVTSDNAVKKSEAYVTINVPDPDFHNFDLDRSENAFEDDQVWAAYDDDDGMPRYYARIHKVISTNPFRMQISWLNSRSNSELGPIDWIGSGFYKTCGDFRAGKHELSESLNSFSHKVRWTKGNRGVIRIFPGKGEIWALYRNWSPDWNERTPDEVIHEYDMVEVLDDFSEEQGITVTPLVKVAGFRTVFQRHMDPNQVRRIRKEEMFRFSHQVPNYLLTGQEASNAPKDCRELDPAATPLELLQKMTEADEASPDNV >OIW14415 pep chromosome:LupAngTanjil_v1.0:LG03:29403200:29411043:1 gene:TanjilG_20861 transcript:OIW14415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRCRATSRPPPPPPDDDPNLRANLAKRKRAGWNVDILDISSTGQGILADVKVSLYHCNYCNKDVSGKIRIKCVVCQDFDLCVECFSVGAEVTPHKSSHPYRVMGIEMYGSGNWNEVAEYVGTKSKSQCIDHYNAVYMNSPCFPLPDMSHVMGKSREELLASAKGREVKKEFPPSAELTLKEEPPFSEGINSEESKMVETTNQTMSKLSSVCSKTYSSTIKKASNISQNNDGVKVEEPQVDRSIGEKKPKLSAEDRPSMTELSGYNFKREEFDIEYDNDAEQTLAEMEFKDTDTDSEREVKLQVLRIYLKRLNERKRRKDFVLERNFLSPDPFEKSLSAEELEICQHYKVFMRFHSKEEHKELLKNIIEEHRLVKRIKDLQEARTAGCRTAAEAYQFVEDKRTKVAEQSASKESGQIGTTTKILGRPNSLKGDIDSSPQGLVKGTTGPFSGVKDSPAIQAISNSLEEWDISDFTGAELLSESEKKLCNEIRILPSHYLNMLQIMSLEISKGNVTKKSDAHKLFKVDPSKVDRVYDMLLSKGLF >OIW15572 pep chromosome:LupAngTanjil_v1.0:LG03:4948542:4949462:-1 gene:TanjilG_01095 transcript:OIW15572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKPFLVCGTFHHEDDNPLLVSPGCSPRKSKRKVDNNPYSTRGLDKFSELLADLDEKRQKIYSQMNPQDISFIRFVYSKTDDFVPIVVKVRNKDDKKQHKSEELRVVKARNLTPISESMVEKSGTESNAINVEERREQPKFEFEAKESKKSFIWSVKKWDMWKPSFYVPMVMILILMFLTMFGRSFATICTCVLWYIIPTLKDNNTLSSNPRKSMKKKDYVRGLSEIKKVVLTNERSTQKKKDYVRGYSEKKMVVNEGMKKKEHIRRWSEKKIETEGLVSLKSDHNPEASKDYKSSTPKHGHKKSW >OIW14521 pep chromosome:LupAngTanjil_v1.0:LG03:27418172:27421183:1 gene:TanjilG_04954 transcript:OIW14521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIYEPFQQVNMWGDNFKVEGGLNSISSPMLLVNTTMEAKSEYIPHEPMEPCGNDQESTSTNKDVSKVLRRLAQNREAAKKSRLRKKAYVQQLETSRLKLMQLELEIGKARKQGMYIGGTTFDASYMGSHGTLLLHPGIVAFEIEYGNWVTEQHRWNEELRNAFQTNASDVQLHILVKSVLNHYSNLFRMKADAAKLDVFYLISGVWKASVERIFLWIGGSRPSQLLNIIVPQLEPLNDQQMASIHNLRLSSQQAEDALSQGLDKLQQSMVHNIVVDQLGVGNFEFQMAIAMEKIEALEGFVNQADHLRQQTLLYMSRILTTHQAAQGLLALGEYLHRLRALSSLWANRSCDST >OIW15127 pep chromosome:LupAngTanjil_v1.0:LG03:21082908:21083060:1 gene:TanjilG_09058 transcript:OIW15127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSTRRVVLTSDRKILLSRKSDTSEFCHLCDASSHFPRTDKWVETELGIK >OIW14628 pep chromosome:LupAngTanjil_v1.0:LG03:26258651:26259943:1 gene:TanjilG_32970 transcript:OIW14628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKAKESNVVGKEKKTNTKKTTTTNLIHKATTSNPTEKPVPNYLKPTISSSLVESHSINFIKNDAPKKTNINRRRSFDKPPSPSRLPKQTHPSPSSSSSSSSRQHKALVSPGPRKRSLSLPLKSSNHSKTIPQIPKEGKAHQPALFDKSIGKKSRSPSPSTTSTTTKEVHDDAFAFASAKSTNAETTTEGLCVETEPEVKEDINNRKENVEAGELEKVEKQEEDENKVEYDIPPHVESEHENENEHDHEVEESDQFHHVHVDPDEKLISTVPEVEAAKEEKHDEDENRNQEECSNINGTTPEINHSTTEEEGEKKIEEGHKSENDNEEEAVVEEEKKGVEVGGMSEDVNVKKEGQDDDEEEEEKMKREVEEVNGEELTQPMQKVEDGMKECQVSNDVIEENASKLLEARKNKVRALAGAFQTVIDHQTI >OIW15802 pep chromosome:LupAngTanjil_v1.0:LG03:803770:805549:1 gene:TanjilG_04337 transcript:OIW15802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEHLASIFGTEKDRVNCPFYFKIGACRHGDRCSRLHTKPSISPTILLSNMYQRPDMITPVVDPHGNPIDPHKIQDHFEEFYEDLFLELSKCGDIESLNICDNLADHMVGNVYVQFREEEHAANAVRNLSGRFYAGRPIIVDFSPVTDFREATCRQYEENTCNRGGYCNFMHLKKVGRDLRRQLFGRHHIRHSRSRSPYRHQSHEEKSHRGHSSRRYDDRDHHHESRRRKHRSTSPEHRRGRSRSQSPRARKNYSPVREGSEERRARIEQWNREREEREHVSKVDTEETNNGHTQNGSKFRGYQQQPEQQPSS >OIW15614 pep chromosome:LupAngTanjil_v1.0:LG03:4324749:4329623:1 gene:TanjilG_08190 transcript:OIW15614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGPLDRFARPCFEGSSGNEERRERKSDFENSEDDRRTRIGTLKKKAINASSKFRHSLRKKSSRKKSANRGSSVSIEDIRDVKELQAVDAFRQALMLDNLLSARHDDYHMLLRFLKARKFDIEKAKQMWANMIQWRKDYGTDTIMEDFEFSELNEVLQYYPHGNHGVDKEGKPVYIERLGKVEPNKLMQVTTMDRYLRYHVQGFEKTFAVKFPACSIAAKRHIDSSTTILDVQGVGFKNFTKSARELVMRLQKIDGDYYPETLCRMFIINAGPGFKLLWNTVRTFLDPKTTSKISVLGNKFQSKLLEIIDVSELPEFLGGTCTCIDQGGCMRSDKGPWQDPDILKMVLSGEVQCSRQIVTVSNEGTVIESEKTSYPMQIRSSDTSTAESGSEVEDITSPKANGKYINPRLTPVHEEARSIRKVSHAGGFSEYDEYVPMVDKTVDVAWKENQVAAEKSSSSTEKILLTTGRSPDGHCAHIWAIIVTFFVAIFTFARSIAFLITKRIGDSDATQNISSMTVASTYKEDSCPPSYALKRLGELEEKVDILQSKPNVMPYEKEELLNAAVYRVDALEAELIGTKKALYEALIRQEELLAYIDRRERIKFQKKKFCC >OIW15378 pep chromosome:LupAngTanjil_v1.0:LG03:12583074:12586369:1 gene:TanjilG_20290 transcript:OIW15378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSRTNSVSWMDHTNNIEVPNTNTCGFVETRDDELGSICGFKSPMMEVFDEEWYIANNSIQNNPEDMIRDMTFSPNFADPSDNLLLHHNVSVDSSSSCSPSSSMFNNLDPSQLHQCFLSPNNAKLNPLSSLLKNNNNNNNNNPFEMGCEVGFMEPQASTTSPSLLNRTSSSLLGGFNDLNTKNQLVLPNLCSSAATSIIQLHQNTTKFAGFQNNFEEGSGGNKAMFVNRSKILRPLDSLPPSGAQPTLFQKRAALRKNLGSESGVGVDVGGSDRKKMMMKMSTTVELDVKDGSFDGSILNYDSDDLTESNNNINKVEENNGRNGGNSSNGNSTVTGVVDQKGKKKGMPAKNLMAERRRRKKLNDRLYMLRSVVPNISKMDRASILGDAIEYLKDLLQRINDLHNELESTPAGSSLTPVSTFHPLTPTPTALPSRIKEELCPSSLPSPNGQPARVEVRLREGRAVNIHMFCSRKPGVLLSTMRALDNMGLDIQQAVISCFNGFAMDIFRAEQLVEGQDVHPEQIKAVLLDSADFNGMI >OIW14743 pep chromosome:LupAngTanjil_v1.0:LG03:25252856:25256603:1 gene:TanjilG_05364 transcript:OIW14743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPKAAKRTLESYIVKHINKSIKAGDCVMMRPSDPSKPSYVARIERIEGDWRGANVKIHVQWYYRPEESIGGRRQFHGSKELFLSDHFDIQSADTIESKCTVHSFKRYNKLDAVGNDDFFCRFEYNSSTGAFNPDRVVVYCKCEMPYNPDDLMVQCEGCSDWFHPACIDMTAEEAKRLDHFFCESCSAEGQKKLQNSHSSVRLSDTKVDAKRRRR >OIW14859 pep chromosome:LupAngTanjil_v1.0:LG03:24468819:24469478:1 gene:TanjilG_30578 transcript:OIW14859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRSSSNATSSSDSSSSESNHPRNPNKPERIKGPWSAEEDRILTQLVERYGAKNWSLISRYIKGRSGKSCRLRWCNQLSPAVEHRPFSAQEDDTIIAAHDQYGNRWATIARLLPGRTDNAVKNHWNSTLKRRARDQKRGSFVATTSTLGERGNSSSEDPMTVLTLAPPGIDNGGAVEKERQSPEEGVPSGFWDMMRDVIAREVREYVSSNFADNNSRFH >OIW14662 pep chromosome:LupAngTanjil_v1.0:LG03:25917325:25919537:1 gene:TanjilG_33004 transcript:OIW14662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTRETAFSIRKLPLVKAKRYLEDVLAHKQAIPFRRFCRGVGRTAQAKNRHSNGQGRWPVKSAKFILDLLKNAESNAEVKGLDVDALYISHIQVNQAQRQRRRTYRAHGRINPYMSSPCHIELILSEKEEPVKKEPETQLATKKKSQALRSGASS >OIW15149 pep chromosome:LupAngTanjil_v1.0:LG03:20671202:20674615:1 gene:TanjilG_14148 transcript:OIW15149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKKASETFSKSMIEDMHKWGCLKQTGVSLRYMMEFGSKPNERNLLISAQFLHKELPIRIARRAIELENLPYGLSHKPAVLKVRDWYLDSFRDLRTFPDIKNMNDEKTFTDMIKAIKVRHNNVVPTMALGVQQLKKGMDPKIVYEDLDEIHQFLDRFYMSRIGIRMLIGQHVELHNPHPAPHCVGYIDTKMSPVEVARNASEDARSICCREYGSAPDVHIYGDPDFTFPYVPAHLHLMVFELVKNSLRAVQERCVDSDKVTPPVRIIVADGLEDVTIKVSDEGGGIARSGLPKIFTYLYSTARNPLDEDADVGVSDNVTMAGYGYGLPICRLYARYFGGDLQIISMEGYALRVGNLLSLLSILHMVGF >OIW14458 pep chromosome:LupAngTanjil_v1.0:LG03:28736934:28739802:1 gene:TanjilG_19506 transcript:OIW14458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRGKNMIGKEKWLPQQNGKVALELTEEKQQLESWMQEDGREVFCPIVGCGARLISMEDFENHYNARHTAVCSVCSRVYPTSRLLSIHISEAHDSFFQAKVARGYDMYECLVEGCGLKFKSYNSRQQHLVDKHKFPTTFEFYKKARSSKKHRLKSQRKQPFHKEDASGLMEVENAAIDDLASAVSKLSTSDSTPSSISFGHRRKGMTFVPRSVRHGSGSNP >OIW14869 pep chromosome:LupAngTanjil_v1.0:LG03:24391534:24395283:-1 gene:TanjilG_30588 transcript:OIW14869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSLCPKTFIYPTSSFSHHAPLLFSFHFFTNPFTFHPRISALKASASDGDNVLGKPLIHQGKEFTTILDEQGDNDIIAMKKTKAYAYEEVEEDDDDDDSVEEEKWVDWEDQILEDTVPLVGFVRMILHSGKYESGERLTPEHEKVILEKLLPFHPEHAKKIGCGIDYITIGYHPNFDRSRCLFIVRKDGELVDFSFWKCIKGLIRMNYPLYADSFILRHFRKRSPSL >OIW14968 pep chromosome:LupAngTanjil_v1.0:LG03:23503536:23507060:-1 gene:TanjilG_30687 transcript:OIW14968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEKEENQNLEDPYGEVVEEYDESRKGVCGCLCIPVHWFRMLSREMHWSFVFGVIVIYGVSQGLGGALSSVGTKYYMKDVQKVQPSEAQVYAGITYIPWIVKPLWGLLTDVLPIFGYRRRPYFILAGLIGVIGMLLLSLHKNLHLVLALLSLTAGSAGVAIADVTIDACVAQNSISHPSLAADMQSLCALSSSVGALLGFSISGIFVHLMGPMGVFGLITIPAGLVILVGFVLQEPHMPNFAYNQVNQKFIDASLAMWRTLKSEEVWRPCLYMYLSLALSLNILEGMFYWYTDKEAGPAFSEKTIGFMFSVGSVGSLLGAILYQYALKDYAFRDLLFWTQLFFGLSGMLDLIIVLRLNLMFGMPDYVFIVIVESVAQMTNRLKWMPMLVLSSKLCPSGIEGTFFALLMSIDNAGVLSASWAGGILLHVLKITRTKFDNLWIAILIRNILRITPLCLLFLVPKADPNSSILPSECMASNMVVEVDNSETENIELVSLVNNVDGK >OIW15594 pep chromosome:LupAngTanjil_v1.0:LG03:4130745:4131410:1 gene:TanjilG_08170 transcript:OIW15594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAFKKICVFFCLILTLPIAELKGDELKNSTVHSEGNATLVTLSHQTKNEEVKGKHLDKVVFNTSKGSWHNRGGSGGGGGGGGGFKWGWGGGGGGGGGGGGGGGWGWGGGGGGWWKWGCGGVKGNIRHHHLNRKGMFEKEEYVMGEFAQCMGKTRCKGMRLDCPLHCGGPCFYDCHHMCKAHCRHT >OIW15442 pep chromosome:LupAngTanjil_v1.0:LG03:9062317:9062493:-1 gene:TanjilG_28641 transcript:OIW15442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMKNVACVVLFATAFMSATMAHHGKHVHAPALGPRSGATALGSFIGASLLSFIAYLV >OIW14942 pep chromosome:LupAngTanjil_v1.0:LG03:23749287:23749988:1 gene:TanjilG_30661 transcript:OIW14942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDMGRRFPHDNISLTHPIFYLSFIAVGLAATIAIVTALCGVRFWKKSPSPTQSSSDPIELEKDLDSSSPPSNMVASDHVENHENMRETIENNDTQTKELPLPPSMQQPHDLFRSSSMMKRATSERRTSFSLSIKMPRSFSVAKMKDLMEDKGNTIKGKLKTEDSVWMKTIILGEKCVPDEEDPVIYEGRGKKISAYHPKNSSSISVSRQCSFIDPDAISVPKSQSQEEKNPQ >OIW14978 pep chromosome:LupAngTanjil_v1.0:LG03:23421599:23423367:1 gene:TanjilG_30697 transcript:OIW14978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKCHRNNVGSIALDHRRATKTSTSGGHFRLWNSTLRRIIFDAVSCGSTSRYKYDNDGGDGEITSTASTSRREKHAEDQKTEKKVMNVAGNEMGAWRKKKEEELKEMKEMVKELEGEDLMKKRMAAKRVRMLAKEEGLEVRGTLAMLGVIPLLVGMVDHDENSVESQIESLYALLNLGIGNDANKAAIVKVGAVHKMLKLIDCSDSSVCEAIVANFLGLSALDSNKPIIGSSGAIPFLVRTLRNLDDKSSRQAKQDALRALYNLSITPTNISFVLETDLVSFLIHSIGDMEISERVLSILSNLVSTPEGRKAISTERDVIPILMDVLNWTDSPKCQEKASYILMIMAHKSCGDRHAMIEAGIASTLLELTLLGTPLAQKRASRILECLRIDKGKQVSGSYGGNLGATVSAPICGSSSSFTKTEGRSVEDEDMMSEEKKAVKQLVQQSLQNNMRKIVKRANLRQDFIPSERFTSLTSSFTSKSLPL >OIW15670 pep chromosome:LupAngTanjil_v1.0:LG03:3544007:3546722:1 gene:TanjilG_09608 transcript:OIW15670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPSNVLQNNGGGGGGNGLNHIEHQVSKLDTLAGVAIKYGVEVADIKRMNGLASDLQMFAVKTLRIPLPGRHPPSPIPTQSNGHAKQGDDSFGRRSLPRSKAGMKEPLQSSRPKAPKPELSPAMTILQKYYGLRSSKFTDTFEGTEMAAYTSASSDHSSDEWLPKASPISDFPSDHYPKSTNLVYDLLTGNNEVSEDVPLSEIGDVGSEKSDEKSVRRRQKAEVDGGDGSPERILKEGNSSGGSNGFSSTGKPLSGRTKSASRAVLFPESESGWLDSIAVGLGESILTNGFSGVRKSSSAMSLRDQERNNSATVWPPRWSLKPDLQAAIGKPIFDGLPIPTTSRRGKTALD >OIW15753 pep chromosome:LupAngTanjil_v1.0:LG03:439551:441865:1 gene:TanjilG_04288 transcript:OIW15753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKQLTGKKDDNPLHSAARAGHLAVLKDIFANAEEDELHELLAKQNQDGETALYVAAEYGYVDVVREMIQYYDLVDAGIKARNGFDALHIAAKQGDLDVLKILMEAHPELSMTVDPSNTTALHTAAAQGHIEVVKFLLEAGSSLATIAKSNGKTALHSAARNGHLEVVKALIQKDPVAATRTDKKGQTALHMAVKGQNLVVVEELIKADPSLINIVDTKGNAALHIAARKGRSQIIKFLLGVKETDLTAVNRSGETALDTAVVTGNHDVKAILLEHGAQSARALKPQATTSAARELKQTVSDIKHEVHYQLEHTRQTRKRVQGIANRINKMHAEGLNNAINSTTVVAVLIATVAFAAIFTVPGQFVDDPDNIPAGMSLGEANIAPQASFIIFFVLDSIALFISLAVVVVQTSVVVIESKAKKQMMAIINKLMWLACVLVSVALHVTILLARGDKLFPYMRRYIILLIYSPLFIS >OIW14780 pep chromosome:LupAngTanjil_v1.0:LG03:25039310:25043352:1 gene:TanjilG_05401 transcript:OIW14780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMASSWDGSGSQSDDSLLFDRLHIEPMYDAFVCPLTKQVMRDPVTLENGQTFEREAIERWFKECRESGRKLLCPLTLQELKSTELNPSMALRNTIEEWTARNEAAQLDMARRSLNSGSPENETLQALKYVQYICKRSRSNKHTIRQAGLIPMIVDMLKNNSRKIRCRALETLRIVAEEDDENKVVTGLLEMLAEGDIVRTIVKFLNNELPKEREEAVSLLYELSKSETICEKIGSINGAILILVGMTSSNSEDLSTVEKADKTLENLEKFENNVKQMAENGRLKPLLTQLLEGPPETKLAMAGYLGELVLNNDVKVLVARTVGPSLINIMKSGNMKSREAALKALNQISCEPSAKFLIEAGILSPLVNDLFAVGPNQLPTRLKEVSATVLASVVNSGEDFDSIPFGPNDQTLVSEDIVHNLLHLISNTGPAIECQLLQVLVGLTNSQTTVVSVVAAIKSSGATISLVQFIEAPQKDLRVASIKLLKNLSPNMGQELADALRGSVGQLGSLIRVISENTGITEEQAAAVGLLADLPERDLGLTRQLLDDGAFQMVISRVIAIKKGEIIGSRFTTPFLEGLVRILARVTYVLGDEPDALALCRDHNLAALFIELLQTNGLDNVQMISATALENLSLQSQSLTKLPELPSLSVCASIFTCFGKQQVITGMCRIHRGVCSLKETFCLLEGQTVRKLIALLDHTNVKVVEAALAALSTLIEDGVVIEQGVLVLCEAEGVQPILDVLLEKRTENLRRRAVWAVERLLRTDDIAYVVSGDQNVSTALVDAFQHGDYRTRQIAERALKHVDKIPNFSGIFPNVKTS >OIW15825 pep chromosome:LupAngTanjil_v1.0:LG03:946559:948757:-1 gene:TanjilG_04360 transcript:OIW15825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRKLMLIVVHNIEEKVGFGKGVAELYATIDLEKAPVGRTRIIDKEHRCKVSLYQDSHVPDNFVPKIPLSGGQTYEPQRCWEGVFDAITNARHLIYITGWSVYTEISLIGDSMRPKPAGDTTLDGLMATCDQETGNFFRNPDDGGSIIQDIELATMFTHHQKIVVVDSKLPIGGSHERRIASFVGGIDLCDGRYDTQFHSLFRTLDTAHLMTFISLTFVRVFRESASVQAILDWQRRTMDMMYKDIIQALKTRGIVEHPRNYLTFFCVGNRKIKKPGEYEPPQKPEPNSDYMRAQHNRRFVVYVHAKMMIVDVEYIIIGSANINQRSMDGARDSEVAMRAYQPYHLSTSQPARDQIHGFSMSLWYEHQKV >OIW15795 pep chromosome:LupAngTanjil_v1.0:LG03:751624:754493:1 gene:TanjilG_04330 transcript:OIW15795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPAEEDHGGATHHPSAPSHDEFFDLSTTVDPSYIISLIRKLLPSASNSNQGSLTESNEKEELPKSLSKNMDVDDCGEFSHQDSGSVSIGEEAWEECGCVLWDLAASKTHAELMVENFILEVLVANLTACKSPRVTEISIGIIGNLACHEVPVKHIVSTEGLIEIIVDKLFLDDPQCLCETCRLLTVGLQSGESITWAGALQSEHILSRILWIAENTLNLQLMEKSIGLILAMLESQQKVVDALLPPIMKLGLANILISLLSFEMSKLVSERISERYSILDLILRAIESLSVIDDHSQEICSSKELFQLACDLVKFPDKCEVGNCCVTAAILIANMLSDVDDHASEISQDLGLLAGLLDIFSFASNDLEARNAIWNVMARIMVRIRETEMSPSSVYSYVSVLVSKLELIEDELLNQQMVHSSHEQASLSSAGSTTDARNTSVSFYVN >OIW15643 pep chromosome:LupAngTanjil_v1.0:LG03:4651672:4658267:1 gene:TanjilG_08219 transcript:OIW15643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDSVVVLNFVNSTLDWVKLALDAPSARAVVFGFHIGGHLFVEVLLLVVILFLLSQKSYKPPKRPLTNKEIDELCDDWVPEPLIPSLTEEMQYEPPVLESAAEPHTIIGGKEVINFASANYLGLIGDKKLLDSCSSALEKYGVGSCGPRGFYGTIDVHLDCEARIAKFLGTPDSILYSYGLSTMFSAIPAFSKKGDIIVADEGVHWGIQNGLHLSRSTVVYFKHNDMDSLRNTLENITSKNKRIKKLRRYIVVEAVYQNSGQIAPLDEIIKLKEKYRFRVLLDESNSFGVLGISGRGLTEHYGIPAEKLDIITAAMGHALASEGGFCTGSARVIDHQRLSSSGYVFSASLPPYLASAAITAIDILEENPYLITKLKNNIAALWRELSNITGFTIASNPESPIVYLRLEKSTGSSKDDLHLLENIAERVLKEDSVFVVPSKRSTLDKCRLPFGIRLFVSAGHSESDLHKASESLKRVAALVLGGQ >OIW15683 pep chromosome:LupAngTanjil_v1.0:LG03:3651576:3652747:1 gene:TanjilG_09621 transcript:OIW15683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKRNGCPHAALASNATFLSAIFVVTLILVRLLYVVYCSGRPLSKRSSKPISTLIILGSGGHTAEMLNLLAVLQKDRFKPRSYVAAATDNMSLQKAQLLENSLVAEDGTKASDNTAQFMKIYRSREVGQSYITSVWTTLIALAHALWLMIKIRPEVILCNGPGTCIPLCAIAFMFKVLGIRWSSIFYVESIARVRRLSLSGLLLYKLRMADQLFVQWPKLQQQYPRAIYVGRLM >OIW14652 pep chromosome:LupAngTanjil_v1.0:LG03:26011927:26015336:-1 gene:TanjilG_32994 transcript:OIW14652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQLTATDNNHHHHHLLHPPPPPSLILTESSGDDPELDQFKAPKKRAETWVHDETRNLIALRREMDALFNTSKSNKHLWDQISAKMRENGFDRSPAMCTDKWRNLLKEFKKAKHQDNCGNVKMSCYKEIDEILRERVKNLQYKTPKVDTFMHFLDKGFEDTSISFGPVEATGRPTLNLERSLDHDGHPLAITSADAVAASGIPPWNWRETPENGGESQPCCGRVISVKWGDYTRRIGIDGTAGAIKEAIKAAFRLRTRRAFWLEDEEQIIRSIDRDMPLGNYTLHLDEGMAVKICLYDESDHISVHTEEKIFYTEDDYRDFLSRRGWTCLREFNGYRNIDNMDDLRPGAIYRGVS >OIW14905 pep chromosome:LupAngTanjil_v1.0:LG03:24129118:24131424:1 gene:TanjilG_30624 transcript:OIW14905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMLTLKYLQLVLLLVFSSRYTIAEKKTQYSKSTFIIHMDKFNMPTSFNNHLHWYDSSLKSVSDSAEMIYTYKHVAHGFSTRLTTQEADLLAKQPGILSVMPEVRYELHTTRTPEFLGLNRASTVLIASGKQSEVIVGVLDTGVWPELKSFDDTGLGPVPSSWKGQCETGTNFNLSNCNRKLIGARYFAKGYESAYGPIDETLESKSPRDDDGHGSHTSTTAAGSAISGANLFGFASGTARGMATQARVAAYKVCWLGGCFTSDIAAAIDQAIEDGVNILSMSIGGGTVDYYKDFVAIGTFAATAHGILVSNSAGNSGPSQGTLSNVAPWITTVGAGTIDRDFPAYVTLGNGKQYLGASLYNGKLPSDSPLPLVYAGNVSNDSSGNLCAEGSLIPRKVSGKIVICDRGGTARVAKGLVVKKAGGIGMILSNNEQYGEELVADSFLLPAAALGEKSSNEVKKYVFSSPNPTAKIAFGKTQLGVQPSPLVAAFSSRGPNAITPKILKPDLIAPGVNILAGWTGAVGPSGLDIDTRHVSFNIISGTSMSCPHVSGLAAFIKGAHPDWSPAAIRSALMTTAYRTYKNGQTIEDIATGLPATPFDYGAGHVDPVAALDPGLVYNATADDYLNFFCALKYTQIQIKLIARRDFTCDKRKNYRVEDLNYPSFAVPFETASGVKGGSHAPITVKYQRTLRNVGNPGVYKVTVSSQSPSVKIVVEPQTLIFKGLYQDLGYTVTFTSTSKPSGTTSFAYLEWSDGKHKVTSPIAFSWT >OIW14673 pep chromosome:LupAngTanjil_v1.0:LG03:25846503:25849837:1 gene:TanjilG_33015 transcript:OIW14673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLLVLSSSSFTSHHFPTRSSHNRHNSVSNFPSFNTNSIPQTLSPFPTRTRLLPTVPNSVLHQNSKFRLVPRTSVKNTDAETKDSSSSSTNLVGEDSAVFDLEEQKLSSWIYFTAILGVVLFILDVAWIDNSTGFGKPFVDALSQISNSHEVVMLILILIFAGVHSGLASLRDSGEKLIGERAFRVLFASLSLPLAVSTIVYFINHRYDGIQLWQVQDAPGIHELVWLSNFISFIFLYPSTFNLLEVAAVDKPKMHLWESGIMRITRHPQMVGQVLWCLAHTVWIGNSVAVAASFGLIGHHLFGVWNGDRRLAIRYGQDFDLVKERTSVVPFAAILDGRQKLPKHFYKEFIRVPYFVITALTLGAYFSHPLMHAASSNLHW >OIW14956 pep chromosome:LupAngTanjil_v1.0:LG03:23600547:23633522:-1 gene:TanjilG_30675 transcript:OIW14956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAVAIAGALSAHDRNILTAVNAGASRFALQFLQHNRGAILYNGFAYFKVICMLNNETRMAVGMSDRAYASDARDSTRVVYTVTMFTICQFKHIKDLTGFKVEEYYGAKGVDTWDLKIWEKEISENDVLVMTPQILLDALRKAFLSIEMICLMIIDECHRATGKHPYSKIMEEFYHKANEKPKIFGMTASPVVGVSSTKGCEGQISELEYILDSQRYTVEDRKEIDIHIPSAKESCRYYDQAQYPVLRLKSKIEALFEFNALFSELQSLTLREDVDYKFKKLHNGMFNKLEKILYCLEDLGPICAYEAAKMYHENFSKIIGGCEVYRKASLNCKLFMEKVMQLIEESLHLDDRKNSEVEFDYSKAVELRHISPKLLELIKIFQSLGESSKMSCLIFVERKLTAKLMEKFLKKVSQISHFTVSYITGGNTAVDALTPKKQKEILDSFRSGGVNLLITTDVAEEGIDVPNCSCVIRFDLPKTVRSYVQSRGRCRQPNSQFIVMLERGNLKQRNQLFDIIRSERSMTNAATNKDHNASNLRVFTVGKTNAYHVNSTGASVTLDSSVGLINRYCEKLPHDKYSRVKPTFEFQPMGGGYTCKLTLPPNAAFQVIVGPSGKDVHLARHLVCLEACKKLHQMGALNDHLVPLIKEEPSEADLIVKNKESSSGAGRGTTKRKELHGTTSIRALCGTWGDKLDGTKFHAYKFDFTCNIVSEIYSGFVLLVESKLDDDVGNMEVDLYLISKMVKASVSSCGQVDLDAEQMMNAKCFQELFFNGLFGRLVLRSKSAARGGREFLLQKDTNTLWNPTYLYLLLPLEKLDDSCMRSLTINWSGIKSCASAIEFLRKKFLLVAGNCCKDSDDTKITSPCHTSPMEVESQDKNSIYFANCMLDVNILKDVVVLAIHTGRIYCIVELDINLSAESPFDGNDEKSGGEPITFSGYYKKRYGITLRHPGQHLLRLKQSHNAHNLLLNFHEEDGRDKTSQTGLAQSKMPAHVYIPPELLYVLDVKRDVLRSMYLLPSLMHNLQSFMLSSQLREEIGGQTNNFNIPSPLILEALTTLRCAEKCSMERLELLGDSVLKYAVSCHLFLKYPKKHEGQLSGMRSLAVLSTLESMFEFSRWGGYYVAGGLIAALHVMKWLGIDAELEPSLVDKAITAASLHAYVPKVKEIGSLEMKIGYEFSIKGLLLEAMTHVSGKEVGIDCCYERLEFLGDSVLDLLITRHLYQSHTDIDPGELTDLRSASVSNENFAQVAIRRNLHLHLLHGSELLRSQIADYVKVISESEDNTRSFQGIRAPKPLGDLVESIAGAMLIDTKLNIDQVWKVFNPLLSPIVTPDKLELPPWRELNELCDSLRCFVKESCVKKGTMVHATLSVQLHNTLLVREGKGQNAKTAKGDAALHLLKDLEKRGISYSSSMSKRKRDASGLTDENSLKPVAQLEYKRHKVDETNLTANARTGLLPLKDTSMEASNLGASVPVIGSINMKKGGPRSALYELCKRLQWPLPEFDSTEYKDRSLFQGCEGLEGSKGQNCFVSKISLCIPNGTALECEGEARPDKKTSHDSAALQMLYELHRLGKLKITDYPTLSVSSK >OIW15226 pep chromosome:LupAngTanjil_v1.0:LG03:17617404:17640488:-1 gene:TanjilG_08818 transcript:OIW15226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRDFKFSNRSSGKLEEVENVDPLNSHAVQSCVESSRPPLNTIHDQDTISHSKLERTPSKKGRGYESSMLPLRTPDKHGGKHRFGWAQRNDTVSNLYDDKTGSGVGNGSLVNVTTPRVIRTVGRGVGSAATACSESNSTQSTPTKSVTKPPCSSIRSKVDGNNFSARLGNYAPALHKGGMFTPTVANTVRVPHFDLKEDSSFWINHNTQVIIRVRPLNSMERSTQGYNRCLKQESAQCITWIGQPETRFTFDHVACETVDQEMIFRMAGLPMVENCLSGYNSCMFAYGQTGSGKTYTMLGEIEDLDVKPSPHRGMTPRIFEFLFARIQAEEDSRRDENLKYNCKCSFLEIYNEQITDLLDPSCTNLQLREDVKKGVYVENLSEFEVESVSDIIGLLIQGSANRKVAATNMNRESSRSHSVFTCVIESTWEKDSTTNYRFARLNLVDLAGSERQKTSGAEGERLKEAASINKSLSTLGHVIMILVDVANGRQRHVPYRDSRLTFLLQDSLGGNSKTMIIANVSPSICCNAETLNTLKFAQRAKLIQNNAIVNEDSTGDVIALQNQIRLLKEELSTLKCRHNVSRSLFLPMASAMDIKQSMEDSCLGNAAEMVEQHDDDLLDYESKGVRMSHKQIISLETTLAGALRREQMAESSIKKLEAEIEQLDRLVRQREEDTRSYKMMLRFREDKIRRLESQLTGSIPTDTVFLEENKALSDEIQILQGKFEQNPEVTRFALENIRLQNQIKRYEEFYEEGEREILLAEVSSLTKQLLQFHGRNSEHGNSNYGIQPQNAQCCSKETDSVDLELKNTLDELHECRRNLNSCLEENAKLSRELESFRSMLSSTNVPKDSITEPSHEARTLPPKMLGKHEPQMLNQTEDTLNLELELDIIKIILKEERTFRGLLEEQTTCINRDFEMANDRLFLTSKQLDDAQYDLKEAKSVIEALESQQILSIKEIEELRNKNNHYLELMRKQEREIMGLKNQLASKEFRDNSPSNQSGIENEYPLQVKLRRMHDSLEKAKQLNMLYQSDHAFNVSNEEEMDEVRRQAEAETAEVIVCMQEELAMLQHQVHDSNLKEIEMKENILHLETQLNKVQENLLTAIDNNQSLSEEIGQKNMELRSLAEEWELLTTEIEEILVDGCETLVDASDELGYISNSLPQKRIWISEQVGTVVRKISEKESLIVELRRCLEDASNKRSDMECMLKSLRSAALVITEAHQKDCTEKEKEILLLTLQLSEKTSTVTQLEEQLMMAEDHIRKASICATVAFVVVDRFSELNHGYLDDLKCKDSLLSELSETNHRKDALLIDQSTLLVQAERHIAELEERCDNLGEKLSDEKTHSDALEQMLEDIEKNAISKTREQLASLQHGVSTIRSCMASSAEHSESLDNINSLDECTSYYDNDGVARTSFETNQNNVLDPRPVEEPIVDLADLPSKLDKKDQKSRRLFHDACERDFTISLLKKEIECALQSLKEVQDEMTRLHDEKKEMSMSVKKGRENIECLTTQILALQEAMSHFEEQSHVKIEILSQKFKYLEKTLKETGSHWYKTKESLELEVGQAKTISTQKAEEASCILAKFEDAQDMMKEADIMINGLMIGNESMKLDIKGLKKREAALLNEKGILISQVESLQAAVDLKHEEIENLVQSNLIETKALVVELDDVIKEVRLAMKENSMPFACELQCIKSQYLQSIKLVQPWLEEIWSEIFLKDCAMSVLHLCHMGILLETVTGMHAENGLLSHGLWESSSAINDLKEHNFRTRQELDMCRILKGKLLDDIKSGFDRITRKEVEVGEVTVKLNAFAKNISHLQLQEEMLLQRSNEMGSQLATLMKELNLSNANVVTTLLDQEKILERKVEAIESQAEIFMADWYAKDFESIILASESKNMACSIADMEDHFVKYLTLIETLKKEILFSQVESELAEQILMDKEDEVCLLRKEVKQEKVEKQTLLIELNQNILRIAEMGEVNKALEQNIESLKDVTYSNNALKSELVEVKEAKNRLLDKILHLEADHDKVIRDLIEKDVASEFYFQQTLDLEHQNKVLEQNMEFLKDVACSNNALKGELVQVQEAKNRLMDKIHYLEAGYDEVVGDLIEKDVVSEFSFHQISVLKHQNKVLAENIELLNDVTCSNIAIKGELVEVMEAKDRLQDKILHLETGYDEAIGDLIERDVASEFYFHQISVLEHQNTELRKVNCMLVNSSDKLQNEVNLLDSELTRIQTLQQVELSRKDDVITGLLYDLSLLQESASNSKDQKDEIDEMVATMEALEEELAVKSGELADVAAKCQLFEAQVLEKSNIIAALEVDLSKECEAINLQVSENQELRSHIEAVLAAKKVVEDGLRERTKIAESLEDEILEMNSVLSQMNDSIKSLSSDLDELSTERDKLQCQVICLEERLKHAEAQAEAGEAIVQDAQKMAERRKLYAEDKEAEVKLLERSVEELESTINVLENKVDIIKGEAERQRLEREDLELELHAMKDQMQNVTNADADMRRFVNEKEKNLEETLNHIQVLKRVVAGKDAEIEQLKAHISELNLHAEAQAKEYKQKFKALEAMIELVKPEGLSTQSTCVLSNNSDKNATKSRGSGSPFKCIGLGLAQQIKYEKIEELSAASLRIGELESQAVCQQKEIFSLKAKLAAADSMTHDVIRELLGVKLDMTSYKSLLDNQQVQEIIEKAQFHSIEPQEKEQEVIKLKNQLNEFIEERKGWLEEMDRKQAEMVATQIALENLRHQEQLLKTENGMLKIEHVSMKSKVTELEEEVNKLSGQQNLQQRIHHHAKIKEENNRLKIQNEELSAKLRRADIFLSRVKEDLARLRASAGVKPRIDFDEEQRLMIKLKEIEGEKLLLAQQLLRLSTNVLKVAGIVKPMSDINPLLAEEALEMLNNRITSLEMEQQDLKFKSLLDNQQVQEIIEKAQFHSIEPQEKEQEVIKLKNQLNEFIEERKGWLEEMDRKQAEMVATQIALENLRHQEQLLKTENGMLKIEHVSMKSKVTELEEEVNKLSGQQNLQQRIHHHAKIKEENNRLKIQNEELSAKLRRADIFLSRVKEDLARLRASAGVKPRIDFDEEQRLMIKLKVAGIVKPMSDINPLLAEEALEMLNNRITSLEMEQQDLKFKNKIINERIRLSEILPQTSPLNSRS >OIW15034 pep chromosome:LupAngTanjil_v1.0:LG03:22547977:22549062:1 gene:TanjilG_13961 transcript:OIW15034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSPNSLIPLFQTHDPDPNPNPSNRVPLHNLFIIKTRLDSLHHFLSHSINTHTLLTNHQLTSVSNDVVSSIHQLIVNGAALISVDAKLPDPPEAPVTKPILDKGKNLKIEVHDENGVEDFDGGGGGGDCEIVELDAVELLAEHTHFCEICGKGFRRDANLRMHMRAHGNKFKTPEALAKPLPTEDEGSVSSTRRRGVATQFSCPFEGCNRNKGHKKFKALKSIVCVKNHFKRSHCPKMYSCNRCHKKNFSVLSDLRSHMKQCGECSKWKCSCGTTFSRKDKLFGHIALFEGHMPALAVDDVEKGKKSAIAVAVEVDEDPMLINDFEFTEFGNCFVDDFDGDRFFDDLDKLDSFTSLDLPSI >OIW14730 pep chromosome:LupAngTanjil_v1.0:LG03:25367012:25367674:-1 gene:TanjilG_05351 transcript:OIW14730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYTKTLLIIASISSLLLSINAVPTTRSVIPSYGASSPTASRAGSLIGLSAIFKNAQAKAKQQVIRVSPKLISFCKNTENPALCAETINPYFQGQFNPIVALETEIEATLNQSLKVSNIIAQLLVHPSKEAVSALKICKSKYKNIVYTIKEALELLSQQNVVDAYYKFSSVLVHRSSCEDAISQSPGVENPFAEESLIVYQLGGNCMAILDGIINSTLRF >OIW15031 pep chromosome:LupAngTanjil_v1.0:LG03:22413835:22414389:1 gene:TanjilG_13958 transcript:OIW15031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSHKFPSFSFELRIIQAQNIESIKSTGNSLFARFYLPIGNNKRIQLNTKKVSSKATIPFWNESFGLECSCPQEFLDTLKKESMVLELRQSKKRIWGSHLVGKGEIPWKKILESPNIMFKEWVKIDLAQVQVEIKIRVISTEKEEISLNKWDKCGCKYDHDRHAWLSAEDYDIFTLGASLEAF >OIW15551 pep chromosome:LupAngTanjil_v1.0:LG03:5519056:5523535:-1 gene:TanjilG_01074 transcript:OIW15551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEYTNNNHLSENTDSSPRPNFLYSSLASGSGVGNHHHNHDHHEFPINTFHLQSDGGSYHPHHCFQSNQVPPHCVVKTEADSTNSQLHSPIFHYPLMRGNLHNNLMQQHQGGSQISSNEVEVIKAKIIAHPQYSNLLEAYMDCQKVGAPAEVVARLVAVRQEFEARQRSSVNSRETSKDPELDQFMEAYYDMLVKYREELTRPVQEAMDFMRRIETQLNMLCNEPVRIFSDKYEGVGSSEEEQDNNSGGETELPGIDPQSEDRELKNHLLKKYSGYLGSLKQELSKKKKKGKLPKDARQKLLNWWELHYKWPYPSESEKVALAESTGLDQKQINNWFINQRKRHWKPSEDMQFMVMDGLHPQNAATLYMDGPYMSDGHYRLGP >OIW15048 pep chromosome:LupAngTanjil_v1.0:LG03:22724468:22727059:-1 gene:TanjilG_13975 transcript:OIW15048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGGGSRKDKGSLMISNTNVFAALDSLKKKKKSDKKSEGKSSKGSESESEPQVFWAPAPLNVKSWADVDDDDDYYATTAPPQSVWNVPQPHSNVPKHESFEIWYFMIDRVFAVQDSESEDMLDEGDDDVEEEHDPEPDYSMKPEPELQKHNEVPAAPKEAERHLSKKERKKKELAELDALLADFGVTQKESIGQDESQGVSQDKKGVEADEDGEKKENTTVESKNAKKKKKKDKASKEVKETQEQPNSTDKNNEPNDTTGAENVEEETTTVDMKERLKKIASVKKKKSSKEMDAAAKAAAHEAVARRAKLAAAKKKEKSHYNQQPVR >OIW16035 pep chromosome:LupAngTanjil_v1.0:LG03:2582809:2586065:-1 gene:TanjilG_04570 transcript:OIW16035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVELDLSKKVADRYLKREVLGEGTYGVVYKAIDTETGQTVAIKKIRLGKQKEGVNFTALREIKLLKELKYSHIIELIDAFPHKENLHLVFEFMETDLEAVIRDRNIFLSPGDIKSYLQMTLRGLAFCHKKWVLHRDMKPNNLLIGSNGQLKLADFGLARIFGSPDRRFTHQVFARWYRAPELLFGTKQYGSGVDVWAAACIFAELLLRRPFLQGSSDIDQLGKIFAALGTPSPSQWPDMVYLPDYVEYQYVPTPPLRSLFPVASDDALDLLSKMFTYDPKVRISAQQALEHRYFTSVPLPTDPNKLPRPAPKREPKASDFDSHEGPTVLSPPRKSRRVIPGRDGFEGNSLQRDKVEDNVGNSRQTAGDTTGKNDPAPMSLDFSVFGLKAPSRPTINSADRSHLKRKLDLDFQQPE >OIW14746 pep chromosome:LupAngTanjil_v1.0:LG03:25243007:25243889:-1 gene:TanjilG_05367 transcript:OIW14746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLSRVFGRALFAAARSKSYTSPAGTTAAAAASSNGREGHNPLQEFFEPDRSVDDDKPVVYGRGWKASELRLKSWDDLHKLWYVLLKEKNMLMTQRQMLHSQNLRFPHPERIPKVRKSMCRIKHVLTERAIEEPDPRRSAEMKRMINAL >OIW15617 pep chromosome:LupAngTanjil_v1.0:LG03:4350203:4353295:1 gene:TanjilG_08193 transcript:OIW15617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTHIETVCVTGAAGYIGSWLVMRLIEHGYMVRATVRNPDNMNKLKHLVDLPGAKTNLSLWKADLTEEGSFDKAIKGCTGVFHVATPMDFESIDPENEVIKPTVNGLLDIMKACLKAKTVRRLVFTSSAGTVNVTEERKLDYDETCWSDVDFCRRVKMTGWMYFVSKTLAEQEAWKFAKENNMDFITIIPPLVVGPFLTSTMPPSLITALSPITGNEAHYSIIKQGQFVHLDDLCLAHIFIFELPKVEGRYICSSCDATIHDIAKLLNKKYPEYKVPTKFKNIRDDLEVVRFSSKKITDLGFKFKYSLEDMYTEAVETCREKGLLPKPVETPVNSTTHK >OIW15843 pep chromosome:LupAngTanjil_v1.0:LG03:1056485:1059945:-1 gene:TanjilG_04378 transcript:OIW15843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGALTGLQDHLKLAREYALEGLYDTSIIFFDGAIAQINKHLNSVDDPLIRSKWMNVKKALSEETEVVKQLDAEIRVFKETPMGNSRRAPSPPISVSSGKSNFAFQPLDEYPTSSSSGGGGGGGFNNPMDDPDVWRPPSRDTSRRPQAARNVKKDGAWARGATTRTSATGAAATNARAGGGGRAGRVNSGTRGSTSAKKGNASGRSSKTDSSNGDVDDGKSKRAQYEGPDPDLAEMLERDVLETSPGVRWDDVAGLTEAKRLLEEAVVLPLWMPEYFQGIRRPWKGVLMFGPPGTGKTLLAKAVATECGTTFFNVSSATLASKWRGESERMVRCLFDLARSYAPSTIFIDEIDSLCNARGASGEHESSRRVKSELLVQVDGVNNTSTNEDGSRKIVMVLAATNFPWDIDEALRRRLEKRIYIPLPNFESRKELIRINLKTVATDVNIDDVARRTDGYSGDDLTNVCRDASLNGMRRKIAGKTRDEIKNMPKDEISNDPVAMCDFEEALKKVQRSVSQADIDRHEKWFHEFGSA >OIW15808 pep chromosome:LupAngTanjil_v1.0:LG03:831781:834393:1 gene:TanjilG_04343 transcript:OIW15808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILNPSLKAFTNITTNPILRFARCHHFHYLTNTLLQPHAVALNPDPHIAHHMFDQTPLRTLNDYNHLLFRYSRNDQTQDAINLFVTLCRSGLPFDASTMSCFLKICASNFDGVMGKQVHCQCVKCGLVQDVSVGTSLVDMYMKTEAVRDGRRVFDEMSERNVVSWTSLLAGYSWNGLSDRAWELFCEMRVDCCMPNQYTISTVIAALVNEGMVGIGIQVHAIVVKHGFEALTPVCNSLINMYSKSGMLGDARAIFDNMENKDFVSWNSMIAGHVTNRQDLEAFKTFNRMLLSGVKPTYMTLASVIKSCASLKELGFVRRLQCRAVKGGFLSNQSVITALMVALSKCKEMDDAFSLFSLMHGGQSVVSWTAMISGYLQNGGTEQAVNLFSEMRREGVKPNHFTYSAILTVQLVVFISQIHAEVIKTNYEKSSSVGTALLDAYVKIGNINDAVKVFELIEAKDVIAWSAMLAGYAQAGETEEAAKIFLHLTREGTKPNEFTFSSIINACAAPTAAVEQGKQFHASALKMRFNNALCVSSALVTMYAKRGNIVSAHEVFKRQQERDLVSWNSMISGYAQHGQARKALEVFEEMQKQNLQVDAITFVGVFSACTHAGLVDEGQNYFNVMINDYQIDPTMEHYSCMIDLYSRAGMLEKAMNIVNEMPFPPGATVWRTLLAASRVHCNIELGKLAAEKLILLQPEDSAGYVLLSNMYAAAGNWQERTNVRKLMDKRKVKKEPGYSWIEVKNKTYSFLAGDSSHPLSDAIYSKLSELNIRLRDAGYQPDTNYVFHDIEEEHKETVLSHHSERLAIAFGLIATPPEISIQIVKNLRVCGDCHAFIKLVSLVEKRYIVVRDSNRFHHFKDGLCSCGDYW >OIW15846 pep chromosome:LupAngTanjil_v1.0:LG03:1090667:1093823:-1 gene:TanjilG_04381 transcript:OIW15846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNNKGSKRNLPSWMSSRDNEDGNSGKKSTLDSEDEKRSEFDTPNKKSKVQTQKSSVSTSLNSKSFNKLLDGVVFVLSGFVNPERGMLRSQALEMGAEYQPDWNSDCTLLVCAFSNTPKFRQVEADCGTIVSKDWIVECYTQRKLVEIESYLMHAGKPWRKGNTSHEVSEDKKSSMPKKSLKHVEKEFSSKPTASIKSKGKGTDVGRKYFVPSDMKKWAIDDLNKTIRWLESQEEKPDPSEITKIAAEGILTCLQDAISSLKEKQDIRKGTEDWSFIPRVVDELAKLDEEGSSTASMSKGDLHRHAVDCKRIYEEELNSLDDERKKNPKINKGQSSKSGRTNAKSCGANEYDSDETIEMTEQEIEEAYKSWPSKISEF >OIW14850 pep chromosome:LupAngTanjil_v1.0:LG03:24509044:24510768:-1 gene:TanjilG_30569 transcript:OIW14850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRVTFSFSGYVANNIVSSATSRISKNHSLQECWIRSCLFGTNHRTEPDPSTGVRSFHSDFRKSKSNCWVKNSASAAYTTLAGEIVGDNCKNPIVLGLISMMKSTVCVSGSSTAAMGVSGISPFKSSSIIPFFQGSKSIPCNESEVHESVDSNLSSKDFERSSWISRLLNVCSADAKAVLTAVTVSFLFKSYLAEPRSITSASMNPTLEVGDRILAEKVSFLFRKPDVSDIVIFKAPPILQEFGFSSSDVFIKRVVAKEGDYVEVRDGKLLVNGVAEEEEFVVEPLEYEMDQVVVPEGYVFVMGDNRNNSFDSHNWGPLPIENIVGRSMFRYWPPSKLSDPDTFLQKPTSGNNSVVVS >OIW14698 pep chromosome:LupAngTanjil_v1.0:LG03:25599629:25602526:-1 gene:TanjilG_33040 transcript:OIW14698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDTFPRSSPSSPLLLLFFFSVLFHDALVIPAADISTTTTTSQRASPALYDCNQCIGKQDISTTTLLRNNYPHHQKEEDIDIIAAYSDAYGHVRLARLKMSHLSHSWIWENPTTHATNNTSSSQDLIERSPNHETILKTPRSSTMTPVKIKRRIMREERRKVRASELMQQDKETDNRIISAAIQRSEGFDITIKGKYSIWRREYENPNSGSTLKLMRDQIIMAKAYANIAKSNNKPLLYDALVKHSRESQQAIGEATNDFELHLGALDRAKAMGHVLSMAKDQLYDCLLVARKLRAMLQSTENSVNILKKRSAFLIQLAAKTVPRPLHCLPLQLAADYYLQDYHKKRNFDKEKIEDPSLHHYAIFSDNVLATSVVVNSTVQNAKEPEKHVFHIVTDKLNFAAMRMWFLINPPSKATIEVQNIDDFKWLNSSYCSVLRQLESARIKEYYFKANHPSSLSVGSDNLKYRNPKYLSMLNHLRFYLPEVYPKLNKILFLDDDIVVQKDLSPLWSIDLRGMVNGAVETCKESFHRFDKYLNFSNPLISNNFSPEACGWAYGMNVFDLKEWKKRNITGIYHRWQDMNDDRTLWKLGTLPPGLITFYNLTYPLDRGWHVLGLGYDPALNLTEIENAAVIHYNGNYKPWLNLAVSKYKSYWSRYVMFDNPYLRLCNLSE >OIW15722 pep chromosome:LupAngTanjil_v1.0:LG03:240021:241196:-1 gene:TanjilG_04257 transcript:OIW15722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGRSPPKQETKEGMELDKLSINKLEEDPPLSGAYIRSLVKQLTTSSSKPKGSTMNTKYQGCVVDKSVSSSCRNSRKHGKVLGAQQQQQQQHKKQVRRRLHTSRVYQERLLNMAEARKEIVTALKFHRAAMKQATEQKQQQQQQHQQQQNALLSLGPFHHQSLEQDGRLKYWRNPRIYPSCTTKILTCKDENDFSCSSFTHPLLPPRNYYTMPVVSTNIAPPSPALVVENPSFTLPNHTMGLNLNLHDLNNLDPTLFLINNNNNNSSFCSYSSSPTSFEGVVSSSVMNTIESSATTQVNGGGLHAAMDDEGMAKMRSLGEQYQMEWNDTMSLITSAKWSNFLNKMEHDAVEDEAYHHIFDELMEFPTWLNANDSCLEQWSEDYFKDLSLPW >OIW14925 pep chromosome:LupAngTanjil_v1.0:LG03:23884815:23889285:-1 gene:TanjilG_30644 transcript:OIW14925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIAALLTSAGINIVLCIVLFSLYSILRKQPSNVNVYFGRRLATRRDKHVDLLERFVPSPTWVMKAWETTQDEILALGGMDAVVFSRMLVFSIRVFSVAAIICTTLVLPANYYGQVRIHKDIPFESLEVFTIENVKEGSRLWAHCLALYIITLAACTLLYFEYKNIANLRLVHIIGSAPNPSHFSILVRGIPWSPEESYYDTVKKFFSYYHASTYLSHQMVYKSGTVQKMKDDAELMYKMLGDSLGKTCKLSFPQCCFCGGSTNSFKMISNEIDSMHGRTGYTDRHIDARKKECAAAFVFFKSRYAALMAAQNLQTSNPMLWVTDLAPEPNDVYWTNLSLPYKQLWVRKIATVASSVTFMLVFLIPVTFAQGLTQLDKLEKMFPFLTEILQKKFMSEVVIGYLPSAILVLFLCAVPPVMMLFSAVEGSISRSGRKKSACYKVLYFTIWNVFFVNVFAGSVISQLSVFSSITELPAQLAKAVPAQATFFTTYVLSSGWASLACEIMQLFPLFCNLFQRFVLRCNDDSMDGTLSFPYHTEVPRVLLFGFLGFTCAILAPLILPFLLFYFFLAYLVYRNQILNVYVTKYDGGGQYWPIAHNTTVFSLIFAQIIALGVFGLKQSTVASGFTIPLLLGTLLFHQYCRERFLPVFRNTAAQVLIDMDKRDERCGRMKDVYNELHTAYCQFSSGSSHSECFGSHHDESTRVHPPQDLETGKENSKKDMSWLPVHRSS >OIW15481 pep chromosome:LupAngTanjil_v1.0:LG03:7036162:7036620:1 gene:TanjilG_32885 transcript:OIW15481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQGELGRIFQMFDRNGDGRITKKELSDSLKNLGICISEHDLIQMIEKIDVNGDGYVDIDEFGELYQTIMDEKDEDEDMKEAFNVFDQNGDGFITGEELSEVLSSLGLKYGKTIEDCKNMIKKVDVDGDGMVSFKEFKQMMKAGGFAAASLS >OIW15587 pep chromosome:LupAngTanjil_v1.0:LG03:4031654:4032112:-1 gene:TanjilG_08163 transcript:OIW15587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTKCSTIGHIVRLRQMLRRWRNKAHISSANRSPSDVPTGHVALCVGINCTRFVVPVTYLNHPIFKKLLVEVEEEYGFSNHGPLAIPCDEDVFQQILRFISRSKPGKKSNRLVNMEIEDFQRYCNLGLRSNLDFWPETRPLLPGFTDKTIW >OIW15638 pep chromosome:LupAngTanjil_v1.0:LG03:4567152:4569684:-1 gene:TanjilG_08214 transcript:OIW15638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPLLLRQGLLHRLRFTVAAPHRLWCNAATPTTNSSSFSSSEHANEKPSSGVTTNNTSNFLLKPNDPDYCKWKVEEDGILRDDEPILVIKLSFDSCYATLDLVMLVNWYMDGEHLSVEDEKAVVKNLLPYHPHSEDKIGCGIYSIMVSAGF >OIW14413 pep chromosome:LupAngTanjil_v1.0:LG03:29423486:29430109:1 gene:TanjilG_20859 transcript:OIW14413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERFRFDTVISLFITVHPHEISIVIHSFFTFFFILSSYFVVLPLRDDGAISLGLSNLPSLFLASLFLTLIAAPLSSLLFSLHSLSKNKALFTIHRFFGVSLILFYILWHASSSGYSTPKLTQEGKAGDQVSLASSLSWDNHGWFYISVRIGLFLWHASKDILVILVFAFAKVALLNLITISSTWARIIAVMDSESGSRLFGFIGAGATLGQLFGSLFATGMAFLGPFLLLFAAFLMELGAQTSRGINRDTSHVEEELSPIRGSDSNHENEADEKAKYTPRGSPKSSTSVGKIWPILEGLWLTLSSTYLLHVSLFIWLSAVVSSFFYFQVGRQTCGTKSCRSGPTHKVSVIATTVTSSLGRRKLFAQINSFIAIFILVGQLSLTGRILTISGVTIAICSAPFVGMLNLVALAIWPHWLVVAICETLRKVVTYVVTRPGRELLFTVVSEDEKYKAKVCIDVLVQRLGDAAAAGMYKILFGTLHGKPSTVSLYALPVCLLWIITAFSLGRRQTQLSKHQISST >OIW14498 pep chromosome:LupAngTanjil_v1.0:LG03:27586703:27589277:-1 gene:TanjilG_12091 transcript:OIW14498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKVEKPKPKVEDGVFGTSGGIGFIKQNELFVGRVAMIGFVGITGKGILSQLNLETGVPIYEAEPLLLFFILFTLIGAIGALGDRGKFVDDEPATGLDKAVIPPGKGFRGALGLQEGGPLFGFTKSNELFVGRLAQLGFAFSLIGEIITGKGALAQLNIETGVPINEIEPLVLFNVLLFFIAALNPGTGKFVTDEEEN >OIW15229 pep chromosome:LupAngTanjil_v1.0:LG03:17825051:17826793:1 gene:TanjilG_08821 transcript:OIW15229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSVSKPMGFTFTLFLNISFIMVLCAFVIFHFHSSEKDIVLRNHFTFGGSSSEKDCKGLHSLSDYKAKCFYVKSNDPCVSQGYVDYLYLYYCKFGEFPLLGHTLLFLWLLVLFYLLANTASEYFCPSLENLSNLLRLSPTIAGVTLLSLGNGASDVFATLVSFNGSGTHGIGFNTVLGGASFVSCVVVGIVSISIRGRGIRVKKSALVRDVCFLLLVLLCLFTILVSGEINVFGAIGFCLMYVVYVVVVYISSTRWKGVCGDAEIDGDSRHGCDLSVPLLSGMEKGLIGAENGAQECDMKIDKTCSSKKFSVCGTLLHVSEMPLYLPRRLTIPVVCEDRWSKPYAVCSAMLAPILLSSLCTSNKENIFSILNLIIYGIGFLVGTILSVIAFFATEMSSPPKNYLLPWLVGGFAMSVCWSYISAKELVALLVSLGYICGVSPSILGLTVLAWGNSLGDLITNLTMALNGGPKGAQIAISGCYAGPIFNTVIGLGLSLVFSTWSQYPSSVMIPRDPYLWETLAFLVVGLVWALVVLIRRDMKLDAVLGGGLLVVYFISLFLRLIQTLGTLQFQDMLTLVLKR >OIW15003 pep chromosome:LupAngTanjil_v1.0:LG03:23123887:23129624:1 gene:TanjilG_28262 transcript:OIW15003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERARRLANSAILKRIVSEAKQHCKNESFFNSSTTSVSRCISSVSSSSYYSPVFRCRGSIPNNFLNKNNIKNSRNVNSFQSQTRSISVESLKPSDTFPRRHNSATPQEQTKMANSCGFDTIDSLIDATVPKSIRLNEMKFRNFDEGLTEGEMIHHMKYLASKNKIFKSFIGMGYYNTFVPPVILRNILENPAWYTQYTPYQAEISQGRLESLLNFQTMITDLTGLPMSNASLLDEGTAAAEAMSMCNNIQKGQKKTFVIASNCHPQTIDVCETRASGFDLKVVIADLKDVDYKSGDVCGVLVQYPGTEGEVLDYGEFIKKAHAHGVKVVMASDLLALTVLKPPGEFGADIVVGSAQRFGVPMGYGGPHAAFLATSQEYKRMMPGRIIGVSVDSSGKPALRMAMQTREQHIRRDKATSNICTAQALLANMAAMYAVYHGPEGLKTIGQRVHGLASVFALGVKKLGTVEVQDLPFFDTVKVKVANANGIADEAYKSEINLRTVDGNTITVAFDETTTLADVDKLFKVFAGGKPVSFTAASLAPEVQTSIPSGLIRDSPYLTHPIFNTYHTEHELLRYIHRLESKDLSLCHSMIPLGSCTMKLNATTEMMPVTWPSFADIHPFAPTEQAQGYQEMFSNLGELLCSITGFDSFSLQPNAGAAGEYAGLMVIRAYHLARGDHHRNVCIIPVSAHGTNPASAAMCGMKIVTIGTDAKGNINVEELRKAAEKHRDNLSAFMVTYPSTHGVYEEGIDEICKIIHDNGGQVYMDGANMNAQVGLTSPGWIGADVCHLNLHKTFCIPHGGGGPGMGPIGVKKHLAPFLPSHPVVPTGGIPAPDKSQPLGTISAAPWGSALILPISYTYIAMMGSKGLTDASKTAILNANYMAKRLENYYPVLFRGVNGTCAHEFIIDLRGFKNTAGIEPEDVAKRLIDYGFHGPTMSWPVPGTLMIEPTESESKAELDRFCDALISIREEIAEIEKGKADINNNVLKGAPHPPSLLMGDAWTKPYSREYAAFPASWLRASKFWPTTGRVDNVYGDRNLICTLQPASQVVEEQAAAQA >OIW15108 pep chromosome:LupAngTanjil_v1.0:LG03:21880490:21881348:1 gene:TanjilG_08595 transcript:OIW15108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLELGDDKEEEFEQPLKKKSTKNNTKLINPNNTNKLSSKNQTKGIKSNNNLSSKNQTKTIKSNNNLSTKNQTKLAKFTSKTTLTYTHEVKIKKLNSTTIKTNKLNSTTKLKPFTNSSKSSINPFSTSSNSTKSKSLDLLKTTSGNNKTTKATTTTKDKDKDKDKKNKLDLQTQSTSKKNKENKKQGQPSWMFELDEDNLDFSELKDLPIKFQQTLLPDLDKISKTSKAYITKANEEITKGFKPYVVESNLISHYKSS >OIW15657 pep chromosome:LupAngTanjil_v1.0:LG03:3741834:3742155:-1 gene:TanjilG_08413 transcript:OIW15657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQTIFISESDEDNWVIQIHQLVEQLNQLGITQLDQHGMLDQPGNNIARPTWDAWLAKWSNMVGQVEQPSQRGGAVWSTRE >OIW15919 pep chromosome:LupAngTanjil_v1.0:LG03:1593981:1596516:-1 gene:TanjilG_04454 transcript:OIW15919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQPPANSPPPKPWEQAGSSSGPAPFKPPSSGTTSSVVEASGTARPGEIVSSADRNPATTNRNALGRPVPTRPWENNSANSGYGGTMNYNSGYGAGMYGSSLGGLGGGLYGGGGMYGNSMYRGGYGGGLYGSSGMYGGGMYNSGLGGPMGGYGMGGGPYGEQDPNNPYGAPPSPPGFWISALRVMQGVVNFFGRISMLIDQNTQAFHLFMTALLQLFDRSGVLYGELARFVLRLLGIRPKQKKVNPPGPNGQPQHLPHNSYGNVNSMEGPKAAPSGAWDNVWGNDPTQ >OIW15257 pep chromosome:LupAngTanjil_v1.0:LG03:15612384:15613515:1 gene:TanjilG_16507 transcript:OIW15257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLWTFSILLSHYQLLKSSIFSQKLKSYPRCPTSTIPHRPVCVITGATSGLGLAAARDLSKEGYVVVIVGRSQQLLLETIRKIKDRNQDAHLKGFQVDMSSIESIIKFKTSLRQWLLDSDLHCSVQILINNAGILATSPRVTTEGYDQ >OIW15094 pep chromosome:LupAngTanjil_v1.0:LG03:21613332:21616966:-1 gene:TanjilG_08581 transcript:OIW15094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGESGSGMIRRVNMRAEIDTSPPFESVKEAVTRFGGSGPWIPLYRLGEAFNNIENFDIKKVEEQAAELEKDLIVKELETLDVLEELGATKRIVEDLKQQIQKEALKCFATPDAINSYEQLGTPVIKMMNKENYKDITNNREQILNGIEQSESSMSSTPDLILMDLNQAKMNLGQTINELETIQSSVESLHKKMKKEKMFLERTCEKLASNFAAVTSQVETSCTFANPANTVRNFSSDSEQYNGMVETSSEVSKPLPVFYEEHGFGIKNAEMRLLAAKKMEEAAKAAEAIALAEIKALSSAERLSAFALPEPENFTFALPQCSPLNHKAQIPQESTLKKVIDSKFQFDETNNFKFTILKKLEEASEEVLHSKQVLEDALNKVESANRKQHAAEEALRKWIPEDDQKRKTLYNSIDYKFNQPRNFPGSPLQDATRSTIPTNDPKKPVLRSTISMRDVLSRKQVPEGYGTRKEMEEDTERQKVALSQMLRALREDLTLPKNADKDGSDQKPFIPQRKKFGFIHISLPLTKQSKKKT >OIW15319 pep chromosome:LupAngTanjil_v1.0:LG03:14431009:14436599:1 gene:TanjilG_10759 transcript:OIW15319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVDRCYPPREEEMAVLSLNSVPLGFRFRPTDEELIDYYLRRKINGNSQQVWVIREIDVCKWEPWDLPDLSVVRNNDPDWFFFCPQDRKHPNGHRLNRATGHGYWKATGKDRKIKSGSLLIGMKKTLVFHTGRAPKGKRTHWVMHEYRPTLKELDGTNPGQNAYVLCRLFKKQDESLEIPKCGEVEPTTSDPTAANSSPEEIHPAVDVVSGSPLQVTDDKHQGVVHEKSQGKLSNVINSGDGHSDRYDAYDGQNQTQELAAKEEEQWKEWLNFDEEEQCLNLDVIFDPKTELLDADLFSPLKTHIPTDFSFQANNESDGLCADETNISDFFDSVVNWDEVLCENSASDKQKSNIGLSQNDRKMASPPDVISIDHVFNVANDSEQPTNYNNTVPSGDTGIKIRTQQMQNEQAKGCINYQNSMVASADAVIRRRTRQVRNEQPNRNFAEKGTAQNRIRLVSQMAFHSSCALEGHNLKADTEGEKKASENHAADESSTATSMVKEPESTDSRKISLQVSNAGLVLRLKDILLLRRVPFVSKTTSNLFMWSYVIIVSSVAVVSLVLFASMWGYV >OIW14965 pep chromosome:LupAngTanjil_v1.0:LG03:23527126:23528649:1 gene:TanjilG_30684 transcript:OIW14965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTHFMLLKPLPLPTKNLHQLLHSPKLSPPLLPTTHHKKSSLSSTTQFRINSSTSKFGNFLDLKPEYQPQSLDIDLHWCHPSDQSRFDVIIIGAGPAGIRLAEQVSLYGIKVCCVDPNPLSMWPNNYGTWVDEFENLGLDDCFDKTWPMACVYLDEGRNKFLDCPYGRVSRKKLKEKLIKGCVSNGVRFYKAKVWKIEHKEFESRVLCDDGVELKGSLVVDASGFGSTFVEFDHRVKKRNHGFQIAHGILAEVDEHPFDLDKMVLMDWRDSHLGNEPYMRANNSKFPTFLYAMPFDSNLIFLEETSLVSRPVLSYVEVKRRMVARLRHLGIRVKRIVEDEKCLIPMGGPLPQIPQNVMAIGGISGVVHPSTGYMVARTMALAPVVASAIAECLGSTRMIRGKQLYSKVWNSLWPIESRLNREYYIFGMETLLKLDLNGTRRFFHTFFDLKPYYWKGFLSSKLTFMELTCLYISLFGHASNPSKFDIVTKCPIPLAKMMHNMALESIV >OIW15235 pep chromosome:LupAngTanjil_v1.0:LG03:15896046:15910178:-1 gene:TanjilG_17555 transcript:OIW15235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKAKQVVETWSRQFHCSPREKRLTFLYLANDILQNSRRKGSEFVGEFWKVLPNALRDVIANGDEFARNAALRLIGIWEERKVFGSRGQLLKEEFVGRHVENNNRDVKPMSMKLRPSAGNALEKIVSGYHVIYGGQTDEDVVLSKCRNAISCIEKADKEISHDSDSGQFHGSALVDELQGHNSVLRECIEQLTSIESSRATLVSHLREALQNQEIKLGQVRSQIQAARVQSEQAGNTCQQLLNGNNIPSLAEQGSKEIRTSLASGSFISDDREQSVQLMYSPQASFPQKSGHIEEDPRKSAAAAVAAKLTASTSSAQMLSYVLSSLASEGVISSDPMKESSADYHSEKRIRLENDQSSYLPSQHPQQQPLPSFSLPESIQHNGSSTNQQSTPNELPPLPSSSPPPLPPPPPMLQQYPVPQFMQTAGSFSSMAYSYGVAQQPSMQAYPSVGDSLNGVSLFAPSPMSAYQGFQGTDGNYYNQPSSMPMTPMSRQ >OIW14957 pep chromosome:LupAngTanjil_v1.0:LG03:23595689:23599954:1 gene:TanjilG_30676 transcript:OIW14957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGDGRVEVVSGKGCSSLFSSSFRGLKTMEPFSPSSSSMASEQVHMRSRPPFAGLVICVTGLSKEARNQVMEATQRLGGRYSPDLNPQCTHLVLLVQFCHGIFNTKYSFGGRKFDHALQHGAKNGLFIVTLVWFVDSVKKNVRLSESHYSVKSYGDNSMRLDENSSLTAKIQETRHTNNVEEFQRFSGRESNRSSDLTLSGCSIYVDPVISCELRNKVVESASREGASLVEQWFVGCNVSHVVTEGTSIQRYLGYSSNLITPLWILKTAKEKSVQRLVHLSADLARQVGLMLEDNNDFSGKEVIKQKVCGDLHGGESEVSYEERQQIVNSAKIGVRNRRGRRMQTCQTPIHPIMPNNLLDSICWSISEPTSSASIYTDSSSVEDPSHNPTSTFFDAKEDGKDSEASFSNSTRPLTESEKSELIFKNHFLTVLFPIDRFAEMGPSSRTFFSNNGFTCLQVLDYIHAFYQENMSKEETEAAIHTDSRHADRLRSVYSSKETAESGKAIFKRIELLGSRISFEMLKRVSGDNNSNVYELLLRA >OIW14686 pep chromosome:LupAngTanjil_v1.0:LG03:25740850:25741886:1 gene:TanjilG_33028 transcript:OIW14686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFCNKLGNLLRQGVAQSRQESMLNYIRMMSSSKLFIGGLSYGVDDQSLRDAFASFGDVVEAKVITDRDTGRSRGFGFVNYDSEESANTAQSAMDGQDLNGRNIRVSFANERPQGPRTPGGGGGFGGGGGYGGGGGYGGGNRRGGGGGGFGEEGY >OIW15035 pep chromosome:LupAngTanjil_v1.0:LG03:22554828:22562190:-1 gene:TanjilG_13962 transcript:OIW15035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGRRRRKGLNENKENMKKRSKSIEPSSTSTIDPYSSRFNIQNLAFDNYYKEQRIVTSQQWDSFLNLLRTPLPSSFRINSNTQFSQDIRSQLENDFVHSLRFQSVEEDDVDPVIPLPWYPENFAWQSKFSRMQLRKNQSLTRFHEFLKLQSEIGNITRQEAVSMVPPLFLDIHSNHFVLDMCAAPGSKTFQLLEIIHKSTEAGSLPDGMVIANDLDIQRCNLLIHQAKRLCTSNLIVTSHEAQNFPGCFLNRNYDAMEPDQNIDQLLFDRVLCDVPCSGDGTLRKAPELWRKWNTGTGNGLHNLQVLIVVRGLSLLKVGGRLVYSTCSMNPIENEAVVAEVLRRSGGSVELVDVSSELPQLIRRPGLKKWKVSDKGLWLVSCKDVPKSHRTVILPSMFPAGGSYQDAVGIGDDTTGDANGNSEDVQAVENPVMHEFTEEVSDFPLEHCMRLVPHDQNTGAFFIAVLQKVSPLPAFQVRPRKEVDNQHVEPAGQRNEHAQELQINLSESKHKEVSEAVSGANMNDNEPNAADSEVSPVTCEEGDSNGPQEPHDVENIAKITPGNRKLQSRGKWRGIDPVVFFKDEVIINSIKAFYGIDEKFPFDGHLVTRNSDTSHVKRIYYVSKSVKDVLELNFSVGQQLKITSVGLKIFERQTSLAGISASCAFRISSEGLPLVLPHITKQILRASPIDFKHLLQYRAVKFADFVDAEFGERAANLMPGCCVIVLGEGNRAPTKTLEVDKSTIAIGCWKGGATLTLMVTELDRQELLERFLTRLDTEKDSMHGSNLSDNTEDDVLHSNGKDDVEANGC >OIW15159 pep chromosome:LupAngTanjil_v1.0:LG03:20126906:20127268:-1 gene:TanjilG_30830 transcript:OIW15159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQVSNCHGEDVCALVRADASRSESMRYGLCPSVNLHAPRSMRHCQILLAMAWTPRSMFTRQGLCATVRVLCVGHAGASMAMDMLLGHNSFLGHAYHGHAGFLDHACLSKIPFSLDHELS >OIW15702 pep chromosome:LupAngTanjil_v1.0:LG03:146603:148637:-1 gene:TanjilG_04237 transcript:OIW15702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSSSRMAIPSERHMFLQTGNGGSSDSGLVLSTDAKPRLKWTTDLHARFIEAVNQLGGPDKATPKTVMKLMGIPGLTLYHLKSHLQKYRLSKNLHGQSNNVIHKIGAATGERLSETNGTTQMNKLSLGPQVANKDLHIGEALQMQIEVQRRLNEQLEVQRHLQLRIEAQGKYLQSVLEKAQDTLGRQNLGIVGLEAAKVQLSELVSKVSSQCLNSAFLELKELQGFCPQQTQQPNDCSIESCLTSCDGSQKEQDVQNGRKALRHFNNGHNAFMDRKESIEVNKNTFLAPLGRNAERSHGVERNPSNLSMTIGLERDTEKRTNEEGRESKKAVDENVSQDYRLHSYFAAPRLDLNRNTHGDNEAATQQLDLNTLTWS >OIW15462 pep chromosome:LupAngTanjil_v1.0:LG03:10279965:10281469:-1 gene:TanjilG_28661 transcript:OIW15462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSKKLVDVQTNDDESPHKWCVSLGEDVFKRFFSQASPIAVKVFGDGSLFSPMLFGKFFDPSDAFPLWEFESDILLSHLRSIGQSTVDWSQTDEGYMLKAEIPGSGKNDNIQVHVDNGKVVEICGQWKQQRDSKANEWKCGHWWEYGYVRRLEMPEDADWKHTEAHIYNDIFLEIKIPKFDVA >OIW15788 pep chromosome:LupAngTanjil_v1.0:LG03:686575:692235:-1 gene:TanjilG_04323 transcript:OIW15788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGFLLYLSLVIFEKLGQESSRLARYNKKPTIASKEIQTAVRLVLPGELAKHAVSEGTKLCPLVATVIFFVIILNGVSVSGLISEDDSEEWGYVEVRPKSHLFWWLYRSPYRVHNPSNPWPTILWLQGGPGASGVGFGNFGEIGPLDINLKPRNFTWLRKADILFVDNPVGTGYSYVEDSTLYVKTDDEATDDLITLLIELFNSNENLQRSPLFVVAESYGGKFAVKLGLSALKTIEQGKLKLKFGGVALGDTWISPIDFVFSWGPLLKDLSRLDDNGLQRANSLAEKIKQQLEAGQFVDATYSWSDLENLISLSSNNVDFYNLLKDSESDSETLSEMELGLHKEVSMMRYSKYLSSMLRSSFGGEDNDLEKLLNGVVRNKLKIIPENVTYVAQSSNVFDNLLGDFMKPQITEVDELLALGVNVTIYSGQLDLICATKGTEAWLKKLKWEGLPKFLGKDRTPLYCGSDKSTKGFYKSYKNLHFYWILGAGHFVPTDQPCVALDMLGAITHSPAA >OIW16042 pep chromosome:LupAngTanjil_v1.0:LG03:2645514:2648078:-1 gene:TanjilG_04577 transcript:OIW16042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWMMGYDEDGEFNMVDPSFHERKLRTLMPRPMTNYPNNTSTTATITPCLNLIHGNDLFSQYHHLATMADHSRRDQFNFVPPVVVSSRWNPTPEQLRELEELYRRGTRTPSAEQIQHITAQLRRFAASRTVFEVEQTKNWVPSTHCTSTLGEESVSIQMAEKAENAVCKTYGWLQFNERELQQRRNFMEKNDTCHVMQFSCPSPAPATNLINTPPYCNNNNNASNTTVTTRTMDPTNHIKTHNDLSFFISPQRENGVIHLSSYSNNSIEYDDHNCVESNQTLQLFPLRNGDGSCSHNINDKVTEISASAMNANLAPSQFFEFLPLKN >OIW14941 pep chromosome:LupAngTanjil_v1.0:LG03:23752030:23755535:1 gene:TanjilG_30660 transcript:OIW14941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSQGSRSSTTTTLAGLVENASSIQRDETCVDGIPIYVKELIAGGFAGALSKTTVAPLERVKILWQTRIAGFHTLGVYQSLNKLVKHEGVVGLYKGNGASVIRIIPYAALHFMTYERYKSWILNNYPMLGTGPFIDLLAGSAAGGTSVLCTYPLDLARTKLAYQVTDIRSIENGMKGVHSRPAHSGIKSVLTSVYKEGGFRGLYRGAGPTLTGILPYAGLKFYMYEKLKLHVPEEHQKSILMRLSCGALAGLFGQTLTYPLDVVKRQMQVGSLQNASHENVVYRNTLDGLRTIVRNQGWRQLFAGIVPSAAISFTTYDMMKAWLGVTPQQKSRPVSAA >OIW15060 pep chromosome:LupAngTanjil_v1.0:LG03:22811999:22820850:-1 gene:TanjilG_13987 transcript:OIW15060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQTNWEADKMLDVYIHDYLVKRDLKASAQAFQAEGKVSSDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEVAASYIETQLIKAREQQQQQQQQPQPQQSQHQQQQQHMQMQQLLMQRHAQQQQQQQQQQQQQQQQQQQQQQQQQHQQQQQQQQQHQQQQQQQQQQQQSRDRAHLLNGSTNGLVGNSGTANALATKMYEERLKLPLQRDSLDDAAMKQRFGENMGQLLDPSHASILKSAAASGQPSGQSMHGAAGGMSPQVQARNQQLPGSTQDIKSEINPVLNPRAAGPEGSLLAIPGSNQGSSNLTLKGWPLTGLEQLRSGLLQQQKPFIQTPQPFHQLQMLTPQQQLMFAQQNLASPSASDESRRLRMVLNNRSMSLNKDGLTNSVGDVVSNIGSPLQGGAPPFGRGDTDMLMRQSQSSNHNMHQQDKVGGGGGSGTVDGSMSNSFRGNDQVSKNQMGRKRKQPVSSSGPANSTGTANTTGPSPSSAPSTPSTHTPGDVISMPALPHSGSSSKPLMMFGTDGPGTLTSPSNQLADVDRFVDDGSLDENVESFLSHDDTDPRDTVGRCMDVSKGFTFPELNSVRASTSKVVCCHFSSDGKLLASGGHDKKAVLWHTDSLKQKATLEEHSSLITDVRFSPSMPRLATSSFDKTVRVWDVDNPGYSLRNFTGHSAAVMSLDFHPNRDDLICSCDGDGEIRYWSINNGSCTRVSKGGTIQMRFQPRLGRHLAAAAENVVSIFDVETQACLYSLKGHTKPIHSVCWDPSGELLASVSEDSVRVWTLGTGSEGECVHELSCNGSKFHSCVFHPTYPSLLVIGCYQSLELWDMSENKTMTISAHEGLIAALSVSTVNGLVASASHDKFIKLWK >OIW15015 pep chromosome:LupAngTanjil_v1.0:LG03:23026728:23030596:-1 gene:TanjilG_24124 transcript:OIW15015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGETKDEGYEEELLDYEEEEDKAPDSVGTKVNGEATKKGYVGIHSSGFRDFLLKPELLRAIVDSGFEHPSEGKYLHLFLQHECIPQAILGMDVLCQAKSGMGKTAVFVLSSLQQIDPVPGQVSALVLCHTRELAYQICHEFERFSTYLPDLKVAVFYGGVNIKVHKDLLRNECPHIVVGTPGRILALARDKDLSLKNVRHFILDECDKMLESLDMRKDVQDIFKMTPHDKQVMMFSATLSKEIRPVCKKFMQDPMEIYVDDEAKLTLHGLVQHYIKLKEEEKNRKLNDLLDALDFNQVVIFVKSVSRAAELDKLLVECNFPSICIHSGMSQEERLKRYKGFKEGHTRILVATDLVGRGIDIERVNIVINYDMPDSADTYLHRVGRAGRFGTKGLAITFVSCSSDVDVLNNVQSRFEVDIKQLPEQIDTSTYMPS >OIW14684 pep chromosome:LupAngTanjil_v1.0:LG03:25758164:25760056:-1 gene:TanjilG_33026 transcript:OIW14684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEEGEKGLKRLSSFVIEQEPFSDQDLLLIQSIESTFLSNNNNNNTRKGVARRRLPPSLVALQHPNASSSSLKPFPYSSMRLPPFKFNGRIIYSTTFHDVQKATTHIFNTLQHIKTQIALGLDIEWKPTFKKGVPPGKVAVMQICFSNTHCHVLHLFHSGIPPNLRLLLQDPLFLKVGAGIGGDAHKFFRDYDISIKGVEDLSFHANRKLGGAPRNWGLASLTEKLLSKQLKKPSKIRLGNWETPTLSKEQLEYAATDAFASWYLYQAIKDLPDAEKITDRPSKVEGVLQQ >OIW15943 pep chromosome:LupAngTanjil_v1.0:LG03:1790158:1790676:-1 gene:TanjilG_04478 transcript:OIW15943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHDNSGCQAPPEGPVLCINNCGFFGSAATMNMCSKCHKDMMLKQEQAKLAASSIGNIMNGSSSSSASEPVVAAIVDIPVNSIKPKTISVQPSLASASEESGEAKPKGGPKRCDSCNKRVGLTGFNCRCGNIFCAVHRYSDKHNCLFDYRTAGREAIAKANPVVKAEKLDKI >OIW16027 pep chromosome:LupAngTanjil_v1.0:LG03:2488305:2490454:-1 gene:TanjilG_04562 transcript:OIW16027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPPPPLPLASDSTAEPSAWNDEVLPPVPGGKIRLMCSYGGHIMPRPHDKSLCYIGGDTRIVVVDRISSLKDLTTRLSHTILNGRPFILKYQIPNEDLDNLITVTNDEDLDNMIEEFDRIAESSASPLKPSSSRLRVFLFFNKNEATVSMGSLIDDAKSETWFVDALNNSGILPRVVSESAAVDSFVNLDGLSPSASSNNLEPKFHAQGDRDNKVKVLVDVENSSSNCSSPSMTNLPPNPAPVTMASLAMVTTSDNMNRVVYDDERSDEGASFGFQKLPLPLQLVQPRSGGLCFPSPDSVTSDNSVPSTNSFSKAVYYQEQVQVAQIDNKANSEISDHIPVLQREQNQDPGFTLPPQMDPNQQHHQQQQQQQFVHARTQYIHHPTSQIPMSSYYQVYAQPSQQQYPLYVMPVGPTQPYNMALQSNIADPNVVPLGRTLIPHQSVAATTPYKDNTPPIYPTKSVIPTTYKDASNPAFVQMSSNQFQQQYVGVPQLHHHSPQHIAVVSSVNTSYGYEYGGTVQDQVYYTQQQCTDPLQSQYQSMMPVVAATTALSDASQQFSSDNIQQQTNRTSQMV >OIW15766 pep chromosome:LupAngTanjil_v1.0:LG03:515863:524130:1 gene:TanjilG_04301 transcript:OIW15766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EKLTYFRIKELKDVLTQLGLSKQGKKQDLVDRIVSILADEQVSKMWARKNAVGKEQVAKLVDDTYRRLQGSGAIDLASKGQGASDSSNVNVKAEIDDSFQSDTKIRCLCGCSLETEPLVKCEDTRCHVWQHINCVIIPEKPMEGTPPVPEKFYCETCRLSRTDPFWVSVAHPLVPVRLTTTSIPTDGTNPVQSVEKTFQLTRADKELISRPEFDVQAWCMLLNDKVSFRIQWPQYTDLQVNGFSVRAVNRPGSQLLGANGRDDGPIITPFTKDGINKISLTGCDARIFCLGVRIVRRRSMLQILNMIPKESEGERFEDALARVISCVGGGNGSDNADSDSDLEVVSDTFSINLRCPMSGSRMKIAGRFKPCIHMGCFDLEIFVEMNQRSRKWQCPICLKNYALENIIIDPYFNRITKMMTNCGEEVTEVEVKPDGSWRVKVKGESGRRELGNLAQWHCPDGSLSVSTDAEVKSVETSKLKQDGVSDSPAGLRLGIRKNSNGVWEVNKPEGTNTSSGNRLNEDFGNHEHVVIPMSSSSTASGWDRDDPSVNQGGAGHIDYSTANGIEMDSLCNNNVDSAHGYTAHNTPDPVGAAEVIVLSDSDEDNDILASPALGYGNNQTGAAGDVYSVPSHEVIDAYAEDQQLGGNPCSRLFNNANEDDFGLSSPLWSLPSGTQASSGFQLFSSDVDVSDALVHLQHGDINCSSSVNGYTLAPDTALGSSALIPDSSAGRSGAELNGGLVDNPLAFAGEDPSLQIFLPTRPADSSMQDKLGDQEDVSNGICTEDWISLSLGGSASGSNGDASTTNGLNSRPQVTSKEGPTNTSTDTASLLLGMNDVRADKASRQRSDSPFSFPRQKRSSYEICPAKILAPVGYYFPIGKDVGYKDSEFIMLDIQVVLTNMMTGEEEDILVPPVFIEDLLVLV >OIW15953 pep chromosome:LupAngTanjil_v1.0:LG03:1849736:1852020:-1 gene:TanjilG_04488 transcript:OIW15953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPERTYRHVDTSRPTVDQFLRGGESAKMSPTYSPNYSKSPSSPYFERLKHHDPEEDQGLYQKKSSVLVKMREGVKRLRNSFRIRKQEEDGNLTPSWGVSLEDYEEEEDAEYLGAPMYESELAPEGYKENARQHPRANPVISEKHVLHSSIKSRVEQDQEKQPSLVNSTTTTQPSTTTTTTHHGPNMKMTGKNSSEKLAPAYAAAAAASYSDAANSIPSKIQGLSVSKTTSAKMSSQTSSTAPPTPSISSAPLSTQTSLSSSPSINGRNTFSPKGASVKEYLKIKFEPGNDEKVLSEVIYEAMSPRRTTSTSDAGVIDKVREAVNSLLHNEEPTQQYGNKTTSSQTTAIVSNNNVREVGQEENHGRILQAN >OIW16053 pep chromosome:LupAngTanjil_v1.0:LG03:2772014:2781414:-1 gene:TanjilG_04588 transcript:OIW16053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSDEDETEVCGNHVPKELSRLASDGVKFVDGVLNGENERCLENFRMDKHIFYKLCDTLQAKGLLHHTNRIKIEEQLAIFLFIIGHNLRTRAVQELFRYSGETISRHFNNVLNAIMSISLDLFQPPGSDVPSEISKDPRFYPYFKDCVGAVDGIYTPVTVGVDEQGPFRNKNGLLSQHTLAACSFDLRFHYVLAGWEGSATDSQVFNSAIMRRNKLQVPEGKYYLVNNKYPNVPGFIAPYSVTPYHSKEFPSGYHPQNASELFNQRHSLLRSVTDRTFGALKARFPILMYAPPYPLQTQVKLVVATCALHNYIRREKPDDWVFKMYDQGISYPMDESLPPLEVEVQPKLDVETQTQSVDLAFDAEEVELASQLRDSIATEMWNDFIHDLPQCRFH >OIW15356 pep chromosome:LupAngTanjil_v1.0:LG03:13204317:13207929:-1 gene:TanjilG_26729 transcript:OIW15356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLISDGRDSDVFFDSLDSFSPLQECVLTKQKFGYEVWVNEPLRVKERREKFLQGMSLVNDSSKVCLQEKIVSFDESSVSLGKERIWNCNGAVTNACIVLDDHVPEKLVTAGCESSFEAQVLFDELKGCQDHEDKAEPDLSFQGKVHEFSSSNQEYIDREGEAVEKFRDFKICKNENKSWWARILDSIKQSKGKDRLKLNTRTSESGRIHVRRNKKKWMELSALYIGQEIRAHKGLIWTIKFSPNSKYLASGGEDGVVRVWRVVSLDTSGACFSTDDSIISKVKHDISCSQRKNSSQSLNVLPNKILQIEESPLQEFYGHSGDVLDLAWSSSDILLSSSTDKTVRLWQIGYNECHSVFHHKDYVTCIQFNPVDENYFISGSIDGKVRIWGVLEERVVDWADIRDAVSAISYQPDGKGFVVGTLTGTCRFFIASGKHFRLETQTHVNGKKRTSGNKITSIQFSQKHHQRIMITSEDSKVRILDGIELVREYKGLPKSGSQMSGSFTSTEKHLISVGDDSGIYLWNFNEFRNASSKHTKSMYSCEYFSSKGVTVAIPWSDIASSRNNSPRYSSEVQPQLETVLAAKDSECFSFRSWFLIDGTCQGSMTWPEEKLVSLDSPFPEDGFDQQQLCLKNLFHNNSVSETWGLSIVAADSDGIIKTFHNFGLPVRL >OIW14367 pep chromosome:LupAngTanjil_v1.0:LG03:29924273:29925731:-1 gene:TanjilG_15721 transcript:OIW14367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVMVGPTFTIAGEHPNADAAIFDDGSGFRKAEFFTADSSESSSSIGTPDNSDNDEDEDDDEEEVQSKFKGLVSLEDSLPFKKGLSSHFIGKSKSFTDLSQVNTVKELEKQENPFNKRKRVLIAAKWSRRSFYSWSNPKSMPILPMVDEDQDDSFYDEEAEDDKGRRKVSPSSSSSSLDGKKQQQEEEQDQVHQMRQLDNNNRVPQSYAAHMRLRLGSFKSRSFSLADLQEHDNEEEEEEDDDEED >OIW15008 pep chromosome:LupAngTanjil_v1.0:LG03:23092112:23094562:-1 gene:TanjilG_24117 transcript:OIW15008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKWFFFYFMSTLVLCKVCLGGVEFIGKVSPGFQGSQMTWINIDGKFLMSDNGGEFAFGFITTPYDPTKFLLGVVHVATSTLVWSANRAIPVSNSDNFVFDDKGNVLLQKSGTVIWSTNTSGKGVSSMELQETGNLVLLGNDNSTIIWQSFNHPTDTLLPKQDFTEGMKLVSEPSSNNFTYVLEIKSGIVNLYANFKTPQPYWTMQTDNRKIVNKDGDVVSSAVLNANSWRFYDENKSLVWQFIFSADQGTNSTWIAVLGSDGFITFSNLESGGSNGASTTRIPQGSCSTPESCDPYNICIGDGKCSCPSVLNSAGPNCTPGFVSSCDNNEKSIEFYKADDGLNYFALQFLKPFSKTDFAGCQTLCHRNCSCLAMFFQKSSGNCFLLDSIGSFEKSSNDSGFVSYIKVSSDGGIGTSGSSSSNKHTIVVVIIVILTLLVISCLLFLGLRYHRKKKKLANSPRDNSEDDNFLENLTGMPIRYTYKDLETATNNFTVKLGKGGFGSVYKGVLPDGTQLAVKQLEGIGQGKKEFRAEVSIIGSIHHLHLVRLRGFCAEGTHRLLAYDFMANGSLDKWIFKKTNGQFVLDWDTRFNIALGTAKGLAYLHEDCDSKIVHCDIKPENVLLDDHFMAKVSDFGLAKLMNREQSHVFTTLRGTRGYLAPEWITNYAISEKSDVYSYGMVLLEIIGGRKNYDPSETSEKSHFPSYAFKMMEEGKLRDIVDSKLVTEGHDHRVHTAIKVALWCIQEDMSMRPSMTKVVQMLEGLCTVPKPPHTSLMGSRFFSTVFKSTSDEVNSSGPSDCNSDAYLSAVRLSGPR >OIW14972 pep chromosome:LupAngTanjil_v1.0:LG03:23472714:23476632:-1 gene:TanjilG_30691 transcript:OIW14972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHSTTLIISVLYFVFVIFNNVCECHKTTTSSSSSNPKCKAWLVQSIPTDMPHLSHVPGVLSTGDVLRWLAENSTKKLDVIAQYWQLLASPNDPRSGDYGYTKYQMKHFGANQGAAVYNSLDDAAHRNVSIRLLSHSGVYPQFTEEPSNLASGRPNVKNVTLLLKDWWGSGIVHSKVWISDSKDLYIGSANNDWKSLTQVKEVGIYLADCPQIAKKVEVYFNNLWKLASLNSSAYTKTVLDQQWQVERKVPCWSHFVDSRQRCKSPLPRYLHIPHVTGYPILSDPDMFEVPIQTPESNSSTTHSEFNYLSFAPPELSFGKYQTDEQAWIDTIKSVGKRGTVRISTMDWLGQSQYTDQTIYWSSISSAISEVVFSKHATVKLLVAYWAHFIDNTDVYLKSLLHSNILCASSKYNNCTSKVEIKYYLVPGFNKTGPAKHDGASTRNIYPGFTRVNHGKYAVSDVRAHIGTSNLVWDYFYTTAGISFGTYNTAIVSQLKEIFDADWNSPYAVPVEQEKAHACSL >OIW16040 pep chromosome:LupAngTanjil_v1.0:LG03:2628554:2631965:-1 gene:TanjilG_04575 transcript:OIW16040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSSIPPPPPPTVVPFTDEPNPPPPPPPTSLPSYADMIYKAIEALKEKNGSTKRAISKYISEVYNPYLPPSSTHSTLLTQNLKRLKDNGFIQMVNNSYMLPRSVPVLPSSEDSAKVSRPRGRPRKVQVQPQELVQVVQQNAEPVWAALGLSDEPQVLNMVPEIERKRLGRPRKSAVAVESVGELVVSGTVGSRGRGRPPGSKNKKKLGRPAKNELVAVVAVEVDGGGSGGVKRRPGRPRKNQQGVLVPLAPAAEGGVPVDLAGGDVPVAVAASIRGRPKRNAGVGSGRGRGRGRGGGTLPAQRRSSFGRPVGRPRKGTTSANTSQNVAADDGDFKRKLEHFQSKVKESLEVLKPYFTHESPLSAIAAIQDLEVLGAMDLNAPLEQDEPLPQQPHQPQQHQLLQNQQPHQPLQPPPQHHILQQPLALAPQQKSTEARKEVVGI >OIW14681 pep chromosome:LupAngTanjil_v1.0:LG03:25788395:25791720:1 gene:TanjilG_33023 transcript:OIW14681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTQNVCCKSGPGYASPLEAISGPKESLIYVTALYTGTGINKPDYLATVDVDPSSPTYSKVIHRTPVPNIGDELHHTGWNSCSSCHGDPSAQRRFLIAPALVSGRIHVFDTKTNPKAPSLHKVVEPEDILQKTGLAFPHTSHCLASGEIMISCLGDKDGNAKDIGFLILDSEFNVKGRWEKPGQGPLYGYDFWYQPRHKTMISTSWGAPAAFTKGFNLQHVSDGLYGRHLHVYNWPGGELRQTLDLDTGFVGSALSSNIIRFFKTDDGSWSHELSIPVKSLKVQNWILPELPGLITDFLISLDDRFLYFVNWLHGDIRQYNIEDVKNPVLTGQVWVGGLIHKGSPVVVVDDDGKTWQSDVPEVQGNKLRGGPQMIQLSLDGKRLYATNSLFSPWDKQFYPSLIEKGSHIIQIDVDTEKGGLKINKNFFVDFGAEPDGPSLAHEMRYPGGDCTSDIWV >OIW14655 pep chromosome:LupAngTanjil_v1.0:LG03:25992263:25995937:-1 gene:TanjilG_32997 transcript:OIW14655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSNFPLRWKSIGDQWWYASPIDWAAANGLYDLVVELLHLDTNLLIKLTSLRRIRRLETVWDDNDDDDDGDGDDDNDDNLGRHFKDVAKCRSHVAKNLMLECEIQGNGGQKNNNKNSLIRAGYGGWLLYTAASAGDVGFVQELLLRDPFLVFGEGEYGVTDILYAAARSKNCEVFKLLLDSALSQSIGADLEGDDESEGIFKRDVMNRAIHAAARGGNLEILKQLFGSDYHILAYRDSSGCTVLHTAAGRGKVEVVRNLVASCDIINTTDSKGNTALHVASYRGYLSVVEILILESPSLAFLTNHHGDTFLHMAVAGFRSTGFRRLDKHTKLMKQLVSEKIVKLDNIINIRNNDGKTALHVAVFDNNIQCELVELLISVPSIDLNIEDCDGMTPLDILKQRPSSASSEILIKKLVSSGGVSIQKDCNARNNNTLFSTMKSHGIGGSPGTSFRIPDAEIFLYTSIDNDAKDGNNYDQASVESNSTSGELSNEVSDLANSQSNNNNIKTSSINHAARRLKFLFGWPRRSGTKASTSEDYGDSSLDPFSSSRNLEEFPISLRQRYTQQCSSIPNRKRTQPIRTYNNLPSPSSKVKFTTGLMQGVIQVKPHSQSHETFLHADYYSTPTSPFHKQKGVDIMGVPSFSNRSIDDGTLHLNYKKQGSFNKKLMNKYFSFGAQRQDVKESNRSYKRLSSLVA >OIW14397 pep chromosome:LupAngTanjil_v1.0:LG03:29605441:29609810:-1 gene:TanjilG_15751 transcript:OIW14397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMFRCGDNDYNKATSEEVEREQMSTENVSQYSLTAIVLPSLGATAANGGDRHKLRRYVISPYNPRYKLWESFLVLLVFYTAWVCPFEFGFLQKTSRGKLAITDNVVNGFFAIDIVLTFFVAYLDKASYVLVDNQKLIALRYAKTWLLFDIISTIPSELVRSLGPSFLHTYGYFNILRLWRLRRVSAMFARLEKDRNYNYFWVRCSKLTCVTLFSVHCAGCFFYFLGSQGDPKLTWLGIVGEDYNKTLLGQYLISIYWSIVTLSSVGYGDLHPVNTKEMTFVIIYMLFNLGLTAYLIGNMTNLVVHWTNKTKRYRETIQSASNFAQRNQLPIRLQEQIYAHLLMKYRTDLEGLQQQEIIESLPKAIKCSISYYLFYSLVEKVYLFHGVSRDLLFQLVTEMKAEYFPPKEDIILQNEAPTDFYILVTGVVVVSEAKTGDVVGEIGVLCYRPQLFTVRTKRLTQLLRLNRTTFISLSRSNVGDGTIIMNNFLQHLHETKDPLMQGVVEETEAMLARGKTDLPVSLLFASNRGDDILLQRLLKKGSDPNEADKNGRTALHIAASKGSKHCVVLLLEYGADPNTQDFDGSVPLWEAMMGRHESVMKLLADNGADISSANVGHFACIAVEQNNLELLKNIVQYGGDVTRSKSNGSTALHAAVCEGNFEIVKFLVEEGADIDKQDTNGWTPRAYADHQCHEEIQNLFQNTRGHNKNPSDIPPAPKNDGGPFYERWKSDSSIPVISQGSMPLPNQDLTWSEMHGRRNANAFQNSFFGIMSAANRGNKNHDASQRNHNATMNMNELQSRVTLSCPEKGENAKKLVFVPKSLEELLDIGAKKFDFCPTKILNKEGAEIEDIKLIRDGDHVILA >OIW14656 pep chromosome:LupAngTanjil_v1.0:LG03:25972448:25976761:-1 gene:TanjilG_32998 transcript:OIW14656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQNKEISDDALAKQKAIDNWLPITASRKAKWWYSAFHNLTAMVGAGVLSLPYALSNMGWGPGIVILILSWIITLYTLWQMVEMHEMIPGKRLDRYHELGQEAFGKKMGLWIVVPQQIVVEVGTCIVYMVTGGKSLKKVHDTLCTDCKDIKTSYWIIIFASINFVLAQCPNFNSISFISLAAAAMSLTYSTIAWGASIHKGVTPNVSYGSRSTSTSDAVFNFFSALGDVAFAYAGHNVVLEIQATMPSTPENPSKKPMWKGVIVAYIGVALCYFPVALIGYYMFGNSVDDNILISLERPAWLIAAANLFVVVHVIGGYQVFAMPVFDMMETYMVKKLKFTPSFGLRLISRTIYVALTMLIGICIPFFGSLLGFLGGFAFAPTSYFLPCIIWLKLKKPKRFSLTWIINWVCIVIGVVLMIVSPIGAMRNIILSAKTYKFFS >OIW15006 pep chromosome:LupAngTanjil_v1.0:LG03:23162523:23164845:-1 gene:TanjilG_28265 transcript:OIW15006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADKPSRSLVLLGDGLARSIDPSSHTHLHSLASHSLCGFLSLPNSSPSESEDERIVREFAVLLDAHETCLNTNEPNWDNISEKDTTSQTLSNRFMGMKAAILTNNSSLKSFSAKLGFTVLHFDELLKDHTAELQDKVAALELLKLLGFDEGKVLDNNRFDIVFFHIGAGEKVNSYEQETIAADMEYVDALVGEIMSQSQPGSDIGSRLHLSLVMSYGNVLEDDNLKYSVSKRGDEKNSSFSTLFPLQSYAMKGGFARKDVRHHSPMLISQLQHAVTRKDNAERFCFEDFKEHGGNLTIPADRFLHEIAFKLWKAPKYGA >OIW15177 pep chromosome:LupAngTanjil_v1.0:LG03:19554989:19555296:-1 gene:TanjilG_18612 transcript:OIW15177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVGLRTCYGGAYGGLCDFDTHSGVFSFLSYRDPNLLKSLDVYDGTGDFLRGLEMDDDTNKSHNRNHWGCRCLSTS >OIW14913 pep chromosome:LupAngTanjil_v1.0:LG03:23981700:23983745:1 gene:TanjilG_30632 transcript:OIW14913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFGQVVIGPPGSGKTTYCNGMSQFLNLIGRKVAIINLDPANDSLPYDCAVNIEDLVKLSDVMVEHSLGPNGGLVYCMDYLEKNIDWLQAKLEPLLKDHYLLFDFPGQVELFFLHSNAKNVIMKLIKKLNLRLTAVHLIDAHLCSDPGKYISALVLSLSTMLHLELPHVNVLSKIDLIESYGRLAFNLDFYTDVQDLSYLQYHLDQDPRSAKYRKLTKELCEVIDNFGLVNFSTLDIQDKESVGNLVKLIDKSNGYIFAGIDASAVEFSKIAVGPVDWDYYRYPSWS >OIW15537 pep chromosome:LupAngTanjil_v1.0:LG03:5869531:5871422:-1 gene:TanjilG_16143 transcript:OIW15537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATDLREQSARKILRAVRSQGHPYVELRSNGKKFIYFCNLCLAPCYSDGVLYDHLKGSLHKQRLDSAKVTLLGANPWPFNDGIVFFNTSTENDEEVGSRSDNQIRFLNFSDNDVNDLAIVNFFEPIESDTQLSSTNEKLENYDCTLIIPGVLIEDEPVDLKVREVGLGKIAARFFKVGDAFDGIRRVWCEWLGNENNGQQDCAGVQDHDFGVVIFSYNYALGRIGLLADVKSLLPSASMSEPENAGDSDPKRKTPLSDPEDSSDYLRNQSDSYVESSSASNKATSGLTLTRIISMKSERKELRRKQRLASEKMCNICQQKMLAGKDVAAFLNLKTRKIACNSRNGSRAFHVFHVSCVIHWILLCEFHIITDRLVLPKVRQRPKKKIVGNGNQTRKGNDMEAAEAHIKSVFCPECSGSGIMVDGGRRELKDLTISKIFKLKIKSCDGRKEWIRSPEVLQNCSVGYHFPPLSEDIVEEKVEPIKLLHFYRADQSGA >OIW15779 pep chromosome:LupAngTanjil_v1.0:LG03:622924:626761:1 gene:TanjilG_04314 transcript:OIW15779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEVGKILYMVVVDDGEKGKESFRYTRSVLQSTLQLMGCKARHAFKIRQRVFEITKSGRSTDVLQHEGMVVKKDVHDVADRIGKIDLGNHLILGKDLGNKSVLFELYKRRTTVFVQRETFLDIVCDALAEYKYLGPNQRADLVLACRIRERKESMTVLLCGTSGCGKSTLSALLGSRLGITTVVSTDSIRHMMRSFVNEKENPLLWASTYHAGECLDPVAVADAKARRKAKKQSGVSHSLPKDEVTEGHNYRKSDIRTSEGGSGTTELLNAKQMAVEGFKAQSEMVIDSLDRLITAWEERKESVVVEGVHLSLNFVMGLMKKHPSIIPFMVYITNEDKHTERFAVRAKYMTLDPTKNKYVKYIRNIRTIQDYLCKRADKHLVPKINNTNVDKSVAAIHATVFSCLRRREAGEKLYDPIRNTVTVVDEEYRNQCAANSLSSKGMFQLIQRQGSSRQLMALVNTDGSVAKAWPVNSVDSNGKPICGNGAENGIGNPMYGPLQIGKAEPVNLQFGLYGISSWPTDGGTSHAGSVDESRADYGTDTGSRYLSSCCSSPRFSDAPAKELMKEHSVHGSDEEIDDHLAVPSDDDLSDDDDKHLQEEVGSVDEESTKSDEEYDDLAMQDVLENGYCSEDDDQVETKMEGNNYPQNLDLSQRTTSEPVPDAMCSYSSLLMEKNKSRVPSSAKAKLRKRSLSIPAFRKNNSAVKDPILSGARQR >OIW14705 pep chromosome:LupAngTanjil_v1.0:LG03:25570367:25576473:1 gene:TanjilG_33047 transcript:OIW14705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIIDSDKTLICMRSACNFMASLARQRGRFMFINTNPLYDEIFNLMTSKVGSYSHSTNALWRTGGFLTNSYSPKKFRSRNKKLCFGPSQPPDCIFILDTDRKSSVINEAHKLQIPVVSFVDSSIPLDVYNRIAYPVPANPSVQFVYLFCNLVTKTLMLEKNNIKDHQSLPKVETRKIEANKRKNDLAKVDVTVVPYANLAPLPEDIEETKKLLDKLVVLKFNGALGRDMGLERPKSEVDIYNGLTLLDLIINQIETINSKYGCNVPFFVFNKDDSHDNTSKVLEKYSKSSIDIHTLKQGEVPELKLLGAHFSKEEVHPFDNGDIFRSLISGGTLDLLLSQGKEYVLVMTSDNVATIIDPNILNHLMTNDIEYCMEVTPSHSFNLILTTMKFKLQEIARNQDKQLKDNFKLIDTSNMWVSLRAIKRLVDSDSLNHKKPSVSKLFENVIGVNVPESRYLPLDATSDLFILQSDLYACREGVLTRNPARTNPLNPVIDLGPEFDKVGDFQSRFRSIPSIIGLDSLMVRGDVWFGANITLKGQVTIAAKPGLKLEIPDGVVIDNKVRFLGEVLKSFKCSVGSGIMVIIGITRYSCLIITFCLFYRRSMTLQTFEEDEWLWKPLRFVLSKYMTVEAFEFSEY >OIW15275 pep chromosome:LupAngTanjil_v1.0:LG03:15036023:15036208:-1 gene:TanjilG_08072 transcript:OIW15275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMMDIDDESVDSDKDKSFSDKEEVLFFVVSFSIEVVSCGEERDMRIFRFQNLEIGRFKGN >OIW14599 pep chromosome:LupAngTanjil_v1.0:LG03:26498961:26501824:-1 gene:TanjilG_32941 transcript:OIW14599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKLWKWYQKCLAFHPVKTQVISSGVIWGVGDIAAQVVTHSIPNKTLSHFKDGNEDFKINWKRVATTSLFGFGFVGPVGHYWFEYLDRYIRLKLLLKPNSFSFVASKVAIDGFIFGPFDLLMFFTYMGFSTGKSVSQIKEDVKRDFLPAFVLEGSIWPIVQVGNFRFVPVRYQLLYVNFFCLLDSCFLSWVEQQEDAQWKQWVKSFLPLEKQKPQG >OIW15039 pep chromosome:LupAngTanjil_v1.0:LG03:22600873:22606048:1 gene:TanjilG_13966 transcript:OIW15039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTFNQPSSGVTTRHHNFNSHRQSPSQQPPPPPPSYGVQTSHPPHNSFHHWNPGVSNPPDKTSTLRRPNFDITLRTGRRSFRRDEFLALISECDLKPEFKSFPASDRIAGVIGFRQWCDALDAVVWFWKTRLNEIHDLMPELTSYVIVPSDMNELERRLKALFTYHVKCLMNEGKEVKRLNVEIDRLSKEIDSFCKVLSKPLPIPVYNQRVEKKKGLVAEKNLIEKRIREFKNAMECLVKKFEEEEEDDDEEEGKNNKVLEDEESVVSVFKFEGRLDWKKVHCMIMRERKRLEQGLPIYAYRSDIIQQVHSHQVTVLIGETGSGKSTQLVQFLADSGVGANESIVCTQPRKIASKSVSERVQEESSGCYGDNTIKNYSTFSSSKCFDSRITFMTDNCLLQHYMDDKNLFGVSCIIIDEAHERSLNTDILLAVIKNLLSRRVDMRLIIMSATADAEQLSDYFYGCGILHVLGRNFPVDVKYVPSDNMEHSGSAVIIALYVSDVVRMATEIHKTEKEGSILAFLTSQVEVEWACDKFRDTSAVALPLHGKLSSEEQFHVFQNYSGKRKVIFATNLAETSLTIPGVKYVIDSGFVKDCRFDPSSGMSVLKVCRISQSSALQRAGRAGRTEPGRCYRLYSEDDFQSMEPTQEPEIRRVHLGVAVLRILALGVKNVQEFDFVDAPSSRSIEMAIKNLIQLGVITLNKNVYGLTHEGRCLVRLGVEPRLGKLILGCCRYGMGREGVVLAALMANASTIFCRVGNEDDKQRSDCHKVQFCHCDGDLFTLLSVYKEWEALPRDSKNKWCWENSINAKSMRRCQDIVVELESCLERELCIVTPNYWHWNPYKPSDYDEYLKKVILASLAENVAMYSGSGISTSRWGSGSTT >OIW14808 pep chromosome:LupAngTanjil_v1.0:LG03:24847657:24851423:-1 gene:TanjilG_05429 transcript:OIW14808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGKYTHARSLGKKLSTFTLVLITFSILTLILMLLLSLGIVYLPTISDDSSVADLSAFRRKTISESDEGLVEKQKQWTEVLSWEPRAFVYHNFLSKEECEYLISLAKPHMVKSSVVDSKTGKSTESRVRTSSGMFLKRGKDKIIQKIEKRIADFTFIPVENGEGLQVLHYEVGQKYEPHYDYFVDEFNTKNGGQRVATVLMYLSDVEEGGETVFPAAKANFSSVPWWNDLSECARKGLSVKPKMGDALLFWSMRPDATLDPSSLHGGCPVIRGNKWSSTKWMHLEEFKV >OIW14765 pep chromosome:LupAngTanjil_v1.0:LG03:25117585:25118627:1 gene:TanjilG_05386 transcript:OIW14765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWRRTVGNMRSFVSNSMGGLRGGTNFASWVVAGTLAYFLWIKPSQDLKRHHQEKAALAALEPDPYRYVETRKPIPDPQVRFRCTGILETYRVYVKVVFEGLLSLASETQMRAAWLYEHSRVAVCYIGLFLPLGNQD >OIW14647 pep chromosome:LupAngTanjil_v1.0:LG03:26052422:26066167:1 gene:TanjilG_32989 transcript:OIW14647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLVYNQLAPFFLLLLQWMDCSCAGFLLHRYLNLFHILIYKRLHGSLEKFDISEEEHSSIEEGSSHGKKKKMMMMIEGDVKLNNVDLQREDECGICLEPCTKMVLPNCCHNMCIRCYRKWNTRSQSCPFCRGSLRRVNSEDLWVLTCDEDVVDVETVSKEDLWRFYLYINKLPKDHPDALFLISEYCLMSESNVTDQISEPDLDPGHEIVHTKSNEVITESTIEEDVNVATNQIIEHVDQNDAVWEDPVAVAEDVKSTEDNLFVDASDEVENEENEEAKVEGDDEVMHQLEGVGDGFTFFNGELEQLRLMLEKVVAEKESIVQEYQEERGAFAQGVFDLHCELKALTGKQSSLDEAEVRDVADVPLKMVKECLEFVKTASEERPKSEATIGNLHELLSMKDREIEDLNTKIAQLTASNESFHISSEAQLEKDRNIEIAIDKTIFSLATVVNQEQLLDSTLRGKVVSVEEGTMLLIEKYNQFLSDIYQLGQTFSEVGLDTREHGNGNILVDACGGLLELKRKEEELAEKLARLEDENRKLVEELDKESATIVSLNTEIGNMKTELEQEKVKTTNTKEKLSMAVTKGKALVQQRDSLKNFLADKSRELEKCLTELQEMKVALEAAELTKEELARSENMVASLQSSLLQSNKNLEQIEEILSHTELDQPEISDIPEKLRWILEDRNMLKGSFLVLCNLKDALSLSDLPEPISSSDLESQMIWLRDAFHTARDNMYSLQEEISAVKEASRNYIDRFSISLLLELQEKEYLQSELTVLMYDFEELSGNNHQLSLEKDQIIKTLVDLAGVNLEDEGIDQTPSSTSMIIDLCSQAIKGQSAHFSRASYVDAEVFETIQSLLYVRDIGLMLYEDIHEEDMLIRGDVNKLSNELKVVSEEVIALKEERSSLLKDLERSEEKSSMLRDKLSMAVKKGKGLVQDRDNLKGLINEKNTEIKQLKFDLQKQESAVSEYRDQIDRLSHDVESIPKLEADLVEIEKERNQFEQFLMDSNNMLQRVVECVDGIVLPSDPVFGEPVEKVKWLAGFVSECQNAKVHVEQELQLITEEAGILESKLAEAQATVKSLEQGLSSSEDSVSRLSEEKTELEHEKARAKEELQKVNEKFDEVNGSTKLLEDALSQAEKDISVLSNEKEQAQVGRLAAETELERAKEEAARHSSELAEANMTIKDLEDKLSQLESNVSSLTEKHNADQVFKTDMEIELKKLQDEAASHATKLEEANATVQSLEDALLKAQDDISALEDADKIAKEEISSLGFKLKSCMDELAGKNGSLENKSVELIGILTDLHVVMEDNALFPRVKQCFERKFETLKDMSLILNKIGDHIVPMTAKGSEGDAMMKEDALVRKAFLDGFENFEVEFDNREIDGTDINTLISSVGKVVKGFQLRNKYIADKFDEFSDSLDEVISPLREKLLETETTIMTIVEDVEVMKDKTSISEKLKEEKENVIATLENNISLLLSACTDATSDLQIEKLNPEVDAQSELQKNSNYVETTENELRNKLKEATDAFELVTDERDLHKNRVLQLESDIQLLQNDCSELRNNLEGYHALEEKLKEKEVEVSSLHSTLLAKDQEAGGFLLSASQTRDLFDKIDRIKTPIAESGDDIEPHTSNPAKKLFYIIDSVTRLQHQIYSLSHDKEELQSTLDSLSHDKEKLQSTLKTNVLVIQDLKEEVKQLNRNWEESKMVKNELSELTFALKKVMDVAGASDWVVDRKSMGMKELIPALEKHIMTILLESENSKSKAQELGVELVGRQKVIDELMTKAKLLEDSLHERASQPEIVQERSIFEAPLLPAGSEITEVEEAPLGKKAVPPVPSAAHARSMRKGSADHLALDINVESAHLINSAYTDDDDKGHAFKSLNTSGFIPKQGKLIADRVDGIWVSGGRVLMSAPRARLGVIGYFLILHLWLLATIL >OIW15286 pep chromosome:LupAngTanjil_v1.0:LG03:14805888:14810840:1 gene:TanjilG_08083 transcript:OIW15286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLLVHASLIEASELLSNTIFTIFETVKAANEVVIHKENFKKFSIHLEKISFTLKSLSKEDTHNPERLINAMNALNREVQVAKKLVFECNNRGKVYLLINARRIVSQMKGCIEDISRAVSLIPLSSLDINSGLNKEIGELCQNMLDSEYQTAAADEEILEKIETAINEGNADRSYANQLLVCIADAIGVSTDHAALKREFEELKSDMESAKSRKDMAEVLHMEQIIAVLEKSDSITSAREKEKKYYERRNSLGRRPLVPLHSFYCPISLDIMVDPVETSSGKTFERSAIKRWFAEGNNHCPLTMLPVDTSILRPNKTLRQSIQEWKDRNTIITISNIKSELEKEDEEEEILQSLNRLQDICLEREVHREWLKMENYIAVLVGLLGSKNREIRKHVLIILSLLAVDSEENKEDIAKVDNALGSIVRSLARQIEESKLALELLLELSKSEMVRGLVGNIQGSILLLMTMLNSDDVEASKNAHELLENLSFLEQNVIEMAKANYLKPLMLNLSTGPESMKITMTETLSKIALTDQNKLSLVKDGALKPLLHLLLHNDVEIKEVVVKALLQLSTLPENGLQMIREGVAQPLLELLYCHSIQSPTLREQVVSTIMHLAISTTHQQAEEEQVSLLDSEEDIYKFFSLISLTGPEIQNMILKAFQALCKSFSGFTTRKRLRQISAAIVLVHLVELNSQTVLVDALKLFYCLAEDGDFSNFSPHVTESFIKVLLTIIEASDEAEEMVTAMGIISKLPQESHITQWLLDSRALQIILACLTDQIKHASHKKQVVEISVQALCRFTVSSNVELQKRVAEEGLIPVLVRLLVFGTPFSKQNAAISIKQFSESSYQLSKLIKKPSIFKACFIASEIGCPAHKGTCTVESSFCIIQANALDPLVRLLADQDIETCEASLDALLTLLDVEAPQSGSKVLADANAITPMIKLLSLQATTLQEKTLIALEQIFQVDEVKNKYKTKATMPLVDMTQNKDSRLKSLAAKCLAQLGVLDKQSSYF >OIW15168 pep chromosome:LupAngTanjil_v1.0:LG03:19654441:19655889:1 gene:TanjilG_21144 transcript:OIW15168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCREGFMSPQTETKASVGFKAGVKDYKLTYYTPDYKTKDTDILAAFRVTPQPGVPPEEAGAAVAAESSTGTWTTVWTDGLTSLDRYKGRCYHIEPVAGEESQFIAYVAYPLDLFEEGSVTNMFTSIVGNVFGFKALRALRLEDLRIPNAYVKTFQGPPHGIQVERDKLNKYGRPLLGCTIKPKLGLSAKNYGRAVYECLRGGLDFTKDDENVNSQPFMRWRDRFLFCAEALYKAQAETGEIKGHYLNATAGTCEEMIKRAVFARELGVPIVMHDYLTGGFTANTTLSHYCRDNGLLLHIHRAMHAVIDRQKNHGMHFRVLAKALRLSGGDHIHSGTVVGKLEGEREITLGFVDLLRDDFVEKDRSRGIYFTQDWVSLPGVLPVASGGIHVWHMPALTEIFGDDSVLQFGGGTLGHPWGNAPGAVANRVALEACVQARNEGRDLASEGNQIIREASKWSPELAAACEVWKEIKFEFQAMDTL >OIW15812 pep chromosome:LupAngTanjil_v1.0:LG03:862228:866924:-1 gene:TanjilG_04347 transcript:OIW15812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSLQFQFLNLKPLHSPSTFTIPNSTSIKCFATKRSYTITLLPGDGIGPEVISVAKDVLLLTGSIEGIKYEFQEKLLGGAALDATGVPLPEDTLSVAKQSDAVLLGAIGGYKWDKNEKHLKPETGLLQLRAGLEVFANLRPATVFPQLVDASTLRREIAEGVDLMVVRELTGGIYFGKPRGFGTNENGEETGYNTEIYAAHEIDRIARFAFEVARKRRGKLCSVDKANVLEASMFWRKRVLAFTQEYPDVELSHMYVDNAAMQLIRNPKQFDTIVTNNIFGDILSDEASMITGSIGMLPSASLGDSGPGLFEPIHGSAPDIAGQDKANPFATVLSAAMLLRYGLGEDKAAERIEKAVMETLNRGFRTADIYSSGTKLVGCKQLGEEILKSVESHVPAGAV >OIW14440 pep chromosome:LupAngTanjil_v1.0:LG03:28951067:28956657:-1 gene:TanjilG_15353 transcript:OIW14440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKDMILMVVLMMGMAIDTGWKVEAAKKVQWKMHTLFSVECQNYFDWQTVGLMHSYRKAKQQGHITRLLSCTDEEKKSYKGMHLAPTFEVPSFSNNPINGDWYPAINKPAGVVHWLKHSKEAEHVDWVVILDADMIIRGPIIPWELGAEKGRPVAAYYGYLRGCDNILAQLHTKHPELCDKVGGLLAMHIDDLRALAPVWLAKTEEVRQDRAHWGANITGDIYEKGWISEMYGYSFAAAEIGLRHKINDNLMIYPGYVPREGIEPILVHYGLPFSVGNWSFSKADHEEDEIIYNCGRLFPKPPYPREVKKLAIDPNRRRGLFLSIECINILNEGLLLHHAENGCPKPGWTKYVNFLKSTTFAVLTQPKYVTPATLEMMEDTIQEHVDHDADRPFPKIHTIFSTECTSYFDWQTVGLMYSFHKSGQPGNITRLLSCSDADLKLYKGHKLAPTHYVPSMSRHPLTGDWYPAINKPAAVLHWLNHANIDAEFIVILDADMILRGPITPWEFKAARGQPVSTPYDYLIGCDNELAKLHTSHPEACDKVGGVIIMHIDDLRKFALLWLHKTEEVRADRAHYARNITGDIYESGWISEMYGYSFGAAELKLRHTINSKILIYPGYVPEPGITYRVFHYGLRFSVGNWSFDKADWRDIDMVSRCWAKFPDPPDPLTLNHANEKNLQQNLLSIECVKMLNEALHRHHEQRDCPGAKSLSTLKRETEEEILISRKLGNLNGNFDSSVHKDGGIPNSFRISVIFLCACSALGFLLVIVMLCSGHKRRGTKMKHPKSRRRNMYPAYMGT >OIW15162 pep chromosome:LupAngTanjil_v1.0:LG03:20169300:20171143:1 gene:TanjilG_30833 transcript:OIW15162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHHSVHRFVAIITASFVFLGLIALLVANTAMVEPNRIWGDKCSMADIVITQGPTTPLPNGIPTYTVDIINMCLNGCDISGIHLSCGWFSSARLINPKLFKRLHYNDCLVNDGRPLINGDSISFQYANTFLYPLSVSKVICV >OIW15912 pep chromosome:LupAngTanjil_v1.0:LG03:1554362:1559047:-1 gene:TanjilG_04447 transcript:OIW15912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIKEVSTVIAIDKDKNSKYAVKWAVDNLLMKNTSCTLIHVIRTKALHPRNVENASKQGRPPTNEELHQFFLPFRGFCARKGIVAKELVLHDIDVPSALTEYIIENNIRSIVVGAPNWNVFRRIFNDADVPSSLVRSAPESCTIHVVSKRKVMNLQPLGPSENKIIKPTTKLLKETLSLKGNSTSQDLFFRKSYKNGILRQSSDVIYFGQNNNAIQQGKAYISSEESNPPTLSDENNLSKLIDGSKLNENQDAVRNSNSSSSTNSSSKSPRTLETEINKLKLELKKTTEEYTKACREAVMAKVKAMDLEKFMFEEEQNIEKARLGEEAALALAEVEKQKTKAAIESAEMSRCLAAIEIKKRKQTEKRAMQKEEEKQIALNTNQPDNILCKRYNIKEIEDATNCFDKALKLGEGGYGPVFKGLLDNTVVAIKALRSNITQGDRQFQQEVDVLTTVRHPNMVLLLGVCPENGCLVYEFTENGTLEDRLFQKDNTPPLPWNLRFKIASEIATGLLFLHQTKPEPIVHRDLKPSNILLTKNYVTKIADVGLARLVPPSAADKTTQYHMTATAGTFFYIDPEYQQTGLLGVKSDIYSLGVVLLQIITGKGPMGVARLVEEAIHEGKFEEVLDPNVKDWPVEETLSLASLALKCCEMRKRDRPDLGSVILPELNRLSDLGGVLDTDIVTASR >OIW15274 pep chromosome:LupAngTanjil_v1.0:LG03:15036587:15038981:1 gene:TanjilG_08071 transcript:OIW15274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLDSIECVSSSDGIDEDEIHLHHHQSEFSSAKPRNGGTNHNNSAVPSAIAPATSVHELLECPVCTNSMYPPIHQCHNGHTLCSTCKTRVHNRCPTCRQELGDIRCLALEKVAESLELPCKFYSLGCPEIFPYYSKLKHETLCDFRPYSCPYAGSECSIVGDIPFLVAHLRDDHKVDMHTGCTFNHRYVKSNPREVENATWMLTVFHCFGQYFCLHFEAFQIGTAPVYMGFLRFMGDENEARNYSYSLEVGGNGRKLIWEGMPRSVRDSHRKVRDSHDGLIIQRSMALFFSGGDRKELKLRITGRIWKEQQNPDARVSIPNLCS >OIW15666 pep chromosome:LupAngTanjil_v1.0:LG03:3470461:3473089:-1 gene:TanjilG_09604 transcript:OIW15666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGSLGVRKGAWSKVEDELLRSCVEQYGEGKWHLVPKRAGLNRCRKSCRLRWLNYLKPNINRGEFTEEEVDLMIRLHKLLGNRWSLIAGRLPGRTSNDVKNYWNTYIRKKLPSQREDINERPKEIVREPSSVIKPQPWNISTKMPFLRDKEDHSEDKECITNQGCLATASECNKENDTSWFDVQDWTLLKDFNWDANLNSLTTNVEQFVVEDQSWSDVPFNINLWDT >OIW14664 pep chromosome:LupAngTanjil_v1.0:LG03:25910734:25911006:1 gene:TanjilG_33006 transcript:OIW14664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFIMEFAENLVLKIMEDPKERDLKFRTYLYEAKEGGRKNIEKRSYPMRPYGFWTFERHNSQIAWDAQISNVPGRRDPYDEVLQHYTTSK >OIW14720 pep chromosome:LupAngTanjil_v1.0:LG03:25495645:25497089:-1 gene:TanjilG_33062 transcript:OIW14720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SEGMKKRRYRAGALALRQIRHIQKTFHLLIPAAPFIRCVKQITNLLSVEVSRWTPEAMAAEDYLVHLFEDGMFCAIHAKRVTLMKKDLELARRLGGVGRPW >OIW15175 pep chromosome:LupAngTanjil_v1.0:LG03:19895904:19897485:1 gene:TanjilG_21151 transcript:OIW15175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGNSRKFLAKKILGIYLSTLLLKKADGARAWKLTAFQCLDFSAGDVLDSLEEDNIIRKGGADIVYKGAMPNKYLVAVKGLPSTMTSFVLQENAMPDAEWKGYEELSTVSSSYCSGQLDHSRVLYTRHSPC >OIW15556 pep chromosome:LupAngTanjil_v1.0:LG03:5435043:5437296:-1 gene:TanjilG_01079 transcript:OIW15556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFEHGNKSILKCPESSGLSTNVSEMGISSVSMDKPHTPSDHVTNPYLPSNAWDPLVSLSQAQTFGGSSMVSHSDFGRNSSYPLVLEDQGMSSTSHIVQYMSESNLGDMVSKVPSYGSGNFSEIVGTFGQPGFGDIANTNTGYRPDYNVIKDAGNEMVPIIGEEYQLEDSATEEGATGSGPSGNRRKRSIDHNSSFSPNKNANGDVLKDSPGKSYDGAKEHEKKQKVEQNSSADLHHKQPVKQAKENSLSGEATKDNFIHVRARRGQATNSHSLAERVRREKISERMRLLQELVPGCNKITGKAVMLDEIINYVQSLQQQVEFLSMKLATVNPELNFDVEQILSKDILQSRIGGHGIGGYGPSLSSSHPFPNASFHGTMNGMPSTSTQFHPLPQSLSDHEFQSFYGMRYDSSTTHDNLGPDGNIGIIF >OIW15421 pep chromosome:LupAngTanjil_v1.0:LG03:10387688:10391411:1 gene:TanjilG_12275 transcript:OIW15421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVPSSSPPPLTNPDASNSGHSPNNKDEGATNNGVFYTDEKKPPLSFGLDHLDSTQYIERFRKNDAEYTRRLMSKYFSGKSLYGGNIYEEQMTIGDEIIKSSRLPCFRSYTDLVVGFEEQCSNGSTPPADTQTSMSSGKHTAKKNS >OIW15664 pep chromosome:LupAngTanjil_v1.0:LG03:3269049:3273173:-1 gene:TanjilG_09602 transcript:OIW15664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGVVLSGERLRLVGVEEEVEEEERIKELKGLMRWEKFLPKMVLRVLVVEADDSTRQIIAALLRKCSYKVAAVPDGLKAWEILKGRPHNIDLILTEVDLPSISGYALLTLIMEHEICKNIPVIMMSSQDSVSTVYKCMLRGAADYLVKPLRKNELRNLWQHVWRRQSSAIGVNGPRDESTAQQKVEATAENNATSNHSSGDAACIQRNKELIEKGSDAQSSCTKPDLEAESGLVETVREFSPRTCAEAYPIGTNTQEAETSIRLGQALIKHDSHAGGLTVGITKNGEASITSGRDGDPDHFRSAEISGEAHDNEYVQISYFKEAIDLIGAFQTRPSCSLKNSTVDCTGKFDFSPQLDLSLRRSHPSNFENELSEERHTLMHSTASAFKRYTNRPLQAASAVLVNFSDKQREHRINGEKNIPVVANGCNSDSSKPNMQRCIMPPATAQSKESEFANSHSQQGHFFPIPVKGARFNDLCADYGSILPPIFRTQSGPPSMPSPQSVVLLEPTFQLNAFYQSNIRENGSDQLSEYCGPNGNSTPNHMAYTQGHRSEHVEDRGQISPANDRSVSSSFCNGNASNLNSVGYGSNCGNSSNIDQVAIVTAASEEGKNEDLTNNGSAYRSTLREAALNKFRLKRKERCYEKKVRYESRKKLAEQRPRVKGQFVRQAHPDPLSVEKDGKEYQ >OIW15772 pep chromosome:LupAngTanjil_v1.0:LG03:551501:567828:1 gene:TanjilG_04307 transcript:OIW15772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSESHSDLPVHLHFPPLTSSLFDAEEDSPNQEPNGVVHGSSSLPQPQSLDEVSIRALIPSSEPSSPSSSGYAGERGSVSTTATSIVSEIHEIVVQHEIEELTIDDDQYHDAVSNCNDHVPTGKLRSDDEDDSSMSWRKRKKHFFVLSHSGKPIYSRYGDEHKLAGFSATLQAIISFVENGGDRVKLVRAGKHQVVFLVKGPIYLVCISCTEEPYESLRGQLELIYDQMIVILTKSVNKCFERNPKFDMTPLLGGTDIVFSSLIQSFSWNPATFLHAYTCLPLAYATRQAAGAILQDVADSGVLFAILMCRHKVISLVSAQKATLHPDDMLLLANFVMSSESLRQADTYLMLLTTSSDAFYHLKDCRIRIEMVLLKSNVLSEAQRSLLDGGMRVEDLPPLPHSGSSSHLGQNRLPLDSPDRLREPNSGIGGAAGLWHFIYRSIYLDQYVSSEFSSPINTPQQQKRLYRAYQKLFVSMHDKGTGPHKTQFRRDENYALLCWVTQDFELYAAFDPLADKVDELLDKENFTLEELLDEEEIIQECKALNSRLINFLRDRAQVEQLLRYIVEEPPEDAESKRALKFPFIACEIFSCEVDVILKTLVDEEELMNLLFSFLEPNRSHSASLAGYFSKVVICLMIRKTTQLMNYVQAHQNIFRQLVDLIGTTSIMEVLVRLVGADDHVYPHFTDVVQWLAESDLLEMIVDKLSPSSPPEVHANAAETLCTITRSASSTLAIKLSSPSFVSKFLGHALEDSHSKSSLVNSLSVCISLLDPKRSSISPTLFQSFGSQHMYEPSIPVNPETIGAMLPKLSELLKLLNLSSDEKVLPTTYGELRPPLGKHRLKIVEFMAVLLETGNEVAEKEMINSGTIRRVIDLFFEYPYNNSLHHNVESIIISCLESKTDVIVDHLLQECDLITRFIQADKQSILYADSNQPTVPTAGKRAPRTGNLGHITRIVNRLVHLAHNRSHILKYLEENSEWNEWQAIVLEERNVVQNVQGWACGRPIALQDRMRDSDDDDLHDRDYDVTDLANNLSQAFKYKIYGTDDNEEEHGGLDRDDEDVYFDDDSAQVVISSLRLGDDDQGSNLFTNSNWFAFQDDRMGDAPGDTGSSEMMDEINLNGAANGGNSSDDEVVVGEDEELDESKNTVNDTSSMKFSGLTGSYSTNEGTLTFENEKASFSNDTGFFNFEAPDNEDLFGDKALPAWVEWGEPSDVQVAGSGFNPFVDQDESSNSISTEPQPGSRNPNSPSNEESIPSNGSPTTKDSFVGDGDISHGSVPVPSLFEEDVEFVGVELEGTEKAMEQALKEGTVGEAGPLKKNIVSKVTEKENSQEGGSGMKEFNDANYWRIDQKVGVLE >OIW15487 pep chromosome:LupAngTanjil_v1.0:LG03:7217810:7220918:-1 gene:TanjilG_32891 transcript:OIW15487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITLLDLYHVLTAVVPLYVAMILAYGSVKWWKIFSPDQCSGINRFVALFAVPLLSFHFISTNNPYKMNYKFIAADSLQKTIVLIVLAIWSRFSSRGSLEWSITLFSLSTLPNTLVMGIPLLKGMYGDSSGTLMVQIVVLQCIIWYTLMLFLFEYRGARLLIVEQFPDTAGSIISFKVDSDVLSLDGKEPLQTEAEVGEDGKLHVTVRKSTSSRSEIFSRRSHGPNSGVSLTPRPSNLTNAEIYSLQSSRNPTPRGSSFNHSDFYSMVNGRNISGVSPRQSNFGNLGYDEEGGGNVSRTNNGYPGPPNNGIFSPVTGSKKKGNGGDGGKDLHMFVWSSSASPVSEGGIHVFRGGDYGNELGGVASHHKDYDEFGHDEFSFRNRTVTDGVDKEGPVLSKLGSSSTTELHPKNSEGESRPTSMPPTSVMTRLILIMVWRKLIRNPNTYSSLIGLTWSLISFRWNVVMPAIVAGSISILSNAGLGMAMFSLGLFMALQPRIIACGNSVASFAMAVRFLTGPAVMAVASIVVGLRGVLLHIAIVQAALPQGIVPFVFAKEYNVHPDILSTGVIFGMLIALPITLVYYILLGL >OIW15457 pep chromosome:LupAngTanjil_v1.0:LG03:10218786:10221837:1 gene:TanjilG_28656 transcript:OIW15457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLHISPSLRHVSVLHGKGLKEFIKVKVASKRFSCRKLFYSILFFTFLLRFVFVLTSVDVIDGENKCSTIGCLGKKLGPRILGRSHESTIPEVIYQTLQEPLSKDELQGRLDIPQTLEDFMIEMKKGGYDVKTFAVKLREMVTLMEQRTRMAKIQEYLYRHVASSSIPKQLHCLALRLANEHSNNAAARLQLPSAELVPALVDNSCFHFVLASDNVLAASVVATSLVRNCLQPQKVVLHIITDTKTYYPMQAWFSLHPLSPAIIEVKALHHFDWFTKGKVPVLEAMEKDQKVRSQFRGGSSAIVANTTEKPNVIAAKLQALNPKYNSVMNHIRIHLPELFPSLNKVVFLDDDVVVQTDLTPLWDIDMNGKVNGAVETCSGEDKFVMSKRLKSYLNFSHPLISENFNPNECAWAYGMNIFDLEAWRKTNISLTYHYWVEQNIKSDLSLWQLGTLPPGLIAFHDHVHIIDPFWHMLGLGYQENTSFSDVESAGVIHFNGRAKPWLEIAFPQLRPLWTKYVDFSDKIIKSCHIRAP >OIW15428 pep chromosome:LupAngTanjil_v1.0:LG03:10672331:10674747:-1 gene:TanjilG_12282 transcript:OIW15428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEIVGGGGSSSESNVEMLYGRPPTFAALSSRCRRQRIFSSIPGRGNWVIKKYSRRFMMYIIRSMSDRIEKVIRMLYQLASRVAPDSGVGVPDGRDGKPWRSLEADVGCDLEWHDHTESSRTPSTVVPLPHFTEAPSTPLPSAKVPSSPAPFVQVTLLPPPTQMTKGRSMLDKGKATNSCPTTDRLGKKINNIPDSRGIDQQLLSASTKKSFHKIMLPPLFKNLKQWKIMSASMMNFFKMRGFLDVGFGEHWMRHAKDRAAKVEEGLTLRPEEVSKVKATLDEENAKEEIQLGHPDEHLSWMPIDDFVGIDELVFEDPNGTLIKMSFPSKDM >OIW14756 pep chromosome:LupAngTanjil_v1.0:LG03:25176300:25176911:1 gene:TanjilG_05377 transcript:OIW14756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDQNVPREGFHNKCEDSLVKNAQLVTIEKKLVVDDFEVGSNISTYGDNDINAKNKKVVKDFEPRPNLSAYGENDVDAKKNEVVKDLEPRLKFSAYGDDTNVKEKNTVKDFEPRPNISAYGGNIDVKENNVVEDFEPRPNITAYGEDIDAKEKDVVKDFEPRPNLSAYGEDINAKENVVKDFEPRPNISAYGEKDVDAKKRKS >OIW14708 pep chromosome:LupAngTanjil_v1.0:LG03:25550756:25559470:1 gene:TanjilG_33050 transcript:OIW14708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSQPLLLPQHTPTPTRLASLDVFRGLSVFMMILVDYGGSIFPFISHSPWNGLHLADLVMPFFLFIVGISLSLSYKTNPSHFRNSATSKSLLRALKIATLGIILQGGFFHGTTSNTYGVDILTIRFLGILQRISIGYIVAALCEIWLPSRQGEQFGFFKTYYSHWFVALILLALYSGLLYGLYVPDWQFDVSVSTSSSPPIDGNNIYTVNCSVRGDLGPACNSAGMIDRYILGLDHLYRKPVYRNLKECNMSAKGQVLDGSPSWCHAPFDPEGILSSITAAVSCIIGLQYGHILVHQEVRYNQVYGTSDHKDRLDNWLRFSFSFLALGLFLASIGIPINKSLYTISYMLLTSAASGLTFIALYVLVDVYGQRRLTSVLEWMGKHAMSIFVLVSSNLAVIAIQGFYWTKPDNNIKEAKVVRSAMGCSNLFSNAAVYVSLTTKYNNHSFSFSLTPSSTTSPTQVTRVITNNDSSLKPSFGRLKPQKLKPLIHKTKHQKSSSNSNRNRNRDKDDDDNGDEEEDNSNAASHFKASKGRGWGDGGGSYRAESSQTYLRKTTPDTNFFSLKSFTDLGYSHYMIQSLKKLSFTSPSHIQAMAFAPVIGGKTCVVADQSGSGKTLAYLVPIIQRLRQEELDGHSKSSSQAPRVVVLAPTAELASQVLDNCRSMSKSGVPFKSMVITGGFRQRTQLENLKQGVDVLIATPGRFLSLIKEGLLQLTNLRCAVLDEVDILFGDEDFEVALQHLINSSPVATQYLFVTATLPKDIYSKLAEVFPDCEMIMGPSMHRISSRLEEVLVDCSGEDGQEKTPDTAFLNKKSALLQLAEETPVPRTIVFCNKIETCRKVENALTRFDRKGSNIQVLPFHAAMTQESRHASMKEFTRSPSKQVSQFMVCTDRASRGIDFAGVDHVILFDFPRDPSEYVRRVGRTARGARGEGKAFIFVVGKQISLARKIMERNRKGHPLHDVPSAYEL >OIW14876 pep chromosome:LupAngTanjil_v1.0:LG03:24329991:24331791:-1 gene:TanjilG_30595 transcript:OIW14876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAIGRSFTFQPCSLFSNKGVDKTSPVGKYSWLGSDAAAARAGVTQRRRQISVAAQQRPTWLPGLDPPPYLDGTLAGDFGFDPLGLAEDPKSLRWYVQAELVHSRFAMLGVLGILVTDLLRVTGLSKIPVWYEAGAAKYEFANTGTLIIVQLLLMGFAETKRYMDFVSPGSQAKEGSFFGLEASLEGLEPGYPGGPLLNPLGLAKDIKNAHDWKLKEIKNGRLAMVAILGIFVQASVTHVGPIDNLVEHLSNPWHKTIIQTLASSTS >OIW15489 pep chromosome:LupAngTanjil_v1.0:LG03:7318983:7319234:-1 gene:TanjilG_32893 transcript:OIW15489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFLFISLFLIMLLLLSTTTSSTTAEKARRFDRFKGGSSSSELKSSEFHVGVQGNKADKNIGDQVFGADKRKVYTGPNPLHNR >OIW15806 pep chromosome:LupAngTanjil_v1.0:LG03:824580:825839:-1 gene:TanjilG_04341 transcript:OIW15806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSISFPYSNTLNLNHIFSPKRVAPTRLTVRSVYGFEPLTRSDWQSSCAILTSNVASQELNDGAAADHVPAVNDHKNAFTDLKLLPNGKLTVGEKNDKPFQPKPLTISDLSPAPMHGSQLRVAYQGVPGAYSEAAAGKAYPNCEAIPCDQFDVAFKAVELWIADRAVLPVENSLGGSIHRNYDLLLRHRLHIVGEVQLPVHHCLLALPGIRKEYLTRVISHPQALSQCEHTLTKLGLNVAREAVDDTAGAAEFVANNNLRDTAAIASARAADLYGLNVLADGIQDDPNNVTRFVMLAREPIIPRTDRPFKTSIVFAHDKGTSVLFKVLSAFAFRNISLTKIESRPHRNRPIRLVDDENVGTAKHFEYLFYVDFEASMADVRAQNALSEVQEFTSFLRVLGSYPMDMTPWNPSSSIGDHH >OIW15270 pep chromosome:LupAngTanjil_v1.0:LG03:15132516:15133370:-1 gene:TanjilG_08067 transcript:OIW15270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFIVALALLFGLLLALLLIPRHHKSGQKGNAHSTLNNKDKESKSFSKSEVSLHHKRTDCWIIIKNKVYDVTPYVEEHPGGDAILAHAGDDSTEGFFGYASVPFYPLFVCDEDTPSGFMFVFSL >OIW14653 pep chromosome:LupAngTanjil_v1.0:LG03:26009407:26010870:1 gene:TanjilG_32995 transcript:OIW14653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANRWLRPEVYPLFASVGLAVGICGMQLVRNISTNPEVRVTKEHRTAGILDNQAEGEKYSQHFVRKFVRGKSAQIMPSLNKFFSDPNLE >OIW15800 pep chromosome:LupAngTanjil_v1.0:LG03:792400:795537:1 gene:TanjilG_04335 transcript:OIW15800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METQVTQSSTISIQTEPPKTQVLDQKMTHANEDSSVLASEQKVKRKRGRPRKYDVNGNVLSSTYSMPSSDDHAIGSSSKRSRGRPRGSTNKHIITSTLAETSGGSFKPHVITVNKGEDVVKKILAFSEKNVPKAAVSVISATGSVSSVLFRNTNHSSIQKLEGCFEIVSLSGSYIFGADGDSLCKKGMFTILLSEPDGRIFGGILESSMIAATPIQLIVASFKQNTSKKTMKMQVPSIVEATRIPAENLIHATTTTTTTIGVSDNVIVANQNMKSEPINGVGLDIQAMQPITDQSIGAADNNANV >OIW14660 pep chromosome:LupAngTanjil_v1.0:LG03:25941239:25946609:1 gene:TanjilG_33002 transcript:OIW14660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFLSFVRRYNNNYPFKDKSGKFRGYPFLHIQGRGFSKAQVLNHNDEVLPVLIIGAGPVGLVLSILLTKLGIKCAILEKNRAFSKHPQAHFINNRSMEIFRKIDGLVEEIQWSQPPVDLWRKFIYCTSLSGSILGSVDHMQPQDLEHVVSPVSVAHFSQYKLTMLLHKRLENLGFQICGPESSEGNEKSHEKKIMMGHECISIDASNDFVTVTASSVNKEKRVEQNIHCNFLIGTDGARSTVRNLIGVEMRGEKDLQQLVSVHFFSKDLGQFLLKENPGMLFFIFNTEAIGVLVAHDLRQGEFVFQIPFYPPQETIEDFGRKECEKLIRKLVGREFADVDVIDIKPWVMHAEVAEKFISHDNRILLAGDAAHRFPPAGGFGMNTGIQDAHNLAWKVASVIKGIVPSSMLNTYELERKPIAIFNTNLSLENFRAAMSVPAALGLDPTIANTVHKFVNNGIGSILPSGLQKVALDGIFAIGRAQLSESVLNESNPLGSSRLAKLRKIFKEGKSLQLQFPAEDIGFRYLQGAIVPESYNAESPPGILTGRRRDYIPSTHPGSRLPHMFVKVIQKNEETISTLDLISGDKVEFLLIIAPVKESYHLAREAFKVVEEHKVSLKVCVIWSTDSVEDSKGALSPLKNYVDVVEVKKSPTSNWWDMCKMNKRGAILVRPDEHIAWRTSLGLDGDPRVEMQRVFSSVLGVC >OIW15660 pep chromosome:LupAngTanjil_v1.0:LG03:3819097:3821025:-1 gene:TanjilG_08416 transcript:OIW15660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHRTLLFSFLLTLHLSGVISTTFNIVNKCEYTVWPGILSNAGVPPLSTTGFVLQTGESTTITAPTSWGGRFWGRTHCSQDSTTGKFSCVTGDCGSGKLECSGNGATPPATLAEFTLDGAGGLDFFDVSLVDGYNVAMLVVPDGGSSAGNCGSTGCIGDLNGACPSELKVMSVEGDEGVVACKSACEAFGSEEYCCSGAYGTPDTCKPSSYSQVFKTACPRAYSYAYDDKTSTFTCESADYTITFCPVPNTSQKESQGQNTNHESSSNSTTSTLVDNGTMEYVGAADQSEISWATCVHVFQSQAISGIISITTLLWHLCLLF >OIW15337 pep chromosome:LupAngTanjil_v1.0:LG03:14735481:14735861:1 gene:TanjilG_10777 transcript:OIW15337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFGGDHNHNNHKHHNMMSFLLHIPHLHFHHQHHEKEDMKDIPKGYLAILVGQGEELQRFVIPVIYFNHPLFMQLLKEAEAEYGFEHKGPITIPCHVEEFRTVQGMIDRETSHHHQHNYHPWCFKV >OIW15063 pep chromosome:LupAngTanjil_v1.0:LG03:22833282:22840749:-1 gene:TanjilG_13990 transcript:OIW15063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGDELRVASARIGSSRIWRSNGFDGFSGSSRREEDEEEELKWAAIEKLPTFLRMSRGILSESEGQPGTEIHINKLGSLQRKDLVERLVKIAEEDNEKFLLKLRERIDRVGLDIPTIEVRFEHLNVEAEAHVGSRALPTNFNFCINLLEGSLNDLRLLPSRKKQFTVLHDVSGIIKPRRMTLLLGPPSSGKTTLLLALAGRLSKDLKLSGRVAYNGHGMEEFVPQRTSAYISQYDLHIGEMTVRETLAFSARCQGIGSRYEMLAELSRREKASNIKPDPDIDIYMKAAALEGQEANVVTDYILKILGLEVCADTMVGDDMIRGISGGQKKRVTTGEMLVGPARALFMDEISTGLDSSTTFQMINSLRQSIHILNGTAVISLLQPAPETYELFDDIILLSDGQIVYQGPRDNVLEFFEFMGFKCPERKGVADFLQEVTSRKDQEQYWANKDEPYSFITVKEFSDAFQSFHIGQKLGDELATPFDTSKGHPAVLTKNKYGVSKKELLKACVSREFLLMKRNSFVYIFKMWQLFFMAFITMTLFLRTEMHRDTVNDGGIYMGALFFTLIVIMFNGSAEINMTIVKLPVFYKQRELLFYPSWAYSLPTWILKIPITIIEVGIWVIMTYYVIGFDPNFERFIKQYFLLVCINQTASGLFRFMGAVGRNIIVANTFGSFALLALMALGGFVLSRVDVKKWWLWGYWVSPMMYAQNALAVNEFLGKSWAHVPPNSTQSLGVQVLKARGFFPEAYWYWIGVGACIGYMLLFNFLFPLALQYLNPFDKPQALISEEALAERNAVRKDHIIELSSTYKGSSDISRRNVSSRTLSARVGSFSAVHHSKKRGMVLPFTPLSITFDEIRYAVDMPQEMKAQGFPEDQLELLKGVNGAFRPGVLTALMGVSGAGKTTLMDVLSGRKTTGYVQGQITISGHPKKQETFARISGYCEQTDIHSPHVTVYESLVYSAWLRLPPEVDSSTRQMFIEEVMELVELTSLREALVGLPGVNGLSTEQRKRLTVAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFDAFDELILLKRGGEEIYVGPLGQHCSHLINYFEGINGVPKIRNGYNPATWMLEITSEAQEAALGVNFAEIYKNSDLYRRNKDLIRELSTPLPGSKDLYFPTTYSQTFLTQCMACLWKQRLSYWRNPPYSGVRFLFTTFIALMFGTIFWDIGSKSGRIQDLFNAMGSMYAAVLFIGVSNATSVQPIVAIERTVFYRERAAGMYSALPYAFGQIAIEIPYIFIQTAVYGIIVYAMIGFEWTASKFFWYLFFMFFTFLYFTFYGMMAVGATPDQNIAAITSFAFYLIWNLFSGFIIPQKSSNINTLIVPLLYLPQGKKISESSFLDPKRSIRVLPITKKECPYGGDGTSGFALCHGPYMDWLLHNMVMYKNI >OIW14644 pep chromosome:LupAngTanjil_v1.0:LG03:26086910:26087518:-1 gene:TanjilG_32986 transcript:OIW14644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTIATMAMINPMKLLTTPSSKPISLLSMHTIPKGLASTKLHAKTNMQTTTSSISIAGSAIAGAIFSSLGSCDAAFATQQLAQIAEGGGGGDNRGIALLLPIIPAIAWVLFNIFQPALNQINRMRSIKGVVIGLGLGLGGLLGASDASASEMAVIADAAAAATGSDNRGQLLLFVVAPAILWVLYNILQPALNQLNRMRSQ >OIW14368 pep chromosome:LupAngTanjil_v1.0:LG03:29917838:29919052:-1 gene:TanjilG_15722 transcript:OIW14368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVKAKNSSSIVGKEKKTPSSNSHIINGTKKTTKPLPQKTSSSTNPNYVKLKIRSQSESESPSSFKNPKSDVVSNKDNVNRRRSFDRPQPSSSNLSKQNQPSLTSRLQKALVSPGPHERKLSVRSSIVPVRNPIPTKNISNKIGSNKNLNDGKIKQVYVAKSTKKNTPNSVSTPTNIKKENNDHASPKMVSNIENEEVKEVTNEEVEVIKVANEENKVDHVSELSPKVLNCGSDCELDYVESRHEYDQGLEESDKPHIQDDDKRVISTMKEETKIEDDQEKEENKNHMDINNKNNTSHKEEDPDAEEVMVNEKEDGGDILINDHIHTSENNNEEEKEGETSEIVVEKIKVEETPQNQHGKKEPQLSNDVIEETTNKLLEQERKNKVRAMAGAFQTVIDYQTVSK >OIW14478 pep chromosome:LupAngTanjil_v1.0:LG03:28130223:28131927:1 gene:TanjilG_19894 transcript:OIW14478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLIFEHLAAYNQPEEQKFLIGLILMVPVYALESFLSLLDSSAAFNCEIIRDCYEAFALYCFERYLIACLGGEDKTIQFMETLSLTDSSTPLLKEAYAYGIVEHPFPLNCLLRDWYLGPDFYQYVKIGIVQYMILKMICALLAMILQSFGVYGEGKFEWKYG >OIW15656 pep chromosome:LupAngTanjil_v1.0:LG03:3723634:3725758:-1 gene:TanjilG_08412 transcript:OIW15656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQTIFNSESDEDNWVIQINQLVSETNLTILSKIPVCIYQVPKSLSCVMPEAFTPQLIAIGPYNHFCPELYPMERFKVCSAKRVLDYFNKHDFKHLVEQLHNTAPYIRACYHKYLDLKDDTLLYTIAIDALFLIEFYHNYVDEKVSSSFLAGLERAQMSGVKTKNAIIRDMIMVENQIPTYVLMRILSIGSSQPVDSVEEELGSMLLSFCDKHCPFKLTHKPITCSEAVTKYYHILDLMYHMAVSQHEKLDILTLEIEDVASSIDAGNYKRSSSENEDKDTMLKKVKDPIIWTLAFLKKLKDMHIPRPIKRHIDAIVNISSIDYGSSQTSPSKEKAPVVITIPSVCELHSVGIRFEPSEDGIVAIEFDEKKGIFYLPLIKLDVNSEVIMRNLVAHEALTKPDFLIFTKYAELMREIIDTEKDVKILKESNIIKSNSSLGIKEIDKLFNGMSKSIGPTKTKELDSTINKVIKYYHEKRKANLSRNITEYVYSSWKFFTLLSTFVLLAMTAIETVCTAYECSKVLKRI >OIW14619 pep chromosome:LupAngTanjil_v1.0:LG03:26313010:26319175:1 gene:TanjilG_32961 transcript:OIW14619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQRAKLLQQQQQQALMQQALLQQQQMYHPGMLAAAMSQMDPVPSGNLPPGFDTSACRSVYVGNINVNVTDQLLAEVFQSAGPLAGCKLIRKEKSSYGFVDYHDRASAALAIMTLHGRQLYGQALKVNWAYANSSREDTSGHFNVFVGDLSPEVTDAILFACFSVYPSCSDARVMWDHKTGRSKGYGFVSFRDHQDAQSAINDMTGKWLGNRQIRCNWATKGAGASSGEEKNNDNQNAVVLTNGSSDGGQENNNEDSPENNPAYTTVYVGNLPHDVTQTELHFQFHALGAGVIEEVRIQRDKGFGFVRYNTHDEAALAIQIGNGRIVRGKNMKCSWGSKPTPPGTASNPLPPPAQPYQMLPTAGMNQGYSPAELLAYQRQLALSQAAVSGLSGQALLQMTGQHGLAPASMGINSGASQAMYDGYTGNSSRQQLMYYR >OIW15349 pep chromosome:LupAngTanjil_v1.0:LG03:12965862:12966242:1 gene:TanjilG_26722 transcript:OIW15349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVPSSTGCIFSPGEDADMFVIPFMTRLGITNSWGGWSIIGGTITNPGIWSYEGVAGAHIVFSGLCFLAAIWHWVYWDLEIFSDERTGKPSLDLPKIFGIHLFLAGVACFGFGAFHVTGLYGPELV >OIW15013 pep chromosome:LupAngTanjil_v1.0:LG03:23046850:23047777:-1 gene:TanjilG_24122 transcript:OIW15013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLSQNTFHYRKRRVWLGTFETAEAAARAYDQAAILMNGQNAKTNFPTSKNEDEEVTPYDDDSFLSPKALSELLRTKLKKCCKDPSPSLTCLRLDADNSHIGVWQKGAGPRSDSSWVMRVELGNKQHKESAISSTLLTEPSPHTSVENDNNNDNIVVGNEVSEEDRIAMQMIEELLNWNYPCGSTSSTPQQNLPCQSS >OIW14750 pep chromosome:LupAngTanjil_v1.0:LG03:25212981:25214298:-1 gene:TanjilG_05371 transcript:OIW14750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKKDKAPPPSSKPAKSGGGKQKKKKWSKGKQKEKVNNQVLFDQATYDKLLSEAPKYKLITPSILSDRLRINGSLARKAIRDLMARGSIRMVSAHSSQQIYTRATNT >OIW14480 pep chromosome:LupAngTanjil_v1.0:LG03:28139313:28140965:1 gene:TanjilG_19896 transcript:OIW14480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSSEISSAIEELSMMVIVKPGGNHELAHIPMKPFLSLCHLVLQVLDKIGPSMAVLRQDVHLNIKKLEVMYESNPSMNSNLVEILKSEASNGTSRKRSSCSKAFVWLTRSLDFSSKLLQGLANDPKNNMKQIVEESYAATLAPWHGWISSAASKVALKLVPDTKTFMDLLREKDGNCDTLKEKMQKLTSLMVPFLEDIHSIIKVYNLDRLKST >OIW15693 pep chromosome:LupAngTanjil_v1.0:LG03:61765:63293:-1 gene:TanjilG_04228 transcript:OIW15693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPLANNAAEKFRRPSSTVNEELKRHAQSIGDVGKPQLNTDSGTTFVSTKAFIEQPEQNSGDDPKLSGWPLSLLSLFPWANNAGEKFQRPSTINTKLKRHGRSRGDVGKENEVTPLRFKPYVCKVPWHTGARAFLSQLFPRYGNYCGPNWSSGKDNGSLVWDKRPIDWLDFCCYCHDIGYDTHDQAKLLKADLAFLECLEKPHMSIKGDPNIAHLYKTMCINGVRNFLIPYRKQLLNMKQFGQPLIQFGWLSNLKWRSWNIQKT >OIW15101 pep chromosome:LupAngTanjil_v1.0:LG03:21687128:21690132:-1 gene:TanjilG_08588 transcript:OIW15101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKPINQWKVTELREELKRRRLTTTGLKNDLVRRLDDALRAEKEAAEASEKEVNGLESHDNEAELKDSEDAVAADERGNEEKFEAVKENVGLVEPIEREKAEKVLEGVVDDSSKYDKQDDVTIPVDNSASAMDQDVEPTGLPAVVDSSNVGEELITHTTVVETITTTVTESVLTEVVVGGEDSHSAEKKIEDSGTKLENEDLKEYSTDKNNDGSGTKLENEELKVNGAEKNTEVLGTKLENEESKAQLDNEHSKPRLESDTKPQYDDLLPNSTVPKNQVSEVNPSLLGSQVKSDSIFSDTVSINKKNELKDTIITDNGKLEQDIVRPEMVEEPSSRNKPVYDDSNSIDVGKPHEKKLSVEENSNVLTSPDLNKTNISDDVGYPEKLSLDRSSGDDSMEEDLPESSKVNFDELRDKVESTKVPTVKVENTTVVVGDGQSGGKSDSHQDIDFSPVGLVEKRKFNEQTLDCNNDPAKRQRRWNTDTVKGPNPQGTTPRSTTTPKDGPVSLKRNFSRSDSFATDDAPKERIVPPSQRSPTNSLRIDRFLRPFTLKAVQELLGKTGNVSSFWMDQIKTHCYVTYSSTEEAIETRNAVYNLQWPPNGGRFLLAEYVEPEEVKMKLEPPPSQAPSISSGPAVPPAPPSQPEPSPRQHRELPPPPPTLPPPPPLSKPPPVGIERLPSPPPLPEKADLPTVTLDDLFRKTKATPRIYYLPLSEEEVAAKLAAQGKSSRQ >OIW15081 pep chromosome:LupAngTanjil_v1.0:LG03:21468482:21472729:-1 gene:TanjilG_08568 transcript:OIW15081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSDFQNKALTSTRFSELNPSLSEPVLNALSDSGFDFCTPVQAATIPLLCTFKDVAVDAATGSGKTLAFVVPLVEILRRSCPHPKPHQVLGIIISPTRELSSQIYHVAQPFISTLGDVKSILLVGGAEVKADMKKIEEEGANVLIGTPGRLYDIMNRVDGLDFKNLEILILDEADRLLDMGFQKQITSIISLLPKLRRTGLFSATQTEAVEELAKAGLRNPVRVAVRAEAKSSDDPATSKQLESSKTPSGLHIEYVECEADKKPSQLVDILIKNRSKKVIIYFMTCACVDYWGVVLPRLSVLQGFSLISLHGKMKQTVREKALASFTSLSNGILLCTDVAARGLDIPGVDCIVQYDPPQDPNVFVHRVGRTARLGKQGHAVVFLLPKEESYVEFLRIRRVPLQERSCSDDAPDVVPQIRAAARKDRDIMEKGARAFVSYMRAYKEHHCSYIFRWKELEIGKLAMGFGLLQLPLMPEVKHYKLSTEGFVPVEDIKFADIKFRDKSREKQRKKNLQAKKEAKEKEVKPKKPSKTPISSTVMRKKTAKQRRAQQTAEDEEELTQEYRLLKKLKKGIIDENEYAKLTGTEELL >OIW14423 pep chromosome:LupAngTanjil_v1.0:LG03:29289275:29292509:-1 gene:TanjilG_20869 transcript:OIW14423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENNKKQSSYWQSKGQSSNSSANPPITDSAFNNNNFSSRRINSSSFSNNNNSLGGGFNSRGNHSNNLAYVVKEQKKVDSKAEGSDDEYKKNMKNNNIDDKYEEAYDVVMKNTIRSKNFRITLSPSESLPPNEQLGGYIFVCNNETMQENLERKLFGLPPRYRDSVRAITPGMPLFLYNFTTHKLHGIFEAAGFGGTNIDPTAWEDKRNPGESCFPAQVRVTTRKAFDPLDDETFREVVHHYDGPKFRLELTTDESRTLKKFSRLHITEIETTSPSENERTPSS >OIW16044 pep chromosome:LupAngTanjil_v1.0:LG03:2654410:2658382:1 gene:TanjilG_04579 transcript:OIW16044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLNMTQIRTGNFASGFALNSTKKVHAVPTRVGFKVFASETQGVSTGPDLSVTVNGLHMPNPFVIGSGPPGTNYTVMKRAFDEGWGAVIAKTVSLDAAKVINVTPRYARLRAGGTNGSAKGEIIGWQNIELISDRPLEIMLKEFKQLKEEYPDRILIASIMEEYNKAAWEELIDRVEQSGVDALEINFSCPHGMPERKMGAAVGQDCALLEEVCGWVNAKATVPVWAKMTPNITDISQPARVALSSGCEGVAAINTIMSVMGINLSTLRPEPCVEGYSTPGGYSAKAVHPIALGKVMSIAKMMKSEFDSENYTLSAIGGVETGGDAAEFILLGANTVQVCTGVMMHGYGLVKKLSAELKDFMEKHNFTSIEDFRGASLQYFTTHTDLVQRQQEAIRQRKAIKKGLQSDKDWTGDGFVKETESMVSN >OIW14403 pep chromosome:LupAngTanjil_v1.0:LG03:29544180:29550180:1 gene:TanjilG_15757 transcript:OIW14403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKLKIGEGGSGLVSVNNFIGRQHWVFDPNAGTPQERDEIETLRQQFTINRFSIKQSADLLMRIQLRKENECGLIPAAIKVREFEKITLEAMITTITRALSFFSSIQAHDGHWPAESAGPLFFLQPLIMVLYITGSLHLVLGPQHKKEIIRYLYNHQNEDGGWGFHIEGESTMFGSALSYIALRILGEDPEEEEEDGAMSKARKWILEHGGLVGIPSWGKFWVTVLGVYEWSGCNPLPPELWLLPKFTPFHPANMLCYCRLVYMPMSYLYGKRFVAPITPLIISLRQELYNQPYDQINWNQARNNVAKEDLYYPHPLIQDVLWGFLHHVGERILNLWPFSMLRERALQIAINHIRYEDENSRYLCIGSVEKCLCLIARWVEDPNSQAYKLHLARIPDYFWIAEDGLKIQSFGSQMWDAAFAIQAIICCNMSEEYAPTLRKAHYFLKASQVLENPSGDFKAMYRHISKGAWTFSMHDHGWQVSDCTAEGLKAALLFSEMPTDLVGEKMEKHRLFDAVNVILSLQSNNGGFSAWEPQRAYRWLEKFNPTEFFEDTLIEMEYVECTGSAIEALTHFRNVYPNHRRKEIDECVSKAIHFIENTQNRDGSWYGCWGICYTYGTWFAVKGLRACGRKYNNSDSLRKACQFLLSKQLPNGGWGESYLSSKNKVYTNIEGNNANLVQTSWALLSLIDAGQGEIDPTPIHNGMKLLINSQMEDGDFPQQEITGAFMRNCTLNYSSYRNIFPIWALGEYRRRLLYA >OIW14663 pep chromosome:LupAngTanjil_v1.0:LG03:25912140:25913738:-1 gene:TanjilG_33005 transcript:OIW14663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNEVENKKRQELKHLGFVRIAAIQGIVFVSYLYEYAKQNSGPLRSAVGTVEGTATTVLGPVYNKFKGFPDLVLVFVDNKVDEATHKFNEHTPSFAKHVAVQVKDLTQKMAQEAGKILSEVQCEGPIAAIYYIATESKHFVLINSVKLWNKLNHIPPFHALAEMIVPTIAQLSQKYNLLIKTMTRKGYTFFAYLPLIPIDEIAKAFKQGGEAKNLNGHEVVSAEKKSE >OIW15839 pep chromosome:LupAngTanjil_v1.0:LG03:1026074:1031970:-1 gene:TanjilG_04374 transcript:OIW15839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLKTPLKGVLEDIRGRAVHYKEDWSSALSSGFGILAPTTYIFFASALPVIAFGAQLSRETDGSLSTVETLASTAICGIIHSILGGQPLLIVGVAEPTIIMYTYLYNFAKNRDHLGKDLYLAWAGWVCVWSALLLFLLAIFNAGNIINRFTRVAGEVFGMLITVLFIQEAIKGMVSEFIAPKEEDPTLDKYQFHWLYANGLLGIIFTFGLIYTALKTRSARSWLYGTGWLRSFIADYGVPLMVVVWTLLSFIIPSKVPSGVPRRLIAPLAWEPASLHHWTVIKDMGKVSPTYIFAAFIPAIMVAGLYFFDHSVASQLAQQKEFNLKKPSAYHYDILLLGFTTLLCGLIGLPPSNGVLPQSPMHTKSLSVLKKQLIRKKMVKSAKESIRRKASNAEIYGNMQEVFIELDSSINKNLVAKELEDLKEVVLNAQDNGDNKESTFDPEKHIDAYLPVRVNEQRVSNLLQSLLVAASAFAMPAIVKIPTSVLWGYFAYMAIDSLLGNQFWERILLLFVAPNRRYKVLEGDHASFVELVPYKNIILFTIFQCIYFLICFGVTWIPIAGILFPLPFFVLITLRQYILPKFFKPKHLRELDAAEYEEIVGAPRTSLSLRDLDLPLEEIEDGEILDELTTNRGELKIRNVSSSEERNNQVYHHENSEEK >OIW14493 pep chromosome:LupAngTanjil_v1.0:LG03:27523595:27527232:-1 gene:TanjilG_12086 transcript:OIW14493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEESEKKKENKDTILTENEDNDIESHIQIAMRSRLSYFKQQSDSLTFEGVRRLLEKDLGFQEYAFDVHKAFIKQCLLKCLEEVGDDAQNVEQEEEKDASTQETENPKEECQSTDEKDGCSEDEDKMEDSPVLGLLKEQKGVKLETKEVKDNERKVVPSETVIKKAVRKRASYIKANAEKITMAGLRRLLEEDLKLDKFTLDLYKKLISQQLDEVISSSEVLEPANNAKKIVKKKPDTKATKMVSSEENSGISDSESGKEENEDDDVKPRKKSVAKGKKQTTFDPKKRKGEENNLSSKKRVKTAKAASEDDNDTEDNGKHSEDDQSGSLPEKCTKKKEVSTPVYGKRVEHLKSVIKACGMRAANKGVGGNMEEDLKLDKFTLDLYKKLISQQLDEVISSSEVLEPANNAKKIVKKKPDTKATKMVSSEENSGISDSESGKEENEDDDVKPRKKSVAKGKKQTTFDPKKRKGEENNLSSKKRVKTAKAASEDDNDTEDNGKHSEDDQSGSLPEKCTKKKEVSTPVYGKRVEHLKSVIKACGMSIPPTIYKKVKQAPENKREGQLIKELEEILSREGLSSNPSEKGELIVKLMFVSDSSSLDVSCNIFIMIQ >OIW14648 pep chromosome:LupAngTanjil_v1.0:LG03:26041472:26048058:1 gene:TanjilG_32990 transcript:OIW14648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHGMSVKVESANGNKVQYQRRRDFPTDSRKYCYTLKTAERRRYLVRATFQYGSLQNGDTYPQFQIYLDATKWATVSIYDASRVYVEEMIFMAPSDSVNVCMCCATTGSPFISTLELRPLNLSMYATDFEDNFFLKVAARINFGAPSEDPVRYPDDPYDRIWDSDLIRRQNYLVGVAPGTERINTTMNIEIERREYPPMKVMQTAVIGTKGVLSYRLNLEDFPANARAYAYLAEIEDLPKNETRKFKLEQPYIADYSNAIVNIAENANGSYTLYEPSYMNVSLNFVLSFSFVKTPDSTRGPLLNAMEISKYLPIALKTERQDSNAVNTFSSLSAESVLMNEGDPCVPTPWEWINCSTTTPPRITKINLSKRNVKGEIPHELNNMEALTELWLDGNFLTGSLPDMSNLINLKILHLENNKLSGSLPSYLGTLPNLQALFIQNNSFSGEIPSGLVSQKIIFNYDNNPGLHRGTKKHSKLILGISIGVLVIILVLFLASLVLLHKLRRKTSQQKHDGKGISGRSSTKPLTGYRGGSLRDEGTAYYISLSELKEATSNFEKKIGKGSFGSVYYGKMSDGKEVAVKTMTDPSSHGNQQFVNEVALLSRIHHRNLVPLIGYCEEEYQHILVYEYMHNGTLRDHIHVHESSNQKKLDWLTRLRIAEDAAKGLEYLHTGCNPSIIHRDVKTSNILLDINMRAKVSDFGLSRLAEEDLTHISSVARGTVGYLDPEYYANQQLTEKSDLYSFGVVLLELISGRKPVSADDYGPEMNIVHWARSLIRKGDVISIMDPSLIGNVKTESIWRVAEIAMQCVEQHGASRPRMQEVILAIQDASKIEKGSESQLKLSTSGTSKPQSSRKTLLTSFLEIESPDLSNACIPSAR >OIW16021 pep chromosome:LupAngTanjil_v1.0:LG03:2452368:2452985:1 gene:TanjilG_04556 transcript:OIW16021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEAERRLLANALLDFSNERFVLLSESCIPLFNFSTIYTYLMNSTKTFVEAYDLLGLVGRGRYSPKMMPLVKLSQWRKGSQWFQIDRELAVEIVSDKLYYMVFKKYCNPDCYSDEHYLPTLVSIKFWKRNSNRTLTWVDWSRGGPHPSRYIRTDVTIDFLKYLRHGTTCEYNGHNTNICHLFARKFTTHALDRLMRFAPKIMQFN >OIW15163 pep chromosome:LupAngTanjil_v1.0:LG03:19595996:19636635:1 gene:TanjilG_21139 transcript:OIW15163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDEDEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEDEDKDEDEAEEEEDEAEEEEDEAEEEEDEAEEEEDEAEEEEDEAEEEEDEAEEEEDEAEEEEDEAEEEEDEAEEEEDEAEEEEDEAEEEEDEAEEEEDEAEEEEDEDEDGDEDRMRMKRRVEEEDEDDERGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGG >OIW14669 pep chromosome:LupAngTanjil_v1.0:LG03:25882426:25884668:1 gene:TanjilG_33011 transcript:OIW14669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWNSKSPGQWDWEEHLFFNGKASENPKLQSITDWSAEADQEINVGLFYPLRSSRCSESELMHASSSRSSKSASINSSSNEDSKLSMFTLEGSQDDDSSRKKELSKEEPVEIYPAPEPSSGSGEPLLILKLGKRLYFEDVCTGSDSMKPSSITGKKCKSNGRNLQHPSCQVEGCGLDLSSAKGYHRKHRVCENHSKSPKVVIAGSECRFCQQCSRFHGLSEFDDKKRSCRQRLLDHNARRRKPHLDEVRLHTSALSSSPYDRQLVRPFAYSRTATKDFLMKPEKAFNKIPSVVTMLSNDSSALLTSKGIATKTIITGTEDPITSSNLNATQDVNHALSLLSNNSSYETKSFSITTHAMSHHHLPFASSEYWNTHQQPPNSRMCVTADSDCDNSNRFQDFQSWNTL >OIW15973 pep chromosome:LupAngTanjil_v1.0:LG03:1988899:1992394:-1 gene:TanjilG_04508 transcript:OIW15973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERIIGAKYKLGRKIGSGSFGEIYLATHIDTFEIVAIKIENGKTKHPQLLYEAKLYNILQGGSGIPSIKWFGVDAEDNALVIDLLGPSLEDLFVYCGRKFSLKTVLMLADQMMNRIEYVHSKGFLHRDIKPDNFLMGLGRKANLVYIIDFGLAKRYRDSSTNRHIPYRENKNLTGTARYASCNTHLGIEQSRRDDLESLGYVLLYFLRGSLPWQGLKAATKKQKYDKICEKKVSTPIEVLCKSHPVEFASYFHYCHSLIFDQRPDYGFLKRLFRDLFTREGYEFDYVFDWTILKYQQSQKNRVQQRISPVPGASNNRAMPMDLDNHQGDISGRIRSSNATGSGVKIQFKSSVGKNLGPENPLGKNIFGEANVPSTSFSLAGTSRRNSLKPAMPTEAANHVHGQGSPFK >OIW14760 pep chromosome:LupAngTanjil_v1.0:LG03:25154119:25154559:1 gene:TanjilG_05381 transcript:OIW14760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGVADSLNNAYQDFVSAAANVLQTKQNAGAQKTTATDAALENFKQKWESFKIVCDQAEEFVESMKQRIGSECLVDEASGHAAGKPGQATTTDLPPISAVRLEQMSKSVRWLVMELQHGSGTGAANSAPSHPSAAFDTRFSEDAAQ >OIW15581 pep chromosome:LupAngTanjil_v1.0:LG03:3933457:3937404:-1 gene:TanjilG_08157 transcript:OIW15581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTSLSHRRDEEASTATPPPSPPYSPKPSKPNRSFNYLLREQRLLFTIVGIIIGSTFFIIQPSLSRLSTSEPQPYIHSRSNAFGLRRNDGALHGVPRRVPVGIGSRRLRIVVTGGAGFVGSHLVDKLIGRGNDVIVIDNFFTGRKENLIHLFGNPRFELIRHDVVEPILLEVDQIYHLACPASPVHYKYNPTNVMGTLNMLGLAKRTGARFLLTSTSEVYGDPLEHPQKETYWGNVNPIGERSCYDEGKRTAETLTMDYHRGAGVEVRIARIFNTYGPRMCLDDGRVVSNFVAQAIRKQPLTVYGDGKQTRSFQYVSDLVNGLTALMDGEHIGPFNLGNPGEFTMLELAKIVKETIDSSATIAYKPNTADDPHMRKPDISKAKELLNWEPKIPLREGLPLMVNDFRNRILNEDEGKGLK >OIW15603 pep chromosome:LupAngTanjil_v1.0:LG03:4238445:4243107:1 gene:TanjilG_08179 transcript:OIW15603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFILGMGFVGQFLAHKLHKQGWSVSGTCTSHVKKKQLEDMGFHVHLFNANHPELSILGLMKNYTHLLVSVPPVMGIGDPVLHHEELIRSSLVSGSLQWLCYLTSTSVYGDCSGELVDEDYPANPETELAKLRLASEEGWSNLAHYLGISPLLFRLGGIYGPGRSAIDTILKQGPLSKGQKMRNYRKYTSRVHVEDICQALMATIYAPYPREVYNIVDDDPTPREEVFAYARKLVEKKWPGLNLQAPEQKEFSVVRTRNRSGEKQVSNARMKKELGVQLLYPDYKSGLQSIIDQIHSPFPCH >OIW15784 pep chromosome:LupAngTanjil_v1.0:LG03:662473:664287:1 gene:TanjilG_04319 transcript:OIW15784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAHMKKYNKQLSPERSKIWKEKSPKYHQNLKVPVVYYICRNMKLEHPHFMEVPLSSSNGLYLKDVIEKLNALRGRCMASLYSWSCKRSYKNGFVWHDLCDDDLILPACGSEYVLKGSELFDESNSDRFSPISNIEVQSLKQSLEPVSCRSHDEASSSSSLNGKDTRNSQEDEISSGKHTGSSDVSPKSSAEKIGPLSLPSTAYKIRNKTNGLADASTQTEENDNRPNIQKTCTRGVSTDDGSSKPECNGICGVEEPQVKDNSEICTDCSSHTSTSSPSSFEGNTETLESLIRADMSKMNSFRILEDYDIRMPTNTRAKAMNMLMQLISCGSISVKNHSFGLIPSYKANLSDSKFPSPLFSTSIMLRGFDCLEEKDVHNVMKRSSSYNADR >OIW15799 pep chromosome:LupAngTanjil_v1.0:LG03:787595:789447:1 gene:TanjilG_04334 transcript:OIW15799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSLVPETIPPRAQVQCPLEVINLRPSRDVAVVDFSYKFGNDMVNVKLRLPAILNKFLQPIPVSAEEFFPQWRSLTGPPLKLQEVDPNPNNLVASTTFFSESTRAMLCLVILILICRLKEFIKEQLIVIPTVTHAPTQAPPGPPPLAQPASNPAALTDPGAMLAALL >OIW15563 pep chromosome:LupAngTanjil_v1.0:LG03:5162956:5163387:1 gene:TanjilG_01086 transcript:OIW15563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFDTKEAVRFSCPPVLETILTPGEIEELFSLINEPGDLESPSSGSQGSNRAVYSTQERKIRRMQSNRESARRSRWRKKQHVDNMGNQMNRLRAENRELKNRLGLTMHHNLLLSIENESLRSESLALMTKLSDLIGILDTMLL >OIW15360 pep chromosome:LupAngTanjil_v1.0:LG03:13280581:13283837:-1 gene:TanjilG_26733 transcript:OIW15360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGKKPYVVVFIIQAIYAAMFLLSKAAFDHGMNNFIFVFYRQAIATLFLLPFAFFFEWKTAPSLSFLTFCKIFFLSFFGITLSLDIYGIGLIYTSPTLAAAATNSLPVITFLLALLLRIEIFKISTSAGIAKLVGIVACVAGVTTLALYKGPPLEFLSHYHLSYHESNQLQTHESSSTWIKGCLLVLLSNSFFGLWLVLQSYVIKVYPSKLLFTTIQCFLSSIQSFVIAIAVERDMEQWKLCGNVRLLAGIVVTGLTYYLQTWVIEKKGPVFLAMSTPMALIMTIFSSALVLGVTIRLGR >OIW14753 pep chromosome:LupAngTanjil_v1.0:LG03:25198912:25199564:-1 gene:TanjilG_05374 transcript:OIW14753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASCSVNKQKLNIESYWSPKENKLFERALAKYDKDTPDRWHNVAKAVGGKSVEDVKRHYEILLEDLRHIENGRVPIPNYKSTSNPTNVHHDDENRLMKYLKLN >OIW14875 pep chromosome:LupAngTanjil_v1.0:LG03:24332564:24337741:-1 gene:TanjilG_30594 transcript:OIW14875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLGLTNHARELFDDMPHRDVVSWNALICGYSQNGHPYHALHLFVYMLRHCFRPNQTTIVSLLPCCGCHELILQGRSIHGFGIKAGLGCCAQLNNALASMYAKCDHLEASHFLFREMSDKNVVSWNTMIGAYSQNGYSDKAVVCFKEMLREGFQPSPVTMMNLLSANAIPATVHCYIIKCGLTNDASVVTSLVCLYAKQGFTDMAKLLYKYFPTKDLISLTAIISSYSEKGDIESAAECFIQTLQLDLKPDAVFLISILHGITNPAHFAVGCAFHGYGLKSGLTTNCLVANGLISMYFRFDEIEAALSLFYDMSEKLLITWNSVISGCVQAGKSSEAMELFCKMNMCRQKPDAITIASLLSGCCQLGNLRIGETLHCYILRNKVTMEDFTGTALIDMYTKCGRLDYAEKVFYRIDYPCLATWNSIISAYSLYGLEHKAFSCYAKLQEQGLKPDKITFLGVLAACTHAGLVCEGTEYFYIMKEEFGLIPGLQHYACIVGLLGRAGLFKEAVELINNMEIRPDSAVWGALLSACCIKQEVKLGESLAKKLFFLDYKNGGFYVLMSNLYAIVGRWNDVGRVRDMMRDSGGDGCSGVSVIQVTSLKDTNNNNTHLSEEELVMGANHSREELFSSSDSEQDENEETNFEDANENDEGGSSSDRRIKSPISIDDVDAKLKALKLKYSSSTNIPNAVKLYLHVGGNTPKAKWVTSEKLTSYSFVKTNTVNDEDEDDDDEEEVRDSESFWVLKVGSKIRSKVSVEMQMKIFSDQRRVDFVAGGVWAMKFFTEEHCKVFVTQFENCLFENTYNVEGNEKNKVKIFGKDFIGWANPEAADDSMWEDAEDSFSKSPSPARPTQDLREEFEEASNGGIQSLALGALDNSFLVSDNGIQVVKNFAHGIHGKGAFVNFADGYQSKGSSAFVTPKKTLLMKAETNMLLMSPNTGRQTLHSTGLHQLDIETGKVVTEWKFGKDGTEITMRDIHNDSKGAQMDPSGSTFLGLDDNRLCRWDMRDRHGIVQDLVDSSNTPVLNWAQGHQFSRGTNFQCFATTGDGSIAVGSLDGKIRLYSVSSMRQAKTAFPGLGSPITHVDVTFDGKWIVGTTDTYLVLICTIFSDKDGNMKTGFSGRMGNRIAAPRLLKLSPLDSHLAGANNKFRNAQFSWVTENGKQERHIVATVGKFSVIWNFQQVKDGSHECYRNQQGLKSCYCYKIVLRNDSIVESRFMHDKFAVTDSPEAPLVIATPMKVSSFSMSSRR >OIW15256 pep chromosome:LupAngTanjil_v1.0:LG03:15614015:15616834:1 gene:TanjilG_16506 transcript:OIW15256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGTNYIGAFALTKLLLPLLGSSPVSSKIVNVTSFTHRNVTDMQVDEGTVSGNRFFSSKQYPYAQVYEYSKLCLLLFTFELHRQLCLMEKSHHIFVTAADPGVVQTNIMQEVPASLSCLAYFALRHLRVLQSPECGASSIIDAALAPPGTSGVYFFGGKGRTLNPSALSRNSKLALQLWETTCNMLSQTGFGVEEKKM >OIW14864 pep chromosome:LupAngTanjil_v1.0:LG03:24421900:24423421:1 gene:TanjilG_30583 transcript:OIW14864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSTNPEISIEVFPYLKVYKDGTIERIAGTQVVPPGLDSETNVISKDILIIPQTGVTARLYHPNFTTKTHQNHNLPLLVYFHGGAFCISSAFDPLYHTSLNNLVAESNVVAVSVNYRLAPEYPLPVAYHDSWDALKWVFSHVVEDCEDHESWLKDNVDFGRVFLAGDSAGANIVHYMAIKFHAMDTSPSPIKDFKVTGLMMVNPYFWGKEPIGVEISDELRKNMVDNWWGFVCPSDKGNDDPLINPFVEEAPGIEGVGCNKVLVTVTENDILRERGKLYHKKLVNSGWKGIAEFYETEGEDHDFHIFNPTCDKAKSLIKRLATFINEH >OIW15633 pep chromosome:LupAngTanjil_v1.0:LG03:4501052:4501885:1 gene:TanjilG_08209 transcript:OIW15633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTFNQLIRHGREEKRRTDRTRASDQCPQKKGVGPRLSTRTPKKPNSAPRKIAILVFLLFIVFAVTYLVCILLGLDWTIFVVKVQSMLLVRSFRLLFIRLIGEAVGPGTLILLLCILSSLGGYTYYNMEDPARGEGGSGAGSSQRPVLDLNLPPGGRDELSDLVAKLDQVEREIRHLSESRIESPEEGEARQFSLSGLKTLGGFDPGFAQAANLPLHLIELRDKVGELVSGARGKVEIDMPPALRGTGAASTLPSCWIFHVVIGIASQARKNTKKKN >OIW14861 pep chromosome:LupAngTanjil_v1.0:LG03:24454485:24458147:1 gene:TanjilG_30580 transcript:OIW14861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTRMRTRVQAPMSHEKVEMQGRVVEGTKNATEGGKTLSRDRKLALQQDVERLKKKLRHEENIHRALKRAFNRPLGALPRLPSYLPPNTLGLVAEVAVLEEEIVRLEEQVMHFRQNLYQETVYISSSKMKLDNASPSNSRKHGKLKSLSQTMGKAATSATRTTTTLPVDRQGKEDESCSNPSKRSKQSTYTGQATKNPTKKLPIDNKSLQKSCDIPKRQQELRPSNLPIAEVRNLSPHEKPSGDESPNIISENILKCLSSILLRMSGAKNPGSAVDISVSPSWDLKPRNCIEGREFWDPYGICLESGKRDIGRYKQLHAVEAKSFNPKRTANSLFLLHLLKLLLRKLAGVNLENLNHQEKLAFWINIYNSCMMNAFIENGIPESPDMVVALMHKATINVGGHMLSAPTIEHCILRLPYHWKLLNSKLTLPKEVKNYEMTLRSLYGLELSEALVIFALSCGTWSSPTVRIYTASEVENELEVAKREYLQAAVGISTSKFIVPKLLDWHLLDLAKDLESLVDWICLQLPSELGKEAIKLLEKRKPEPLSQFVQIMPYDFTFRYLLCT >OIW15781 pep chromosome:LupAngTanjil_v1.0:LG03:640867:644800:-1 gene:TanjilG_04316 transcript:OIW15781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSDASKKKAAQKKAAAAAKRGGKAAAAAAAASSKAASEVDKIANGIGDIQISDRNCTGVLCSHPLSRDIRIESLSVTFHGHDLIVDSELELNYGRRYGLLGLNGCGKSTLLTAIGCRELPIPDHMDIFHLSREIEASDMSALEAVMNCDEERLKLEQEAEALAAQDDGGGEALERVYERLEAMDAATAEKRAAEILFGLGFDKQMQAKKTRDFSGGWRMRIALARALFMKPTILLLDEPTNHLDLEACVWLEENLKHFDRILVVISHSQDFLNGVCTNIIHMQNKKLKLYTGNYDQYVQTRSELEENQMKQYKWEQEQISSMKEYIARFGHGSAKLARQAQSKEKTLAKMERGGLTDKVSRDKVLVFRFVDVGKLPPPVLQFVEVTFGYTPDNLIYKNIDFGVDLDSRIALVGPNGAGKSTLLKLMTGDLVPLDGMVKRHNHLRIAQYHQHLTEKLDMEMSALLFMMREYPGNEEEKMRAAIGKFGLTGKAQVMPMKNLSDGQRSRVVFAWLAYRQPQMLLLDEPTNHLDIETIDSLAEALNEWDGGMVLVSHDFRLINQVAHEIWVCADQKVTKWDGDIMDFKKHLKAKAGLSD >OIW14732 pep chromosome:LupAngTanjil_v1.0:LG03:25348296:25360441:1 gene:TanjilG_05353 transcript:OIW14732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTSRFRNLQSLTASSAEDTTGGPSNDTVSSYTSTYYEDEASLGAGYRVPPPEIKDIVDAPPVPALSFSPCRDKIIFLKRRALPPLAELAKPEEKLAGLRIDRNCNSRSRMSFYTGIGIHQILPDSKLGPEIAVTGFPDGAKINFVSWSPDGRHLAFSIRVNEEDNNSSKLGVWIADAETGESRPLFQSPNVYLNAVFDNYVWVDNSTLLVCTIPLSRGAPPKKPLVPGGPKIQSNEQKTIVQVRTFQDLLKDEYDEDLFDYYTTTQLVLVSLDGATKDFGLPAVYTSMDPSPDHKYLLISSIHRPYSFIVPHGRFPKKVELWSADGKFIRELCDLPVAENIPIAFNSVRNGMRSINWRADKPSTLYWVETQDGGDAKVEVSPRDIIYTQPAEPLGGEQPVIFHKLDLRYRGISWCDDSLALVYESWYKTRRIKTWVISPGSEDVTPRVLFDRSSEDVYSDPGSPMLRRTKAGTHVIANIKKESDEGRYIILNGIGATPEGNIPFLDLFDINTGNKERIWESEKEKYYETVVALMSDQEEGDLNLDQLKILISRESKTENTQYYLVRWPEKKLVQITNFPHPYPRLASLQKEMIRYQRKDGVQLTATLYLPPGYDPSTDGPLPCLFWSYPGEFKSKDAAGQVRGSPNEFPGIGPTSALLWLARKFAILSGPTIPIIGEGDVEANDSYVEQLVASAEAAVEEVIRRGVAHPKKIAVGGHSYGAFMTANLLAHAPHLFSCGIARSGAYNRTLTPFGFQNEDRTLWEAANTYSDRFFNALKGHGALSRLVILPHESHGYSARESIMHVLWETDRWLHKYCVSNSSDDGEDHDSGSVEENASKGTTHAESKVVATGGGGSKEKISSIFDCEGLELKHPYYACGRQSIQHMWETEHPAKRTGRDQIDRDHHLHYKFTKNFTVNGVKIPTLIDNQLSGLHNILTGSKSEDLTHHVVVVDVDVDDGSGLNFTAMEQENGTSSYESRVRNEKNKLVVANAVLKKIEEKKRVEECDLTKGYWVFDQSYPLYDKGSCPFIDEGFDCEGNGRLDRNYTKWRWKPKGCDIPRNQWESMLCMLFGTIRDPKRVYETHGRRITKEKGNYCFRFLDYQCTVEYYVSHFLVHESKARIGQKRRPTLRIDAIDHGSSRWRGADILVFNTAHWWSHYKTKAGINYYQEGNFVHPRLNVSTALRKSLTTWSSWVDKHINPRKTQVFFRSSAPSHFRGGNWNSGGHCREAINPLNETLTTSYPEKDIMIEEIIKKMKTTVTLLNITSLSQYRIDAHPSMYGRKTQSSSIQDCSHWCLPGVPDTWNELLYYHLQSV >OIW15189 pep chromosome:LupAngTanjil_v1.0:LG03:18112859:18118627:1 gene:TanjilG_31652 transcript:OIW15189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKPEDEQQPLPSGEDPPQNAVAECRCSWFRKVVGFRCILEILFSVAVFLSALFLLPPFLDLADQNNLHGDSRYKDHDIVASFIINKSVALLEDNAPQLAYEIFDEIEAPSTKVVILSLDPIHKSNMTKVVFAVDPDGKYSEMSSTDISLIRASFQSLVIRQSHLQLTSSSLFGKPSFFEVLKFKGGITIIPQQSAFPLQTVQTRFNFTLNFSIYQIQLNFNELTSQLKSGLELGSLENLQVILSNSEGSTVAPPTVVQSTVVLAVGINPTKKRMKQLAQTIMGRRNLGLNNTEFGRVKQVHLSSILNHSLHGNDGSGSAWSPAPAPLPHPPHHHHHHRHHHHHHHDGHLTPAVSPIPAPTTGAGGTSPEAGSPAATKHVPALGKRSRGQPPNCQFGHRRSSTHNAGKHAHQTPTVAPSIHPHYHVPVASPKPQVEPPAHVSHSIPSPVPDVSPRPHVELPARGFHSVPALSPLPNVAFAHAEPPPANPKNAPDGERSHTDFHGSSSVTCEYAELYS >OIW15491 pep chromosome:LupAngTanjil_v1.0:LG03:7342774:7344230:1 gene:TanjilG_32895 transcript:OIW15491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLTRYMDDTDLDNLRLRDSFNWLLPRVGQGTFMTARDITYVELTLEFPSSLEVEILHGPHCNASRLAFKLRDTKFAFTLAEFYAMFCFLVGDAHRPLKKFQAHKLWDKVAVDTPTYDPSNWFPETGSRMTRPAI >OIW15986 pep chromosome:LupAngTanjil_v1.0:LG03:2114497:2117885:1 gene:TanjilG_04521 transcript:OIW15986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLASISIISSSSSFPSISNSTTDYSPPSTSTPFRTRTTKTLFTFPTRTTKPPFSFSSTHTTLSPKNAIFRASYSENVSPEQFLNNNSIADFMRFKRGGDGGSGELQTAVVSYKKKLPWSLFNPFLQVDLVSTIHIADEEYVLSFLAFKLFGYFLALQKELESYDCVLYEMVASRESLENRRNPIATKRFKGSRSSRGFNILGFIQRQMASILRLDFQLDCVDYQGANWYHADLDFETFKLLQQEKGESFFSFARDMTLRSTKAILQPSIPEDLDPFRSKLLWASRVLPMPLVGLFIIACVCADMGSEASEYPEIKALSRLDFGAAMKVFLAKRLTSEFTLVTAEVEEKSVIIGERNRVATEALQKAMDEGNKKIAIFYGGGHMPDLGRRLREEFDLVPSSVQWITAWSIRKKNLNTSSFPFLKKMARVSGWPLNRYETLALLIFSSVLAVDLCLWEVFFGTTVNWASELGSQLLQYFHIDY >OIW16076 pep chromosome:LupAngTanjil_v1.0:LG03:3017707:3023665:1 gene:TanjilG_04611 transcript:OIW16076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRKRRTEAPSGGESSESQQRPAAERSAPPPQQYAPPAGGAGGPQGGRGGYASQGGRGSGYGGNGRGRGMPQQQYGGPPDYQQGRGRGGPPQQGGRGRGEYGGGGYGGGGRGGGMGIGRGTGPGPSYGGPSRPPYPELHQATPVPYQAGVTPQPTPAEVGSSSQAPEQLPVEQQMEQLSVQSEVSDVPAPAPVGPPASKSSIRFPLRPGKGTYGHKCIVKANHFFAELPNKDLHQYDVTIIPEVTSRGVNRAVMEQLVKLYRESHLGKRLPAYDGRKSLYTAGPLPFVSKEFRVSLIDEDDGTGAQRREREFRVVIKMAARADLHHLGLFLQGKQTDAPQEALQVLDIVLRELPTTRYCPVGRSFYSPDLGRRQSLGEGLESWRGFYQSIRPTQMGLSLNIDMSSTAFIEPLPVIDFVTQLLNRDLTQRPLSDSDRVKIKKALRGIKVEVTHRGNMRRKYRISGLTSQATRELTFPVDERGTMKSVVEYFFETYGFIIKHPQWPCLQVGNTQRPNYLPMEVCKIVEGQRYSKRLNERQITALLKVTCQRPVERERDIMQTVYHNAYHEDPYAKEFGIKISEKLAQVEARILPPPRLKYHDTGREKDCLPQVGQWNMMNKKMVNGGTVNHWFCINFAWNVQDSVARGFCFELAQMCQISGMAFNLDPVVPALSARPDQVEKVLKNRHSDAKNRLQGQDLDLLIVILPDNNGSLYGDLKRICETDLGVVSQCCLTKHVFKMNKQYLANVALKINVKVGGRNTVLVDALSRRIPLVSDRPTIIFGADVTHPHPGEDSSPSIAAVVASQDWPEVTKYAGLVCAQAHRQELIQDLYKQWQDPVRGTVTGGMIKELLISFRRATGQKPTRIIFYRDGVSEGQFYQVLLFELDAIRKACASLEPNYQPPVTFVVVQKRHHTRLFANNHNDRGSIDRSGNILPGTVVDSKICHPTEFDFYLCSHAGLQGTSRPAHYHVLWDENNFSADGLQTLTNNLCYTYARCTRSVSIVPPAYYAHLAAFRARFYMEPETSDSGSMASGAYAANRGMAGSATRSTRAPGLNAAVRPLPALRDNVKKVMFYC >OIW15085 pep chromosome:LupAngTanjil_v1.0:LG03:21517635:21523761:-1 gene:TanjilG_08572 transcript:OIW15085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGRKTESYKLPEKSQPVSTPNFSVSARNLKISELGGVIFGCKHSTIKECFEKQLFGLPNGHISYVKNISTGLPLFLFNYSDRKLYGIFEAASTGRWNIDPHCWTEGGSGSTHFPAQVKFKTRMWCQPLSENQFAPIIADNYYAQTFFRFELDEDQTNKLISLFSSSPATSSVYLPGSITKKSTLVSSSRQKDGVTEKPASDLNVASQKQANIYGGSSVGGIGLSYSSILKNNCGPSYSSVLKNGGHVKHVHTEQSSSDTHGLTEEHVSLSEKDNKEASEEAVEIANLPTDDQFKSTWESTWENTWESHCIRYGLNAQINSSEDVSDDSERLDEQFECLQQKMEDLYSSVAEEDNSGLPQSFLCETLPTDYAACEGEHLETGVSEGDTYLPDKPEIENCCLSEPDSLSNVKLPSDVHFVVDKIQQEVNTLKLKQFKQEQKISTLGKQLVLSRTEITYLKQQLETSGYISFQETNGVVESESKIDESILIIGGFNGLFDVSALECYCPSRDLLVPLCPMNSTRSYTSTVKLNDEVYVIGGLDDNLWHDTVESYNLVENQWVTRPSLNRKKGSLAGISLNEKVFAIGGGNGVECFSEVEVFDLDIGRWIPTQSMLNKRLTPAAAEINGMIYVVGGFDEVDYLKSMERFDPREKSWSRLESMSTKRGCHSLTVLNDKLYAIGGYNGEKMVSTVEVFDPRIGSWMMGESMNTSRGYFSAVVIGNSIFAIGGVNDTGVVLDTVERYDEAHGWQPTCLKAIGKRCKFSAVPLSGMITDLQYALMYLAYAANEINSHDVQLVYKLLAHSVIKKNGGPVHGALT >OIW15086 pep chromosome:LupAngTanjil_v1.0:LG03:21535077:21538257:-1 gene:TanjilG_08573 transcript:OIW15086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPGPAFPNGTTILVCQDPHSHNHDRDIWHLGNPLFSPTCLLFMQISLTAIVSQCIDLCLKPLGQSSLVSQILGGMVFGPSGLGHEKALKDVLFPMRGALVFETIASLGLMFFFFIMSVKMDPATLIKTEKQAITIGLSVFSFTLAIPTGLSLLLKKYVYMDKGLRDSITIIAISQALTVFICIRILLSELKILNTDTGRLALNSALSADVIGFTMMMVMFSIMQNKGGKILSLLWVILALVAIFLLIIYVMRPLILWFLKQSTGKPVDEFYIICIFVFVLIVGFLSEIVGQHFVMGPIILGLAVPEGPPLGTALLTKLETLCMVFLYPMFLAVCGLQTNVFQINFRSLWIVSIIVLTSCVTKVGAVMLAGYYNNIPMKDCCVMGLILNTRGAPELCMYNVWKSSKLLTEKEFTLLVVSVIVINAILTPLLRFIYDPFQQYNSVRRCSIQYTKNESELRVMVCIHNNENIPTIINLLEASHASSESSVVAIALILNELLGRSRPLLVAHQHHEILRSASCSSAQIDNALRQYARQNEGCASVYSFTSMSEYDTMHGDICRISLEMGANILIIPFHKRWEIDGSVEVISRSMQTMNIRVLDRAPCSVGILIDRSILSGFPSLLSGRVEYNVAVLFIGGADDAEALVYTTRMARHASVSVTVIRFLLFGEENSKDRKHDSDLIDECRYYNTANRRFDIMEHVVRDGVEISTTIRRLIDYFDLVIVGKEHSESVLLQGHDEWSECKELGIIGDMLASQDFVTKASVLVVQQQRVRKKLIKHTVTPMTNQRDCTVYNVPNDAAPRSSVAISV >OIW14884 pep chromosome:LupAngTanjil_v1.0:LG03:24287155:24288436:1 gene:TanjilG_30603 transcript:OIW14884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMHHLARGFWEHEPPSLTLGCKRLRPLAPKFSNTITTPNSVTTTLDLKSFIRPESGPRKPISYDNNDNKNDPPTSPQNQVEAHIGGTRWNPTQEQIGILEMLYKGGMRTPNAQKIEQITEQLSKYGKIEGKNVFYWFQNHKARERQKQKRNTLDLVHSPRMTRGEVVERDWEDSTYKKYRRWGFECLEEPSKEEEEEYRTLELFPMHPEGK >OIW14468 pep chromosome:LupAngTanjil_v1.0:LG03:27976311:27977796:-1 gene:TanjilG_19884 transcript:OIW14468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYSSSVTGSTCEDTKTCPRGHWRPAEDEKLRQLVEQFGAQNWNSIAQKLQGRSGKSCRLRWFNQLDPRINRRPFTEEEEEKLLAAHRFHGNKWALIARVFPGRTDNAVKNHWHVIMARRQREQSKLCGKRSFQELYNDSNTSSSNNNINIFSESKRPKSHQDLLFNSRIGLENARFFDFKNLDTPSSSLATWNFASSFTISTTTNTSNSTTLVADSFRKGGKDVYFNSSSVYLPQCSKSSDRFLYRIYPNPTATTKSFGSFRGSNSTNSFSFPNYNRVVPSFFGFIGSSDHNGKLKRDMKSFCDNSSTFTELKASSEKEQEDDEPNIEHKEVPFIDFLGVGVSSS >OIW14896 pep chromosome:LupAngTanjil_v1.0:LG03:24181172:24184281:1 gene:TanjilG_30615 transcript:OIW14896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCLMKHTKESKRKKDEVDEKLGNDKNTNARAAKTSNAAKGRKRRNESTSKGKSEANPEKLISIKIPPTLKKQLVDDCEFITHFGKLVKLPRTPNVNDILQRYLDQRLKKHGSVADSIEEIMKGLGSYFDKALPVILLYKHERNQYQEACPSNTTASSVYGAEHFLRLLVKLPELMSNAGIEKETMTEIQEQTVDFLRFLQRNHNAFFLSTYHVHEDMENKNNKRGE >OIW15677 pep chromosome:LupAngTanjil_v1.0:LG03:3608423:3609067:-1 gene:TanjilG_09615 transcript:OIW15677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVANTMFQSLLPLCFILLSLTTKPLLASEPEPVVDKQGNPLQPGVGYYVWPLWGDNGGLTLSQTRNKTCPLDVIRNPDFIGSPLTLFAPNLDYVPTETDLTIGFNVKTTCNQPTTWKLLKEGSGFWFVSTGGEFGALTSKFKIERLSGEHAYEIYSFKFCPSVPGVLCAPVGTFTDADGTRVMAVGDDIETYYVRFQRVDISAQKKEHGFDSA >OIW15056 pep chromosome:LupAngTanjil_v1.0:LG03:22787720:22790656:-1 gene:TanjilG_13983 transcript:OIW15056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDDKAVGEGEEKETSVKATGNEKKKRKGFFSRIWNVFRLQGDDFEKRLQHISKEEAAVISRMSRRSRSWRRISRQLIIFSVIFEVIAVGYAIMTTRTTDMNWKMRAIRVLPMFLLPALAFAAYSTSASFTKMCDRRDRNILERLRAERQAKIDELKEKTNYYITQQLIQRYDPDPAAKAAAATVLASKLGADSGLKVYLGDESNSGAEMAKSNDVELMQSTGLRNRRQVQSRSTSTGTSTPNSSDQQLVGSGGTNQTSTSDHNQLVVVEHHQPESSTTQDGGWIARLAALLVGEDPTQSYALICGNCHMHNGLARKEDFPFVTYYCPHCHALNKPKQSDDRISGHTSPNVGSPPKTDADDEVKDAITSVVESMITSKSSPEIEEVLEKTSLEEKAS >OIW15719 pep chromosome:LupAngTanjil_v1.0:LG03:226963:227697:1 gene:TanjilG_04254 transcript:OIW15719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSKLISTILFSVTLSLILQSSFGADPLFHFCSNSENFTAKSPYETNLKTLINSLIYKTPSTGFGIGSVGQYQNQPAYGLALCRGDVSTSECKTCVSEASKEIQNRCEYNKGAIIWYDYCMFKYLDSDFFGKIDNTNKFYMWNLNNVSDPATFNYNTKELLSQLAQKASVNTKLYATGEVKLDESKKLYGLTQCTRELSSIDCKKCLDDAISELPNCCDGKEGGRVVGGSCNFRYEIYPFVKE >OIW15748 pep chromosome:LupAngTanjil_v1.0:LG03:399889:400338:-1 gene:TanjilG_04283 transcript:OIW15748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKADKKLAEKKPAEEKKSTVAEKSPVAEKKPKAGKKLPKEGGSTAGDKKKKKSKKSVETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAQESSRLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSS >OIW15761 pep chromosome:LupAngTanjil_v1.0:LG03:476437:487036:-1 gene:TanjilG_04296 transcript:OIW15761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLMRSEKMSFVQLIIPAESSHRAISYLGQLSLLQFRDLNADKSPFQRTFVNQVKRCAEMSRKLRFFKDQINKAGLLSSSLPVLQPDIDLEDLEACGFLISSHGRAVSEERELQENVYSNDEYVENASLLEQEMRPGPSNQSGLRFISGIICKSKILRFERMLFRATRGNMLFNQAPADEQIMDPVSTEMIEKTVFVVFFSGEQARTKILKICEAFGANCYPVPEDITKQRQITREVSSRLTDLEATLDAGIRHRSKALASLGVHLAQWMSMVRREKAVYDTLNMLNFDVTKKCLVGEGWCPTFGKTQIQEALQRASFDSSSEVGIIFHPMDSVESPPTYFRTNSFTSPYQEIVDAYGVARYQEANPAVYTTIIFPFLFAVMFGDWGHGICLLLGALVLIARESKLNTQKLGSFMEMLFGGRYVLLLMSLFSIYCGLIYNEFFSVPFHIFGASAYKCRDSSCRDAHTIGLVKYQEPYPFGVDPSWRGSRSELAFLNSLKMKMSILFGVVHMNLGIILSYFNARFFGSSLDIRYQFVPQVIFLNSLFGYLSLLIVVKWCTGSQADLYHVMIYMFLSPTDKLGDNQLFWGQRPLQIVLLLLALIAVPWMLFPKPFILKKLYTERFQGRSYGLLNNSEMDLEVEPDSARQHHHEEFNFTEVFVHQMIHSIEFVLGSVSNTASYLRLWALSLAHSELSTVFYEKVLLLAWGYDNLVIRLVGLAVFAFATAFILLMMESLSAFLHALRLHWVEFQNKFYHGDGYKFKPFSFASLIDDDD >OIW15703 pep chromosome:LupAngTanjil_v1.0:LG03:152097:153662:-1 gene:TanjilG_04238 transcript:OIW15703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVPAFSATPIQVPNQSHSTNTNTNTNTNNNNNNNNNNNNNVSLRHTSKSSDSTAAWTSSIAHHCRTGHLLKAASLFIRMTEASVQPNHITFITLLSACAHYPSHTSFSFGSIIHAQARKLGFVINNVMVGTALIDMYAKCGHMPLATLIFSQMGVRNLVTWNTMIDGCMRNGEIEYALQLFDELPVKNAISWTTLIGGFVKKDYHERALACFREMQLAGVSPDYVTVISVLSACANLGTLGIGLWVHKLVMMQDFKDKNNVRVHNTLIDMYSRCGCIEFACQVFDRMSERTLVSWNSIIVGLAVNGLAGEALNCFNSMQREGFEPNGVSYTGALMACSHAGLIDEGLRIFDNMKRVRRITPRIEHYGCLVDLYSRAGRLKEAWNVIKNMPMKPNEVVLGSLLAACRTEGDIELAEKVMKYLVELNPSGDSNYVLLSNIYAAVGKWDGANKVRRAMKNRGIQKKPGFSSIEIDSSIHKFISGDKSHEENDDIYSALGLLTFELQLCGYVPDFSGRKSYEDD >OIW14364 pep chromosome:LupAngTanjil_v1.0:LG03:29971079:29980003:-1 gene:TanjilG_15718 transcript:OIW14364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIEAESDGVSASRKHLVFAYYVTGHGFGHATRVTEVVRHLILAGHDVHVVTGAPDFVFTSEIQSPRLFIRKVLLDCGAVQADALTVDRLASLEKYSETAVKPRASILEIETEWLNSIKADLVNTLHFADPCKANTRKQVSDVVPVACRAAADAGIRSVCVTNFSWDFIYAEYVMAAGIHHRSIVWQIAEDYSHCEFLIRLPGYCPMPAFRDVIDVPLVVRRLHKSAKEVRKELGIDNDVKLVILNFGGQVITYLRPSHSVKPEPSGWKLKEDYLPEGWLCLVCGASESEDLPPNFKKLAKDAYTPDIIAASDCMLAHILQETAFGKNYASDKLSGARRLRDAIVLGYQLQRAPGRDIDIAIPEWYVTAENQLGQSSPSSPVGVGGSVFGIEDFEILHGDVQGFPDTVAFLKGLSELGEKHTRRERKAASSLFNWEEDIFVARAPGRLDVMGGIADYSGSLVLQMPIREACHVALQKVHPSKHRLWKHAQARQNAKGGNPKAVLQIVSYGSELSNRGPTFDMDLSDFTDEEGNPISYEKANKYFAQDPSQKWAAYAAGAILVLMHELGVRFEDSISMLISSAVPEGKGVSSSASVEVASMSAIAAAHGLNIMPRDLALLCQKVENHIVGAPCGVMDQMASACGEANKLLAMICQPAEIVGLVEIPNHIRFWGLDSGIRHSVGGADYGSVRIGTFMGLKMIKSKASEELSELRADGVNYDEVEQDDIELLKQEASLDYLCNLLPHRYEALYAKAIPESIIGETFLEQYNNHNDAVTIIDQKRTYSVRFPTIHPVYENFRVKTFKALLTSATSNDQLTALGELLYQCHYSYGACGLGSDGTDRLVHLVQELQHSAASKAEGVTLCGAKITGGGSGGTVCVIGRNCLKSSEHIFEVQKRYKKATGYLPFIFEGSSPGAGKFGYLKIRRRAVHATEDDSSLSPP >OIW15807 pep chromosome:LupAngTanjil_v1.0:LG03:829676:830501:-1 gene:TanjilG_04342 transcript:OIW15807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVIAAYLLAVLGGNETPSAADIKNILGSVGAEAEAEKIEFLLNEVKGKSIVELIASGREKLASVPSGGGAVAVSAAPAGGAGGGGSAPAAEAKEEKKVEEKEESDDDMGFSLFD >OIW15314 pep chromosome:LupAngTanjil_v1.0:LG03:14329666:14334223:-1 gene:TanjilG_10754 transcript:OIW15314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKGKQQEGGAMVAVPNFLPHQLHNPIQEIQLRFKQLESGFKLWLSKQSLPVEATVVTAASAAQGAAIGAFMSTFTSEASSVFPTPPPNASLSPQAMASLKQAQALSGGPFVQGRNFAVMTGVNAGITCVLKRLRGKEDVQSSMAAAFGSGAMFSLVSGMGGPNQAANAVTSGLFFALVQGGLFQIGQKFSQPPAVDTHYIKTSSMLQNLGLQGYEKNFKKGLLTDITLPLLTDSALRDVKIPPGPRLLILDHIERDPELKREKQGGRK >OIW15450 pep chromosome:LupAngTanjil_v1.0:LG03:9977265:9981291:1 gene:TanjilG_28649 transcript:OIW15450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYHHTRFNTKPLHVADPDESEPGPTLEVGPASPSSGDDTKTEAPSPKKRREMKKRVVTIPIGDIEGSKSKGENYPPFDSWAWRKYGQKPIKGSPYPRGYYRCSSSKGCPARKQVERSRVDPTNLVVTYAYEHNHSLPLTKSNSSAVAAATVTDAVTDSPTDSAAKPQPEELTVFASHPDHEFAVDSAVLLSHHHHGSEFEWFDDVVSAGVFESPIGGVLEDVALTMREEDEEESLFADLGELPECSVVFRRRNILSAKVVQCGGVPR >OIW15968 pep chromosome:LupAngTanjil_v1.0:LG03:1944406:1950276:-1 gene:TanjilG_04503 transcript:OIW15968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVHSIDDFPSPFGDPSSTFSDSELRETAYEVLMCACRSSGPKPLTFISQSERGDRGHAPAAVGTASLNRSLTSAATRKVKKALGLKTASLKSSGKRAVTTGELMRVQMRISERSDSRVRRALLRIAASQLGRRMELVVLPLELIQQFKSSDFPSQHEYEAWLKRNLKVLEAGLILYPHLPLDKVDNSAQRLRRIIRGALENPMDIGKSTESMQTLRSVVLSLACRSSDGSVPESCHWADGFPLNLWIYKTLLEACFDLHENTAVIEEIDEVLELTKKTWVMLGINDMLHNICFSWVLFHRYVSTDEVEYDLLFASSNILAEVEKDTKATKDPFYAKTLSSTLSLMLSWAEKRLLTYHDTFHNDNIESMESLVSLAALSAKILAEDISHEYNRKRKEADVACTIVEKQKLEKLDPSKHLSGKQNKAFPTLSVLAREITELAYNEKAVFSPRLKRWHPLPAGVAVATLHVCYGKVLKQYVKGITELTPDAIKVLTDADKLEKDLVQIAVEDSVDSEDGGKSIIREMQPYEAEAVVANLVKSWIKIRLDRLGEWVDRNLQKEQWNPQANKEGFAPSAVEVLRIVDDTLESFFLLPIPMHAVLLPELMSGLDKSLQQYILKANSVCGNRNTFIPVMPALTRCSKKSKSHGVFRKKEKSQMTQRRKAHDGTTNGGNSSDIDIPQLCLRVNTMQRIRMELGVLEKRIVAHLSSSKSAADNDIANGVNLKFKLSPAATVESIHQLCECIAYKLVFHDLSHVLWDGLYVGEVSSTRIEAFLQELEQYLEIISSTVHDKVRTRVIVQIMHASFDGFLFVLLAGGPSRAFSLHDSTIIEEDFKLLTDLFWSNGDGLPAELIEKHSTTIRVVLPLFHTDTGYIIQQFSELTMEMYGSSAKSRLPLPPTAEQWSPREPNTLLRVLCYRNDEAAAKFLKKHYNLPRKV >OIW15288 pep chromosome:LupAngTanjil_v1.0:LG03:14775998:14780392:1 gene:TanjilG_08085 transcript:OIW15288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELFYYTVFGGLAAIVAVLELSKNNKDRINTSSAFNSFKNSYLLVYSLMMAGDWLQGPYVYYLYSTYGYGKGEIGQLFIAGFGSSMLFGTIVGSLADKQGRKRACVTYCITYILSCITKHSPQYKVLMLGRILGGIATSLLFSAFESWLVAEHNKRGFEQQWLSLTFSKAIFLGNGLVAIVAGLFGNVLVDTLALGPVAPFDAAACFLAIGMAVILSSWTENYGDPSENKDLLTQFRGAAVAIASDEKIALLGAIQSLFEGSMYTFVFLWTPALSPNDEEIPHGFIFATFMLSSMLGSSIASKLMARSALRVESYMQLVFAVSSAALLLPILTTFLVVPTNVKGGSISFAGSVQLLGFCIFESCVGIFWPSIMKMRSQYIPEEARSTIMNFFRIPLNIFVCVVLYNVNAFPITVMFGMCSIFLVVACILQRRLMAIAEKPKTEDWQLKERDTETEPLNI >OIW15998 pep chromosome:LupAngTanjil_v1.0:LG03:2231965:2232480:1 gene:TanjilG_04533 transcript:OIW15998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKNILVVVLGICLMLGMSEYVDGRKNLNKAKEDVKNPEWFFDNVPGVVIGGAGGHGGYGAGEGGGGYGGGSGLGGGYGGGSGLGGGYGGGSGLGGGYGGGSGGGGGGGLGGGVGIGGGVGGIGKGIVGGIGGYGGVYKGIGGIEGGVGAIGGVGGFIGGGHKYVDANKP >OIW15686 pep chromosome:LupAngTanjil_v1.0:LG03:3665179:3666978:1 gene:TanjilG_09624 transcript:OIW15686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHSGVHGNTFTYPLLLKACANLNSISLGTMLHGHVLKLGFQGDIFVQTALVDMYSKCALVACARNVFDEMPQRSIVSWNAMISAYSRGSSMNQALSLLKEMWVLRYEPSSSTFVSILSGFSKNLNSFNSLCQGMSMHCCLIKLGLLYSEVSLANSLMSMYVQFSKMGEANKFFDSMDEKSTISWTIIMGGYVKVGRAVEAFSLFNQMQKQSIDIDFVVFLNIIFGCIQVGELFLASSVHSLVLKCGCSEEDSIENLLITMYAKCGNLTSARMIFDLIVDKNILSWTSMIAGYAHSGNPEKALDLFRRLVRTDIRPNGATLATVLSACADLGSLSIGQEIEEYIFLNGLELDQQVQTSLIHMYSKCGSIKKAREVFEKMTGKDLAVWTSMINSYAIHGMGKEAISLFRKMTIAEQIVPDAVVYTSILLACSHSGLVEDGLKYFKSMQKEFGIAPTVEHYTCLVDLLGRVGQLDLALDIIQGMPLEAQAQSWAPFLSACRIHGNVELGELAAVKLLELSPGKSANYVLMANLYTSLGKWKEAQRMRKLIDGKGLVKESGWSQVEINGRFHMFAAGNQSRVQLDNIYKTLEDLNFTLQEGS >OIW15522 pep chromosome:LupAngTanjil_v1.0:LG03:6333978:6337109:1 gene:TanjilG_27373 transcript:OIW15522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFDLQNPLENFHDLPYDSVPPLFFIESDQNPSPNYFHKLIASDSDISIRRSVISIISQKTCTFDPVLTYLAINYLDRYIANQGILQSKPWANKLLAISCFSLAAKMLKTEFSATDVEALLNQGDGGVIFETQTIQRMEAIVLGALQWRMRSITPFSFFPFFINLFTINDPALIQVLKDRASEIILKSQREIKVLEFKPSIIAASALLSASHELFPFQYPSFLRAISDCSYVNKESMQQCYNVIPDIAMEEYESVFNVKSSSETPINVLDQNFLSFESEKTNNAALMQGKELNKRRRITDYGPALNSACCEMEKGR >OIW14411 pep chromosome:LupAngTanjil_v1.0:LG03:29447868:29449297:-1 gene:TanjilG_20857 transcript:OIW14411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEDLLDFPNDDESIILDATFDSVPENSTHSSTLSSFSGYGTNSISNSGSHNLVDAHLCGDLCVPDDDDIAEMEWLSNFVEESFSCEDLQKLELISGMKARKDDPSKSRKFQLEPNRNNPLEVFVPVKARSKRSRMPPCNWTSRLLVFTSMISLSSNPNIIIQTPTMSSIPISIIGKKSTKVGLKRKDSGDDVSSGDGRKCLHCATDKTPQWRTGPMGPKTLCNACGVRYKSGRLVPEYRPASSPTFVLAKHSNSHRKVLELRRQKEMVREANNNNSCNIIFNT >OIW15916 pep chromosome:LupAngTanjil_v1.0:LG03:1573493:1580163:-1 gene:TanjilG_04451 transcript:OIW15916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNLQQAGQPKSSNGYGRRKSEREGVTKSENKVPSGKLNFNRLASTGAVSGSKGGSYESPSHDRLVYITTCLIGHQVEAQVKNGSIYSGIFHATNADKEFGIILKMVRIVKDGSLRGQKSGVEFFGKAPSKILIIPAKELVQVIAKGVAVTRDDLPSESHHDMHQEIMVDSLISQSRHVDLGRELKPWVPDEDDPQCPELENTFDGHWNRGWDQFETNETLFGVKSTFNEEIYTTKLEKGPQTRELERQALRIAREIEGEDTQDLHLAEERGLHIHENLDIDEETMFSSVYRGKGVDDSGYDENEDMFDSHNSDTFGGTFGSVVKWSNEISGGKGNDGAPTMGNSSYVSSTGVDLILSGSYDYAKQLASELPAKSYTSLDGESRIQENLVSDLHGGNGNTKEESQQAEDVQLSKSEDSKASFYLKKDGSDKGILSPNATSYAPSSLTSSKIHEKTGSPSDLSEGSAHGKAIGEAKSVNSHRLSARPGLSPSSSVGSLSSEKSSLNPNAKEFKLNPNAKIFIPSQAPIRPPSPASEASFYFPTTVQNVPGMPMGIGIGPNFSGPQPIIYNPQVAQMQSQAYFHPNEPQYGQLLGPRQAVYMPGYLPVSDMLIHYYLLSSPEMVFNVDANMLQNVFVQMLQRCV >OIW16047 pep chromosome:LupAngTanjil_v1.0:LG03:2711421:2714819:1 gene:TanjilG_04582 transcript:OIW16047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMCLVSPRTGRHLQRYDKGCRQVVGCIPYRYKSKGIQDKEIEVLVISAQKGQGMQFPKGGWEIDESMEQAALRETIEEAGVVGNVESKLGKWVYKSKSQAIMHEGYMFPLLVNKQLDNWPEMNFRKRRWMTVAEAKEICPHAWMKEALDVLSKLGKWVYKSKSQAIMHEGYMFPLLVNKQLDNWPEMNFRKRRWMTVAEAKEICPHAWMKEALDVLVCRQTQPQPKL >OIW15733 pep chromosome:LupAngTanjil_v1.0:LG03:297287:302292:1 gene:TanjilG_04268 transcript:OIW15733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSMLRGSFKPAKCKTALKLVVSRIKVLKNKKEAEVRKLKMELAQLLNSDQEQTATIRVEHVIREEKLLAAYDLVEIYCELIVARLPIIESQKNCPIDLKEAISSVTFASPRLSDISELVKVRKQITSKYGKEFVSAAVQLRPDCGLVEKLSAKAPDGPTKTKMLTTISKEHNIKWEPKSFGENDGKPSQELLVGPNNFEKASYVEPSQVHASPVHDEKFPPDLHSSSQTKPMHSTPTNSYEQNASGATRKVDLNQLTSRMSIPEIIPPGTGSQEKYFRDSNSGNGSSYYMGRQNWNMEFKDAASAAQAAAESAERASVAARAAVELSNRERMTMEYLSGSNSYSGSRFTEVPQEYAFHDDNHLPTGSVKSTFHRKGSGVYNDQITAKEQDNPVGAPNENYQTNPENVVKHAQSTPLSSSSSFGDNPFSHGSQTADIYQVNNSFEQENSDLHVVNIKKQASRTEIDFMTKLHGDDDSNTESSHHIGDATPIRQSIKAPFSHLIPSDEHNHNLNSYGQDMGNKAVEDHFVTDEGTTQINTIEGSSYNDTSVAFDDSGSEDGEYKFDFENKYKVEGSSLFPSYCGSKSHVDPLENTSSGRHGQDIDEKESSSTSQSHFSVVSERLATSAISSEKEDLLPVTFDNSDDAGSDSEVDLVKSTVAGTFDYRNSVLDQSANHETLGSSSRNDKIVGSNRKPWLSPSSVGSDTVEEQFEKKVEINTMLDKTFDYGDLRTSQLLQQPDTFKSTETLEESHTENVKELNYGMLKGGFRNKAYKRPPYIKNTSNESSSSLGEISVQNERSFPTVRISISSDTPIQDKCTEEVSRGRASKSIKSDGDITSKQKPDHIHPKLPDYDSITAQFLSLKKDRQ >OIW15661 pep chromosome:LupAngTanjil_v1.0:LG03:3833184:3835605:1 gene:TanjilG_08417 transcript:OIW15661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREILHVQGGQCGNQIGSKFWEVICDEHGIDPTGKYIGDGSGSDLQLERINVYYNEASGGRYVPRAVLMDLEPGTMDSIRSGPYGKIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLSTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSHGSQQYVSLTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSSVCDIPPRNLRMSSTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVAEYQQYQDATVEEDEYEDEEGEDNYEEQ >OIW14813 pep chromosome:LupAngTanjil_v1.0:LG03:24817549:24819013:1 gene:TanjilG_05434 transcript:OIW14813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASRFIKCVTVGDGAVGKTCLLISYTSNTFPTDYVPTVFDNFSANVVVDGNTINMGLWDTAGQEDYNRLRPLSYRGADVFILAFSLISKPSYENISKKWIPELRHYAPGFPIILVGTKLDLRDDKQYFTDHPGAVPITTAQGEELRKVIGASAYIECSSKTQQNVKGVFDAAIKVVLQPPKQKKKKRRAQKACSIL >OIW15910 pep chromosome:LupAngTanjil_v1.0:LG03:1544574:1549762:1 gene:TanjilG_04445 transcript:OIW15910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSGITGILNRGHKIKGRVVLMRKNVLDINSLTSVGGIIGEGFNIIGSAVDGLTSFLGRSVSLQLISATKPDANGKGVVGKTTFLEGIIASLPTLGAGQSAFSIHFEWDEDMGIPGAFYINNFMQTEFFLVSLTLEDIPNHGTIYFVCNSWIYNAKHYKTQRLFFANKTYLPSETPGPLCKYREEELQNLRGDGKGERKEFERIYDYDVYNDLGDPDKGDKHARPVLGQNETFPYPRRGRTGRKPTRKDPNSESRSNDVYLPRDEAFGHLKSSDFLTYGLKSVSQDVLPLLQSVFDLNFTPNEFDSFDDVHGLYEGGIKLPTNVLSKISPLPVLKEIFRTDGEQALKFPQPKVIQVSKSAWMTDQEFAREMLCGVNPNLIRCLQEFPSRSKLDSKVYGDHTSKITKEHIEPNLEGLTVDEAIQNKRLFILDHHDPIMPYLSRINATSTKAYATRTILFLKEDGTLKPVAIELSLPHPQGDQSGAISQVYLPAEEGVESSIWLLAKAYVIVNDSCYHQLVSHWLNTHAVVEPFILATNRHLSSLHPIYKLLYPHYRDTMNINALARQSLVNEGGIIEQTFLWGRYSMEMSAVIYKDWVFTEQALPADLIKRGMAIEDPASPHGLRLVIEDYPYAVDGLEIWNAIKTWVQDYVSLYYTSDDTLEKDSELQAWWKELVEVGHGDLKDKPWWPKMKTCDELVEACTIVIWTASALHAAVNFGQYPYGGFILNRPTLSRRFMPEKGTAEYEELVKSPQKAYLKTITPKFQTLIDLSVIEILSRHASDELYLGQRDNANWTSDTRALEAFKNFGNKLAEIEKKFSNTNNDEKLRNRYGPVNLPYTLLFPSSDEGLTFRGIPNSISI >OIW15302 pep chromosome:LupAngTanjil_v1.0:LG03:14064095:14065042:1 gene:TanjilG_10742 transcript:OIW15302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEANGVDPCSSEASSISANSDDQKMNRKEKGVKSELDQASISNSSMQLLDFMKLNSNDNLVSDSRAELDLLNQTGNNNLVGSSSRVNNKNSIAEVTDENTKIEAKSFSCNFCKREFSSSQALGGHQNAHKQERALAKRRQGLDASGGFGHPHYPYYPSYPTLSTHSFYGSYNRALGVRMDSMIHKPSYPSWASPSGFSRYGSPWLRQGGREMLNSSSSTLDRLRSEGFQLQSQSQSHVDGGGRGFFIGSNATSSIKDNDGNNASTETLSLSTNIATTSSSQVINKPSQLLTVGDPAPEGISKAESSDDLDLSLKL >OIW15095 pep chromosome:LupAngTanjil_v1.0:LG03:21620663:21624299:1 gene:TanjilG_08582 transcript:OIW15095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMQRIFKKNIHLSNTLLSLSNISSSFFTTSLHHDFPHNLQIPPFDYVPQPYKGPLADEIFAKRKKFLGPSLFHFYQKPLNIVEGKMQYLFDENGKRYLDAFAGIVTVSCGHCHPEILDAIMEQSKLLQHATTIYLHHAIADFAEALASKMPGNLKVVYFVNSGSEANELAMMMARLYTGNLGMVSLRNAYHGGSSSTIGLTALNTWKYPIPEGEIHHVVNPDPYRGVFGSDASSYAKDLQDHIDYGTSGKLAGFIAETIQGVGGAVELAPGYLKLVYDIVHKAGGVCIADEVQTGFGRTGSHYWGFETQGVIPDIVTMAKGIGNGLPLGAVVTTPEIASVLAQKIQFNTFGGNPVCSAGGLAVLRVIDKEKRQSHCADVGSHLLERLRALKQKHDIIGDVRGRGLMVGVEFVTDRKEKTPAKAETAVMFEKLRELGILVGKGGLHGNVFRIKPPMCFNKDDADFVVDALDYSISKL >OIW14524 pep chromosome:LupAngTanjil_v1.0:LG03:27351573:27355148:-1 gene:TanjilG_04957 transcript:OIW14524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRVKLEIKRIENTTNRQVTFSKRRNGLIKKAYELSILCDIDIALIMFSPSGRLNHFSGRRRIEDVFTRFINLPDQERDNAVNFPEPHYDRSPGDINCEIEELQQEVNRLHQQLQMTEAQIRIYEPDPSKMTSMEDLESTEKNLVDTLTRVMQRKVDNNHDTS >OIW15971 pep chromosome:LupAngTanjil_v1.0:LG03:1973886:1975133:-1 gene:TanjilG_04506 transcript:OIW15971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNELSITLLSLCVLFFHHVTAQNTTDDAVSNFQPSLAVVIAVLGLMFSLTFILLMYAKFCQRNTSVPVLDPENQPTLVRSRSRFSGIDKTVIESLPFFRFSSLKGSKEGLECAVCLSKFEDVEILRLLPKCKHAFHTDCIDHWLEKHSSCPICRHKVNPEDQTILTYSNSSRLQANPSGLGEDSSNIELFVQREEQQQQQQQQHGSSRFSIGSSFRKIIGMDIKEEELFIQKEIEEDSEKGYHKHNHKITISDIVFNHRWSNVSSSDLMFLNTEMLNANSSNRFNDLESSSEFMATRVVENEEIMNMNKDKSVSISDEDPLFASSYSSVGNSSHAPKYMNQGEKRSMSEITGVSRFGGSSMKNKVFRDSSLFENNLKRERTRQLWFPIARRTVQWFVNRETKSQQSQNKQKSLDV >OIW15434 pep chromosome:LupAngTanjil_v1.0:LG03:8522930:8527926:-1 gene:TanjilG_28633 transcript:OIW15434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKILLSLTPLILFLFFYLVQGLNPKCDAQDHGSNLQVFHVFSPCSPFKPSNPLSWEQSVLQLQAKDQVRLQFLASLVAKRSIVPIASGRQIIQSPTYIVRAKIGNPAQTLLMALDNSNDASWIPCAGCEGCSSTFFVPDKSTTFKNVSCFAAECKQVPNPTCGGSACAFNLTYGSSSIAANLVQDTVTLATDPLPGYTFGCIAKTTGTSTPPQGLLGLGRGPLSLLSQSQNLYQSTFSYCLPSFKSLNFSGSLRLGPSAQPKNIKFTPLLRNPRRSSLYYVNLFAIRVGNRIVNIPPPALAFNPTTGAGTIFDSGTVFTRLSEPAYVAVRDEFRRRVGANLTVTALGGFDTCYTVPIVTPTITFLFDGMNVTLPQDNILIHSTAGSTTCLAMASAPNNVNSVLNVIANMQQQNHRVLYDVPNSRIGVSRELCS >OIW15859 pep chromosome:LupAngTanjil_v1.0:LG03:1187567:1190556:-1 gene:TanjilG_04394 transcript:OIW15859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECSAPAASPPSSGNDNGSDEQVMSEIHLGCPPRFCGPHISTFTVSLPQDVAHSAQDHGLGNDALESPIPGLDEDGDLLLPRRTSRNVEGSCDRYCVRIQHNITSSIPNVGLQVWRAELVLSDFILHKALCSSEFDGVIALELGAGTGLVGLLLARTAKTVFLTDHGNEILDNCAKNVELNIESLNCQATVNVRELDWFNAWPPKARIGESPSTQRYSWTSREIDDAENASFLLAADVIYSDDLTDAFFSTLERLMSRGSAKVLYMALEKRYNFSLSDLDVVANGYSHFRSYIKDEDEIKSLESGTMANFVGKRMDFSQIPQYVREYERGHDVEIWQIKYSGTEFKNRT >OIW14828 pep chromosome:LupAngTanjil_v1.0:LG03:24698571:24701071:-1 gene:TanjilG_17053 transcript:OIW14828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSASSRRMWCSFPEKFQLHGAMLALQFGYAGFHVVSRAALNMGVSKLVFPVYRNIIAFLLLVPFAYFLEKKERPRLTLNLALQFFLLALVGIEKVRVDRKDGIAKVAGTVFCVAGATVITLYKGPTIYSPVAPLHNTIINTTPQQVFGSVSLGDAEGKNWTLGCIYLIGHCLSWSGWLVLQAPLLKKYPARLSVTSYTCFFGLLQFLVIALVVERNASAWVFQSGGEVFTILYAGVVASGIAFAVQIWCIDRGGPVFVAVYQPVQTLVVAIMASLALGEEFYLGGIIGAVLIIVGLYLVLWGKNEEKKFAKEHAAITSTNARISITQPLLPSSTENV >OIW14385 pep chromosome:LupAngTanjil_v1.0:LG03:29720636:29721337:-1 gene:TanjilG_15739 transcript:OIW14385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLSMEAYLCDNILSTPTSTTPLPKSLKEEENESKVLITLLDLNNVCDNDYSTLAFTPQGQELNLITCLDNIDSSSHRVFSCNYCHRKFYSSQALGGHQNAHKRERSIAKRGTQIMIHNNHHYGSTIGVQAHSMIHKPFHVFSNGFGNPFGSYHHGWSRFSKQVMPDFHRTTTRPALLSSTTRSSVGRFEVMNTMMNSASNSGYMVSGGTHLKISDSNNHEEMNHLDLSLKL >OIW15705 pep chromosome:LupAngTanjil_v1.0:LG03:160184:161512:-1 gene:TanjilG_04240 transcript:OIW15705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEIEVPTHFLCPISLQLMRDPVTVYTGITYDRENIEKWLFSCNNNNNNSRTCPVTKQSLLNTDLTPNHTLRRFIQSWCTLNASLGVELIPTPKSPIDKSQILNLINETKRFPQKQLKCLKRLRSFVFEGERNKSCLESAGAIDFLASTMNNINKVQQDPTLLTKLLNCSCNKLSCIDCKNCNITVAYCNLETCRLSEAAVEVLFHISPSEVMLKKLINNEEIQFVESLFQVLRVGNYQSRAYATMLLKSAFEVANPIQLISVKSMLFVEIMRVLRDKISQQASKAALKIIAELCEWGRNRIKGVEGGGVSVLIELLLDTQERRACELILIALDKLCGCAEGRAELVNHGAGVAIVSKKVLRVSYVASDRGVKILSSICRYSATSRVLHEMLQVGAVSKLCLVLQVNTSSKTKERAKEILKLHSMLWKNSPCIPLHLLSSYP >OIW15419 pep chromosome:LupAngTanjil_v1.0:LG03:10982891:11010551:-1 gene:TanjilG_32658 transcript:OIW15419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVELSTVLAMSSQRRWFTVFRGFSPVAMELIPSSDLLGTESLMQGSHAPVPVKITNHKFIQHWEEPTITERMDLELHDYPPSGANGRHTPRSPYP >OIW15151 pep chromosome:LupAngTanjil_v1.0:LG03:20730959:20735289:1 gene:TanjilG_14150 transcript:OIW15151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYFNFACSYTYKFIMGLLAIAATSNFHVTLASPYYGAKGTKFGGVPMNLGGLKSKKLSSGYMQVKIKAQQAPSKINGTIVTTSIREDLVSRQNFSIKSYEIDADRKISIQALMNYFQETVIHHYKANRFHCDDFGSTPEMLKQNLICVTIRMKVVIYQYPTWGDDVQVETWISASGKIGLRMNWHLVDCKTGEILVRASSVCVMMNKLTRRVSKIPDEVRRELEPQFLSPLNLDDRKDLPRLHHNAADYISNSFSPRWSDLDVNQHVNNVKYIEWILESVPLSIMESHELSSMTLEYKRECGKKNKLQSLIAISSADEDGCNLGHNNGHLIECNHLLQLEDGVEIMRGKTEWRPKLVHNFDIMNLVM >OIW15773 pep chromosome:LupAngTanjil_v1.0:LG03:570250:573340:-1 gene:TanjilG_04308 transcript:OIW15773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKESQKSLDPELWHACAGGMVQMPPLNSKVFYFPQGHAEHAHTNLDFVDSMRIPPLILCSVAAVKFFADPETDEVFARVRLVPLRNAEVDYDTDGDCANASENSEKSVSFAKTLTQSDANNGGGFSVPRYCAETMFPKLDYSAEPPVQIVIVKDVHGEVWKFRHIYRGTPRRHLLTTGWSSFVNQKKLVAGDSVVFLRAENGDISIGIRRAKRGIGGGSEAPSGWSSGAGNCGIGPYGAFSVFLREDTKILGNGVGNSSPGGGVNLSGSAKVSSESVRDAMALAATNKPFEFVYYPRASTPEFCIKASAVKVAMRIQWCSGMRFKMAFETEDSSRISWFMGTIASVQVVDPIRWPNSPWRLLQVTWDEPDLLHNVKHISPWLVELVSNVPVIHLSPFPPPRKRLRFPPHLDFPYDVQFPIPMFSGNAVGTSSPLRCLSDNAPAGIQEARHAQIGKSLSDFHFHNNKLRLGVLPTNIQQLNFNAAGISNGNITNHDKSKESLSWLLTDGNSRKSLEKSDNVKRHQFLLFGQPILTEQQISRRACDLLSQNCSAGKDSPDENKDKEKSFSVDSQSTLSEQFSPGKSCTTESWQMGLDTTGHCKVFMESEDVGRTLDLTSLGSYEELYRRLSNMFGIERSEMLSHVLYRDVTGAVKQIGEEPFSAFRNTAKRLTILTDSGSKDTKRTWIAGTQNAEHGLDAPNKTGPLSIFA >OIW14933 pep chromosome:LupAngTanjil_v1.0:LG03:23819650:23819985:1 gene:TanjilG_30652 transcript:OIW14933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRFLLFLIVSLIAAVSGGRDLTGDVLRLPSEEASRFFQARNGDENEEGN >OIW14624 pep chromosome:LupAngTanjil_v1.0:LG03:26287715:26289212:-1 gene:TanjilG_32966 transcript:OIW14624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFSKTEEHKAIQEAASEGIKGMKNLINLLSHQPSHSHSHSDLTDFTLSKFNNLISLLNRTGHARFRRAPLHQTSTSTDLPPPPPPPHFHTPTHVLPHHAPVTFAPTQSHSLTLDFTKPNNVLSSNAKSMELEFSKDTTTFSVSSTSSFMSSAITGDGSVSNGKQGSSIFITPAATAISGGKPLMSLPPFKKRYHDHREHSGDVSGKISGSGSQCHCTKRRKNRVKNTVRVPAISSKIADIPADEYSWRKYGQKPIKGSPYPRGYYKCSTVRDCPARKHVERATDDPAMLIVTYGGEHRHEIQAAMQENICGEMGLVFEST >OIW15539 pep chromosome:LupAngTanjil_v1.0:LG03:5885839:5886372:-1 gene:TanjilG_16145 transcript:OIW15539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISFESQQWRKQNIVYPPNIARDSALLLPQQQQILQNWSDAMNLSPRGRMMMMMNQNNMFGPDGRSFFRPIAQPINTTKLYRGVRQHHWGKWVAKICLPRNRTCLWLGTFDTVEEAAMAYDREAFKLRGENTRLNFPEHFINKEKEADASKTLAPTSSASSTCSTSTTTLLLEQVIP >OIW15862 pep chromosome:LupAngTanjil_v1.0:LG03:1196902:1198740:-1 gene:TanjilG_04397 transcript:OIW15862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQSAKSESDITSLAASSPSRSPKRPVYYVQSPSRDSHDGDKLSSMQATPISNSPMESPSHPSFGRHSRNSSASRFSGIFRSSSGRKGGRKRNEKGWPECDVILEEGSYGEFDKAYTRRCQALIALLTFVVLFTVFCLIIWGASRPYKTEVTVKSLTAQNLYVGEGSDFSGVVTKMMTVNVSLRMNIYNPATFFGIHVHSTPINLVFSDITVATGELKKYYQPRKSHRVVSVNVEGNKVPLYGAGSSITLSQTGDVEVPFALKFEIRSRGNVVGKLVRTKHSKEITCPLVIDSSGSKPIKFKKNSCTYV >OIW14566 pep chromosome:LupAngTanjil_v1.0:LG03:26810096:26814403:1 gene:TanjilG_14952 transcript:OIW14566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTAVAAGQSLYPLHRSKTIHLVRHAQGFHNVEGDKNYEAYLSYDLLDASLTPLGWKQVDNLQGHVKRSGLSKRIELVIVSPLLRTMQTAVGVFGGEAGSDGINSTPLMNENVGDSGRLAISSLNSPPFIAVELCREHLGVHPCDKRRSINEYRNMFPAIDFALIESDEDILWKPDIREKNEEVAARGLKFFEWLWTRKEKEIAVVTHSGFLFHTLSAFGNDCHPTVKNEICTHFANCELRSVVIIDRGKIGSYESSTNFPGKIPDGLDLPSDVADEKLSETD >OIW14530 pep chromosome:LupAngTanjil_v1.0:LG03:27296737:27297000:-1 gene:TanjilG_12929 transcript:OIW14530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QNMHFRHCIHVGGLKRVLFFVLLTFLVCGEKEESVVGLSNQKPNTQEKQLRQKKLLQHQEQVPKYPFDVFASEKRKVPNASDPLHNR >OIW14759 pep chromosome:LupAngTanjil_v1.0:LG03:25155884:25157250:-1 gene:TanjilG_05380 transcript:OIW14759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLGVSSWSFFLCTLLVFLIIQDTGARKIQLKEGSYDLQHLTTKGHVLNHIMQVEGGDHVEISKKPIPKDDDDDDHDRKKLKGNHVKEDDHDDDEDEDDHKKMKGKHVKKYDEHIKIKGKDVHANKPKSSMDHNHMDPELNVFFTPADLTVGKTMPIYFAKRDSSTSPKFLPREEAEQIPFSTRNLPSLLKFFSFSKHSVQAKAMKYTLKQCEFEPMEGETKFCATSLESLLDFASNMFGSNSQFKVLTTTHLTNSTNPLQNYTIKEIREISVPNIIGCHPMPYPYAVFYCHSQKSDTSMYEVLVKGQNGGLVQAAAICHMDTSKWDPDHVSFQVLNVKPGTSPVCHFFPPDNLAWVPLHQAP >OIW15618 pep chromosome:LupAngTanjil_v1.0:LG03:4356218:4359661:1 gene:TanjilG_08194 transcript:OIW15618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRNQYDTDVTTWSPAGRLFQVEYAMEAVKQGSAAIGLRSKTHVVLACVNKANSELSSHQKKIFKVDDHIGVAIAGLTADGRVLSRYMRSECINYSYTYESPLPVGRLVVQLADKAQVCTQRSWKRPYGVGLLVAGLDESGAHLYYNCPSGNYFEYQAFAIGSRSQAAKTYLERKFDNFNGSSREDLIKDALIATRESLQGEKLRSSVCTVAVVGVGEPFHILDQETVQKFIDEFEIVREEEVPPAEEPQPEAEQGAAADQGAGAGQGSGAADQGGATSEGAAPMDI >OIW14387 pep chromosome:LupAngTanjil_v1.0:LG03:29704068:29706023:-1 gene:TanjilG_15741 transcript:OIW14387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVIDQWFKSAIVDNSLVAHLLLCLKHSSIPQSPPLLSHWGANKPRSSVSRSKHHPSPTAFSPTTPLSWTASADGYEHSTHHIRSKDIENKKASFVAQRAGNETIKRRKLDLGSMSCDKPNSSADEDLSALPRIVPSTPEAEVGTHPRATTRIVIPDLNMMPPSEEEEDEEESYPNFPYGMS >OIW14526 pep chromosome:LupAngTanjil_v1.0:LG03:27330036:27333796:-1 gene:TanjilG_04959 transcript:OIW14526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSLRLVLRHICFFTPSPAPPRSAIALSLLYNRHATCHCVSYSKPTLSLSHNKESNYKRVVNKDERLRVPQTVNLEKIGDSTTIAAIVTSLGAPPGAVGIVRLSGPSAVSVVSRIFKKKMKKKKKNEKDVSWIVRSHVVEYGVLLDLDGDVIDEVLVVPMLAPRSYTREDVVEIQCHGSEVCLRRVLRACLDAGATLAQPGEFTLRAFLNGRLDLSQAENVARLISAKSVAAADAALAGIQAYFDDEMPPLDLNLVMDKIHTMSRDVGNALETANYDKLLQSGLQIAIVGRPNVGKSSLLNAWSKSERAIVTEIAGTTRDVIEASVSVNGIPVTLLDTAGIRDTDDIVEKIGVERSEAVAKGADVIIMTVSAVEGWTSEDTKLLERIQLSKRSTGSSTPAILVVNKIDCGPYAETEWDEECTSLNFFSKHVFTCAVTGQGLQDLEKAVLEIVGLDAIPAGGRRWTVNQRQCEQLVRTKEALGRLESSIKDELPLDFWTIDLRDAALALGQISGEDISEEVLSNIFGKFCIGK >OIW14976 pep chromosome:LupAngTanjil_v1.0:LG03:23436123:23444005:1 gene:TanjilG_30695 transcript:OIW14976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDIEEEVQKTVLPTADSDPELEEKLLEVGGKLVEPPSSVEELLSLLDQVDSFLSRVEQSPSISMQNALSPSLKAFIADELLRHSDADVKVAVASCISEVTRITAPETPYDDDQMKEVFQLIISSFENLYDKTSQSYAKRISILETVAKVRSCVVMLDLECDAQISKMFQHFLKEIREHHPENVFTSMEIIMTLVLEESEDISLELLSPLMDSVKKDNEEVFPIAKKLGVRVLETCATKLKPYLLQAVKSLGISLDDYSTILASICQGASNSLEQNDICVTREQTEDESKLAKQSSEESKQMVKKDITEAVPSHQDIAVRCISPKSVTSNGAAKIGEDFCLGDSKSLKRQEVTDCSDKSKDVSVSGYEEPNGLDTKKVDKREQKPEHPTRKRGRKSSSSTKLVEPFEGHVAANEKEAEKMLDSENHSEEVPSSLHENASVEAAGPSENDKDIDAKISSPKAGHGESEVIGSSPAEGLHDRNHSKKIACAKTKDVHAKEVATEDFSKVNDVTSDSDVKPAKQSAQKALGLKSDLKKTSVADSVKKESVTTSDTDAKKQAAKKSDKINKGDDGSSSRQPEEKKKSGRGKASFEKGVVKSSAKDADVEVVSSPRSGTKSTKNESLVETPKTNVKRKHSSQTGNESDIKEYCENLVGLRIEVWWPDDREFYKGVIDSFDPAVKKHKVNYDDGEVEILHLRKEKWKIIESADSDLDGDAGSDCASHDGSIDMPPRKKGKTIAGDSTKQRKMDASSKSAKEKVISKSKGTSTKSSGKSKDGRKSKDSPTITKSDDEEPGRKIKDNTSKSIAAAQKTTGKSKNTGASKTSKSKDDDISTPKPFKSKEETPKSGKTQKIVASKDGGKSNDNNSGKVKSGLLKRKDSENDASDKYRKVKALSSSKAQGSDAKSGKKRERS >OIW15379 pep chromosome:LupAngTanjil_v1.0:LG03:12647663:12648655:1 gene:TanjilG_20291 transcript:OIW15379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCCITLDIPKDKEFPYVLHYSRYYGKTSGVGEKRTFEVDIVIGVDEANSKVAKAIDSGDYEYAIAFQLMNTDNYVISGQNGILMPN >OIW15608 pep chromosome:LupAngTanjil_v1.0:LG03:4250973:4251899:-1 gene:TanjilG_08184 transcript:OIW15608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDENNVHAKAFKMARERLKDGVIPNFKLKLISEMSSDGRVNNLPTVSEVVALIVGDIDSKSQRDIIMETQTGHLKRIDELHASYLAFQYPLLFPFGEDGYRHDVCHRARANSQNRKRNRLTVREWISFRLQTRKNEAQTLLRSRRLFHQFLVDAYTMVESERLSFIKRNQSKLRVDKYRNLNQSQTNDQSDGSNIGKRFILPSTSVGSRRYMDQLYFDGMAICSSLGFPDFFLTMTCNPNWPEILRFVNPLSLKLHDRPDIISRVFKMKFEQLLQDIKKRHVLGKVMACKYIFDNIFNFISYMLVYK >OIW14670 pep chromosome:LupAngTanjil_v1.0:LG03:25869762:25870922:-1 gene:TanjilG_33012 transcript:OIW14670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEPIKPPPTKKCRRDRSRGKSTGRSCATEVLEQQIWKAFPQDLFEAVIARLPVATFFRFRSVCRQWNSLLTSQSFSQLCAQVPQQHPWFYTITHENVNSGAMYDPSLKKWHHPTISTLPSKLIILPVASAGGLVCFLDIGHRNFYVCNPLTQSFKELPARSVKVWSRVAVGMTINGKPTGSGYKILWVGCDGEYEVYDSLRNSWSRPGSLPAGMKLPLSLNFRSQAVSIDSTLYFMRSDPEGIVSYDMATGVWKQYIIPVPLYLTDHTLAECDGQIMLVGLLTKNAATCVCIWELQRMTLLWKEVDRMPNIWCLDFYGKHVRMTCLGNKGLLMLSLRSKQMNRLVTYNIASKEWLRVPGCMVPCGRKRQWIACGTAFHPCLTATA >OIW14691 pep chromosome:LupAngTanjil_v1.0:LG03:25704396:25706780:1 gene:TanjilG_33033 transcript:OIW14691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIRSVSGIENDIEKLKQLLLCNDDDDVEFNNPHHHLHHLLNHFSLQNHDDVDVDVDVDASYIHKLNEELHNIQAQSNHLANQIHNLTLTHLNESNLLEANLQQIHSSFHYITSKDHNPDLPTQGGVQSPSILSHHSSNLEVSIILSSLFPFFLTNFSIFIINIILQELQLETKVDEMKSIVKSLQDLHHQVKWFDTVDGIEDALTGLKVLAFDDNCMRLSMQTYIPNLDKMTVQGINDGAVVHHELLIQVFEGTMNFNNVQVFPNDIYVNDILDVAKSASESSLQWFIREVQDRIIQSTLRHLVVKDANKSRYSLAYLDKDETIVAHMPGGIDAYIKLSNGWPIFASPLKLISIKGSDTLKGTSLSFHCKVEKMANSLDTPIRQNILRFVDAVEKVLKEQLQLDLPASDSSA >OIW15517 pep chromosome:LupAngTanjil_v1.0:LG03:6203517:6203994:-1 gene:TanjilG_27368 transcript:OIW15517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRVCMAAIVAVAQGHIDPGHKLKTAFNPIHQNMTRLFSVRGLLDPRPNLAGAANGNSSGVENIQRQVMYMNCWVVAETVGWVEDGGGWETLESQLWLMRLPLLPPFRYATIM >OIW15104 pep chromosome:LupAngTanjil_v1.0:LG03:21726559:21728739:1 gene:TanjilG_08591 transcript:OIW15104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFKRRNGGRNKHGRGHVKFIRCSNCGKCCPKDKSIKRFVVRNIVEQAAVRDVQEACVFEQYTLPKLYVKLHYCVSCAIHSHVVRVRSRTDRRKRDPPQRFIRRRDDAPRPGQPGQPGQGPRPAGVGAPPRA >OIW15120 pep chromosome:LupAngTanjil_v1.0:LG03:22287241:22287570:1 gene:TanjilG_08607 transcript:OIW15120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMSSLLEDFESMRAGKVLLHHVNEVIVVLNGALWILHQKCYVVFDAAVVIGVEGSELGSEFLIALNAGNGEITTYTTFALSSYLMLLCLIPHSAIAILSFSLMLSSTQ >OIW14400 pep chromosome:LupAngTanjil_v1.0:LG03:29591398:29593550:1 gene:TanjilG_15754 transcript:OIW14400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCKEQVKVEAAKCVWIHGPIIVGAGPSGIAVAACLKEQGVPSVVLERSDCIASLWQNKTYDRLKLHLPKHLCELPLMPFPLNFPKYPSKYQFISYMESYASHFNIVPRFNQSVQSAEFDPSSKFWVVRTQDFEYISPWIVVATGENAEPVIPNIHGMDLFHGPITHTSVYKSGFDYKNKKVLVIGCGNSGMEVSLDLCRHNAISHMVARNTVHVIPREMFGLSTFGIAMALNKWFPIKLVDKFLLVVSTFMLGNTNHYGIKRPKTGPIELKLATGKTPVLDVGQLAQIKSGNIKVMEGVKEITRNGAKFVDGQEKEFDAIILATGYKSNVPTWLKSCEFFDKEGMPKMPFPHGWKGEQGLYTVGFTRRGLHGTYSDAFNIAKDIAHKWNTIKNNNSCNSHIVLLNNS >OIW15012 pep chromosome:LupAngTanjil_v1.0:LG03:23052628:23055725:1 gene:TanjilG_24121 transcript:OIW15012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLQSRGCLGCFTKPRVIISTDEASKGLRPEGQAVRKDNRSEDLWCSSTSEMDRYVAESQRSFSSVGISSHPSDPQSSVDVQTDHPEFINHGLLWNQIRQQWVGHRKPESQKKHLGEPRISWDATYETLVGSNMRFPKPIPLAEMVEFLVDIWELEGLYD >OIW14531 pep chromosome:LupAngTanjil_v1.0:LG03:27284456:27284935:-1 gene:TanjilG_12930 transcript:OIW14531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNPEITPAPVSDHDTTLPPPQQMEAPPQPNTPPNPSTKASAISFSVWPPTQRTRDAVINRLIETLSTPSVLSKRYGTLTSDEASAAARQIEEEAFVAAGASASDDEDGIEILQAYSKEISKRMIDTVKARAPPASAVDNGGASVVTTEDGINAAVSEA >OIW14836 pep chromosome:LupAngTanjil_v1.0:LG03:24765064:24772843:-1 gene:TanjilG_17061 transcript:OIW14836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVERKENKNRMVSIEEGGGEVVGVQTLAADIKLLKEMKEQHVVGKSFNTELWHACAGPLVSLPQVGSLAYYFPQGHSEQLAASTRRTAATSQVPNYPNLPSQLLCQVQNVTLHADQETDEIYAQMSLQPLNSENDVFSISEFGVKSSKHPSEFFCKTLTASDTSTHGGFSVPRRAAEKLFPPLDYAMQPPTQELVVQDLHNNTWKFRHIYRGQPKRHLLTTGWSLFVGVKRLKAGDSVIFIRDEKSQLLVGIRHANRQQTTLPSSVLSADSMHIGVLAAAAHAAANRSPFTIFYNPRACPSEFVIPLAKYRKAVYGSQVSVGLRFGMMFETEESNKRRYMGTIVGICDLDPLRWPSSKWRNIQVEWDEPGCSEKQKRVSSWDIETPENFFMFPSLTSTLKRPLQSGLLENDWNTLVRRPFMRVPVNGTTEFSSTIPNLYQEQMMKFLLKPQLVNNTGTCSWVPQQEFAAKRGPSEEFKSTLTTDNERILASSVGMPQKNLHYFQTEQDQSNALNPPTKVDNPSSSGTVTDYLKLEPELLSNHMFNFPSMEGCNGEKMSTNSFNPESVAGQSTFLNQNQNPIGVDVPSSDSAIVDSLLTQLDSDEWMMYSSCQNGLTGPLSALQEHSALPSQAVDIPVPSVSQDMCDSYIKNSKFLTHAEIYGLNCISSSINLRGLSVESNNQSEIYNSNNVIDVSNSASISIVDPPTSNAILDEFCTLKGKDFLNPSDCMVSNISMNQDVQSQITSTSLAESRAFSVQDIHDNSGGASSSLVDFDESSFLPNNSWSQQVSAQVARRRTYTKVQKAGSVGRSIDVTNFKNYEELINAIECMFGLEGLLNDTKGSGWKLVYVDYEHDVLLVGDDPWKYVAKATVFTFLNSCNPTIWLGTVSGNGATLLNGGELSIPLGSTIDLTAPAGWSGSLWARTGCNFDNFGNGKCAIGDCAGGLKCTSGGVPPVTVANFTIGTASNNKDFYSVSVADGYNVAIGVTAHTVEVVIASTPVVLRT >OIW14476 pep chromosome:LupAngTanjil_v1.0:LG03:28118775:28125048:1 gene:TanjilG_19892 transcript:OIW14476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLTSNVRDYINRMLQDISGMKVLILDSQTVSIVSVVYSQSDLLQKEVFLVELIDSISKSNELMSHLKAVYFLRPTSENIQLLRRQLASPRFGECHLFFSNILKDTQIHILADSDEQEVVQQVQEFYADFVAIDPFHFTLHVPSNYIYMLPAVVDSSTSQRFCDRVVDGLAALFLALKRRPVIRYQRTSDVAKRIAQEAAKLMYQQESGLFDFRRTEVSPLLLVIDRRDDPVTPLLNQWTYQAMVHELIGIQDNKVDLKSIGKFPKDQEEVVLSSEQDSFFKTNMYENFGDIGMNIKRLVDEFQQVAKSNQNIQTVEDMAKFVDNYPEYRKMHGNVSKHVTLVTEMSKITEQRKLMTVSQTEQELACNGGQGAAFELNSLGFLTISHPIAFEIAVTNLLNDENISDVDRLRLVMLYALRYEKDSPVQLMQLFNKLASRSAKYKPGLVQFLLKQAGVDKRTGDLFGNRDLMNIARNMARGLKGIENVYTQHQPLLFQTMENIVKGRLRDMDYPFVGNHFQQGRPQEVIIFVVGGTTYEESRSVALQNASNTGIRFILGGSSVLNSKSFLTVLTAKLGSSDSGLILLF >OIW15418 pep chromosome:LupAngTanjil_v1.0:LG03:11024551:11027305:-1 gene:TanjilG_32657 transcript:OIW15418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGTAQEVVDPNTTTAATAAVDMDVETGDDSKLKRTREEESKEEEDDGVSKKPKVDGEKLVEEEGLEKVEEEKEASGPVNLGFKSFVSSVEIFDYFYKFLHAWPLYLNVNKYEHKMLLELLTNGHQEPDKKIGGGVRAFQVRNHPIYKSKCFFLIREDGSVDDFSFRKCVDHILPLPENMHLKSDVKPFSGGGGRHHGGRGGRGKGGRGGGHGKGGRWRQ >OIW15553 pep chromosome:LupAngTanjil_v1.0:LG03:5491982:5493765:-1 gene:TanjilG_01076 transcript:OIW15553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAPCCDKSNVKKGPWSPEEDSKLKFYIEKHGTGSNWLTLPQKIGLKRCGKSCRLRWLNYLRPNLRHGGFSEEEDNIICSLYISIGSRWSVIAAQLPGRTDNDIKNYWNTRLKKKLLWKHRKEQQQALSNGGNNRAVKKESNRESRSDSLSFVPENSTHHEQLYNWQQMPMLPLQPLPYTNQSPSFNNEDSIKKFLRRFYDDNYHPTLDGLLNHHEFSNGIYKEQVHMVSSSACISNSNINNNEVQYSQTGQYGFDLVQGQGSTFTSSIEEVVSTNTNNYPQRLDGLEFFYGEDIINNKIMGSSSTSCSQSSNWGETNTQIYHHHPLVSSNYQVQGLIQETHNNVFLQKFSYREMQ >OIW15567 pep chromosome:LupAngTanjil_v1.0:LG03:5018234:5025276:-1 gene:TanjilG_01090 transcript:OIW15567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLLSDIYDADELDEEPGEVIESAPPQKVGEERHISNSGLKKKLLKRGHGLETPDFNDEVTVHYVGTLLDGTKFYSTRDRDHPLTFTLGQAQVATGLDYGIITMKKGEVSLFTLPAELGVSSNSDSVTQFEVELISWIKVIDVCKDGGVVKKILEKGTRNERPGDLDEVLVNYHVALVDGNVVAETPEGGVQFHVKDGHLFPGLPKVIMSMTRGEKAELLVQPRYAFGEKGREASGGLQSIPPNSVLHVNIELVSFKPVINVTGNSMVLKKILKEGEGAFTANEGAKVTVRYTAMLEDGTVFEKRGIGETPPLEFITDEEQVIAGLDRAVATMKKGERAIISIHPDYAFRNVEVRRDFAIVPPGSNVVYDTEMMDFIKEKAPWELSSNEKIEEAGKKKEEGNFLFKGGKYQRAGRKYEKAADYVSEDGSFADDKEKLAKALRVSCWLNAAACSLKLNDFPGAIKLCSQHYGLLQALELFNPGKEGLSYKRSFQLTFLIRSTREVKVLQRKCKQLQAENDKKYAKLYGNMFAHERKDSSMRLKVEKEEDERKKEEVMRMEMEKVADSAAPPDGGLF >OIW15856 pep chromosome:LupAngTanjil_v1.0:LG03:1158272:1162605:-1 gene:TanjilG_04391 transcript:OIW15856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVVGFDFGNESCIVAVARQRGIDVVLNDESKRETPAVVCFGDKQRFIGTAGAASTMMNPKNSISQMKRLVGKKFSDPELQKDLRSLPFVVTEGPDGYPLIHSRYLGEAKTFSPTQVLGMMFSNLKEIAEKNLNAAVVDCCVGIPVYFTDLQRRAVLDAATIAGLHPLHLLHETTATALAYGIYKTDLPENDQLNVAFVDIGHASMQVCIAGFKKGQLKVLAHSYDRSLGGRDFDEVLFHHFAAKFKEEYKIDVFQNARACLRLRAACEKLKKVLSANPEAPLNIECLMDEKDVRGFIKRDEFEQLSLPILERVKEPLEKALAEAGLTVENVHMVEVVGSGSRVLAINKILTEFFKKEPRRTMNASECVARGCALQCAILSPTFKVREFQVNESFPFSVSLSWKGSGPDAQESEADTKQSAVVFPKGNPIPSVKALTFYRPGTFSVDVQYDDVSGLQAYAPISTYTIGPFQSAKSEKAKIKVKVRLNLHGIVSVESATLLEEEEIEVPVSKEPAGENTKMETDDAAADAPVAENGLPETGDKPVQMDVDTKVEASKKKVKKTNISVAELVYGAMLPVDVQKAVEKEFEMALQDRVMEETKDKKNEVEAYVYDMRNKLNDKYQEFVIAQEREEFIAKLQEVEDWLYEDGEDETKGVYVAKLEELEKQGDPIEERYKEYEERGAVIDELIYCINGYRETVISNNPKFDHIDISEKEKVLNECLEAENWVREKKQQQDSLPKYATPVLLSADIRKKAEAVDRFCKPIVTKPKPKPAKVATPETPPTPPPEGNEHQQPQQNGNGSANENAGDSGNQAPPESSEPMETDNKTEHTGSA >OIW15550 pep chromosome:LupAngTanjil_v1.0:LG03:5588659:5592532:-1 gene:TanjilG_01073 transcript:OIW15550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNVLLNHDFSGGLDSWRLNCCNGYVISAEAGSHKGVSMGSDSNYAVITDRKECWQGLEQDITDRISIGSTYTVSASVGVSGLSQGSADVIATVKLEYHDKPTSYLFIGRTSVVKDSWEKLEGTFSLSTVADRVIFYLEGPASGVDLLIRLVEIHSSSSNDNATSTGCVSTGDDNIIINPQFEDGLNNWSGRGCKIMLHDSMGDGKILPQSGKSFASATERTQSWNGIQQEITARVQRKLAYEVTALVRIFGNNVTTSDVRATLWVQTPDLREQYIGIANVQVTDKDWVNMQGKFLLNGSPSKVVIYLEGPPPGTDILVNTLSIKHAAKAPPSIPPDVKNVAFGVNVIENSSLADGNNGWFPLGNCTLSVRTGSPHIIPPLARDSLGPHELLSGRYILVTNRTQTWNGPAQTITEKLKLFLTYQVSAWVRIGSGSNGPQNVNVALSVDNQWVNGGQTEVSDDRWHEIGGSFRIEKQPSNVMVYVQGPAAGVDLMVAGLQIFPVDRHARFRYLKMQTDKIRKRDVILKFPGLDSSSYPKTSVQVRQIRNDFPIGTCISRTNIDNEDFVNFVVKHFNWAVFGNELKWYWTEPQQGSFNYRDADDLLTLCQKNSIQTRGHCIFWDVDDTVQQWIKSLNKNDLMTAVQNRLTGLLTRYKGKFNHYDVNNEMLHGSFYPDRLGKDIRANMFKTANQLDPSATLFVNDYHVEDGCDTRSCPEKYIQHILDLQEQGAPVGGIGIQGHIDTPVGPIVCSSLDKLGILGLPIWFTELDVSAINEYIRADDLEVMLREALAHPAVEGIMLWGFWELFMSRDNAHLVNAEGDINEAGNRFLALKQEWLSHRHGNVDEQGQFNFRGFHGTYNVQVVTDTKKISKTFVLDKGDSPLVISIDI >OIW14936 pep chromosome:LupAngTanjil_v1.0:LG03:23802930:23809005:1 gene:TanjilG_30655 transcript:OIW14936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERARRLANRAILKRLVSETKQNRHKDSLLNSSTPVLYTQSKCISSIPSSSVFRNRGSKTENFLSRNVGSSSQTRSISVEALKPSDTFPRRHNSATPEEQSKMANTIGFDNLDSLIDATVPKSIRLSKMKFDKFDEGLTEGQMLEHMNYLASKNKAFKSFIGMGYYNTHVPPVILRNILENPAWYTQYTPYQAEISQGRLESLLNYQTVITDLTGLPMSNASLLDEGTAAAEAMSMCNNILKGKKKTFIIASNCHPQTIDICKTRAAGFNLKVVTEDLKDIDYKSGDVCGVLVQYPGTEGEVLDYGEFIKKAHASGVKVVMATDLLALTVLKPPGEFGADIVVGSAQRFGVPMGYGGPHAAFLATSQEYKRMMPGRIIGLSVDSSGKTALRMAMQTREQHIRRDKATSNICTAQALLANMAAMYAVYHGPEGLKIIAERVHGLAGVFALGLKKLGNVEVPDLPFFDTVKVKTSNAHAIADAARKSEINLRVVDGNTITVAFDETTTLEDVDELFKVFAGGKPVSFTAASLAPEFQTALPSGLIRKSPYLTHPIFNTYHTEHELLRYIHRLESKDLSLCHSMIPLGSCTMKLNATTEMMPVTWPSFAAIHPFAPIEQAEGYQEMFHNMGNLLCTITGFDSFSLQPNAGASGEYAGLMVIRAYHKARGDHHRNVCIIPVSAHGTNPASAAMCGMKIVTIGTDAKGNINIEELRKAAETNKDNLSAFMVTYPSTHGVYEEGIDEICKIIHDNGGQVYMDGANMNAQVGLTSPGWIGADVCHLNLHKTFCIPHGGGGPGMGPIGVKKHLAPFLPSHPVIATGGIPAPEESQPLGTISAAPWGSALILPISYAYIAMMGSKGLTEASKIAILNANYMAKRLENHYPVLFRGVNGTCAHEFIIDLRGFKNTAGIEPEDVAKRLIDYGFHGPTMSWPVPGTLMIEPTESESKAELDRFCDALISIREEIAEIEKGKADINNNVLKGAPHPPSLLMGDAWTKPYTRDYAAFPASWLRVSKFWPTTGRVDNVYGDRNLICTLQQTSQVVEEQAAATA >OIW14634 pep chromosome:LupAngTanjil_v1.0:LG03:26184331:26191062:-1 gene:TanjilG_32976 transcript:OIW14634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAVTAIDTVVRLRVIFEEDEMLNKSKKKEGLNRCWVLLKHKEHCTISHFSSHLHSTFNLHRTCPNGIILSMEGFVLPPFESTYIFKDKDIVYVQRKGSISTDDNPALLPCVPNGGSIDLPKLLAIEGFQGNDGQCQTVLQEDESDQLDEDAVNVESNANSEKRKASKKLKSPEDENDQLVDDAVNVKSNAISKKRKASKKIKSQENDSVQLEDDDAVYSESNVNSKKRKASKKSKSPSKKKIKLSSTEKLAVIPEEEEENASFEGRIHRRNVVKKDNDKSSKLSSQPKKSSNLDHKQSNNSSGSKGDKTRSLQLQDDDGTETKKMPSRSTRRKKAKRRWLREQKLQQENQKPHPSTAIEKDGQRLPIKDNNGVVSDAHQQTDEESEAEDDIVPVEIRPGHIRFEPLRKDQEVQQNHFPVETFQWNGTTSKKKGQKWGTEKIWSHKQVYYEDSTQESPNVHRAEKHHTLNPIDFDKLTPYTSSPKEGDVISYRLIELSASWTPELSSFRVGKILHYDAKSNRIQLEPVSEHPFDFKKKIDEDESSEQFDPSPYGEDGSLEIDYLSLADVRMVKHGKPDSATVNAPSNAWDNLVKATNGNIDEKHAVDRTTVGNSKQEREGHAPTKDNGKVNAWDELNEALAAKKAKLSKDDGWSHVSSDSRSWSNRAFRCSALGPTMARLRTQNGL >OIW14912 pep chromosome:LupAngTanjil_v1.0:LG03:23988709:23991929:-1 gene:TanjilG_30631 transcript:OIW14912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCACSGEQHKFEEAPQSPESLATRDFSASGLSSRTGDWESKLDEAQVEEAESTLKEALSLNYEEARALLGRLEYQQGNFEAALQVFQGIDIKGLIPKMIRAISERTKQNKSHSKADIAVIPNVMSLHSVSLLLEAVLLKAKSLEGLGRYTESAKECRIILDIVGSAFPNGMLEGISEDCKLQEMFHRALELLPNLWIKAGFLDEAVTAYRRALVKPWNLELPRLAALQKDLATTLLYGGVEVGPPSPLQVSGPATLKSNVEESILLLLILMSKMAIQEIVWDAEVMDHLTFALSVTGMFETLADRVEQILPGIYDRAERWYFLALCYSAAGHNEEALNLLRKACGSSEETHRPHFPSFLFGAKLCSQHPSYAHEGIKFSREVIDLAKNQNEHFLGEGRKFLGICYGAAARLSALDSERSIFQRESLDFLNRAAVNGNGDPEVIFSLGLENAFQRNLDAAYDNIMIYSDVTIGSSARGWQLLALIVSAQQRFKDAETIVDFALDEAGRTDQLELLRLKAVLQISQQQPKQAIETYRILLALIQAKRELWLQDMNIDQAKEFKHEVLTERKLEMEAWQDLATIYRDIGSLLDAKACVNKAQFIEFFSPRSWHITGMLFESQSLYKEALVSFSVSLSIEPDYIPSIISTAELLLKLGMQSLPIARSFLMNALRLEPTNHDAWFNLGLVSKREGSLQQAADFFQAAYELKLSAPVQKFE >OIW15728 pep chromosome:LupAngTanjil_v1.0:LG03:273930:275223:1 gene:TanjilG_04263 transcript:OIW15728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEEQPKLPQPSPDPPPLKFDPTRMIGIIKRKALIKDLAAVYHAECLSYCQQLFELQSKWDEPFIDITEDSRKETARPSKRIKKLR >OIW14880 pep chromosome:LupAngTanjil_v1.0:LG03:24305151:24306198:1 gene:TanjilG_30599 transcript:OIW14880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQAIHHAQNVLYKESSGNVRLCLQEPVDSRMSHVFFSLVPRQSHGKQQCLGGTKLEPTMKIRPTSCTTSIRAAAEYQDLPGDDDVCPVECVREFTTDEEFCRILDKAKNTGSLVVVDFFRTSCGSCKYIEQGFAKLCKKSGDHDAPVIFLKHNVIDEYDEESEVAERLRIRAVPLFHFYKDGVLLEAFPTRDKERIVAAILKYSSLEPQDILS >OIW14590 pep chromosome:LupAngTanjil_v1.0:LG03:26584676:26592712:-1 gene:TanjilG_32932 transcript:OIW14590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRVFLISLLFLSFTNLISALYEDQVGLMDWHQQYIGKVKHAVFHTQKTGRKRVLVSTEENVVASLDLRRGEIFWRHVLGTNDVVDGLDIALGKYVITLSSDGSILRAWNLPDGQMVWESSLQGSKASKSILNIPKNLKADKDDLILVFGKGCLHAVSSIDGEVLWRHDFVGESIQVSRIIHSADVILVAGFVGSSEFYVYELNAKNGELLKNNHAALPYGTFGELLSVSSDILVVLDDTRSNIVTLNFKNGEISYNQKHILDLIKDSSGQAVILPSRLPGMFALKVNSHVLIVKMTNEGELVVVDKINNAAAVSDALSISEDQHAFAFVHHGDNKIHLSVKDVNDWNGDLLKESIVIDRQRGNIDKIFINNYVRTDRSHGFRALMVMEDHSLVLVQQGEIVWSREDGLASVVDVTTSELPVEKEGVSVAKVEQNLFEWLKGHVLKLKGTLMIASPDDVAAIQAMRLRSSEKSKMTRDHNGFRKMLIVLTRAGKVFALHTGDGRVIWSILLRTLRKSEVCEHPVGLNIYQWQVPHHHALDENPSVLVVGRCGPSLAAPAVLSFIDAYTGVEINSLSHAHTVAQVIPLPYTDSTEQRLHLIIDVNQHAYLYPRTPEALDILKREFSNVYWYSVESDNGVIRGHALKSNRKAVDEYSFDMRDLWSVVFPSESEKIIATVARKSNEVVHTQAKVMTDYDVMYKYISKNLLFVANAAPKASGEIGTATPEEAWLVIYIIDTVTGRILHRMTHHGCQGPVRAVFSENWVVYHYFNLRAHRYEISVVEVYDQSRAENKDVWKFVLGLHNLTSPFSSYSRPEVTTKSQSYFFTHSVKTLEVTSTAKGITSKQVLIGTIGDQVLALDKRFLDPRRTLNPSQAEKEDGIIPLTDSLPIISQSYITHSLKVEGLRGIVTVPAKLESTSLVFAYGVDLFFTQIAPSRTYDSLTEDFSYALLLLTIVALIAAIFVTWFPHLTITMKTHHQPKHLKTQLFSCGFFRHCTQTVLSPTGATLHSPPLPLSHTPPPQQPPPPPPTPPLDQCESSTSSASSSSSTTSQSFTQWRFSLPSHSPTPNNNNNNTLTFTPTPPIQTRPDPIPNLEELFHISELQLSSGSEPDQTEALHLLERSLVPNPPQHQPPCPPNLMRHVISNLRSPTGAKLSTKILFALCLSESNRRVAVESGAAGAVVESVTEFDGAPAGRALAALELMCTVAEGAEEVRAHALTVPVMVTMMGKTGARGKEYAIGVLAVIYGGVATGRQTAPPEEVARAVELALQEECSERGRRKGAQLLKTLQQLSETESN >OIW14540 pep chromosome:LupAngTanjil_v1.0:LG03:27124437:27126898:1 gene:TanjilG_12939 transcript:OIW14540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVFVTEIVFALLLFGILSCYAESHDGRVSINTVCRRESVFDFILGFSDSTCSIPDSPPSFRYIGITEGDEVSFQKALNMVHKNKHEFIAVLFYASWCPFSRIFRPVFSIMSSLSPSIPHLAIEESSVRPSTLSKYGVHGFPTLFLLNSTMRFRYYGSRTLGSLIGFYSEVAGIRIDSLDQLSLEKIGRLSVREKNSNTEPESCPFSWARSPENLLRQETYLALATAFVVLRLLYLFFPTLLICIQYTWRRVIQNVRLGSLLEHPLVYLKRIIQSFKCLKEPCKRSNLQEGAMNAKAWASKSLATVSIGEGSTSRGCTRSSSNTRGLCLVSSIIIY >OIW14394 pep chromosome:LupAngTanjil_v1.0:LG03:29649938:29651276:-1 gene:TanjilG_15748 transcript:OIW14394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFWKILSNQIEQTLPEWRDKFLSYKDLKKQLKLIDPHHSSLQVSQQVKDFLKLLELEIDKFNSFFVDKEEEYIIKLKELQERVADAKDSNMELMSIWREIVDFHGEMVLLENYTALNYTGLVKIIKKYDKRTGALIRLPFIQDVLNQPFFKIDVLNKLVKECEVMLSILFPKNRSLAPSLSISKFYEDGGCGSITADENKEILVQVPKELAEIESMENMFIKLTQSALQTLEQIRGGSSTVSMYSLPPLHNKALEEA >OIW14672 pep chromosome:LupAngTanjil_v1.0:LG03:25860961:25861371:1 gene:TanjilG_33014 transcript:OIW14672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRRQPQQQEDQTSHALYELSTLVLNLLRYPPTPLTFSDHPSPPTVVVVPSSRLNNTQITPAGFASLLLGISLALMLCGSVTFLIGFMLMPWVIGIAMVFYVAGIVSTISVLGRSILCYVTTPPTPPRKNIPGKRN >OIW15676 pep chromosome:LupAngTanjil_v1.0:LG03:3601065:3605856:1 gene:TanjilG_09614 transcript:OIW15676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLEFHILLNVILVLSSVIIIESVKIFNNDVTTSINRNSFPEGFVFGTASAAYQYEGAVDVDGRGPSIWDSFTHNYPDKIQDRSNGDTAVDQYHRYKEDVDIMKDMNMDAYRFSISWSRVLPKGKISGGVNKEGINYYNNLINEVLAKGLQPFVTIFHWDLPQALEEEYGGFLSPNIVNDFEDYAELCFKEFGDRVKHWITMNEPWTFSQNGYALGVFAPGRCSAWQNQNCTGGDSATEPYIVSHHLLLAHAAAVNVYRTKYQISQKGLIGITLVTDWVLPLTNTKLDQQAAQRALDFMLGWYMEPLTSGSYPRSMQSLVKSRLPKFSSDQIKLVRGSFDFIGINYYTSCYAANAPQLSGSRPSYLTDSLVNLLNERNGTAIGPRGASFWLYVYPRGILDVLLYIKHKYNNPVIYITENGVDGLDDPTLSLEEALNDTYRIDYHYQHLYYLQIAIKNEVNVKGYFAWSLMDNFNWDSGLTARFGIYFVDYKNGLKRHPKFSAHWFRNFLQYIKLELHDSG >OIW15654 pep chromosome:LupAngTanjil_v1.0:LG03:3694715:3696264:1 gene:TanjilG_08410 transcript:OIW15654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREREKVRERVSERGASYRTVSGVSGGQKNHNSHQFTNRDEGFRYKPRGFFKSLQSSCTTFFFTNIPESHGIAEMWAVFAKWGSVGDVIIPQKRDKRGNRFGFVRFKQSDEEDKLLKALEQVWIGNYKIKINSPRFKRREDMNFGKGGQNDILGGGKKDSRMVGGNSQTVNLCETDSVVKDFCFSKKDSVHSGDGKGSANICAMEVDSVTKDIDDNAVWEKERFRKGKGSILIDLTDVGPNKVFGPSK >OIW15479 pep chromosome:LupAngTanjil_v1.0:LG03:6991788:6997862:-1 gene:TanjilG_32883 transcript:OIW15479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTILKITKKHHKRFNNPFPSSSASTTIPYIQGSLFFNSKTLSSHQTFSIGNDFHLSWTSNNGGYLTISHNSNANRTIWSSIPGHAFVSAAMVDTEVVESRGSFLIKDRDVHLVCDNQIIDDIRVINHLEHEVSETSSVGQKMITEENHLPTVLITGRLFNMKKNNTSFQKHGIQTNIEFEAKGSSVYARYWVLFNQKTNHQVGFQVKVEKPNFMSRKKESPTASGGRVYLGFKRRLGNIKKRLGWCWYLSRPRGFVFISSVEEEIGNLDIPESEELNRVWLTYASDENEKFYGFGEQFSYMNFKGKRVPIFVQEQGIGRGDQPITLAANLVSYRAGGDWSTTYAPSPFYMTSKMRSVYLEGYEYTIFNLTRFDRVQIQIHGNSVEGRILHGNSPCELIEHFTETIGRLPELPEWIISGAIVGMQGGTDAVRRIWDELRTYDVPVSAFWLQDWVGQRKTLIGSQLWWNWEVDEQRYLGWKELIKDLRTQNIKVMTYCNPCLAPADEKPNTKRNLFEEAKQLDILVKDSKGNPYMVPNTAFDVGMLDLTHPKTANWFKQILLEMVEDGVRGWMADFGEGLPVDAVLYSGEDPISAHNRYPELWARINRELVEEWKRNCFQEESLVFFMRAGFRDSPKWGMLFWEGDQMVSWQRNDGIKSSVVGLLSSGISGYAFNHSDIGGYCTVNIPILKYTRSQELLLRWMELNCFTTVFRTHEEAALKGLPVCRHLFLHYPKDENVHHLSYEQFLVGSEFLVVPVLDKGKKKVKAYFPLGESSSWLHIWTRKVFSKQGIEEWVDAPIGYPAVFVKVGSPVGETFINNLVAFGIL >OIW15758 pep chromosome:LupAngTanjil_v1.0:LG03:465984:468107:1 gene:TanjilG_04293 transcript:OIW15758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTSTTNTSSSSSTSEVEEKPIIEDKLQPASSNLWNKRKEYIKTWWRPKQTRGVVWLDQKVPTQRNEGLPEIRISSDTSKFKYTNRQGQRSALRISRIVTETLKLGMEDVRWFVMGDDDTVFMVDNVVSVLNKYDHRHFYYVGSSSESHVQNIHFSYAMAYGGGGFAISYPLAKELSKMQDRCIQRYPALYGSDDRMQACMAELGVPLTREPGFHQYDVYGDLLGLLGAHPVTPLVSLHHLDVVEPIFSGMTRVRSVRHLMESVNQDSASIIQQSICYDKNRYWSISVSWGYVVQILRGITSPRELEMPSRTFLNWYKRADYTAYAFNTRPVYKHPCEKPFVYYMSRTHYDSTKKQTIGVYVRNKYSKSPHCRWKMESPDKITSVVVMKRRDPLRWKRSPRRDCCRIVPSRKSGSTMYLMVSNCRDGEVTEF >OIW14918 pep chromosome:LupAngTanjil_v1.0:LG03:23947174:23952066:1 gene:TanjilG_30637 transcript:OIW14918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSRNVVVCDNGTGYVKCGFAGENFPTSVFPCVVGRPMLRYEESLTEQHLKDIVVGEGCADLRHQLDISYPVNNGIVQNWDDMCHVWDHAFYNELKINPQDCKILLTDPPLNPSKNRETMVETMFEKYNFAGVFIQIQAVLTLYAQGLLTGLVIDSGDGVTHVVPVVDGYSFPHLTKRMNVAGRHITSYLVDLLSRRGYSLNRTADFETVREIKEKLCYISYDYKREYQLGLETTILVNNYTLPDGRVIKVGTERFQAPEALFTPELIDVEGDGMADMVFRCIQEMDIDNRMMLYQHIVLSGGSTMYPGLPSRLEKEIHDRYLDVVLKGNRDGLKKLRLRIEDPPRRKHMVYLGGAVLAGIMKHLSQFNKTQDAPEFWINREDYLEEGLACLSRCGQA >OIW15543 pep chromosome:LupAngTanjil_v1.0:LG03:5720587:5726198:1 gene:TanjilG_01066 transcript:OIW15543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGAEAQERVWLFKHPKELAVPEVLVPDLDGTAKRMDLSTFASIVSPWKDPEKVVQAVNRVAETLPQLVGRYLPWVSKIPLDEGVTWEPTWKAIPNSPYLSQQLRFPTIESEL >OIW15061 pep chromosome:LupAngTanjil_v1.0:LG03:22825747:22826318:-1 gene:TanjilG_13988 transcript:OIW15061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTSSCLNLPPPTSNILIKPPHVSLVKKEGYWRRQYIMIGVASYCTIIGLEVNNNLVDNHEGATLAYDEVVSKNSSSTLVYVSGSKWSQKSACPSWRGNSFETIVPENLPRPAARRRYELVGSTNKDAPPLSLQESIKHRNTKGSSCFSM >OIW14781 pep chromosome:LupAngTanjil_v1.0:LG03:25028477:25037278:1 gene:TanjilG_05402 transcript:OIW14781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQFDAYFRRADLDGDGRISGSEAVSFFQGSNLPKHVLAQIWMHADNAKTGFLGRNEFYNALRLVTVAQSKRDLTADIVKAALYGPAAAKIPPPQINLAAIPPLQGNRPVTTPAPVPQIGLSTGPIQSQNFPYRGQQGLSGPVPNPQYFPSQQSTSMRPPQQSMPTPQPVPTSSAPRPQQTFSNPGFSSDWYSGRPGMVATRPGMVATRPGGMVPSSPLSTSTTLSPVSPMSQPTTVNTKALALSGNVLSPNSALGNDFFSAASSTPTQEPAGQNFSFSVGPPSSAIVPVSSVAQPKQNLLGSLQSSFSMQPVNSQFQQTQPAANRSNASQQISPPASSPHASSGISVGFGNTNSDNSQLSWPKMKPADVQKYTKVFMEVDTDKDGRITGEQARSLFLSWRLPIDVLKKVWDLSDQDNDSMLSLKEFCFALYLMERYREGRPLPQSLPNNVLFDETLLSMMGHPKPTYGNAALGVGPGFQSQQGMPGARPVAPAAGLRPPVQGTSPPAPGTMQPNQQKSGAPVLEDSFLNPTGNGDKDILNSNSQEAATAGKKVKETENVILDSREKIVFYRDKMQELVLYKSRCDNRLNEITERASADKREAETLGKKYEEKYKQVAEIASKLTVEEAKFRDIQERKSELQQAIVKMVQGGSADGILQVRAERIQSDLDGLFNALAERCKKHGIDVKSITMVQLPAGWQPGIAEDAALWDEDWDKFEDEGWQPGIAEETFLWDEDWDKFEDEGFANDLTFDTKNASSKPAIIHEEQSFPDDHSAGSPVNANGKHENSTNGDYTLEDESSYAHSEDELGRSPRDSPAGKTTVESPQGFSNAHFKESIEADAETNRSFDESTWGAFDNNDDVDSVWGFNPTKDSDLDHQRDFFTSGDDFGINPIRTGSTHTDGAFQTKSPFTFDDSVPATPASKFGNSPSMNESGYSPKAEPRFTRFDSISSSKDFGYNPPSLSRFDSISSSKDFGYNPGTFTRFDSIGSSKDFGFGREAGHARFDSISSTKDFGHSDAFSFDDSDPFGSSGPFKVSSESHSPKKSSDGWSAF >OIW15818 pep chromosome:LupAngTanjil_v1.0:LG03:901715:905196:-1 gene:TanjilG_04353 transcript:OIW15818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLARLAGNRFNQIRQVFRQPSRTFSTALNYHLDSPDNKPDLPWEFSDANKTKVKEILSHYPSNYKQSAVIPLLDLAQQQHGGWLPVSAMNAVAKVVEVAPIRVYEVATFYSMFNRAKVGKYHLLVCGTTPCMIRGSRGIEEALLKHLGVKRNEVTQDGLFSVGEMECMGCCVNAPMITVADYSNGSEGYTYNYYEDVTPERVVEIVEKLRRGEKPPHGTQNPNRIRSGPEGGNTTLLGEPKPPPFRDLDAC >OIW15340 pep chromosome:LupAngTanjil_v1.0:LG03:13692754:13692909:-1 gene:TanjilG_23884 transcript:OIW15340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLIWPPRPALKGGLGGLLMACDVVRVPLPGGSSASRHKHLEKALTTCSKK >OIW16020 pep chromosome:LupAngTanjil_v1.0:LG03:2443258:2446176:1 gene:TanjilG_04555 transcript:OIW16020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSIMENLFQRTLHDLIKSTRLNFLPQHAFISNAMEEIRKEIKSIDPHTKSTALQKLSYLSSLHGIDMSFASFHVVEVMSSSRFFHKKIGYHAASLSFNDSTTVLLLITNQLRKDLTSSNAFEVSFALQCLAKIATLDLARDLTPEVFTLLSSSKVYVRKKAIGVVLRVFEKYPDAVRVCFKRLVENLESLDPPIVVAVVGVFCELASKDPRAYLPLAPEFYRILVDSKNNWVLIKVLKIFAKLVPLEPRLAKRIVEPVCEVMRRTGAKSLMFECVRTVVTSLSDYESAVKLAVEKIRELLVEQDPNLRYLGLQALSAVVGKHLWVVLENKEAVIKSLSDEDPNIKLESLHLVMAMVSGSNVEEICRVLVNYALKSDPEFCNEILGSILEMCCRNVYGIIVDFDWYVFLLGEISRIPNCQKGEEIENQLIDIGLRVKDARLALVRVGCDLLIDPALLGNVYLHRILCAAAWVAGEYVEFVSNPFQLMEALLQPRTNLLPPSIRAVYIHSAFKVLIFCMDNYLLQNGGAASSYSSNLAGGDSELVIGKNRTHTPDFAKSEGPNYDQDEGFNPRNTTESSEDFTGDNNVDRIVIHGQTYTPTFPEKNSFMHESIVNLLNVIDLVLGPLTANQDVEVLERARNVVAFVHLIKLEILDSPVQNVDILEKKDARVSAFIQLVYDAFSDELGVVSISAQGRVAVPDGLDLKENLDDLQTMCGDIELPSSSFGVGGDHVDTTFDASSSNLLKSPEPGPSSESTSLLEHRKRHGLYYLPSEKSDAVSDNYPPANDSKLSSNINDKAENLARLTEQSLALKKKPNQVKPRPVVVKLDDGDVAPILVKRPEPSDDLLSGAVRDVLLGSETRASSSRSYPSDKSSGKTHEKKKLSTDLPSEMKEQLGNAEKPEHENPNSRSKHRSHNKERRRSPGKIIEDGEEHDRIGKKKSSHRHSKHKTRQRAKSPLNSQTPAIPDFLL >OIW14408 pep chromosome:LupAngTanjil_v1.0:LG03:29458679:29460108:-1 gene:TanjilG_20854 transcript:OIW14408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEDLLDFPNDDESIILDATFDSVPENSTHSSTLSSFSGYGTNSISNSGSHNLVDAHLCGDLCVPDDDDIAEMEWLSNFVEESFSCEDLQKLELISGMKARKDDPSKSRKFQLEPNRNNPLEVFVPVKARSKRSRMPPCNWTSRLLVFTSMISLSSNPNIIIQTPTMSSIPISIIGKKSTKVGLKRKDSGDDVSSGDGRKCLHCATDKTPQWRTGPMGPKTLCNACGVRYKSGRLVPEYRPASSPTFVLAKHSNSHRKVLELRRQKEMVREANNNNSCNIIFNT >OIW15878 pep chromosome:LupAngTanjil_v1.0:LG03:1274615:1275220:1 gene:TanjilG_04413 transcript:OIW15878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENNVPIIAKKVWSMVRVVLFMLRKGISKGKLTMDLNMILKRRGKLAGKAITNLMSHHHHGGCSTTSRHDSTLQFSTQREYEFSCSNTPNYFFSIGGKRHNRNQNHNHLFMCAHAPPTIEDDAVTMNEVKAVLEMLNNNNSEVMVESSYSTPALPGFGKSPMVRPLRAADLPLLREDVDNMVDKKAEEFIKRFYKELRKQD >OIW14846 pep chromosome:LupAngTanjil_v1.0:LG03:24533334:24535295:1 gene:TanjilG_30565 transcript:OIW14846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSLQLTIVTTFLLSLLFLLYGYVKKRGNSKNKEAPVPAGAWPIIGHLHLLGSDDQLLYRTLGTMADQYGPAFNIWLGTRRAFVVSSWEVAKECFTTNDKALASRPTTVAAKHMGYNYAVFGFAPYSPFWRKMRKIATLELLSNRRLEMLKDVRISEINMGIRDLYNKSQSSSAVVELNRWLEDLTLNMVVRMVAGKRYFGASANDDDADEARRCQKAINQFFHLIGIFVIADAVPFLRWFDLQGHEKAMKKTAKELDAILEGWLKEHRKQRVSGEVKPDGDQDFIDVMLSLQEEGQLSNFQHDSDTSIKSTCLAIILGGSDTSAGTLAWAISLLLNNKHALKKAQEELDLHVGIKRQVEESDIKHLTYLQAIIKETLRLYPAGPLLGPREAQEDCNVAGFHVPAGTRLVVNIWKIQRDPSIWKDPSAFIPERFLTSHDAIDVKGKNFELIPFGSGRRSCPGMSFALQVLHLTLARLLHAFEFATPLNQPVDMTESPGLTIPKATPLEVILTPRLSVQLYA >OIW14704 pep chromosome:LupAngTanjil_v1.0:LG03:25577911:25578694:1 gene:TanjilG_33046 transcript:OIW14704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGIGVMGTKLGMMSYFEADGEVVPVTIVGFKEGNIVTQIKTDATDGYNAVQVGYRRVRDRKLTKPEMGHLQKIDAIPMRHLQEFRLQNIDGFQPNQRLVLDDIFKEGDLVDVSGTTIGKGFQGGIKRHNFKRGPMSHGSKSHRQLGSIGAGTTPGRVYPGKKMPGRMGGTKTKIRKLKIVKIDKELNVLIIKGGVPGKPGNLLRITPAKIVGKNIPKN >OIW14362 pep chromosome:LupAngTanjil_v1.0:LG03:29995017:30001663:-1 gene:TanjilG_15716 transcript:OIW14362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDEEDQRRLFERERYQIEQIRELDLEELQVEEVDDLHDSSDDNDDPIPIRGYDGETFNCEFNFNTCIASLHTYLGEVEDTHHRTAFLDGGTVLNLPLFCLEGVVLFPGATLPLRVVEPNFVAAVERALNRVDVPYTIGVIRVHRDTANRRMKSASIGTTAEIRQYGRLEDGSLNVVTRGQQRFRLRRCWIDVERVPCGEIQIIDEDIPSRIPRNAFGKLAPLSNLPHRNAISCMSSSNYPLEVHGSKNRENDSEANSEDSFVSELSLMERRIHQEVIGSSYEHDMTDESASSSDDKFMYESDQEIRSDINDSDTLRSLLPDHRKDSENLESGIGNCSTSGKQSPIADLNRFCKNRDAYSSHGISRAFWPHWVYRMYDSYWLAQRAADMWKQIVGMPSMDALIRKPDVLSFCIASKLPVSESTRQELLDIDGVSYRLRKEIELLESIDLIRCKSCKTIISKRSNMLVMSSEGPLGAYVNPGGYVHEIMTLFEANGLALIGPAVTEYSWFPGYAWTIANCAACETQMGWLFTATNRKLKPRSFWGIRSCQVAEEKR >OIW15453 pep chromosome:LupAngTanjil_v1.0:LG03:10110911:10112263:1 gene:TanjilG_28652 transcript:OIW15453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSSIHIAMYPWLAMGHLTVYLHLANKLANKGNKVSIITPKGTISKLSHFNHFPNLITFVPITVPHVQGLPHGAETTLDIPFSLNSLLMTAFDNTQKEIELLLVDLKPSIVFFDTAFWLPNLTRNLGVKCVTVLYWVISSPSASFVEYTVRKSDGNSEVEFPGSSIKLHAHEARAMDGILKKEFGSGISFYERIKRASTMADAMGFKGCREIEGPSADYIANVYKKPVLLSGPILPEQQTSALEEKWALWLGKFKAGSVVFVALGSEWELPQNQFQELVLGLELTGLPFLAALKAPPGFESVEAALPDGFKERVQGRGIVHGGWVQQTLILQHLSIGCFITHCGSGSIVEALVSQCQLVFLPHILDHIITARLISTSFKAGVEIQRGEEDGLFSKESVSEAVKTVMDEENDVGKEIKVNHNKLRSLLLSEDLESTYVDNFYHKLLELLG >OIW14608 pep chromosome:LupAngTanjil_v1.0:LG03:26396683:26401364:1 gene:TanjilG_32950 transcript:OIW14608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSKLFILIALSVALIFSNVSAEADVDIPIEEQGETHISEKSQEVKKKDEVIAEKENIIRDRSSNIESLQSEIASLQKKGSSVAEDEFRKARARAGELQKQVEKLESELQTQKKEKVIWETRVAEAEKKVHGLDSKLEDLQKINEEQKAKILKIERALKIAEEERVKAKFEATSKVKELTEVHGAWLPPWLAVHCIHSKSFVESHWNKHGKPALEVATQKVLEKKAQAGKWAEPHVETITTKWIPAVKEQWYVVKTNAESHVQLLTTKTVEAYEASRSAIAPHLSRAKEVVDPYYREAKRFSKPYIDQVATAAKPHVDNVQVVLKPYTKKVVHAYGVFLESATTYHHQVQATVQETLNKHELTRPLATKELEWFAASALLALPIILLARVFSAIFCTKVKKPVKGRNAHSRRKAKRGHPEK >OIW15540 pep chromosome:LupAngTanjil_v1.0:LG03:5738230:5748537:-1 gene:TanjilG_01063 transcript:OIW15540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIIYAFFHLSLFPGLFVAFTYKKKQPPAFGAAPAFWCILLSFLGLSFRHIPNNLSNYNVLTANAPFFYQISGTWSNHEGSILSWCRIPRFYGFLLFYRGRPQSHNVSKRGGHREVVFYYFVSNFVNNSILSLPRYEQKSGAAPQLYTPFVLRTLVDSELRSRRNRTFDGPALFDAPLYPERKISFAPLGARRSRGSREGKIRMSPLLHLARDDKERASSIDEQRIDGALGIALFFSPFLSASSDPFVRNFFVRTEPLAESNPVPQDPISAIHPPCIYAGDVASAMGFGLCRSKIMNGIVALHSPPMRKDAAEKKGTLLRSAGCVGSRITSELFSKKFKHVVATCYPALLLRSNRSLLMLLCFFAFSSLWTGALVDTGREQAKRVVRNEQKETTTSPLCWSAGANTVVSDQDQEKIRILILTCRWFLTVGIMPGSWWAHHELGRGGWWFRDPVENASFMPWVLATARTFSIRSGLLASVHSFATDDTRGIFLWRFFLLMTGISMILFSQMKQQASVRRTYQKEMVVARTMSCIVSDREGDWIARIGTYGSDGSVKEKATKVVVTVYAMILYDVLDCIHWNGTYWKRILGTWELSLGFDVHSARSILRTPGMESIFVSGSNYFEERRKASFSLAFDGRDGTVK >OIW14964 pep chromosome:LupAngTanjil_v1.0:LG03:23535824:23539659:1 gene:TanjilG_30683 transcript:OIW14964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYLNSVLSSSSQVHAAADAPVTGGGLSHNGKFSYGYASSPGRRSSMEDFYETRIDGVDGEIVGLFGVFDGHGGVRAAEYVKQNLFSNLISHPKFISDTKSAISDAYNHTDSEFLKSEHSHNRDAGSTASTAILVGDRLLVANVGDSRAVICRGGNAIAVSRDHKPDQTDERQRIEDAGGFVMWAGTWRVGGVLAVSRAFGDRLLKQYVVADPEIQEEKVDSSLEFLILASDGLWDVVSNEEAVAMVKPIEDAAEAAKTLLIEASKRGSADNITCVVVRFLMNQGTSSHSNLNQGSSSHSSSDYASF >OIW15596 pep chromosome:LupAngTanjil_v1.0:LG03:4173728:4176811:1 gene:TanjilG_08172 transcript:OIW15596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLQFGYAGFHVVSRAALNMGVSKLVFPVYRNIIALLLLLPFAYFLEKKDRPAITLNFLGQFFLLALVGITANQGFYLLGLENTSPTFASAIQNSVPAITFLMAVILRIEQVRLNRKDGVAKVTGTILCVAGATVITLYKGPTIYSPTPPLHSSIITTTTTTPQIFDFGSLSLGDAKGKNWTLGCLYLIGHCLSWSAWLVLQAPVLKKYPARLSVTSYTCFFGLLQFLLIALVLERDAQAWVFHSGGEAFTILYAGVVASGIAFAVQIWCIDRGGPVFVAVYQPVQTFVVAIMASLALGEEFYLGGIIGAVLIVAGLYLVLWGKNEEKKFIKEQLAISLNVEHSIIRPTSHAKASLTQPLLPSSTENV >OIW15695 pep chromosome:LupAngTanjil_v1.0:LG03:105588:105737:-1 gene:TanjilG_04230 transcript:OIW15695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQTSPDKARTSPRQGPDKPQTRHRQGPDKAQTWPRQARDKPQTSPDKA >OIW14914 pep chromosome:LupAngTanjil_v1.0:LG03:23976963:23979087:-1 gene:TanjilG_30633 transcript:OIW14914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGGPYEGLIATKPMPEKINESLHCEEGKSSLKEWKGVRLCKKIKNQLVEYNSLPSYLRDNEYILGYYRSEWPLKHTILSVFSIHNETLNVWTHLIGFFLFLFLTIYTAMKAPTVVDFNSLQHLPKMIGTADLNKIRLHLLNCLPSLPNMPDLLNFKNELSTSLYSLDFSSLPSWNVVELLTNCLPEQLSINVVKDDMVDILSPSAVQPITRWPFFVFLGGAMFCLLASSTCHLLACHSQSLSYIMLRFDYAGISALIATSFYPPVYYSFMCNPFFCFLYLGFITLIGTATIAFSLLPFFQKPWFRKYRASLFFLMGFSGVVPIIHKLILHKDRPEALQTTGYEILMGILYGLGAVIYATRIPERWMPGKFDIAGHSHQLFHVLVVAGAYTHYQDGLVYLKWRDMKGC >OIW14815 pep chromosome:LupAngTanjil_v1.0:LG03:24800644:24810137:-1 gene:TanjilG_05436 transcript:OIW14815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMNNSPPCPNTVTIRRNPPRRARATPLATPQTFNLSEIPPFPNDDVLAEETPQTLTSLPEPEADRKIKVFLRIRPILSPSQAPKVKAKSVWPQTKKNVTGGAKVLKNKRSSNSCLTVNDSHSVTLSTPLDLQESKRTKSETYGGFSQVFNSDSTQVEVYEKMVKPIVENFLDGKSEMLAALGPSGSGKTHTVFGSSRDPGMVSLALRHIFKGTQPHGNKASRSFHMSVFEIYTERGKSEKLLDLLRDGGELSMQQSSVKGLQQVVISNVEEAESLISQSVLKRATAMTNTNSQSSRSQCIINIRHIPKKCNGVVNPKSGAVLTIIDLAGAEREKRTGNQGTRLVESNFINNTLMVFGLCLRLTRYLREYLEGKKRMSLILTAKSADDDYLDTSYLLRQASPYMQIKYNEVEPTNMVSKKRHYPASSAMDFAKPTPASEHLKRMRFGSGEHTVQNDEKSVAEVNTSKKDASPECKLDTSSCASLKPECDSQTQSERSHIIMRNFAKALWSVLKQSNSKLKAAEMEIQSLKESIEYEKKKYLVLKKEFSEFKACCTWSEEGKVKVTDGVVDPALNMINCDDNTLKFEPAVTADHSDVPNAFELHGESSSIHNCQIIESKDDQTNDDLTCHEAGFIPSLPQLHGITRRDSCSSVEQDKEPNWEQQETFYAQASSKPSRLDLSDEEATLDISCAQLDSEKSDRKLQAPASKPAHHNALEVEAVSEIPNESSEPRINQPDEEPTHGASCTQLDSRKSNGEVLTPSSKSEVEAVNKILRELTVSSSITKNEILDPSSTKDVYSTKTCDIVGDSGGVSSCKPPKPKRTLMPSSSLLSRDFTFDPVDETEKLKGNKGTRKLPADYMKSKGSITLLHMLKGKSNFA >OIW15863 pep chromosome:LupAngTanjil_v1.0:LG03:1200621:1201001:1 gene:TanjilG_04398 transcript:OIW15863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEGKALIEDTDMPINMQIQAMASASKALDLFDVIDYKSMAAHIKKEFDTKYGCGWQCVVGSKFGCFFTHSKGTFIYFTLETLNFLVFKGSA >OIW15804 pep chromosome:LupAngTanjil_v1.0:LG03:813194:814375:-1 gene:TanjilG_04339 transcript:OIW15804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSYKSHHRNNGRLSEKPSSFHGENAMSAAQLRRPKTVPDLLSYGNKYDAAVVPEGLPRQPSKVLLKVTMLGSLVPVQVLMRPESVVGDLVTATLRQYVKEGRRPILGSMKASDFDLHYSQFSLESLDKEEKVIELGSRNFFLCPRKPASAVEGGGGGGGCGREGSLTKPFASCANEVSKVSHGGDRGVALVGWFKLMHFMLP >OIW15200 pep chromosome:LupAngTanjil_v1.0:LG03:16554884:16563035:1 gene:TanjilG_08792 transcript:OIW15200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLQSWRKAYGALKDTTKVGLAHVNSDYADLDVAIVKATNHVECPPKERHLRKIHFATTAVRPRADVVYCIHSLARRLAKTRNWTVALKTLIVIHRLLREGDPTFREELLNFSQRGRILQLSNFKDDSSPTAWDCSAWVRTYASFLEERLECFRILKYDIEAERLPKPAPGQEKGYSRTRDLDTEELLEQLPALQQLLYRLVGCRPEGAAFRNHVIQYALALVLKESFKIYCTINDGIINLVDKFFDMSRHEAITALDVYKRAGQQAASLSDFYESCKGLELARNFQFPVLREPPQSFLTTMEDYIREAPRVVMVPTEPLLQLTYRPEDDVLTIEDTKPSVEEQEPSVPVDDVVLSNSEPAAPPPPPPPQNNFETGDLLGLNDITPDASSIEERNALALAIVPSETGTTPISAQATDFDPSGWELALVTTTSTDISSANERQLAGGLDSLTLNSLYDEGAYRSSQQPVYGAPAPNPFGVQDPFAFSSSTQMAVMPQHQVNPFGSYQPYQLQQPHPHMMMNPENPFGDAGYGAFPVNSVSHPQHNTPFGSSGLL >OIW15949 pep chromosome:LupAngTanjil_v1.0:LG03:1833735:1834721:1 gene:TanjilG_04484 transcript:OIW15949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNSDSNDYDDYVYNMADQNHPPSSSMVIDAVAGSSSSSSYHRSHPRKKRTTMMTMLHDNNNNNNSNNNNNIVKTNKYGGGGVGKKQADTGAPKITPPCTECGKKFWSWKALFGHMRCHPERQWRGINPPPNITPRQPQILTDEDHEVAASLLLLAKGKGKVVVKEDSTMVNMNYGILSPNLSLVSSQDERFVCSSCNKVFGSHQALGGHRASHKNVKGCFAINVGEDHMNIIHNNHSHSHIGGGATSNNDNDQQQQQQHQQHMDGEGHKCSICLRVFSTGQALGGHKRCHWENKPTTNNVLALPLDLNFPPQEQEQPPLNLDLRLRL >OIW15959 pep chromosome:LupAngTanjil_v1.0:LG03:1884457:1885168:1 gene:TanjilG_04494 transcript:OIW15959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKKEQHLFQEDGEGSNDSISIGSFSEDSMNSMCSSSDFTDLEETSSVSTSPSSHSNGPLYELSELMNHLPIKRGLSMFYQGKAQSFTSLAMVESVEDLPKKCTSHRERMKSCKSYGGGLNNSHIISLTPKAKISKKASRGSNFVSVLSKRGNFIGGSRLSFALNKNF >OIW15096 pep chromosome:LupAngTanjil_v1.0:LG03:21625346:21628643:-1 gene:TanjilG_08583 transcript:OIW15096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSPSTQFVSSRRMSVYDPIHQINMWGENFKSNGNLGASMPLIDESNLKFDNQSEDASHGVLGTFKTYDREANKPVANKTQRRLAQNREAARKSRLRKKAYVQQLESSRLKLMQLELELEHARQQGLYVGGGLDSNPLDFPEPVNSGITIFEMEYGLWVEEQNRQILELRTALNAHIGDIELRILVEGIMNHYSEIFRMKSAAAKADVFYVMSGMWKTTAERFFLWIGGFRPSELLKVLLPLIEPLIEQQRLDLQNLGKSCQQAEDALSQGMDKLQQTLAESVATGQLIQGSYVQQMASSMEKLEALVCFVNQADHLRQETMLQISRILTTRQAARYFLVFGEYFQRLRGLSSLWSNQPREPA >OIW14800 pep chromosome:LupAngTanjil_v1.0:LG03:24894527:24895627:1 gene:TanjilG_05421 transcript:OIW14800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTNGVGTSSAVIQNQSSSYPEFEKRPAVPPTIVSDTTTPTSMVSGQKSGRTFTSSHGLERDNVLLRSKEKIISVVTEGEGGNNTQVQQCCSVSATRRMSMPRESRATWNSSHQPIRTLEGDASSFDLKQKNPTPEHMPRSERLSSGSTMSLWLLASLISCD >OIW15237 pep chromosome:LupAngTanjil_v1.0:LG03:15958516:15960915:1 gene:TanjilG_17557 transcript:OIW15237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKALHVSDIPSIDIVPESPFSDGVEFKVPKFVVIGHRGHGMNALQSLDRRMRAIKENSIMSFNAAANFPIDFVEFDVQGVVFEKRITELCLSEFLAYGPQREGGNDGKILVRKTKDGKIVQWEVEQDDPLCTLEEAFLNVEPSLGFNIELKFDDHIVYDQDYLAHVLNAILKVVFDHAKNRPIIFSTFQPDAASLVKKLQSTYPVFFLTNGGCEIYEDERRNSLEEALKLCLENGLQGIVSEIKGIFRNPGIVSKIKESELSLLTYGSLNNVPEAVYMQHLMGIDGVIVDLVQEITEAVTNLITSAMVIDEEGLNEKLQLHSKPKFSQHELSFLLKLIPQLIQI >OIW14564 pep chromosome:LupAngTanjil_v1.0:LG03:26826258:26835066:1 gene:TanjilG_14950 transcript:OIW14564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIVGVQLTIEDHHVVIDNGILRVTLSNPEGIVTGIQYNDIDNLLEVLNDESNRGYWDLVWSSPTSTGTTGRFDVIKATTLRIVVENEDQVELSFSRTWDTSLEGKLVPLNIDKRFIMLRGSSGFYSYAIYEHLQDWPAFNLDETRIAFKLRKDKFHYMAMADNRQRDMPLPDDRLPSRGQALAYPEAVLLVNPVEPEFKGEVDDKYQYSCDNKDSQVHGWICKDPAVGFWLVTPSNEFRSGGPVKQNLTSHVGPTTLAVFVSAHYSGEDLVPKLNSGEAWKKVFGPIFIYLNSAYDGDDPLNLWQDAKLQMLVEVQSWPYSFPESEDFPKWDQRGNVSGRLLVRERYISDDYISAKGAYVGLASPGDIGSWQRESKNYQFWAKADDDGYFCISNIHIGDYNVYAWVPGFIGDYKYDVVVTITEGSDIDIGDLVYEPPRDGPTLWEIGIPDRSAAEFYVPDPNPKYINKLYANHPDKFRQYGLWERYAELYPDKDLVFTVGVSDYTKDWFFAQVTRKKDDNTYQGTTWQIKFHLNNVNKNSTYKLRLALASATFSELQVRVNDPKVNHPLFSSGLIGRDNSIARHGIHGLYWLYNVDISGARFVEGDNTIFLTQARGNGPFLAIMYDYIRLEGPPIINKKI >OIW14455 pep chromosome:LupAngTanjil_v1.0:LG03:28712011:28717516:1 gene:TanjilG_19503 transcript:OIW14455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWLQSWAGIGYLFGSISPIIKSSLNYNQKQVAMLGVAKDLGDCVGFITGILCEILPIWGALLVGACLNLFGYGSVWLVVTGRVPTIPLWAMCALIFVGTNGETYFNTVSLVSCVQNFPKSRGPVVGILKGFAGLSGAILTQIYAIFHSPDHASLLFMAAVGPSLVGIGLMFIVRPVGGHRQVRPSDEKSFTFVYGVCLLLAAYLMGVMIVQDLVPLNEIVITMFTAILFIILLIPIVIPISLVFSPNQIPIEEEALLQEQQNSEPGQSQQYSDEVILSELEDEKPKEVDLLPALERRKRIAQLQSKLLQAAAEGAVRVKRRKGPHRGEDFTLTQALIKADFWLIFISMVLGSGSGLTVIDNIGQMSQSLGYHNTHIFVSIISIWNFLGRVGGGYISEIVVRDHAYPRPIALAVFQLVMTIGHVFIAMGWPGAMYVGTLLVGLGYGAHWAIVPATASELFGLRNFGALYNFITLANPVGTLVFSSLIASRIYDSEAEKQARDGHHDHRSTGSFMFSSLNAGQPLKCEGSICFFLTSIIMAGLCIVASALERRKHIAQLQSKLLQAAAEGAVKVKRRKGPHRGEDFTLTQALIKADFWLIFISMVLGSGSGLTVIDNIGQMSQSLGYHNTHIFVSIISIWNFLGRVGGGYISEIVVRDHAYPRPIALAVFQLVMTIGHVFIAMGWPGAMYVGTLLVGLGYGAHWAIVPATASELFGLRNFGALYNFITLANPVGTLVFSSLIASRIYDSEAEKQARDGHHDHRSTGSFMFSSLNAGQPLKCEGSICFFLTSIIMAGLCIVASGLCIILVFRTKIVYANLYGKSSTSRLR >OIW14638 pep chromosome:LupAngTanjil_v1.0:LG03:26140803:26144741:-1 gene:TanjilG_32980 transcript:OIW14638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRYSFSYSLVFTTIFSTFPLFITTIFLCGSTLQTKVESLPLSTNGRWIVNEDGKRVKLACVNWVSHSETLLAQGLNKRPLNDISKSIKDMGFNCVRLTWPILLATNDSLASITIRDHFSSLGLVQTIIDIQKNNPSIVDLSLIKAFQEVVKSLGEKEVMVILDNHVSQPLWCCANNDGNGFFGDKYFDPDLWIKGLSKMADMFKGVSNVVGMSLRNELRGPRQNVPDWFRYMPKGAEAVHAANPNVLVILSGLSFDNDLAFIHSHPVTLSFKGKLVFELHWYSFSDGNIWTSMNPNQACGQITRNVMRKAGFLLDQGWPLFVSEFGIDLRGSSVGEHRYFNCFMALASGLDFDWTLWTLVGSYYLREGVVEMNEYYGILDTDWSHIRNQDFLQKISAIQLPFQGLNSPEAKHDKVIFHPLTGLCVLRKSHFGLLSLGPCPNSEGWEYTPQNTLSIKGTRFCLRAHKEGNLAKLHKKCTNFNSKWELISDSKLQLSSKIRYNSSVCLDIDSNNIIVTNACKCISIDNTCDPASQWFKLIDRTKS >OIW15338 pep chromosome:LupAngTanjil_v1.0:LG03:13772728:13779075:1 gene:TanjilG_23882 transcript:OIW15338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNPPLSFFLFSLLLISVSGASEPELRSLLEFKKGITSDPHRKVLETWTFSNQSPSATCPTKWVGILCDNLTGNVTGIVLDNLDLGGELKFQTLLDLKMLQNLSLSGNRFTGRLVPTLGTITSLNHLDLSNNRFYGPIPEKINNLWGLNYLNLSRNEFVGKFPSLTNLQQLRELDLHCNKFRDDIGEVVSSLRNVERVDLSDNLFYGGLGLAVENVSRLGNTVRFLNFSRNNLNGPFFEENSLKLFHNLETLDLSDNLINGQLPSFVSLSNNLRVLRLGRNLLFGYVPEELLHNSMLLEELDLGGNGFTGSISVINSTTLSMLNLSSNHLSGSLPRSLSRCTVVDLSRNIFSGNISVMQSWEDTLEALYLSSNRLSGSLPPVLGIYSKLSTVDLSLNELTGSIPGSLVTSSSLMRLNLSGNKFAGPLPIQRSGASELLIMPPYHPMEYLDVSSNFLEGDLPSDIGKMAGLKLLNLASNGFSGELPNELSKLVYLEYLDLSNNKFNGKIPDNLSSNLTVFNVSYNDLSGSIPDNLWHFPPSSFHPGNEKLNLPHNSPVTSPVYGNITVKGEHRSSKGNIRIAIILASVGAAVLILFVLLAYHRAQLKEFHGRSEFDGQNAGRDVKSERLTKPSLFKFNTNVQPPTTSMSFSNDHLLTSNSRSLSGQTEFTTEISEHDLPQETVATSSAYAIPNLMDNLPTSSERNSSPGSRLSSSPRFTEAGEKPVMLDVYSPDRLAGELFFLDSSLAFTAEELSRAPAEVLGRSSHGTLYKATLDSGHMLTVKWLRVGLVKHKKEFAREVKRIGSMRHPNIVPLRAYYWGPREQERLLLADYIHGDSLALHLYESTPRRYSPLSFSQRLRVAVDVARCVLYLHDRGLPHGNLKPTNILLPGPDYSARLTDYGLHRLMTPAGIAEQILNLGALGYRAPELATASKPVPSFKADVYALGVILMEILTRKSAGDIISGQSGAVDLTDWVRLCEQEGRVMDCIDRDIAGGEESSKGMDELLAISLRCILPVNERPNIRQVFDDLCSISV >OIW14431 pep chromosome:LupAngTanjil_v1.0:LG03:29188001:29193985:-1 gene:TanjilG_20877 transcript:OIW14431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSDIAAILENSKELDRLRKDQEEILLEINKLHKKLQTTPEVVEKPGDNSLARLKVLYTQAKDLSDSEANISNLLISQLDAVLPPGPQGQPRRRIEGNEQRRKRVKTESDISRLTPSMRNQLEACAGLKGEQVAARVTPRNAEKDEWFVVKVIHFDKETKEFEVLDEEPGDDEDSSGQRQYKLPMGNIIPFPKSNDLSSAPDFPPGKHVLAVYPGTTALYKATVVHGHRKRKTDDYVLEFDDDEEDGSLPQRTVPFHKVVPMPEGHRP >OIW16013 pep chromosome:LupAngTanjil_v1.0:LG03:2377438:2378055:1 gene:TanjilG_04548 transcript:OIW16013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAATEEEIQDMSEELQTIYLSNMDEAPARRRAREAFKHVQFVIDHCLFKLPVDGVNMKEVKALTSMEYIVMLFWRHEI >OIW14786 pep chromosome:LupAngTanjil_v1.0:LG03:25002960:25006005:-1 gene:TanjilG_05407 transcript:OIW14786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCFSCICSRRKDVSRIQIDKASRSASSEGERKKEYSNVKRSTAAAASFGFRELAEATKGFKEVNLIGEGGFGRIVAIKQLSLDGHQGFQEFVTEVLMLSLLHHSNLVKLIGYCTDGDQRLLVYEYMPMGSLEDHLFDNNQGKEPLSWNVRMKIAVGAARGLEYLHCKADPPVIYRDLKSANILLDNEFSPKLSDFGLAKLGPVGDKTHVSTRVMGTYGYCAPEYAMSGKLTLKSDIYSFGVVLLELITGRRAIDGSRKPGEQNLVSWSRPFFSDRRKITLMADPLLRGNFPVRCLHQAIAITAMCLQEQPKVRPLVGDIVVALEYLASQSNTRDVHRHGVRNAQPQPSEIDRN >OIW15128 pep chromosome:LupAngTanjil_v1.0:LG03:21170696:21178605:1 gene:TanjilG_09059 transcript:OIW15128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPRVGNKFRLGRKIGSGSFGEIYLGTNVQTNEEVAIKLENVKTKYPQLLYESKLYRILQGGTGIPNVKWFGVEGDYNVLVMDLLGPSLEDLFNFCSRKLSLKTVLMLADQMINRVEFVHSKSFLHRDIKPDNFLMGLGRRANQVYCIDFGLAKKYKDSSTHQHIPYRENKNLTGTARYASMNTHLGIEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGNKKQKYEKISEKKVSTSIEALCRGYPTEFASYFHYCRSLRFDDRPDYAYLKRIFRDLFIREGFQFDYVFDWTILKYQQSQLATPPTRGIGPGAGTSSGLPPAVTNADRQTGGEEGRPPGLVSAESSRRRMPGPVVNSASFSKQKSPIMNDSAVNKDAAISSTNFMGQSSGSRRFALSGSREAFVGSESDIRTRTTEAGSGAAYKISSGQRNSPIGSSDPKRAVSSARNTSDVKNYETAVKGIEGLQLENDERTHH >OIW16037 pep chromosome:LupAngTanjil_v1.0:LG03:2594939:2600930:-1 gene:TanjilG_04572 transcript:OIW16037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPRADEYEEDNLSSTDDDEDDVALKEDMAALSRACMLVSGSATAGDGVTARSKNDHHELVLHDDDDDDDDSGGILLEDPLLESGDAIVPLKNVDSDSDDDDDEDDLECLRRVESLYQPLASLPPLSPLRITMTNASGNNVDDEDEDDDEDDLETLRAIRSRFSSYNEGDMETLVDGDQTSCLGHEGETANGSVSDRWDVGELCAVSPIQDNATDSFPSNVEVEHCGLIDSCEQDACRLSKLPQKRSSFPSSAQAFIDAINKNRSMQRFLRSKLIEIEAKIEENKQLRNKVKVMKDFQAACIRKTGSALSLKKDPRVQLISAKKPSAPKNSKSRNKKISAMCYGPAENSHVSNYKMVLERFPLSLDRKKWTNSERENLKKGIKQQFQETVLQNSADRISSEFSDGYGNDMDSIIASVNDLEITPEDIKKFIPQVNWDKLASTYDVGHTGAECESRWLNYEDPLINHDPWTGEEDKSLLLTVQIMGIRNWSGIAVSLATNRTPFQCLARYQRSLNASMLNSEWTEEEDAQLCSAVALYGEHDWQSVASVLERRTGTQCSNRWKKSLYPDKKGCFTREEDERLTVAVMLFGRKWSQVAKFVPGRIQSQCRDRYLNSLDPSLKWGGWTKEEDSRLKDAIAKHGFCWSKVAEDLLPRTDSQCRKRWRVLCPDQVPLLRDARKMQKLTVNSNFVDRESERPALTLKDFLPLPMLPLLSDEADDVKVPRKRKWKWSNVSNKMRSKRQARKAQVCLKDIAFSDGVKICDEDAVNMACLRKSNFFPTNDQGDLSRQDTSEKFLYFNPIWKWQGCTGQNKENWSCTLPCESPASMTSRGKTHRQRRGRNHSAKDSLEHKSKHIRGAEPSEHKQKTKMRKPGSLSACVESLDQDRDITLASLLNHKSKKNIASHPKVKKQNVMIDDDGGGDDVTLACLLANKSKKPNEAAKGRRACCSPSKLKKGSVLLPEVLCTNKPVITADDNELSLPELVEEQPVSSGAVAEPTNINVEGDDLLVNFLQNKRRKQRKRARIG >OIW15590 pep chromosome:LupAngTanjil_v1.0:LG03:4083946:4087352:-1 gene:TanjilG_08166 transcript:OIW15590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGVDQRTSCATFGSPSGAVPRPPNRMNAVNQMGIPPSHPNTNVSPSSSSPYSHIMASQPHIVRSLNSSHGSGSSSHSRSLSQPSFFSLDSLPPLSPSPYQPSSAATSFVESVSANVSMEERLGNANSVPVNRGHSVQLGHSLPPRKGHRRSSSDSPLGITDYIQSVPQFVSSGAWNDHDNSVSRGEGLGSEKKPVQLVLKVPNKDVDRVDGFTGEKRSVRKEDSLDVLCSSYMNLDNINNMGFSMEDKDMDSKTSGSKTVESSDNEVESHINGKPTGVWGASSSCSEERKEGVKRSSNGDIAPSARHRRSFSLDSSIENFHIEDGSSKLPPLQNPVGQHSPSNSVDGKASETTAEFGNGEFSSEELKKIRENDKLAEIAIILANRLSAARSKERKTRYISELEHKVQTLQTEITTLSTQFTKLQMDTAELKSQNNEFKLRLQAMEQQSKLKDALNETLDAEVRRLRRTVAELGGESLLSSRIAQQLAINQQMFQLQQANQVRQYQQQNNHPQQETQSQSQQIQCNNELQSQRQNGKTTAY >OIW14851 pep chromosome:LupAngTanjil_v1.0:LG03:24505310:24507858:1 gene:TanjilG_30570 transcript:OIW14851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMQQRVQRKLGGKKATLPVYIMEGLDNMAFVANAVSLVTYFFSYMNFSLTESATTLTNFSGTAFLLALVGGFISDTYMSRFKTCVLFACMELLGYGLLTTQAYFHQLRPIPCKDLAPNQISQCKSTTVGQAAILYSGLYLVALGTGGIKAALPALGADQFDAKNPKEATQLSSFFNWFLFSLTIGSIIGVTLINWIGANHGWGWSFGICTIAIFCAILFICMGKSLYRNNIPKGSPLTRIIQVFVAAFRNRKVQIPENTEELHEIHEKERDDNYEILKRTEQFRFLDHAAITIKPTPSGPWNLCTVTQVEETKILIRMLPIIFSTMFMNTCLAQLQTFTIQQSTTMNNKIKGFKVPGSTLPVIPLLIIFILIPIYDRIFVPFARRITGIPTGIRHLQRIGIGLVLSVISMAVAGFVETRRKSLAIEHNMVDSTEPLPISIFWLGYQYGIFGAADMFTLIGILEFFYAESSAGMKSLSTAISWCSAAFGYFTSTVVVVVVNKVSGGWLASNNLNRDKLNYFYYLLAVISILNFVFYLFCASCYIYKTVEDKQGDSKDNVELAKV >OIW15882 pep chromosome:LupAngTanjil_v1.0:LG03:1318645:1322877:1 gene:TanjilG_04417 transcript:OIW15882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASYRPFPPSQSSFVAQSQNPNAPAQTQQHGNWKYGGGGVDGSVNSSAPSTSFPQQGGYNQMPPNSNFHHHYQQYPYPPPPPPPPPPETSYPAPPPPPNAPPMYYPPNHYSQYDSKQPLQPPPPPPPPPPSSPPPSSSIPPPPPPSSPPLPPPAPMQSRNNDERRPYDRNKGPLKETSAHGRREHEYSGHGHKQQKLAAVPPMPIKKPNAPPGRAETEDERRLRKKREFEKQRQEEKHRHQLKESQNSVLQKTQILSSGKGHGSVIGSRMGEKRNTPLLSGERIENRLKKPTTFLCKMKFRNELPDPSAQPKLMAFKKEKDQYARYTITSLEKMYKPKLFMEPDLGIPLDLLDLSVYNPPSVRPPLDPEDEELLRDDEAITPIKKDGIKRKERPTDKGVAWLVKTQYISPLSMESTKQSLTEKQAKELREMKGGRSILGNLNSRERQIKEIQASFQAAKSHPVHATKKDLYPVEVLPLLPDFDRYDDQFVVAAFDNAPSVDSEMYSKLDKSVRDAHESRAIMKSYVASSSDPANPEKFLAYMAPAPGELSKDIYDENEDVSYSWVREYHWDVRGDDADDPTTYLVSFDESEARYLPLPTKLVLRKKRATEGRSGEEVEQFPIPSRVTVRRRPNVAAIELKDSGAYTNTKGDSSKRRGLEIDDDMDEPHRVAEYQDNYQSSGAEDDMSD >OIW16056 pep chromosome:LupAngTanjil_v1.0:LG03:2805022:2807002:1 gene:TanjilG_04591 transcript:OIW16056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQWLILTYVVAAEATVAILLTLPSPKLLRNRIVSLVSLILQATFFIIPFAGFQLLDLYWKNEHRLTCTSEVCTATERDHYEKSIYKAQRNVLLCVAAILIYWCISQICKYQKDIQSLEELEKRYKSK >OIW14483 pep chromosome:LupAngTanjil_v1.0:LG03:28177555:28180263:1 gene:TanjilG_19899 transcript:OIW14483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKKLSLLFIFSAVFMMGLMFLGVGADPVEDKQALLDFLHNMHHDSSHLNWDANSYVCQNWRGVTCNTDQSRVIALRLPGAGLSGPIPNNTLSLLSALQTLSLRSNGITGPFPSGFSQLKNLTTIYLQFNKFSGPLPLDFSVWNNLTIVNLSNNSFNGSIPFSISNLAHLTSLVLANNALSGEIPDLNIPSLQELNLANNNLSGVVPIPLLKFPSSVFAGNNLTFATALAPALPVQPPNAQPPKKTRGISEPALLGVIIGGCVLVFLVVAVFMIASWYGKEDADPKPVKSQKKKEVSVKKEAFENKKSQDKNKIVFFEDCYLAFDLEDLLRASAEILGKGTFGMTYKAALDDVTTVVVKRLKEVTVGKREFEQHMEVVGKIKHDNVDALKAYFYSKEEKLIVYEYYQQGSISAMLHGRSGEGRSSLDWDSRLRIAIGAARGIAHIHAQLGGKLVHGNIKASNIFLNSQGYGCISDIGLATLMNPISPSAMRLAGYRAPEIIDNRKATHASDVYSFGVLLLELLTGKSPVYTRSEEVVPLVRWVNSVVREEWTAEVFDVQLLRYPNIEEEMVEMLQLGLACAARVPDQRPKIQDVVVRVEEIRRVNTGNRPSSESRSEVSTPTPQPHQSPHAN >OIW15774 pep chromosome:LupAngTanjil_v1.0:LG03:580806:582557:-1 gene:TanjilG_04309 transcript:OIW15774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDSWFSDNNFNGLPDEVFNDVTNFFDFPLEDVETDVEEDWDAQFKRLEEPCFDVFQVTSSGLCGKTEHNLRSFPTSCNGVPQIKQLAKTAGPTYGKTIPKPDVSFTGKHSHQFQTNSPVSVFESSSSSSAENSNVDLPTLSVKRTRSKRQRLSSSSPVFSISFNPTLHKYPKTTASESDTSLAGERISSCYQLKLGNKVEKQTEKDLSLLSDQVVIKTSSSKGPVATIKCMHCDVKKTPQWREGPMGPKTLCNACGVRYRSGRLYPEYRPAVSPTFVPSLHSNSHKKVVEMRSRDKPEAV >OIW14573 pep chromosome:LupAngTanjil_v1.0:LG03:26710149:26722940:-1 gene:TanjilG_32915 transcript:OIW14573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRFRFFFFFAVIIFFLAVDFTVSGDESTTRDELERDFSITDFDFNWNLFHHDYSPPAPPPPPPHPPSVSCVDDLGGVGSMDTTCKIVSDVNLTRDVYIAGKGNFNILPGVKFHCEIPGCMITVNVTGNFSLGTNASIVTGAFELEAENVVFENGTLLNNTAMAGDPPPQTSGTPQGIEGGGGGHGGRGASCLVDSTKLPEDVWGGDAYAWATLQKPDSFGSKGGSTSKECDYGGLGGGRVWMVVHQVLEMNASLLADGGYAGPKGGGGSGGSIYIKAYRMIGSGRISACGGDGFAGGGGGRVSVEVFSRHEEPKIYVHGGDSLGCPENAGGAGTLYDAVPRSLSVDNLNLTTDTETLLLDFPNQPLWVNVYVRNKARATVPLLWSRVQVQGQISLLQGGVLSFGLRHYATSEFELLAEELLMSDSVLKVYGALRMTVKMFLMWNSKMLIDGGEDLAVATSLLEASNLIVLRESSVIHSNANLGVHGQGLLNLSGPGDCIEAQRLVLSLFYSIHVGPGSVLHGPLENATTDNVTPKLYCNNEDCPYELLHPPEDCNVNSSLSFTLQICRVEDILVEGLIKGSVVHFHRARTITVESSGIISASGMGCTGGLGSGNSTSNGIGSGGGHGGKGGDACYNDDCVEGGISYGHANLPCELGSGSGNDSSVATTAGGGIIVIGSLEHPLSSLSIHGSVNADGENFEPAIPKEKFANNFTGGPGGGSGGTILLFLHTVAVGGSAILSSMGGYSSFNGSGGGGGGRIHFHWSYIPTGDVYQPIASVKGDIHIGGGKGEGQGGPGQNGTITGKACPKGLYGTFCEECPAGTYKNVTGSDRSLCRQCPVNELPHRAVYISVRGGIAETPCPYECISVRYHMPHCYTAIEELIYTFGGPWLFGLFLTGLSVLLALVLSVARMKFVGVDEPPGPTQHGSQIDHSFPFLESLNEVLETNRVEESQSHVHRMYFMGPNTFSEPWHLPHTPPEQIQDIVYEGAFNTFVDEINDIAAYQWWEGAIYSILSVLAYPLAWSWQQCRRRLKLQRLREFVRSEYDHACLRSCRSRALYEGIKVNATSDLMLAYMDFFLGGDEKRTDLPSRLLDRFPMSLVFGGDGSFMAPFSLNNDNILTSLMNQSVQPTTWYRLVAGLNAQLRLVRRGRLRVTFQPVLRWLETHANPALSIHKVQVDLAWFQATNSGYCHYGLVVYALEEEGHPNTRGSIDGALITEERLGVHSVKEDHLSGLPRSRAHVSHHGRIEDNYMRWRTQGAALDINNLQMLDEKRDIFYLLSFILHNTKPVGHQDLVGLVISMLLLGDFSLALLTLLQLYTIAMVDVFLVLLILPFAILLPFPVGINALFSHGPRRSAGLARLYALWNLTSFVNVVVAFLCGYIHYSSQSSSSKRQPQPWSIGMDESEWWIFPAGLVLCKLFQSQLINWHVANLEIQDRSLYSNDFELFWQS >OIW15124 pep chromosome:LupAngTanjil_v1.0:LG03:21230467:21231021:-1 gene:TanjilG_11875 transcript:OIW15124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLTLTLVLANRYIYSSPPPLKNYPPVSPPYHYLSPPPLPPVHSPPPAYHYPPPPPPKKATAYIYPSPPPPPPPPPKAPYHYASPPPPPPKKPYKYLSPPPPAQFYLPKALNYYKSLPSLHVYPQSHPVYHHSRPQPKMHITRRKPPPPPVPMPAPRYGPGRRRPLPEPPIPEPPSPPPPQHH >OIW15562 pep chromosome:LupAngTanjil_v1.0:LG03:5175259:5184241:1 gene:TanjilG_01085 transcript:OIW15562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKICVAIRVRPSISEASFNGSFWKIEENRISLHKTHGSPLSGSVYAFDHIFDKSSTNASVYNLLTEDIIQAALDGFNGTAFAYGQTSSGKTFTMNGSETDPGVIPRAVKDVFSKIERVGTANMSCFNRLPSAYYRNADVWFNHFDSQMSDREFLIRVSYMEIYNEEINDLLAVENQKLQIHENLERGVFVAGLREEIVNNAEQVLNLINAGEDHIFDKSSTNASVYNLLTEDIIQAALDGFNGTAFAYGQTSSGKTFTMNGSETDPGVIPRAVKDVFSKIERMSDREFLIRVSYMEIYNEEINDLLAVENQKLQIHENLERGVFVAGLREEIVNNAEQVLNLINAGEVNRHFGETNMNVKSSRSHTIFRMVIESKGKDSDSSNDSSIRDIVRVSVLNLVDLAGSERIAKTGADGVRLKEGKSINKSLMILGNVINKLSDGSKQSHIPYRDSKLTRILQPALGGNAKTSIICTVAPEEIHIEETKGTLQFASRAKHITNCAQVNEILTDAALLKRQQLEIEELRKKLEGSHAEVLEQEVLKLRNDLLKASFTFGYELERGKLEMELEEERKSRDQWIRENQMKIESSSSIALSDCEANDIQRQGSPRHSFSEECSGSNSTSQEDIFKSPGIKKAPSAFVVKRSKYMALPDCSPPPHAFNNVADEDMWLRMNNGYVADLDSLQATPARKFQSFPSDTTHGCKSEIGKYEQEVQDLRRQLELANAKINELERKHSDEALSKQLMDERAEHQQETQTIQVLPLRSSESVENFKYSFEEVLSVMQRFASCGKLSTAKVLSTMSDIRAQLFAALDAHFPADRDGERSSTGSYALIDEQKAFQERMHNIITSLELSDSSTTQWQERSSLCSCEHKNSVAINVTQQLLTGIYSIAYSDFYEMNWVEDQP >OIW15316 pep chromosome:LupAngTanjil_v1.0:LG03:14362007:14364503:1 gene:TanjilG_10756 transcript:OIW15316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSNEYEESGTQLPRNTKSSSIFRYNSPFVQVILIGLVCFCCPGMFNALSGMGGGGQVNATASNNSLTALYTFFSIFGILGGGIYNILGPHLTLFAGCSTYVLYSGSFLYYNHYQHQAFAIISGGILGIGAGLLWAAQGAIMTSYPPVNRKGTYISIFWSIFNMGGVIGGLIPFILNYHNDAATVNDGTYIGFMVFMAGGAVLSLAILPASKVIRDDGTRCTNIMYSNVTTEFFEILKLFSNWKMLLMIPAAWSSNFFYTYQFNHVNKTQFTLRTRGLNNVFYWGAQMLGSVGIGYVMDFSFKSRRKRGIVGICVVAVLGCSIWGGAIANQIQRNIHETLDFKDSGSHFAGPFVLYFTFGLLDAMFQSMVYWVIGTLNNDSEILSRYAGFYKGIQSAGSAVAWQIDNHSVTPLNQLIVNVVLTTISYPLMLVLVLRAVKDNDPEEEPVNQVTPSSRNNVFTQ >OIW15238 pep chromosome:LupAngTanjil_v1.0:LG03:15979944:15981847:1 gene:TanjilG_17558 transcript:OIW15238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCGISSFNTKEASPSRGLNRHNHHPVVVPLITQKSPTNKKYFDDGVIGVMKALHHNDETKATKEVGLNEEKLKEKCVMNKNEEEEERVDNFISLGSPSFRDYCIDYDSMDRSSMADSNDYCDSTDSIMNGSGHDSINSKTMPKNKESVNSNKESKTKERKGHRFRNVIIRGKGRDGRKNLLNFACYNASSESYAEGSINKIVTKTT >OIW15432 pep chromosome:LupAngTanjil_v1.0:LG03:8406850:8407377:1 gene:TanjilG_28631 transcript:OIW15432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMNNPPSPVVFQTASAASRVSPRSVIPNDSRRFVPISDDDTSVHPVPRGTGLRRIFTRVVKKGIRRGPDLVVVEADCLIAEFWARRKARARRSGRTIEEFSDSEDEDEEEMAIPNAVEPMSYPPVIIIDSDTEMEEDLVEDHDEPEHSNS >OIW14775 pep chromosome:LupAngTanjil_v1.0:LG03:25066533:25073228:1 gene:TanjilG_05396 transcript:OIW14775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILVRLVVAITVFFLLSIAYYAFFAPFLGKDIYEYVAFGVYSILALSVFLLYIRCTAINPADLGVFGDCENTSKNRSKLDEELAEPKIGLKGEGMSERHNSNWCSKLGCFFCSFLVREDCRSNEDIISQQQSGESEDILFCTLCNAEVNKFSKHCRSCDKCVDGFDHHCRWLNNCVGRKNYISFVCLMAVSLVWLILECGVGIAVLVRCFADKRGTKNQIVEKLGAGFPRVLFAIIVAICTGVSFVAAIPLGELFFFHMILIRKGITTYEYVVAMRTLSEPPGPSVDGGEQHSLPSSPTSSAVTAISGRSSVGMSLQHKGAWCTPPRIFMDHQDEIIPHLEPGRLPSTVDPDAIQPPDKGKKLNHRPVRISAWKLAKLDSNEAAKAAAKARASSSVLRPIGSRSHPYDADHLSSSNVSGRSSPISNQGFQSKYDTAGTSRLSPSKSSYPASQASKEDIDSCQHSMSNFSSPQVSNLTPSPMQRPGLNIDHFNPMYQQPLGTHSPSSAKESEGTVNPVQENGSRVPMRTNTLAVSENRTSSSVFWDQAAGRFVSSSSRGQGSSQISGTELLYTGRSIFFGSPVVSEQLTTGTRNTSTVTGIPDRDSASRDFQQGRSHRGGQLPVFVPGYSQQNKFS >OIW14435 pep chromosome:LupAngTanjil_v1.0:LG03:29117531:29121981:1 gene:TanjilG_20881 transcript:OIW14435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWVFEETLPGGEKLSEVINKTNENVKYLPGIKLGKNVIADPDLENAVKDADMLVFVSPHQFMEGICKLLSGKIKASAEGISLIKGMEVKKEGPCMISTLISNRLGINCSVLMGANIANEIAMEKFSEATVGYSQHQEVAERWVQLFTTPYFIVSAVHDVEGVELCGTLKNIVAIGAGLVDGLEMGNNTKAAIMRLGLKEMIAFSKLLFPSVKDSTFFESCGVADLITTCMGGRNRKVAEAYAKNGGKRSFDELEAEMLKGQKLQGVLTAKEVYEVLNDRGWIEKFPLFSAVNLISQGLLPPSAIVQHTHNKSRYS >OIW14907 pep chromosome:LupAngTanjil_v1.0:LG03:24032672:24034578:-1 gene:TanjilG_30626 transcript:OIW14907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTIEAFSDGEWDCFHRMLFTTEEHDHLQQFLGQSSLQSGEDNGNIGIKSSIFCPTTESEGYESMFYSFDAHNSNLQHISQESSQSSDHNVLISDQGHTNYYLRYPDNVLANNAYASLGFSMTDEKNHVSLVPLVTDIVVEDNASLNARERNDVSVNFDHIQEEPIVFPNKQLQLKSKPDMLELEVHVEDKININSSCNQKKRSRASKDASRCAKNERSRKNQKVEKKNKVVEEINAGADGQSCSSYTSENDNAYQENNEGITSVSKSHASLNSNGKTRASRGSATDPQSLYARVDISTMLEEAIHYVKLLQLQIKLLSSDDLWMYAPIAYNGFDIGLDLNRKVSQPQ >OIW14580 pep chromosome:LupAngTanjil_v1.0:LG03:26663421:26667812:1 gene:TanjilG_32922 transcript:OIW14580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSTLKENDGGVKDLYGEDNATEDQLITPWHFSVASGSTLLRDPRYNKGLAFTEIERDAHYLRGLLPPAVYNQDLQEKRVMHNLRQYEVPLHRYTALMDLQVAIKVFLTPEFPYLQERNERLFYKLLIDNVEELLPVVYTPTVGEACQKYGSIYKRPQGLYISLKEKGKILEVLKNWPEKTVQVIVVTDGERILGLGDLGCQGMGIPVGKLSLYTALGGVRPSSCLPITIDVGTNNEKLLNDEFYIGLKQKRATGKEYEELLEEFMSAVKKNYGEKVLVQFEDFANHNAFTLLEKYSSSHLVFNDDIQGTASVVLAGVIASLKLIGGTLADHTFLFLGAGEAGTGIAELIALEISKRTKAPVEETRKKIWLVDSKGLIVSSRLESLQHFKKPWAHEHEPVKTLVDAVKAIKPTVLIGTSGVGKTFTKDVVEAMASFNEKPLIFALSNPTSQSECTAEEAYTWSKGRAIFASGSPFDPVEYEGKVFVPGQGNNAYIFPGLGLGLIMSGAIRVRDEMLLAASEALAAQVSEENYDKGLIYPPFTNIRKISASIAANVAAKAYELGLASHLPRPKDLLKYAESCMYSPGYRSYR >OIW15218 pep chromosome:LupAngTanjil_v1.0:LG03:17261962:17262324:1 gene:TanjilG_08810 transcript:OIW15218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVGQALISNGPEFFDHTLYCSLVGALQYLTITRPYLAFAVNSISQFLHCPTIEHFQAVKRILRYVKGTLHFGLRFCRTSSSALIGYSDADWARCPETCTPPMVMLFLLVIILFLGVLRS >OIW14623 pep chromosome:LupAngTanjil_v1.0:LG03:26290544:26290887:-1 gene:TanjilG_32965 transcript:OIW14623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQVHVYDPSQTRLVQGHMPNLARSDAPLARPDASDRCECAKAGRVSSRSDVLNFLSHDPGHESDALSFLGHGLGHESAFLGQY >OIW15300 pep chromosome:LupAngTanjil_v1.0:LG03:14006875:14008054:-1 gene:TanjilG_10740 transcript:OIW15300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIHFLQVKFYSIGVYLDPEIVGHLQQWKGKSAKELEENDEFFDALVSAPVEKVVRLVVIKEIKGAQYGVQIESAVRDRLAADDKYEEEEEEALEKIVEFLQSKYFKKHSVITYHFSADSQTAEIVVSLEGKDDSKFVVVNANVVETIQKWYLGGSRAVSPSTIASLANTLSVELSK >OIW14734 pep chromosome:LupAngTanjil_v1.0:LG03:25328520:25329308:-1 gene:TanjilG_05355 transcript:OIW14734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKSYYLFDGYAHLSSGLACGLAGLSAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRAD >OIW14601 pep chromosome:LupAngTanjil_v1.0:LG03:26463212:26466704:1 gene:TanjilG_32943 transcript:OIW14601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYCLRELEGKHANDPLFIVKMNNKLSSSPSPSSLPKSSCVFVPGPVIVGAGPSGLAAAACLKEKGVPSLILERSNCIASLWQHKTYDRLHLHLPKQFCELPLMGFPSDFPTYPTKQQFIGYLETYAEKFGIRPRFNETVKHAEFDSKVGFWHLKCVDKAEIVTEFVCKWLIVATGENAEAVVPNIQGVEEFGGSIKHTSLYKSGEEFRGKKVLVVGCGNSGMEVCLDLCNHDGSPSLVVRDTLGLDRPSLGPLELKNLSGKTPVLDVGTLAKIKGGDIKVRPSIKRLKLHTVEFVDGKQENFDAIILATGYKSNVPYWLKEESMFSKEDGFPKKPFPNGWKGENGVYAVGFTKKGLLGASMDAKKIAEDIERCWKYEAKHSSIFARSLLLQSNS >OIW15420 pep chromosome:LupAngTanjil_v1.0:LG03:10924368:10926218:1 gene:TanjilG_32659 transcript:OIW15420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVTTQASAAIFRPCACKSRFLSGSSGKVNREVDFRPMRCPSTSFKVQAKKGEWLPGLASPGYLNGSLPGDNGFDPLGLAEDPENLRWFVQAELVNGRWAMLGVAGMLLPEVFTNIGIINAPKWYDAGKEEYFASSSTLFVIEFILFHYVEIRRWQDIKNPGSVNQDPIFKQYSLPANEVGYPGGVFNPLNFAPTVEAKEKEIANGRLAMLAFLGFIIQHNVTGKGPFDNLLQHLSSPWHNTIVQTLGGN >OIW14463 pep chromosome:LupAngTanjil_v1.0:LG03:28819418:28821577:-1 gene:TanjilG_19511 transcript:OIW14463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSENNGMPSKGPGGSMSPFGNAGIGFHSTSGNPGFSYSQPQIPAGFQGQYMLSQAQAHAIAQANAQAQFQAQLQAHGLNLNQNFGVPRSSFSALAGNAIAKRLPMKAPTLPVGFSPLKPVELMPGARRKKQKLDEKHLQDRVATVLPESAIYTQLLEFEARVDALYARKKIDIQEALKNPPCIQKTLRIYVFNTFANQTHTFPTRSNAEPPTWTLKIVGRILEDNEEPDQPGGVQKSTESYPKFSSFFKRVTISLDQRLYPDNHIIKWENARSAAPHEGFEVKRKGDKEFSAHIRLEMNHIPEKFKLSPALKEVLGIEVDTRPRIIAAIWHYVKARKLQNPNDPSFFHCDPHLQKVFGEEKMKFTDVAQRLSHHLLPPQPILLEHKIKLSGNSPVGSVCYDLMVDIPFPIQMELSSLLANAEKNKEIDACDEAICGIIKKIHEHRRRRAFFLGFSQSPVELINALIESQSRDLKLAAGESSRNAEKERRSDFFNQPWVEDAVVRYLNRKPAARSNAPGTT >OIW15960 pep chromosome:LupAngTanjil_v1.0:LG03:1889046:1889288:1 gene:TanjilG_04495 transcript:OIW15960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDAGKSHKSNGKSSPERRRDRKSATGMNGSPKKGGHGGKFTWIGGHGYSKIEIGMEHVAVDAKDPNFEDIDAEIAIAAA >OIW15225 pep chromosome:LupAngTanjil_v1.0:LG03:17609483:17609881:-1 gene:TanjilG_08817 transcript:OIW15225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTIVQQLLPPPIEVTHQAYTTHSSHGSVGPVIAVLAVITVLGVIAAMIGRLCSGHRIMGYGDYDIERWVETKCSSCVDGRITPHPPPRPASPPSPPENNAGEVAPSVELPQEEERQQQQTRESSHGNSSN >OIW15458 pep chromosome:LupAngTanjil_v1.0:LG03:10222592:10223608:-1 gene:TanjilG_28657 transcript:OIW15458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSYLHTTLFHSIQITKPSSSSSLLLYPNAHFTSLAFSTPQYLYKHYHVSCIPSNKVTSTCIHSSLSSPNPPTSKEEAILQAKTSLSTTLEKPLNNPRIVGKIKKLKQPKFRVEIPLIDDLPDSLTQLALDFFGNISIKRKGSPIKIVILWPDPSLKESATIAFQSNSTCQVEHIDIPSVVKSGSRVLDSADVAVFMAPESSRLALMKTVSDAFYPKPVILFNPKWVFEEESNFGDLSGFVGSFEVVYSFMGLEVRGILSKRKGVIFKCVRDGVVSGERWNVFVEEGEELKVVSAFKARPTITEVENVLYNLMAINSPITKSAKFIKGLVSNVTGRK >OIW15425 pep chromosome:LupAngTanjil_v1.0:LG03:10534558:10537132:-1 gene:TanjilG_12279 transcript:OIW15425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIQSSTSIEAKPSKNVVSHANFFVWREFVWGAVAGAFGEGMMHPVDTIKTRMQSQAILDGIPNQKSILQMVQSVWQADGLRGFYRGVIPGITGSLATGATYFGVIESTKKWIEDSHPSLRGHWAHFIAGAVGDTLGSFVYVPCEVMKQRMQVQGTLMSWSSDTMINGVAVKPGAQIYGYYTGMFNAGCTIWKTQGLRGLYAGPYYSVKAMFRNSTVDNI >OIW15519 pep chromosome:LupAngTanjil_v1.0:LG03:6228929:6231151:1 gene:TanjilG_27370 transcript:OIW15519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALTSLSFSSLSQCSERKTTISSTRFLGSTSEAFGFRPTFSYHFGGLRASTSSPKMVIQCMSSVADPPTVSETKLNFLKAYKRPIPSIYNTLLQELIVQQHLTRYKKSYRYDPVIALGFVTVYDQLMDGYPSDEDRDAIFESYIKALKEDPEQYRTDAKKLEEWAKAQNSTSLVEFSLKEGEVEGILKDIAERAGGKGDFSYSRFFAVGLFRLLELAKATEPASLEKLCATLNINKRSVDRDLDVYRNLLSKLVQAKELLKEYVER >OIW15505 pep chromosome:LupAngTanjil_v1.0:LG03:7975906:7989341:-1 gene:TanjilG_32909 transcript:OIW15505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSISNAMYLCFVNQIIHEIVSKYGIDDLALLQQKLRKMRILENIDLPNSVIELLNNLKKVPASEDVKEIGLHDNDIKATDDEVGEEEQEEYDNSHQNRQLTALFYDLPFTLSILSVINPSASYGTRKTQAGGTFALYSLLCRNARLGLLPNQQSTDETLSAYATKDSADTRQSSLLKSFFEKNPWLQKGLLIFVLLGTFLLAVSGVKVKISQLDDMGLFYIQQYGTHTVAFLFAPFVAAWLLCISVIGVYNIFRWNPKIYYALSPVYMFRFIRTTGIEGWLSLGGVMLSITGVETMFADMGHFSAVSIRITFTFLVYPCLILAYMGEAAFLSKNHSDVEQKTVFWPVFVLATLASIVASQAAVSATFSIIRLAVTTVMFVTTCLMALVIVIVWKQGIIIAITCLLFFGSVELFYVSSCIYKVIEGAWISLVLSFIVMCLMYTWNYGTLKKYEFDVENKITTNYLLSMGPSLGMVRVPGVGLMYTNVASGFPAFFGHLVTNLPALHQVLVFVCVKSVQVPYVSEKKRFVISRFVETEEEISPEPTHELSKKNGNLDVEGIINSYCEEKLFRSSNFVKVMRNGDDRHEESLYKDESVQILTAKEFGVTSLIGHSYAKAKNSSSFIKKFAINIVFDFLSKNCRESDVVLNLDYTSLLEIDHTVLPVALEKWSLTLLQDLLPRHVEIIRRIDEEIIHEIVSKYGIDDLALLQQKLRKMRILENIDLPNSVIELLNNLKKVPASEDVKEIGLHDNDIKATDDEVGEEEQEEYDNSHQNRGTFALYSLLCRNARLGLLPNQQSTDETLSAYATKDSADTRQSSLLKSFFEKNPWLQKGLLIFVLLGTFLLAVSGVKVKISQLDDMGLFYIQQYGTHTVAFLFAPFVAAWLLCISVIGVYNIFRWNPKIYYALSPVYMFRFIRTTGIEGWLSLGGVMLSITGVETMFADMGHFSAVSIRITFTFLVYPCLILAYMGEAAFLSKNHSDVEQKTVFWPVFVLATLASIVASQAAVSATFSIIRLAVTTVMFVTTCLMALVIVIVWKQGIIIAITCLLFFGSVELFYVSSCIYKVIEGAWISLVLSFIVMCLMYTWNYGTLKKYEFDVENKITTNYLLSMGPSLGMVRVPGVGLMYTNVASGFPAFFGHLVTNLPALHQVLVFVCVKSVQVPYVSEKKRFVISRFVETEEEISPEPTHELSKKNGNLDVEGIINSYCEEKLFRSSNFVKVMRNGDDRHEESLYKDESVQILTAKEFGVTSLIGHSYAKAKNSSSFIKKFAINIVFDFLSKNCRESDVVLNLDYTSLLEIGMIYRV >OIW15629 pep chromosome:LupAngTanjil_v1.0:LG03:4482819:4484120:1 gene:TanjilG_08205 transcript:OIW15629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLVFATVLLVSLVLSSSMFEISIAGPDFCGSKCSSRCSKAGRQDRCMRFCVICCGKCKCVPSGTYGNKHECPCYKDMKNSKGNPKCP >OIW14742 pep chromosome:LupAngTanjil_v1.0:LG03:25260127:25269276:-1 gene:TanjilG_05363 transcript:OIW14742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSRARSSSPFSHRKPSTPYSSTSSSSSFVSGRNLMPRSTSSTSSFFNSGGRSMTPSRGRTESTYHAPPPPPRGYGSTSPVVFAAEDLLAETVDSSRSGDSISVTIRFRPLSEREYQRGDEIVWYADGDKMVRNEYNPATAYGFDKVFGPHTNSDEVYEVAAKPVVKAAMEGINGTVFAYGVTSSGKTHTMHGDQNAPGIIPLAIKDVFSMIQDTPGREFLLRVSYLEIYNEVINDLLDPTGQNLRVREDAQGTYVEGIKEEVVLSPGHALSFIAAGEEHRHVGSNNFNLFSSRSHTIFTLMIESSAHGDDYDGVIFSQLNLIDLAGSESSKTETTGLRRKEGSYINKSLLTLGTVIGKLSEGKASHVPYRDSKLTRLLQSSLSGHGHVSLICTVTPASSNMEETHNTLKFASRAKRVEIYASRNKIIDEKSLIKKYQKEISVLKLELDQLKKGMLVTVDHEEILTLKQKLEEGQVKMQSRLEEEEDAKAALLSRIQRLTKLILVSSKNAIPGYLTDVPSHQQSHNVSEDDKCDAQSDGMLIEIDSKKDASAVSSNLFHDVKHKRSNKWNEEFSPTTSTVTDQMDLLAEQVKMLAGDIAFSTSTLKRLMEQSVSDPGSSKTQIENMEHEIQEKKKQMRLLEQRINESGESSMASSSLVEMQQTVTRLMTQYNEKAFELEIKSADNRVLQEQLNDKRSENRELQEKVKLLEQQVASVSSGTLLASYEQCVSGDHIDELKKKIQSQEIENEKMKLEQIHLSEENSGLRVQNQKLSEEASYAKELASAAAVELKNLAGEVTKLSLQNAKLEKELMAARDLAKSHNAVLQTVNGVNRKYNDARSGRKGRFSIRANEISGAGLDGFESWSLDADDLKMELQARKQREAALESALAEKEFVEEDYRKKAEEAKKREEALENDLANMWVLVAKLKKEAGAVAESNNDPKTNDIENNIASKEQVLDVSKPDNEIPKEEPMVVRLKARIQEMKEKELKYLENGDANSHVCKVCFESPTAAILLPCRHFCLCKSCSLACSECPICRTNITDRLLAFTS >OIW15837 pep chromosome:LupAngTanjil_v1.0:LG03:1013843:1016378:-1 gene:TanjilG_04372 transcript:OIW15837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRRLFSSNLKSLTTSSSHRRSASTAFRFATATAAPLSATRFLTTQSGASIKRVEDVVPIATGHEREEIQAQLQGRDILEIDHPEGPFGTKEAPAIVKSYYDRRIVGCPGGEGEDEHDVVWFWLEKGKHFECPVCTQYFELEVVGPGGDPYGHGDHSHH >OIW14962 pep chromosome:LupAngTanjil_v1.0:LG03:23551225:23551587:-1 gene:TanjilG_30681 transcript:OIW14962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSLIACAIFILACSYCTHPLENENQHSDLERGGERESGFLHAKNNNDSVNNRVCENKVLVIMAGNDEPTFIATPTCVTSSSVQCGVGNDSDKDTQILETCEGLKENINYDKATSTSSEQ >OIW15405 pep chromosome:LupAngTanjil_v1.0:LG03:11981779:11982093:1 gene:TanjilG_15788 transcript:OIW15405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCFNNGHNTEVHRTSTLYTDVPQYPNSHVTKKVVYEEDEIGGYRGGHVHHHNPEVRERVEVIEYEQVPQYNNRVGEVVYEENVVDVETDRYYPRRNNCGIFRH >OIW14570 pep chromosome:LupAngTanjil_v1.0:LG03:26762009:26768744:1 gene:TanjilG_32912 transcript:OIW14570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSRVKVEPVAMFETVQQIGVYLHRFHNLDLFRQGWYQLKITIRWEDSEEDIPQGIPARVVQYEAPDLGPSSIYGMWRIDDTDNSFSTQPFRIKYARQDIHLSMMITFNLARSRFEDLTTVAAILKFELMYATAVENGANLQASLDASPASVHEYRIPPKALLGLHSYCPLHFDALHAVLVDVSVHVSLLKAASNPSASKVHRKSAKTVVSANKSYDTSNRGLGRVASVDVKNVMLVKELLTARGIFLEELQRISKAVNQAIDISEFVFKMNNMKLLNSLLEANQFGTDVEVSGQGQPWNGVEDENGALDFLNAEKLHSLSQNELLDCFHSLGDQLFYLWNIFLKFHRDNKTKILEFLYDVWAKDRKAEWSIWMVYSTVEMPHHYINSGSDESSNHGGHKRVSSVVKLPDERPQIAATRAELHRRSIAQMQINNRSIQDMYIFGDPLRAPIVIVERVMNTPRRMSLLLVNLLQNNTLRFMKDLACYGWGVQGHHLDLRLVRNQWLLIDPKIEVLMSEANEDKTSGDFREMGQRLAQEVVSFVKNKMDKASQYANLGDIKISFVGHSIGNLIIRTAISDSIMEPFLRYLHTYVSVSGPHLGYLYSSNSLFNSGLWFFKKLKNTQCIHQLTFTDDPDFQNTFLYKLCKVGKLFYKDGYVPYHSARIESCPAASHDSSKKGSCFLEMLNDCLDQIRANPSEHRVFMRCDVNFDATAYGKNLNSFIGRAAHIEFLESDIFAKFIMWSFRELFQ >OIW15361 pep chromosome:LupAngTanjil_v1.0:LG03:13357772:13364391:-1 gene:TanjilG_26734 transcript:OIW15361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTTVAEEDSTKQLVLFQNQSEGQNGNFTNNPYPPPTVLYDDLVRDGFLFLEKLQSFHNSFGTKFKVPTIGGKPLDLYLLFLEVTSRGGLEKVIEDRKWREVVMVFNFRDTITSASFMVRKYYLSLLYHFEQVYYFRKQVPPSLTPDPLNRSLINYSATIGEGGTMNGLPGQVSPVQQLGSVVLGTIDSKFDGGYVVTVNLGSEQLKGILYHDPANVSWSSYTERVPSSQNRKRSRLALRDPSQPKSNRSGYNFFFSENYARLKASFNGQEREISKRIGFLWNNLTDAERQASILSSEFYCPVSFTVQIAVAEMRRECCVG >OIW15755 pep chromosome:LupAngTanjil_v1.0:LG03:448530:449410:1 gene:TanjilG_04290 transcript:OIW15755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTIFRQYTFDDSFEQVFVSSKFLKEYQIALTLAIDYDEDGVPTNGVFRPTWDLTKVTPLAITQFKKDHPDVNVKVFISIGNNGTQYPFCPIEKKSWIDNATKSLTNIIKDKEYDLQVDGIDIFYQHIETDPSDFVECISQVIKNLKENGVIKVASISPSFAVNKEYYFSLYKSCSSLIDWVDYQFQNEVTSVFDPNTLVDIYNKLGTDFYPKKKLFAGYSAENEDWATLSPIVFFLGGIDIIKKRKGPGISIHYHNYYVETPLHH >OIW15087 pep chromosome:LupAngTanjil_v1.0:LG03:21539556:21539891:-1 gene:TanjilG_08574 transcript:OIW15087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLTGETVNDFRFVPQSLVSFGNTVIVEGCDGNRNISWVHAWTVTDGIITQVREYFNTSLTVTRFGDSDSGSESGSVSGSSSDSVWFRCVWESTVSNRVGKSVPGLVLAI >OIW15102 pep chromosome:LupAngTanjil_v1.0:LG03:21699032:21703963:1 gene:TanjilG_08589 transcript:OIW15102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFYYAMPVQTTFPAKLLHRFSDHFKPVRVQSGSFLNRKKTMNYYHLLLTNDKIKLANRHQLLFPSRGSKTMSFGNDFAWLHYTWIDIGTPSTSFLVALDAGSDLLWVPCDCIKCAPLSATYYSNLDRDLNEYSPSRSISSKHISCSHQLCDLGSTCKSSKQQCPYTMNYLSENTSSSGLLVEDILHLQSGGEGVSNSSVQASVVIGCGMKQSGGYLDGVAPDGVMGMGPGDSSVPSLLAKSGLIRNSFSLCFNEDDSGRIFFGDQGSTIQQSTSFLPLDGIYSTYIIGVDACCVGKSCLKMTSFKAQVDSGTSFTFLPGHVYEAIAEEFDQQVNASRSTFEESPWEYCYTSSSQELPKVPTLTLMFQQNNSFVVFNPVFVFYGNQGITGFCLAIQPDDGDMGTIGQNFMTGYRLVFDRENKKLAWSSSNCEDLTLGKSMPQSPRNETLTNPLPTDEQQRVNGHAVAPSVARRAPNNPSAASSRMISCCQNWYCYLFLLFQLVSAFS >OIW15469 pep chromosome:LupAngTanjil_v1.0:LG03:6680638:6682808:-1 gene:TanjilG_32873 transcript:OIW15469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGGAMRTAAKLAGIGAAATSGIKSVVRTPPTEQLVQNVSRPASTMLSSSSPQKAIASDMAPLHTSPSWDLEDWDVAEDGVLIMEAGEAMPRVVFGAVPSFQEAKDATTELKDAIDSIYLKSSSSSEYEGSSPGSQGSVVSPFNTELDTKFRAIDAISNPSVPRHAFQAFQLLSGSPEAQTAVASIACDPNVWNAVMQNPAVKDFFQSQQTVAYSEGERTNVKLEELPDSDPGNVFTDLMSILHNLKLSVAEMVSNMSSYLQNIFGFSMGEKSSSDADGGGNAKANLMDHLAAGGTFMGLAVLVVMIVVLKRV >OIW15565 pep chromosome:LupAngTanjil_v1.0:LG03:5056850:5057314:-1 gene:TanjilG_01088 transcript:OIW15565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTKGHSKSLLVRIIVSPIRALVKARDMYVRSITNCGQSISYGNTMDGAGGFSSFPRSYSTATSTRSEENEDFAELMRAASARTIESRFDMDLVMKQEQQQKSSNGLSKSSSVGMAKIDEDMPFDLSYDGVVTFKPDSYPRSRSYAVAKRSVVF >OIW15037 pep chromosome:LupAngTanjil_v1.0:LG03:22569747:22570705:1 gene:TanjilG_13964 transcript:OIW15037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTKQTARKSTGGKAPRKQLATKAARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >OIW15671 pep chromosome:LupAngTanjil_v1.0:LG03:3548161:3553015:-1 gene:TanjilG_09609 transcript:OIW15671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPDLLHNLSLSLKLKTKQQELLLRVTILTLIYILAFITRLFSVLRYESMIHEFDPYFNYRTTLYLVRNGFSDFWNWFDPESWYPLGRIIGGTLYPGLMVTAAVIYRVLHFLRFVVHIREVCVLTAPFFASNTTIVAYFFGKELWDSGAGLVAAALIAICPGYISRSVAGSYDNEGVAIFALLLTFYLFVKAVNTGSLSWSLASAFGYFYMVSAWGGYVFIINLVPLYVLVLLVTGRYSMRLYVAYNCMYVLGMLLAMQIRFVGFQHVQSGEHMAAMGVFFLLQFFFFLDWVKHLLSDTKLFQAFLRITVTGAVSVGAIALGIGTATGFISPWTGRFYSLLDPTYAKDHIPIIASVSEHQPTAWSSFMFDFHILMFLFPAGLYFCFKRLSDATIFVVMYGLTSMYFAGVMVRLILVATPAVCLISAIAVSATVKNLTRLVRAKSQAVQSGSSKGTSTTKASSKGLVDNSQPLQKNGAIVLLLGAFYLLSRYAIHCTWVTSEAYSSPSIVLAARGANGNRVIFDDYREAYFWLRQNTPPDAKVMSWWDYGYQITAMGNRTVIVDNNTWNNTHIATVGRAMSSYEDEAYDIMRSLDVDYVLVVFGGVTGYSADDINKFLWMVRIGGGVFPVIKEPDYLVNGEYRVDKGAAPKMLNCLMYKLSYYRFGEMTTEYGKPPGYDRARGVEIGNKDIKLEYLEEAFTTQNWIVRIYKVKPPKNRW >OIW16008 pep chromosome:LupAngTanjil_v1.0:LG03:2337139:2337676:-1 gene:TanjilG_04543 transcript:OIW16008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVKHFCMVMRINIDCNGCYRKVKRALLDMPDLEIHLLEKNQTRVIVCGRFIPQDVAINIRKKTNRRVEILNIQDLSESDDEIEDQKTVTNNWTLLSNQKCLA >OIW14584 pep chromosome:LupAngTanjil_v1.0:LG03:26632359:26632788:1 gene:TanjilG_32926 transcript:OIW14584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRYRSVSQPAFSFIKSTFTKPSTSAKASPSFINNTRSSATLPRSIAQLGCVQSLLPLYSAVSSARLTSCLGIDSSSSRSLTLGMLCSANPGV >OIW15651 pep chromosome:LupAngTanjil_v1.0:LG03:4750297:4752102:-1 gene:TanjilG_08227 transcript:OIW15651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAEESKNNCFKLGGSVVLKDTFNVIRCFYDIKRDISTWNPLQGKELAIEMPKEYPATIKKYWQQRYILFSRFDDGIRMDEEGWFSVTPEVIAHYQAERCACGTIIDCFTGVGGNSIQFAQWCSNVIAIDIDPLKIDYARHNAAIYRVENRIEFIVGDSFLLAPRLKADTVFLSPPWGGPEYNKVKTYDMKTMLRPYDGQTLFNAAKKIAPRIVMFLPKNVNLDQLAELALSARPPWSLEVEKVYLNGRLKAITAYFTDPVPRQRQRCLT >OIW15605 pep chromosome:LupAngTanjil_v1.0:LG03:4247838:4248116:-1 gene:TanjilG_08181 transcript:OIW15605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPSQSPWPFMLIRRQFPIIVSYAMTINKSQGQSLQSVGLYLPKLVFSHCQLYVAASRVQSKKGLKILIHGKDGKPLKTTTNVVYKEVFQNL >OIW15251 pep chromosome:LupAngTanjil_v1.0:LG03:16238869:16242850:-1 gene:TanjilG_17571 transcript:OIW15251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDEYAKLIRRMNPPRVVIDNNACENATVIQVDSVNKHGILLDIVQVLSDMNLVITKAYISSDGVWFMEVFNVIDRNGKKIRDKEVIDYIQRRLENNPGFAPSMRESVGVVPSEEHTSIELTGIDRPGLLSEVCAVLTDLHCNVVNAEIWTHNNRAAAVVHVTDDSTGFAINDPSRLSTIRDLLCNVLRGNSDPKMARTTLSPHGVTNRDRRLHQIMFADRDYEKRVEKTGQRVIRDGDTSSFPHVTAIDCIEKDYTVVTMRAKDRPKLLFDIVCTLTDMEYVVYHGVVQTIRTEAYQEFYIRHVDGFPISSEAERDRLIQCLEAAIERRASEGMELELSAEDRVGLLSDITRIFRENSLCIKRAEISTENGKAKDTFYVTDVTGNPVDPKIIDSIRRQIGDAALQVKHNSSLSQKDTRSTTMRNLFGNFFKARSFQNSKLIRSYS >OIW15294 pep chromosome:LupAngTanjil_v1.0:LG03:13884326:13891740:-1 gene:TanjilG_10734 transcript:OIW15294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKNQKQSNSVFIHLVVVLLLCSSSVSVSERIVPLLQSYEKPIFRSNFQTIYDTSKYGVFHLSNGLAQTPQMGWNSWNFFACNINETVIKETADALISTGLADIGYVYVNIDDCWSKATRDSKGQLVPDPKTFPSGIKALADYIHGKGLKIGIYSDAGAFTCQVRPGSLFHENDDADLFASWGIDYLKYDNCFNLGIPPKKRYPPMRDALNATGRTIFYSLCEWGVDDPALWAGTVGNSWRTTDDINDSWASMTTIADLNDKWAAYAGPGGWNDPDMLEVGNGGMTYEEYRAHFSIWALMKAPLLIGCDVRNLTAETLEILSNKEVIAVNQDPLGVQGRKVYATGKDGCSEVWAGPLSGHRLAVALWNRCSKVATITAPWTAVGLESGIRVSVRDLWQHKVVTTDAVSSFSASVNAHDSHLYILAPATPSYSVQ >OIW15138 pep chromosome:LupAngTanjil_v1.0:LG03:20529152:20530646:-1 gene:TanjilG_14137 transcript:OIW15138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSGAKKRKAAKKKKEKGTNNNPQGNDELKFHDEKGNDDGKGGSPSHHDYDDDNDNDHPFNEGNEDVEESDPSAAQPSAADAESVEEVPSDVKIGEAPEGKQDVVLERDLKSGESFEGTNLSLVHVESAEESDFRNGNSNAGLNDETAAENAKEEPDNSVNEEVTFDEIVKSIDSSHTKMTSIFENAPVGETDNSVLEPPIDPVKAVASISEVKSSDTGSALPEKSVDSLVGPIDLAVKKNEDKVHPGSYSAQHVDDSDTQESSENQPLVASAPRVVQKASWLNCCGLFEVLTGSGR >OIW14789 pep chromosome:LupAngTanjil_v1.0:LG03:24973770:24977251:1 gene:TanjilG_05410 transcript:OIW14789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDDATILDDGTISMDFDYMNELFFDGCWLETTAEEFDFPLFDSSLFSWNVLENEHESQEALFSTQDNIVNVDVSSNKQNQSEIQSLEGASEGFTRWWIAPTPNNPGTSYSVMEKLMKGLKCIHDLNRNKDMLIQIWVPVNRGGKQILTTNDHQFCLGTRSTNLAKYREISMRYHFSTEEDGSKGLVPGLPGRVFKEKVPEWTPDVRLFRIDEYPRVDHAQEYEVRGTLALPIFEQGSRTCLGVIEVVMTTSQLNYRPELESVCKALEAVDLRSSKLSSIPDLKASHKSYEAVLPEIREVFRSACEMHKLPLAQTWIPCIQQGKEGCRHSEDNYLHCISPVEHACYVNDPSIRAFHDACSEHHLLKGQGVAGGAYMTNQPCFSSDITSLSKTDYPLSHHARMFGLQGAVAICLRSIYNSMDDYVLEFFLPVNCIDSEEHKRMLTSLSMIIQRVCHSLRVITDKELESETNLLVDEVTALADNRSRRTAICKEVLQDGMVDSLDTEEKSKGAKFSDLRQQQDLKGNLDSVGGCPTFGEGNLSSVGISKTEEKKRTKADKTITLQVLQQHFAGSLKDAAKNIGVCTTTLKRICRRHGIKRWPSRKIKKVGHSLQKLQLVIDSVQGASGACQIDSFYSNFPDLLSPNLSGTDMLSTLKQSDNPNSLSPKDVLKSPSSSCSQSSISSHLCSTMSEQQYHTSNAVEGNKDSIVVEDSANVASTRIRNEAELRSLVSQDNEEKLLPISLSQETLGEQQHPKTKGNATKKEESHRVKVTYGDEKSRFRMPTTWSYENLLQEIGMRFHISNMSKFNVKYLDDDCEWVLLTCDADLEECIDVCQSSENRTIKLALQVSNLGLRSSLEFT >OIW15685 pep chromosome:LupAngTanjil_v1.0:LG03:3662905:3664076:1 gene:TanjilG_09623 transcript:OIW15685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKRNGCPHAALASNATFLSAIFVVTLILVRLLYVVYCSGRPLSKRSSKPISTLIILGSGGHTAEMLNLLAVLQKDRFKPRSYVAAATDNMSLQKAQLLENSLVAEDGTKASDNTAQFMKIYRSREVGQSYITSVWTTLIALAHALWLMIKIRPEVILCNGPGTCIPLCAIAFMFKVLGIRWSSIFYVESIARVRRLSLSGLLLYKLRMADQLFVQWPKLQQQYPRAIYVGRLM >OIW14496 pep chromosome:LupAngTanjil_v1.0:LG03:27550932:27558959:1 gene:TanjilG_12089 transcript:OIW14496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAPDLSTCNEATPPRRSTRRRLVQSTLFPHKPPPEANQKDDKEDEHDDDYCITEKNRKKRKSKAAKTTPPKKPPKSKKQNCSPKKNGPINGVKELTLGQMLADSVQADTHVPDLRLEAKISAEENSRMYAGREMHPLFAPRKVNKRVQDVAESGSNFSTVERAVERITCGPIHVFENIQDDTSLLDWGEWTFLGKTTYVNCSPESSNSFVLEGSVESLNFDKLNSPSDPTGASLSQTALPSSDQPSIQPENLQEISPSNSTLQVNEQTDVEVDISATFSGQDDIFGESHVKPSSRFLQESMKSYYHSEGKTKDCLWTYKYKPTKAIEVCGNDEAVNFLRDWLHQWHERRYQSRKDSSIRDKIDIQDDDDYICSGSDYDSEDINKEDSLQNVLLITGPIGSGKSAAVYACAEEQGFEILELNASDCRNGIAVKQYFGDTLGSHGFKRLLEHTASSQNKTTKLSSSPALPNGKASDKMDDGVVELITVSDDEAHNLCGTSQKLLGKNNVFAVQTLILVEEIDILFPEDRGCIAAIQQIAETARGPIILTSNSDNHGLPDSFDRLHVSFSLPSPKELLCHLYKVCVTEGVNAHPLLLEKFIQSCDGDIRKTIMHLQFWFQSERFRKDGKVQTIYGSLPFDLEVAHQILPKIMPWDLPSELSEKIENEIAKSISIMEENSSLQGLVKEELQIHERVNDLDVQFGKTDYIEAMKMEMIKRNGSITDYSEFEIQYNAISEFSNCSGSPLASSKQHGQRKLVVMSSDSEDEDPNNGLPFDKARKRQSLEDNNESSSEIKLSENYSRASFHKLVCSELEDSEEEHFKFSETADDTCLNETCRSLDISCVPESTFVPETVIENEIDTMSEAVSSGHLVGPLQVSTNKKLIPSTFSSRKRLKKMAQNSDLLMNTEIQGSFSKDVQYFLDEKIETKIANVMDECSCVGFTPNSKFVEPIPSIETDVVQKLWKEFRDCRMDLRQHSNSEEAGAIQLFNLAGGLSNLISEADLLHNHQHKLCDIMESPMFLSDQVASSGHDEQMMMSTFAEHGFCFYAKQIADMRLKLGHENKFDLTSEMLASTTNVMALGKLSRQDPSKSTNIYTEKQLEMNNPISHMQKSENRTSLSNVIQSIVPARISLSLRDVAINEYLSSLRRISRSEASRISESVQMKRRGRVRGFQHYLSRCTMLSPEDIAFVSESDLYRKISSLCPAEVENNHA >OIW15333 pep chromosome:LupAngTanjil_v1.0:LG03:14645839:14646273:1 gene:TanjilG_10773 transcript:OIW15333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein HVGIDINSLQSNPSVTAGYYINESTKRNLTFKSGKTILAWVDYDSSQSLISVTISRTSSKPKKPILSFVMDLSTIFHDTLYVGFSASTGLPASSHYIMGWSFKMNGPAQTLDLSSLPQLPGPKKKQTSMIIWVSIIALGLSKSA >OIW15334 pep chromosome:LupAngTanjil_v1.0:LG03:14653961:14656800:-1 gene:TanjilG_10774 transcript:OIW15334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYVLRVRLASFFAGAATASFGALYILHQDYKVAHKSFKQQIKDHHESLDSRISSLEKLKQTETPQQVEATE >OIW15890 pep chromosome:LupAngTanjil_v1.0:LG03:1362719:1367854:-1 gene:TanjilG_04425 transcript:OIW15890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYLLGAFKPACNILITFNDGGNRKQVPLKKENGQTVMIPLFQSQENIAGKITIEPMQGKKIDHHGIKVELLGQIEMYFDRGNFYDFTSLVRELDVPGDIYEKKTYPFEFSTVEMPYETYNGVDVRLRYVLKVTISRGYAGSIMEYQDFVVRNYSSPPSINNSIKMEVGIEDCLHIEFEYNKSKYHLKDTIIGKIYFLLVRIKIKNMDLEIRRRESTGSGTNTHVETETLAKFELMDGAPVRGESIPIRLFLSPYELTPTHSNINNKFSVKYFLNLVLVDEEDRRYFKQQEIIIYRQEETS >OIW15139 pep chromosome:LupAngTanjil_v1.0:LG03:20533467:20535292:-1 gene:TanjilG_14138 transcript:OIW15139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFHLLDKAKNYVAEKFANVPKPEASVTNVAFTCVSLEGAEYLAKVSVKNPYSTPIPISEIDYSLKSNLWEIASGTIPDPGSLKANNTTMVDVPVKVPHNVLISLAKDIGADWDIDYQIDITLIIDLPVLGNFTIPLSHKGEFKLPTL >OIW15272 pep chromosome:LupAngTanjil_v1.0:LG03:15107423:15111314:1 gene:TanjilG_08069 transcript:OIW15272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYAETGLFFPYLQNFSQELHQLEEYCKTQKSNVSMNDLVQSSAMAEYDFAAEGDLFKAPEPIIEEPFMDLDPMTAAISMMSCGEGVSSQGLQPTDIDVLQKEQLLSDMLYECEKDLLEKAAIESPFSEILEIKAPALNTDEYSIQEDKPFPDMPKSVSSVSLSSMDWMYGAAIKPAFLDFPGIDFNSDYGMRRSFSEGDIKTLGNGNLNIVQSPRERPFLISNCTSEERQEKLSRYRNKKTKRNFGRKIKFPNSTNDILRFQYACRKALADSQPRIRGRFAKTEESDVKRQ >OIW15171 pep chromosome:LupAngTanjil_v1.0:LG03:19706407:19710615:-1 gene:TanjilG_21147 transcript:OIW15171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMISSIIFWIRDLLECMCGCFGCCTKLTPIKAVDEVTNGRKIQGQTVKKPTTSDDFWSSSTHDVDNSTVQSQRSIQSVSTLNQILGTSMEGNDHEFVNRGLLLWNKNRLKWIGSDRPKNQTQQMQESRLSANASYETLLGTRRLFPKPIPLSVQLLENFNSVRRCLCQASSSIVRDMTASALDLAISFR >OIW15455 pep chromosome:LupAngTanjil_v1.0:LG03:10161357:10161812:-1 gene:TanjilG_28654 transcript:OIW15455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLTILIALLAALVLVVHTNAYHSSEQSCEKQPQQLRLRHCERYIIQRVYQQPEDEEEDEDHVQIHRGINHVIRHTRSGEESEESQELEQCCDQLNGLNKRCQCRALQQIYENQSQESEGREEEELLEQELEKLPSTRGFGPLRACDINL >OIW14563 pep chromosome:LupAngTanjil_v1.0:LG03:26837757:26840332:1 gene:TanjilG_14949 transcript:OIW14563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATFELYRRSTIGMCLTETLDEMVQNGTLSPELAIQVLVQFDKSMTEALETHVKSKVSIKGHLHTYRFCDNVWTFMLQDALFKTEDCQENVGRVKIVACDSKLLTQ >OIW14915 pep chromosome:LupAngTanjil_v1.0:LG03:23975324:23976015:-1 gene:TanjilG_30634 transcript:OIW14915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDEEDLSMVSDASSGPPHYFDEDDQRFCVDWYPSISKYNKENEKKKRVKEYGKIQQLSLLHDPASSPVLNCSKASVIATTWNGATENAFDYSRSLSSTRIKIKPKFQKHFSFFKPSLDGKNASEEQGGLDEEEERK >OIW15480 pep chromosome:LupAngTanjil_v1.0:LG03:7000397:7005130:-1 gene:TanjilG_32884 transcript:OIW15480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTSSAEDEVGVAESPQVAYCGRFMFLKKFYHSGHASPKFTVSRRELSSQADISSTKDEDDLEDGFSELETPAAADGGENVLIHDTDSSDESEDVEEPQNELELSDTEIDDSTEKKSHPVKAESELFKEIISAPPLSVSLVLDKWIEEGKELSRQEISLAMLNLRKRKMNQRYSVRASCVKLMPTSSAEDEVGVAESPQVAYCGRFMFLKKFYHSGHASPKFTVSRRELSSQADISSTKDEDDLEDGFSELETPAAADGGENVLIHDTDSSDESEDVEEPQNELELSDTEIDDSTEKKSHPVKAESELFKEIISAPPLSVSLVLDKWIEEGKELSRQEISLAMLNLRKRKMYGRALQLSEWLESNKQYEFVEKDYASQLDLIAKFRGIQKAESYIESIPESFRGEVVYRTLLANSISQNNLKKAEEIFNKMKELEFPLTQFVCNQLLLLYKKRNEKKKIADVLLLMESENIKPSPFTYKLLIDAKGQSNDIPGMDLIVESMKAEGIEPDIGTKAVLVRHYISAGLEEKAETVLKEMEGENLKKNRWVCQSLLPLYAILGKADEVGRIWKICESNPRIDECLAAIEAWGKLKKIDEAEAVFEMISKKWKLSSKNCSVLLKVYANHKMVTKGKDLIKRMADSGYRIGPLTWDALVKLLIEAGDVEKADSILQKAVQQSQMKPLFPTYIAILEQYAKNGDIHNSEKIFYRLKQAGYTSRARQYQALMQAYVNAKKPAYGMRDRLKSDKVFPNRSLANLLVQVDGFRKNPVSDLLD >OIW15370 pep chromosome:LupAngTanjil_v1.0:LG03:13512592:13514836:-1 gene:TanjilG_26743 transcript:OIW15370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTSTYPLKALCCSIEKELIETSSLLRVDLSGGYYDAGDNVKFNFPMAFTTTMLSWSTIEYGKRMGSNIKEARDAIRWGTDYLLKCATSTTGKLYVGVGDPNVDHKCWERPEDMDTSRTVYWVSSKNPGSDVAAETAAALAAAYVVFRKVDPTYSKMLLRTAKNVYQFALQYQGSYSDSLGSAACPFYCSYSGFKDELLWGAAWLFRATNDVKYYNLVKSLGADDQPDIFSWDNKYAGAHVLLSRRALLNGDKNFEQYNQEAENFICKILPNSPYSSTQYTHGGLMIKHPDSNLQYVTSITFLLTTYSKYMAATKHTFKCGNVVVTPNTIRNIAKRQVDYILGENPLKMSYMVGYGPNFPKRIHHRGSSLPSIAAHPQRIGCQGGFDSFFYSSNPNPNILVGAIVGGPNQNDQFPDFREDYSHSEPATYINGAFVGPLAYFAGIH >OIW15871 pep chromosome:LupAngTanjil_v1.0:LG03:1237254:1242306:-1 gene:TanjilG_04406 transcript:OIW15871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVEVGFNKRRAEGRDNNDAFKKNLHLKVRKLNPINTISYVQILGTGMDTQDTSPSVLLFFNRQRFIFNAGEGLQRFCTEHKIKLSKIDHIFLSRVCSETAGGLPGLLLTLAGIGDEGMSVNVWGPSDLKYLVDAMRSFIPSAAMVHTKSFGPNFSTDESAVLSRSKLLDPIVLIDDEVVKISAIIVQPNCFECKLPIPPNSRAHERMDHSPETLDSPNGIRQSAAKPGDMSVVYVCELPEIMGKFDPEKAKALGLKPGPKYRELQLGNSVKSDCQNIMVHPSDVMDPSLPGPIVLLVDCPTESHLETLLSVQSLTSYCGPEGNMPDAGKSVTCVIHLSPASVVSCSNYQKWMKKFGSAQHIMAGHEKKNVEIPILQSSAKYATRLNYLCPQFFPAPGFQSLQNRSLASSEGSFSEFSEVISAENLLKFTLRPYSHLGLDRSCIPSTVVSSEIIDGLLSEIPEIVEAAQHVNQLWQQSSQRKDDLVPMSDYKMMIEEPLQCADGVPACLENIRRDDLEIVLLGTGSSQPSKYGVSGADDAVRALRCIWISHIHADHHTGLARILALRRDLLKGVPHEPLLVVGPRQLKRYLDAYQRLEDLNMLFLDCKHTTTASLDAFEDSSLGTLVDSQDLNNNNDKDAVSPVLKKFKGVIHEAGLKSLISFPVIHCPQAFGVVLQAEERSNSVGKVIPGWKIVYSGDTRPCPELVEASQGATVLIHEATFEEAMVEEAIAKNHSTTSEAIEMGDSANAYRIILTHFSQRYPKIPVFDESHMHKTCVAFDMMSINIADLPILPMVLPYLKLLFRNEMVVDESDDVVDAVAPAS >OIW15549 pep chromosome:LupAngTanjil_v1.0:LG03:5597833:5599354:1 gene:TanjilG_01072 transcript:OIW15549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAPCCDKENVKRGPWSPEEDATLRSYIETHGTGGNWIALPRKADLRRCGKSCRLRWLNYLRPDIKHGGFTEEEDNIICTLYSQMGSRWSAIASKLNGRTDNDVKNYWNTKLKKKLMAGKVCIKTLTDNNTLTSTPLLTQNSDFHASQKQNSIPNLSTDACSEFNISGKNMSFGLINQLFNPEVGSSSRNNNNNPLMSLSQEGSSISGSSSIALDNKCVLLPEHSSDHESTEMLIDFGFEFPCDLINGLDCNESEFSLSGYPEWVDFSFVDIKP >OIW15292 pep chromosome:LupAngTanjil_v1.0:LG03:13853590:13857287:1 gene:TanjilG_10732 transcript:OIW15292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEGESFQLGTIGALTLSVVSSVSIVICNKALMSSLHFIFATTLTSWHLLVTFCSLHVALKMRFFEHKPFEQKAVMGFGILNGISIGLLNLCLGFNSVGFYQMTKLAIIPCTVMLETLFLEKRFSKRIQFALGILLLGVGIATITDLQLNALGSLLSLLAVITTCVAQIMTNTIQKKFKVSSTQLLYQSCPYQSGTLLICGPFLDKFLTNQNVFAFKYTTQVTFFIILSCLISISVNFSTFLVIGKTSPVTYQVLGHLKTCLVLAFGYSLLQDPFSWRNILGILIAMIGMILYSYYCNLENQQKAVEAAAQESQAREDESDPLINVEIGSTVATDTVGQKLQTWNKDKD >OIW14902 pep chromosome:LupAngTanjil_v1.0:LG03:24143676:24144938:-1 gene:TanjilG_30621 transcript:OIW14902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWWWAGAIGAAKKKLDEEEAPPTSFQNVGLVIGVTGITGNSLAEILPLADTPGGPWKVYGVARRPRPSWNADHPIQYIQCDISDPNDTQSKLSVLTDVTHVFYVAYTNLPTEAESCQVNGDMLRNVLRSVIPNAPNLRHVSLQTGCKHYIGPFELIGKIKSHEPPHTEDLPRLDAPNSYYTQEDILFDETEKIEDLTWSVHRPQIIFGFSPYSSINVIRNLSIYAAICKHERVPLKFPGTKDAWECYAIASDADLIAEQHIWAAVDPYARNEAFNCSNGDLFKWKHMWPVLAEQFGIEDYGFEEGSKLKLSEFMKDKGSVWDEIVKENQLLPMKLEEKL >OIW14784 pep chromosome:LupAngTanjil_v1.0:LG03:25014802:25018724:-1 gene:TanjilG_05405 transcript:OIW14784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDVSDATTITTTTTATTTKKKKKGRPSLLDLQKRSLKKQQNHNSHSNLHHQNDDDDERTQKKQKLLIRLNSHHSFNSTTTPSNTRNIHHQTDGKVLKAIESKDGSQCESGPTTPLPDKKLLLFILDRLQKKDTHDVFSEPVDPEELPDYHDIIKNPMDFGTVRNKLDGGLYANLETFENDVFLICSNAMQYNSPDTIYHRQARAMQEIAKKDFENLRQETDSDSEPQPKPQHKIVQRGRPPGKNIIKSLTLSPSDRVGPESSSDATLASGRDIASGSNVYNLRKALSKFQPADSSVRASHSNLNSGGYTNWSYDWENEFPASVLKAVLRYGKKQSVVDETKRDTYNNPVAFRNQPPLLATVEDELKQLLAVGLHVKHSYARSLAHFAADLGPVAWKIAARKISSVLPRGHEYGPGWVAEDNASQKQHFPACDDEKTSGPPVPEDYKSSFLSPSGSLPVENRPFLQSGDMVMNREFNYQNDSNPVNNAGGGIESMVPLRMQQESMVRSDDFGSNCRPGSNFSPQMKMVRLADLTGSTSSGNVPQMLDMDSISSHMAPANINQPLRGQFLNNPSQLHSINLLPPESGFESQSLSQGLAGKPSWQGMEVPTNQSSFPLANDLNRKIVATNSGSSNVENGSQRQPNLALQL >OIW15888 pep chromosome:LupAngTanjil_v1.0:LG03:1355411:1357555:1 gene:TanjilG_04423 transcript:OIW15888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADENGVKPILLKFGLALTLSFAGFIYSRIKAKIVKSSTPKECSSDHESEVNPGGGVKAALSTCNTLSEEETRTDRVISDNSHIGISHSSEQNGEKDEFLLLEFHDLVKELDFGEDVEVPRPKVGSSIEYASHEKGHLGNIRMLQDREENLEVQLLEYGVLKEQQAAMMELQNRLKLTNMEAKMFNLKVKSLQSENRKLEEQVADHRKVLAELEAAKVNVELLKMKIRHEGERNREQLVDLQQKVAKLQEQEYKDAACDQDNQIKLQKLKELESEAEELKKSSLRLQMENSDLARRLDSTHILANSVPEHPEENVVKEESERLRKENESLMKEIEQLQANRCSDVEELVYMRWINACLRYELRNYQPPPGKTVARDLSKSLSPTSEKKVKQLILEYANADDGPGNVVDFDLDLWSSSQGSILSDSGEECDGFSSLDNSSPARTNTSSKTKIFSKLRKMIQGKDGHHRRHSQVSSQEKSISQEDIHSPHIWPSTSTRNDTGAEGLWSEFPTPAATSRTSFEPSRLTSWKEGHRRNSDSIVMGNSYKFGQRERVSFSGYSGLEKSDLKKYAEALKDSSVSAKHQRRRRSASHS >OIW15224 pep chromosome:LupAngTanjil_v1.0:LG03:17564129:17580042:-1 gene:TanjilG_08816 transcript:OIW15224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRTRCFLDISIGEELEGRIVVELFNDVVPKTAENFRVLCTGEKGIGSNTGVPLYFKGSCFHRVIKGFMIQGGDISAGDGTGGESIHGLKFEDENFELKHERKGMLSMANSGPNTNGSQFFITTTRTSHLDGKHVVFGKVVKGMGVVRSIEHVTTGDDDRPTLDVKIVDCGEIPEGEDDGISNFFKDGDTYPDWPADLDESPNELDWWVNTVDSIKAFGNEYFKKQDYKMALRKYRKALRYLDICWEKEGIDEEKSSALRKMKSQIFTNSSACKLKLGDIKGALLDTEFAMREGENNAKALFRQGQAYMALHDIDAAEESFKNALTLEPNDAGIKKELAAARKKYWVSQGNKWCDFCKIYISNNPSSIRNHELGTRHKDSVSKRLATMRKENAAKDKEQKETARAVEQIEAKAQNSYKKDKAKLEETRESHELDDQEWEYDSSSGYYYHKTNGFYYDPKSGFYYSDAIGHSGPTAKKTLSTSQSRSVVNNVANKSEIGSSPGPVVRASLNPLRNVKAAPSSLAVGKRKRPDEKSKVISEEEKAALKAREAARKRVEEREKPLLGLYNKPY >OIW15385 pep chromosome:LupAngTanjil_v1.0:LG03:12228256:12229236:-1 gene:TanjilG_18306 transcript:OIW15385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEIPVAAVAEEGKMNGGGTLSLNPSEPTGSKRQRRPSVRLGDIGGDNNYDSHPRRSTKPWKFGFEHHRRDSKNISGKPSKTRPLTNLSSAEFGGETLDGGHGDRDAPANADNVVIGSWKGKDSKKRSSSTAPMKRGRLNWVSRKEDGGGGDGEDKFFSGGGEGEDKFFSGGDEDVDDGYRNFDVENSESLKEHSPVNSIENLGVDGNDRELNYQGNRRSIRVRVSEGRENHDGIELSGPSDDNVRDRSGNNGGGGSRGRYGEDGVRIWLNGLGLGRYAPVFEIHEVDDEVLPLLTLEDLKDMGINAVGSRRKMYCAIQKLGKGFS >OIW14833 pep chromosome:LupAngTanjil_v1.0:LG03:24751802:24752466:-1 gene:TanjilG_17058 transcript:OIW14833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTKQTARKSTGGKDPRKSLAFKAARKTTPTTCGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDYKSDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDMHLARRIRRERA >OIW14398 pep chromosome:LupAngTanjil_v1.0:LG03:29603114:29605073:-1 gene:TanjilG_15752 transcript:OIW14398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDEEGGKRKRKAVIVGGSIGGIASAHALMKSGCWDVIVIEKTTSPPTGILTGAGLGLDPIAQTIIQSFLSHPQLLHNSTLPLTTDQNQVTDGHKVSRILTRDETYNFRASHWAHLHTLLYNELPPNIFLWGHFLLSFKVSDDKASVIIKAQVLKSGEVIQIVADLLVAADGSLSSIRHKYLPDFKLRYSGYCAWRGVLDFSEIESSDTITGIRNAYPDLGKCLYFELSSGTHSVLYELPNKKLNWIWYVNQPEPEIEGNSVTKKVSSDMIQNMHQEAEKVWIPELAKVIKETKDPFLNFIYDSDPLENIFWDNVVLVGDAAHPTTPHCLRSTNMSILDAAVLGKCLEKWGSEKLESALEEYQSIRLPVTSKQVLHARRLGCIKQGLVLPDREPLDPKSAKPEDCQDLVQRNTPFFSDVPLLIAQIPSSI >OIW14562 pep chromosome:LupAngTanjil_v1.0:LG03:26841674:26857837:1 gene:TanjilG_14948 transcript:OIW14562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGSSNNSMVAPPRVLHFNLIEGMQLKHGQIYMLIIELRDPNIHAIEFVLKDGSRDRWLKLNHGNFRIEIPASDPPLISHSDFSIPKELIESKAYSLWESKGRPKSTPQQQKQHYEDALRELQNQLSKGLALNELRNSYPTEGVKPVTDNNDQLRSSMLYSSYQRRYNVEEWLQKHSEEHAKRTNLSTTALINLIENTIGGKDVIPRQMFNVNNYEIVVFSKIINGDSHIYIAANTKGTTVLHWGVSKLSPSEWLVPPQEIWPENSKLVSGACQSYFRDKFTENGSFQVVDVNLQKRNFAGIQFVIWTSGLWIKNNGANFFADFKLTSPTEKFNIDAKEVVSWLLDEISRREKEAERSLMHRFNIATELTEHCKTEGKLGLIGILVWFRFMACRHLTWNKNYNVKPREISEALDRFTKLLQVIYLNQPSDREIVRLIMMCVGRGGQGDVGQRIRDEILMIQRNNDCKTAMMEEWHQKLHNNSSPDDVIICEALLNYVRCSFSIDAYWKTLNANGLTREKIASYDRPIVSEPHFRTDMRDRLIHDLTSYLKILKAVHSGVDLEYSIDVCLGSSFKENLNFVKAHFGDTNIGPLLEKLLESRIELRPILLTSHGRLKDLLFLDISLDSAVRTTMERELKAVNFANPQEVLFLFSLILANICLSTVNNEDFIYCTKDWHRICESYTSGDSQWALQTKAILDRLQLVLAERSHHYQKNIQPSAEYLGNLLGVPKWAIDNFTEELIRSGCSAILSILINHFDPILRKVANLGCWQVISAVEVSGFVTFVNELMTAQNKVYGRPTIIITSKITGDEEIPERVICFATCFDQNVFRDLKSKEGKAISIRLKSNNLVVSEIKSPTPSRSSIFSFFMYPRVTLKRKSFCGKYAASLEEFGGEMVGAKSCNIKILHSRLPSWIKIPMSVALTFGSFEAALKDKVNQDVANKIASLCKSVRYGDLSKLKAVQEGIMEMNVPPYMIYELEQKFRSSRLPWPSDEGNEKWNLAWQSIKKVWASKWNERAFLSCQKAKLNHENICMSVLIQEVICADYAFVIHTKNPLSGDTSEIYAEIVKGLGETLVGAYPGRAMTFIVKKTNLKSHMITSYPSKLIGLYSKKSIIFRSDSNSEDLEGFAGAGLFDSVIMDKVDKVVLDYSKDPIITDKAFQTSLLSRIAEVGKIIEDLYGFPQDIEGVVKDGTIFVLEVHRVIGFGGENDNVMLTGALEIVRETSSLRFLVDIFTSKKYVSATNEAIIPLANSVEELLWHVSSLRSTGVDIIIEIIHKIASFGGCNDTGSSGKANEGSAMDTDSEDKENESYSCYVGTTGSSAEGTSDEQFVPLCIFHLMVLVHRTMENSETCRVFVEKSGIEALLKLLLCPAITQFSDGMSIALHSTMVFKGFAQHHSTPLARAFCSSLREHLKRTLTGFGAASGPLLLDPRIITDSSIFSSLFSVEFLLFLAASKDNRWLNALLADLELLVKMFLKILGRCTVKFCGNLLYLKIRNLILRMIVPVLPLIHSRQK >OIW15192 pep chromosome:LupAngTanjil_v1.0:LG03:17842145:17842843:-1 gene:TanjilG_00322 transcript:OIW15192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSENLSTIESWAFRPNLSDTWFTEYIARESKTITKAFHNSISPNDAVSVSPFLNLVNHDVSTATAPTTSTVSGISGGTDQESAPKRRAIGATGKVTKRKSRASKRSHTVFITAEPANFRQMVQQVTGVRFRSSEMVTMVPVLKPEPQRVTGGVVVGGHCLPTLDTSAFLLDHQQQQVVGPNSAGTGPGFCGVGPLSFGSPIGMVDGSFGTSDLDFDTFSNFPTLESWKVM >OIW16023 pep chromosome:LupAngTanjil_v1.0:LG03:2460285:2460524:1 gene:TanjilG_04558 transcript:OIW16023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAQNSVQTSPLAYCLLYRNQQALQQFQHTPVHTLDHENLFKACSQIASSCIPLIWRQMRCQSLSKEFSFSFRRSHTAH >OIW15658 pep chromosome:LupAngTanjil_v1.0:LG03:3800135:3808425:1 gene:TanjilG_08414 transcript:OIW15658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDKAGDDSFWVEEIDGKAQNPIRKRRKHRKQKKEFDGWGSTLLIGFLRSIGRDTSNELTQSEVTDIVNEYVKQNNLISAMKKKRVVCDQRLHLLFGRKTIGRLKINDLLESHFAENRDKSDDDIFFNSDDEEDAVGTSQTPKSASSERKSQPKKLAREKQKSCFAAFIPFNIKLVYLRRSLVEELLKNHETFETKVIGGFIRIKCDPNDYLQKNSHQLLQVTGVKKGSGISTGILLEVSGFFKDVSINMLSDDNFSEEECKNLHQRVKDGLLKKPMIVDVEKTARALHEDMTKHKREKLQNPVEQERLLREIPQAIAEDLESESPTPDVPEDGELESPTPYVSDKRVENNSQELRKSTRKQASLVTEVPKAVEGGFLRKATKPDVPEDLELESQTPVLPDKRVENNLQELWKTTSKKAYLVSESPKAIADDFLLKATKLDIADLVIKEEKNSPKSTSGLRGASKVPPFNMEMNSTVLNVISRGTAAVHQSPIMPLQQEPMQHIDLNDDASNADKSNETKISKGSEHRSVKPSQSNVIELSDDDEGEKPKTTIQVPAEVLESLNWYYRDPQGAVQGPFSLTSLKRWSDGNYFPPNFMVWKAGQSQFESELLVTILHQFFPS >OIW15601 pep chromosome:LupAngTanjil_v1.0:LG03:4226363:4228101:1 gene:TanjilG_08177 transcript:OIW15601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSLFTMPLIKVIFLVLTFLSTATFSKANPGFHFGWNGHGGNRDISFGLSPQFYQFSCPQTNDIVMSVLEKAISKDMRIAASLLRLHFHDCFVQGCDASILLDNSAKIVSEKNSKPNKNSIRGLEVIDEIKSKLEEACPQTVSCADIVALAARGSTVLSGGPNWELPLGRRDSKTASLNGSNNHIPPPNATIEKLITFFKRQGLDEVDLVALSGAHTIGVARCATFKQRLYNQNGNNQPDQNLEKSFFFDLKNVCPKSGADNRISPLDFGSPSMFDNTYFKLILRGKGLLNSDEVLLTGSVKETQELVKKYAQDESLFFEQFAMSMTKMGNLRPLTGFNGEVRKNCRHVN >OIW16067 pep chromosome:LupAngTanjil_v1.0:LG03:2887625:2890553:1 gene:TanjilG_04602 transcript:OIW16067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTLSFFLLFTLITSSLSTVRSDPSNHRYKQGDLVPLYANKVGPFHNPSETYRYFDLPFCLPGDLKEKKEALGEVLNGDRLVSAPYKLEFQQDKESVAVCKKTLKKEDVALFRSAVRKDYYFQMYYDDLPIWGFIGKIDKERKDPSDYKYYLYQHIHFDIFYNKDRVIEINVRTDPNALVDLTEDNEVGVEFLYTVKWKETNTPFDNRMDKYSKSSSLPHHLEIHWFSIINSCVTVLLLTGFLATILMRVLKNDFVKYAHDEESAEDQEETGWKYIHGDVFRFPKYKSIFAAALGSGSQLFTLTVFIFILALVGVFYPYNRGALFTALVVIYALTSGIAGYTATSIYCQLEGTNWVRNLLLTGCIFCGPLFLTFCFLNTVAITYNATAALPFGTIVVIVLIWTLVTSPLLVLGGIAGKNSKAEFQAPCRTTKYPREIPSLPWYRGTIPQMAMAGFLPFSAIYIELYYIFASVWGHRIYTIYSILFIVFIILLIVTAFITVALTYFQLAAEDHEWWWRSFLCGGSTGLFIYAYCLYYYYARSDMSGFMQTSFFFGYMACICYGFFLMLGTVGFRAALFFVRHIYRSIKCE >OIW15113 pep chromosome:LupAngTanjil_v1.0:LG03:22056299:22059653:-1 gene:TanjilG_08600 transcript:OIW15113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDFQLPQVVQSLKAQAWSDEDLLEALNSLEEGLKDNIKKLSSFDKYKQEVLLGHLDWSPMHKDCLFWRENITNFEENDFQILRVLITVLDISNDPRTLAVVCFDLSQFIQHHPSGRLIVADLKAKERVMKLMNHENAEVTKSALLCIQRLFLGSKYASFLQA >OIW15197 pep chromosome:LupAngTanjil_v1.0:LG03:16502788:16509149:1 gene:TanjilG_08789 transcript:OIW15197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFPTHSTTHCAWTTLTYLHPFTTLPSSSSSSSTSYNSLFLKRHRFVPCSLHRQSSSTSGKPVIVAVNPDSEDDTQPTQNPTRLNENPTQISKGRPILKSLFGKRFLLPRIHFASKKVKSIVLLNGITLVYGNNNFPCVIGFRLCVIIMRTSNIPIVKEVEQISSPAAFTAVRFAVAAIPFIPFVLRGWRDSHTRNAGIELGFWVSVGYLMQALGLQTSDAGRASFITAFTVIVVPLLDGILGAVVPMRTWFGALVSITGVGMLESSGSPPSVGDLLNFLSAVFFGIHMLRTEHISRSISKEKFLTLLGYEICVVALFSAAWYLVGGCINGTLHPLTSTWTLTMLRNWMVGFPWIPAIYTGIFSTSLCLWAEIAAMRDVSATETAIIYSLEPVWGAGFAWFLLGERWGPTGWIGAALVLGGSLTVQLLGASSDSNEDNKQSKEVDTLIVSGKEKAVNKVLPGPLTCHPCVDMMRPCLTAVSVKLHDPVNKMTQLCHPTLNPDPYYAYSLIEESPYFWRNLFLL >OIW15427 pep chromosome:LupAngTanjil_v1.0:LG03:10630064:10648981:1 gene:TanjilG_12281 transcript:OIW15427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKLLLLPSTPHLTSFQRSHSLSFSSSIPFQSQFSHTLPRHLSLRAFNSSSSSSFSDPKSKEEENILGKGEEEENGINNKSDEDYPSGEFEFEPITGWRNFLVKLKMLVALPWERVRKGSVLTMKLRGQISDQVKSRFSPGLSLPQICENFLKAAYDPRISGIYLHIDSLNCGWGKVEEIRRHILNFKKSGKFVVAYVPTCQEKEYYLACACEEIYAPPSAYFSLFGLTVQASFLRGVLENIGIEPQVERIGKYKSAGDQLARTTMSEENCEMLTALLDSIYTNWLDKVSSAKVARVTVHVLQVIALLKERLGVKIDKNLPMVDYRKYSRVRKWTVGISGGKDLIAIIRASGSISRVDSQFSVSSSGIIAEKFIEKIRSVRESKKYKAAIIRIDSPGGDALASDLMWREIRLLAASKPVIASMSDVAASGGYYMAMAAEAIVAESLTLTGSIGVVTGKFNLGKLYEKIGFNKETISRGRYAELFAAEQRPFRIGLLPLKDRAIDTKRKERGISWLESASAERKGEKKAENLLNVDKMEEVAQGRVWTGKDAASGGLVDAIGGLSRAIAIAKSKAKIPQDRKVTLVEISRPSPSLPEILFGVGNTFAGVDRTLKELLQGLTFSDGVQARMDGIMFQTLEGYPNANPILLIIKDYLSSL >OIW15130 pep chromosome:LupAngTanjil_v1.0:LG03:20358010:20361485:1 gene:TanjilG_14129 transcript:OIW15130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERRVAIIGAGLSGLLACKYILEKGFHPIVFEAEDNIGGLWRHTIASTKLQSDKASWEFSDFPWPSSVTEENPDNVQVFDYLNSYAKHFGIIPCIKFNSKVLDIDYVGDSNEEILSWDLWGGAGTPFGSKGKWHLTVQDTNNLSTEVHEVEFVVLCLGKYSGIPNIPEFPEGHGPEVFKGKVLHSMDYSNLDNKAAAELIKGKRVTIIGSQKSATDIAVECANTNGSKHPCTLVQRSKHWFLPHLFVWGISIGYLYSNRFAELLIHKPQESFLHSLLATLLSPLRWTISKVVESYLKWALPMKKFGLIPKQSFHQDISSCEIAMLPQKYYDKLEEGSILVKPSQGFRFVEEGVLINGDSAPIESDLVIFATGYKGEEKLKGIFKSPIYQKYINDQPVPTIPLYRQIIPPKIPQLAVIGYAETLSNLYANEIRSKWLAHFLDGNIKLPSIKKMGDEIQAWRDYTKLYSGKYYWKTCIFTCAIWYNDQLCKDMGCNPKRKKGFIAELFQPYGPSDYATLRP >OIW15082 pep chromosome:LupAngTanjil_v1.0:LG03:21474556:21480366:1 gene:TanjilG_08569 transcript:OIW15082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVVDPIITLFFFSLLLYPFSIFSNPTCKFTFLDSNKLYNYTLSSPIRNFPHGILSEDGFYKVAVNETTLWFQLCDGMVFNHDPPTCTDCRDCGGPTRCGMECSALVANNVGGYHICSTLGRGSKVDVDVIDKKNPHTGVIVKMSSGDPKNNCSLAVSVLCDIKGVQGPHTLQRLGDCNYATELKHPSGCAIIVDVHGRGWGWFGTLITIVLCLLAAYLLVGIAYRFFFLGIRGIEVIPNLDFWVSIPRRTQSLCGSLVRKFKGPSQGHRSTYSPVNF >OIW15672 pep chromosome:LupAngTanjil_v1.0:LG03:3554829:3558905:-1 gene:TanjilG_09610 transcript:OIW15672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARQAVTKLISSIASRRHNIRHFTAAPSSPAVFVDKTTRVICQGITGKNGTFHTEQAIEYGTNMVGGVTPKKGGTEHLGLPVFNSVAEAKADTKANASVIYVPPPFAAAAILEALEAELDLIVCITEGIPQHDMVRVKAAINSQSKTRLIGPNCPGIIKPGECKIGIMPGYIHKPGRIGIVSRSGTLTYEAVFQTTAVGLGQSTCVGIGGDPFNGTNFVDCLQKFLVDPQTEGIILIGEIGGTAEEDAAALIKESGTEKPVVAFIAGLTAPPGRRMGHAGAIVSGGKGTAQDKIKTLREAGVTVVESPAKIGAAMLDVFKQRGLVE >OIW15470 pep chromosome:LupAngTanjil_v1.0:LG03:6694375:6694924:-1 gene:TanjilG_32874 transcript:OIW15470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAPPKSDVPVITPNELSEADGFVFGFPTRFGMMSAQFKAFLDATGGLWRTQQLAGKPAGIFYSTGSQGGGQETTALTAITQLVHHGMIFVPIGYTFGAGMFEMEQVKGGSPYGAGTYAGDGSRQPTELELQQAFHQGKYIATITKKLKEAA >OIW15714 pep chromosome:LupAngTanjil_v1.0:LG03:212712:215786:1 gene:TanjilG_04249 transcript:OIW15714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDLLTDSFVGEVNNGQTSRQGDIEMGSQVQRSNSDMGMEAFNKQIHEADKQIDKLSVLLQKLKEANEESKAVTKAAAMKAIKKRMEKDIDEVGKIANGVKTRIEAINKDNLNNRQKPGCEKGTGIDRARMNMTNASTKKFKDLMTEFQTLRQRIQDEYREVVERRVITVTGTRPDDETIDHLIETGNSEQIFQQAILEAGRGQVVSTVEEIQERHDAVKEIEKKLLDLHQIYLDMAVLVDAQGEILDNIESQVNNAVDHVQRGTSALQSAKKLQKNSRKWMCIAIIILLIIVAVIVVGVLKPWKSSNGA >OIW15072 pep chromosome:LupAngTanjil_v1.0:LG03:21362121:21363425:1 gene:TanjilG_08559 transcript:OIW15072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSVRIKEAVVVTPSEPTPNCVLPLSPLDSQLFLRFHIEYLLVYKPSPGLDRASVATHLKAALAKAMVHYYPFAGRVRPASSGPSLEVVCKAQGAVFIEAFSDSYVVTDFEKASKTVTQWRPLMSLHVPDVLKGSPILVVQLTWLRDGGATIGIGINHCISDGIGSANFLNYFAELASRKSNNPKPRPVWDRHLLNPLPQKIKSTNRSIPPEFNRVQDLCGFMNRVTNCLKPTSNVFNKRKLNELKRTALHTCELNNQRFTSFEVLAAHVWRAWAKSIGFPPNQVLKLLFSVNIRTRFEPGLPEGYYGNAFVLACGETKAGELVERGIGFGSGIVKRAKERVDSEHVRRVSELVSEWRANPDSVGVLILTQWSRLGLEKVELGMGMGKPVYVGPICCDRYCIFLPVKDERESVRVAVAVPAAAVDDYNRLMNE >OIW15187 pep chromosome:LupAngTanjil_v1.0:LG03:17953186:17954007:-1 gene:TanjilG_31650 transcript:OIW15187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNIEEKKLKRKMDDEPIVDDADPQPLEALRIGSNSIVDESTSRPFKSTRTSIECDSLVKSSEPSNDREIKENIPLDHSCPYCDRKFSSPQALGGHQNAHKHERLLEKEKNKYMYGDEFGSRYSRHEYSYLTNYYQGSSPLNLYHGVHLQRPMAQIPSMSSPRLSSIAYGHHQGLHFPSTSFNAHPFGSTSSWTDRGGGGAIPSRSNFQGLNLFGPMNQTSLPIINEANQIQAHAGVRNYLEDLRVSPAASQASAEDPQASEELDLSLRLSFP >OIW15994 pep chromosome:LupAngTanjil_v1.0:LG03:2185578:2190001:-1 gene:TanjilG_04529 transcript:OIW15994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNLSNDHNHNDSFIKIPTIKFTKLFINGEFVDSVSGKTFETVDPRTEEVITEIAEATKEDVGIAVKAAREAFDSGPWPRMTGAERAKIMLKWAELIDQNLEEIAALDTIDGGKLYSWCKTVDIPAATNILRYYAGAADKIHGQVFKTSRNLHLYTLMEPVGVIGQIIPWNFPTIMFFTKASPALAAGCTVVLKPSEQTPLSALFYAHLAKLAGIPDGVLNVVPGLGSNAGAAISSHMDIDAVSFTGSTETGREVMKAAAMSNLKPVSLELGGKSPVLIFDDADVDEAVDLALFGILHNKGEICVAFSRVYVQEGIYDKFEKKVLEKAKNWVVGDPFDPKVQQGPQTSKAQFDKILSYIEHGKKEGATLLTGGKKLGNKGYYIEPTIFTNVKEDMLVSQDEIFGPVMVLSKFKTIEEAIKTANNTRYGLAAGIVTKNLNIANSVSRSIRAGIIWINCFFAFDIDCPFGGFKMSGFGKDYGLEALHKYLQAKSVATPIYNSPWL >OIW14953 pep chromosome:LupAngTanjil_v1.0:LG03:23658873:23664686:-1 gene:TanjilG_30672 transcript:OIW14953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDKGVSRNDDLMSFENKMIRAGLLASCSNRRRFDGESSSASAVAAAADDDNLDSHHKRAKVYYDFDGFHGDYNHIRSLPFQPTNNIYTCHDDFGVMFTGEENNFDSSHVKDNEGDNCDMSEVEHATIIMDLSDDLLHMIFSFLDHTNLCKAASVCKQWRTASAHEDFWKSLNFVNRNISVEQFEDICRRYPNALAVSISGPEIHLLAMKAISLLRNLEVLKLGRGHIDDSFFHALADCSMLKKLRISDATLGGGVLEIPIDHDSLRHLKLKKCRVMRITVRCPQLETMSLKRSNMVQAVLNCPLLRKLDIGSCHKLPDSAIRLAATSCPQLVSLNISNCSCVSDETLREISQTCASLSFLDASYCPNISLESVRLPLLTVLKLHSCEGITSASMAAISHSSLLEVLDLYNCSLLTSVSLDLPHLQNIRLVHCRKFTDLNLRAIMLSSMLVSNCPALQRINITSNSLQKLTMPKQGSLNTVALQCQSLQEVDLSECESLTNSVCDVFSDGGGCPMLKLLVLDSCESLTSVRFTSTSLVSLSLGGCPITNIELKCPNLEKVILDGCDHLERASFCPVGLRSLNLGICPKLNMLSIEAPFMVSLELKGCGLLSKAFINCPLLTSLDASFCSQLTDEDLSATTASCPLIESLILMSCASIGSETSDGLRSLHWLPNLTVLDLSYTFLVNLQPVFESCSQLKVLKLQACKYLTDTSLEPLYKGALPALEELDLSYGTLCQSAIEELLASCTNLTHVSLNGCVNMHDLNWGCRRGCVQMNELGAVNTPFGASSHEKIPEASEQSTRLLQNLNCVGCPNIRKVVIPDCFHLLFLNLSLSANLKEVDVTCLNLCFLNLSNCSSLEILKLLCPRLSSLFLQSCNIDEEGLEAAISNCSMLETLDIRFCPKIRSMSMGRLRAACPSLKRIYSSLSS >OIW14809 pep chromosome:LupAngTanjil_v1.0:LG03:24842021:24845989:1 gene:TanjilG_05430 transcript:OIW14809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNEMKDETQAHPLSHNDYFGEVSSSRFSLLASKDRNFLLSPNGGQKQRKLNTKYNVEGIPCLIILQPYESNKEDGAEIRNGVELIYRYGIQGYPFSKEKLEKLHEAEREKCEKQTLVNLLANQYRDYVLSHAGVTRKVPIVSLVDKTTALYFSAKWCVPCVKFTPKLISIYEKIKQELAEKGKDEDFEIVLISSDRDEASFDSYYSKMPWLALPFKDPEIKNLARHFDVQGIPCLVIIGPDGKTITCHGRNLINLYQENAYPFTNAKVELLEKLVEEEAKDLPRLVYHEGHRHDLNLVSDGNGGGPFICCVCDEQGSCWAYQCLECGYEVHPKCVITVDCVDNNVLL >OIW14757 pep chromosome:LupAngTanjil_v1.0:LG03:25168307:25169293:-1 gene:TanjilG_05378 transcript:OIW14757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVKFMPWILFLHLLCSYGDQARELAEKENKFLEFALEGGDKISTNIKDIHHEHKHAHVMDHIDPSLMVFFTLKDLKVGTRMPIHFPKRDPSNSPKLWPKEQADSIPFSLNQLPYILKLFSFSPNSPQAIAMQSTLSECETKPIKGEVKFCATSYESMLEFTHRIIGSKSNLQSFATLHQTKSSVTFQNYTILDTLMEIPAPKMVACHTMPYPYAVFYCHSQESENRVYRVLLEGDNKDKVEAMVVCHLDTSQWSPRHVSFQVLGVTPGTSSVCHFFPSDHLIWVPKLQIHGSSSV >OIW14740 pep chromosome:LupAngTanjil_v1.0:LG03:25285464:25289628:-1 gene:TanjilG_05361 transcript:OIW14740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAIRKQASKLREQVARQQQAVLKQFGAGGYGGSDNMVTDEAELHQHQKLEKLYISTRAGKHYQRDIVRGVEGYIVTGSKQIEIGTKLSEDSRKYGAENTCTSGSTLSRAALSYSRARAQMEKERGNLLKALGTQIAEPLRAMVMGAPLEDARHLAQRYDRMRQETEAQAIEVSKRQARVRETPGNAENSMKLEAAEAKLQDLTTNMVILGKEAAAAMTAVEAQQQRLTLQRLIAMVEAERAYHQSVLQVLDQLEGEMLSERQRIEAPPTPTVENSMPPPPSYEELNGIYASQTHNGSTDSMGYFLGEVLFPYHAESEVELNLSVGDYIVVRKVTNNGWAEGECKGKAGWFPFGYIERRERVLASKVAEVL >OIW14993 pep chromosome:LupAngTanjil_v1.0:LG03:23294599:23295553:1 gene:TanjilG_30712 transcript:OIW14993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSSNDLGLLLISHYLQERGFHETARTLERESRVIFNLDYFASQIREGLFDTAEEYLSAFTKASDNWMSARVIYLVKQLKLIEALYETYAQSIVEREFTQFETINPRITDEAIKLVEMRNPRSHPMLQNFHRQSMRMRVAEEVKGIIRTNPIFRGKLEYPTFQVTLQRLINMADITNGPNGRVISLFNNRYNSIGRN >OIW15196 pep chromosome:LupAngTanjil_v1.0:LG03:16469602:16472603:1 gene:TanjilG_08788 transcript:OIW15196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELYAPPCLPKFPSSLLLKSRVRVFHKTLNPSFQPFQASTFSTNLTRTRNPINISYAALVGDTNTSTPTTLPLSDSGARIGEVKRVTKETNVSVKINLDGSGVADSSTGIPFLDHMLDQLASHGLFDVHVKATGDIHIDDHHTNEDVALAIGTALLQALGDRKGINRFGDFSASLDEALIHVSLDLSGRPYLGYNLDIPTQRVGTYDTQLVEHFFQSLVNTSGMTLHIRQLAGTNSHHIIEATFKAFARALRQATEYDPRRRGTVPSSKGVLSRS >OIW14934 pep chromosome:LupAngTanjil_v1.0:LG03:23814466:23816693:-1 gene:TanjilG_30653 transcript:OIW14934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRANGVLRGAFCWDRRNLIGQASYSVGMTTLDGVHLENPSHVGEEKVGEEKVGVLLLNLGGPETLNDVQPFLFNLFADPDIIRLPRLFQFLQQPLAKLISVLRAPKSKEGYAAIGGGSPLRKITDDQALALKKALEAKGLSSNVYVGMRYWYPFTEEAVEQIKRDGVTRLVVLPLYPQFSISTTGSSIRVLQQMFREDEYLSSLPVSVINSWYQREGYLKSMADLIEKELTSFSEPEEAMIFFSAHGVPVSYVEDAGDPYRDQMEECIFLIMQELKARGINNKHTLAYQSRVGPVQWLKPYTDKVLVELGQKGVKNLLAVPVSFVSEHIETLEEIDMEYKELALESGIENWARVPALGLTPSFITDLADAVIEALPSATALYAPTSTSEDVDDDPVTYFIKIFFGSILAFFLLLSPKIITSFKNHVF >OIW14791 pep chromosome:LupAngTanjil_v1.0:LG03:24964344:24965623:1 gene:TanjilG_05412 transcript:OIW14791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSAIPKMKSSIRKPPLPTSPRRLRPRRDLHSSTPLQTPTGSLAKYQKQPTRSMEVEEPSALRPEYRTISCELSALAKMVRDEFSKADAEKANAANVEAGGVFQRGRFYDEYSARRNERLKRKKGVIVDEVKPANAHGIGLGVNFESAKKGSARKFGTIRKSVSAAYSMEMESVAETPRYMLRSRSKENKKPPLPAASSVLGDKRKIGARVGRN >OIW15691 pep chromosome:LupAngTanjil_v1.0:LG03:49555:53210:1 gene:TanjilG_04226 transcript:OIW15691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISLPYTHLDSTTLRALAGNAEGFGRFAMGGFHGPLYHVTSLSDDGPGSLRDACRKKEPLWIVFEISGTILLSSYLSVSSYKTIDGRGQRIKLTGKGLRLKECEHVIVCNLEFEGGRGPDVDGIQIKPNSKHIWIDRCSLRDFDDGLIDITRESTDITISRCHFSQHDKTILIGADPSHVGDRCMRVTIHHCFFNETRQRHPRVRYAKVHLYNNYTRGWGIYAVCASVESQIFSQHNIYEAGQKKVAFKYLTEKAADKESGATGQIRSEGDLFLNGAQPGLMNEDVGCNMFHPSEYYPTWTVKPPTDDLKQLLHHCTGWQSVARPPDQTACAE >OIW14535 pep chromosome:LupAngTanjil_v1.0:LG03:27170915:27172130:-1 gene:TanjilG_12934 transcript:OIW14535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKMNKAFEKVKIMVGMEVEDEEQQAAALDDNNGNFAFMDDFNRNCTLSTKHVLIFLSIFHVDLHLNCVIFHILEASRDAMIKAMLPDDFEKLEFATVNIQRDLFYGYDTLMENVSDPSHIDFAHHKVTRRRDIAKPLTFKMGS >OIW15264 pep chromosome:LupAngTanjil_v1.0:LG03:15295015:15295326:-1 gene:TanjilG_16514 transcript:OIW15264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVREKVRVSTRILRWKCVESILNNMAIHLYKTSTPSTRNGVVDSQVNPRKNLIYGHHHCGKGRNARGIINVGHRGGAQKNGRDKKHPSRSYFGYPYNHPRLSK >OIW15978 pep chromosome:LupAngTanjil_v1.0:LG03:2039506:2049698:1 gene:TanjilG_04513 transcript:OIW15978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSAVTAASILRHTTIFLSDVVSQYELRHHLITTLQTSTTPLSGNETTVKLAADTLEAAISFSTVPSTRSSSLSLAEKLLIPLPQHPLSSFLLSLTLTLCNRHIDAAIPLLRIFHSFPSLSRSEFAPLLFDRLFSFHLVPVFRRFHDRRAQILSSNSSVKSNCDIVSLTKVSEDQALKLRDLEREYEEVLDANCKVFAVYFEEVLMSDDGGMSISPPSLAMRSFGNGDRTESDEEVMEEIGMPELKNNRYNPIWTERETSIDFLRNSSSSNSSPHVPLYPERVSPRLLKPQKSPENLKSPVYLKSAAEPNSCLDKNLHCSSSESEVDGEVFDTTNRKTNPMRTEGKYLYIPFYPDSPRILEPQNSSENLTVPLHLNSDAEPYSSLDDNLPSSSSKSEAKSEENDENMVLVEPRQGQIHLQTNFTELTGSMDYPISGYNSPPQGSYKQAPPDDFVCPIISNLFDDPMTLETGQSYERRAREKWFNITKTNYVLKQLIESWKEQNLDSSDIPNEEAEPVVPSLVTSTSPNSAISRDIGHGKSELHHAVNNLLMSEVLEESEMAVLQIEKLWREEVNQRGDIQTMLSKPPIINGFMVILFYSVDPKVLQAAVFLLTEMGSSDSTVIESLTRVDTDVECIMALVKKGLVEAVVLIYLLKPSTTSLTRMVVVEDLVTVLDKEEEELLEMSINPKTAAVLLLAQVMGSSDQEIVSSVAKAVLFSEKAIGTVVDSLGAEWVDERIASVEILLKCMQEDGTCRNFIADKAELSTILESFICATDKERFKIIQFFSELVKLIRRTYNEQILNIIKDEGPFSTMHTLLTYLQTALQDQCPVVAGFLLQLDLLVEPKKKSIYCEEAIDTLISCLRNSDFHVVQLAAAETIVSLQGRFNLTGKQLTREVLLERAGLDTSYRNLIQMDQINNFCEGIKESIKEETAADDWERKIAYALVSHDSGILFEALADGLMKSQSAELSAACFISATWLIYMLTILPDTGIQGVARACFLKRFVSILKSAVDIEDRILSMLALKSFLHFHDGLHELTSYAKDILKALRELKRFSPMASEMMKVLVKENEPKAEIWIYKELIQVDCKGNGQVLSVIFLKEKLFSGHSDGTIKVWKIQDSLIHLLQETQEHMKDVTSLAISESGDRLYSGSLDRTVKVWFIGKAGIHHIQVHDMKDHIHNLVVTSSMCCFIPQGTGIKVLPWNGESKLLNTNKYVKCMTGVNGRLYCGCQDNTVQEVDLAKGTFSTIQSGSKKLLGRANPIHALQVHGEFIYAASSSLDGSVIKIWNTTNYSVVGSLQTTLEVRAMLVGSELIYLGCKGGAVEILDKKKHNRVNTLMMGTTSKVLCMALDSNEEILVVGTPDGQIQHIHAITCYALEFSNGMEKKLEQLQLVDSFPIIAWGNLGFHTKRFALLVGNLKVKVANIESGGEFTISGAGDILMTL >OIW15678 pep chromosome:LupAngTanjil_v1.0:LG03:3625064:3628481:-1 gene:TanjilG_09616 transcript:OIW15678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDLPEKQEMQLSVQALKKMKNLRMLIIRNAKFSGGPLHIPRNLRMLDWKEYPSPNLPSDFVLEKVAMLELRHSHLTLDKPFKELPITIDMLPNLQMFDISGCPQLQPFPEKLRNFSTQNCSTMPPESDEGSSNLELLPAPCLDLISPNIHSSYGFPLMETLELSDCNLIDDDLHVLSCLSNLMSLDISRNQFVTIPECFNRLGRLQELLMSNCRKLQQICGIPPNLEYIDANSCTLLNSQSLCLLLSQGSCKMSKFEVIAPRPRTPIPLKYDSKGGSMSFWIGDKFPRIALCFIFALGTKRINYFVCEFQLSINGQIAFSRELDLLSVTDDLLWLYNEDVMGLNTFLNHEQNYVEVSCEIIYVSKGAEITVYCCGVHEYKDDEEVKKTDLVVCTSSDPNNTRVGCKVDEYFDRSQFSSEAHDDQCHHDNNLGTGCCLFANKSKPGEISDQHLKQLSGSINQEMLEDDGCVGHENGKTSAIMSVDAMHQCKEMPSLHLQLYDDDAWDPMLLECQLNRVNENRLLAKDCYISVNKVNLRLTKDIAEYEESENIMLADHGSELKGPPMLDDLAAIPMEHNTNQFDLILKDDNMEAFYAALHAETLALSSLTSSDTEADSTLAYPRINEETKKALEILKQFLSKQFCQLLDQGSYTSMKTTLEYLCTLSADDDSDVSLALKSLIQQFSTEVTQWSYDYLDANMKLESSTTFLVKLETLEEGLITNKNQFSEVVSIENELCSKLVYLEERKKELEEQINGVKANISISALARDNVLRKKRETYEEAMMMKIQRDELRKQRPRLRAEQESAKATKGNIEDEWLKIRDKFDRIFIKYCS >OIW14591 pep chromosome:LupAngTanjil_v1.0:LG03:26580444:26583029:1 gene:TanjilG_32933 transcript:OIW14591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQASRARLFKEYKEVQREKSADPDITLVCDDSNIFKWNALIKGPSETPYEEGVFQLAFSVPEQYPLQPPQVRFLTKIFHPNVHFKTGEICLDILKNAWSPAWTLQSVCRAIIALMAHPEPDSPLNCDSGNLLRSGDVRGFQSMARMYTRLAAMPKKG >OIW14703 pep chromosome:LupAngTanjil_v1.0:LG03:25579998:25582363:1 gene:TanjilG_33045 transcript:OIW14703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEKKRQRGVRLCCLCNEQRASLKRPKTLEHICRDCFYRVFEDEIHDVILSNNLFSPGDRVAIGASGGKDSTVLAYVLSKLNKTHNYGLDLFLLSVDEGITGYRDDSLLTVHRNQIQYGLPLKVISYEELYGWTMDNIVKMIGLKNNCTFCGVFRRQALDRGAALLKVDKVVTGHNADDIAETVLLNILRGDIARLSRCTSIITGEDSPIPRCKPFKYTYEKEIVMYAYFKKLDYFSTECIYSPNAYRGFAREFIKDLERIRPRAILDIIQSGENFRISATTKMPEQGTCERCGYISSQKWCKACVLLDGLNRGLPKLGIGRSRGSIDGCKDRNEKNGGKSIESKQCGTLDF >OIW15040 pep chromosome:LupAngTanjil_v1.0:LG03:22608373:22614838:1 gene:TanjilG_13967 transcript:OIW15040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTFNQPSSGVTTRHHNFNSHRQSPSQQPPPPPPSYGVQTSHPPHNSFHHWNPGVSNPPDKTSTLRRPNFDITLRTGRRSFRRDEFLALISECDLKPEFKSFPASDRIAGVIGFRQWCDALDAVVWFWKTRLNEIHDLMPELTSYVIVPSDMNELERRLKALFTYHVKCLMNEGKEVKRLNVEIDRLSKEIDSFCKVLSKPLPIPVYNQRVEKKKGLVAEKNLIEKRIREFKNAMECLVKKFEEEEEDDDEEEGKNNKVLEDEESVVSVFKFEGRLDWKKVHCMIMRERKRLEQGLPIYAYRSDIIQQVHSHQVTVLIGETGSGKSTQLVQFLADSGVGANESIVCTQPRKIASKSVSERVQEESSGCYGDNTIKNYSTFSSSKCFDSRITFMTDNCLLQHYMDDKNLFGVSCIIIDEAHERSLNTDILLAVIKNLLSRRVDMRLIIMSATADAEQLSDYFYGCGILHVLGRNFPVDVKYVPSDNMEHSGSAVIIALYVSDVVRMATEIHKTEKEGSILAFLTSQVEVEWACDKFRDTSAVALPLHGKLSSEEQFHVFQNYSGKRKVIFATNLAETSLTIPGVKYVIDSGFVKDCRFDPSSGMSVLKVCRISQSSALQRAGRAGRTEPGRCYRLYSEDDFQSMEPTQEPEIRRVHLGVAVLRILALGVKNVQEFDFVDAPSSRSIEMAIKNLIQLGVITLNKNVYGLTHEGRCLVRLGVEPRLGKLILGCCRYGMGREGVVLAALMANASTIFCRVGNEDDKQRSDCHKVQFCHCDGDLFTLLSVYKEWEALPRDSKNKWCWENSINAKSMRRCQDIVVELESCLERELCIVTPNYWHWNPYKPSDYDEYLKKVILASLAENVAMYSGCDQLGYEVAQTGQLVQLHPSCSLHVYAQKPSWVVFGELLSVSNQYLACVTAFDFDSLYNLYPPPPFDVSKMEKRKLQMKRLTGFGSILLKRFCGKGNSSVLRLVSRIRKACMDDRIFIEVNVGQNYIQLYATSHDMETALGLVTDVLEYEKKLLNTECMEKCLYHGFSPSVALFGSGAEIKHLELEKCFLSIDVCHPNITAIDDKQLLMFFENTSGDICSVQKFTCKAEYSEEREKWVKVTFLSPDAAERAADLDGVEFCGYPLKVVPSQIAVGGDKTFSFPAVKAKISWLRRPSKGFGIVKCDINDVNFILGDFYNLAIGGRYVRCEASTKNADCITIRGIDKDLSESEILDELKAATSRRILDFFLVRGDGILNPPCSVFEEALLKEISPFMPNINPHISSCRVQVFPPQPRETSTKALIIFDGRLHLEAAKALEQIEGKVLPGCFSWQKIKCERMFHSSLIFPEPLYSVVKDELEKVLARLKNVNGVELILDRFTNGSRRVRITANATKTVAEVRRQLEELSRGKTIEHENLTPIVVQHMLTRDGFNLKSSLQEETGTHIFLDKHTLSIRVFGPPDKLAFAQQKLVQLLLSLHERKQLEIHLLGRDLPPDLMKQVVKNFGPDLQGLKEKVPGADLILDTRRQIICLRGNKELKPRVQEIISETAHSCDSLVQRIHDGPTCPICLCEVEDGVRLEGCGHLFCQFCLVEQCESAIRNLGSFPICCAHEGCGHPFLIADLRSLLSHVKLEELFKASLGAFVASSGGTYRFCPSPDCPSIYRVADPDTPGEPFACGACYAETCTRCHLEYHPYVSCEKYREFKKDPDSSLKEWCKGKEHVKCCPVCGFTIEKVDGCNHIECKCGKHVCWACLEYFESSDDCYNHLRNIHMTII >OIW15778 pep chromosome:LupAngTanjil_v1.0:LG03:615935:616327:-1 gene:TanjilG_04313 transcript:OIW15778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSCSNTSKTKSPRTRSNKKDRHRKVEGRERRVLLSKPCADRLFNLTDQLGHKTCGETIEWLLQHTEKAIIAATSSGISPSTNNNNNAIATSSVGVVQNQNVSGVQGEEDDLIMENPYFQLSENHIALLK >OIW15227 pep chromosome:LupAngTanjil_v1.0:LG03:17726026:17729649:1 gene:TanjilG_08819 transcript:OIW15227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLTTEAHVERDIMGLEHQIHCMETEAYSSVLKAFIAQSDLLTWGKEGLMTDLRKELNITDVEHGKILTMINTDDSVKWIRDKRKVASHSQDYLKVNNTPGCASSSMRNSIIRLKAPPPSASFYPPKNVPHGQVSLTPIPFQSSMPPKHNDVQLAAQVSHGHGKLSMQMFNSCVQLPPIGRGKVLKGKHKLKDFHTSECVQLKNKSDLIQIRPTDLVIHGVEKMLFSREKPPGPVEIEKAKWALREQEKALIEALGKLTDVSERDDTSDPIRCYEVTKNTPGGQEMMMHGNFCGLVGGLNGLGDSFRTMPSG >OIW15927 pep chromosome:LupAngTanjil_v1.0:LG03:1641594:1644109:-1 gene:TanjilG_04462 transcript:OIW15927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHGASKKNKLPGRSSNIHSGNTRDCTVPKFDQNNSGAIEIAASQKGFASSLKAITEAPPSSLEFFVWNDEGINLCVDLNSSPSDWINKLRNEVCTSMDVNRKNSRSLRQELGYLGESSTQGKTSFLSKTNSDQIDDHTRQIESSSSLKLAKDDVTGLDQQNKGRSPLLGDSLIPCSITINVADNVKEDESAFSALTLNVADNLKEYGSAVSAEVSCGAENNYIAGSEYCAKALPKKIPDSDVTDTLFFKSRGSVGNSPSVPDKLECQNSKHGNEISEDCALLNGSCMVNPDVVYPGSSLSGSTELQISEVASCHKYVSVSLCENDVLLDLSDPKNTLDAEWVGLVNSSEEMGKIFNGRESSECSQFDDPLKKSGLESDNQDSKMDLRRKRKHRDPDIQGSNDNPPAKNLRSMKNVAVTVQPRRSMRLISKVDLCF >OIW15222 pep chromosome:LupAngTanjil_v1.0:LG03:17523300:17524253:-1 gene:TanjilG_08814 transcript:OIW15222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSSTEVIIDFPPILRVYIDGHIERLFGSDVVPPTLDPTTNVESKDVVISKDQGISARIFIPKLNEVPNQKLPLFVYFHGGGFVTGTPFSVFYHNFMNSIASKANVIGVSVHYRRAPEHPVPIAYEDSWHALKWVASHFDGNGPDEWLNKYADFGKVFFAGDSAGGNIAHHMGIRVGIEGLHGVKLEGVALVHAYFLGVERIGSEGNKVKVEALAKNLWRFICPTTSGFDDPLINPSKDPNLGRMGCGRVLVFVAENGMLKDRGWYYKEVLEKSEWNGVVEVIEAKGEDHVFHLFNPDSENALSLLDQIASFINYS >OIW14985 pep chromosome:LupAngTanjil_v1.0:LG03:23345120:23345449:-1 gene:TanjilG_30704 transcript:OIW14985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSHKTFRIKKKLAKKIRQNRPIPYWIRMRTDNTIRYNAKRRHWRRTKLGF >OIW15208 pep chromosome:LupAngTanjil_v1.0:LG03:16821142:16822751:-1 gene:TanjilG_08800 transcript:OIW15208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSKSPPLPSRHSPQFTPIQEEHEFDEYSEDRSQTRTTPISSCTEKGVTPNHKHPPTPIVKKNGNKSNHKKKRFESEGGSVNGEDLSVSCNKCRPHVRDKIFVIPFDHNNNGQSNKHLSLLASPNGIFRSIMSKLTGKSPMSMSNETVSREEQWKIAVSELSHKLVHATRKKDEAILEASRLMHSMAELEKKLNKLELYCHSLKSGLEECSNSTSSPYGKFQNNSHPDTVIQNFLVLVSEARSSVRLLSRSLTMQLRHMGGKVFEKVCLLLQPYDIRVSFSKNPRSLVFYLEALLNRTFFEDFESIGFQKSSCAHMLNPLERCEANFTSFNMLHGLTWEDVLSKGTRHFSEDFSRFCDRKMSEIVTMLGWNRAWPEPLLQAFFGASKSVWLVHLLANSVHPSLPIFRVDKGVRFDEVYMEDMDRDKSSKLVPSMVRIMVAPGFYVYGSAVKCKVLCRYLSNNNHQSYRTKEDKGLTPSPHDRKG >OIW15065 pep chromosome:LupAngTanjil_v1.0:LG03:22853784:22855307:1 gene:TanjilG_13992 transcript:OIW15065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGPNPESSFIQSKLHDLKQCLNLKYVKLGYHYLISNAMLMLLLPLAALIVARLLTFSVQDYHDLWDQLCYNLQSVMICSGLIALFLTHYLSTRARPIYLVDFSCYKPEDACKCTRQIFMDRSSLTGTFTEGSLEFQRKILERSGLGESTYLPESVLRVPPNQSMEEARKEAEAVMFGAVDELLEKTRVNPKDIGILIVNCSLFNPTPSLPAMVINHYKLRGNVLSYNLGGMGCSAGLISIDLAKDLLQVHPNTYALVISMENITLNWYFGNDKSMLLSNCLFRMGGAAVLLSNQRCDKRRSKYQLVNTVRTNKCADDRSFTCVTQKEDSTGRVGVSLSKNLMAVAGDALKTNITTLGPLVLPMSEQLHFVAKLIGRKLLKLNLNPYVPDFKLAFDHFCIHAGGRAVLDEVQKNLELSDWNMEPSRMTLYRFGNTSSSSLWFELAYAEAKGRIKKGNKLWQIAFGSGFKCNSAVWKALRKVDPANEKNPWIDEIDQFPVDVPNFSAI >OIW16065 pep chromosome:LupAngTanjil_v1.0:LG03:2871804:2873844:1 gene:TanjilG_04600 transcript:OIW16065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAKKIPEVILNSGQKMPVIGLGTVTNPLPPNEELCSIFIDGFEVGYRHFDTAAAYGTEEAVGQGVAKALDLGLIKSRDEVFITSKLWCSHAHHHLVLPALKKTLQKLGLEYVDLYLIHWPVRLKHKDEESFSLSEITAENLVPFDIKGTWEAMEECYRLGLAKSIGVSNFGIKKLSQLLENATIPPAVNQVELNPSWQQGKLGEFCKQKGIHLSGWSPLGAYKAVWGSNAVMENPILKEIAHSRHKNVAQVALRWIYEQGFSAVVKSFNKERMKLNLGIFDWELSEEESEKIRQIPQHRMYNGEEFVSQNGPYKSLEELWDDDA >OIW14961 pep chromosome:LupAngTanjil_v1.0:LG03:23559923:23561170:1 gene:TanjilG_30680 transcript:OIW14961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELIDDRCGQGLKRKTPENGNYELLNNFFLDDLNEDLLERILSWLPTSTFFRLTSLCKRWKSVASSSSFKLACSHIPSREPWFLMVAPNQNQPVIFDSAQSTWKRLNHPPLLQEESNQSCMPVAASGGLICYRNLAGNFVVSNPVTGSCIELPPLHFASQQHQPLNAIVMSTFSKDQLSYKIVLVFGELPNLMFKVYNSNSGCWQDEAALRRRVDDNSLEYDSSDDNVVYFLSKAGTVVASNMQRSPSKQYSSVITTNKDGEEVVHFLSSSGKVVACNLTCNCFLEYPRLLPVFSEYSIDIVECNGEMLVVLLSEFLESASLRVWKYDEDNRGWHQIAAMPAAMSHDWYGKKADINCVGAGNQIFICLNFSELCSYILCDLVNNKWVELPNCCINGQVMDFMSAFSFEPRIEASV >OIW15156 pep chromosome:LupAngTanjil_v1.0:LG03:20825595:20832693:1 gene:TanjilG_14155 transcript:OIW15156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLYSQGSSRHCSLLAILSDNSHDNKLKQKQNATSEEQSLYPFPDLSSSGHLQVKVLTKPTADELGRVLEQLQPDFVYLQGEQLQGSGEIGSLAWDDFDLSVPEALCGLFSSKLPNTVYLETPKGEKLAEALHFKGVPYTIYWKNEFSKYAASHFHQAFFSVAQSTSSHTWDAFQLALASFRLYCVQNNILPSNGLKSDAEFGPQILGDPPNIDIGPCEADMKEEEDESSPETISSVKIYDDDVNMNVLVCGVPCTLDACLLGPLEDGLNALLCTEIRGCKLHNRTSAPPPPLQAGTFSRGVVTMRCDISTSSSAHISLLVSGSADTCFNDQARLLENHIKKELIEKIQLVQAFLNDQKSKLSSSEPRRSASVACGSSVFEVCIRVPTWASQVLRQLAPNVSYRSLVMLGVASIQGLPVASFNQDDADRLLFFCTRQEKENRPNDPIVSGYPSWLKPPAPSRKRSLPCSRTKSMNASGMEVEDVGSYRQKLNLAAMRPIPQSNRHKILPFSGFSEAERYDGDIGKSNQLLVPVKHNVVGSNTVTHRKSVSNSFQAHQIISLNPLPMKKHGCGRAPIRACLEEDFLRDVMQFLMLRGHTRLIPQGGLAGFPDAVLNAKRLDLFNLYREVVSRGGFHVGNGINWKGQVFSKMRNHTLTHRMTGVGNTLKRHYETYLLEYELAHDDVDGECCLLCHSSAAGDWVNCGICGEWAHFGCDRRQGLGAFKDYAKTDGLEYVCPHCNVSNFGKKSQKTGNGY >OIW14486 pep chromosome:LupAngTanjil_v1.0:LG03:28314466:28315299:-1 gene:TanjilG_19902 transcript:OIW14486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPKGSKQPQQQGNNNMGENKISEVKDFQIMIAEKEDSSKKQLAPKRTSNKDRHTKVEGRGRRIRMPALCAARIFQLTRELGHKSDGETIQWLLQQAEPSIIAATGTGTIPASALASAGNSVSQQGTSLSVGLHQKLDELAGGSNIGSVNRTSWVGGNLGRPYVATTGLWPPHVSVFGTEGSSYLQKIGFTGFDLPGAPNNMGHMSFTSILGGGANQQMDGLELGLSQEGHIGVLNPQALSQIYQQMGQQGRVQQQQQHQHQHQAPTTEDDSQGSEQ >OIW15016 pep chromosome:LupAngTanjil_v1.0:LG03:23024304:23024750:1 gene:TanjilG_24125 transcript:OIW15016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGPPLSASRSSANMEHKKCSLLNEKQGKRHHGLVEGRDPNRVGAEEKAWGENSVEDLIDGDNMEVNNIVLSSAKCIDKALKPCTTLLPRAVHQVHADPLDLGVPLQPLDYLPDYAKALITAASPRVKHTFPHKLNLEGSFHPPKWRQ >OIW15713 pep chromosome:LupAngTanjil_v1.0:LG03:208099:211929:1 gene:TanjilG_04248 transcript:OIW15713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVVGSTGTTIVNSTASSSRPSVLRIGALFTLNSVIGRSAKPAIMAAIDDVNANTTILPGIKLEVILHDTNCSGFLGTVEALQLMENDVVAAIGPQSSGIAHIISQVANELHVPLISFGATDPSLSSLQFPYFIRTTQNDYFQMHAVADLIDYYKWREVIAIYVDDDNGRNGVSVLGDALAEKRAKISFKAAFTPGAPKSDISDLLNGVNLMESRIYVLHVNPDSGLEIFSIAKKLGMMTSSYVWIATNWLSSVLDSSENAGTNSDTLNLLQGVVAFRHHTPDTNLKRSFISRLKSLKDKETKNFNSYALYAYDSVWLAAHALDVILNEGGNISFSSDPVLHNTNGSMLHLSSLRVFNGGQQFLQTILRTNFTGISGQIQYDTDKNLIHPAYDILNIGGLGSRRIGYWSNYSGLSVIAPEILYEQPPNTSRSSQQLFSVIWPGDTTNTPKGWVFPNNGKPLRIAVPNRVSYLDFVSEEKNPPGVKGYCIDVFEAAINLLPYPVPRQYMLFGNGDINPDYNDLVYQVSQNNYDAAVGDITIVTNRTRFVDFTQPYMESGLVVVVRVKEINSSAWSFLKPFTAEMWCVTAAFFLFVGTVVWILEHRHNHEFRGTPRQQLNTIFWFTFSTMFFAHRENTVSNLGRVVMIIWLFAVLIITSSYTASLTSILTVQQLTSEIEGIDSLISDTQPIGIQEGSFAKKYLIDELNVAPSRIVSLKNQDAYIDALTRGPKNGGVMAIVDELPYIELFMSNTNCEFRIVGQEFTRSGWGFAFQRDSPLAVDLSTAILQLADDGDLQKIHDKWLLKNVCVAQLSSDQSYELSLSSFWGLFLIIGIACFLALTLFFIKVMCQYKKFSTDTEDSADEIQPHGSRRTTRMTCFKGFIDFIDRREEDVKEIFRQNTKRKETTQTSDDPSTSHI >OIW15029 pep chromosome:LupAngTanjil_v1.0:LG03:22398468:22399022:1 gene:TanjilG_13956 transcript:OIW15029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSHKFPSFSFELRIIQAQNIESIKSTGNSLFARFYLPIGNNKRIQLNTKKVSSKATIPFWNESFGLECSCPQEFLETLKKESMVLELRQSKKRIWGSHLVGKGEIPWKKILESPNMMFKEWVKIDLAEVQVEIKIRVTSTEKEESSLNKWDKCGCKYDHDRHAWLSAEDYDIFTLGATLEAF >OIW15184 pep chromosome:LupAngTanjil_v1.0:LG03:18438964:18440289:1 gene:TanjilG_16442 transcript:OIW15184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMSVRDFLYLLEVPVEVVNLKAIIVSSTGWANGATTDIIYNILHMMGRDDIPVGIGDFFAMNQSDPIFPPIGECKCMLRPFLMVVSMKNLSSRIQEVYVVGGHASDKGNVFSVPSSKYSEFNMFVDPLAAEAVFQSEVNITLIPLSMKHRTKKTPEATFSKRLLKRLYRFKQSHHRYQHMDAFLGEILGTVDLADSHSSLNAKFDVNPIKVLANGIELSDGEIVILYIMEHHGL >OIW15756 pep chromosome:LupAngTanjil_v1.0:LG03:452354:458139:1 gene:TanjilG_04291 transcript:OIW15756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESHQRRGIGGLASLSPSKPPRSPSRDLRSADSNSNKFDKDRGVNVQVLLRCRPLSEDDMKVNTPVVISCNEIRREVSAVQVVANKRIDRTFNFDKVFGSTSQQAELYDEAISPIVHEVLEGYNCTVFAYGQTGTGKTYTMEGGGGAKNGEFPSDAGVIPRAVKQIFEILEAQNVEYNMKVTFLELYNEELTDLLAPEETSTFVDYKSKKPIALMEDGKGGVFVRGLEEEIVCTANEIYKILEKGSAKRHTVETLLNKQSSRSHSIFAITIHIKECTPEGEEMIKCGKLNLVDLAGSENISRSGAREGRAREAGEINKSLLTLGRVINALVDHSGHVPYRDSKLTRLLRDSLGGKTKTCIIATISPSIHCVEETLSTLDYAHRAKNIKNKPEINQKMTKSAMIKDLYSEIDRLKREVYAAREKNGIYIPRDRYLHEEAEKKAMAEKIEQMEQGTKSKDKQLMELQELYNSQQLLTAELSDKLEKTERSLQVTEQSLFDLEERHKQVNATIKEKEFLITNLLNSERALVERANELRAELENAASDVSNLFSKIERKDKIEEGNRLLIQKFQSQLAQQLEVLHKTVSASVMHQEQQLKDMEEDMQSFVSTKAEATKDLRVRVEKLKNMHGSGIKALDNLAEELKGNNQLTYEDLNSQVAKHSSALEDVFKGIALEADLLLSDLQSSLHNQEANLIAYAHQQRVAHARAIDTTRAVSKITVNFFETIDRHASSLTQIVEEAQFVNDQKLCELEKKFKECTAYEEKQLLEKVAEMLASSNSRKKKLVQMAVNDLRESAKSSTGKLRQEALTMQDSTSSVKEEWRDHMEKTESNYDEDTSAVESGKADLVKVLQICLNKAEVGSQQWKNAQESLLSLEKRNAASVDSIIRGGIEANQVLRARFSSAVSTTLEDADLANKDINSSIDYSLQLDHEACGNLNSTIVPCCGDLRELKGTHYHKIVEITENAGNCLLNEYMMVDEPSCSTPRKRPINLPSVSSLEELRTPSFEELLKSFWDAKSLKQGNGDVKHIGALEATQSVTDSRAPLTTIN >OIW14680 pep chromosome:LupAngTanjil_v1.0:LG03:25797875:25800780:1 gene:TanjilG_33022 transcript:OIW14680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYIKAQKSRAYFKRYQVKFKRRREGKTDYRARIRLINQDKNKYNTPKYRFVVRFTNKDIVAQITSASIAGDHVLAAAYAHELPHYGLEVGLTNYAAAYATGLLLARRVLKTLELDEEYEGNVEASGEDYSVEPADTRRPFRALLDVGLIRTTTGNRVFGALKGALDGGLDIPHSDKRFAGYDKEKKELDPEVHRKYIFGGHVANYMKTLIDDEPEKYQTHFSEYIKRGIEADGLEALYKKVHAAIRADPTAKKSKKQPPKAHKRYNLKKLTYDERKNKLIARLQALNSAAGVDDDDDEDDE >OIW15667 pep chromosome:LupAngTanjil_v1.0:LG03:3488519:3489163:1 gene:TanjilG_09605 transcript:OIW15667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDFHQPFVDPLSTSNEANHILCEELKTEHEQEVKVFDYANRAQWLRAAVLGANDGLLSTASLMMGVGAVQKGAKIMILTGVAGLIAGAFSMAIGEFVSVYSQYDIELAQMKRDGKESEKEKLPNPFHASMASGLTFAMGSMVPLLGAAFIEGYKARIVVVVAVVSIALLVFGGIGAVLGKAPMIKSSLRVLTGGWLAMCVTFGLTKLVGHIGV >OIW14930 pep chromosome:LupAngTanjil_v1.0:LG03:23843900:23848767:1 gene:TanjilG_30649 transcript:OIW14930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEYTNNNNHIRENTGQVPRENFLYTTAVGDSSGGNHHHHNQFPINTFHLQSGGSGHSFQPDQVRYDSNVKTESNNNTSQQLQTPIFHYPLLRGSILQPHQTQHGGSHSSNEVEEDIKAKIIAHPQYSNLLQVYMDCQKVGAPPEVVARMAAAQQDFEARQRSSVRSNLEGSKDPELDQFMEAYYDMLVKYRDELTRPIQEAMDFMQKIETQLNTLCNGNGPLRIFSDDKCEGVGSSEEEQDNSDGETELSVIDPQTEDRELKNHLLRKYSGYLSSLKQELSKKKKKGKLPKDARQKLLSWWELHYKWPYPSESEKVTLAESTGLDQKQINNWFINQRKRHWKPSEDMQFMVMDGLHPHNAAVFMADGHYRLGP >OIW15589 pep chromosome:LupAngTanjil_v1.0:LG03:4076351:4081431:-1 gene:TanjilG_08165 transcript:OIW15589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDNLDEGLKKKLEYLSLVSKVCTELESHTGTGDKVLAEFITELGRSSENVEEFDATLKENGAEMPDYFVRTLLTIIHAILPPNKSKKSDAKKESSAPTTNTKFKALAIADDRDRVKELQKEIENETEAARQKGRERGEEEYGYGDRDNRDRRRDRYEREDRHRSRDRERDVDEDDRRDDYRRRGRDRDRDRDRDVGEDERRDDYRRRGRGRDKDRGRDRYGRDERDGYEENERGRENGDENGDRKGRRDSRHGGGGSSEPDLYQVYKGRVSRVMDTGCFVQLDDFRGKEGLVHVSQIATRRITNAKDVVKRDLEVYVKVISVSGQKLSLSMRDVDQHTGKDLLPLKKSSEDDVSRMNPQDSKDGPVARTGLSGIRIVEEDDLGKSRRPLKRMSSPEIWEAKQLIASGVMSVSEYPTYDEEGDGMLYQEEGAEEELEIEMNEDEPAFLQGQSRYSMDMSPVKIFKNPEGSLGRAAALQSALIKERREVREQQQRTMLDSIPKDLNRPWEDPMPESGERHLAQELRGVGLSAYDMPEWKKDAYGKTITFGQRSKLSIQEQRQSLPIYKLKKELIQAVHDNQVLVVIGETGSGKTTQVTQYLAEAGYTTRGKIGCTQPRRVAAMSVAKRVAEEFGCRLGEEVGYAIRFEDCTGPDTVIKYMTDGMLLREILVDENLSQYSVIMLDEAHERTIHTDVLFGLLKQLVKRRPEMRLIVTSATLDAEKFSGYFFNCNIFTIPGRTFPVEILYTKQPESDYLDASLITVLQIHLTEPEGDILLFLTGQEEIDFACQSLYERMKGLGKNVPELIILPVYSALPSEMQSRIFDPAPPGKRKVVVATNIAEASLTIDGIFYVIDPGFAKQNVYNPKQGLDSLVITPISQASAKQRAGRAGRTGPGKCYRLYTESAYRNEMSPTSIPEIQRINLGTTTLNMKAMGINDLLSFDFMDPPSPQALISAMEQLYSLGALDEEGLLTKLGRKMAEFPLDPPLSKMLLASVDLGCSDEILTIIAMIQTGNIFYRPREKQAQADQKRAKFFQPEGDHLTLLAVYEAWKAKNFSGPWCFENFVQSRSLRRAQDVRKQLLTIMDKYKLDVVSAGKNFTKIRKAITAGFFFHASRKDPQEGYRTLVENQPVYIHPSSALFQRQPDWVIYHELVMTTKEYMREVTVIDPKWLVELAPRFFKVADPTKMSKRKRQERIEPLYDRYHEPNSWRLSKRRA >OIW15241 pep chromosome:LupAngTanjil_v1.0:LG03:16038319:16038774:1 gene:TanjilG_17561 transcript:OIW15241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPSSISDSKQGATKRPKPQSSNRIFQCHFCHRRFFTSQALGGHQNAHKLERAASRRTTQIFSFTQNNVTLPSPPSSSFEFEVAASHACFIGHQPYLVQMQTHQHVATSTNVPISLHDSSTSSTADPLFLSYNDAHDASDGVNLDLTLHL >OIW16064 pep chromosome:LupAngTanjil_v1.0:LG03:2859864:2862729:-1 gene:TanjilG_04599 transcript:OIW16064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFANNLSTLSLPISDPSFSCLENGTSINKRKRRPTGTPDPDAEVVSLSPKSLLESDSYVCEICNKGFQRDQNLQMHRRRHKVPWKLLKRETSMVKKRVFVCPESSCLHHDPCHALGDLVGIKKHFRRKHSNHKQWVCERCTKGYAVQSDYKAHLKTCGTRGHSCDCGRVFSRVESFIEHQEACNMGMLRTEPHHQQLQLHQQQPMCLSRTASSPSPSNETNFRTCPNLPKPTTDPSFFNMNPTSSTIATNTETSLSRKNNKLYPNLDLQLSTNTNTNTSNTYTIVVVAASLTPNKKEDHHQKQSTRLQLSIGSSHNNEKNDQPNRNNNIINEKEGMALLRVAMAEKVYAEEARKQAKKQIEVAEQEFTSAKRIRQQAEVEVEKAYALKEHSMKQINSTMLQITCHACKQSFKVLGTAI >OIW15616 pep chromosome:LupAngTanjil_v1.0:LG03:4347120:4347588:-1 gene:TanjilG_08192 transcript:OIW15616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQCLTNTFLQAKPLLPLPTQTVNKRVSNSLVTCRKKDIHPKFYDDAKVYCNNELVMTTGGTQKEYVVDVWSGNHPFYLGNRSGLVVDADQVEKFRKKFGELAHLMEIPVLKGEIIIPSRKKGIKGGGKKK >OIW15296 pep chromosome:LupAngTanjil_v1.0:LG03:13937267:13938602:-1 gene:TanjilG_10736 transcript:OIW15296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIATTYVLSLLFFVLNLCLQGTFGDYDGGWQGGHATFYGGGDATGTMGGACGYGNLYSQGYGTNTAALSTALFNNGLSCGSCYEMKCDNDARWCLPGSIIVTATNFCPPNFALSNDNGGWCNPPLQHFDLAEPAFLQIAQYKAGIVPVSFRRISCVKKGGIRFTINGHSYFNLVLITNVGGAGDVHSVSIKGSGTGWQAMSRNWGQNWQSNSYLNGQSLSFQVTTSDGRTITSNNIAPANWQFGQTFQGGQF >OIW14613 pep chromosome:LupAngTanjil_v1.0:LG03:26348148:26349656:1 gene:TanjilG_32955 transcript:OIW14613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVSVCENVFVYGSLLADEVVHLLLKRLPQQASATLHGFHRFKIKDRVYPAILPVLNNNVNGRVLLGITASELDILDEFEDVEYTRTPVHVSLNDNAQKLQVYTYVWSNHNDPNLYGEWDFEEWKQHHMDGFVKMTDGFMQELELPESKPRVQTYESFYKQANDKPIEP >OIW14649 pep chromosome:LupAngTanjil_v1.0:LG03:26032626:26034960:-1 gene:TanjilG_32991 transcript:OIW14649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKIELEIISKEIIKPSSPTPNNLSHYQLSFLDQVSPMVYNPWVLFYSSNGCPKFNTTTISNNLKRSLSHVLTYYYPLVGRINGRDFIDCNDEGIPYIETKVKCKIVDVINNPMPRELNHLVPFELDDVNDVTFGVQFNVFECGGVAIGACLSHQIGDGLSLFTFLNTWANIANGAKQDVLPNPQLISAELFPPKNVSGFDTKCGIIKENITCKRFVFKASVIEELRAKYNNDTQNEKAPTRVEALSAFIWNRYVAVTREQSESDEKKKLHVIVHAVNLRQKMEPPLPSNSFGNYYRFSMTIIPSFNNEDHECHGFVNKVREEIKKIDKDYIRKLKDGKEHLEFLKNSSNRVLVKRELVSFQFTSLCKFPLYDADFGFGKPTWVGSPSLTFKNLVVFVDTKNDGGIEAYVHLMVEDMAKFEVDKELVQCVDKIT >OIW14654 pep chromosome:LupAngTanjil_v1.0:LG03:25999138:26002487:-1 gene:TanjilG_32996 transcript:OIW14654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDKKIKIGINGFGRIGRLVARVALQRDDVELVAVNDPFITTDYMSYMFKYDTVHGQWKKFDITVKDSKTLLFGDKPVTVFGFRNPEEIPWGEHGADFVVESTGVFTDKAKAAAHLKGGAKKVVISAPSKDAPMFVVGVNEKKYTPDLDVVSNASCTTNCLAPLAKVINDKFGIVEGLMTTVHAITATQKTVDGPSNKDWRGGRAASFNIIPSSTGAAKAVGKVLPELNGKLTGMSFRVPTVDVSVVDLTVRLEKDATYEQIKAAIKEESEGNLKGILGYTEDDVVSTDFVGDNRSSIFDAKAGIALNGNFVKLVSWYDNEWGYSSRVIDLIAHIASVEA >OIW14937 pep chromosome:LupAngTanjil_v1.0:LG03:23796682:23797473:-1 gene:TanjilG_30656 transcript:OIW14937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEPSSKPNGNATTNGNGTAPAAVNGNTGAVKSQLYNPNRQLHRPQSNYHRRQRSNRNLCCCCCFWTILTLLAVALLAAIIGAALYVLYRPHRPEFSVTNLRIAKMNLTTSSDSPSHLTTLFNLTLIAKNPNNHLIFFYEPFTVTVFSNSVVIGNGTLPSFESNKNNQTSVRSIVSGSMDLDTESLSSLRSGLKKQKGFPLEIQMDTKVKMKMESLKSKKVGFRVTCEGIRGTVPSAKSPSLASVINSQCKVDLRIKIWKFSF >OIW14752 pep chromosome:LupAngTanjil_v1.0:LG03:25206638:25208337:1 gene:TanjilG_05373 transcript:OIW14752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKKYKRNKVGSNDEESKRMKKNETCSDTSKENSKGSYAQKGDYIHVRARRGQATDSHSLAERIRREKISERMKYLQDLVPGCNKVTGKAGTLDEIINYVQSLQQQVEFLSMKLAAMNPNLDYNIYDMFTKEMFPTCTPSYSTIGISSDMTNPAYLQFKSQQEMVSSCGFDTVKNPPELHGSIPETCLDSSNFNQILPHSSTWEGDFQNLYTMAFDQAIATPTPFSSQQFTGLVEYSNLMEM >OIW14891 pep chromosome:LupAngTanjil_v1.0:LG03:24223229:24224661:-1 gene:TanjilG_30610 transcript:OIW14891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHDLYCSEEQWEENIGLNELLEYNECVSDSTTSTIINSNKISNYPLTLLEQDMFWDDEELTSLLAKEQLNPLHTCIERDTVLEGARVEAVLWILRVNAHYSFSALTAILAVNYLDRFLFSFRFETRKPWMIHLSAVACISLAAKVEETQVPLLLDLQVEDSKYLFEAKTIKRMEILVLSTLGWKMNPPTPLSFLEYIIRRLGLKNDFNLCFEFLKRCESLLISLIPDTRFMSYLPSELATATIMHVINSVEPSLGAEYINQLLGILGTNKEKIDECCKVMLEVCSAYEQRKQWNKRKFGSIPSSPSGVMDVSFSSDSSNDSWALSTSAPASVSSSPEPLFKKTRTQDQILLNYSTSEFLTIPR >OIW15764 pep chromosome:LupAngTanjil_v1.0:LG03:504922:507680:1 gene:TanjilG_04299 transcript:OIW15764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNKTTLLVLVLVLCHGVAATMGFWMKELEQDKHHRHPMSDKLFLMQHSKRIVKTDAGEMRVLESYGGRIMDRRLNIGFITMEPRSLFIPQYLDSTFIIFVREGEAKVGFVCKSTMVEKHLKMGDVYRIPAGSAFYLVNTMEDQRLHIISSIDPSESIGISVFQSFYVGGGANPASILSGFSPEIIETAFNVSGAELKKIFTRQHEGPIVHLDNSLSTNIWTKFLQMNGDDKLQHLKKMVLEDQHEPDADVDNDDEEEQEEEQVTRLSWRKLLESVFKNDKNKETREKVVHDSHHSCNLYDRNPDFKNDYGWSTAIDGSDYHPLKKSGIGIYHVNLSAGSMMTPHVNPRATEYGIVLKGSGRIQIIFPNGSNAMDTDIKEGDVFFVPRYFPFCQIASRNGALEFFGFTTSARKNKPQFLVGATSLMRTMMGPELAAAFGVSEETMKRVVNAQHEGVILPTPWAQQHVHAHAHAHAKKKKKKKKNIEVTLPTLIRNEVIVGF >OIW16016 pep chromosome:LupAngTanjil_v1.0:LG03:2405243:2406770:-1 gene:TanjilG_04551 transcript:OIW16016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEFTQDFQSFIDPSMELINQFIGINTHVLDNSHVNMQNSMIPFSSDSFFASQQEPEFQGNFEENFQGLVNNALHVSLPIFAAENEGHGGKKRNTMDTTHEASSANSTPVGSESGGKIRNNFGRGKRVKSNVTDEEKPKEVVHVRARRGQATDSHSIAERVRRGKINEKLRYLQNIVPGCYKTMGMAVMLDEIINYVQSLQHQVEFLSLKLTAASNFYDFNSETDAFETMQRAKASEAKELGNYERVTCFQPTWSL >OIW14515 pep chromosome:LupAngTanjil_v1.0:LG03:27468269:27471229:-1 gene:TanjilG_04948 transcript:OIW14515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRQLSTNPPLPPPSPPSQPSPIDAAIWRACAGASAQIPTVNSRVYYFLQGHIDQASSTPKKLSPNVYSTPYVLARIVEVQFLADHNTDEVFVKLVLQPINRGSVSQFLLTPRRTDSAGENRNGSGDGDENAVVSFAKILTPSDANNGGGFSVPRFCADSIFPPLNFQEDPPLQNLRVIDIHGIVWEFRHIYRGTPRRHLLTTGWSKFVNFKNLVAGDSVVFVKNSKGELFAGVRRAKRSSSRGCGGGGNGTDWCAMMLSVGGVRKRDEEGEKKKQEEKVVKEGFSRNGKGRLEPEKVAEAAELAVRGMPFEVVYYPSTGWSDFVVKAEIVDEASRVMWSPGMRVKMAVETEDSSRMSWFQGTVSAVCVPENGQWQGSPWHMLQVAWDEPEVLQNAKLVSPWQVELVSATPALHTAFTPPKRFRAAHGSLVLTDGEGDPFFPMTGYSNSTMGQLNRTLSSYGTFPAGMQGARHDFFSTLDVYNFSGNMSRLYFANSFGNNSAPSLNTLSTELNIGSSQSDNLSPDSQGSLHSFGIEFFGAHNCKSTKAGSGSIQLFGATIETKQPIESVLHLPCYTSHDSSKGCTEIKVMDKLEYSLGYSKMLDRLDDGLDDGQCNL >OIW15904 pep chromosome:LupAngTanjil_v1.0:LG03:1500865:1503288:-1 gene:TanjilG_04439 transcript:OIW15904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYYGSSCPSIKNILLLDSEGKRVAVKYFSDDWPTNSAKLAFEKFVFTKTLKTNARTESEITLLENNIIIYKFVQDLHFFVTGGDEENEIILASVLQGFFDAITLLLRNNVDKREALENLDLILLCLDEIVDGGMILETNGPLIAEKVTSHNVDADSPLSEQTLTQAWATAREHLTRTLLK >OIW15446 pep chromosome:LupAngTanjil_v1.0:LG03:9181522:9182200:-1 gene:TanjilG_28645 transcript:OIW15446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYFLLQAILGGTIQVPTLTGDVVLKVRPGTQPGQKVVLKKKGIKTKKSYTFGDQYVHFNVSIPTNLTERQRELIEEFSKEEQGECDKQRAASASG >OIW15894 pep chromosome:LupAngTanjil_v1.0:LG03:1398316:1400527:-1 gene:TanjilG_04429 transcript:OIW15894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVPGQLIWEIVKKNNSFLVKEFGRGTQSVEFSRESNNLYNLNSYKFSGLANKKTVTIQPAGKDQSVLLATTKTKKQNKPAALVHKSILKKDFRRTAKAVQNQVADNYYRPDLKKAALARLSAVSRSLRVAKSGAKKRNRQAVRK >OIW16026 pep chromosome:LupAngTanjil_v1.0:LG03:2477793:2482636:-1 gene:TanjilG_04561 transcript:OIW16026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHMHIGVGFCCINPTTQPDPKNKTRIASFHFRHRRVSPAILASSPENKNEKRRLICTADELHRVSVSNSDWKLALWRYLPSPKAPLRNHPLLLLSGVATNAIGYDLSPESSFARYMAAQGFDTWILELRGAGLSTHGDNLKEDEECLKNLSRIDSAVNDGQSSASSGRVWELKNFGDSFESEIPRMKMIGSEVYEELHIRTRLTDICARMSDRVASILGGQNSAIGSQIKDFNHRLQTIFEGQQLFPAQILGMQERFTATLEGFQKQIEMIVKYDWDFDHYLEEDVPAAVEPAQALNIPVIPVGPLLATVYPLLKHPPQFLSSLNSQISAQDMMDQELFEKLVLNNFCTVPAKLLLQLKTAFQKGGLCNRNGSFFYKDHLRKSNVPVLAVAGDQDLICPPEAVYETVKLIPEELVTYKVFGEPEGPHYGHYDIVAGRLAADELYPCITEFLIHHDKP >OIW15723 pep chromosome:LupAngTanjil_v1.0:LG03:243698:249158:-1 gene:TanjilG_04258 transcript:OIW15723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGVLPAVDHTFCAVCGKQGTKICSRCKTLRYCSQECQQSHWRSGHKADCKVPAQNEATTRGALAAGAKMPSIALIPSKGSGTSKPIKQLKDVSFFDRSFANLHHSLLTPGRHKMPFSEGAVPQPVRGADPFLLLASTLVLFPYDEFVKYFNWDKPGPPPCGLLNCGNSCFANVVLQCLSFTRPLVAYLLEKGHRRECSCNDWCFLCEFEIHVERTRLSSQSFSPMNILSRLPNIGGTIQYGREEDAHEFTRFSIDTMQSVCLDEFGGEKAVPHNLQETTLIQHIFGGCLQSEVICTKCDKRSRQYESMMDLTVEIHGDAASLEECLDQFTAKEWLHGENMYKCDGCKDYVKAWKRLTVKRSPNILTIALKRFQSGRFGKLNKRVSFPETLNLNPYMSEAGDGSDIYKLYAVVVHIDMLNASFFGHYICYIKDFQGNWYMVDDSEVSSVELEEVLSQGAYMLLYSRCTPRPSSFQVKTTEPSRKAEIQSVKVEPDQTKQADCVSNVESVTCSKGGEVLSSDAKCEHSDDVDMIDDKSNNTQNEISCSAIESSSIPISQAVKDFGDVDMIVTPEETSGGAEEQHDIAISAPSPGLLNDFSCFDEHSSVSTDCKKMGQEAEHIDVAKCKMITSKDSICYDNGYVSANKSAV >OIW15176 pep chromosome:LupAngTanjil_v1.0:LG03:19545465:19550155:1 gene:TanjilG_18611 transcript:OIW15176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDARKFLQFLVLSFSVALVLFITWFHLPSLPNNDSLLDCNIFSGWCISKNRFGSSTKPNLIKKTPSSTNNNHKHEYDVPHHPLDPLTINEFNKVRTILSTHSLFKSSSTYTLNSVVLEEPDKKLVLEWKKGDSLLPRKASVIACLKGVSHALTVDLTTNQVISHETSLVSGYPVMTMDEMQGVLEVPFRNLEFNRSIAQRGVDMAEIACLPISSGWYGTPVEENRRLIKVQCYSKKGTVNFYMKPIEGLTVLVDMDRKEVISISDNGLNIPMAKGIDTDYRYSIQKLNGELRLFNPISLEQPEGPSFKIDGNLVKWANWEFHLKPDPRAGIIISQAKVQDPETSELRNVIYKGFTSELFVPYMDPTDGWYFKTYMDAGEYGFGLQAMPLDPLNDCPRNAYYMDGVFASADGTPYLQSNMICVFESYAGDIAWRHSECPITDLKVTEVRPKVTLVVRMAAAVANYDYIMDWEFQTDGLIRAKVGLSGILMVKGTTYDNMNQVPNQEYLYGTLLSENIIGIIHDHYVTYYLDMDIDGSDNSFVKVNIKKQETSEGESPRKSYLKAVRNVAKTERDAQIKLSLYDPSEFHVINPSKKTRLGNPAGYKLVPGATAASLLDHEDPPQKRAAFTNNQIWVTPYNKSEQWAGGLFAYQSQGDDTLQVWSNRDRPIENKDIVLWYTIGFHHIPSQEDYPIMPTVSSSFDLKPANFFERNPILRVPPNFNEDLPVCKARDST >OIW14991 pep chromosome:LupAngTanjil_v1.0:LG03:23306058:23306339:-1 gene:TanjilG_30710 transcript:OIW14991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSPTTHPGSFRCSLHKNNNNGQADPYPSNRLSLNMRRSAMKNSLVRIGGVEGEWVKRALTALIRPSSHQQRRRSAFESRPSRLSVMSIAEEV >OIW15482 pep chromosome:LupAngTanjil_v1.0:LG03:7051650:7054674:1 gene:TanjilG_32886 transcript:OIW15482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLKKKSLVSYNEEDERNKFFCLLDLPDLALDCILENLSASELCSVATVCKSLRDRSRSDYLWRKHMERKWSKVIGDAAFRQWQCHVDSRNRENMKKFFNQRNKKGVFAFLYGFDPFIWMKSKSQKVRESRSSIPDDSIMALYLCLESGKFWFPAQVYNRENGHAGFMLSCYDAQLSYDSRTDTFQARYSPHGRWTSEENIKWERLRVPPIGTSPHVLHISYCLEDLRPGDHIEIQWRRNKEFPYGWWYGVVGHLESCQGHGNHCHCHNDDMVILEFNQYTPGSRWRQTMINRKHHREQGNQIDGFYGGIRKLESKEEISMWKNLWPTKVVEY >OIW14981 pep chromosome:LupAngTanjil_v1.0:LG03:23379595:23382971:1 gene:TanjilG_30700 transcript:OIW14981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVENTMVDPIDEFPIGMRVLAVDDDPTCLFVLETLLKRCQYHVTTTNQAITALTLLRENNEKFDLVISDVHMPDMDGFKLLELVGLEMDLPVIMLSANGDKKMVMKGISHGACDYLLKPVRIEELKNIWQHVMRRKKFDSKDKNKTNNLDKPTSDCGNGPGSAGRENSDQNGKLNKKRKDQDDEEDDEQENDNDNEDPSAQKKPRVVWSVELHRKFVAAVNQLGIEKAVPKKILDMMNVEKLTRENVASHLQAIFGLYLKRISCVANQQANMVAALGSADHSYLRMGSMSGVGHLQTLNGSGQFHNAFGSFSPSRVISRLNTPGLNVHGFHSPGVHHLGQTQNLIDSTNDQLKFQSAIVPVNQNGVPGMPVSVGLDQLQQNTDVVSVQNLTNAIDARTTFPISNKLPDPGPRVTACGSHPRRLGISNNALMLEAHPQETLGGIVYENSPTSAHSQHSEVSLSLPYQGRYPDNWSGAMQLSGIPTKSFSQTSLRGIDNMASLPLQGGNLESSLNVTSLYGQSHDSMTDMHSQGVIFTNRPGHIRNNMPFQDISTFHSNVSRGSMNPLSAPLNGVVGPAGHNRNLDFKFCDPIQMKRHGIVELSAETSMKHPGNIVNQQKSEQSHLSNNLGSLEDFVNSVMKQVITIFQFGSFAIEVSYNSFNTLTTVYPCILDDGLKPFEVDFRERYTDVIIINLSNTIQKT >OIW15896 pep chromosome:LupAngTanjil_v1.0:LG03:1410589:1411257:-1 gene:TanjilG_04431 transcript:OIW15896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDSTLFQPMKPQFPEQEQLKCPRCDSTNTKFCYYNNYNLSQPRHFCKNCRRYWTKGGSLRNIPVGGGTRKNTKRSSTNSKRAASSSQSPSPSVSSVSITPQVSAAAATEIEPNRNDPTIQAYSIGGSFSSLLASADNLGSLLEGLNSSGSNMKMVQMNEYGSSGPMMNLDPGRNNGGSGVQGSGNAAESFMSMQNGDSSCWNGSSNGWSNLAIYTPGSTFQ >OIW14712 pep chromosome:LupAngTanjil_v1.0:LG03:25535943:25539326:-1 gene:TanjilG_33054 transcript:OIW14712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNNIIIVVTLLFWIVTIVAAKGRAPAVRLHKKHSVTGIQNVSYDGRSLLINNQRHLLFSGSIHYTRSTPQMWPNLLDKARHGGLNVIQTYVFWNAHEPQKGKWNFEGNYDLVKFIKLIQEKGMFATLRVGPFIQAEWNHGGLPYWLREVPGIIFRSNNEPFKQHMEAYVTKIINMMKAEKLFASQGGPIILAQIENEYNHIQLAYDEDGPSYVQWAANMAVAQNIGVPWIMCKQKDAPDPVINACNGRHCGDTFTGPNKPYKPAIWTENWTAQYRVFGDPTSQRSAEDIAFSIARFFSKDGILVNYYMYHGGTNFGRTSAAFTTTRYYDEAPLDEFGLQREPKWSHLRDAHRSLNLCKKALLTGKTSVQRLNEFHEIRVYEKHESDICSAFITNNHTIEAATINFRGKQFFVPPHSISVLPDCKTVVFNTQQIASQHNARNFRRSTLANNHKWEVFSESIPSNDRVPVSQKLPAELYSLLKDTTDYAWYTTSFNLGAQDLPKNGAAPVLRIMSLGHSLLAFVNGVYIGSNHGTHEEKSFEFQKPVNFKIGKNSISILASTVGLPDSGAYMEHRYAGPKSISILGLTTGTIELTSNGWGHKVGLKGEKLKIFSEEGSKTVKWRAVVGNAPALSWLKTRFSTPEGRDPVAIRMNGMGKGMIWVNGQSLGRHWMNFLSPLGKPTQSEYHIPRSYLNPKDNLLVVLEEESANPSQVEILTVDRDVICSFITENHPPNVDSFASKDMKFHSIMESVRPEATLKCSNFKKIVAVEFASYGNPSGFCGNFILGNCNVAAAKSVVEEQCIGKYSCKVALESFSKNGNVCPGLEKTLAIQVRCSH >OIW16068 pep chromosome:LupAngTanjil_v1.0:LG03:2892224:2897753:-1 gene:TanjilG_04603 transcript:OIW16068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASTRKKVQRKSKIRGYNLKVDALDEILSFVSRFPNSDHDEAIDLLLDQLDHESLKSTIVDKELVQRLVSLLWETDEAVGETSVSFGSSSRSSICVVDAFDIPKFQYDPIRKQFYEHTSSLPVHGEASAKEALYRDRFLLLTQRLSRDQHFSKPAFDSEFSHFGSCEQYKVTTGFFSENTIVVAEGEMLVEGIFQHHLLACCYSVACKDGEKVMGKLETVLDGFESVEVVPSLFVFMGNFSSRPCNLSFHTYSTLRLQFGKLGQIIAAHSRLIEHSRFLFIPGPDDAGPSTSLPRCALPKYLTEELQKHIPNAIFSSNPCRVKFYSQEIVFFREDMLYRMRRSCLMPPSEEETNDPFQHLVATITHQSHLCPLPLTVQPIIWTYDHCLYLYPTPHTIVLGDSSQQKAFKYTGITCFNTGSFSIDSTFVVYRPCSQEVELSAL >OIW14426 pep chromosome:LupAngTanjil_v1.0:LG03:29243004:29248574:-1 gene:TanjilG_20872 transcript:OIW14426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSSSSSSMAAPPSKPVIVRVKRKSFHSPLHAFWLEINERPLKRPLLDFQNLSVSSESAQKAEFHNKKVFMQHVETISSSEVTRDIVQSFVDPGSSGASESKSKIDERKNLFKKDNKQDQLSRAKQQKESFAKDARFEQIWKSRRGNKGAEHENALQDICHFYDIVRVDKMQQEDISLEDQNLLSSFLPLLKEVIPDAATEIEADLANSKKDDYVYDLYTVNDEMDMDVDDTSYSLPLVQVDEDDYYDGPDDSEYETDDSNDENNPLNDYPDELTEDEEEGSESENSNASKESSDEDNEEHGFSRDNEADPLYDEDFDNYDGRVGYDVDDDDEDWKWSHR >OIW15734 pep chromosome:LupAngTanjil_v1.0:LG03:304548:305579:1 gene:TanjilG_04269 transcript:OIW15734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVESKGRKEEVVTREYTINLHKRLHGCTFKKKAPKAIKEIRKFAQKAMGTNDVRVDVKLNKFVWSQGIRSVPRRIRVRIARKRNDDEDAKEELYSLVTVVEIPKDELKGLGTKVIDDED >OIW15955 pep chromosome:LupAngTanjil_v1.0:LG03:1856749:1860997:1 gene:TanjilG_04490 transcript:OIW15955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFSASTAALSTPLSRHHRPTRPSSLKCLHSQQPQHHHHSPHLSLFHNLSKTLAISSAAAVLFHCTPLSDLPTHFNLGGGNNGGVGGGSGGGGGGWFGGGDGGNGGFWSRLFTPASAIADESQSQDWDSHGLPANIVVQLNKLSGFKKYKLSEILFFDRNRRSKVNAEDSFFEMVSLRPGGVYTKAQLQKELETLATCGMFEKVDLEGKTNADGTIGLTINFTESTWQQADKFRCINVGMMQQTKPVEMDSDMTDKEMLEYYRTQERDYKRRIERARPCLLPGSVHSEIMQMLNEQGAVSARLLQRIRDRVQKWYHDAGYACAQVVNFGNLNTKEVVCEVVEGDITQLVIQFQDKLGNVVEGNTQVPVVKRELPRQLRPGYTFNIEAGKQALRNINSLALFSNIEVNPRPDEKNEGGIIVEIKLKELDQKTAEVSTEWSIVPGRGGRPTLASIQPGGTVSFEHRNLHGLNRSVNGSITTSNFLNPQDDLAFKLEYVHPYLDGVTDPSNRTLRVSCFNNRKLSPVFTGGPGLDEVPPIWVDRAGVKANITENFTRQSKFTYGLVMEEITTRDESSNICTNGQRILPSGGISTDGPPTTLSGTGIDRMAFLQANITRDNTHFVNGAVVGERNVFQVDQGLGIGSQFPFFNRHQLTLTRFLQLMKVEEGAGKSPPPVLVLHGHYGGCVGDLPSYDAFTLGGPYSVRGYNMGEIGAARSILELAAELRIPIKGTHVYGFAEHGNDLGSSKSLKGNPTEVYRRLGHGSSYGVGLKLGLVRAEYAIDHNSGTGALFFRFGERF >OIW14805 pep chromosome:LupAngTanjil_v1.0:LG03:24860077:24860796:1 gene:TanjilG_05426 transcript:OIW14805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKQLKLKNKILKVLPKAVAAMRFQNPPFSPSRIHKSRSENTRNKGFSGSMIPHETRKKPNRGSNNDIDYQEPTSPKISCMGQIKHDKKRVEKAKTKEIEVKKNVSTFKKMLFYAAKPKSISSAKLDCNKNMVVERVTSMSHMKRFKSGRDIFADFDWKDQVVPHEIDCCCSDEDRVDDEGKVEGFIIPFSAPILISCSSGNGGYYGEGVIDLKPRKEINLWKRRTMAQPKPLQFESLK >OIW15247 pep chromosome:LupAngTanjil_v1.0:LG03:16203509:16204309:-1 gene:TanjilG_17567 transcript:OIW15247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRSSSLKITRMQALSIILPHTFNDSKEFSPISKKPDEDLNSNIKLEHEEEYFEEEEEFSFSCDNPQGTLFFADEIFGNGQILPMFPICGQSALHADTHKKRTLSPRPPLKKLFVEEHNHLSSMLDRKTEEPLYKWSEEMTILEVVTSHERCKKSSSTGFSDQLRIMRDMKLRSNSEGDDTFILMNPSSPAPPKQVKHNDLKDKNVTKKKTKKEEHKIEFSAYEKHYRMSRTRKEGDKRRSFLPYKQVMVGFFAKTNIFSRNLHPF >OIW14495 pep chromosome:LupAngTanjil_v1.0:LG03:27536787:27539657:-1 gene:TanjilG_12088 transcript:OIW14495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNQKPISQTSLILFLSLFLLIIVPEVTSKSTIEPCTNSDSCNALLGYTLYTDLKVSEVASLFQIDPISLLTANSIDISYPDIEHHILPSNLFLKIPISCSCVDGIRKSVSTQYKTRPSDSLSSIADSVYGGLVSADQLREANSITDSSVLDVGQNLVVPLPCTCFNGTDNSLPAIYLSYVVKQVDSLAAIAAKYFTTLTDLMNVNALGSTAIDDGDILAVPIPACASNFPKYASDYGLLVPNGSYAITAGHCVQCSCGPRNLNLYCMPSSLAASCSSMQCKSSNLMIGNVTLQQTGGGCNVTSCNYDGIANGTIITTLSPSLQPRCPGPQEFPDLIAPPTTVTSESIFGPAPAPQSHGNGLTTPKSSVVPSTGPFPGFSPANGPVSGIASAASAACSLVNPLPATLTSALLLLLVTLMLPEAL >OIW15290 pep chromosome:LupAngTanjil_v1.0:LG03:13799278:13801631:1 gene:TanjilG_10730 transcript:OIW15290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRERERFDEIGKKIKREGDVSYQMGRRHMLGPSGTLNTITPCAACKLLRRRCAQECPFSPYFSPQEPHKFASVHKVFGASNVSKMLMEVPECQRADAANSLVYEANVRLRDPVYGCMGAISELQQQVQSLQTELNAVRSEILKYKLREANMIPSSYVAMLPSSVDVTIVAPLPPLLLPPPPPPTSSSSSSMYIQQRTPTNYSRISIRSEILKYKLREANMIPSSYVAMLPSSVDVTIVAPLPPLLLPPPPPPTSSSSSSMYIQQRTPTNYSRISSDNISYFS >OIW14829 pep chromosome:LupAngTanjil_v1.0:LG03:24716892:24717915:-1 gene:TanjilG_17054 transcript:OIW14829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEENGSSNLESSKAERSVWLMKCPVVDKKRTQPVKQTDTKRTRRDRGELEDIMFKLFERQPNWALKQLVQETDQPAQFLTEILNELCVYNKRGANQGTYELKPEYKKSVDDTSAE >OIW15058 pep chromosome:LupAngTanjil_v1.0:LG03:22799029:22799500:1 gene:TanjilG_13985 transcript:OIW15058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAYDNVVIEKLKLKGKALDIKAVRIKKKMKRHHKSYQYFPQTTTSGGRCIIFRSMNEGGEGKGASYDDYLTPFERRFLQQREKVEVERLAKMARMSHRDRIQEFNQYLANLSDHYDIPKVGPG >OIW14643 pep chromosome:LupAngTanjil_v1.0:LG03:26090753:26093891:-1 gene:TanjilG_32985 transcript:OIW14643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSAFPPPPPYYRLYKNYSQDPNSAPEPPPPIEGTYVCFGATYTCIYVLFTIIAESWGYCGPCSRSCGRCDYNCDSNVVEIVITSDNLPSLEEQGVRQLYPKGANVDFKKELKSLNRDLQLHTLELADILIERPSQYARRIEEISTVFKNLHHLLNALRPHQARSTLVRILELQILNRKRAVEDIKR >OIW14666 pep chromosome:LupAngTanjil_v1.0:LG03:25898218:25900083:-1 gene:TanjilG_33008 transcript:OIW14666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIGGTVADDSTNEWLSLDQKVNSYPTVRGFTAIGTGGEDFVQAMVVAVESVIQQPIPRGRVKQKLSSRGKYVSVNIGPVQVVSSEQVQAVYNAMKRDDRMKYFL >OIW15254 pep chromosome:LupAngTanjil_v1.0:LG03:15635644:15643812:-1 gene:TanjilG_16504 transcript:OIW15254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNITKDTVEGSVEERRAKMNAFTPNGILPNKVSFLTKELDRNRCYELEKRAELLLNQILPNQYSETKRNSIVSYLQRLIMNSVPCQVFTFGSVPLKTYLPYGDIDLTAFSQNQNIKDTLVHEVRRVLESEIKNKNAEFHVKEVCYIQGEVLFRFLDFFSKFDWKNYIISLWGPIPISALPNTMAEPPRKDCGQLLLGRDVLIAWKACYGFTRRSQEPFVSKHLNIVDPLCEKNNLGRSISLGNLYRIRSAMALGAERLMRLFDCTEEKLIAEFDYFFKNTWDNHGHGHWIDYHYHNLFIRYNPSPVNVMNPSYLPFPSPPPPLPGFPPPPLPSLPPPPPPLPSFPPPPPPPPPGFEHAIPFGVPQVYVPSGSYNMPYSGHDKSSEGFCSEKNMDGASSSCNSSSWNNSSGTNIVTSNVQDNQLSSNVKWPMSVHNSTRFSPGSSQRGVDNPRPTFPPTMTCVPLNHMNMNSDPTNLNSAQIPVANYSSLGATSNPCVLIPPVPDVLKADFFSHLMNLNHGRLCENPQLQGHFPYPPAAIIPPFHYPVDVAPMRHSDFMNNVAQVPPPPQLSSIRPNQFPNHGFNPSRKKRHARKHKKSGGIGTFLLDPKRISYLNKNEASFQASISANNSDNVNIVPDNNQDKPVINDGKNEASNKGECSQSASNKMENAEGDSTDPSPHQSPTTDSPGFNRTQDEHVIIFDDTDFPPLC >OIW15025 pep chromosome:LupAngTanjil_v1.0:LG03:22941105:22942394:-1 gene:TanjilG_24134 transcript:OIW15025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCTTPLHVTSSQLIASEVFPIPVVKGLLYPGAALNGLIHNFTLPKWDNLLDLYNLTNAKEASAVTDLQRLEVLAGSYFTVAGALVGLVKPGRMSMFGNLLVIWGLVKEGILGKQVTTDPSKAVYVYPTMVIAVICAFSSVKYDLKKVARSAPVRSIAKPLQGSSKSKLK >OIW15018 pep chromosome:LupAngTanjil_v1.0:LG03:23001296:23001652:1 gene:TanjilG_24127 transcript:OIW15018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTGSRNLQLKFHSLVGGQSIHRVEEPILLDESPSKDSGLMQEVHHVGVKNIYLGEKQLREKGVVECLNEKVFNYEDIGQSKAIKSLVEFSPHAVRLVNAEPLDEGVSSPPLDHLHTS >OIW15536 pep chromosome:LupAngTanjil_v1.0:LG03:5866372:5867136:1 gene:TanjilG_16142 transcript:OIW15536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLDQYFEEAMPQNYYFEDKLSPEMWESADDTIADSDRNGGFDCNICLESVLDPVVTLCGHLYCWPCIYKWLNFYSFSSENEEKEKTECPVCKSEISESSLVPLYGRGQTTSHSTSKTRQAGIIIPRRPHGPSWLAGSSRSSNTATVSQPTSQVYHRHHDHPQEFNSFPGSYTSPMFNTGAPLTNTFDTTFGVFGEMIYSRVFGHQVTNTYTDSYNLSLESNPRIRRQLVELDKSLSRICVFLLCCMVLCLLLF >OIW15315 pep chromosome:LupAngTanjil_v1.0:LG03:14343845:14349531:1 gene:TanjilG_10755 transcript:OIW15315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDSRESSPDWLRSFQAPTHAPLILSSDSGSLQDDNTLIDDKTDDEGSLNSLELPQVNKSNSTDLGGKQVKPAPRKTSKGASLKTEDQTPSRRKKLDKQKAKEGNKERRKIVDDSKIDKQPPFKESIHSIWQLSSDSESCHDHSPKREDHTDQVETSQNQIPQFLDDGECGDGFALGSDGESLSKKASKEMSSQKQTDDKGHKPVQGKKTKGSAKGKGSGDVEIEEEEEETNEKLADPNVSSSRLPLMLSEKVHRTKALIECEGDSIDLSGDMGAVGRIVVSDSPSGDQEMYIDLKGTIYKTSIVPCRTFCVVSFGQSEAKIEAVMNDFIQLKPQSNVYEAETMVEGTWDGLSFDSDEEGGKMQKSTHQTDQNEDAEERPNGKSKGKANKTSGAEKKRGRSTAAARPLSKTVKKKNVPSKRAKTKK >OIW15346 pep chromosome:LupAngTanjil_v1.0:LG03:12906635:12907056:-1 gene:TanjilG_26719 transcript:OIW15346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMAEGAALHAAVAMAHELRANRLPQHGLAVAVWRRTWSLHGSSVMVRSGSCAPMAELPGSMALVVATVPPETE >OIW15436 pep chromosome:LupAngTanjil_v1.0:LG03:8661032:8677461:-1 gene:TanjilG_28635 transcript:OIW15436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIPCCSVCQTRYNENEQVPLLLQCGHGFCKDCLSRMFSSSPDSTLTCPRCRHVSTVGNSVQALRKNFAVLALVHSAANAASLDCDYTDDEEEAGGDEDEERRRLRGSQTSSSGGCEVVIEVGANQELKLVKRIGEGRRAGMEMWTAVIGSGVGRSGHEVAVKKVAVVEGMDLEWVQGKLESLRRASMWCRNVCTFHGAMKVEESLCLVMDRCYGSVQSEMQRNEGRLTLEQVLRYGADIARGVVELHAAGVVCMNLKPSNLLLNANGHAVVSDYGLATILKKPSCWKARPECDSSKIHSCMECIMLSPHYTAPEAWEPVKKSLNLFWDDAIGISLESDAWSFGCTLVEMCTGSIPWAGLSAEEIYRAVVKAKKLPPQYASVVGGGIPRELWKMIGECLQFKPSKRPTFNAMLAIFLHHLQEIPHSPPASPDNDSVKGSVSNVMEPSQVPELEVPQENPNHLLHRLVSEGDATGVRDLLANVASENGNNYISSLLEAQNADGQTALHLACRRGSAELVEAILEYEEANVDVLDKDGDPPLVFALAAGSPECVRSLITRNANVRSRLRDGLGPSVAHVCAYHGQPDCMRELLLAGADPNAVDDEGESVLHRAIAKKYTDCALMILENGGCRSMSILNSKNLTPLHLCVATWNVAVVKRWVEVATSDEIAKAIDVPSSIGTALCMAAASKKDHESEGRELVRTLLAAGADPSAQDSQNGRTVLHTAAMTNDVELVKVILAACVDVNICNVHNSIPLHLALARGAKECVGLLLAAGADCNLQDDDGDNAFHIAAQTAKMIRENLDWLIVMLRNPNADIEVRNHSGKTLRDILEALPREWISEDLMEALMNRGVCLSPTIFEVGDWVKFRRTVITPTHGWQGARKKSVGFVQSFLDRDNLVVSFCSGEVHVLANEVVKVIPLDRGQHVQLKKDVKEPRFGWRDQSRDSIGTVLCVDDDGILRVGFPGASRGWKADPAEMERVEEFNVGDWVRIRPTLTSAKHGLGSVTPGSIGIVYCIRPDSSLLIELSYLPNPWHCEPEEVEHVAPFRIGDRVCVKRSVAEPRYAWGGETHHSVGRISEIENDGLLIIDIPNRPIPWQADPSDMEKVEDFKVGDWVRVKASVSSPKYGWEDITRNSIGIIHSLEEDGDVGVAFCFRSKPFSCSVTDVEKAPPFELGQEIHVMASVTQPRLGWSNESPATVGKIVRIDMDGALNVRVTGRHSLWKVSPGDAERLPGFEVGDWVLSKSSLGTRPSYDWSGGVGRESLAVVHSVQDSGYLELASCFRKVKWITHYTDVEKVPSFKVGQYVRFRTGLVEPRWGWRGAKPESQGVITSIHADGEVRVAFFGLPGLWRGDPSDLQIEQMFEVGEWVRLKDNANNWKSIGPGSVGVVQGIGYEGDESDRSTYVDFCGEQEKWVGPSSHLERVDKLFVGQKVRVKEYVKQPRFGWSGQTHASIGTIQAIDADGKLRIYTPAGSKAWTLDPSEVEIVEEKELCIGDWVRVRASVSTPTHQWGEVSYSSIGVVHQMEHEDLRVAFCFMEKLWLCKAWEMERVRPFKVGDKVKIRDGLVTPRWGWGMETHASKGQVVGVDANGKLRIKFRWREGRPWIGDPADIALDEN >OIW15803 pep chromosome:LupAngTanjil_v1.0:LG03:807871:810210:-1 gene:TanjilG_04338 transcript:OIW15803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFWGTEVKVGEVVKVDPEEIEAYVHLSQAALGESKKDKANEPVVLHLKVGDQRIVLGTLSRDKIPQTTLEVVLDKDTSEEDDFDSDEDLPLPTEENGISVTKAEDAKVSDPKKADAKNGVPVRHVKIADPKKDDSDDSDEDADFGSSDDEMDDADSDEEGDSDDDEKTPVKKVELGKKRASDSASKTPVSNKKAKNATPQKTDGKKGGHTDTPHPVKKASKTPNSDAKGQTPKSAGQFSCESCKKAFKSEDGMQQHNKAKHG >OIW14889 pep chromosome:LupAngTanjil_v1.0:LG03:24235583:24237142:1 gene:TanjilG_30608 transcript:OIW14889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGLPLLNCLLQQTLRSICSSHNSSTSSKWGYAVFWRILPRNFPPPRWEFGGTALDRSKGNKRNWILVWEDGFCDFNECEQRRTGCLNNGFGADLFFKMSHEVYNYGEGLLGKVASDNGHKWVYSDETHNECEPSYIASWNASIEPQPKAWEFQFNSGIQSIVVIAVREGVVQLGSFNKIAEDLNLVITIQRKFSYLQSIPGVFAIQRPYIMKPSLQMIETITNGMPLSSDDSSRVAGFDKLQAIELGWNHPQNGTAGPPFWSGLPTLPNMSYNLGNMLSKIPSITPISNILHVPNTEIQSSGERVKIEDDGDHKVKVGSLNK >OIW15903 pep chromosome:LupAngTanjil_v1.0:LG03:1493067:1499566:1 gene:TanjilG_04438 transcript:OIW15903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSSSCGVTQIKYDVFISFRGTDIRYGFLSHLKKELRQKQVDAFVDDRLESGDEISPALVKAIEQSLISLIIFSKDYASSKWCLEELVKIVECMARNKQIVVPVFYNVDPSHVRYQKGTYGDAFAIHEKKKRNLSKLQNWRSALNIAANLSGFHSSNFGDEVELIEEVVKCLLARLNLMYQKNLVELNMTWSRAEKLWDGIQNLQHLKKIDLSNSKHLLELPDFSKATNLEEIELFGCRNLLNVHPSILSLHKLNMKDFGGCSRLKEFSLTSENMKDLNLNSTAINELPSSIGRLKKLETLALDHCKGLNNLPDKVADLGSLRVLRIYGCIQLDASNLHVLFNGLRSLETLLLEECLNLHEIPDNISLLCSLHHLLLKGTNVERFPTSIKHLTKLEKLDLSGCKRLHFLPELPLSIKELYATNCSSLETVMFPLRTTDLVHAYKSYTTFQNCVKLDKHSLKAIGVNALVDIKKLAYEHLSNIGTKYLDGPAYVIYPGNQVPESFRDRTTQASVTIDLSSAPSCSKVIGFIFCVIVGKFPSNDKNFIGCDCYLETGNGERVSLGHKMNNAWSSIHACEFLSDHVCMWFDEQCCLQNCERQDENIEELVAKVTFEYFAQSGSSWEKENDIMIKGCGVCPIYDSEYHDFIKQMELDLTLQNGTQYLKQKCKAFIFPPLQSENWKSATQGLKEILKLFVGGISWETSEETFFKYFNKFGEVVDSVIMMDKHSGRPRGFGFVTFTDSAVADKVLAQEHTIDGRVVEVKRTVPRGDMEATAVFRTKKIFVGGIPQFLTDDELKEYFSPYGNIVEHQIMLDYQTGRSRGFGFVTFDSEDSVEKVVSAGIIHELGGKQVEIKRAEPKRSGVDYSSTSRKSYVGFGNEMNGFGGHNSRGHNIGRRGGPYTDSGMNGAYSQFDGSYGGNSATAYGGYCGYGYGFGYGGPMYCFGGYGVNSYVNPGGYGAIATYGDGNAYGRVGGFNGTFGYDSGKVAEKDESPANGRYHPYWK >OIW14854 pep chromosome:LupAngTanjil_v1.0:LG03:24491007:24491372:-1 gene:TanjilG_30573 transcript:OIW14854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMPMYQQPPLVTVSQQHSSYDRASEHDSIGPLIGVLIVVILLGIIAVMIGRLCSGRRIMGYGQYDIESWAESKCSTCIDGRINLSSPPIRTTEPTTISLPATPTQETKQAEPSSHNQTPN >OIW15980 pep chromosome:LupAngTanjil_v1.0:LG03:2060077:2063980:1 gene:TanjilG_04515 transcript:OIW15980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSRDNEQAPPHHHPPHLSSLVVRPEATSIADTGADRDGDFESGEFHRDQRPPYSRSDRYPGDTGYRIRAGSASPVHRRGANDRLGSDYNHLSRSRGYGSGRDPGRYRDPSPPYIRGRVGGRPIGRAFDRPGFVPGLARGEGNSRNNPNVRPREGDWICPDLRCGNLNFARRDQCNKCNRSRPAPGGSPRRAYPGPPPLRTPHRRFPGSPERAVIGYRSPPRGLGRDGPREYGSAALPPLRHEDRFADPHLHRDRIGYLEDAYRGRNKFDRPPPPVDWDSRDRGRDVFSNERKGFERRPLSPLAPLLPSLPPHHGGRWTQDVRERSRSPIRGGPPPKDHRRDVFVNRGRDDRRALGRDRIGGMY >OIW15582 pep chromosome:LupAngTanjil_v1.0:LG03:3960303:3962183:1 gene:TanjilG_08158 transcript:OIW15582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGICFNPKTPSFSIAHNSNSSSSSTPHRLSFSDSINENVNNINNDENSFSIEAAEALIQKWNPDTSAYAKVTSLFYEDKTEAMQYINCVKKLHKLMHSLLIQNPSSEKLILSHNLMEIAMKRLRKEFYQILSINRAHLDPESISVKSSRTSVCSSISDFDDDCTTVEDEIRAAGESICEVERVSSIAMADLKVIADCMVSCGYKKECVSVYNVIRKSIIDEGVFKLGVEKVSSTRVNKMDWEVIEMKIQSWLQAVMISVRTLFNGERILCDHVFGAFESIKESCFAEISRDGAVLLFRFPEIVAKAKKSSPEKIFRVLDMYSMIYKLLPEIETIFSSDSSSVVRSQVNASLQRIIDCVQTMLSGFESTIQKDSSKSPVNSGGVHSLTIHAMNNLSILADYNNVLSDMFSRVEFLQKKRSPLPDSYLYSPESVDTSAPPALGEHFAWLILVLLCKLDVKAKHCKDVSLSYLFLANNLRYIVTKIKRSNLQFILGEDWLMKHEEKVKRFNTNYERYAWGEVISSLPENQSPEISPAEVRMVFEDFNFKFEKAYRKQNAFVVADREMRDEIKGSIAKNIVPRYRELYNMQLVNVESVKEMAEYVAFTPEDVENYLSNLFFIGRSSSDV >OIW15393 pep chromosome:LupAngTanjil_v1.0:LG03:11548893:11557401:-1 gene:TanjilG_15776 transcript:OIW15393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQDASQTKARVANLQDTCQDKAMHARVKSHMPGQRDKGRAKIKNRELYKELALHQSPKFMIFACSDSRVSPDVILNFQLGEAFLVRNIANMVPQFNQLRHSGVGAAIEYAITALKVPNILVIGHSRCGGIQRLMSHPEDNSAPFDFIDDWIKTGLPAKTKVLKEYQGFDFKEQCNFCEKESVNNSLVNLHTYPYVETGVRNKTLEIMGGYYDFVNGKFEFWNYKSHITKSITIPLFPQK >OIW15273 pep chromosome:LupAngTanjil_v1.0:LG03:15045770:15048079:1 gene:TanjilG_08070 transcript:OIW15273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCYFSTSKKAKTPGYEEPSVLASETPFTVSEVEALYELYKKLSNSVIQDGLIHKEEFQLALFRNKNKRNLFADRIFDLFDVKRNGVIEFGEFVRSLGTFHPNAALDDKIAFAFRLYDLRQTGYIEREELEEMVLALLNESDLVLSDDMIEAIVDKTFSDADTQGHGRIDQDQWKAFVSKHPSLIKNMTLPYLKDITLAFPSFVLRTELEDS >OIW15500 pep chromosome:LupAngTanjil_v1.0:LG03:7744314:7747867:-1 gene:TanjilG_32904 transcript:OIW15500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPMDIVGKAKEDASLPKATMTKIIKEMLPPDVRVARDTQDLLIECCIEFINLLSSESNEVCGKEERRTIAPEHVLKALGGLGFGDYIEEVYSAYEQHKVETMQDSLKGAKWSNRAEMTEEEALAEQQRMFAEARARMNGGEIASKQQDADQSL >OIW16057 pep chromosome:LupAngTanjil_v1.0:LG03:2811827:2813143:-1 gene:TanjilG_04592 transcript:OIW16057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLGWRRRKNNNNNHKGVKPNVEVVIPNHFMCPISLDLMKDPVTLSTGITYDRENMEKWFDNGNCTCPVTNQIVRNFDMIPNHTLRIMIQNWCVENKQRGVERIPTPRIPISPFDVFELLRQVKATARGLDQYGCIELLMKMKRLGNEMDRNKRCIVENGVQGALASAFDAFANDSIERNVSVLEEILSALNWTFPLQFEAHKILGSKASLTCMVWFLKHQDLSGKEKSIVALEELLSFGDENHVEALSEIEGVIELLVEFINKRISPTITKASMKVVWYMISSSYPCSEKMKLSFVDLGLVYYLLDILIDSEKSLCEKSLAIFDSLCSCEQGREKAYGNDLTVPLLVKKILRVSALATEHSVSSIWKMCKFGEKDDERRVLVEALQVGAFQKLLLVLQVGCDDKIKDKATELLKMMNPYRSDLECIDSDYMNLLRSF >OIW15595 pep chromosome:LupAngTanjil_v1.0:LG03:4158287:4159832:1 gene:TanjilG_08171 transcript:OIW15595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGFFSLGGKGGLQNKDEEEQHQRQVNTPFLFRNEEIYNRGFEIWPQYHNNNNNNTNNNYYSCGVGPSRRNNSSSSNNVVDDVVSVSDESTRFGFTMMRASGSSSFGGINCQDCGNQAKKDCPHLRCRTCCKSRGFQCQTHVKSTWVPAAKRREKQQQQQHNEQQQQQQFWGGDHSSRRNRENQGVASLSVTTTGLEQGQFPPELNSPAVFRCVKVSPMDAPDERYAYQTAVNIGGHVFKGILYDQGPDSPYTIAGGAGEGSSGGGGGDAQQLTLTTATTTGNPFDPSQLYPPPFNAFMAGTQFCPPRS >OIW14792 pep chromosome:LupAngTanjil_v1.0:LG03:24954150:24959265:-1 gene:TanjilG_05413 transcript:OIW14792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPLISAVAGALLFLFALLSILAPSPVETDHIHHRRSNSPSVGGKLDRDVWTSRNSEHFYGCSNSSSKFQKAQVVTKPDRYLLIATSGGLNQQRTGIVDAVVVARILNATLVVPKLDQRSFWKDSSNFSEIFDVDWFISYLSKDVKVIKQLPPRKGGKMLSAHSMRVPRKCNERCYINRILPVLLKKHAIQLNKFDYRLANKLDTEYQKMRCRVNYHALKFTNPIRAMGEQLVHQMRMRSKHYIALHLRFESDMLAFSGCYYGGGEKEKKELGAIRRRWKTLRKSNPDKARRQGRCPLTPEEVGLMLRALGYGSDVHIYVASGEVYGGEETLAPLKALFPNFYSKETIATKEELEPFSSFSSRMAALDFIVCDESDVFVTNNNGNMAKILAGRRRYFGHKPTIRPNAKKLYRLFLGRSNLTWEVFASGVRTFQKGFIGEPKELRPGRGGFHENPSTCICEDSVAKVDKHSGSRKIGKDNVTKKNVANDDLDVDDEFEEPDMDDDEDPNDLVERSMSNETISDYENLNFEEPELDEFPSD >OIW15216 pep chromosome:LupAngTanjil_v1.0:LG03:17258827:17259735:1 gene:TanjilG_08808 transcript:OIW15216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVVIECYTSRDTWLALEATFSDKSKAQELRLKDELQLIRKGSRTVLDYGKHFKSLCGQLVAIGRSVDDSDKSHWFLHGFGTVFSSFSATQMAQVPLPNFSELLSRAENFDLFQKAMEVAEQTPAAAFLAYSPNSGSHQTPRGRGRSGNRGRGGYHSGGSGKGRPKYPPKCQIYREECNYADKCPQRYSTHSTNLEEAFNAPGSLAPTVQSDWYMDTDAFAHMTPHATNLENITPYFGPNQVVVGNGDTLCVSHIGSCSLKNSVKLLDVLVVPRLTKNLLSISKLTNDYPIDVLFTDNSFTL >OIW15276 pep chromosome:LupAngTanjil_v1.0:LG03:14990335:14995334:-1 gene:TanjilG_08073 transcript:OIW15276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKGGSWFSSVKKVFKSSSKDSPLPDKKKDKEEKWPHEATEVLSFEHFPVESSPYVTNDGSTTSTPVTEDNHHAVAFAEATAAAAEAAVAAAQAAARVVRMAGYERHTKEERAASVIQSYYRGYLARRALRALKGLVRLQALVRGHNVRKQAQMTMKCMQALVRVQTRVKARRLQLTKEKLQRTLLEEHEQRILNEQVPLYKPMSPMTKLHIDGWDNRNQSSQKIQRNDLMKHEAAMKRERALAYAFNCQQQYLQINPNGNDIGSYAKEHEAAAQLGWDWLAPQQHHLRHLWGPLETTFTTSATTTTEDMSEEKTVEMDMGLMGQGFLDSSPTSERYSQGQNSVGVPSYMAPTQSAKAKVRIQSPFRQRALSGPKWKSPSRRNSLNGLGCDSSSSCGATAAHHFPKSPSPHINGVQLQSRWISSGSPDNIGVEDWALPLGAHGWQ >OIW15523 pep chromosome:LupAngTanjil_v1.0:LG03:6359444:6360141:-1 gene:TanjilG_27374 transcript:OIW15523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKCELCKVTATTFCESDQASLCWDCDAKVHGANFLVARHTRTLLCHTCHSLTPWKASGAFLGNTVSLCEGCAGGTRVHADEVDESEGDNDDEVESSDDEVEDEDGDNQVVPWSSTATTPPACSSSCVEGSVTRFSHGYDYEDVSESDTTVSVKRRREDNDSQVSISRVEVF >OIW14980 pep chromosome:LupAngTanjil_v1.0:LG03:23384749:23402100:-1 gene:TanjilG_30699 transcript:OIW14980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLVERLRVRSDRKPIYNIDDSDDDDFLPRKPGTTLENFERIVRSDVKENLCQACGESGNFISCDTCNYVYHPKCLLPPLKGPVPIIWRCPECVSPLIDIDKILDCVMFPTVAADSDATVSGSKQCLVKQYLVKWKGLSYLHCSWVPEKDFQNAFKTHPRLRTKLNNFHRQMASVNTSEEDFVAIRPEWTTVDRVLACRGDDDEKEYLVKWKELPYDECCWEYESDISAFQPEIERFNSLRSKSSKLSSHKLKSSDRDDAELKKQQKEFQHYEDNPDFLSGGTLHPYQLEGLNFLRFSWSKQTHVILADEMGLGKTIQSIAFLAALFEEGISPHLVVAPLSTLRNWEREFATWAPQMNVIMYVGSAQARAVIRDYEFYFPKQQKKIKKKKSGQIVSESKQERIKFDVLLTSYEMINLDTTSLKPIIWNCMIVDEGHRLKNKDSKLFSSLKQYSTKHRVLLTGTPLQNNLDELFMLMHFLDAGKFGSLEEFQEEFKDINQEEQISRLHKMLAPHLLRRVKKDVMKELPPKKELILRVELSSKQKEYYKAILTRNYQILTRRGGAQISLINVVMELRKLCCHPYMLEGVEPDIGDANESFNQLLETSGKLQLLDKMMVKLKEQGHRVLIYSQFQHMLDLLEDYCSYKNWHYERIDGKVAGAERQIRIDRFNAKNSSRFCFLLSTRAGGLGINLATADTVIIYDSDWNPHADLQAMARAHRLGQTNKVLIYRLITRGTIEERMMELTKKKMVLEHLVVGRLKAQNINQEELDDIIRYGSKELFSDENDEAGKSRQIHYDAAAIDRLLDRDQVANFEYVDEAQAAAEEAAQKRAMETLNSSERTHYWEELLKDKYQEHRVEEFNSLGKGKRNRKTIVSVEEDDLAGLEDVSSDGEDDNYEADLTDGDSNSTGATSARRPYKKKARAESTEPLPLMEGVGRSFRVLGFNQNQRATFVQILMRFGVGEFDWKEFIPRMKQKTYEEIKDYGILFFSHITEDITDSSTFTDGVPKEGLRIQDVLVRIAILSLIRDKVKFASENPRNALFSDDVLLRYPGLKGAKIWKEEHDRLLLRAVMKHGYGRWQAIVDDKDLNIQEVICKELNLPLITLPVAGQVGCNTQNGLNLTNVELPSNQCPENGGNDIAADGAQGSGDAKNQTQLYQDSTVLYHFRDMQRRQVEFVKKRVLLLEKGLNVEYQEEYFANLKSDEEHESEPEATGFQSYKLGDPDTQVIDQLPQVEAVVLEDISAAACDKDPNQLELVQVYNEMCQAVKENPIDLVRRSSEREQAEGNMVKNNPPLETFCEDINRLLTVTPTQQDQTNAGISVFNSENKSEAAISKEDCKLDNSADNESKDMVIEPKPEKESCSSLIDEKKGDDTEIDGTKNNAELN >OIW14558 pep chromosome:LupAngTanjil_v1.0:LG03:26886028:26911403:-1 gene:TanjilG_14944 transcript:OIW14558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIVKGVADLIRRTSSVHTGDSSGLQAQKFSPPGPKIRFSDVGDEAIVNTLWERYDKVDDKVEKKRLLHVFIKQFVVHYKDWEPVNSGILLESTSAENFPSDEDVVVGCSAGHPIEVIRVLIEEITQLSSLVTELNTSMMQSPTDLSGAATQLFITSEGFVILDALKIITRSLYNCRVFGYYGGIQKLTALMKGSNIDKKDELFCSPVGFISRADASLSSSNSSRVLSTEARFHWRQKATVSVMEAGGLNWLVELLRIIRRFSLKELLMDDSLQYLSLKILSLALSTNPRGQNHFKSIGGLEVLLDGLGFPSNYAKTYSKFVLADDGLSFGNTNNLQFLCENGRVHKFANSFCSPAFVLQDLGLEKDFSGQQAVGKHGLDIRKNDNSGKPDTASPSAGLSPSASFFHFWNDYVVMLSKGLCSFLLVPEGSKSIHVKASSGRHSLPVSSAYCELSIKWVMRVLFTIFPCIKACSSQNELPSYLRVYVTVLQNTVLNAFRNLLSSSSMTLEIFREEGIWDLIFSENFFYFESSSDEFTGLTLAYNEEKSEILSASGSTSNTAGVIEVNSLQMEVISFVELAATAKGNAHNTIIPYSEEDKRAMSQLCSKYLEMFTQIKEHERCFVDLSIDLMVGMRDMLQANQAYYQALFRDGECFLHVVSLLNSNLDEEKGEKLVLNVLQTLTCLLASNDASKAAFRALAGKGYQTLQSLLLDFCQRHSSERLLDALLDMLADGKFDIKLSPMIKSSELLQHRGLGLFQQLLKDSLSNKASCVRAGMLDFLLNWFSQEDNDSVIFQIAQLIQAIGGHSISGKDIRKIFALLRSDKVGTRRQYCSVLLTSLLSMLHEKGPTAFFDHDGTDSCCKFENEIGESEKALLTPTTRECVTAEVIELIASLLDENLANQQQMHIVCGFSVLGFLLQSVPPRQLNLESLSALKQLFNVVSNSGLAELLVKEAISSIFLNPLIWVATVYKVQRELYMFLIQQFDNDPRLLKSLCRLPRVLDIIHQFYCGNVKSRLFIGSNSLQHPVSKQVIGERPSKEEIHKIRLLLLSLGEMCLRQNIAAGDIKALIAFFETSQDMTCIEDVLHMVIRAVSQKSLLASFLEQVNITGGCQLFVNLLQRYLSGCEDATARIKIIRDLLDLLDSNPSNIEAFMEHGWNAWLSSSLNLDVLKDFNAKLPNQGHSEMDELLVVRNLFSLVLCHYLHSVKGGWQQLEETVNFLVMHSEKGANSCQSFLRDIYEDLIQNMVELSAADKIFVSQPCRDNTLYLLRLIDEMLISEIDRELPFFGSDSDFHLALEMECHKEYSFALKEVLTGEADEQTSRKSQNFKQPLRNDDTIEEKWWNLYDKLWAVISKMNGKGPSNMLPKSSSFAGPSLGQRARGLVESLNIPAAEVAAVVVSGGIGTALSGKPNKIVDKAMILRGERCPRIIYRLVILYLCKSSLERASRSQYGMLDDGARFHVLSHLIRETVNIGKSMLATSIVSRDDNTDSSYNSKDTGSIQNLIQKDRVLSAASDEAKYMKTSKTDRNQQIQELHSRIDENSLAEFGSKKAFQDEIQNGLNYVLASDDSRKAEFQLTYEEEQQNIAEKWIHMFRSLTDERGPWSTNPFPNCAVTHWKLDKTEDTWRRRPKLRRNYHFNEVLCNPLSASTSGAVTTVIESTPGFVGKIPDKMKQLMLKGIRKITDEGTLDVNESNTEISEPKAPNPPDHSGCISSDLTKESSDRKDIVQERKETSSSPETETSEFLVEGTGGSSVFRNFDASSNFDLTKSDQKQRPFKWSASDMDLQKGIAVSNIEVINGNDSVKLMRCVKRHRRWSMAKIKAVHWTRYLLRYTAIEIFFSDSVAPVFLNFASLKDAKDTGNLIVSIRNEYSYPKGSGKDKGGTINFVDRRVAQEMAENARESWRRRDMTNFEYLMILNTLAGRSYNDLTQYPVFPWVLADYSSEVLDYNKSSTFRDLSKAVGALNSKRFEVFEDRYRNFCDPDIPSFYYGSHYSSMGIVLYYLLRLEPFTSLHRNLQGGKFDHADRLFQSIDATYKNCLTNTSDVKELIPEFFYLPEFLVNSNSYHLGVKQDGEPIGDICLPPWAKGSPEEFIRRNREALESEYVSSNLHHWIDLVFGYKQRGKPAVEAANIFYYLTYEGAVDLETMEDDFQRAAIEDQIANFGQTPIQIFRKKHPRRGPPIPIAHPLHFAPDSISLTSIVSNTSNSSSAILCVDLMDSNVVLVNEGLNLSVKMWLTTQLQSGGNFTFSGSQDPFFGIGSDVLSPRKIGIPVPENVELGSKCFATMQTPSENFLISCGNWENSFQVMSLSDGRMVQSIRQHKDVVSCVAVTSDGSILATGSYDTTVMVWEVFRGKSTEKRIRNNQSELPRKNSVIVETPCHILCGHDDIITCLYVSHELDIIISGSKDGTCVFHTLREGRYVRSLRHPSGSPISKLVVSQRGHIVIYADDDLSLHLYSINGKHLASSESNGRLNAVQLSRCGEFLVGAGDHGQIIVRSMNTLEVVKKYNGVGKVITSLTVTPEECFLAGTKDGSLLVYSIENPQLRKTSHNKNMKSKLT >OIW15901 pep chromosome:LupAngTanjil_v1.0:LG03:1459397:1464723:-1 gene:TanjilG_04436 transcript:OIW15901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIIDPCPLRLARQPQPCLALPFLPVSSFLSLYRDTFNSILSGVWGPQLEQSTYKCRQRLYLSEQTDGSVPNTLVIANCEIVKPRVAAAEHISQFNEEARSPFVKKFKTIVHPGEVNRIRELPQNNKIVATHTDSPVVYIWDVETQPNRNAALGANPSRPDLVLTGHQENAEFALGMCPTEPFVLSGGKDKLVVLWSIQDHIATLSEAGSNSKQGSKTNERAKVSPSIGPRGIFQGHEDTVEDVQFCPSSAQEFCSVGDDSSLILWDARAGTTPAVKVEKAHVGDLHCVDWSPHDINYILTGSADNTIHMFDRRNLNSGGVGSPVYKFKGHDAAVLCVQWCPDKSSVFGSSAEDGLLNIWDTEKVGKASSSDGPNAQNSPPGLFFQHAGHRDKVVDFHWNASDPWTITSVSDDCGSTGGGGTLQIWRMIDLLYRPEEEVVAELDRYKAHIEGCVA >OIW15893 pep chromosome:LupAngTanjil_v1.0:LG03:1392928:1395878:-1 gene:TanjilG_04428 transcript:OIW15893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFAKWVNLLMVFHFFAATPIFSHSSSFTSKFLDLAKEPEIFDWMVDIRRKIHENPELGYEEFETSKLIRSELTKLSIPYKYPVAVTGVVGFIGTGSSPFVALRADMDALPIQELVEWEHKSKVAGKMHACGHDAHVAMLLGAAKILIAHEKEIPGTVVLVFQPGEEGLGGAKKIIDSGALENVSAIFGLHVDPTLPIGEVSSRSGPLFAGSGFFEAIISGKGGHAAMPQYSIDPIVAASNVVLSLQHLISRESDPLDSKVLTVAKFGGGDALNVIPDSITIGGTFRAFSRENMMHLKQRIKQVVIGQASVYRCNATVNFLDEEIPYMPPTFNNDELHELFQSVAVNLFGVNKVSSSELVMASEDFASYQEAIPGYMFLLGIRNISVKSLAIPHNPHFTVDEDALPYGAAIHASLASNYLLKLHQNLPLVEEKYNDEL >OIW15402 pep chromosome:LupAngTanjil_v1.0:LG03:11909280:11912717:1 gene:TanjilG_15785 transcript:OIW15402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTHTPTSICDSDIPLRLKSIDAFAASFTKSLHSLRPKSQETSHSQVKLDELKAKLREAEDNLVKALGVKTRGEAKRMALTESIASVKGRVEDFRTSVEELRAKNEEYATIVSQQQRVLAASEENSSGSIEHQDETQEAISWYNRVLGFHVEGGHGVKFTFKNVNANNPNEEYYFTIRHEDDTYTLLNCEPSLKGTNKLVHELNKTNGLFKFVRIMRKRFQEAVAQENGQQESSLISDSAPVLSISTIRSHSPTKENEHQGEPKNKHSRRRVNSAVLSPGSASSIHQSPRLKV >OIW14557 pep chromosome:LupAngTanjil_v1.0:LG03:26913718:26916163:-1 gene:TanjilG_14943 transcript:OIW14557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLQDKQKLVHKIAQQSKDASNMLHSFTRRELLEIICAELGAKRKYTGYTKGQMIENLLKIISKESKLNSIKRTIAHPPAKSHIGPKRKKVASHDLHYAPQENSKEGTAKALLCQNVACRATLTSEDSFCKRCSCCICLCYDDNKDPSLWLTCSSDLPGEESCGRSCHLQCALSNESSGILKDSWGTKLDGSFLCACGKNNELMSTWRKQLLAAKEARRVDILSLRVSLARRILIGTEVYIEVHKIVESALELLNNEVGPLDQECARMTRGIVSRLSCGAEVQKLCYNALECFDSKFSNLFSDGTGNKNTPACSIRFEECLPTSVIIVLDYKDHHLKKFLGCRLWHRISTTNYPEQPTFIVLRPEKRFKLENLHPSTEYFCKASLFSSTGVLSVAEAKWVTPSKPMASSKVTTPSPHKSSVTTMHMCEYHMNKINTEKHYQLESANSDMKLSSRRHLILDNSKSSFEEFLARSPSVESLSCKTFAAVSPTTPSKSNEMRQSNGFTSKKHGEENDYEYSVRVVKCLEHEGHIAEIFRIKFLTWFSLKASQQERRVVSAFVDALNDDPASLADQLIHTFTDEIFREQKSH >OIW15970 pep chromosome:LupAngTanjil_v1.0:LG03:1964157:1971741:1 gene:TanjilG_04505 transcript:OIW15970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCIYSKVCIGDTCRDSSINGDAITRHAALSESSSDVQQGEIRDQLNRLSLTRDPEAGIRRLARVSAQFLPPDGSRTVKVASNGYELRYSYLSQRGYYPDDLDKANQDSFCVHTPFGTSPDDHFFGVFDGHGEFGAQCSQFVKRKLCENLLRNSKFHVDAVEACHAAFLATNSQLHADILDDSMSGTTAITVLVRGRTIYVANSGDSRAVIGERRGKDLVAVDLSSDQTPFRPDELERVKFCGARVLTLDQIEGLKNPDIQCWGTEEADDGDPPRLWVPNGMYPGTAFTRSLGDSIAESIGVVANPEILVFELTRDHPFFVLASDGVFEFLSSQNVVEMVAKFKDPRDACAAIVAESYRLWLQCETRTDDITVIIVHINGLTEPAVGQSASYGDVLPTRVPHAVEVTGSESPTTFGLNSGNHRVRHDLSRARLRAIENSLENGQAWVPPSSAHRKTWEEEAHIEQALQDHFLFRKLTDSQRHVLLDCMQRVEVEAGDIIVQQGGEGDCFYVVGSGEFEVLATQEEKDGEVPRVLQRYTAEKLSCFGELALMYNKPLQASVRAVTNGTLWALKREDFRGILMSEFSNLSSLKLLRSVDLLSRLTILQLSQISDSLSEVSFSSGQTIIDKDEALALYIIQKGQVKITFNSDILTSPIACSLKPDIENEDDNARNRRELSIEKPEGSYFGEWALLGEHIGSLSAVAVGDVVCAVLTKEKFESVIGPLQKLSHEDLKLRDNSKQLSGNFDFSSLDKVRLSDLEWRKTLYSTDCSEIGLANLRDSENLVTLKRFSKPKVKRLGKEAQVLKEKDLIKGMSSSACIPQVFCTSADRIYAGILLNTCLACTLSSILSSPFGESAARFCAASVVTALEDLHKNGVLYRGVSPDILMLNQTGQIQLVDFRFGKRLSDERTFTICGMADSLAPEIVLGKGHGFPADWWALGVLIYFMLQGEMPFGSWRENELDTVAKIAKRKLYLPETFSPEAVDLISKLLDAEENTRLGSQGPDSVKSHPWFNGIDWEGIRHHTCPVPEEIISRLVPYLEFHSEDCTGSLGSPSQEVDELNVPEWLEDW >OIW14747 pep chromosome:LupAngTanjil_v1.0:LG03:25234047:25234508:1 gene:TanjilG_05368 transcript:OIW14747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFCGSGISLGCDEELKVVMDERKRKRMISNRESARRSRMKKVKHFHDLSAKMAQLTNQNHQLLTTLNHTTQRYFILEAQNSVLKAQVAELSHRLHSLNHIIDFFNATNGVFEDVDFASRTFIDPALDNIFNPFNVSYMNQPIIASAEAMLQY >OIW16072 pep chromosome:LupAngTanjil_v1.0:LG03:2950899:2954267:1 gene:TanjilG_04607 transcript:OIW16072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYGVGGGGGFPGDHLHHHNHHHDGGSSDSQKRKKRYHRHTANQIQRLESMFKECPHPDEKQRMQLSRELGLAPRQIKFWFQNRRTQMKAQHERADNCTLRAENDRIRCENIAYREALKNIFCPSCGGPPLHEDPYVDEQKLRLENAQLKEEVDRVSSIAAKYIGRPISQLPQLHPIHISSLDLSMGSFGTQGFGGGPSLDLDLLPGNGSSSSMQNVPYQPPCLSDMDKSLMSDIASNAMEELVRLLQTNEPLWMKSSTDGRDVLNFDTYERMFPKPNSHLKNPNVRIEASRDSGVVIMNGLTMVDMFMDPNKWMELFPTIVTMARTFEVVSSAIMGGHSGTLQLMYEELQVLSPLVSTRDFYFLRYCQQIEQGLWAIVDVSYDFPQDNNLAPQFRSHRLPSGCFIQDMPNGYSQVTWVEHVEIEDKTPVHRLYRNLIFSGLAFGAHRWLSTLQRMCERIACLMVSGNSTRDLGSVIPSPEGKRSMMKLAQRMITNFCASISTSASHKWTTLSGLNEIGVRVTVHNSSDPGQPNGVVLSAATTIWLPIPPQTVFNFFKDERKRPQWDVLSNGNAVQEVAHIANGSHPGNCVSVLRAFNTSQNSMLILQESCIDSSGSIVVYCPVELPAINIAMSGEDPSYIPLLPSGFTISPDGQSDEGGGDGASTSSNTNRNTSSGSLVTVAFQILVSSLPSAKLNKESVNTVNSLIGTTVQQIKAALNCPSS >OIW14410 pep chromosome:LupAngTanjil_v1.0:LG03:29452493:29454401:-1 gene:TanjilG_20856 transcript:OIW14410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEESFRPPQKDGNTSGKNSSINRVPKENQDSNIPVPEVTSISEMNKLLLQSHASYRSVRPKWSSAVDQELLHARSEIENAPIVKNDPNLYAHIYQNVSMFKRSYELMEETLKVYIYREGAKPILHSPFLTGIYASEGWFMKLMEANKRFVTNDPKKAHLFYLPFSSRKLEEALYVEGSHSHKNLIQYLHDYVDTISAKHPFWNRTGGADHFLVGCHDWAPSETKLHMDKCIRALCNADVKEGFVFGKDVSLPETYVRNALNPTRELGGNSASKRTNLAFFAGSMHGYLRPILLHHWENKDPDMKIFGKLPKSKGNRNYIHYMKSSKYCICAKGYEVNSPRVVEAIFYECVPVIISDNFVPPFLEVLDWESFAVIIMEKDIPNLKSILLSIPEKKYLRLQMRIKKVQHHFLWHNNPIKYDIFHMILHSIWYNRVFSAISIDT >OIW14377 pep chromosome:LupAngTanjil_v1.0:LG03:29812587:29813635:1 gene:TanjilG_15731 transcript:OIW14377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTLMEYFKISLDCFFAVWFVVGNVWIFGGHSSADDAPNLYRLCIVFLVFSCIGYAMPFILCSTICCCLPCIISILEVREDLTQNTGATSESINALPTFKFKMKKNKRSGESHSAAAEGGIVAAGTEKERVITGEDAVCCICLAKYENNDELRELPCSHIFHKECVDKWLKINALCPLCKSEVGENLTRSNSGEDASQ >OIW14357 pep chromosome:LupAngTanjil_v1.0:LG03:30054651:30056539:-1 gene:TanjilG_15711 transcript:OIW14357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VSQLELGKPEQKRKPEKRVNGIFWIILLNIGIFVADHFFKVNGVKALYLYHNWPVWYQFVTATFCHANWNHLSSNLFFLYIFGKLVEEEEGSFALWISYIFTGVGANLVSWLVLPRNAVSVGASGAVFGLFAISVLVKMSWDWRKILEVLILGQFVIEKVMEAAQASTSLRGGYALQNVNHIAHLSGALVGVLLVWLLSKVPSGPSDS >OIW16032 pep chromosome:LupAngTanjil_v1.0:LG03:2569509:2572504:-1 gene:TanjilG_04567 transcript:OIW16032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPTKFFVPAFLKAINDNTEQSFRNIVSEPSPGIFVFEMLQKDFCEALLEEIENFEKWVTEAKFRIMRPNTMNKYGAVLDDFGFETMLDKLMEGFIRPLSRVFFAEVGGSTLDSHHGFVVEYGQDRDVDLGFHVDDSEVTLNVCLGKQFSGGELFFRGTRCEKHVNTGTQSEEIFDYSHAPGQAVLHRGRHRHGSRATTSGHRINLLLWCRSSVFREMKRYQKDFPSWCGECNREKKERQRSSIATRLDIFRRESESTA >OIW14574 pep chromosome:LupAngTanjil_v1.0:LG03:26704235:26704699:1 gene:TanjilG_32916 transcript:OIW14574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDHTRTGTYGYGSSYGSSYDTNNVNLNNTPSRQTIKFLTAAAIGVSLMLLSGLILTATVIGLIIATPLLVLFSPILVPAGFILLLVASGFLFSGGCGVAAVAALSWIYNYVAGKHPLGSDSIDYARGVIADKARDVKEKAKDYAQGATTGSTY >OIW14363 pep chromosome:LupAngTanjil_v1.0:LG03:29986214:29986558:1 gene:TanjilG_15717 transcript:OIW14363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLFRSNCINIVTSGVPFSYDSDNYDNYKITTTTIQKPCSTRPDDNISLIAKDQFAPFPTQTLFPNRINNFAITIPQRHSPQHSMKLSYTPTSSLSMFPFSTTQPYTTHTILIP >OIW15375 pep chromosome:LupAngTanjil_v1.0:LG03:12514631:12520470:1 gene:TanjilG_20287 transcript:OIW15375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDVESSSFSWDRLSSLYHTEHSSSNDHSEDEMNRALEVTVNSGGVVFFAFFNGLGNDDGFPKEAAAVIKISSSRMATQSERLGYEFAKWLGVPTPQARVIHNTTLEWLQVKEATEKARDATSSKGDEIGEMTCFELLEALELSRCLLFMSYVHGSPLLESSCAFESRESAERTSAALGRVMMLDLVIRNEDRLPCRQLRWRGNYANLLLAENMISANTDTLGEAFDSAMNQYRPRVTRALQNQRSTSDSRLSSHNPVLASAGSDLSDIKESPVSADMSFKGQTSREPLRTDFNIVAIDSGVPRRPPAGKRANDQVNYPKLVELLLNSSEFSSNLLHDITGGRLGFPPPEDINTTTYIHVSHVTSVVHEFRSGFRAALRDLQGFHIFLLTLHQKLDNLLRLFMNIISKISLGESEKDDSLVPDSPSLAAIVSCPSPTSKERFSNYNHQDFCDSESQRSAPRASSSSGIRDCCDSASSMSRESWHAKSLRSLCLTTKLRDFHKFAKVDAESNKELEQWNEMLKNDAIKLCLENNFNSGFFEGSDNNSVIDAYELKVRLEHILERIALISEAASTERPSIVTNTLLIGGALAARSVYTLQHLGITHILCLCTNEIGQSDSQFPDLFEYKNFYVCDNEDSNISDIFEEACDFIDCVEQTGQKILVHCFEGRSRSVTLVLAYLMLKKKFTLLEAWNALKRVHRRAQPNDGFTKILQELDLKLHGKVSMEWQQRKPTMKVCPVCGKNAGISSGSLKLHLQKSHKKLSSGSVDSAMTMEIEKALIALKISRGGSVSPTQRQSHSMIDE >OIW14818 pep chromosome:LupAngTanjil_v1.0:LG03:24623656:24627379:-1 gene:TanjilG_17043 transcript:OIW14818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHNNLHHLQQDQPQPLEPASSLTPSSYGSLGGRSHSWTPNITLNVGNFNQNLQEANPRSRALMHKNDMIQDLGYHHHWTGDGESYLAPTFSEMLNSTPSNMEDYKYHNPVHSTSTVTNQMKNSNECKDMNALNEKLLLKTLFSGDMYSTSAENYGNFGAATHHGVPSRGNFSQIYPSINISNLSHSSTSTPISSSLNIMSTTQPLDLLTSPTSFPMGLSHRSHSQDHSFGNDNLSFRLEHMQQPTDRSSCNNSGNLSQLSNGEVETKRPCTLMDSKTTQSQTASKKSRLESRPSCPLIKVRKEKLGDRIAALQQLVAPFGKTDTASVLMEAIGYIKFLQGQVETLSVPYMKSSQNQNNRIIQRGSAIGDTHGEPNQDLRSRGLCLVPLSCMSYIAGDGGTEVWQQPNFGGGT >OIW15574 pep chromosome:LupAngTanjil_v1.0:LG03:4921685:4923298:-1 gene:TanjilG_01097 transcript:OIW15574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTSILDALNVRVEGTGDRYLVLAHGFGTDKSAWQRVLPYFTTRYSVILYDLVCAGSVNPDYFDYRRYTTLDSYVDDLLNILDALLVTRCVYVGHSISAMIGMLASIRRPELFTKLILIGASPRFMNDKDYHGGFDEGDFEQVFSAMESNYEAWVNGFAPLAIGADVPEAVREFSRTLFNMRPDISLFVSRTVYNTDLRGILGLVKVPCVIMQTARDCSVPATVAMYMLEHLGGRSTVLWLDTEGHLPHLSAPSYLASQLDIALSSQ >OIW15077 pep chromosome:LupAngTanjil_v1.0:LG03:21430910:21431434:-1 gene:TanjilG_08564 transcript:OIW15077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRNFFTILSLLLLVAFPYTKASENVPHPIQKKIEVVVEAVVYCQTCEHFGTWSLTGAKPIPSAKVSVTCKNHKGHVSFYKVFETDKNGYLYAPLEGLKIQNHILDHPLHSCFVKPVWSPLESCNFLSNVNYGLNGASLRYEDKRLIGSRYEAIIYAAGPLAFHPSHCTQTHH >OIW16028 pep chromosome:LupAngTanjil_v1.0:LG03:2499089:2499984:-1 gene:TanjilG_04563 transcript:OIW16028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRFSLPVTYVGSVFTPGGLRWFSSHFRWLKVVPNQICFCLIVWKLLSGYGRVNRCDVTMVYVA >OIW15461 pep chromosome:LupAngTanjil_v1.0:LG03:10244317:10252630:-1 gene:TanjilG_28660 transcript:OIW15461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGTPITPINGRTGPSFSVINGGHDLVPSSATPSNAGSDYGGIIEFTREDVEALMNEKSKRKDRFNYKERCENMMDYIKRLKICIRWFQDLELSYSLEQERLKNSLQLSQQKCMEIELLLKIKEEELNSIIVEMRRNCTSLQEKLIKEETDKTAAMDALVKEREARLNFERSQSTLEEDLVRAQRELQSANEKISSLNDMYKQLQDYISGLQKYNGKLHSELSSVEDELKRVEKEKATVVESLTMLKGQLTLSMASQEEATKLKDALTSEAASLRIELQQVRDDRAHQISQVQTLTSELAKFKDSTEKSSSEMDNLTTITNELEAKCTLQDNQIKALQDQIATAEKKLEVSDISAFETKAEFEGQQKFVKVLERRLADAEYKVLEGEKLRKELHNTILELKGNIRVFCRVRPLLPDEVCNTDGKLISYPTSMEAAGRGIDLTQNGQKHAFKFDKVFVPDASQEDVFIEISQLVQSALDGYKVCIFAYGQTGSGKTYTMMGRPGHPEEKGLIPRSLEQIFQTRQSQQPQGWKYEMQVSMLEIYNETIRDLLSTNRSPSDATRQENGTPGKQYAIKHDASGNTHVTDLTVVDVQSVKEVAFLLSQAENSRSVGKTQMNEQSSRSHFVFTLRIYGVNESTDQQVQGILNLIDLAGSERLSKSGSTGDRLKETQAINKSLSSLSDVIFALAKKDDHVPFRNSKLTYLLQPCLGGDSKTLMFVNISPDQSSVGESLCSLRFASRVNACEIGTPRRNTYGRSTESPSRLSYF >OIW15145 pep chromosome:LupAngTanjil_v1.0:LG03:20649644:20650921:1 gene:TanjilG_14144 transcript:OIW15145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENNEPPSPPSPPSSPPSSPPSSPPSSPPPSPPSWPPHHRLLIYSYDPPYSHHAYLPLYISFPLKITLYNFNTNNTHLYFTLTSKSIVIIPIRAAQNQSNTNVADNGDGDGDGDNGAQGFDLVHDDDHDGDYNNYNNNGVREYHDLVANGDGDNGAQGFDLVHDNDNGVYNNNYNNGVGEDHGLVANGVGDDDNGAEDFDLVHDDNGVYNNNYNNGVGEHHDLVANGVGDGDNGAEGFDLVHDDNGVYNNNYNNGGEDYDSVANGVSDDNDQAEFSDYHNFDNDDNDDVRLGIFSFPGMIGFTQYGITLTTLPTLPAYINIANIYNYNPIVLLINSDTSVSVINPAPPLPFSLNDNGENDFKNNQAIYRLITMPFILTLPFNIANTNTILYIFLRVLHHRVIVYATTQVGVTDCAAYLTMTDPQT >OIW14802 pep chromosome:LupAngTanjil_v1.0:LG03:24883263:24888771:1 gene:TanjilG_05423 transcript:OIW14802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGDSKSENAVYLHGTLDLNIVEARFLPNMDMFSERFRRFFSALNTCSASFTGKRRNRSRRKKRKIITSDPYVTVCLSGATVARTRVISNSQNPTWNEHFNISLAHPASQVEFYVKDNDMFGADLIGVVTISAERILTGKTLSDWFPIIGSFGKPPKPDCAIWLEMKFTRCEDSPIYKNIVDPDRFAVRDSYFPVRHGGSVTLYQDAHVPDSMLPEIELDEGVVFEQGKCWEDMCHAILEAHHLVYIVGWSIYHKVKLVREPTKPLPSGGNLSLGDLLKYKSQEGVRVLLLVWDDKTSHSKFGINTVVGTLFTHHQKCVIVDTQGHGNNRKITTFIGGLDLCDGRYDTPEHRIFRDLDTIYEDDYHNPTFSAGTKGPRQAWHDLHCKIEGPAAYDILTNFEQRWKRASKWSELGQRFKRVSHWHDDSLIKLERISWILSPSESTPNDDPELWVSKEDDPQNWNVQVFRSIDSGSLKGFPKDVLEAEAQNLVCAKNLVIDKSIQTAYIHAIRSAQHFIYIENQYFIGSSFAWPSYKEAGADNIIPIELALKIVSKIRSKERFTVYVVIPLWPEGVPSSVSVQEILFWQGQTMQMMYKIIARELKSMHLDNSHPQDYLNFYCLGNREKFRTDVSTSNNSSTNNGDTVSASQKFQRFMIYVHAKGMIVDDEYVMLGSANINQRSLAGSRDTEIAMGAYQPHHTWSKKNGHPHGQVYGYRMSLWAEHMGIINDCFKDPESLDCVKTVNKIAEDNWKNYTADEFTPLLGHLMKYPISIDANGKVSALPGFESFPDVGGKVLGSRSTLPDALTT >OIW15789 pep chromosome:LupAngTanjil_v1.0:LG03:693029:699046:-1 gene:TanjilG_04324 transcript:OIW15789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGTNSNEFVFLSRVRAGLKREFQFAMKAQSEICNGAGSLGRTRASKNNNPDRVPIQHFPDPKRFRKTGLFKNVEEPHDDMVCEEEVRSVGLDVTTDDEPKNQIGESELDCDAAMPVVEIKNVVIETVISEVMPDNEEVESLKEGVVYEIVQSVCENVVKEEETGVETSVVPVSVKDDIGEGEKMELEKPLGRFSGLVLKQKCDEPKVMDNEENCSTIAVGIDDNVAREMTSMLIFEDGPKSDVMETVINGELMNEEPNVVSLKEMAMVEIAQHFCQTEIKEEHVVLQELEKGGSGAPVVNVINGTTPVLVNGDIDKGKKRAVEKAVRRFTRSALKPKCDEAKVISNGQIVNDIALEMDDSSRREAENVIIVTTPTLTKTPRSSALKKFPIRLKDLLATGILEGLQVKYTRGLKARRPGEKGLEGVISGSGVLCSCETCKGVEVVTPTVFELHAGSTNKRPPEYIYLENGNTLRDVMNACLGPLDTLGVAVQKVLGGFTMKKSTICFNCRGVSIFEAGKGVSKLLCDSCLELKDSQPSPIQTPIISKESAPVDVQTPAISNASVPVDAQTPAISNASVPVAVQTHTISHKSVPVAVRSRSPEPAVVPKSLNNGMKHTTSCGKSQGKLTRKDLRLHKLVFEENVLKDGTELSYHAHGRYCLVTKKDVEFIVHAARKRYLHIYTPEGISLHDLSITLLKERRFCTSENDDLCSICQDGGDLLCCDGCPRAFHIGEMLVAFCCYTYSGYMCVALLYLAVLDCVPLPCIPSGTWYCKYCQNNFQMDKHGERNGSTVDRRCIRVVKSGEVDHGGSFILSLLMLDVIYRGHHFSKSFGPRTVIICDQCEKEYHVGCLKDHNMQNLEAVKQELPEGNWFCGTSCNQIHSTLMSLVVGEKNLPVPDSLLNLIKKKHEEKGVETEVGLDIKWRVMNWKLAASDETRKLLSKAVAIFHERFDPIVDSSSGRDFIPAMLYGRNIKGQDFGGMYCAVLSVNEVVISAGLFRIFGPNVAELPLVATTGEYQGQGYFQCLFSCIERLLASLNIRQLILPAAEEAESIWTNKFGFTKLSQEEINSHRKFHHIMVFQGTSLLQKPVPAAL >OIW14811 pep chromosome:LupAngTanjil_v1.0:LG03:24829180:24834004:-1 gene:TanjilG_05432 transcript:OIW14811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLTEEIDPVEIVIVRCRRYVGLGETDDDMQVFYYFIKSENNPHKDPLMLWLTGGPGCSSFSGLAYQIGPLKFKIEEYIGSLPNLVLRPQSWTKVCNIIFVDLPLGTGFSYAKNLTAQRSDWKLVHHTHQFLRKWLIYHPEFLSNKFYIGADSYSGIPAPAIVQEILNGNEKGLQPWINLQGYLLGNPLTTNRESNDRISFAHGMALISDELYVSLRRNCEGEYIDIDSKNKMCLTDIHSFDEARINLFHILDRYCEDDAGMQQEALWRRSLFQKFKASFSAHLTVPDIRCQIYGFFLGEQWINDESVRKALHVREGTIGKWRRCYTDDFEHDISGSFEFHVNLSARGCRSLIYSGDHDAVVPFMSTQAWIRALNYSIVDDWRPWLVNGQVGGYTRTYSNQMTFATVKGSGHTAPEYKPDEGFAMFRENQPIEMNG >OIW15215 pep chromosome:LupAngTanjil_v1.0:LG03:17238719:17242044:-1 gene:TanjilG_08807 transcript:OIW15215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQGPSKKIADRYLKREVLGEGTYGVVYKAIDTHTGQTVAIKKIRIGKQKEGVNFTALREVKMLKELKDQNIIELIDTFLHKGNLHLVFEFMETDLEAVIKDRNIFLSPADTKSYLQMTLKGLAYCHKKWVLHRDMKPNNLLIGPNGQLKLGDFGLARIFGSPDRRFTHQVFARWYRAPELLFGSKQYGSGVDVWASACIFAELLLRRPFLQGSSDIDQLGKIFSAFGTPAPSQWPDIIYLPDYVEYQYVPAPPLRSLFSMASDDALDLLSKMFTYDPKARISVQQALKHRYFFSAPLPTVPHKLPRPSPKRESGVSEEGPNVVSPPRKCRKVMPGCDGFEGNSLPEGKADGNFGDNTGKNEPAPISGDFSIFGLQPPNSSTINR >OIW14825 pep chromosome:LupAngTanjil_v1.0:LG03:24686489:24689000:-1 gene:TanjilG_17050 transcript:OIW14825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYLWCSLLVAHIILFNFTIFSLASPSDNTYNLFETWCKQHNKTYSSEQEKLYKFKVFEDNYAFVTQHKNKVGNSSYTLSLNAFADLTHHEFKTSRIRGLSPRLFRFNHSQNQQSGNRVLHVPSEFDWRKNGAVTPVKDQGSCGACWSFSATGAIEGINKIVTGSLVSLSEQELVDCDRNYNSGCEGGLMDYAYQFVIDNHGIDTEKDYPYQAHDRTCSKDKLKRRVVTIDGYTDVPQGDEKKLLEAVVSQPVSVGICGSDRAFQLYSKGIFTGPCSTYLDHAVLIVGYGSENGVDYWIVKNSWGTSWGMNGYIHMVRNSGNSEGLCGINTLASYPIKTKPNPPTPPPPGPTRCSLFTYCSEGETCCCAKSFLGICLSWKCCGVNSAVCCKDKRHCCPHDYPVCDTARGQCLKRVANATITKAFENEGSFGTPRGWNSQ >OIW15606 pep chromosome:LupAngTanjil_v1.0:LG03:4248864:4250178:-1 gene:TanjilG_08182 transcript:OIW15606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEANKKYPEGRTLAYAQYVSKFVYVKKTRSWKPRKSGYTIGRLIWVSPCTSELYYLRMMLTIVKGPTCYENIRIVSNIRYEIFRDACFACGLLKDDREYIEALREAKDWGFGFYLRKLFVTMLLSTSMNRPNHVWQNTWQWLSDGILYNQRNISNNQGLVLTDEQLQNLTLLEIEKLLEGNRRSLKDYPLMPYPNGYVTSQLGNRLIYQELNYDTDELKRNFHSLFNSLTEEQYNIFQTIMQFVNRQEVHMFFLYGYYGGTGKTHMWRTLTYALRSQKHIVLTVAASGIASLLLPGSRTTHSKFKIPVPTFDNSVCNIHQGTELAELLKKTKLIIWDEAPMSHKFCFEALDKSLCDIMGTTNGSILFGGKVV >OIW15155 pep chromosome:LupAngTanjil_v1.0:LG03:20804403:20811078:-1 gene:TanjilG_14154 transcript:OIW15155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASEENSALFPIFILTIMAIPIVPYTITKLYRAVTKKSKSIHCHCSECTRSGKYHISISKRISNVFTCSNFTLLLLWVVMIIMVYYIKNLSSEVQAFDPFTILGLEPGALESEIKKKYRRLSIQYHPDKNPDPEANKYFVEYIAKAYQALTDPISRENYEKYGHPDGRQGFQIGIALPQFLLNSDGASSGVLLIWIVGVCILLPLIAAAVYLSRSSKYSGNHVMQETLSNYYNLMKPSLAPSKVMDVFIKAAEYMEIPVRRTDDEPLQELFMLLRSELNLDLKNIKKEQAKFWKQHAALVKAELLVHTQLAREFAALSPSLQSDFGQILEIAPRLLEVLMKMAVIPRNNQGHGWLRPAIGVVELSQSIIQAVPFSARKSTGVLSDGIAPFLQLPHINETVIKKVAPKKVKTVKELLEMDSQKRADLLIQTAELSSAEVQDIEAVLDMMPSLTFDVTCETEGEEGIQEGDIVTLNAWVNVKRGNGLIGAIPHAPHYPFHKEENFWFLLANSASNNVWLSQKVSFLDEAAAVSAASNAIEESMEGSGKSGEETSRAVAEAVGKVKSGSRFVSGKFQAPSAGIYNLTCYVLCDSWLGCDRKTNLKLKILKRTRAGTRAAAFDDEGPLVEDDVDEDEDDVDEEYDEEYESEYSEDEEDDQYSKNKHKAAKKRG >OIW14572 pep chromosome:LupAngTanjil_v1.0:LG03:26740812:26746394:1 gene:TanjilG_32914 transcript:OIW14572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSKRLNSESSGRRKRKGKRRKLSSGFDITPGSVVFRLLCPATRIGGVIGKGGAVVTQIRNETGVKLKIEEPVPHCDERVITILGDSKDTEEDTEQGKEANNNEVDTDSKEKDDEMKDDGDDNDEDQDTVPIEDSKSEKEDKLSILKALSLLFERIVGRIEETAEGDKESNRSPAAVFRLLVLSSQVGCLIGKGGSVIKQMSADSGAQIRILPKDNLPVCASASDELVQISGDVEAVRKAFRSISKQLLENPPPDHESISGNSSGLPSHSFGQVPPHNRSFASGSHDISSPDLIPKFHDGAILGRMRPSQEVLTFRLLCPADRVGSIIGKGGAIIKALQQETACDIKVMEAVQDSEECIVVISGPAHPDDRISPVQEAVFHVHGRIARAIPDPEEHSMLARLLVSSNQIGCLLGKSGSIINEMRKLSGAHIRILGKDKVPSCASEDEEVIQINGEFEAVHDALLQVTSRLRHNFFRSAFPSGNYPPNSVFLDQFPRFPPHLGRRGLSPPGIFSNRGPPPPHGGFPLDDRPPFMNNIHRLGIPPHISENRPWGPQGLLEGGAPMGVPDFAGGPSRRIPDFVGGRQPIITSTTVEVVVPRKLVPVIYGEDGECLKQILEISDANITITDPKPGAVETKIIISGTPEQTHAAQSLIQAFVLSERESG >OIW15154 pep chromosome:LupAngTanjil_v1.0:LG03:20792410:20794682:1 gene:TanjilG_14153 transcript:OIW15154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFQDFAILSERRRNERKEKVRKRIILGVLSSILLVCVIGAATFVLVNKTDPDNNNNNNTHKKPPSTTTNAKVENYLKIVKTICNSVEYKTKCEGPLSKVVENDTKLAQPKELLRVSIKLAEQEINKAFNKTTSMKFESEMDKGAYEDCKQLMNDAREEIGFSISEVSKNDLKKLSTRTPELNNWLSAVISYHQTCIDGFPDGELKNELKNLLQDPQEFVSNSLAIVKELSYVLSTIQPSTVRHLLSAKSDGFPTWIGVEDRRMLKAADDKPTPNVTVAKDSSGNFKTISEALAAIPQTYTGRYVVYVKEGIYEELVTVTKKMQNLTIYGDGSQKSIITGSKNFADGVRTFQTASFVVLGDGFLGKAMGFRNTAGAEKHQAVAARVQADRAMFVNCRFEGYQDTLYAQTHRQFYRSCVIAGTIDFIFGDAASVFQNCIMQLRKPMSNQQNIVTAQGRYQKQETTGFVLQKCEIKADNTLVPEKDKIKSYLGRPWKEYSRTIVMESEIGDIIHPDGWLPWAGDFALKTLYYAEFNNTGPGASTNARVNWVGHKLINKDEAAKFTVGSFLNGTWINGRGVPAQMGLYNQ >OIW15872 pep chromosome:LupAngTanjil_v1.0:LG03:1245854:1247392:-1 gene:TanjilG_04407 transcript:OIW15872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGIAFGRFDDSFSFGSIKAYLAEFISTLLFVFAGVGSAIAYGKLTSDAALDPAGLVAVAVAHAFALFVAVSVGANISGGHVNPAVTFGLAIGGQITILTGIFYWIAQLLGSIVACFLLKFVTGGLAIPIHSVAAGVGAAEGVVTEIIITFALVYTVYATAADPKKGPLGTIAPIAIGFIVGANILAAGPFSGGSMNPARSFGPAVVSGDFSGNWIYWVGPLVGGGLAGAIYGYVFLPSEHVPLATDF >OIW14676 pep chromosome:LupAngTanjil_v1.0:LG03:25827629:25834729:1 gene:TanjilG_33018 transcript:OIW14676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASYTSALNFNFPIPSSSKFNSNSLSFGASFTSFRHVVTASSSSKRLLMVPLKVSCIDYPRPELENSANFLEAAYLSSTFLASPRPTKPLKVVIVGAGLAGLSTAKYLADAGHKPILLEARDVLGGKVAAWQDEDGDWYETGLHIFFGAYPNVQNLFGELGINDRLQWKEHSMIFAMPNKPGEFSRFDFPEVLPSPLNGIWAILRNNEMLTWPEKVKFAIGLLPAMLGGQPYVEAQDGLSVQEWMEKQGIPERVTDEVFIAMSKALNFINPDELSMQCVLIALNRFLQEKHGSKMAFLDGNPPERLCMPVVDHIRSLGGEVHLNSRIQKIELNEDSTVKSLLLSNGKVIEGDTYVFATPVDILKLLLPDNWKGLSYFQKLEKLVGVPVINIHIWFDRKLKNTYDHLLFSRSSLLSVYADMSVTCKVKAPPHMALICTDVFPQPVQMLWPAWSRSQHISLHEYYNPNESMLELVFAPAEEWISRSDEDIIGATMSELAKLFPDEIAADQSKAKIVKYHIVKTPRSVYKTVPDCEPCRPVQRSPIEGFYLAGDYTKQKYLASMEGAVLSGKLCAQAIVQDSELLAARSQKMVAEANAI >OIW15110 pep chromosome:LupAngTanjil_v1.0:LG03:21928371:21938698:-1 gene:TanjilG_08597 transcript:OIW15110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLNDKNKQELISREKKDRRNFQQLAALASRMGLYSHLYAKVVVFSKVPLPNYRYDLDDRRPLREVNLPITTLRRVDAYLEEYQSQKSRMKESFPDLSFSRLNSGIDTDELLFEQPKPLASNKAVLEKILRQRSLQMRDQQQAWQESIEGRRMLEFRSSLPAYKEKEAILSAISKNQVIIISGETGCGKTTQLPQFILESEVELVRGAFCNIICTQPRRISAISVSERVACERGEKLGESVGYKVRLEGMKGRDTHLLFCTTGILLRRLLADRNLKGVTHIIVDEIHERGMNEDFLFIVLKDLLPHRPELKLVLMSATLDAELFSSYFSGAAVIKIPGFTYPVQTHFLENILEMSGYSLTHDNQIDDYGQERIWKMNKQVPRKRKSQIASAAELIIEKYDQDAVRAADFKDYCLQTQESLSCWNPDCIGFNLIEYILCNICEHERPGAVLIFMIGWDDINALKEKLLMHSILGDPSRVLLLTCHGSMASSEQSLIFEETEDGVRKIVLATNIAETSITISDVVFVLDCGKAKESSYDALNNTPCLLPTWISKVSAQQVQNAIEYLKIIGAFDENENLTILGHYLTMLPMEPKLGKMLIFGAIFNCLDPILTIAAGLSVRDPFLAPLDKKDLAEAAKSQFSHDYSDHLAIVRAYEGWKDAEIGLTGHEYCWKNFLSAQSMKTIDALREEFLSLLKDIGLVDSNATSCNAWSYDVHLIRAAICYGLYPGICSIVHNEKSFSLKTMEDGQVLLHSNSVNARETIIPHPWLVFNEKIKVNSIFLRDSTAVSDSLVLLFGGSISKGDADGHLKMLGGYLEFFMEPTVADMYQSIRQKLADCIQSKLLFPMMGIHSFHELLSAVRLLISDDKCEGRFVFGCQILKPSQPSMMTLQPVLVSRTESGPGGDNSKGQLQTVLTRAGLAAPVYKTMQLNNQFRSTVEFNGVQITGQPCNNKKTAEKDAAAEALVYLMNKRHTGHEYINHMAMLLKKSKKDHI >OIW15791 pep chromosome:LupAngTanjil_v1.0:LG03:725943:729561:1 gene:TanjilG_04326 transcript:OIW15791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHPLLLLCTFLIFSTYLTTTHSLTIPRLSPISEWEKTLQDPTTFVASDAKEFYYNQTLDHFNYRPESYTTFQQRYLIDFKYWGGADSSAPIFAYLGAEEALDNAPSNIGFLTDNAASFNALLVYIEHRYYGKSIPFGSREEAFKNASTIGYFNSAQAIADYAEVLIHIKKTLHAKKSPIIVLGGSYGGMLATWFRLKYPHLTIGALASSAPILYFDYITPPNSYYDVVTRDFREASETCYETIRKSWSEIDRVASQPNGLSILSHIFNTCNPLNNSSSLKDYLYFLYTIAAQYNRPPRYPVSEICSGIDGASSESNILSKIYAGLVAYRGNTTCRVNGPSNVSETNFGWTWQTCSEFVIPIGIGNNTMFEPKPFSLKLYANECKKHFGVLPRPHWITTYYGGYDIKLVLKRFGSNIIFSNGLKDPYSSGGVLDNISENLIALHTVNGSHCLDILAENKKDPEWLVEQRKKEVEIMKGWISQYYSDFGAFK >OIW16058 pep chromosome:LupAngTanjil_v1.0:LG03:2822588:2829537:-1 gene:TanjilG_04593 transcript:OIW16058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASESTEEDTSWQDMLRRMLPAGAPLPDEDHLDYSIAVEYAGPPVNYDVPRVDPLEIGAGGGPIRTPSVASSASDYGTGGVVSVSMPVHHRFSRFSRVRNGGFNRESRSPVESQRSSSVSRTRLESPSGEVDRSGFSGEVVEREATADFFDSAATMPNSAPLRSDGEVEGKRPITVTFHTPKDSEDDNDGCLSPQSVATEPEGSLFVSARSENTPKKRRVCSRCGNRNRLKEKEACLVCDSRYCSNCVLKAMGSMPEGRKCVSCIGMPIDESKRSTLGKCSRMLSKVCSPLEIKQIMRAEKECATNQLRPEQLIVNGRQLRQEELAEILGCPIPPSKLKPGRYWYDKDSGLWGKEGEKPDKIISSKLNIGGKLQTEASNGSTGVYMNGREITKVELRVLKLSFQLANVQCPRDTHFWVYDDGSYEEEGQNNIKGNIWGKASTRLICSLFSLPVPPNNPSGVEENATHYSTRSVPEYLEHGRVQKLLLFGMEGSGTATLFKQACLSVPFMSFCMLPSAKFLYGNKFSTEELQDIKLLIQSSMYKYLSILLEGREQFEEEARNESTSLDGEGSGLEAAADENKPTIYSINQRFKHFCNWLLDIMATGDLENFFPAATREYAPMVDEIWRDPAIQETYKRREELHNLPDVAKYFLDRAIEISSNEYEPSEKDILYAEGVTQSNGVAFMDFSFDDRSPMSEIYNENPPPLTKYQLICINSKGLRDGCKWLEMFEDVRVVIFCVALSDYDQMWPASTGELRNKMIASRDLFESLVRHPCFKDTPFVLLLNKYDAFEDKINKTPLSTCEWFADFCPMRAHHNNHALAQLAYYYIAVRFKELYYSITGQKLFVGQTRARDRTSVDEAFKYIREIIKWDDEKDEDIYEMNQEDSFYSTETSSPHFRQE >OIW14508 pep chromosome:LupAngTanjil_v1.0:LG03:27797059:27805591:1 gene:TanjilG_12101 transcript:OIW14508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTLTHNFNILSPQQQDVAKMLLENGQSHLFKDWPAPGIDDDQKKSFFDQIIRLDSSYPGGLEAYIKNAKRLLADSKAGVNPFDGFTPSVPTGETLTFGEENFIKFEDRGVQEARKAAFVLVAGGLGERLGYSGIKVALPAETTTGTCFLQNYIESILALQEASSQGESQTRIPLAIMTSDDTHGRTLELLELNSYFGMQPTQVTLLKQEKVACLDDNDARLALDPQSKYKIQTKPHGHGDVHSLLYSSGLLKAWYDAGLKWVLFFQDTNGLLFKAIPASLGVSATKQYHVNSLAVPRKAKEAIGGITRLTHSDGRSMVINVEYNQLDPLLRASGYPDGDVNSETGYSPFPGNINQLILELGPYIEELTKTGGAIQEFVNPKYKDASKTSFKSSTRLECMMQDYPKTLSPSARVGFTVMETWLAYAPVKNNAEDAAKVPKGNPYHSATSGEMAIYRANSIILKKAGVQIPDPELKVFNGQEVEVWPRITWKPKWGLTYSQIKSKVSGNSSISQNSTLAIKGQKVFIENLSLDGALIIDAVDDAEVNVSGSVKNNGWSIENVDYKDTSEPEVLRIRGFKLNKVEQQEAKYTEPGKFSFKP >OIW15939 pep chromosome:LupAngTanjil_v1.0:LG03:1753548:1755899:1 gene:TanjilG_04474 transcript:OIW15939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEIEEIGPDVCSDIEMDDIRVQNIADKDVSDEEIEAEDLERRMWKDSIKLKRLKEKQKLEAQRAAEKQKTRPTTDQARRKKMSRAQDGILKYMLKLMEVCKARGFVYGIIPEKGKPVSGSSDNIRAWWKEKVKFDKNGPAAIAKYEAECLAMSEADNNRSGNSPSILQDLQDATLGSLLSSLMQHCDPPQRKYPLEKGVPPPWWPTGNEDWWVQLNLPHGQSPPYKKPHDLKKMWKVGVLTAVIKHMSPNIAKIRRHVRRSKCLQDKMTAKESAIWLGVLSREEALNSQPSSDNGASGVTETPPSVQAKNKQAAASSGSNYDVDSTDDGVGSVSSKENMRNQSAETEPSDNLRKNLILDKDLGQKQTRKKRPRLRSGTSKKRPAQCDNEILHAEPTSDLLNMNQTEAQVVELQIHGDELSNETDSALRPLKKGLEVPTQLPAPEFDHFSYLQSNNSIPSESMYVDGRPPLHYPEVQNPGLYHDTTYNLFNPVAGYGPSHDVPQLQPGNSELIKPENDTVSIAPLHMRGDEVTGADLQYFGKDTFPNELDRPIDHSFFGSPLNSMTLDFGLNSPPFNIDDFLGEDEMIQYFGA >OIW15474 pep chromosome:LupAngTanjil_v1.0:LG03:6796420:6820315:-1 gene:TanjilG_32878 transcript:OIW15474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALYSASSVSQLLRANALSPSQPFPTILNAHLIVDFAGLSSKSKRRNRRLSSSPPPFHSPRSRFRRSSLKAVLELNRCSNNNTSDASSDLKPKVANLEDILSERGACGVGFIANLENKASHEIVKDALNALGCMEHRGGCGADNDSGDGSGLMTAIPWDLFDNWASKQGIASFDKLHTGVGMVFLPKEVELLNEAKKVVVNIFRQEGLEVLGWRPVPVNASVVGYYAKETMPNIQQVFVKILKEENVDDIERELYICRKLIEKAVSSESWGNDLYFCSLSNQTIVYKGMLRSEVLGLFYSDLQNDLYKSPFAIYHRRYSTNTSPRWPLAQPMRLLGHNGEINTIQVEGNLNWMQSRESSLKSPVWRDRENEIRPYGNPKASDSANLDSAAELLIRSGRNPEEAMMILVPEAYNNHPTLTNKYPEVVDFYDYYKGQMEAWDGPALLLFSDGKTLGACLDRNGLRPARYWRTSDNMVYVASEVGVVPVDDSKVISKGRLGPGMMITVDLLGGQVYENPEVKKRVALSNPYGNWIKENLRSLKPVDFLSAAAMDNDAVLRHQQAFGYSSEDVQMVIESMASQGKEPTFCMGDDIPLAALSQKPHMLFDYFKQRFAQVTNPAIDPLREGLVMSLEVNIGKRGNILEVGPENASQVILSSPVLNEGDLESLLKDTHLKPQVLPTFFDISKGIDGSLEKALNKLCEAADEAVRNGSQLLVLSDRSDELQPTHPAIPILLAVGTVHQHLIENGLRTSASIVADTAHCFSTHQFACLIGYGASAVCPYLALETCRQWRLSNKTVNLMRNGKMPTVSIEQAQKNYSKAVKAGLLKILSKMGISLLSSYCGAQIFEVYGLGKEVVDLAFRGSVSKIGGLTFDELARETLSFWVKAFSEDTAKRLENFGFIQFRPGGEYHANNPEMSKLLHKAVRQKSQSAFSVYQQHLANRPVNVLRDLVEFKSGRSPIPVGKVEPASSIVQRFCTGGMSLGAISRETHEAIAIAMNRLGGKSNSGEGGEDPIRWKPLSDVVDGYSPTLPHLKGLQNGDTATSAIKQVASGRFGVTPTFLANADQIEIKIAQGAKPGEGGQLPGKKVSMYIARLRNSKPGVPLISPPPHHDIYSIEDLAQLIFDLHQVNPRAKVSVKLVAEAGIGTIASGVAKGNADIIQISGHDGGTGASPISSIKHAGGPWELGLTESHQTLIENGLRERVILRVDGGFRSGVDVIMAAIMGADEYGFGSVAMIATGCVMARICHTNNCPVGVASQREELRARFPGVPGDLVNFFLYVAEEVRGILAQLGYEKLDDIIGQTDLLRPRDISLLKTQHLDLSYLLNNVGLPKWSSSAIRNQEPHTNGPVLDDVLLADPEIADAIENEKAVSKTTKIYNIDRAVCGRIAGVIAKKYGDTGFAGQLNLTFTGSAGQSFACFLTPGMNIRLVGEANDYVGKGIAGGELVVTPVEKTGFQPEDATIVGNTCLYGATGGQVFVKGKAGERFAVRNSLAEAVVEGTGDHCCEYMTGGCVVILGKVGRNVAAGMTGGLAYILDEDNTLIPKVNREIVKIQRVSAPVGQIQLKSLIEAHVEKTGSNKGAVILKDWEKYLPLFWQLVPPSEEDTPEANPKYDTTTAEQVTFQSA >OIW14522 pep chromosome:LupAngTanjil_v1.0:LG03:27362460:27373570:-1 gene:TanjilG_04955 transcript:OIW14522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRVTKWKVEKTKVKVVFRLQFHATHIPQSGWDKLFISFIPVDTGKATSKTTKANVRNGTCKWSDPIYETTRLLQDIKTRQYEEKLYKLVVGMGSSRSNILGEANINLADFVDALKPTAVTFPLDGSEAGTTLHVTVQLLTSKTGFREFEQQRELREKGLQTSSDQGTHDESADSKESSPDQNVNSHINKVNSRTKLKRETKHLPCATSFGESEVNEEYTDTAAGFDGSSSTSGSIYTEKHDISSIHEVESLKRSISGDLGVSLSQSPQPGKGDAPDNQFSSRGRDWVHGWSIDYSAATNSAAALEDNRSSIDKGNLEAVESSILDLKLEVSSLQNHADEIGADTQKLVEQLGAEIMSGEELAKEITVLKSECSKFKDEFEQLKSSKLSLACTREPTETDQDKLFRKLEPKWLKGLLLMEGKLKDIKKLSMGFPERDFRFLNLELEALVGIVQDLKQESGGSISGANVANGVENKKMDFHIGEQILTDIGSDAALFQPEGMAHYLPIPGLVPHEFDLVDPALALKEKVFELLRELDESKTERESLVRKMDQMECYYEALIQELEQSQRQMMVELQNLRNEHSTCLYTISAGKTEMERMNQSMNEQAMKFSEEKCILESLSSEFERRAISAEAALKRARLNYSIAVGQLQKDLEVLSCQILSMHETNENLLKQTFSDSSLPNGDGFPKPVKYRKPSESHTSNQLPYQNQSSSLHRQHLGEDIVLSDLKRSLQLQEGLYKQVEEEVCQMYFVNIYSDVFSKALQETLLEASLDIQLMKEENFRLTQQLHLTNQSNESLVLRLQSAMNDILSLNEYKEICTAKSNEVAHQNQILEARLKDLVNENNLFTQKINELEVVLTEYRGCEGKYMACSEENSGLKNLLEKESVENGHLHDEISILQEEIKVFRIKFDELAPLKDNLQNKVSLVSTRLQKLLASYGDSCSELSLCSRSACLDSECGDIESLLLHLEELQKSAFDKILLLTDEKKVLVNEKHMAQVSLHTAESDVLVMKQKFEHDLQGVLSNVSVSGSLLQKLQLDFEVIIERINAGFEGEGIYYQHHKEFLSGFDHFEAELQQLNSRNKELAQEIIKLDTLCSDLETCNLTVAAITEEKKVLELSLQDKTEESAKISSELNVLKESLNSMHTELHAERTVREKLEKTVADLTTELNEKQFQLQDSDMNRHEVLSRNQELAEEITKVDTLSNDLEMCKLNLVAITGEKKALEFSLQGKTEEYAKISSELNFLKESLHSLNNELHDERNIRDELQKKITDLITELNEKQCQLQDSDKNRQELNSRNQDLAQEVIKLGALSSELEMCKLTLEEITGEKKGLEMSLQDKTEESAKVSSELNFLKENMLSLHNELHSERTFREKLEKTVSDLTTELSEKQCQLQDSDANMQEQVNLKQLVTELEFDKSRMAELLQKSEERLEHALRESSSIGCLETHFSELLEFSIATEVLMTSTRAQYEGHVDELVEKLNSTCMQLNVLHKKSLDVESELNDCLCRESTYIAENTRLLMSLDSLKSDLEASTSQCRALIDQNSAIIADLNEHKSRTESVSNVCAREGQCVLEVKRLEHLLASCSRDGEELFLAKEEAELKCIVLQVKLGELEVAITSLKQSDDELLRLQNQCNDLTKRLSEHALKTQEFKNLSIHLKEQKDKAEAECVNARDRRGHEGPAVALQESLRIAFVKEQYETKLQELKQQLALSKKHSEEMLWKLQAATEESENRNKSDSAQLKINEELGMKILEIEGELQAVISEKRNLSNAYDLIKAEKECSVITLECCKQEKQELEASLLKCNEEKSKIEVELTLAKELNESLRSHTKVLNECNDTLSSLNPTEKSSHSACSQEPESANLLTNVQYEDPLASRVINGCQTLGTEEDLQQKEKKHMALTESLKSSIDHLNKELERMKNENLLPQVDEYSQEPHFPGLQRELVQLHGANQELGNIFPVFNEISVSGNALERVLALEVELAEALQAKKKSSLQFQSSFLKQHSDEEAVFRSFRDINELIKDMLELKARHYAVETELKEMHDRYSQLSLQFAEVEGERQKLHMTLKNTRASSKKASNS >OIW15816 pep chromosome:LupAngTanjil_v1.0:LG03:888506:895194:1 gene:TanjilG_04351 transcript:OIW15816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLSRELVFLILQFLDEEKFKETVHKLEQESGFFFNIKYFEDEVQNGNWDEVERYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDKHDRSKAVEILVKDLKVFASFNEELFKEITQLLTLENFRENEQLSKYGDTKSARAIMLVELKKLIEANPLFRDKLQFPNLKSSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFVDHSCGQPNGAQAPSPAYSPLLGSLPKAGGFPSLGAHGPFQPTPTPVPTPLAGWMSNPTTIAHPAVSGGGAIGLGAPSMLAALKNTRVPPTNPSVDYTSGDSDHVSKRTRPMGLSDEVNLPVNVLSSTFQGHDHGHGQPFKASDDLPKTVMRTLDQGSSPMSMDFHPVQQTLLLVGTNVGDIALWEVGTRERLVLRNFKVWELGACSMPFQAALVKDPGVSVNRVIWSPDGALFGVAYSRHIVQIYSYHGADEVRQHLEIDAHVGGVNDLAFSHPNKKLCVITCGDDKTIKVWDTATGTKQYTFEGHDAPVYSVCPHYKENIQFIFSTALDGKIKAWLYDNLGSRVDYDAPGRWCTTMAYSADGTRLFSCGTSKDGESSIVEWNESEGAVKRTYQGFRKRSLGVVQFDTTKNRYLAAGDDFSIKFWDMDNVQLLTTVDADGGLPASPRIRFNKDGALLAVSANENGIKILANGDGIHLLRSLENSLYDASRTSEAMAKPTINPISAAAAAAAASSAALAERTSSVAAIAGMNGDARTLVDVKPRISGESSDKSKIWKLTEISEPSQCRSLKLPENARVTKISRLIYTNSGNAILALASNAIHLLWKWQRSDRNSSGKANASMSPQLWQPSSGILMTNDINDNTTEDAVPCFALSKNDSYVMSASGGKISLFNMMTFKTMTTFMPPPPAATFLAFHPQDNNIIAIGMDDSSIQIYNVRVDEVKSKLKGHTKRITGLAFSHVLNVLVSSGADAQLCVWNTNGWEKQTTRFLQLPAGRTPPAQADTRVQFHQDQIHFLVVHETQLAIYEATKLECLKQWSPRDSAAPISHATFSCDSQLIYASFLDTTVCVFSASNLILRCRINPSAYLSASVSNSNVQPLVIAAHPHEPNQFAVGLSDGAVHVFEPLESEGKWGVPPPIENGSASNAAATSVGPSSDEAQR >OIW15546 pep chromosome:LupAngTanjil_v1.0:LG03:5658544:5662594:1 gene:TanjilG_01069 transcript:OIW15546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILSVLSSPALVSGLMVAHAKNPVHSVLFPIPVFRDTSGLLLLLGLDFSAMIFPVVHIGAIAVSFLFVVMMFHIQIAEIHEEVLRYLPVSGIIGLILWWEMFFILDNETIPLLPTQRKTTSLRYTVYAGKRGANCLPAGSCMSGNVHVRLREKGGGQKWPCCTSLSSSMGSALFFLGEYANMILMRCGALHLTFVGLPSSGACVPAFLCNKPLRPKTSEKIMKEAIRMVLESIYDPEFPDTSHFRSGRGRYSALRRIKEEWGTSRRFLEFDIRKCFHTIDRHRLIPIFKEEIDDPKLFYSIHQVFSAGRLVGGEKGPYSVPHSVLLSALPGNIYLHKLDQEIGRIRQKYEIPRIRSVLLRTGRIDDQENSGEEASFNAPQDNRAIIVGRVKSIQRKAAFHSLLSSWHTPHTSTPRRRGDQKTPLVFPPSSALAAFLNKPSSLLCAAFFIEAAGLTPKAEFYGRECFNNNLAMRDLIKYCKRKGLLIELGGEARLVIRSERGLARKLAPLKKSHYFIRICYVRYADDLLLVIVGAVELLIEIQKLIAHFLQSGLNLWVGSAGSTTIAARSTVEFLGTVIREVPPRTTPIKLLRELEKRLRVKHRIHITVCHLRSAIHSKFRNLGKSIPIKQLTKGMSETGSLLDGVPLAETLGTAGVRSPQVSVLWGTVQHIWQGERGISLLHSSGRSNAPSDVQEAVARSGMSVRKLSLYTPAGRKAAGEGGGHWAGSISSEFPIQIEAPIKKILRRLRDRGIISRRRPWPIHVACLTNVSDGDIVNWSAGIAISPLSYYRCRDNLYQVRTIVDHQIRWSAIFTLAQKHKSSARNIIPKYSKDSNIVNQEGGQTLAEFPNSIELGKLGPGQDPNNKEHSTTSLVVFFLLVAAASAPARYGRRSQSNTKQVRKALYIILVKPKLIEN >OIW15905 pep chromosome:LupAngTanjil_v1.0:LG03:1504702:1505642:-1 gene:TanjilG_04440 transcript:OIW15905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGRFFCCFLVALQIVSLVSEASRHFPSPPDSMSHQGLEEAPAPHSSQHYTKDLQKGSMVREDMSEIEKEIYDGGVNRIGSSPPSCEHKCYGCTPCEAIQVPRTNRRNINLGIQYTNYEPESWKCKCGVSFYSP >OIW15583 pep chromosome:LupAngTanjil_v1.0:LG03:3967056:3968602:-1 gene:TanjilG_08159 transcript:OIW15583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGSFITRALVMVLGYAYPAYECYKAVEKNKPEVGQLRFWCQYWILVAVLTVSERICDAFISWVPMYSEAKLFFFIFLWYPKTKGTTYVYDSFFKPYVAKHEPEIDRSLLELRTRAGDIAILYWQRAASYGQTRVYDILQFVAAQSTPSPRPAQQRPGPGGNVRQPAAANRQPAAATKPQDEEPPSPTSSTSSTQLQKEVQEELVSPKMPKAASLVAVLNNQKTNSKASVAAGLVTQKSNHIPETTNQSAPTETEPKQIEAAASSSTSLPNESGNPLTKETVMEESIRVTRGRLRKNRLAGIH >OIW14958 pep chromosome:LupAngTanjil_v1.0:LG03:23589893:23594027:1 gene:TanjilG_30677 transcript:OIW14958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVLLMKDIEATASRLGIDLSTIDLDFIHLPHGDNVGIDSDDEEILNEENLEFESGFGNIIVVDNLPVVPKEKFEKLESVVRKIYSQIGVIKDDGLWMPVDPDNEKTLGYCFIEYNTTQEAELAKERTHGYKLDRAHIFSVNMFDDFDRFMKVPDKWAPPETKPYAPGENLQHWLTDAKARDQFVIRAGSDTEVLWNDARHLKPDPVYKRTFWTESFVQWSPLGTYLATVHRQGAAVWGGATTFNRLMRYAHPQVKLIDFSPGEKYLVTYSSHEPSNPRDANRVVINIFDVRTGKLMRDFKGSADDFAIGGAGGVTGVSWPVFKWSNGGDDKYFARMGKNVLSVYETDTFSLVDKKSLKVENIIDFSWSPTDPIIALFVPEMGGGNQPARVSLVQIPSKEELRQKNLFSVSDCKMYWQSNGDYLAVHVDRFTKTKKSTYTGFELFYIKERDIPIEVLELENKNDKIISFAWEPKGHRFAVIHGDNPKPDVSFYSMRTAQHTGRVSKLTTSKGKQANALFWSPVGRFIVLAGLKGFNGQLEFYNVDELETMATTEHFMATDIEWDPTGRYVATAVTSVHEMENGFNIWSFNGKHLYRILKDHFFQFLWRPRPPSFLSTEKEEEISKNLKKYSKKYEAEDQDVSLLLSEQEREKRRLLKEEWERWVNEWKRLHEEEKLYRQSLRDGEASDEEEEYEAKDIEVEEVINVSEEVIHFEYGQE >OIW15963 pep chromosome:LupAngTanjil_v1.0:LG03:1911323:1915422:-1 gene:TanjilG_04498 transcript:OIW15963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTLNQTCLKSPFYSLLAFFIIISNANSQSQLYDQEHAVLLKIKQYLKNPSFLSHWISSNSSHCSWPEITCINGSITGLALVNTDINQTIPTSLCDLTNLTHVDFNLNYIPGEFPIYLYNCSKLQYLDLSMNNFVGKIPDDIDSLSNLQYLNLSYTNFTGDIPSSIGRLKELRHLPLQYCLFNGTYPDEIGNLSNLETLDLSSNYELPSSKLPLSWTKLNKLKVFYMYGCNLVGEIPENIGEMAALEKLDISQNSLTGHIPSGLFMLKNLSILYLFQNILSGEIPGVVEAFNLTIVDLTNNDLTGKIPDDFGKLQKLTGLSLSLNKLSGEIPESLGLLPSLIDFRVFFNNLSGTLPPDFGRSSNLGSFDIASNNLSGKLPENLCYYGELLKLTAYDNNLSGELPESLGNCSSLLDLKLDNNRFSGAIPSGLWTSFSLMNFMVSHNKFTGVLPERLSSNISRFEISYNNFFGRIPAGVSSWTGVVVFDASKNFFNGSIPQELTILPKLTTLLLDQNQLIGPLPSEIVSWKSLVTLNLSRNQLSGQIPDAIGQLHVLNLLDLSENEFSGQVPSRFRRLTNLNLSSNNLTGKVPSEFENSAYASSFLDNPGLCADTQALNLTPCNSSTPESSSKDSSRSLALIISLVVVAFLLICSMSFLIIRLCRKRKQGLDNSWKLISFQRLNFTESNIVSSMTEHNIIGSGGYGTVYRVAVDGLGYVAVKKIMNNKKLEKKLESSFHAEVKVLSNIRHNNIVKLLCCISNEESMLLVYEYLENRSLDRWLYKKSKSTSNVSGSVNHFVLDWRKRLRIAIGVAQGLSYMHHDCSTPIVHRDVKTSNIILDSQFNAKVADFGLARMLIKPGELETMSNVVGSFGYIAPEYVQTTRVSEKIDVFSFGVILLELTTGKKANKGDEHSSLAEWALHQVQVGSNIEELLDKEVKEPSYLDEMCNVFKLGIMCTSTFPSNRPSMKEALQVLLRCGESLSNGERNICHYDVVPLLRDSKRECRLDVENDS >OIW15541 pep chromosome:LupAngTanjil_v1.0:LG03:5733137:5735789:-1 gene:TanjilG_01064 transcript:OIW15541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHPPFHPPSKIHPIDEDLNRTRSDQIYFGESILRASQRKDGIELKDRLKAEKDRRDKEAKEKRKIGIEESNYARGDARCTLYWVVAQAIQRQRAGASHNSKQLLIMLENSHKMPFYSSMSEGKSRPETSHGCSHSIIITSSIPPW >OIW14523 pep chromosome:LupAngTanjil_v1.0:LG03:27360468:27361016:1 gene:TanjilG_04956 transcript:OIW14523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRLCVPQSLYAWPFFVDVGGEIYDPETNAWIEMPSGMGEGWPARQAGTKLSVVVDGELYGLDPSSSTESGKIKVYDQGEDAWKVVIGKVPICYASDSESPYLLAGFHGKLHVIAKDVNHDIVVLRADLRNNLDSSASTTALSENSSHEIPESTVESDGVWKVVAGCDFGQAELVSCQVIDI >OIW15743 pep chromosome:LupAngTanjil_v1.0:LG03:351083:353011:-1 gene:TanjilG_04278 transcript:OIW15743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNDFSATVLNYINQMLNDEEDMDKSYMFHDSLALQATEKSFYELIGNKYPSTFTHYLHNYPTIENPYHDYSISSDSTYCITSTTNSNESHSHHSSILPMHYHSVPSNFVLHSKSTSITQSSMKHMSDFSTTNSEFSETSVPAIFYSMSLGEKGYLMQIERGMKKDTKQVMINAEGDEGEHKNRDSDGRKNRKREDKRELEEGRSNKHSAVYVDENELSEIFDKVLFGTGLGKRSNMITLQQNEKSYMHGCGKNRAKKQVKNEKVVDLRTLLMLCAQAISSNNHSTAKELLKQIQQHSSSIGDGTQRMAHCFGNALEARLAGTGTQIYSTLSSKGASAAEMVRAYEMYDSACPFDKLEIIFALNSIWSVAKEAETLHIVDFGINYGFKWPALIRRLSQRPHGPPKLRITGIELPQPGFRPEERVHEAGRRLASYCKRFNVPFEYNAIVQKKWESIKIEDLKIVKNEVVAVNCMFRFENLLDETVLVNNPRDAVLDLIRRLNPSIFVHGIINGCYDAPFFETRLREALLHYSAMFDILDTNVGLEDPMRLKFEELFGMEVMNVIGCEGCERVHRPETYKQWQIRNKKIGFKQLSLDQKIVNKLKDRLRNDEYHSDFMLEVDRKWVLQGWKGRILRASSCWVPL >OIW15578 pep chromosome:LupAngTanjil_v1.0:LG03:3859589:3859813:-1 gene:TanjilG_08154 transcript:OIW15578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRRCMVEEGVAKAMIMVINKSFKERKTTCLEEVIGVLRLLWNVATMVNNMTLLCWRKHGLHQLFDLDVETSSA >OIW14489 pep chromosome:LupAngTanjil_v1.0:LG03:28401141:28403370:-1 gene:TanjilG_19905 transcript:OIW14489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWDWKEFTWDSSMLQVDNNKKHEAASVNSKSVLSSQGSLKQWHNGSQNLCCLVDGCNFDLSDCRKYHKRHRVCEKHTKTPDVLVGGKQQRFCQQCSRFHALGEFDDVKRSCRKRLDGHNRRRRKPQPPSLFMAAEKFLYNYKGPMILQFGNPQTYNMWPATAKTGAESGYDDRRLLYRIDKHNKQEKEHFLWQENVPKASNCYEAKLGTSISQPNCGANAISTPASGKKCIGKLSSDNKLGSLDSSCVLYLLSTLKTQNSDLRMVQSSTTYPIQSPFGSVNLDAIDEYLCSERAIGKPISPVFVLDANIADLRCDSMLQMGPGGLVKNEDSLTLPSFWE >OIW14491 pep chromosome:LupAngTanjil_v1.0:LG03:27503611:27504252:-1 gene:TanjilG_12084 transcript:OIW14491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKKELKIHTEPLSKEMPSLSSSTDSSKKKKYKGVRMRTWGSWVSEIRAPNQKTRIWLGSYSTAEAAARAYDAALLCLKGSSANFNFPLTCSKHYIPQDTVLSPKSIQRVAAAAANSFIHENDIVDNTPLSPDLVASTSSSSVSSSSTLDQINDDVSLLSSFEYDQETNESMALNMMEPWYTFDGLSPFFDIDSSQIIDDFYEESDIRLWNFC >OIW15055 pep chromosome:LupAngTanjil_v1.0:LG03:22780082:22783143:1 gene:TanjilG_13982 transcript:OIW15055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNGIGLQTPRGSGTNGYIQNNKFFVKPKTSKISDRSFDSDQGTAGVTRKPNKDILEHDRKRQIQLRLVILEEKLIDQGYSEVEIAQKLDEARNNLIASANADQESDVNGQNKISETQTHQIAARKEKQMETLKAALGLVSASEVDETNALQNYDGKNGSNAEGKHQLKEHSFLDRDFSRKKQTVEDQKDESAYKKAVKDSRHQKKGGKKKKYKDVSSDLDSSTDEDEDKGDRKKQHKGRKESSDDSGSDTERKVKATRKHKTSKQRKKHEVKDSDESDETASDSDDSIAVRKSNYKHKKSLKRHDLDDDSDHHKGLSKHKSREQNQHTKIRKPEESEEESDADSEEEKKYGSRLERKKKVESDADSEEEKKYGSRLEKQRQGRHDSGDEDSDGDYGKRVSREDRYVGGGSYSSTDDSDNGSDSSPSYHRNERKGEHSEAKHGQDVDDRTRRKHKGDDDYHGQRKHRKDEDNHGERKHLKDNENLGEGKHSRNEDSRGERKHLRGEDNHGERKLAREEDFREERKHATREGDFREERKHATKEEDFFEERKHTTREEDIRGERKHAAREEDSRGERKAARGEDGRGERKVARGEDSRGERKAAREEDSRGERKAAREEDSRGERKVVREDSRGERKPARDEVIRGERKQSARDEPNHEERKKPAKDEPNRGERKRTRDEDNHGDRDLGRNEDDFRHGRRGHDDERHPDRKEDKEEEWRERGYDRDRRRDYGKSSKYNVSDSSDRRRHESKHDEVRSRR >OIW14739 pep chromosome:LupAngTanjil_v1.0:LG03:25294317:25295617:1 gene:TanjilG_05360 transcript:OIW14739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDSRSRSRSRSRSPMDRKIRSDRFSHREAPYRRDSHRGFSRDNLCKNCKRPGHYARECPNVAICHNCGLPGHIASECSTKSLCWNCKEPGHMASNCPNEGICHTCGKVGHRARECSAPPMPPGDLRLCNNCYKQGHIAVECTNEKACNNCRKTGHLARDCPNDPICNLCNVSGHVARQCPKANDLGERFRGGGGGGGIRGGGGGGYRDRDVVCRNCQQLGHMSRDCMGPLMICHNCGGRGHLAYECPSGRMMDRYPRRY >OIW14692 pep chromosome:LupAngTanjil_v1.0:LG03:25700084:25703239:1 gene:TanjilG_33034 transcript:OIW14692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSPLNLNYSLRKVIDMRELWRISSQGIPHSSALRSTLWKLFLRYLPPDRALWSSQLANKRSQYKHFKDDLLINPSEITRRMYNSTSYDTECASRLLLSRSQITHRDHPLSLDNTSIWNKFFQDSEIIDQIDRDVKRTHPDMHFFSSNSQIAKSNQEALRNILIIFAKLNPSIRYVQGMNEILAPLFYVFKNDPDVESAAFAEADTFFCFVELLDGFRDNFVQQLDNSVVGICSTIARLSQLLKEHDEELWRHLEVTTKVNPQFYAFRWITLLLTREFNFADILHIWDTLLSDPEGRQETLLRICCAMLILVRRRLLAGDFTSNLKLLQSYPSTNISHLLHVANKLRVQSV >OIW15456 pep chromosome:LupAngTanjil_v1.0:LG03:10209954:10215392:1 gene:TanjilG_28655 transcript:OIW15456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMKNDGQKQQLLHQRGGGKTNGFIPNSFRTISSCLRIVSSGASMVARSAASVASSIVEKDDDADPDHVIWAGFDKLDSESGFIQRVLLLGYRSGFQVWHVDESNNVRDLVSRHDGPVSFMQAVPNPIGSKRSEDKFANSRPLLVVCTDGFFASGSNVQDGNGSTSNSHDQVNGNYLPTTVQFYSMKSQSYVHVLKFRSVVYSVRCSPRIVAICQSTQIHCFNATTLEREYTLLTNPIVMSSPGSGGIGYGPLAVGPRWLAYSGSPVAVSTSGRVSPQHLAPSSAPDFSSNGSMIAHYAKESSKNLASGIVTLGDMGYKKISKYYSDSNGSLQPVNSGTKGNGTTNGHLADADNIGMVIVRDIVTKNIVAQFPAHRSPISALCFDPSGTILVTASVQGHNINVFKIMPRNEQMSASDAGPSYVHLYKLQRGFTNAVIQDISFSNDSKWILISSSRGTSHLFAINPLGGYVNIQTYDDSFTAKNSGLGVTTNQAVRWPHSSASQMPQQQSLFAAGPPITLSVVSRIRNGASGWKGAVNGAAAAATGKKSSLSGAIASSFLNCNGSGASYVEGNHSKAKYRLMVFSPTGSMIQYALRTLNGQDSAVVSRIAHAYESNPQPDARLVIEATHKWNICQRHSRQVEDNIDIYGESGISDSNKIYPEDVKEDDVISPKIKNVVMKGNSSSLEDQHHLYISEAELQMHQAQTPLWAKPQIYFHSILEESTIMDEEAASGGEFENERIPTRMIEVRSKNLVPIVDYIQNLKLQQTRIPAAIDSKINEQLLRQSSQLSGNGRISVSPRTISGFPESMTNSGGAVAEVNTGIEGGTEWHDHSAPPEMMGYVNNNHTLKPNSQHEIVNNITEHLKHGGSTHGCK >OIW15345 pep chromosome:LupAngTanjil_v1.0:LG03:12880058:12884180:-1 gene:TanjilG_26718 transcript:OIW15345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYESQDHLYQHTPSYAGSSVNTSHHQPLAHSRNSANTRHQPKKQPAYIADSFISLDQVISALREAGLESSNLIIGIDFTKSNEWTGKHSFHRKSLHYIGNSPNPYEQAISIIGHTLSSFDEDNLIPCFGFGDASTRDQNVFGFYPDGRYCQGFEEVLARYRDIVPRLKLSGPTSFASVIDAAIDIVERSNGQYHVLVIIADGQVSRNPGTPHGKLSPQEQATISSIIAASHYPLSIILVGVGDGPWDEMQQFDDNITERLFDNFQFVNFTKIMSENTKASKKEAAFALAALMEIPFQYRAVQNIQLTNREPISHQHKRPLPPPKEVIDHDNAVLTAPRVTNFESVEPTASTVAEPVCPICLTNPKDMAFACGHTTCKECGVTLSSCPLCRQRITTRLRLYS >OIW14399 pep chromosome:LupAngTanjil_v1.0:LG03:29596325:29598063:1 gene:TanjilG_15753 transcript:OIW14399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLNLILEHAVCLVVRNYRWDAKVVLVFAAFATKYDEFWNLTQLYPYDTLVASIMRLKQLPYKLRALKPQIKALSLLVKTMMDVAM >OIW15854 pep chromosome:LupAngTanjil_v1.0:LG03:1152380:1154209:-1 gene:TanjilG_04389 transcript:OIW15854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRMPGPQEENHNFPINGGRVLSNGRNARKLLDERFIRILKIFKWGPDAEKALEVLKLKVDARLVREVLKVDVETTVKIQFFKWAGKRRHFQHDSTTYMAFIHCLDENRLFGELWKTIQEMVKSSCAIGPAELSEIVKILGRAKMVNKALSIFYQVKGRKCIPTASTYNSVIFMLVQEGHHEKVHELYNEMCSEGHCFPDTVTYSVLISTFSKLNRDDSAFRLFNEMKDNGLQPTAKIYTTLMGIYFKLGKVEEALRLLQEMRTYRCSPTVFTYTELIRGLGKSGRVEDAYSIYKNMLKDGCKPDVVLMNNLINILGRSDHLKDAFKILGEMKMLNCTPNVVTYNTIIKSLFDAKAPPSVADSWFQKMKKDGVVPSSFTYSILIDGFCKTNRVEQALLLLEEMDEKGFPPCPAAYCSLINSLGKAKRYEAANELFQELKENCGHSSSRVYAVMIKHFGKCRRLNEAISLFNEMKKLGCTPDVYAYNALMSGMVRADMIDEAFSIFRTMEENGCTPDINSHNIILNGLAKTGGPKRAMEMFTKMKNSTTKPDVVSYNTILGCLSRAGMFEEAAKLMKEMSSQGLQYDLITYSSILEAVGKVDEDRNMFES >OIW15285 pep chromosome:LupAngTanjil_v1.0:LG03:14812100:14816771:1 gene:TanjilG_08082 transcript:OIW15285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKKFSSEEVSAQNQVKASVQRKIRQSIAEEYPGLEPVMDDILPKKSPLIVAKCQNHLNLVLVNNVPLFFSVRDGPYMPTLRLLHQYPDIMKKLQVDRGAIRFVLSGANIMCPGLTSPGGVLDDEVEAESPVAIMAEGKQHALAIGFTKMSAKDIWRNLIEDVVRAAMAT >OIW15305 pep chromosome:LupAngTanjil_v1.0:LG03:14171046:14172251:-1 gene:TanjilG_10745 transcript:OIW15305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKTTSSSSPSKTPVQLPEDIQAEILNRLPVQTLARFRCVCKSWHRLFTDPFFINNHLRRHHSTTPHRIILSRYFKSPLSISLHHRNHNLQELHLPFISGHTYYVKGHCHGLICIIINNGDIVLWNPSINQHRILPTPSNFMKTREVLGIGFDSTINDYKIIRAPSSYCNIKVKDYNPQIEILTLTSNSWRKLPDEVTPPYFIEHYHQSVTLNNAVYWLTLDDISTVVLKFSLSEEKFTVVPNPPDNNGRNLSWLGVLNGALCVVHSQRRVYFDIWATRDDCNWERMVSVSKFLDRLRSLDYAPLCFMKNGELVINVRGKGVFAYDEEADEYSKIAEVDDVKWLQETVYTESLVSPHGDGGDGFLERISSSSTRSRNLWKMLRRFTNGVVDHFNGKGSKTK >OIW14714 pep chromosome:LupAngTanjil_v1.0:LG03:25529064:25531063:1 gene:TanjilG_33056 transcript:OIW14714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGKAAVPLMKLVRLKGLPILQQLHLEEQLLRTSSDNWCLINDGTISPAIVMGLSGKISELVEVKSVLRDHIPIIRRFTGGGTVIVDHDTIFVTLICNKDAVSNVQPFPRPIMTWSGLLYNKVFEGVADFHLRENDYVFGDHKFGGNAQSITKHRWVHHTSFLWDYEAKNMSYLKLPSKAPEYRLTRGHVDFIRGMKDYLPRSEFIERTIKAIGAQFSVKPISLESIDITSASEFVHTTKLLTEQEIQEACNVQT >OIW15424 pep chromosome:LupAngTanjil_v1.0:LG03:10529084:10529931:-1 gene:TanjilG_12278 transcript:OIW15424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYESLKEVTEYGKQRLISSPTWHVNNSFEGLILGGLAGGLSAYLTTPLDVVKTRLQVQGTILRYNGWLDAICKIWATEGMKGMFRGSIPRIAWHIPASALTFMVVEFLRDNFNEKVPDNNLQVARLTGDRKKSSLQ >OIW15183 pep chromosome:LupAngTanjil_v1.0:LG03:19332619:19333722:1 gene:TanjilG_09922 transcript:OIW15183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPAGGSLSIGFANIIVYSVIAGLCVIAGNISPIDVITHVPILCEESDIPYVYVHSKESALSGGSMNS >OIW15449 pep chromosome:LupAngTanjil_v1.0:LG03:9507404:9509194:1 gene:TanjilG_28648 transcript:OIW15449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSSSLFLLLSLFLSFKTFAWGGNFNKDFDLLFGDDRVDIKEEGKSMSLTLDKYSGSGIISKNEYLFGRFDMQIKLVPGNSAGTVTAYYLSSQGSNHDEIDIEFLGNLSGDPYLLSTNVYANGNGGREMQYYLWFDPTEDYHIYSIDWNSRRIIILVDNIPIRVMRNRQDIGVPFPTKQPMRIYTTLWNGDSWATRWGKVKLDLSNAPFVAGFKNFNANACIAKTGEDCKVFNGGQNKGLDSESKQKWKVVLSKWVVYDYCRDFRRYAHGLPYECRKDNVLPVV >OIW15969 pep chromosome:LupAngTanjil_v1.0:LG03:1954737:1955357:-1 gene:TanjilG_04504 transcript:OIW15969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEAPRSEIETRTTHYSSSSSTSISKLQQKKRSRDNSNNNIYRGVRMRAWGKWVSEIRQPRKKNRIWLGTFATPEMAARAHDVAALTIKGSSAILNFPELAPLFPRPASNSPQDVQAAAAKAASMEPPLPPSSPPLSSSSSSSSSFSLVALTEEEELGEILELPTLGSSFESPEPSNELVYFEWPYIHDDNYIISLEDSDSDIMVL >OIW14845 pep chromosome:LupAngTanjil_v1.0:LG03:24543920:24547945:1 gene:TanjilG_30564 transcript:OIW14845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFKNLLSQSTLPFTILGLLLLLFVLTSIIRRRNRSSASRKAPPEARGAWPLIGHLHLLRGSLSPHVILGNMADKYGPVFTMRLGVHQTLIVSNSDMAKECFTIKDRVFASRPKSLAFEILGYNFSMVGFSPYGSYWRQVRKIATLELLSTHRIDSLKHVIEWEVVAAMKESYNLSDSGKVVVTEMKKWFGDITHNVMFRMIVGKRFNEREEENERIQKALRDLMHLSGSFVVSDALPYLRWLDLDGKEKEMKRTAKELDEFAQVWLHEHKRNRNCGEGELKGKQDFMDVLLSVVDQGEEFDGRDANTTVKAMCLALIVAGTDTTTGTMTWALSLLLNNQEVLKKVVHELDTQIGKDRLVVESDLKSLVYLQAIIKETLRLHPPAPLNLPHESMEDCTIGGYHVPAGTRLLTNISKLHRDPFIYHDPLEFRPERFLTTHKDIDLRGQHFELIPFGAGRRMCPGMSFALQIMQLTLANLLHGFDIVTSDGGQVDMHEIIGLTNIKASPLQVILTSRLTPHVYNEN >OIW14728 pep chromosome:LupAngTanjil_v1.0:LG03:25409394:25414205:-1 gene:TanjilG_05349 transcript:OIW14728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIESGVSSREPSLLSPARSPLASVVVRDLPFPKTLHGSKKHFPYHGASIAAPSPVNPPSYGPLVSSGPPPASLHLSIPFKKRSEFKPPISNFKDTAPMYSTAPAMPPALARPLLSPYVSNCCKEDMVLKRGSTSCQCVYPIKLDLVLLNVSQNPNWNLFLGELATQLASKINSSLLMHMVRLDPRLVGDYKVLNMTWFEPPPPAPAPTLVASPVTAPLYHSPKGAPLNSSSTGRHSNLFLILGIAIAILFTAIISALILYVCKFLPKAKTPPIETEKPRTESVVSVVGSLPHPTSTRFIAFEELKEATNNFESSSILGEGGFGRVFKGVLNDGTAVAIKRLTNGGQQGDKEFLVEVEMLSRLHHRNLVKLVGYYSNRDSSQNLLCYELVPNGSLEAWLHGPLGLNCPLDWDTRMKIALDAARGLSYLHEDSQPCVIHRDFKASNILLENNFHAKVADFGLAKQAPEGRTNYLSTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGKKPVDMSQPSGQENLVTWARPILRDKDRLEEIADPRLGGKYPKEDFVRVCTIAAACVAPEANQRPTMGEVVQSLKMVQRITEYNDSVLPSSNTRANLRQSSSTFEFDATSSMFSSGPYSGLSAFENDNISRTMVFSEDLHEGR >OIW15915 pep chromosome:LupAngTanjil_v1.0:LG03:1568014:1571080:-1 gene:TanjilG_04450 transcript:OIW15915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPGRSVEEIFEDFKGRRAAIIKALTTDVADFYTQCDPEKENLCLYGLPNEHWEVNLPVEEVPPELPEPVLGINFARDGMQEKDWLSLVAVHSDSWLLALAFYFGARFGFDKADRRRLFNMMNELPTIFEVVTGTAKKQVKEKSSVSNNSGSKSKSSSKARAAEAQGRPSKALQPKDEDEGVEEQDEDEHGDTLCGACGENNGTDEFWICCDICERWFHGKCVKITPARADHIKQYKCPSCSSNKRAR >OIW14877 pep chromosome:LupAngTanjil_v1.0:LG03:24326122:24329361:1 gene:TanjilG_30596 transcript:OIW14877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLKMMPSKSLVIRINLIFLAFSLLIYAILLLHPLPSAYFDNAASLVRCSLRECHQKTEKAFKMKAVLEEHQVKALRPKKTATKIKVPSFFGEMGKGLKIGMVNMDEDDVSEWSLHGKTIPVSFERVSEFFNWTDLFPEWIDEEEESDVPSCPEIPMPEYAKYENMDVIVAKVPCKYPEEGWGRDVFRFQVHLIAANLVVKKGKKDWRWKTRVVLLSKCRPMPEIFPCDNLVRKQGDWWYYEPDLKKLEQKVSLPVGSCKLSLPLWEQGIDEVYDLSKIEKSVRNKVRIKHEAYATVLHSSEAYVCGAITLAQSLIQTGTKRDLILLIDNSISVPKRHALASAGWIIRIITRIRNPKAEKGTYNEYNYSKFRLWQLTDYDKIIFIDSDIIVLRNLDILFHFPQISATGNDQSIFNSGIMVLEPSNCTFRMLMKNRDNIISYNGGDQGFLNEVFVWWHRLPRRVNFLKNFWANTTLEHRVKNGLFESDPPKVYAIHYLGWKPWHCYMDYDCNWDVEEQRVYASDVAHRRWWKVHDTMDEKLQGFCRLTKQRRTELNWERRIARKKGFPDEHWKINITDPRRRGSLLMD >OIW15501 pep chromosome:LupAngTanjil_v1.0:LG03:7810377:7814658:-1 gene:TanjilG_32905 transcript:OIW15501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRSEGNKQIHNLSPDSGSCSNGVADKDSFSFKFGWKSSKQLSGTPIKKLLADEMSGETESKRTSPGVIARLMGLDALPFQQSVNKHHKGSSENRSRGTSYDGGRSSRRSSKDQQEFKDVFEVSEIPKVMSNRYSVQGAVDMKITDAEMSFIEQKFMDAKRLSNFQDLQSSKDFCDTLEVLDSNKDLLLKYFKQPDSMFKKHVDDLQSPPFESNSGHVEAIKLSDIEKYEDDFSWKSEREERRLSYHRPHYEHCNGYPSHMDRRNAMHSSPKSSKLQFKERDIKDAVPTKIVVLKPNLGKVQNGTRIVSSLCSSHTFPAQCRNETEFPHVRFRDTEQYQMKILHDTARHSRPNSLESREIAKEITRQMKISLNNGCMISSSKFKGYAGDHSSCSASGNESPDESVETHATWGTSVDLNSHSRRSSRSSESSVSREAKKRLSERWKVAHKSQEVQTINRSSTLAEMLANPEKEVKAASSGSMAIGESSRSKFACNGKPAGWVEPLGISSKDGWRDGYTESLPRSKSLPASSTAFRSPRTILHNEALRDERFMIPMEAFKQERKRAAKSRDQRHGMNTGSTKSGHNKSWSLHSSNLEGNEFSPDLDTIQNKMKINLEEDSPKLEVLVTKSLDNTLRDTIVVTDDVVDVATNENAVGSSESEPSSEKVLSELSSCVMIKADTSAVDKDNSKQQELSAESSCCKDADQPSPVSVLEPSFTDDLPSCSDCFGSLSADLQGLRMQLQLLKLESEEYVDGHMLVSSDEDCAVTSEDNGSCRTEDSWETSYIIDALSVSGIDGAQPILNSLECPVNLSVFDELEKKYSDWTTCSRSERRLLFDRINSGIVTIHKQSMNAQPWMSPSTKNISSELIENRLQDGLHRLLRNQGNVKDDTMGKVLVMESQWLDLKDDFDVIGMEIEILLLDDLVAEIASM >OIW15759 pep chromosome:LupAngTanjil_v1.0:LG03:469705:472374:-1 gene:TanjilG_04294 transcript:OIW15759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFGCTRRSRKKSITNNPTSIDRIKVDLNENGRREDKKAKDDQLSVDARNLNIREGVSKEVKPNGYKAQSFTFDELAAATGNFRPDCFLGEGGFGKVYKGNLEKINQVVAIKQLDPHGSQGTHEFSVEVLTLSLVDHPNLVKLIGFCAEGEQRLLVYEYMMLGSLENHLHDLKPGRIPLDWNTRMKIAAGAARGLEYLHDKMKPPIIYRDLKCSNILLGAGYHAKLSDFGLAKIGPSGDKTHVSTRVMGTYGYCAPEYAMTGQLTFKSDIYSFGVVLLELITGKKAINQTKSGKEQNLVAWSRPLFRDRKKFTQMIDPLLEGHFPVRGLYQALAIAAMCVQEQPNMRPAIADVVTALNYLATQKYDPHVRSVQCSQFHENSLSSPRMRRNGHTHVDT >OIW15738 pep chromosome:LupAngTanjil_v1.0:LG03:316737:318995:-1 gene:TanjilG_04273 transcript:OIW15738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKKRGLSLEEKRERMLQIFYDSHDFYLLKELEKLGPRKGVISQSVKDVVQSLVDDDLVSKDKIGTSVYFWSLPSCAGNQLRNVYRKLDSDLQSSKKRYVELVDQCEALKKGREESDEREVALAELKAIELKHNELKNELEKYRDNDPAAFEAMKEAIEVAHASANRWTDNIFTLRQWCSNNFPEAKEQLENMYKEIGITDDFDYLELAPIPLKAVAD >OIW15889 pep chromosome:LupAngTanjil_v1.0:LG03:1358697:1360572:-1 gene:TanjilG_04424 transcript:OIW15889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIKSKEAVFVIFAFVLFALGDAQDTLVPAIITFGDSAVDVGNNDYLLTLFKANYPPYGRDFLNHKPTGRFCNGKLATDLTAETLGFKSYAPAYLSPQASGKNLLIGANFASAASGYDEKAAILNHAIPLSQQLNYYKEYKSKLAKVAGSKKAASIIKDALYILSAGNSDFVQNYYVNPLINKVVTPDQYSSYLVGAFSSFIKDLYKLGARKVGVTSLPPLGCLPAARTLFGFHENGCVSRINNDAQGFNKKINSAATSLQKQLPGLKIVVFDIYKPLYDLVQSPSKSGFVEAKRGCCGTGTVETTSLLCNPKSLGTCSNATQYVFWDSVHPSEAANQVLADALIVQGIVLIT >OIW15612 pep chromosome:LupAngTanjil_v1.0:LG03:4304609:4307609:1 gene:TanjilG_08188 transcript:OIW15612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLQRPAMCSSVPARLAGKCSLPMIGTMNVRFIRSEFWGIKELNGSKAKPGVLSCHVNTRKCKTVQCIFNSSSNGSGSTAGKFNENDEDYVNSSVIEAVEVKSGADGFIIKMRDGRHLRCIHNNAQAGHLPDYAPHPAIVLKMEDGTGLLLPIIVPEMPSILLMAAVRNVRIARPTLYQVVQNMVDKMGYEVRLVRVSTRVHEAYFAQLYLTKVGNEADCVSFDLRPSDAINIAVRCKVPIQVYKYLAFSDGMKVVESGKLLTQLPSLDGRLFTEMDRPTDQPSTETVEFNLLHNMLKAVVEERYQDAALWRDKLNQLRAGKM >OIW16069 pep chromosome:LupAngTanjil_v1.0:LG03:2906071:2906349:1 gene:TanjilG_04604 transcript:OIW16069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVPQSKISIMIETADAQGLVTDVHDTPKSHTSSHTSNSRASIRKSMHHSVNFRDASVKAKLARSKANIGTIENSVTNARLAQSDTNLGTVT >OIW14444 pep chromosome:LupAngTanjil_v1.0:LG03:28985120:28988450:-1 gene:TanjilG_15357 transcript:OIW14444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNILRSFWNSPTGLKTTHFWGPTFNWSLPLAAAMDTKKPPESISVNMTTVMCVYSALFMRFAWVVRPRNPHLLICHVSNETVQLYQLSRWLRAQRSLEMKKEEGGA >OIW15391 pep chromosome:LupAngTanjil_v1.0:LG03:11447700:11450099:1 gene:TanjilG_15774 transcript:OIW15391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATETKAATEDVKIDLFEDDDEFEEFEINEEWDDKEEGKEVSQQWEDDWDDDDVSDDFSLQLRRELESNTAKN >OIW14581 pep chromosome:LupAngTanjil_v1.0:LG03:26651681:26654818:-1 gene:TanjilG_32923 transcript:OIW14581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLLMESRERSLDREFCQNLASRFNRSSGRAGKPLVKGTELCIHSSIVLIIIRLLGENFQIQSWFQTRLQDLPEVPNNESMSPKDVECKEGAMIRDPSELEFEARSSKDGAWYDVEMFLAHRYLSTGEAEVRVRFVGFGAEEDEWVNIKKSVRERSIPFENSECSTLKVGDHVLCFQERRDQSIYYDAHIVEIQRRIHDIRGCRCLILIRYAHDNTENAEFKAP >OIW16048 pep chromosome:LupAngTanjil_v1.0:LG03:2718184:2727574:1 gene:TanjilG_04583 transcript:OIW16048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRMLRVRETCEYEATRNARLLENKARLESLGILKTVSEIRKPVTPKRQYHKKLFGLTPLRRSHRLNATTDHLQPRRSQRLAPKHEPSKKKVTPCEGEDEKIRPANAPYIEIRDADLQITPEGSARRCNSKDRGSVYNPIFGICCHFCRQKKLCGEEDCKRCSNFDVDEPCLGKTDCSVCHSSTGVFCRACLKVRYGEEIEEVRENKGWTCPHCIEEKGINPYWICNSLNKTLHTHNPSFVFSTTRNRFRVSVISAKAGTDYYSTLNVSSNATLQEIKSSYRKLARKVLSDDEKRSLYDRFGESGLQGENGESASASGVDPFDLFDELFGRSGGMFGSSGEGGINFSLRNNRNSGLDIRYDLHLSFEESIFGGRKEIEISCSQTCNDCDGTGAKSRNCIKHCTNCGGRGGEMKSQRTPFGIMSQVSTCSKCSGLGKIITDHCRRCDGSGQVQSKQTVSVVIPPGVTDGDTTQIRGEGNFDKKRNINGDLFIVIHVAEKRGIRREGLHLYSNINIDFTEAILGSVKKVETVEGIRDLRIPSGIQPGESVKLSRLGAPDMNKPSKRGDHYFIVNVLIPKDIRSVLAIFTYFLSP >OIW15492 pep chromosome:LupAngTanjil_v1.0:LG03:7386467:7387313:1 gene:TanjilG_32896 transcript:OIW15492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSMSASGSWNAKDNKSFERALAVYDKDTPDRWYNVANAVGGKTPEEVKRHYDLLVQDINYIESGKVPFPNYKKNGGSQDEIKRLKNLKLK >OIW15178 pep chromosome:LupAngTanjil_v1.0:LG03:19573922:19576119:-1 gene:TanjilG_18613 transcript:OIW15178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVFYGMVARGQVVLAEFSAILESNASVIAKQILDKTNQGNNKNDSCASYSHDRYVFHVKRTDGLTIICMANDTLGRRIPFAFLEDIHNRFVRTYGRAILSAPAYAMNDEFSRVLSQQIDYYSTDPNADRLNRLKGEMTQASSTYTFRVRTVMIDNIEKVLERGNRLELLVEKTTAMNGNSIRFKSQSRRFQNNMWWRNVRLT >OIW16015 pep chromosome:LupAngTanjil_v1.0:LG03:2397573:2398112:1 gene:TanjilG_04550 transcript:OIW16015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGAVALNKLPQRHRVIIYTHSPKVIHTHPRDFMALVQKLTGLSRSEEDGDDSNLPPPKQAKQELVSNNIAPVVVSKESDRKNVVVGTEDNETSSVITEENNCTNNISENQVNSCFVSPPILEPPVNPYLANLPPVFMPPSSSEFMCSSQPLLNYPNSFYFSHNMRSSLEGVNDFSEY >OIW14911 pep chromosome:LupAngTanjil_v1.0:LG03:23997909:23999564:-1 gene:TanjilG_30630 transcript:OIW14911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDPTIEIIEAEYCPEAVIDWYECTGGSQHYKSVGNDKNKCRRDLSSKAKKHLCALGWTFWYANKKTKQEIRFKSPNGKSYNSLKTACKRCIDDGVCVSYKTSDPVEVVESSFEVAHTDITTLEPGPRAYKKSRVQARRCNKKRRRGDSSEIELPRRCFKKVRESKSSDDEWTPELVKRMTQCTRLRVDVSKKRPKVLRFGKRVRKENCGEPLMDGQKEALVSQNSDFSKQGIIDNSHQYQSDNICSICQEVGEVMLCDNCPSVFHYTCLGLEKVPDGDWFCSSCCCRLCNRTKCREDCEADHVDNSVLCCYQCERKHHIGCLKNIGFTKMKVCVDQNWFCNNDCENIFFTLQKLIGKAIHVKGKNLTLTFLKNTIKSDVHNSDEIESKLRVALGVMHECFDPMIDASTGRDIVADVIFNRGSELKRLNFRGFYTVTLERNNEVISVATMRIYGQRVAEVPLIATMNKFRRQGMCRILMNGIENLLNQFGVKRLVLPSAHDMVDTWRNSFGFARMNCADKFQLREYTFLDFQGSIMCHKPLMKSQLEHVMC >OIW14499 pep chromosome:LupAngTanjil_v1.0:LG03:27591908:27596352:1 gene:TanjilG_12092 transcript:OIW14499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIFEYNGSAVVAMVGKNCFAIGSDRRLGVQLQTIATDFQRISKIHDKLFIGLSGLASDAQTLYQRLVFRHKLYQLREERDMKPETFASLVSALLYEKRFGPYFCQPVIAGLGDEDKPFICTMDSIGAKELAKDFVVAGTASESLYGACESMFKPDLEPEELFETISQALLSSVDRDCLSGWGGHVYVVTPTEVKERILKGRMD >OIW16007 pep chromosome:LupAngTanjil_v1.0:LG03:2335769:2336380:1 gene:TanjilG_04542 transcript:OIW16007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQYQGGYGDQGRKNTDQYGNPVSQTDKYGNPVTQSGGTYGTTGHTGGNTGYGTTGGDTHGYGNTGGTGYTTGGGNTGYGATGGDSGGYGTTGGGTGYTTGGNTGHGTTGGDRYGTTGGGDGGGYGGTAGSGGGYGTSGGMGTGFSTTTGGIGTGHGNTASGGYGTTGGGQHHGVRDEHGHEKKGIMDKIKEKLPGGCGGQDH >OIW14674 pep chromosome:LupAngTanjil_v1.0:LG03:25842798:25844334:-1 gene:TanjilG_33016 transcript:OIW14674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSATVEAVSSTKKDVISFNSHLDEELENKGMNNKVGVVEQDSDDVETEELEYDKELDLGPQVSLKEQLEKDKDDESLRKWKEQLLGSVDISNVGESKDPEVKIMSLTIICSDRPNIILPVPFGIDPKKSLFILKEGSTCRMKFTFTVSNNIVSGLKYTNVVWKTGVRVDSRKKMLGTFSPQEEPYTYELDEETTPCGMFARGTYAARIMFVDDDKKCYMDASYYFEIQKNWATPL >OIW15409 pep chromosome:LupAngTanjil_v1.0:LG03:11346840:11347242:1 gene:TanjilG_13745 transcript:OIW15409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDVVDGGSESDSELDDTCGSDIVIDSTKVASGGHYFDIGDPMNVVIVKFACGIKKGPKNIKLQKIPNFNCVVELAKSNSLG >OIW15827 pep chromosome:LupAngTanjil_v1.0:LG03:954800:962239:1 gene:TanjilG_04362 transcript:OIW15827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FHGVPAEERLHWRSFLVKLGADNLKGIKNEELLVACHKSVYIVYTVLGDVSIFVVGKDEYDELALSEVILVITSAVKDVCGKPPSERLFLDKYGRICLCLDEIVWKADRCYYTANIKTSCSSPSYTGNQISVAFGDTYGNQMKMKMDFLISIITFFIISSFSQANPIASIPQPNQSFNPNQILNQNQGSSCSYTVTIKTSCSSPSQTRDQISLAFGDAYGYQVYAPRLDDPYSRTFERNGYDGWVPESVTVSSYNYRPVSFYYNTGIPYGVWYGFDYCHGSSASTSAM >OIW16033 pep chromosome:LupAngTanjil_v1.0:LG03:2574500:2574805:-1 gene:TanjilG_04568 transcript:OIW16033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLQSSSSDNPETQSPATGGNGVRSNRNGTVLSNMRLRLNPSKEHEPDDYEDMELEYSAALLSSLEMYLPPNMLNDPRHEKAKFMQDILIKYLPPGERRRV >OIW15202 pep chromosome:LupAngTanjil_v1.0:LG03:16583522:16600488:-1 gene:TanjilG_08794 transcript:OIW15202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTPFDQLVKNWNRGFYRVEIEGVANYPWPYRSDGRRQFPFAWNDELHQKPVKRFLTNDGSLASREVEATEEILRFRAIGCGYLLSTVAAVFINGGLSSKTRQGKFSILFPIEVKNIHGGKHGSDSGVYDTEGRFVPSKFEEIFSNHAKKHPNALTSDELMGMLKANRVPKDYKGWVASYVEWKILYVLAKDNDGLLQKETLHAVYDGSLFERMEKEHSEKKNK >OIW14899 pep chromosome:LupAngTanjil_v1.0:LG03:24160905:24164032:-1 gene:TanjilG_30618 transcript:OIW14899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLSPSSFVLNEEDSPTSLSSSSQASFSHFLIQNQNQNPNPFHSQNQTDHCLEDAFARLSVSPFDFNYSSSIAGGFSALNGASNNHSFDSPTFQPSQSSNLYGQTHKNNAGNAVGGGGLPSLQNYWVGYGREKYVKWNNLSSGSYPALSAGGYYSRNNNGFLDSESLLRQTGSGGVVMNDNFVGNKFDINNGITSPSPHWLNNLRGRVVLFAKDQSGYKDLISMMKRLTVTINETSFIFIELLDHVVELMVDPFGNYVIQKLVEICTEDQRTRIILRVIQPNLMLVRISLNPHGTRSVEKLLENITTEQQRALVMSALSPDAATLATDTNGHRVLLHCLRYFPPEDNKDLLRVVANHCFGIATDKTGCCVLHQCISYAHGETKKQLIAEIIVNAPLLAEDAYGNYVVQDLVSSKTPIVTECLLRQLEGKFLSLSRNKYGSNVVEKFLVEVGDQHKRRIILELLHNPDVARLLVDPFGNYVIKSAVSKSKGAIQDALLQLIQLNSPMMRTNVYGRKLLEKFGSGRLRRS >OIW14946 pep chromosome:LupAngTanjil_v1.0:LG03:23724444:23727146:1 gene:TanjilG_30665 transcript:OIW14946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPYYPYATPALADSDPASIARTSFAGYIPSTAPSLLTSPHASNRTELRGAGPDYPQKDMNLYRMGAYGVNDTIGSRVHHEPVVAAGHDVKHYPSLDDRDLSKNRDSSLGVSPGVPDIHSERLITKSNHDGVSIAPRDSNVLFVGGLPKDCTRREVGHLFRPFIGYKDIKVIHREPRRSGDKAMILCFVEFIDAKCALTAMEALQGYKFDDKKPELSTLKIQFAHFPFRPPDHDQQLMS >OIW14917 pep chromosome:LupAngTanjil_v1.0:LG03:23953937:23954371:1 gene:TanjilG_30636 transcript:OIW14917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLRIITIPLRVLCKARDIYVKSITKCGNNMSYNNPVDAVGRFSSLPRSYSAATSTRSMNNEDFAELIRAASARTLVNRIDVDNLVLKQKHEQNMAREVVGENGLSKSTSVGMGRIDEDMACDISEECGVVVPLSYPRSRSYAV >OIW14717 pep chromosome:LupAngTanjil_v1.0:LG03:25509597:25518395:1 gene:TanjilG_33059 transcript:OIW14717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQILTLVCFWLCVCFVVTSLCYGVEVVGVGECADCKDNNIKTSQAFSGLRVTVECKSGNGELKRRGVGELDGNGNFKVSLPHDFVIKEECYAELHNAISAAPCPAHDGIFHHTKIVIKSKEAGDQEYTLGPTHKLKFSSLTCTSTFFWPFFNHHHFFPKLHRPHDFTFPPINKVFPPKHPLPPHVPVYEKPPPVTKPLPPFPSPISKPLPPPPPPPPPPPPIPDYEPPFFKPHLPPFHKLHPFPPLPKIPGKKGLVLAIASSAFIGASFILKKKGLKRAANHGTRAGVGGYTYLLEPLWWAGLVTMIVGEIANFVAYIYAPAVLVTPLGALSIIVSAVLAHFLLRERLQKMGILGCISCIVGSIVIVIHAPQEHTPNSMEEIWDLATQPVFLAYAAATVSAVLALILHFEPRYGQTNMLVYLGICSLMGSLTVMSIKAIGIAIKLTLEGISQIAYPQTWFFVTVAAICVITQLNYLNKALDTFNAAIVSPVYYVMFTTLTLVASIIMFKDWYGQDASSIVSEICGFIIVLSGTMILHATREQEQSNMQGSLKWFMSEDSMKGLEDEHLILINAIHRTQADTMVTGTVFCDQCKDGKISLFDYPLNGAKVSLSCSDKNGQMTMSREETSNLFGSYAMRFDGAADLSGCSVHVSGSGEGSMHCGEGGGPVQYPKLMFKMFDIEMYTVDALLAQPPQPMDYCSTSSNPPPLTPPLNSAPPPPPPPPSPLTSSPKSPSPPSFKLPPLPALPPLPPLPPLIFPEASACPSQKWTMPEYKCYWRGVNRDTKVAVAFGMVAARRYGTDITLWNGLHGRGDPYRTLLREGVTALLNSYNTLQFSYNPLSVITHMNLALLGGSNRTVLLTALHFMRANSGAGNVTCKFTTCN >OIW14762 pep chromosome:LupAngTanjil_v1.0:LG03:25131412:25149914:-1 gene:TanjilG_05383 transcript:OIW14762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALMELCDLIALNPPQFHDKLSWICDKCPSPEYLSAGSPRVSRSQLNAVLAVARFLSKSPDSADLRSKSVALEFLRSIPHSFTQSFWPQPFNAEFVSSFFADFLGYISKATESSSVFAEEVAGFSGEVVLSAIGNGNGNEQQQSPIARAFLIALSQNSIPISSSDADKLVTCLIEQFAFPIAVPGMPSPVHIDVSSSQSSPLSVNHQSQIIGSPVNEAMSNVSGSSSGVMSNGGSHMWKSNADQLALNLGLNDGAASSGPQVASFEEESVGMLEKQEIAFKLIAHILEKVQIEPALLEQVRLIGKKQIQSMSAFLKIRKRDWHEQGSLLKARINTKLSVYKAAVRLKIKSLAALDSSDPKSVKRLVYEAVAVLIDAAEACLLSVWRRSRMCEELFSSLLMGVAQIAISRGGQPLRILLIRLKPIVLNVCAQADTWSSNQGAMFESVTKASCKVIESCWTNERAPVETYIMGLATSIRERNDYEEQDNQEKPVPYVQLNVIRLFAELSAAVNKAELVDTILPLFIESLEEGDASTPSLLRLRLLDAVSRMASLGFEKSYRETVVLMTRSYLSKLSSVASAESKTEAPEATTERVETLPAGFLLIASGLTSDKLRSDYRHRLLSLCSDVGLAAESKSGRSGADFLGPLLPSVAAVCSDFGPTLNVEPSLLKLFRNLWFYVALFGLAPPVQKTQVTTKAVSSTLNSVGSIGKTALQAVNGPYMWNIEWSSAVQRIAQGTPPLVVSSVKWLEDELELNALHNPGSGRGSGNEKAALAQKAALSAALGGKVDVPAMTTISGVKATYLLAVAFLEIIRFSSNGGILNGGTAMDAARSAFTCAFEYLKTPNLMPAVFQCLTAIVHRAFETAVSWLEDRVSEIGHEAEARDSILTMHACFLIKSLSQREDHIRDISENLLPQIRDRFPQVLWDTSCLDLLLFSFNDDSSSAVINDPTWTATVRSLHQRIVREWIVKALSSSPCTSQGLLQDKLCKANTGQRAQPTIDIVFLLSEIRIGSGKNDWPIQTANIPAVMAAAAAASGANLKASESFNLEVISSGNCNQAAATVKCNHAGEIAGMRRLYNSIGGFQSGATPGFGLGVGLQRIISGAFPQQPQSDDDSFNGMLLNKFVRLLQQFVNIAEKGGEVVRSEFRDTCSQATVLLLSNLSSGSKSNVEGFSQLLRLLCWCPAYISTHDAMETGVFIWTWLVSAAPQLGSLVLAELVDAWLWTIDTKRGLFASEVRYCGPAAKLRPHLSPGEPEVQPGVNPVEQIIAHRLWLGFLIDRFEAIRHQSVEQLFLLGRMLQGTTKIPWNFSRHPAASGTFFTLTLLGLKYCSCQFQGNLQKFQKGLQLLEDRIYRASLGWFAHYPEWYDTAYTNFSQCEAQSVSLCVQYLSNVKGDAVQLGSKGNGQENGNTLADVNDHHHPVWGQMENYAIDREKRKQLLLMLCQHEADRLEVWAQPTNTKESVSRPKYSSDKWTEFARTAFAVDPRIALSLASRFPTNAFLKTEVTQLVQAHILDVRNIPEALSYFITPKAVDDNSVLLQQLPHWAPCSITQALEFLTPAYKGHPRVMAYVLRVLESYPPERVTFFMPQLVQSLRHDDGKLVEGYLLRAAQRSDIFAHILIWHLQQVVKQWVSFGQGETVPEPGKDPNSGKNGSFLELLPAVRQRIIDGFSPKALDIFKREFDFFDKVTSISGVLYPLPKEERRAGIRRELEKIELEGDDLYLPTAPSKLVKGIIVDSGIPLQSAAKVPILIAFNVADRDGDQNDIKPQGCIFKVGDDCRQDVLALQVIALLRDIFEAVGLNLYLFPYGVLPTGPERGIIEVVPNTRSRSQMGETTDGGLLEIFQQDFGPVGTASFEAARQNFIVSSAGYAVASLLLQPKDRHNGNLLFDSAGRLVHIDFGFILETSPGNNMRFESAHFKLSHEMTQLLDPSGVMKSETWSQFLSLCVKGYLAARRHMDGIITIVALMLDSGLPCFSRGDPIGNLRKRFHPEMSEREAANFMTHVCKDAYNKWSTAGYDLIQYLQQGIEK >OIW14682 pep chromosome:LupAngTanjil_v1.0:LG03:25778028:25780679:1 gene:TanjilG_33024 transcript:OIW14682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCLFAIRLFLLVSSACLCAKITPSIALSVSVCDYGAMGDGYTDDSQVTSTLLIPNGKTFMLQPIQFKGPCNPTTLIVKVEGTIVAPKSIEEWQWPNDDDDKDIWVQFSQINGLFIQGGGQFDGQGSPWWKYKGDKASYRPTALNFHRCENLNLMDLTHINSPKNHISINMCNGSYISNLHIIAPEDSPNTDGIDISESTNIIILNSTMETGDDCVAINGGTSFVNISNVYCGPGHGISIGSLGKNGAYDEVEEIHVQNCTFTGTTNGARIKTWVGGRGYARKITFEDIILVDTKNPVIINQQYEDHHFINNNDGKAVEVSDITYRNISGTSSSEDVINLSCDVNIGCNGIVMENINITSDSSGNEVYASCTKAEGSSYSCIPTLSCLSS >OIW15957 pep chromosome:LupAngTanjil_v1.0:LG03:1869451:1871566:-1 gene:TanjilG_04492 transcript:OIW15957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRGFMFIFAASLLIMHLDCCSASFIGICYGRNADDLPTPDKVAQLVQLQQIKYVRIYDSNIQVLKAFANSGVELMIGISNLDLLPFSQFQSNVDTWLRNSILPYYPATKITYITVGAEVTESPNSVSSLVVPAMNNVLTALKKAGLHKKIKVSSTHSLGVLSRSFPPSAGAFNSSHAHFLKPLLEFLAENQSPFMIDIYPYYAYRDSSNKVSLDYALFESSSEVIDPNTGLLYMNIFDAQIDAVYYALMTLNFTTIKVMVTETGWPSKGSPKETAATPDNAQTYNTNLIRHTINNTGTPAKPDQELDIYIFSLFNENRKPGLESERNWGLFYPNQTSVYNLDFTGRGTADTTTEVNNGTRTNGTITWCIASSTASQIDMQNAIDWACGSSGNVDCTAIQPSQPCFEPDNLISHASYAFNSYYQQNGASDVACSFGGTAVIVDKDPSMFITTLH >OIW14807 pep chromosome:LupAngTanjil_v1.0:LG03:24854052:24854507:-1 gene:TanjilG_05428 transcript:OIW14807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRIRFKSIAAKRVYFQQRHLHEGPDTIDELLERHLEKKDKKVNSLDEDEQELLNRRKLTSTRREALSLYRDIIRASRFFTWPDSNGVLWRDLLRENARREFEEARFETDPEIVTRLVIGGREALESTIDKVVEKQKEQLQKERGGGGQR >OIW14512 pep chromosome:LupAngTanjil_v1.0:LG03:27830971:27832705:1 gene:TanjilG_12105 transcript:OIW14512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSMILCLSLCHWIKKICYAVRLLVPPARFEASKLKVVQLEDQIIKYPSIIPRTYILSHCDLTANLTLTVSNVINLEQLRGWYEKDDVVAEWKKVKNEMCLHVHCFVNGPNPFMNLAAEFRYNIFSKEMPLVLEAIQYGDSTLFSEHPELLDSTVQVYFHSSSKKYNRMECWGPLKDAMEGKEQDQMEGLRRRDCPPEKWWSPKSIFQALFAFLL >OIW14853 pep chromosome:LupAngTanjil_v1.0:LG03:24494748:24498796:-1 gene:TanjilG_30572 transcript:OIW14853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHPNKQFSNSPSSHSDGSLRQSLQQRRLTKQKRLRYLTDQDAGVNSTSSLPASPLPCFNIGSCSDHWSSSAVPQPLPLPETPLTHRSESTILYSASYYAHLGSPSFENRAFPFFRKSMDHDTVRNFRSSTNLGSASSSDAMTMNAKHDLKVKIPATRVLGGHSSCKDPRQLSHETISNMKLHSATKSAPTSILSSPVTSPRRTSNVDLFDPSLHFHQHFNDILKVSLAKTVPSPEYSPHLSPGSRSHFLNPNTEEGSKQHKFCSRVWPENNHVYAHPLPLPPKDSLPSQICTQHQSSIMHHTTENSPSLKGPWQKGKLIGRGSFGSVYHGTNLETGASCAMKEVDLIPDDPKSADCIKQLEQEIRILRQLKHPNIVQYYGSEIVGDHLYIYMEYVYPGSINKFMHDHCRAMTEPMVRNFTWHILSGLAYLHSKKTIHRDIKGPNLLVNASGTVKLADFGVAKILTGKSYQLSLKGSPYWMAPELMMAAIKKESSPKLAMAVDIWSLGCTIIEMLTGKPPWSEFEEAQAMFKVLHKSPTIPETLSSEGQDFLLQCFRRNPADRPSAAMLLTHTFVQNLHDQDVLVHSQGYVRGDPGSGDNCHSPECSPEGRDIVPLSASIRTRIINKIHNLIG >OIW15143 pep chromosome:LupAngTanjil_v1.0:LG03:20579702:20582570:1 gene:TanjilG_14142 transcript:OIW15143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVDNGVRRRRGGCTLNDFVPEESFKSWGNYGKALLDTPHRFMDRVMARSTDHMELVDMKARSNNEMKKTLNWWDLIWFGVGAVIGAGIFVLTGLETREVAGPAVVLSYVVSGISALLSVLCYTEFAVEIPVAGGSFAYLRVELGDFVAFIAAGNILLEYVISGAAVARSWTSYFATLCNKSPDDFRIVVHGMDPNYGHLDPIAIVVLTVISIIAIFSIKGSSVFNYIASVVHVVVIIFIIIAGLINANPQNYTPFMPFGVRGVFQASAVLFFAYVGFDAVSTMAEETKNPARDIPIGLVGSMVMITLAYCLLAVTLCLMQPYNTIDVDAPFSVAFSIIGWDWAKYIVSLGALKGMTTVLLVSVVGQARYLTHIARIHMMPPWFAHVNEKTGTPVNATISMLVATSVIALFTNLGILSNLLSISTLFIFMLVAVGLLVRRYYSSEETTKGNQIKLIVFLMLILGSSCGISVYFAISDGWIGYAIFVPIWAISTCGLWLFVPQAKQPKLWGVPLVPWLPSLSIAINIFLLGSIDKDSFIRFAIWTGFLIVYYILFGLHASYDTAKEFETKTRVDVEHNNSS >OIW15195 pep chromosome:LupAngTanjil_v1.0:LG03:16453770:16455706:1 gene:TanjilG_08787 transcript:OIW15195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQKTRVIRISPFANRLSFDAPPVVQRLRCLANYEALRFSSPILTVGESLVARMRKRGALNGGKYVSIHLRFEEDMVAFSCCVFDGGKQEREDMAAARERGWKGKFTRPGRVIRPGAIRINGKCPLTPLEVGLMLRGMGFTKNTPIYLASGKIYNAEKTMAPLLEMFPNLHTKETLASEEELSLFKNYSSRMAAIDYTVCLHSEVFVTTQGGNFPHFLLGHRRYIYAGHSKTIKPDKRKLALLFDSPNIGWKSLKRHLLSMRSHSDSKGVELKRPNDSIYSFPCPDCMCRANRTDDSRPSPAT >OIW15762 pep chromosome:LupAngTanjil_v1.0:LG03:496598:497338:1 gene:TanjilG_04297 transcript:OIW15762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTSSNSQQERKPRSEPEHALKCPRCDSTNTKFCYYNNYSLSQPRYFCKSCKRYWTKGGTLRNVPVGGGCRKAKRSSSKKPQDQTFIIPNDLNSLKTFPHLTYEYSSDTLTLGFQKQSLEHLGFHNHDLSILGNPTNSLCDMNPTSTKNNGLLESHRSGFLGTQKINDQNMYYVYGNGDMVEVDNGKSGMMMLPYDEAMRVSGNRVLGGFPWELSGDTNMGEVDSGITSWNGLTSSWHGILHSPLI >OIW14401 pep chromosome:LupAngTanjil_v1.0:LG03:29574067:29584225:-1 gene:TanjilG_15755 transcript:OIW14401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKENRKVDGEEEDVMRMTRARARMMGGVSASSKPPFKKKEPLTDITNTQSRRGCKNKNTKLAPHLSKQDQQYSSTIPHLLLSLHQPITSPYQDIYIICQKLNSSYGLGIVDIDSEIKDPLLWSSYAIDIYNNIRVTERERRLSSDYMEKLQQDISPGMRAILVDWLVEVRSYNTSVTIILSGWVIGVVGMAISVRLDVVRILAISVRLDAAFLSHHHYHHGKYEEIKAPQVKEFCVITDNAYTKAEVLKMESEVLKLLHFQLSVPTTKTFLRRFIKAAQSSYEVGYVELEFLANYLAELTLVEYSFLQFLPSMIAASAVLLARWNLIQSEHPWNPTMEHYTNYKVSDLKTTVLALADLQLNTNGSSLNAVCEKYKQQKVIRAWLVVRVVVVRLILELTSEEEEEEEEDGICTLYERKLKELNPAIRNLSYDISDLYNFIDGLADMSALVDKKEKKVKLKRTYQKSNGFELFTAQE >OIW14657 pep chromosome:LupAngTanjil_v1.0:LG03:25965341:25969670:1 gene:TanjilG_32999 transcript:OIW14657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLTASNCIAFRTSNFAVSDHRKIVRPHQWSPIPTQHSRILRSRSNTSVTSSGLRAQAATLEEVGIGSVEKVEAPVVIVTGASRGIGRAIALSLGKAGCKVLVNYARSSKEAEEVSKEIEASGGQALTFGGDVSKEADVDAMIKTAVDAWGTVDVLINNAGITKDGLLMRMKKSQWQDVIDLNLTGVFLCTQAAAKIMMKKRKGRIINIASVVGLVGNVGQANYSAAKAGVIGLTKTVAKEYSSRSITVNAVAPGFIASDMTAKLGDDIEKKILETIPLGRYGQPEEVAGLVEFLALNPAASYITGQVLTIDGGMVM >OIW14689 pep chromosome:LupAngTanjil_v1.0:LG03:25721504:25723987:-1 gene:TanjilG_33031 transcript:OIW14689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISSDNLIGLIMALSSSIFIGSSFIIKKMGLRKAASNGKRAATGGHSYLYEPFWWAGMISMIVGEIANFAAYAFAPALLVTPLGALSIIFSAVLAHFILKEKLHIFGVLGCALCFIVYFCAVVILVSLLIFRYAPRYGQSHMIVYIGICSLMGSLTVMSVKAVGIALKLTFEGTNQFKYFQTWIFTLIVITCCLLQINYLNKALDIYNTAVVSPVYYVAFTSFTIFASIIMFKDWDTQNATQIATELCGFVTILSGTFLLHRTKDMGNKPAEPTVHPIPQHLPNKSPETLDI >OIW15580 pep chromosome:LupAngTanjil_v1.0:LG03:3905415:3906509:1 gene:TanjilG_08156 transcript:OIW15580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLALATSTHSHTLPMDFSLSTLRHSPNLSLNLHRSSLPSPLSSSSSLSFKSLQPPLPSTSSFKLSSIKASLNDSAFHKPTKTSSSNLLQTLISPFLSPIVETTCIVIAATAFFFMRHMPVTAAPLPLPSAVASEQNVAPDEESERLIESRLSENPNDIEALRTLMELKIRARKVNEAIQVIERLIGLEPEEVEWPLLKAHMHVYNDDHELARNVFEEILQRDPLRVEAYHGLVMATSESNQPLDGVLKRVEEAVENCKKEKKDSEVRDFKLLIAQIKVMEGDFSEALKNYQDLVKEEPQDFRPYLCQGIIYTLLRKKDEAEKQFQKFRGLVPKNHPYKDYFEDNMFATKFFSQKLEREGAGARN >OIW15639 pep chromosome:LupAngTanjil_v1.0:LG03:4581202:4582839:-1 gene:TanjilG_08215 transcript:OIW15639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQVTSTAAVSSRAKGNRSSNYIKSRSTAASVSPMLNTVADDVDNDGEGANRDYISDLPDECLASVFQSLSSADRNRCSLVCRRWYQIEGQSRNRLSLIAQSDLLPFIPTLFSRFDSVTKLALKCDRRSVSICDDALVLISRRCPNLTRLKLRACRELTDSGIQAFAKNCKSLKKLSCGSCTFGSKGMNAVLDNCANLEELSIKRLRGITDVEAADPIGPGTAASSLKTICLKELYNGQCFSSLILGAKNLRTLKLFRCPGNWDTLLQLMANQVTSIVEVHLERLQISDVGIQSIANYSNLEILHLVKTPECTDFGLVAIAERCRLLRKLHIDGWKVNRIGDEGLIAVAKCCPNLQELVLIGVNPTKLSLEMLASNCLNLERLALCGSDSVGDPEISCIAVKCVALKKLCIKSCPVSDHGMEALASGCPNLVKVKVKKCKGVTSEGGDWLRLTRGSLAVNLDTGQSENENPGASASNGGAQANGIEFSPMPNQTAAAGASTTIASSSTGRSASFKSRLRLLSGRSLVDRTLRRWPGTRGSTSARH >OIW15412 pep chromosome:LupAngTanjil_v1.0:LG03:11252373:11268874:-1 gene:TanjilG_07185 transcript:OIW15412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLILLKLLQRSNKTLHLPPSPPKLPIIGNFHQLGALPHRSLHALSQKHGPLMLLHLGQLPVMVISSADLAKEVMLTHDAIFASRHNSVAAKILFYGCKNVAFSPIGEMWRQKKKLLVSHVLAQKRVESVQFIREEEVEAMVNKIYSKACMNNGSSVNLREILVENIHNIICRCAFGSKNNDEDGNHRFEETVGKLMAQVTDFSVGDLFPLLGWIDVLSGKLEKFKSTFREMDAFFDDVISKRKMERKDTEKKDFLDILLQLQEDGELEIELTLDDIKGLLADMFLGGSDTNSTTLEWAMAELIKNPITMKKAQEEVRKVVGNKSKLDDDDINQMTYLKCVVKETLRLHPAAPFLAPRETISSIKLRGYDIPYKTMVNINVWAIQRDPEFWEKPEEFIPERFENYNFNFKGRDFQFIPFGYGRRKCPGITFGLVSAEYVLANLLYSFDWKLPGSDEIVHDLDMSEAYGLTVKKKLPLYLVPIPYSNV >OIW15090 pep chromosome:LupAngTanjil_v1.0:LG03:21564779:21568642:-1 gene:TanjilG_08577 transcript:OIW15090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQLAKAEEFEKKAEKKLTGWGLFSSKYEDASDLFDKAANSFKLAKSWDKAGSTYIKLANCHLKLESKHEAAQAYVDAAHSYKKTNITESVSCLDHAVNLLCDIGRLSMAARYLKVLLIYAQEIAELYESEQNIEQAVVYYERSADFYQNEEVSTSANQCKQKVAQFAAQLEQYQKSIEIYEEIARQSLNNNLLKYGVKGHLLNAGICQLCKGDVIAITNALERYQELDPTFSGTREYKFLADIAAALDEEDIGNFTDVVKEFDSMTPLDSWKTTLLLRVKEKLKAKELEEDDLT >OIW16034 pep chromosome:LupAngTanjil_v1.0:LG03:2578638:2580652:1 gene:TanjilG_04569 transcript:OIW16034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLVALLTLQFCFAGFHIVSRLALNIGVSQVVYPVYRNIIALLLLSPLAYLLEKNQRPPLTIPLLVQFFLLALIGITANQGFYLLGLYYASPTFASAMQNSVPAITFVLASVLGLEEVNITRKDGLAKIIGTIACVGGATIITLYKGLPLLQDQTHQIQGDNFEVDQSSTKNQNWTLGCLYLLGHCLSWAGWMVFQAPVVKKYPAKLTLTSFTCFFGLIQFLIIAAFVETDLEHWKIQSMEELFTILYAGIVASGIVISLQTWCIQKGGPVFVAVFQPMQTILVAVMAALILGDQLYSGGIIGAILIVFGLYLVLWGKTNQKKVNEPSLTRPLLNAEEETKEADATSKDIP >OIW15083 pep chromosome:LupAngTanjil_v1.0:LG03:21486214:21487068:1 gene:TanjilG_08570 transcript:OIW15083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAFENYSNNASGPITILPYVNIAHYKHMHEAICNLAQDKIVPLIIIPFHENDHVDLGGHVAKSIQKLNTRFQSRAVCTVGILVDRDSKLGGDDSMMIFHVGIFFIGGQDDREALALGIRMANRENVRVSLFRFVVTNINDKDDYNGRFKSREEEEEEEIEEMLNESLIDEFKSMKFGSGNVSWYEIMVADGEEILDTIRKLEGNYDLVMVGRRHNIGNLNDEELTTFIENAETLGIFGDMLASTEFCIGMVPVLVTQCGGNLDTEHKFSRVGSATVSQKSLDI >OIW14373 pep chromosome:LupAngTanjil_v1.0:LG03:29855487:29860981:-1 gene:TanjilG_15727 transcript:OIW14373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVSSLGSLNPGTVIRCGKKKRCIRYDNIVEDDGVTYCEQVVNVPAVLDGGNFGSSHSYERMIIRPIPPILEIAEHDLQFGLCVDVDYEEAWWEGVIFDRDNEMKERNVFFPDLGDEMKIRVQQLRITQDWDEVSDSWKQRGKWVFLELIEEIASESYIPVSIKQIYYDVRKRDDFFDKIKDWTCNIKDLWREPVMEIINLYADLTISEILHVLDLPKCLSEKTSEHESGEHESADNVAANIPIVTKQDSIGLKTTTNVESNVKDVCPVTIALSSPLKDVVVEPEICPQAVVKYYLYATRNDIGDKNKWRLKAKKHLLAEGWVLEYPNKNRTRAVYKSPQNHILKKLKDACRVYLEATIPRWTIAGIRTLNVSSINEEGVDSDNIIECVTRILQKDPEFNLRDDSLANSSAPNTRHQHMEMPKLNHFHQKAQNVISWLIEKNKVLARSKVYYQGNRGRDPPAAEGRITRDGIKCRCCHNIYGINGFASHASGSSDFSPSSNIFLKDGRSLLDCQREVMYDDRTSETTEKPRNDFDEDKNDDICSVCRYGGDIILCDKCPSAFHKECIGLKEIPDGQWYCPLCHCTICRKTTTKSIEDGRFLTCTQCQLKYHVGCLRNSGTDQHLEQWFCGKNCEKIYYGLNELLGKAISVGENNLTWTLLKFENPKSSDIGNTTNNDFLIECYTKLSVAASAMHECFVPQQNPFSRRDITIDVKFSRLSNLPRLNFQGFYTVLLEKNEELVSVANIRVYGEKVAEVPLVGTRHQFRKLGMCRILMSMLEKVLINLGVKKLVLPAIPDTLETWTKCFDFVNMTSSDRKQFLDHVFLEFPETILCHKVLTRNTSPDSD >OIW15468 pep chromosome:LupAngTanjil_v1.0:LG03:6498205:6503076:1 gene:TanjilG_32872 transcript:OIW15468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTTSSVSNNQAHDNKPLPPLPSPPENVRIDDAAASLPSGSGDKGNGHSPPQEKLCMDDMLEKYCGEFGRWQIRQFILVSLAWILEAFHSMVMIFADHEPNWRCLSGSSGSGCSATTTSVCHLKPGSWEWVEGRNRSTVSEWGLICGDKFKVGLVQALFFLGCAIGAGIFGHLSDSFLGRKGSLIVACILNTIFGCLTALSPKYWVYALFRTLTGFTVSGVGINVFALANEPVGPSKRGVAGMSTFYFFPSGVAILSAIAYFFQKWRHLYIASSIPSILFVIFVIPFISESPRWYLVRGKINETMKLMSTIAATNGKHLPSNVIVALDQELESIPNDGKEGSFTPNNSNEISPKPNGDKEASPIQNDGKEESFTPNNRKETSPKPNGDKEASCIQNKGSGTEETHKNKTYENKDAISGSILDVIRSPATRIRLFLAVDLSFLVSLVYYGLSLNVVNMGVNLYMNVALNSLSELPAFMITALLSNRFGRKRLILGTMWFSGLFCLIGSFMKKVGVWKVVRMVCGILGIFGMAGTYNLLLIYTAELFPTVVRSVSLGCANQTSQMGAILAPFVVVLGGWLPFGVFAACAIFGGMFTIFLPETMNKPLYDTIAGLEASIV >OIW15640 pep chromosome:LupAngTanjil_v1.0:LG03:4592709:4592927:-1 gene:TanjilG_08216 transcript:OIW15640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYLGIDLSCAVGSLRRGNIPDKDCLLPLISKLLGYAIVAASTTVKLPQASTMFYFCLDISSINVPRSCMYA >OIW14724 pep chromosome:LupAngTanjil_v1.0:LG03:25469837:25471420:-1 gene:TanjilG_05345 transcript:OIW14724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGLNRWLLRTPFSLNTCTVTATKLNSMIESYVKDNNITQARKLFDENPSSCNVVSWNMMMTAYIQHHQIQHAHDMFDKMPQKDTVSWNIMLSGFHRNNNSSGLLQCFLQMGRSGFAPNDYTLSTLLRAVIGTVLNILVPQVHALALHLGLNLNVFVGSSLIRAYASLRDKEGLCQVFDDILFKDVTSFNALIAGYMELGSVDDGQRMFNLMPERNTISWTTLVTGYIRNKRINEARSFFNEMSERNVVSWTTMISGYVQNTRFIDALKLFLLMFKSGTRPNHFTFSSVLDACAGCSSLPMGMQVHLCIIKSGIPGDVIHLTSLVDMYAKCGDMDAAFHVFESILNKNLVSWNSIIGGYARHGLATRALEEFERMEKAGVTPDEVTFVNVLSACVHGGLVEEGEKHIIAMSTKYGIQAEMAHYSCMVDLYGRAGKFDEAQKLIKNMPFEPDVVLWGALLATCGLYSNLELGEYAAERIRKLERNHPVSYSMLSKIQGEKGIWSSVNELRDMMNERQVKKQKAFSWVG >OIW15662 pep chromosome:LupAngTanjil_v1.0:LG03:3838452:3840131:-1 gene:TanjilG_08418 transcript:OIW15662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKKKKIKVMKEKKIKLIEKYNIDSNIFSEEEKEVSELLLELHRVILDLEVPLISLLPFTWGCKRIRSSIQYYNPTTALTTCDAIARPPLHHGGAAVSTPFEAASSPATPLSLSLTEPDGKVEKPLRGKASLEKLSYSYNSSNKSEAKKPNLGSECPMQFVYAPNQINSPNPMVGNLQYTTQYVALMLNQTCGPPQICNTESITQFQYVSCNHSMPMQVAPSSLLSSSPNSSSAALGLVNNTSRNGILDLNVSSEEFIHVESCQPLDLNVVNKDLNRVIAAQARQRRIQINKLKNPIGNSQTRYSCG >OIW15535 pep chromosome:LupAngTanjil_v1.0:LG03:5849004:5849797:1 gene:TanjilG_16141 transcript:OIW15535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKSALLAASLAVASAAAVSVSFSTQASHQEDTSDRGYEGSSSSSSSGSSSSSSSSEKFAPRFDGLRFIETLVTAHR >OIW15463 pep chromosome:LupAngTanjil_v1.0:LG03:10298839:10302487:1 gene:TanjilG_28662 transcript:OIW15463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPEHKQILVTAVVVTAVMTFGVAALLFLCCCRCCGTGRVSQTDERPLLSLSMSDYSIGSSSNNNAYQNSIKDDKPGFELSSNSLLDDKNSSVQENQLVGAARPSFELKPPPGRVVGTPSGMPPLKPPPGRTAPLPPEPPSSTPSDNATAAAPPPPPSVPPQPPSGGPPPPAPPPPRSGGPPPPRPPPPRAPGPPPPRAPGPPPPRAPGPPPPPKAGGGRPPPIGPKGARPLAGGLKVPKNAGADSEGDADAPKAKLKPFFWDKVQANSDQTMVWNQIKAGSFQFNEEMMETLFGYAAAAEKTKAVQNKKESTSHDHAPQFILLIDSKKSQNLSILLRALNVTLEEVCDALLEVRLLRSDHEVISSNRGNNLSTCEGNELPSEFLQTLLKMAPTQEEELKLRLFSGNIAQLGPADRFLKAVVDIPFAFKRMEALLYMGTVQEELKATNECFAVLEVACKELRSSRLFLKLLEAVLKTGNRMNDGTFRGGAQAFKLDTLLKLSDVKGVDGKTTLLHFVVQEIIRTEGIRAARMSKESHSFSSIKTEDLLEDICYESEDHYQELGLQVVSRLSSELENVKKAAALDADTLTGTTAKLGHGLVKTKDFVNNDLKNSLSDDRGFQEAVKSFIESAEVDVTSLLEGEKKIMALVKSTGDYFHGNSGRDEGLRLFVIVRDFLIMLDRVCKEIRIVPRKPSVKHVKQETSSSRVSSSENRPPTPDIRQRIFPAVVDRRMDDFSSDDDSP >OIW14959 pep chromosome:LupAngTanjil_v1.0:LG03:23581414:23588447:1 gene:TanjilG_30678 transcript:OIW14959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSDGDRWCVVTGGRGFAARHLVEMLIQSNIYSVRIADLGSTIDLDPSEQLGILGEALTSGRAHYITLDLRNKEQVLKACEGAEVVFHMAAPNSSINNYQLHHSVNVQGTKNVIDACLELKVKRLIYTSSPSVVFDGVHGIHNGNETLPYPSLHNDHYSATKAEGEVLVIKANGKGGLLTCCIRPSSIFGPGDKLLVPSLVDAARTGKSKFIIGDGNNVYDFTYVENVAHAHICAERALASEGTVSEKAAGEAYFITNTEPIKFWEFMSLILEGLGYERPKIKIPAFVVLPIAHLVELIYKLLGPYGMKVPQLTPSRVRLLSCSRSFDCSKAKDRLGYAPIVTLQEGLQRTIESYPHLRAENQPKTKREGPSKASIYLGSGRVADTLLWKDRKQTFTTLLVLAAIYFNFIASGNTFVTAISKLLLFISIFLFIHGILPAKILGYTIEKTPTSWFRLSEDMSHQIALSVASSWNLSVDVLKSLAQGNNLELFSKVVFSLVILNFLGGFSLQNLYTIGLAFAFVAFYIYEKKEEDIDDIFIKIHSFGYKLKSDFTRKFLLSKKID >OIW14406 pep chromosome:LupAngTanjil_v1.0:LG03:29468129:29470882:-1 gene:TanjilG_20852 transcript:OIW14406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHSSKDMKVMENENGITFSSSTHKKDGLRTMPFIIVNECLEKVASYGIMPNMILNLKNDYNMSLVNASNLLYTWSAMSNILSIFGAFLSDAYLGRFLVILIGSFSSLLGLTILWLTAMTPELRPSCGTTNELCNSATAAQLAILFLSLGLISIGAGCVRPCSIAFGADQLSIKENSNHERLLDSYFNWYYTSIGASTLIAFGIIVYIQENLGWKIGFAVPVILMLISAFTFIIGSPFYVKVKASNSLLTSFVQVVVVAMKNRKIDLSECSPDQYYRGHNSEVLVSTNNLRFLNKACVIRNPVRDLKSDGSVSNPWSLCTIEKVESLKAFLSVLPMWSTSIFMGSQGSFSLLQANTMDRRLFGNFKIPAGSFSFIMIVTLSIVIPLYDRIIVPRVAKYTGRPRGISSRLRMGIGLLFTIASRVISSTVETMRRSAAIEQGQIEFFYSYFPKSMSSFAMAMLTLGLATADIIGSVIVNTVDKVTCIGSNESWLSTNINKGHLNYYYALLTLLASINFLYFLAICSAYGSDRAEKVDASASNEDDKFDYMELPSSKD >OIW15384 pep chromosome:LupAngTanjil_v1.0:LG03:12201351:12203033:-1 gene:TanjilG_18305 transcript:OIW15384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDSSSPNADPITLSLNLLSSLLDQEIPTVHHFKSKWSLARVKLTLLQTQLTDFSAEFPNSSHPLSIDLINSISHTLHDAVLLVHHSQFPTLQNGKLKTQNDIDSLLSKLHRHVTDCDILIRSGVLSENRNGDFSTSKRETVRLECRNLITRLQIGSNESRNSAMDSLLTVLNEDDKNVTIAVAQGIVPVLVRLLDYSPSTSEMKEKIVTAISRVSTVDSGKHVLVAEGLLLLNHLLRVLDSGTGFGIEKACIALQALSFSKENARAIGSRGGISSLLEICQAGTPGSQASAVGVLRNIAAFPEIQENLVEENGVVILLGLAASGTPLAQENAISCLSCLISDAERLRILVVKQGGIEILKNFWDSACLTNKGLEVAVEIFRNLALSGPIAEVLISKGFVARLVGVLNCGVLAVRIAAGKAVYALGLSGGTKAKKEMGECGCIVPLIKMLDGKALEEKEVAIMALSVLLLHAENRRVFRKDEKGVVSVVQLLNPSLQGLDKKYPVSLLALLVHSKSCRKQMVAAGACVFTQKLVEMDVEGSKKLLESLHHGKIWRVFSRP >OIW15467 pep chromosome:LupAngTanjil_v1.0:LG03:6432281:6435375:1 gene:TanjilG_32871 transcript:OIW15467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAMTSSVSDNQAHDKKTLPPLSSPPENVCIDDVAASLPSGSGDKDHGHSPPQEKICMDDMLQKYCGEFGRWQQRQFILVSLAWILEAFHSMVMIFADHEPTWRCLSGSAGSGCSTTATSVCHLKPGSWEWVGGRNRSTVSEWGLICGGKFKVGLVQALFFVGCTIGAGIFGHLSDSFLGRKGSLIVACILNTIFGCLTALSPKYWVYALFRTLTGFTVSGVGINVFALANEPVGPSKRGVAGMSTFYFFPSGVAILSAIAYFFQKWRHLYIASSIPSILFVFFVIPFISESPRWYLVRGKINEAMKLMSTIASTNGKHLPSNVILVLDQELASIPNDGKEESFIPNGSKETSPKPNGGKEASSISNDSKETLPKPNRGKEASSIPNDGKETTSITNEGSGTKETAKNKIFENKDAISASILDVIRSPATRISQSLPKCGSKFTVRVTSILDNNIVVKQVREKAVSSRDNVVQRILLLNREFDEESWCMEGGEDELFPTVVRNVSLGCSAQASQMGAILAPFVVVLGGWMPFGVFAACGIFGGVFTIFLPETLNKPLYDTIAGLEASIV >OIW15399 pep chromosome:LupAngTanjil_v1.0:LG03:11797441:11808472:-1 gene:TanjilG_15782 transcript:OIW15399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGETTRDSTTSLDPLDSFPPLRVHQSDGDDAASPSSRYSSCGESEFDRYCSANSAMGTPSVRSTVSLYNDFSELDFGSVRGFEIGEDSNRLENFSLGGGGRAEVNQIDHDKFGTGPRSLGYGSSGLEFYGDDGGDELATVEFNHMVEPFEERGGGNELKGVDGYVGIKSSCNSESGNVRNGERIGLRDVDGFASEVMVEEGGGIVRLDGYDVRSSLEGGEREMEREGVGGLSDCEEHTVGEASMYNYGAGGESGLSCGAVGIDGFDVRSNFNCGESETETDREENGGLSDFEHSEGENSMYSYGSDGENKNESYLSRVIHYRKEPELQNENPLLINSSVAFGSDDLDDFLMENVSCDPLSMSNPFHIRRERNHEVGEDPAKLGSLSSAGCISASQKESGKDKKDMVIINEKLEELKGIGEPVAIEEVRDTPTFAVNDFLNTVNPQDQGSDNLVKTSTTTEACEVNLDPLTEEAQQCMSMNVKGDQSISIENVIATSDAQHVKKSELDHSKIKFDQFSDSRVDQIFYNSSNHIGNINVKSFKRSEQNVPPSNHGMKKTLESYPMSTNLLETSPVISKIEDFEPNEFYDEVVQEMEDILLDSMDSPRAKFAMGNRLLKSQVSMPLRDGGLTASTSSTNDTYLLVQRPTRIDRIEVVGARQKKGDVSFSERLVGVKEYTVYKIKVWSGKDQWEVEKRYRDFLALCRCMKTLFTDQGWNLPLPWSSVDKESNIFRSSSPDIIVKRSVLIQECLQSILRTRFFSTPPSALVWFLSPQDLQPVSPVSNTPVSPSSFNRNFSTLGKTISLIVEIPPNKSVKQLLDAQHYTCAGCHMHFDDGKTLIWDFVQTLGWGKPRLCEYTGQLFCSSCHTNETAVLPARVLHHWDFTHYPVSQMAKSYLDSILEQPMLCVTAVNPFLLSKVPALLHVMNMRKKIGSMLPYVHCPFRRSINRGLGNRRYLLEINDFFALRDLIDLSKGVFAALPTMVETVSRKILEHITDQCLVCCDVGIPCSARQDCNDPVSLIFPFQPKQWVEWLPWAEFWFNTTYNASAGMTPFKALYGRDPPILIKGCTFTSRIEEVNQLMMTRDLVLQELQQNLVRTQDAMSTQANKHRRQVEFEVGDWVFLKLQPYKLKSLANRPAAKLATKFYGPYQVLEKVGLVACRLALPEYAKVHPVFHVSLLK >OIW15775 pep chromosome:LupAngTanjil_v1.0:LG03:586414:593106:-1 gene:TanjilG_04310 transcript:OIW15775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVISVAVTPSSSSSSSSSSTPSQNDAVVANDGTGRMEGWLYLIRSNRFGLQFSRKRYFVLDGYHLRTFKSPPTSNNNTNNQFSLCLIVNKDLLKFSSQDPVRSSIVDSSVRVMDNGRESINRKVFFIFTLYSTSNHDDQLKLGASSPEEAAKWIQSFHEASLKGAPDVGDNAVGSSKRRLKSFRPSGSSNRSRPNLVDWTLSSNDVIAPSPWTIFGCQNGLRLFKETKDMDSRAKKWADHPAIMAVGVVDGTSEAIFQTIMSLGPSRSEWDFCFYKGNVIEHLDGHTDVIHKQLHSDWLPWGMKRRDLLLQRYWRREDDGTYVILYHSVFHKKCPPQKGYVRACLKSGGYVISPTNKGRQSVVKHMLAIDWKFWRSYLKTSSAHSITIRMLGRVAALRELFRAKLGNCSSSGYSSGDLIRNTSLHLKEGDINSESDTQIQAGDEKSHDNSVGEVDQTQSEHANLVSLNDADDEFYDVPEPSDYDESEYGWMAECSNQRSQDGRHQKLSTAASFVKRLHDRAVHKKGYMDLQEMVREESISCSYGSTLPKDPTCTLPCSVTEADPSTFLIRGKNYLEDRLKVKAKGTLMQMVAADWLRSDKREDDLGGRPGSIVQKYAAQGGPEFFFIINIQVPGSTTYNLALYYMMTTPVEDTPLLESFIKGDDAFRNSRFKLIPYISTGSWIVRQSVGKKACLVGQALEMNYFQGKNYLELGVDIGSSTVARGVVSLVLGYLNHLVIEMAFLIQGNTSEELPEFLLGTCRLNHLDASKSVLLKP >OIW14525 pep chromosome:LupAngTanjil_v1.0:LG03:27341006:27347428:1 gene:TanjilG_04958 transcript:OIW14525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLATKETRMRIIEPQHHDFEDTTDQTERSQSHLVLNYPKPPPLWKKLLNPVKDTKFFFSFKNKTCHGHAFSFLESLFPIINWSRNYKASMLKDDLLAGLTIASLSIPQSIGYANLAKLDPQYGLYTTVVPPIIYAVMGTSREVAIGPVAIISLLISSQVSTLINPASNPEAYRNFVFTVTFFAGIFQAAFGIFRLGFLVDFLSHAALVGFMAGAAIIIGLQQLKGFLGLTQFTTNTDVISVFESVYKSLYQQITSAEKWSPLNVIIGCSFLIFLLLVRFFGRRNKKLFWLPAIAPLISVVLSTLIVYLSKADKHGVYIVKHIKSGLNPSSVHQLQFHGQHVGEAAKIGLICGIIALAEKIAVGRSFASLKGYHLDGNKEMLAMGCMNIAGSLTSCYLATGSLSRTAVNVSAGCKTSLSNIVMAITVIVCLELLTRLLYYTPMAILASVIISSLPGLINISEACHIWKVDKFDFLAYAGAFFGVMFGSVEIGLLIAVLISFTKIIIQTIRPGIEILGRVPRTEAFCNVIQYPMAISTPGILVIRISSGSLCFANANFVRERILKLITNEEDELNETAKGKVQAAILDMTNLMNVDTSGILALEELHMSLHSRGIELAMVNPRWQVIHKLKLAHFVDRIGKELVFLTVAEAIDACLASKITTLHFEGIV >OIW16014 pep chromosome:LupAngTanjil_v1.0:LG03:2378877:2386432:-1 gene:TanjilG_04549 transcript:OIW16014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRKKRVSSFEEAEVNFASHLMQEKPKILFFIPLILIAWAIEKWVFPFSTWVPLLLAVWATIQYGRYQRKLLVEDLDKKWQRIILNSSPITPLEHCEWLNKLLTEIWPNYLNPKLSLRLSAKVEKRLKLRKPRLLERVELQEFSLGSSPPSLGLQGMRWSTIGDQRVMQVNFDWNTNEMSILLLAKLAKPLMGTARIVINSLHIKGDLLIIPILDGKTLLYSFVSTPEVRIGIAFGSGGSQSLPATEWPGVSYWLEKLFSDSLVKSMVEPRRRCLPLPAVVLRKKVVGCIVYIKVVSANKLSRNCFKVYRRQQNGTATTSGVSENSFDDKDLQTFVEAEVGELTRRTDVRLGSTPRWDTLFNMVFHDSKGTVRFNLYESHPSSVKCDYLASCEIKIRHVVDDSTILWAIGPDSGVIVKQAQFCGDEVEMVVPFEGANSAELKVSIVVQEWQFSDGSHSSNHTRACFQQSLSAKSSLQLRTGRKLNITVVEGRNLAAKDKFGKFDQYFKLQYGKAIQRTRTVHNQNPVWNQTFEFDEIGGGGYLRVEGFSEEIFGDENIGSAQINLEGLTDGSVRDVWVPLEKVWCGELRLKIEAVKVEDQEGSRDSALGSSNGMIKLVLIEGRDLVAADLRGTSDPYVRVHYGNLKKRTKVIYKTLNPHWNQTLEFPDDGSPLELHVKDYNALLPRSSIGECVVEYQGLPLNQMADKWIPLQGVKRGEIHIQITRKFPEFRKTNSADFEPTLSELHEIPNQIKQMMIKCRSMIEDGNLEGLSTTLCELETLEDTQGGYIVQLETEQMLLLSKVKELGQEMLNSPYPSHSRIYSSESVN >OIW15636 pep chromosome:LupAngTanjil_v1.0:LG03:4539985:4541424:1 gene:TanjilG_08212 transcript:OIW15636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRGNVKEGKFDSNVPPECKPNPSILRLTANLRWEEAREPLHADIDVSKTCGIGPGLAFANEVVRIKGGRGCGYGNVVGLVPCAVGGTKIEQWSKGSHLYNELVKRAMNSVNGDGSIRALLWYQGESDTVREEDAVAYKHKMESFIMDIRSDLHLPSLLIIQVALASGEGKFIEKVRHAQLGITLPNVKCVDAKGLRLKDDKLHLTTMSEVHLGIRLAHAYLNFTPHHFNHTIVI >OIW15232 pep chromosome:LupAngTanjil_v1.0:LG03:15828506:15830923:1 gene:TanjilG_17552 transcript:OIW15232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPISKPLILLSLLFFIQCFFSNSHASSDVPFIIVHKKANLNRLKSGSETVSVIIDIYNQGSSTAYDISLADDSWLNDAFNVISGSTSKSWEKLDAGGVLSHTFELEVKTKGVFSSEPAVVKFRIPTKAALQEAYSSPILPLDVLADRPPEKKFDWRLLARYGSIISVISIMVLFVYLVASPSKSVAKGSKKKR >OIW14793 pep chromosome:LupAngTanjil_v1.0:LG03:24948674:24952812:1 gene:TanjilG_05414 transcript:OIW14793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGASSGIGYGLKYQARCISDVKADTDHTSFLAATLSLKEENEVHLIRLSSSGTELFCEGLFSHPNEIWDLVSCPFDQRIFSTVYSNGESFGAAIWQIPELYGELNSPQLERITFLDTHAGKIKCVLWWPSGRHDKLISIDEENLYLWSLDVSKKTAQVQSHDSAGMMHKLYGGAWDPHDTNSVAATCESYVQFWDIRTMKKTSSIECSHVRSVDYNPKQKHILVTAEHESGIHIWDLRKPKFPIQELPGHTHWTWTVKCNPEYDGLILSAGTDSAVNLWLASSSHDELNESQTDSPTRRVDPLLKTYSDYEDSIYGLTWSSREPWIFASLSYDGRVVVESVKPFISKK >OIW15367 pep chromosome:LupAngTanjil_v1.0:LG03:13439316:13442103:-1 gene:TanjilG_26740 transcript:OIW15367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLVEGTITLKLPESSNDVLLKNLYLSCDPYMRNRMSKFDGPEIEGFHAYTPASPLAGIGVAKVVESGNPDYKEGDLVWGITKWEEYSLVPSTQIVFKIEHTDVPLSYYTGILGMPGITAYAGFFEIGSPKKGDNVFVSAASGAVGQLVGQFAKLTGCYVVGSAGSKEKVDLLKSKLGFDEAFNYKEESDLDAALKRYFPEGIDIYFDNVGGKTLDAVLLNLKVHARIPSCGMISQYNLTQHEGVTNLLNLIYKRVRIEGFVVTDYYHLYPKFLEFLLPHIREGKIVYVEDIAEGLENGPAALVGLFSGHNVGKQVVIVAR >OIW15483 pep chromosome:LupAngTanjil_v1.0:LG03:7056728:7063435:-1 gene:TanjilG_32887 transcript:OIW15483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYLVRENLFIGNIGDAAEILQNGSVSITHILSVLSSASISFFSEWRTGLTIPTKEINNLHVGAAADDGARTALLPEKLLYSLEYAGNDLKLVRMAVPLKDTENEDLLDYLEDCVDFIDRSRKEGSVLVHCFAGVSRSAAVITAYLMRTEHLSQEDALQSLRKSCEFVCPNDGFLEQLKMFEEMGFKVDYSSPIYKRFRLKILGMTSSACHPYCCGSLCASRIDSSKLGADPGMPVQISSDVEEATKVENKRRLTYRCKKCRRVVALQENVVEHTPGEGETSFEWHKRRSGNPFDKSNEYECSSIFIEPLRWMKAVEEGALEGKLSCVHCDARLGYFNWTGIQCSCGSWITPAFQLHKGRVDISPV >OIW15602 pep chromosome:LupAngTanjil_v1.0:LG03:4229117:4230588:-1 gene:TanjilG_08178 transcript:OIW15602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCCLFGEIVNLDNTIHHLVSIVGLGAGLCYQKCGSEMVAALWVTEMSSPFLHLRELLKECGYRDTPLNLAADFLFAIIFTYARMLAGPYLTYVTLSANNPLLIKAMGLGLQLVSTFWFFKIVRMMKYKLSKRPTSENGIKHNIPKKIT >OIW15358 pep chromosome:LupAngTanjil_v1.0:LG03:13267891:13268301:1 gene:TanjilG_26731 transcript:OIW15358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVSALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >OIW14847 pep chromosome:LupAngTanjil_v1.0:LG03:24529699:24531548:1 gene:TanjilG_30566 transcript:OIW14847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCFSPSDSGSGCNGSNGFFHNDTTVLNLGHGEPTLSLGYETSDLNPQPIVKGRNFNHKLHNYQPCIYSRDFKRNARVVKRSIRAPRMRWTATLHAHFVHAVQLLGGPERATPKSVLELMNVKDLTLAHVKSHLQMYRTVKSTDKGRGHGQTEMRLRQRPGTVDLHGVSTCERSNLPKSMQKSYRESWQSSNSRQNPKINLMYSHLNRNDEIRMSGHNCGGIWNCTKERLDYSSLSRSEAMLDLEFKLGRPALQTDSTH >OIW14774 pep chromosome:LupAngTanjil_v1.0:LG03:25074508:25078791:1 gene:TanjilG_05395 transcript:OIW14774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGGDAGAGSHGHGDNRTLEQTPTWSVAAVCAIIVIISISLEKLIHKFASMFEQKKKLALLEALEKIKAELMVLGFISLLLTFSQSYISKVCVPHHYANQMLPCSRPEESYGAAHGPPKEEEGGEDEGEHRRRLLSYERRFLAGGGGMVNCKPGYEPLISVNGLHQLHIFIFFLAVFHVIYSAITMTLGRAKFKNLCSLRQIRAWKEWEQDHLIEEDALNDPRRFRLTHEISFVRDHNSFWTKTPISFYFVHLAPGSKFDFQKYIKRSLEDDFKVVVGISPLLWASVVLFLLVNVHEWHAAFVLSFLPLSVVLAVGTKLQAIITRMALDIKERHAVVQGIPLVQVSDKYFWFQWPQLVLYLIHFVLFQNAFELTFFCWTWYEFGLGSCFYEDKFFMFFRVAIGIGTQIVCSYVTLPLYALVTQMGSTMKKSIFDDQTSKALKMWHKNALKKANSKGRGTEVRTLGGSPGHSPPHSPHANGNAGTNIVVAAETQMTQQNAAAIATNADHNQNQQYENIDLLSGP >OIW14751 pep chromosome:LupAngTanjil_v1.0:LG03:25208796:25211118:-1 gene:TanjilG_05372 transcript:OIW14751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METVYEDVEEYNYREVKLPSLIPIVSEPELERETGERRRGRDIIIAIDHGPNSKHAFDWALIHFCKLADTIHLLHAVSDVKNQLVYDLSQGLMEKLAVEAFQITMVQTVARIVEGDAGKVICKEAERIKPAAVIMGTRGRSLIQSVLQGSVGEYCFHHCKAAPLVIVPGKDAGDASII >OIW15718 pep chromosome:LupAngTanjil_v1.0:LG03:224456:225919:1 gene:TanjilG_04253 transcript:OIW15718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGCVFLGSLPHQQDNDTSLPPLCLSSNTSQFRYTWGPTGQLSANRMTRMVKDIVHMNYSNVRWYVFGDDDTVFFPDNLVKTLSKYDHRLWYYLGAPSESYLAANAFSFKMAFGGGGIVISSSLAQALAKVVDSCLQRYHNLYGSDARTYSCITELGVELTQEPGFHQVDMLGNIFGLLATHPISPLLSLHHVNQTYPIFPNMTNIKALQHLFKAVNVDSQRILQQTVCYHNNFSWTISVSWGYAVQLFPSNMPLPDILRTPHTFQPWRTEGNIMENAYNFNTVALQNDTCQRPIIFYMDTVSSGKDGTIITTYYRKSFQNCSFHIAPLNKLQLIKIRSPRRQCCDILPSKKAVLEVAIRECKDGELIFMP >OIW14920 pep chromosome:LupAngTanjil_v1.0:LG03:23936340:23937758:-1 gene:TanjilG_30639 transcript:OIW14920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASATLKSQKRLAEFLKEQQEPFTLEVYLLERGYSQKWNSKSKLDSHKSIERSVSTCLNRKIKSLSPIFKVLSTLHRKISCHNKSSIVTKDSSNRSEHVCISNEACSFDLKVVETERFSCSTSSTVFLSCSDIDEDETSLLAHSDKPQFSPDTCRVSTLCNIEVQRYELNFYRTLNHDVSGKEKRIHSCGVVAPKKITEESLLSTALWSLLTHSTKKENYSMDLRENLGSNVSKILKSKRVLHKTKQLLFDCVREITITLPRKDYGQGGNKIFMGPEELGNIICQRTKEWSKKGAGDETNLTHLLTLDYLNSINEWSKFEQHVKDVSIEIADAILECVNDEIVSDMIEILAPINHYNKLQF >OIW15531 pep chromosome:LupAngTanjil_v1.0:LG03:5766686:5767189:1 gene:TanjilG_16137 transcript:OIW15531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKVKEEKPKTTPTSPTQPASSSSSSYMLLLLQIMSKRITWVCIFVLVYGFLIKYSFNFIKSMVSLEWPALYAAVLVGTVFGVLSMVAALAVMVPMVLDTWIAIVVLMSFFGKPRWALVVEGRKITREILGVVMKVLLKEGNVVAAVFAVLGYFVLVGRNGGGEGVD >OIW14706 pep chromosome:LupAngTanjil_v1.0:LG03:25565640:25569436:1 gene:TanjilG_33048 transcript:OIW14706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGGGEDTTLEFTPTWVVAGICTVIVAISLAAERVLHYCGLFLKRKNQKPLYEALQKIKEELMLLGFISLLLTVTQNSITKICVPSAFTHHMLPCTFQSHSQTHSHSQTIFSFPPFSRRLLSHADANFCSHKDKVPLLSLEAIHHLHIFIFVLAIVHVTFSVLTVLFGGTKIRQWKHWEDSIAQQNYETNRVLKPKVTNVQQHDFIKGRFSGFGKDSALMGWLLSFFKQFYGSVTKSDYVTLRLGFIMTHCRGNPKFNFHKYMIRALEDDFRQVVGISWYLWVFVVIFLLLNINGWHTYFWIAFIPFFLLLAVGTKLEHVITQLAHEVAEKHAAIEGELVVRPSNDHFWFQRPQIVLFLIHFILFQNAFEIAFFFWIWVTYGFDSCIMGQVRYIIPRLIIGLFIQVLCSYSTLPLYAIVTQMGTNYKKAIFEEHVQVGLIGWAQKAKMKKEQKADSQHESGQGSSHVGIQLGQLFPKRASAPQDNTFIPPKPDGST >OIW15826 pep chromosome:LupAngTanjil_v1.0:LG03:950974:951780:1 gene:TanjilG_04361 transcript:OIW15826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQSKKYKGVRQRHWGSWVSEIRHPLLKRRVWLGTFETAEEAARAYDEAAILMSGRNAKTNFPVSDNNKTSNHHSSNPSSYSSSTTTFSEVVSAKIKKCCKYPSPSLTCLRLDTENSHIGVWQKRAGSSSESNWIMMVELESKKNIHNNNSNGAYDSKLQVPNTTDKVKAEESSINGLDEEQRMTLQMIEELLNRN >OIW15347 pep chromosome:LupAngTanjil_v1.0:LG03:12937211:12938413:1 gene:TanjilG_26720 transcript:OIW15347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKVQIEITIPHLFRCPISLDLLEDPVTLSTGQTYDRSNIVKWLDAGNLTCPVTMQKLHDSSIVPNHTLRHLIDQWLQLCHQFDTGNSETIDYLASIKHTLQSHGSTLENKVHALDKIRVLSYEYCSFKKSCFIKLSFLPLLLELVFGTEYAQVSKKHMELKELALFCVMKLLPLVSLEPLNMIKDESKFAIFMQLFEKGTISMKIILCNLIESTASSSKTEEVCYMLGNSHKLVHNIVLFCHQNCELSKAAIKAISALCSLQSNKESLVRAGAIDGIITYISGCETKQKNLAPLAMTIIEKLLVLESAKETLVNNPNGVETLVKMVFMVCNQECSEGAVEVLLIICGEFRSAREEAIGAGVLTQLLFLLQSQCGTKTKTKARMLLKLLRSKWSEESKQV >OIW15111 pep chromosome:LupAngTanjil_v1.0:LG03:21942350:21944533:-1 gene:TanjilG_08598 transcript:OIW15111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLSLRASRAFTLKSRFIFPFSTSTNSPHLQYDAVSDHNDNFKTPSLISDQFRAAAEYDAVLSNSNKNKNPSSLVSHQFRSAVTEPEILVRVLNSVRDRPNLALRFFRWAEAQPGFNRSEFAFVVILEILARNRLMRSAYWVMEEVITLKMDSVVIDVLVNRDVYVSSDVSVKLLDLLLWIFTKKSMLERCLVIFYRMIHNDFLPDVRNCNRVLRMLRDRNMVDRVKEVYNVMVECGIRPTVVSYNTMLDSFCKEGEVGQALELLLEMHGRGCLPNDMTYNVLVNGLSNKGELKQARELIEEMLKLGLKVSAYTYNPLIRGYCEVGMLEEATGLGEEMLSRGALPTVVTYNTIMYGLCKCGRVSDARQLLSVMSNKNMMPDLVSYNTLIYGYSRLGNLEEAFLLFDELRHRSIIPSAVTYNTLIDGLCRLGDLDVARQLKDDMINGGPSPDVFTFTILVRGSCQIGNLPLAEELFDEMLRRGLQPDRFAYATRIVGEMKLGDSSKAFGMQEEMLSKGFPPDLITYNVFVDGLCTLGDLKEASELVQKMLRVGLLPDHVTYTSIIHAHLMAGDLKKARVVFYEMLSKGIFPSVVTYTVLIHSFAVRGRLELALMYFFEMQEKGVCPNVITYNALINGLCKARKMDQAYNFFMEMQAKGVFANKYTYTILINENCNIGQWQEAFRLYKDMLDREIQPDSCTHSALFKHLNKDYKLHAVQHLEKLIGGGE >OIW14848 pep chromosome:LupAngTanjil_v1.0:LG03:24524378:24526737:-1 gene:TanjilG_30567 transcript:OIW14848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRRGNSSEYDTLGTSIKTAVVYLATALVKLICLATFLKVSESDSFDPYQEFLKALIGFVDVAGLYFALTQLTHRNISQNHKFQAVGLGWAFADSVLHRLAPLWVGARGLEFTWDYILQGLEANANLVLSISLAALGSLMWLRKNKPKTLIPIIYLCAIIVATMPSITSYLRRGLGWHFPKVVGFELFTSLVMAFISWQLFSACQRPSA >OIW14723 pep chromosome:LupAngTanjil_v1.0:LG03:25474906:25477024:1 gene:TanjilG_05344 transcript:OIW14723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQKIFEPAPPPLKEGGLPGRKIVVSTNIAETSLTIDGIVYVIDPGFAKQKVYNPRIRVESLLVSPISKASAHQRSGRAGRTQPGKCFRLYTERSFNNDLQPQTYPEILRSNLANTVLTLKKLGIDDLVHFDFMDPPAPETLMRALEVLNYLGALDDEGNLTKLGEIMSEFPLDPQMSKMLVVSPEFNCSNEILSISAMLSVPNCFVRPREAQKAADEAKARFGHIDGDHLTLLNVYHAYKQNNEDPSWCYENFINQRGLKSADNVRQQLVRIMGRFNLKLCSTDFNSRDYYINIRKAMLAGYFMQVAHLERTGHYLTVKDNQTVHLHPSNCLDHKPEWVIYNEFVLTSRNFIRTVTDIKGEWLVDIAPHYYDLENFPNCEAKRVLDKLYKKREREKDEARSRK >OIW15205 pep chromosome:LupAngTanjil_v1.0:LG03:16693213:16696258:-1 gene:TanjilG_08797 transcript:OIW15205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENPQMGFGVSSIICFVLVLSVMVIAARGQDYEVMIMLKKMIINAPTTLQWTDSDVCKWNHVQCDKGKRVTSIQIGNQNLQGSLPKELEKLSELENFECQGNNLTGSFPYLTKSLQNLFIQDNMFSSFPNDFFMDMSNLEAVCLDDNPFPQWQVPHSLEDCVALQNFSAQNVGFVGTIPDFFGSRGPFPGLLNLALSRNYFEGGLPASFSGSSIETLLLNGQNSNSKLNGTLEVLKNMTSLKQIWVHGNSFTGPIPDLSHHDQLYDVSLRDNQLTGVIPPSLVTLPSLKVVNLTNNNLQGSPPVFENGAKVDNNMNTGRNQFCTKIPGQPCSPLVNTLLSVVEPLGYPLRFAESWEGNDPCANTWTGIVCSGGNISVINFQSMDLSGSISPSFATLTSVTKLLIANNHITGTIPTVLTSMPLLQELDVSNNNLYGRVPSFREGVVLKLGGNPDIGKDKPTSGADSDSSIGDNIKNHLQIVIGIVVGAVILLGIGILLFIKYRRKMKPKGKVQNPNAIVHPRHSVDDNAMRISIAGVGGGGAPLSPRSNVYPVEAGSMVISIQVLREVTNNFSEENILGKGGFGTVYKGELEGGTKIAVKRMQSGNMAEKGLSEFMVEIAVLTKVRHKHLVSLLGYCLDGDERLLVYEYMPQGALSRLLFHWKEEGLKPLEWKTRLTIALDVARGVEYLHDLTQQIFIHRDLKPSNILLGDDLRAKVSDFGLVRLAPQGKASFQTGLAGTFGYLAPEYAEGTNMEISFDSTATGRLTTKVDVYSFGVILMEMITGRKALDESRPEENFHLVTWFRRMLLKKDLFPMIIDPTIAVDDETFASISTVAELAGHCTSREPYQRPDMSHAVRVLSPLVEVWEPTEPIDETYGVNFEMTLPQALQRWEDHEGNSTWNSTYSSSSANTNTNGQSQAFPLGHL >OIW14538 pep chromosome:LupAngTanjil_v1.0:LG03:27144583:27145383:1 gene:TanjilG_12937 transcript:OIW14538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNVDAALKTSLLWLAALILVVGLCTHSMKKMMVTYVMGVVGISAVLLPDWDYFNRDFSRWGYPITAEERASHLAQGSGLLRFAYSPLRVISYCLIYGYAMYKWWKYVTSS >OIW15975 pep chromosome:LupAngTanjil_v1.0:LG03:2010615:2011157:1 gene:TanjilG_04510 transcript:OIW15975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRSNSLALIFVLEAMFLIISIEARHSITFPQKLSNTGMIIDICNDAPYNFDHCLSVLLQSNPSADIWGLVVFFVDDMEEKAKDALAKINALQRARSGYNAALDSCRKNYNTILVADIPKAREALKKRDTKISEGPLNDAFNKVQKCETDFPRQLTPQNNLMRDDVGDTIYMFKLTHGHA >OIW14834 pep chromosome:LupAngTanjil_v1.0:LG03:24756403:24757515:1 gene:TanjilG_17059 transcript:OIW14834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDPGHRENGRHKPDQYKSAQGQWLMQHQPSMKQIMALMAERDAAIQERNLALSEKKAALAERDMAFLQRDTALAERNNAIMERDNAIAALQYRENSLTTGSMSACPPGCQISRGVKHMHHPQQQVHHLHNMGDASYGTQEMTTTDALPEAPIPSEAGKSRRAKRPKEAKSPNKKASKTARKVKMESEDLNGMMFGKTHEWKSSQEVFNGGDDLNKQSVVSKADWKGQDLGLNQVAYDESTMPAPVCSCTGVLRQCYKWGNGGWQSACCTTTLSMYPLPAVPNKKHARVGGRKMSGSAFNKLLSRLAAEGHDLSNPVDLKDNWAKHGTNRYITIK >OIW14715 pep chromosome:LupAngTanjil_v1.0:LG03:25521891:25527382:-1 gene:TanjilG_33057 transcript:OIW14715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDEVTPPITNHRGHTLAGQLNIGILWYGPITNVQRKSILSFFRSLNTNAGPQPQVSSWWKVVESYGGGRIPVKVVNQVSDPNYSLGKVLIKDFIKMLLPKATAGKSNTVAVIIATKGVTVQDMCAGSCAQHGLIENQVYVAVGDPEEECPECAWPFLKTPGKPGPVVRPPSGDVGADAMVKLLAGGLAGVVTNPFGDGFYSTARGDVTVEASTKCPDTFAAVNGEKLSKFVLPAIWNPATSTCWTHGPLYDSTAYTKCKMQPEEPLYGGGIFKNESHFVGGSITNNIATNYVNVWVRIGGSSFAMIRASLETDKEAYECIGTVLAKSGCWSFLKGGFVLDWPSNLSTILFQNADGKDINIDVASQSLQPFTKQEWRVNQHYIINTRRKRAVTVHVSDSNGNIFQGAAINIEQISKDFPIGSAIAKTILGNLPYQNWFVKRFNAAVFENELKWYATEFEQGKVNYTIPDQMMQFVRANKIIARGHNIFWEDPKYTPAWIHNLTSIQLQSAVNSRIKSLMRQYKEEFIHWDVSNERLHFDFYEQRLGPNASLHFFETAHKSDPLATLFMNDFNVVETCSDANSTVDAYISRIRDMQKSDVFMGGIGLEGHFTKPNPPLIRAILDKLATLGLPIWLTEIDISKTLDKDTQAIYLEEVLREGFSHPSVNGIMLWTALHSKGCYQMCLTDNDFKNLPAGDVVDKLLEEWQTGLVEGTTDSHGSYSFYGFLGEYRINVKYGKRTVNSTFSLCKGEETRHFTITV >OIW15353 pep chromosome:LupAngTanjil_v1.0:LG03:13150652:13151267:-1 gene:TanjilG_26726 transcript:OIW15353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGGRCKAGRYSPVLCQKRAGQNLEVDNTGQDNIANGTPYFDPNEIFEGLGDLDNLGRLGDGHGFSRVDKDEYNTNEMLSTSDILRFGDPPGFLELIDLEAPLFWQTKHD >OIW14768 pep chromosome:LupAngTanjil_v1.0:LG03:25103523:25105426:-1 gene:TanjilG_05389 transcript:OIW14768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHQHLNIFKPLETQNPVGNSESVKRKRGRPKKNGSNGSITTSSLGHSGSVDTPFTTVADSPSTVKKRGRPRGSINKQRDIKEPEDKSTHHVITVKAGECISTKIMALSFEVNSNLCILTANGTISRATLRHPSSGSVTYEGQYDIITLGGSLLLLSDKSGSSHRSGGLNVSLFGPNGLVQGGVTGGLIAASTTQVVLLSFPGNYCSESELVNQPTSSSAPLG >OIW15038 pep chromosome:LupAngTanjil_v1.0:LG03:22585617:22587122:-1 gene:TanjilG_13965 transcript:OIW15038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYTKEFQSMLDGIDEEGSILEKKRRLGVNQVKTLEKNFEVENKLEHETKVKLAQDLGLQPKQVAIWFQNRRARWKTKNMERDYGVLKANYDALKLNHDALKQDNEALFKKIKELKSKLQEENIANDISVKKETMMKPHESESEDKKIIEQCNNNPISDSKNHLNYDCFNNNRNRVVEEESLFPSSDFKDGASDSDSSAILNEDNSSKATISSCGVLQNHHFLMSNESSSSPSSMNCFEFGKSYQDTQYVKMEENNFFSAEEACNFFSDEQAPTLHWYS >OIW15059 pep chromosome:LupAngTanjil_v1.0:LG03:22808869:22810760:1 gene:TanjilG_13986 transcript:OIW15059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRSVGGGGDRLRRPNDDEVLLGMQSTKPTVASQIFQPLTGTKVDANKWERSILMNAGPSVQHPPRGGQLTPFVHQLASNKIRDSHAGPSFISHSAADEGSRTGIKGPGILNSINMAATATEKISSSAPLGVSRPKHVTNVMDPESSTPPSQQGLTSASRQMTIFYGGQAHVFDDVHPHKADVIMALAGSNGGSWSTAFSPKSAGKLVSDSNLHSGENETGIASNVPLPPELHARLSITGSSSHAIGPGDRVSTPAGANKGSIVAKETRKPIEAAEPSSEA >OIW15342 pep chromosome:LupAngTanjil_v1.0:LG03:13642348:13652977:-1 gene:TanjilG_23886 transcript:OIW15342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLATKLHRLDLTLTTFLTGSVILDTPKPSISITRHRFRPLSLKSNTTITRKRFSTKTTRRLCAVAVDDTSLSQTATGDKNDDVESLFSDPTAEEFDKRQRGNRNGNTGASTISSGVKLENVTKTFKGVTVLKNVTWEVKKGEKVGLVGVNGAGKTTQMRIIAGQEEPDSGNVIKSKSNMRIAFLNQEFEVSPSRTVKEEFLSAFKEEMEVAAKLEKVQKALEGSVNDLELMGRLLDEFDLLQRRAQNVDLDEVDAKISKLVPELGFAPEDSDRLVASFSGGWQMRICLGKILLQDPDLLLLDEPTNHLDLDTIEWLEDYLNKQDVPMVIISHDRAFLDQLCTKIVETDMGVSRTFEGNYSQYILSKAAWIEAQYAAWEKQQKEIEQTRDLISRLSAGANSGRASTAEKKLERLQEEDLVEKPFERKQMKIRFPERGRSGRSVAMIKNLEFGFEDKTLFKKANLTIERGEKIAIIGPNGCGKSTLLKLIMGLEKPIAGEAVIGEHNVLPNYFEQNQAEALDLDKTVLETVEEAAEDWRIDDIKGLLGRCNFKSDMLDRNVSLLSGGEKARLAFCKFMVKPSTLLVLDEPTNHLDIPSKEMLEEAINEYQGTVITVSHDRYFIKQIVNRVIEVNDGILQDYAGGYNYYLEKNLDARERELEREAELDDKAPKVKAKSKMSKAEKEARKKQKMQAFQAAKQKSKGAKNSKRWN >OIW14879 pep chromosome:LupAngTanjil_v1.0:LG03:24308277:24311670:-1 gene:TanjilG_30598 transcript:OIW14879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPFLSTSRALRSLARRKSGVTQLIRYNKSGFRYSRTSHQIQTRFFASRIGSSPFMGGYCNNNPNNYNYVQTRKFLGCGDGEEGVLTRSYEERIVLGYTPEQLFDVVAAVDFYHGFVPWCQRSEIIKHHPDGSFDAELEIGFKFLVESYVSHVELEKPKRIKTTVSQSNLFDHLINIWEFSPGPIPGTCNLYFLVDFKFQSPLYRQIASMFFKEVASRMVGSFTERCRLIYGPEVRVHEKSHGEIKQ >OIW15368 pep chromosome:LupAngTanjil_v1.0:LG03:13449919:13457946:-1 gene:TanjilG_26741 transcript:OIW15368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTSTNTCEIVEELNVDENPESSYAHNSGKNYSIEDDINRLFQAIDIKNSPSTSRSQKNAMKRPIKVSSSKASGIGISEPVSLKQALRGLCISQASEMAALKRLSKPCSSSRVSEAGTITKLYTEVVNEGKGNVVEISLVQEIPAPSDQLPSVVISKGMTTRSASRDQIVPLPSEIEDEKPITEIGEPVHPTLSPVSYANEDVPEVRMGPAPSTRVPLSSSMSDKGVEANLHCASPSSSRIGIEVDKPTSTNKSLATPLFNGKNFFKKKIKQHLCSTSSCSTPCLRNVDSDRGASTSTLDTETGNSDLKHEMKENEKTSPCSSNHSIEVKSINPGIDSSKPGFGFKCNNRTKFLVTNIDKKSRSKEKSEFSQSSRSSIGEYSCSTSISGESNLSGSSRGGHRPHMSEHLRWEAIRAIQPQHGNLSLKQFKLLRRLGSGDIGTVYLAELIGTSCLFALKVMDNDFLAKQKKMFRAQTEREILQMLDHPFLPTLYSHIATDKLSCLVMEYCPGGDLHVLRQRQSYRSFSEQAARFYVAEVLLALEYLHMLGVVYRDLKPENILVRDDGHIMLIDFDLSLRCSVNPMLVKSSSPDMDATKKMSSPCSEASCIHPFCLQPDWQVSCFTPILLSARTKSRKMKADATAQVGPLPQLVVEPTSARSNSFVGTYEYLAPEVIKGKVHGSSVDWWTFGIFLFELLYGKTPFKGPTNDDTLANVVSQSLKFPSTPIISFHARDLIKGLLIKDPENRLGFVKGAAEIKQHPFFEGLNWALIRCAAPPELPKFHDFGSNVPFLATHKENTNGLEDIEDCEEFELF >OIW14883 pep chromosome:LupAngTanjil_v1.0:LG03:24291291:24295417:1 gene:TanjilG_30602 transcript:OIW14883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQLQDSPNTDSENHTGNPIMTTSLYVGDLDKNVSDSQLYDLFNQIVQVVSVRVCRDLATGQSLGYGYVNFSNPQDAAKALDELNFTPLNNKPIRIMYSIRDPSARKSNTANIFVKNLDKVIDHKALHDTFSSFGNILSCKIASDASGQSKGYGFVQFENEESAQNAIDKLNGKLINDKQVHVSHFLRKEDREGVFSSRNSKFTNLYVKNLSESLTEAELKKIFGEYGTITSAIVMRNVDGSSKCFGFVNFENPDNAAKAVEALNGKKFDDKEWYVGKAMKKSERELELKESLERSLKEAADKYQDANLYLKNLDDSIGDEKLKELFSEFGTITSYKVVRDPHGISRGSGFVAFSTPEEANRALGEMNGKMVAGKPLFVALAQRKEERRAKLQAQFSQMRPVVMAPPVGPHMPLYPPRGPAVGPHFLYGQPPPAIIPQAGFGYQQQLVPGMRPGGAPLPNFYFPMVQQGQLSPRSGGRRGAGPLQQPQHPVPMMHQQILPRGPVYRYPSGRNTRDVPRPGVSGGVLAVPYDSLPIRDAMGQPLATQALATALANAPPEQQRTMLGEALYPLVDKLEPDSAAKVTGMILEMDQPEVLHLIESPDALQEKVAEAMNVLRRNVAPEDQLASLSLN >OIW15158 pep chromosome:LupAngTanjil_v1.0:LG03:20058375:20059267:1 gene:TanjilG_30829 transcript:OIW15158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMELMMLKMNIFTCILNGILVYEYLLFHGESYLAAGGEQVFKILGPVIFGVSALDILGALPESLILLVTRLNSDKGSAQEYASPRVGLLAGSSILLLTVVWGTCGNIGSQKLKDDPKSVGSNSSNSSNGRIKESLTSFGIIMDIQTVKISRMMVFSVIQLIIMQIPIKRVVPWLIGSLTEDETKMFLRNMQLAASTSDSAPVILFCGWACKAHNEGLCLSSSASGCCPPQRLPDIEENIVQPSCSCAASSGRGCLELSESNGKKRSVKINILKLDNK >OIW15437 pep chromosome:LupAngTanjil_v1.0:LG03:8768955:8773532:-1 gene:TanjilG_28636 transcript:OIW15437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLSFNPSRIRTSIFNSSKFTSPSKPTRIPFIFPSTKNLLKLRHFRTSSVSESSISTQKEEDEVLEDDPTEEMSYIDPETEPEGISEWELDFCSRPILDIRGKKVWELVVCDNSLSLQYTKYFPNNVINSVTLKDAIVTISNELGVPLPEKIRYFRSQMQTIITKACKELGIKPIPSKRCLSLLLWLEERYEAVYMRHPGFQKGAKSLLTLDNPFPMELPENLFGERWAFVQLPYSAVREEVSSLDTTFIFGAGLDLDLLGIEIDDKTLIPGLTVTSSRATALAGTELQTFMNTHSASLTADAQYYFNQELPWMNGLEVSAVEADTARACLILSVGISTRYVYATYKKTPVTTNEAEAWEAAKKACGGLHFLAIQEDLNSEDCVGFWLLLDLPPPPV >OIW15144 pep chromosome:LupAngTanjil_v1.0:LG03:20636097:20642768:1 gene:TanjilG_14143 transcript:OIW15144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIHIRYHIHIHTGYDTHSCIHTRYRIHTGIRTRYHTGICTGYDTVLDSPFRHKPKINNALESSTSPRSRKVSARWDPVEACRPMIDEAPVFHPTIEEFEDTLGYIAKIRPLAEPHGICRIVPPACWNPPCPLKEKDIWENTKFPTRIQYIDLLQNREPMRKKSRGRKRKRRKHSKMGTCRRPANSGSEANNPDPDEKFGFQSGSDFTLKDFQQYDKFFKECYFRLKETNEDGKLSDSNSQLRWEPCQEEIEGEYWRIIEKPTDEVEVYYGADLESGALGSGFPKASSLTKSDSDQYALSGWNLNNFPRLPGSVLSFEGSDISGVLVPWLYVGMCFSSFCWHVEDHHLYSLNYLHWGDPKVWYGVPGSHASPFEDAMRKHLPDLFEENPNLLNELVTQLSPSVLKSEGVPLYRTVQHSGEFVITFPRAYHSGFNCGFNCAEAVNVAPVDWLMHGQNAIELYSLQCRKTSLSHDKLLFGSAQEAVRALAELANLGNETPKISKWRSVCGKDGVLTKAVKTRIKMEKERLECLATDIKLLKMDSDFDLYKERECFSCFYDLHLSAVGCECSPDRYSCLKHSNLFCSCGMDRRSVLLRYTTDELNKLVEALEGQSVAIEVWANKNLGMVCSDGNKVSIFKQDSERDTYKTKSREDGESSTGCAGTKDGHNNNINDTKLVVDNEDNMDKAGCLDLNLEVISGENENYSMHISDSQHNMDVLIEEKVCRSGSGKGQGNMELGGEGNVSHLYSGSKTDFSSCSRDVHNSCTFGGGKFGLDLQMDSNSVKQPNNVFKMEVIDTTNTNITSTAESYLMQTFGNSVKPISLGSVVYGKLWSSRHTIYPKGFKSRVNFFSILDPARISGYISEIIDAGFLGPIFKVTMEEHPGETFTDISADKCWESVLKRVHNEIMRRRSLGEHELPPLELLKNINGLRMFGFLSPSIIQAIEAQDLSHQCVEYWNHKVIPPSSCSDIDNKFTHCSSNSLGNVNTKVFGVNLIKQEEDSIRGSCHSLEMKSLLQGSLKS >OIW14475 pep chromosome:LupAngTanjil_v1.0:LG03:28097059:28107768:-1 gene:TanjilG_19891 transcript:OIW14475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRCWFLELLFVGSYVCLLCNVGVEGYPTEDLVKRLPGQPKVEFKQYAGYVDIDVKHGRSLFYYFVEADHDPDNKALTLWLNGGPGCSSIGGGAFTELGPFYPKGNGRGLRRNSMSWNRASNLLFIESPAGVGWSYSNTTSDYNTGDASTANDMLLFFLKWYEKFPSYRLRELFLTGESYAGHYIPQLANAILDYNAHSTDFKFNIKGVAIGNPLLKLDRDTQATYEYFWSHGMVSDEIGIAIMNDCDFDDYVFENPHNLSKSCNDVISEANEIVGEYINNYDVILDVCYPSIVEQELRLKKMATKISVGVDVCMTYERRFYLNNPEVQKALHANRTNLPYPWSMCSGVLNYNDNDPNINILPILKRIVQNHIPVWVFSGDQDSVVPLLGSRTLIRELAHELKFKITVPYGAWFHKGQVGGWVTEYGNLLTFATVRGAAHMVPYAQPSRALHLFSSFVHGRRLPNTTKPSIDE >OIW14842 pep chromosome:LupAngTanjil_v1.0:LG03:24563931:24566220:1 gene:TanjilG_30561 transcript:OIW14842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLQTLCCGLPSQPLEFSNRRIISSQAVGTNAQFRYQRRRFRFGNASKCLKWVIKEPPLLGLNKFQSSIIHASDSNANGGLEVHSDQSSSVPVTGYDKEEPFRGKSGSVSFYGITHQSVEEGKLESSPFKVEESSYFWLSAPAVFVASLILPQLFIGNVVGAFAKNVILVEIVSAFSYDAVFYIGLATFLFVVDRVQRPYLQYSSKRWGLITGLRGYLHSAFFTMGLKIISPLLLLYVTWPVIGLASVVSMAPFLVGCAVQFAFEIALEKRGSSCWPLVPIIFEVYRLYQLTKAAQFAEKLVFSLNGLPVTPESVERSGALFATMVTCQALALVCLWSLVTFLLRLFPSRPVADNY >OIW15674 pep chromosome:LupAngTanjil_v1.0:LG03:3574599:3580178:1 gene:TanjilG_09612 transcript:OIW15674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRATKTKKKENDESVTNPEALERKRLKSLAFSNNILSQTPSTTTVHLNPSTTVVKHHGADIIKKSHRKTKFLFSFSGLFAPISGGKIGELKDLGTKNPILYLHFPQGQMKLFGTIVYPQNRYLTLQFSRGGKSVMCEDYFDNMIVFSDAWWIGRKDENPEEARLEFPKELYEGQQAEYDFKGGAGAASVVSQGVPKTRVQCKELESPETPIEDDLSDSEISPIDTKEGIAVRRSVRTPKKSYKFAEISSDDDSGESSPELSEHEEKVVKVNTSKKDPCSVVVDIGNENTAEEDKIPRENKECASVLKSKKVSKVASVTASNGVLSSNRGPLVQATISTLFKKVEEKKAPTSSLKSQSSKVSGQKLQPAGSKRKIDLDEGPKKRPRKSKDKDPGENIMEKSKEREVEDDDDDIDEFSNASEGTNDSDEDWTV >OIW15646 pep chromosome:LupAngTanjil_v1.0:LG03:4680976:4681428:1 gene:TanjilG_08222 transcript:OIW15646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRSRSCREKRKHKKERQTKPYYGIRMRKWGKWVAEIREPNKRSRIWLGSYSTPIAAARAYDTAVFYLRGPSADRLNFPELLFQDKDVDFSCRREDMSSDSIRKKATEVGARVDALQIALHASSKNNNSSSTFSLKPDLNEFPKPEDSFN >OIW15793 pep chromosome:LupAngTanjil_v1.0:LG03:739114:743292:1 gene:TanjilG_04328 transcript:OIW15793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDRNEESDYSSEDEGTEDYRRGGYHAVRIGDTFKNGCYVVQSKLGWGHFSTVWLAWDTQKLRYVALKIQKSAQHYTEAAMDEIKILKQIAGGDPDDKKCVVKLLDHFKHSGPNGQHVCMVFEFLGDNLLTLIKYSDYRGVPLPMVKEICFHILAGLDYLHRELSIIHTDLKPENVLLLSPIDPSKDLSKSGVPLVLPNTKAKTLPKIVTTIDDKSLNGDLTKNQKKKMRKKAKKAAQGFVGKEGPEEVEEDSKAPEQDDCSNDMKLTVESSEGKPNNLVNKDESTKASETKDAPQGSGRRRVSSSTRKKLLAAVDLKCKLVDFGNACWTYKQFTNDIQTRQYRCPEVILGSKYSTPADLWSFACICFELVTGDVLFDPHSGDNYDRDEDHLALMMELLGMMPRKIALGGRYSRDFFNRSGDLRHIRRLRFWPLNKVLKEKYDFSEQEANDMANFLVPLLDFVPEKRPTAAQCLNHPWFSAGPRTLEPSLTTAQPDAIDVEMSERMKREKAELEAVEVGVENISISGTPKPLKESQPIRSSK >OIW15935 pep chromosome:LupAngTanjil_v1.0:LG03:1698921:1705943:-1 gene:TanjilG_04470 transcript:OIW15935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSEDDMHDANDVEYLDDDFYSGETEDAPMDYYSDYDDDADDYFDDADYSGRVESRRAEQNFTILKVSDIQQRQEDDINRVSAVLSISRVSASILLRHYNWSVTKVHDEWFADEDRVRKTVGLLERPVVQHANTTELSCGICFETYPRARIETASCGHPYCISCWEGYISTSIKDGPGSLTLRCPDPTCGAAVGQDMINLLVSDEDKEKYACYLRRSYIEDNKKTKWCPAPGCEYAVSFGVGNGNYDVSCLCSYSFCWNCIEEAHRPVDCGTVAKWILKNSAESENMNWILANSKPCPKCKRPIEKNQGCMHITCTPPCKFEFCWLCLGAWSDHGERTGGFYACNRYETAKQEGVYDETERRREMAKNSLERYTHYYERWASNQSSRQKALSDLHQMQTVHIEKLSDTQCQPESQLKFITEAWLQIVECRRVLKWTYAYGYYLPENERARKQFFEYLQGEAESGLERLHQCAEKELQVFLDDEDPSREFNDFRTKLAGLTSVTRNYFENLVTALENGLTDVKGNGANSSKATSSKSAAGSSKRFGRGKGTIRASMSSRMSDDNLWSCEHCTYANAKSATTCRMCNQQRR >OIW15710 pep chromosome:LupAngTanjil_v1.0:LG03:185602:186074:-1 gene:TanjilG_04245 transcript:OIW15710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGHGHGHKYGAAYNYNAINYHFLISLNKNTAQYLLLLNASCCCFCQAVTESHAKQVVKLMDSMLPLYVTLRIQNPLMTFMKAHQ >OIW15585 pep chromosome:LupAngTanjil_v1.0:LG03:3976794:3985566:-1 gene:TanjilG_08161 transcript:OIW15585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVPAFYRWLAEKYPMVIVDAIEEEPVVIEGTQIPVDTSKENPNNIEYDNLYLDMNGIIHPCFHPEDRPSPTSFDEVFECMFDYIDRLFCMVRPRKLLYMAIDGVAPRAKMNQQRSRRFRAAKDASDAAEEEARLREEFEREGRRLPLKEESQTFDSNVITPGTEFMAVLSVALQYYVHLRLNNDPGWQNIKVILSDANVPGEGEHKIMSYIRLQRNLKGCDPNTRHCLYGLDADLIMLALATHEVHFSILREIVFTPGQDKCFLCGQMGHMAANCEGKAKRKAGEFDEKGDAIVAKKPFQFLNIWTLREYLEHEMRIPNLPFEFEFERIVDDFIFICFFVGNDFLPHMPTLEIREGAINLLMAVYKKELKALGGYLTDGSKPNLSMVEHFIQAVGSYEDKIFQKRARLHQRQAERIKRDKSQARRGDDAAPQALPESAVAVSRFHGSRLASAPTPSPYQQSGYKKHNKEDSQGPHKVARLTSSGASVAAAIVEAENSLEIDVQDNKDELKAKLKEILREKSDAFNSKNPEEDKIKLGEPGWKERYYEEKFYAKTPEEREMIRKDVVLKYTEGLCWVMHYYYEGVCSWNWFYPYHYAPFASDLKGLGELNISFNLGAPFKPFDQLLGVFPAASSHALPEPYRKLMTDPTSPIIDFYPIDFEVDMNGKRYAWQPEEKRRNAIMFDLLFVNSCHPLCVCISTLDNKCRNMSDSERAEVKERINPNESGGMNGYLSLCGGEPCPPIFRSPVASMEDITDNHVICAIYRLPDLHKHITQPPQGVKFPKKTVTIGDLKPEPVLWHEDSGRRHYQENARQNPPGSISGREHGEAAHRLIVNSLQAKGGTNGYRYPNNGPPLSYAAPRGHSQPFPPYYNHEAHPGYSAVSPLRGQPPHAVPAPQQYGYNEPYVPAPSTYNPHLQSNSYERNNHHISQPYERNNHGGGGNARHGYHQPSGNYQNARFLNTPGAYGSHHREAGHPQQNFQSARAPYQNWAPRNNSNGPREYGQHPPNQYSLSDRRGNNRRPMPPPGYSPK >OIW15323 pep chromosome:LupAngTanjil_v1.0:LG03:14464671:14466357:-1 gene:TanjilG_10763 transcript:OIW15323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKRKRTARSNVKSTKKTIQKTTPPPSPESESPRAIVCLKNIDDMKRFEDTEDCFILGFDPDEVVAKSKISLDKSYPLSDDVSIICEKGQVACRDFPHSRHQCSKFPFKTTPHVKHCEMCYCYVCDTAAPCRYWTLAMHCNAENVGCWNDQRKNLKKRFPVAAAAPYLPKI >OIW15819 pep chromosome:LupAngTanjil_v1.0:LG03:906077:917281:-1 gene:TanjilG_04354 transcript:OIW15819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKSGKGKSNKAKTEKKKKEDKVVVPSLVDITVVTPYDSEVVLKGISTDKIVDVRNLLAVNVKTCHFTNYSLSHEVKGHKLEDRLEIGTLKSCILWMVEEDYTEESQAVTHVRRLLDIVACTTRFSKPKRLLLSPDTRPKKNGTAQIQNKNHFSPLVKPNIDSPVSSPPLPPPPISDNWGMVAIHPTTKLSDFYQFFSFSHLSSPILHLKRFELKNANDRRKGDYFHLQIKICSGKLIEVGASEKGFYTSGKHSVQSHSLVDLLQQLSRGFANAYGALMKAFVEHNKFGNLPYGFRANTWLVPPSMAESPSNFPALPIEDENWGGNGGGGGRNGEHDLRPWATDFAILASLPSKTEEERVVRDRKAFVLHNLFIDTSIYKAVAAIQHVIKFKSNGINKPPGSIVHKDRVGDLSIVVKCDVQDSNKKYDVTFSCDEPVFCEGYAQKNLLKGLTADESVIIHDIPSLSVVHVRHCGYTASVRVVGDVITRKLEAQDIEIDDQLDGGANALNINSLRQLLHKSGAEQSEGTFSSVSNLDDSDASKDLVRKVVEESLEKIKKEPVVSKRSIRWELGLIWLQHLKKQETSTDNKSRNNDGNDDEQSIKGLGNQFKLLKKVKKVSSLDATELIENNDSQLRNGNVCSIKVEENRDDLCNFTDLEKLISKEAFLRLKESGTGLHLKTLDELTNMAHKFYDEVALPKLVQLAKNLPHIQSLCIHEMVTRAFKHLLKAVIASVDNVADLSSVIASTLNFLLGGCRLDQSDQNSGDDDHLRTQWLRNFLSKRFGWTLNDEFQHLRKLAILRGLCNKIGLELLPKDYDFESPKPFKKYDIISMIPVCKATIYQQKALDINERELGLDHPDTMKSYGDLSVFYYRLQHFELALKYVNRALFLLHFTCGLSHPNTAAAYINVAMMEESMGNVNVALRYLHEALKCNMRLLGENHIQTATTYHAIAVALSLMEAYSLSVQHEQTTLRILQANIGAEDTRTQDAAAWLEYFVSKSIEQQETAKKGTPKPDTSIASKGHLSVSDLLDFISSKHDSNENDAQRKQRRAKILPISDKNILGHDDAICGETVVFKDTKEATSMEEMKTGEKYGMLDSEVLKENGDFPRYKPVSGEAVKETLSDKGWQEANPKGRPLPSKLSVNRADNHVVEEIRCRNNTTPPPPKGSPCQPKAGNLALKEDYVNHPTKACVSKISSIPMADSSLASNSTSYRDCLAPPDTDLKPLLEKSELDNEDGENEICISPPVIPINIETCSSSIVETVSQHDEIEGVHKSYGPQEIPASEKEFPITSDQAKPSETKVSKLSAAAKPFSPRKPPVSHHFNSVYVTSVYDQNISQGMLVEPVLPPLAASIYCWPRSCLYYRNNYTFHMTHGFTKYHIPIRESSSRFEVPNIMNSRAPEFAPRSAIQGETNAASSKLRSGISSMSEADIVENNKESKTGYCLAFVKLVQQNADSDDEPEGSEGKHQNQESSSIALVEFFYYKNKLISGSNNCEEREKVDVTKKKNVVKH >OIW15941 pep chromosome:LupAngTanjil_v1.0:LG03:1765659:1768878:-1 gene:TanjilG_04476 transcript:OIW15941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHSDDTVTFRPSLVSLPPFSPSVHRRRLSTTFINRHRPVAWISLHGRLENAELASSASTIGLTGVQSIAWDLFPPIHRFLIVAVIGVAASESHKNQRIRQLNKSVELRDQVLSSMQQKLDNLCEQLTNTKEHSPATTKEEVMQLSETFGTEKMKFVDCGCCHCEQHSGLFNELVGESVTTASSGNEVLQYKLPFSIEEREERRMSDFSDWASSVTSAADIQLNSMTVEQDICNLKRDCEEKDNTINELSTLLNSSEVANNKRVTELEDIIRRKNTTISKLKKDLVVLEQKVVQLSRLRRASFSANDSNNDQFPQMRENLIYDMESTTSPSSSDSDSFPVNNARVFPIDASALNQESASGNGQKSAPAKVFSSSGRVFERHSKSQPMNPFKEASAIKKSTNAASSSSQKQLSPRGDLKKIRRRSLNGAKSATAHKRLVL >OIW15750 pep chromosome:LupAngTanjil_v1.0:LG03:418084:426374:-1 gene:TanjilG_04285 transcript:OIW15750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIAKEEPSSSAAQASEIGMIQAVKEMHRLSSLDLTKLLRDSENFTIHYLTEKGLLLKIDMEKLVRSLPLHLIAVLISSDRDEATFRYLLCGIRLLHSFCDLASRNSKVDQIYVDDVKVLEQLIDLVFYMLIILSGYRQEDCAFSHMNLVRSTLVACNFYLLTGFTSTQWQDIVHILLAHPKVEIFIDAAIGSVRMVVRMNVSYIYELHEACVCIDIQKLCENGSILFLAQSILKLHIQASFPIRIKAAISRLKAKILSILLSLCEAESISYLDEVARSSQSLDLAKSVALEVFDLLKTAFARDPRHLNTDRSHPMGFVQLNAMRLADIFSDDSNFRSYMILCFTKVLTAVISLSHGDFLSFWCSSSLPETEEDATLEYDIFAAVGWVLDNTSLDIRTTTNLEFNLIPNSMPKASYAHHRTSLFVKFFANLHCFVPSICVEQERNLFILKVMECLQMDLSSLLPGCSFAPDDAPKAATASKNLCSLLSHAESLIPNFLNAEDIQILRLFSLSLFFRRLILEWYRGQLLKPPIITKIMNQKGRRKNLKQAHMDKEEKEEQSNVNQSENQDHNLQDRWQNMVVGVANRGEGEAQSAGKCSSPLTRKEPTSLIKKDGNLKEGMSENSAFPDTNQGNDLNRKNQVEDKGVSSKTVSGGARDMDKDAQNIETSGSDTSSAKGKNVMDHTDNGELSKSNELLKKARVEENPDDEKVETVQRRKRKRNIMNDKQVSLIETALLDEPDMHRNSASLQSWADKLSSHGSEVTPSQLKNWLNNRKARLARTAKDPGDASHAKKDLLCLTRIASADTPEPSLSGSVNVGSQESGIRKSAGKSVMLIGGQGEDVGNGKVFQVRGNWYGKSLDETGTCVVEATELKVDKAFRLPYPSEATGTSFAEAESKLGVMRVLWDLQRLHALQPK >OIW15153 pep chromosome:LupAngTanjil_v1.0:LG03:20765816:20766322:1 gene:TanjilG_14152 transcript:OIW15153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSWKKTITTPFKKACTFFNPQSPRGHRKSQTERENSVMDLQGEVMACGYEDVQVMWSILDKSKSTTCNITSS >OIW14690 pep chromosome:LupAngTanjil_v1.0:LG03:25709382:25715049:-1 gene:TanjilG_33032 transcript:OIW14690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQDMDRNMNMNMNSNMNMDMDLGFDFGGGVDNNDWNLSNIVNNMQTLPYNNTNTNTNNPYTGSQEQAPLSMYSLPYDSQTMVPSGDRQQGVSSMQFQGSTGQLDQMIGYNHLLNTPDFQYQSSILHEPSTMSCNFTSSQAPRTWNQGLSGTWTNEGFPSRVSSSMFPEVTRPLRLERPSTVQMEQVTSASANELIATQRGKGKLPESSTTRAKLSPEIQRILNMKPKGRLSLYDTTSTATPQRSQNLNLQNGRDSSMDNVGKQLAKKKEPVHATPRMSTVGEQGDYEPRRRGRPRKACQDKQVPKIGKLHGERGNVGSSQEANNTLRNEEENANHTNLISSLWVSSIAPKYTSQLLFLTY >OIW14741 pep chromosome:LupAngTanjil_v1.0:LG03:25277889:25283384:1 gene:TanjilG_05362 transcript:OIW14741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLGAIVKHPDDIYPLLKLKMAARQAEKQIPTEPHWGFCYLMLLKVSRSFALVIQQLGTELRNAVCIFYLVLRALDTVEDDTAIDTDVKVPILIAFHRHIYDRDWHFSCGTKEYKVLMDQFHHVSTAFLELEKNYQEAIEDITKRMGAGMAKFICKEVETVDDYDEYCHYVAGLVGLGLSKLFHASGSEDLASDYLSNSMGLFLQKTNIIRDYLEDINEIPKSRMFWPRQIWSKYVNKLEDLKYEENSIKAVQCLNDMVTNALLHAEDCLKYMSDLRDPSIFRFCAIPQVMAIGTLALCYNNIEVFRGVVKMRRGLTAKVIDRTKTIADVYGAFFDFSCMLKSKVDKNDPNATKTLSRLEAIQKTCSESGLLSKRRLGKKIQSSFLGIG >OIW15763 pep chromosome:LupAngTanjil_v1.0:LG03:498879:502882:1 gene:TanjilG_04298 transcript:OIW15763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAMSYCVSHFQKLPHLLFAQSPSFPKTHNPNRALPKSLTKNDTNFRFQKLGFPCSHKPRNGVVSAHAATIAAPIVEIERKVGKRTDLKKILILGAGPIVIGQACEFDYSGTQACKALKEEGYEVILINSNPATIMTDPDLADRTYITPMTPELVEQVLEAERPDALLPTMGGQTALNLAVALAESGALEKYGIELIGAKLDAIKKAEDRDLFKQAMKRIGIKTSPSGIGTTLKDCMEIANEIGEFPLIIRPAFTLGGTGGGIAYNREEFEEICKAGIEASLTNQVLIEKSLLGWKEYELEVMRDLADNVVIICSIENIDPMGVHTGDSITVAPAQTLTDKEYQRLRDYSVAIIREIGVECGGSNVQFAVNPEDGEVMVIEMNPRVSRSSALASKATGFPIAKMAAKLSVGYTLDQIPNDITKKTPASFEPSIDYVVTKIPRFAFEKFPGSQSILTTQMKSVGEAMAVGRTFQESFQKAVRSLEYGYSGWGCGHVKELDQDWDQLKYNLRVPNPDRIHAVYAAMKKGMNIDEIFELSYIDKWYLEQLKELVDVEIFLTAHNLSDLTNLDFYEVKRRGFSDKQIAFATKSTEKEVRLRRLSLGVIPAYKRVDTCAAEFEANTPYMYSSYDFECESAPTGRKKVLILGGGPNRIGQGIEFDYCCCHASFALQDAGYETIMVNSNPETVSTDYDTSDRLYFEPLTVEDILNIIDLERPDGIIVQFGGQTPLKLSLPLQQYLDEYKPACASGVGYVRIWGTSPDSIDAAENRERFNVIINELKIEQPKGGIARSETDALAIAAEIGYPVVVRPSYVLGGRAMEIVYSDDKLVTYLENAVEVDPERPVLIDKYLSDAIEVDVDALADSHGNVVIGGIMEHIEQAGVHSGDSACSIPTRTVPSSCLETIRSWTEKLARKLNVCGLMNCQYAITTSGEVFLLEANPRASRTVPFVSKAIGHPLAKYASLVMSGKSLHDIKFTKEVIPKYVSVKEAVLPFSKFPGADILLSPEMRSTGEVMGIDFTYNTAFAKAQIAAGQKLPLSGTVFLTLNDLTKPHLEKIAKAFVESGFQIVATSGTAHSLELAKIPVVRVLKMHEGRPHAADMIANGDIQLMVITSSGDALDRIDGLALRRMALDYKVPIVTTVNGALATAEAIRSMKSNSIKMIALQDFLDDDFKH >OIW15411 pep chromosome:LupAngTanjil_v1.0:LG03:11222265:11228195:1 gene:TanjilG_07184 transcript:OIW15411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRNATRKLSFEILRQSLSFEENEEQSFIHRTKSDPTQTTNRNKKRKHKASKKKKNLLDPSNSIPENPLTDFQSFNSNSVSVDLNGEAPLENGLACSGFEFNALSYSVTESVCSVAAREDGSEFPTSAREGFNFGELRQRAVGGGSYEDLIASTAAAAEVVDDAGKCGGSDDSGGGLVASVAEMNEPDRNVVRNLVKEESLDWNRLMAEDPNYLFSVDKSPLTYFLEEMHSGNSLRSTTTLGDEKERERVYDTIFRLPWRCELLIDVGFFVCLDSFLSLLTVMPTRIMMTMWRLLKTRQFKRLSTIELSDFGCFLIMSCGVILLERTDISLIYHMIRGQGTIKLYVVYNVLEIFDKLCQSFNGDVLKTLFHTAEGLSSCPPETQAITLSTCIVAHNNALFALLVSNNFGEIKSNVFKRYSKDNVHRLVYFDSVERFHISAFILFVLAQNVLEAEGPWFESFLINILYVYVCEMIIDIIKHSFIAKFNDLKPIAYSDFLEDLCKQTLNMQTEGSKKNLTFVPLAPACVVIRVLTPVYAANLPSNPLPWRLFWILLFSAMTYVMLTSLKVLIGMGLQKHASWYVNRCRRRKHHLHAD >OIW14606 pep chromosome:LupAngTanjil_v1.0:LG03:26411495:26416280:-1 gene:TanjilG_32948 transcript:OIW14606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERERTRKRPRLAWDIAPPQPSQSQRDVVVAGDEGLEKRHASPPKRNDDREGHYVFVLGENITPRYKILSKMGEGTFGQVLECWDRQTKEYVAVKVIRSTRKYRDAAMLEVDVLQQIAKNDRGNSRCVQMRNWFDYRNHICIVFEKLGPSLFDFLKRNKYCPFPVDLVREFGRQLLESVAYMHELHLIHTDLKPENILLVSSEYVKLPSCKKRVSSDETQFRCLPKSSAIKLIDFGSAAFDNQSHSSIVSTRHYRAPEIILGLGWSYPCDLWSIGCILIELCSGEALFQTHENLEHLAMMERVLGPLPEHMARRSSRGAEKYFRRSFRLNWPQGAVSRESIRAVKKLDHLKDIISRHVDTSRSSLTDLLHGLLRYDPSERLTAREALDHPFFRIPA >OIW16003 pep chromosome:LupAngTanjil_v1.0:LG03:2289630:2290091:1 gene:TanjilG_04538 transcript:OIW16003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPQILFLFVLLLNLISISFQNPNPKSTPSLAHVELTNYGFPVGLLPATTVLGYTVNHTTGEFNVRLGGACKITLPPDNYVATYSKTIKGKIVKGHMAELDGISVRAFFKWWSITGIRSSGDNIVFEVGMVTAKYPSKNFDESPACEGKHSSS >OIW14709 pep chromosome:LupAngTanjil_v1.0:LG03:25548109:25548933:-1 gene:TanjilG_33051 transcript:OIW14709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IVILSALVLILIQPAIAPAAFAAFQSAASTGGPASTAAIGGKLLRTEILTSAWTGFFAGCLHTLSGPDHLAALAPLSIGRTRIESAAVGALWGCGHDAGQLIFGLLFLLLKDRLQIEIIRTWGTRVVGLTLVVIGAMGIKEASEVSAPCVAVENGECDVSVYEALDNPTVGKKKIGFATFATGIVHGLQPDALMMVLPALALPSRLAGAAFLIMFLLGTVVAMGSYTVFIGSCSQALKDRVPRITEKLTWVSSLVAIALGFTIIISQVFGFSLY >OIW15897 pep chromosome:LupAngTanjil_v1.0:LG03:1420388:1431113:-1 gene:TanjilG_04432 transcript:OIW15897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGGDEVIAAPAGPSNPLDWKFSQVFGERTAGEEVQEVDIISAIEFYKSGDYLATGDRGGRVVLFERTDTKDHGGSRRDLERAEYSISRHPEFRYKTEFQSHEPEFDYLKSLEIEEKINKIRWCQTANGALFLLSTNDKTIKFWKVQEKKVKKISEMNVDPSKGVGNGSIASSSSSTIYLANGGSPERSQNYLSNDFSFPPGGIPSLRLPTVSSHETSLVARCRRVYAHAHDYHINSISNNRICRLICLLDKRVPWLLISTTQMNGGDEVIAAPAGPSNPLDWKFSQVFGERTAGEEVQEVDIISAIEFYKSGDYLATGDRGGRVVLFERTDTKDHGGSRRDLERAEYSISRHPEFRYKTEFQSHEPEFDYLKSLEIEEKINKIRWCQTANGALFLLSTNDKTIKFWKVQEKKVKKISEMNVDPSKGVGNGSIASSSSSTIYLANGGSPERSQNYLSNDFSFPPGGIPSLRLPTVSSHETSLVARCRRVYAHAHDYHINSISNNSDGETFISADDLRINLWNLEISNQSFNIVDVKPANMEDLTEVITSAEFHPTHCNTLAYSSSKGSIRLVDLRQSALCDSHAKLFEEQEAPGSRSFFTEIIASISDIKFGGDGRYILSRDYMTLKLWDINMDSGPVATFQVHEYLRPKLCDLYENDSIFDKFECCLSGDGLRVATGSYSNLFRVFGCAPGSTEATTLEASKNPMRRQVPTPSRPSRSLGNSITRVVRRGAETPGVDANGNSFDFTTKLLHLAWHPTENAIACAAANSLYMYYA >OIW15298 pep chromosome:LupAngTanjil_v1.0:LG03:13986343:13994534:1 gene:TanjilG_10738 transcript:OIW15298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYVKVDLEVGNDGVALITISNPPVNALSIPIIAELKEKFREVTRRNDVKAIVLTGKGGRFCGGFDISVMQRIQQTGDVTSNPEVSVELVVNLIEDSKKPVVAAVQGLALGGGLELALGCHARIAAPRTQLGLPELTLGIIPGFGGTQRLPRLVGLSKGLEMMLTSKPISSEEGHKLGLIDAIVSPEELLKVSRQWALNIGEKRKPWIRSLHRTDKLGSLSEAREVLKIARQNVKKTAPHLPQHQALIDVVENGIVHGGYNGVLREAEVFNKLVLSDTAKGLIHVFFAQRTISKVPGVTDVGLKPRYLKKVAIIGGGLMGSGITTALILSNIQVILKEVNSEYLQKGIKTIQANVNGLVTKGKLNKQKADGALSLLKGALDYNEFKDVDMVIEAVIENVGLKQTIFSDLEKICPPHCILASNTSTIDLNVIGEKTRSQDRIIGAHFFSPAHIMPLLEIVRTEKTSAQVILDLLTVGKIIKKAPVVVGNCTGFAVNRTFFPYGQSAQLLVNLGIDVFRIDRLITNFGFPVGPFQLQDLAGYGVAVAVAKEYAGAFPDRTLSSPLVDLLIKAGRNGKNNGKGYYIYERGTKPKPDLSVLPIIEESRRLSNILPNGKPISITDQEIVEMILFPVVNEACRVLEEGIVIRASDLDIASVLGMSFPNYRGGIVFWADLVGANHVYTSLKKWAELYGNFYKPSRYLEERAIKGIPLSAPASSTPKARL >OIW14593 pep chromosome:LupAngTanjil_v1.0:LG03:26564202:26568670:-1 gene:TanjilG_32935 transcript:OIW14593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTHDYEDARAVSGGVSYDDHRHQQPQDQLDYDPNFVPDSVKSFVVHLYRHIREKNVYEIHQMYETSFHTLSDRLFKDTPWPSVDAVAHYVDNDHVFCLLYKEMWFRHFYARLNPTIRQRIDSWDNYCSLFQVVLHGVVNMQLPNQWLWDMVDEFVYQFQSFCQYRAKMKNKTEQEIALLRQFDQAWSVYGVLNFLQALVEKSNIIQILENEKEGLEQFTSTDGYDYNGGSNVLKVLGYFGMVGLLRVHCLLGDYHTGLKCLQPIDISQQGVYTLVIGSHITTIYHYGFANLMLRRYVDAIREFNKILLYIYKTKQYHQTSPQYEQILKKNEQMYALLAISLSLCPQSRLVDETVSSQLREKYGEKMIIMQRYDDEAFAIFDELFSYACPKFITPSAPSFEEPLVNYNQDAYRLQLKLFLYEAKQQQLLSGVRTFLKVYSTISLTKLASYVEVDEPTLRTILTTYKHKTHAVDSDGKVISNADIDFYINDDIVHVVESKPTKQYGDYFLRQVVKLEGVINEVDAIKLLY >OIW15624 pep chromosome:LupAngTanjil_v1.0:LG03:4436238:4445533:-1 gene:TanjilG_08200 transcript:OIW15624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNSGWTTKQNKKFENALAIYDKDTPDRWQKLARAVGGKTVEEVKMHYEMLVEDLKQIEEGHVPLPKYRNVSTVGGSNKGCNYIAAEQSYSHDSSIYYLQIILGSSSVARRKILSEMGYQFTIVTADIDEKSIRKETPEELVMALAEAKANAVISKLQTTSKREGVDEPTILIAADTVVVYGGVVREKPSSKEEAQQFLKDYSGRHAATVGSVLVTNLKTGLRKGEWDRVEIYFNEIPDETIEKLVDEGITLNVAGGLIIEHPLILPFVKEVVGTTDSVMGLPKALTEKLLKDAM >OIW15864 pep chromosome:LupAngTanjil_v1.0:LG03:1202279:1204691:1 gene:TanjilG_04399 transcript:OIW15864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQAGEQEQEGYRSKLFNFRGMFESTGRHTKSLSIESATILDPTSPIEDGSASSKNQGSNVPKARVISKEEIAAKEAKERLLQEMEQMKERFAKLLLGEDMSGGGKGVSSALALSNAFTNLAASIFGEQRRLEPMAAERKSRWRKEIDWLLSVTDYIVEMVPSQQKSKDGSQMEIMTTRQRTDLLMNIPALHKLDAMLIGCLDNFKDQNEFYYVSKDSEDSDKGNAKSKNDEKWWLPTPKVPAEGLSDIARKFLQYQKDSVNQVLKAAMAINAQVLTEMEIPESYIESLPKNGRASLGDSIYRSITVEFFDPDQFLSTMDLSSEHKILDLKNKIEASIVIWKRKMNQKDSKSTWSSAVSLEKRELFEERAETILLLLKHRFPGIPQSALDISKIQFNRDVGQAVLESYSRILESLAFTVLSRIDDVLQADYQAQNSVGRRSNARSSVSKPSSREEVIDKGSVETPGSMTLLDFMGWGADQGGAVLTKKESFAASDDFHKELDSKQQKLQNVVTNKKVSYLENLGVMRSPTSRH >OIW14561 pep chromosome:LupAngTanjil_v1.0:LG03:26859550:26862221:-1 gene:TanjilG_14947 transcript:OIW14561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENTVDDDRKEQEAPQLASLLNEMKEGLDTVRRKIQSLTAKVKEGQYPTADGFSYLEAKNLLLLNYCQSLVYYLLRKAKGFSIEEHPVVRSIIEIRLYLEKIRPIDKKQQYQIQKLMKVGENASKSDIPSVTGQVATNKSEDVSKYRPNPDMLESKIDLTDPDGDGVYHPPRIAPTSFEEKTSKYERNARRREKEILKHSKQSDYIRTLMNDMEERPEEVRDFEGTSREVGRHIAQFEERARKEEEMFTRVPLSKEERRREKMLKKSRNGMQGLTESFFDEVKTLPFEDKSGDQMMGSGTNSFSFLAEKTLKKENSGLR >OIW14518 pep chromosome:LupAngTanjil_v1.0:LG03:27429371:27431746:-1 gene:TanjilG_04951 transcript:OIW14518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDTHPERNSNTQTDHYPLLMEQLETRNVHEHIIDITRNDEVSSSSSHNDQHPGMHIPQNDDEQNGSTQLSTHQRSYFSASRYNSRNSSSPRSEEYGHLRRNPLNSGLWISVELAVTLSQIIASIVVLSLSRNENPQAPLFLWILGYTSGCVATLPILYWRYRYPNQSIVEDTSQPFQGSFERNPSEPSSYTAISVAHVSNEENSRATESATRSARIPEPFSSRFGGLVEHFKMVLDCFFAVWFVVGNVWIFGGQTSPSDAPKLYRLCIVFLTFSCIGYALPFILCATICCCLPCIISILGIQEDFSQNRGASAVCINALPIYKFKMKENENSGVQDVNTEGGLLASGTEKERLISREDAVCCICLAKYVDDDELRELPCIHVFHVECIDKWLKINASCPLCKSEVGTSNEQSTSATDSSVSNR >OIW14894 pep chromosome:LupAngTanjil_v1.0:LG03:24193997:24194806:-1 gene:TanjilG_30613 transcript:OIW14894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRIKGPWSPEEDEALRRLVENHGPRNWTLISKSIPGRSGKSCRLRWCNQLSPEVEHRPFTPEEDEAIIKAHADYGNRWATIARQLNGRTDNAIKNHWNSTLKRKFSTVSESVEDQRPLKKSVSLGPGPTVSGSGSDLSESSLAAPVLRPLLDTATVHVVESASIAVDPLTSLSLSLPGSDPNGFGSNKLSGSGPEVGVVVAEKNSEGREEMSKEKQLFSEEFLTVLQEMVRTEVRNFMTEVDHNNGLSSQTQAIRNAVMKHIGTGKVE >OIW15498 pep chromosome:LupAngTanjil_v1.0:LG03:7598937:7612758:1 gene:TanjilG_32902 transcript:OIW15498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPEEGGVEKVGEDIPSPEYGGSEKTPLHSSVTWDETTSLANRISAQRHSLCAPYRSRSVFATAGEAVAEAKPIATPTIKYEIGALLKDLMEWPSPLKSAYVIWELMAGNNPYGFDIRSVDKGRAQMCSLFAPLTFVRAASKVSAYAPFESRLDPVKSTDYHRGKTDFPNHAFIAPVPPYFPFTSLSSSLLAYGLLRSLAKERATALVPEANRLTCSCQSPPYFLLGLLWLLILSSLFLASGLASSCSPSLFETLGPWPGLDSPWLREATTYWISDDMGALMVLKARPGRFTLFPPVFRHSRSSFPLDDFASFLLEGKCRTLSCHSEIAFSILLA >OIW15448 pep chromosome:LupAngTanjil_v1.0:LG03:9496518:9498308:1 gene:TanjilG_28647 transcript:OIW15448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSSSLFLLLSLFLSFKTFAWGGNFNKDFDLLFGDDRVDIKEEGKSMSLTLDKYSGSGIISKNEYLFGRFDMQIKLVPGNSAGTVTAYYLSSQGSNHDEIDIEFLGNLSGDPYLLSTNVYANGNGGREMQYYLWFDPTEDYHIYSIDWNSRRIIILVDNIPIRVMRNRQDIGVPFPTKQPMRIYTTLWNGDSWATRWGKVKLDLSNAPFVAGFKNFNANACIAKTGEDCKVFNGGQNKGLDSESKQKWKVVLSKWVVYDYCRDFRRYAHGLPYECRKDNVLPVV >OIW15898 pep chromosome:LupAngTanjil_v1.0:LG03:1437708:1439244:1 gene:TanjilG_04433 transcript:OIW15898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGIVLVFDFDKTIINCDCDNWVVDELGFTDLFEQLLPTLSWNTLMDRMMMELHSHGKTIEDIVKTFHNIPIHPRVIPAIKAAHALGCDLRIVSDANTFFIETILRHLGIREYFSEINTNPAYVGEDGRLRILPYHDFKNCSHGCNLCPPNMCKGLIIERIQNSISPEENKRFIYLGDGGGDYCPSLRLKEKDFMMPRKNFPIWDLICKDPLLVKAEIHEWSDGEDLEQILLHLINKISMEESSQIISNDCKLQTLSLSAHVPQVLQVQQ >OIW15322 pep chromosome:LupAngTanjil_v1.0:LG03:14460030:14460530:-1 gene:TanjilG_10762 transcript:OIW15322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKLGLKPVTGVSRVTIKRTKNVLFFISKPDVFKSPHSETYVIFGEAKIEDLSSQLQSQAAQQFRMPDIASISAKPDEVAAAEGAQPDDEEEEVDETGVAPHDIDLVMTQAGVARNKAVKALKNHDGDIVSAIMELTT >OIW15716 pep chromosome:LupAngTanjil_v1.0:LG03:217647:218704:1 gene:TanjilG_04251 transcript:OIW15716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFTKTFSLRRFYGTKVDLRKLRPMILDRIEKRAHAYPVRSMVPVAQQVLLARNALIHAVSTLLHSIPLFVCKFCPEICIGEQGHLIQTCPGYRRRAKNRVHQWVSGGLNDILVPVEAYHLHTMFQRVITHDQRFDFDRIPAVVELCYQAGADPHHQNLYSSSSNLEPSDDSVHGTQSLSPEDLASVANRTFNAWEALRSGVHKLLLVYPVKVCKYCSEVHVGPSGHKARLCGVFKYETWKGAHFWMKANVDDLIPPKIVWRRRPQDPPVLVNEMRGFYGRVPAVLDLCTKAGAIVPAKYNCMMKVQGLSSPVNIKILQEH >OIW16041 pep chromosome:LupAngTanjil_v1.0:LG03:2635885:2643601:1 gene:TanjilG_04576 transcript:OIW16041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTGGPEAEFFTEYGEANLYQVQEIVGKGSYGVVGSAIDSQTGEKVAIKKINDVFEHVSDATRILREIKLLRLLKHPDIVEIKHIMLPPSRREFKDVYVVFELMESDLHQVIKANDDLTPEHYQFFLYQLLRGLKFIHTANVFHRDLKPKNILANADCKLKICDFGLARVSFNDAPSAIFWTDYVATRWYRAPELCGSFFSKYTPGIDIWSIGCIFAEMLTGKPLFPGKNVVHQLDLMTDLLGTPPAESISRIRNEKARRYLSSMRKKQPVPFSKKFPNADPSALILLQRLIAFDPKDRPTAEEALADPYFHGLSNVEREPSTQPISKLEFEFEKRKLTKDDVRELIYREILEYHPQMLEEYLRGGDLTTSFMYPSGVDRFKRQFAHLEEHNAKGERNTPLLRQHVSLPRERVATPKDGNNQSNGSNLQNPPGSDVNNSGNTDPRNLAKSASITSRCIGMKQSKESEEEPITEISDETVDELTQKVVALNAYFNHEMLTGKPLFPGKNVVHQLDLMTDLLGTPPDESISRIRNEKARRYLSSMRKKQPVPFSKKFPNADPSALILLQRLIAFDPKDRPTAEEALADPYFHGLSNVEREPSTQPISKLEFEFEKRKLTKDDVRELIYREILEYHPQMLEEYLRGGDLTTSFMYPSGVDRFKRQFAHLEEHNAKGERNTPLLRQHVSLPRERVATPKDGNNQSNGSNLQNPPGSDVNNSGNTDPRNLAKSASITSRCIGMKQSKESEEEPITEISDETVDELTQKVVALNAYFNHG >OIW16000 pep chromosome:LupAngTanjil_v1.0:LG03:2270503:2273983:1 gene:TanjilG_04535 transcript:OIW16000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNVESNTERCTTTITNTISEDSPWYSQFRNASNPWMARYVYGLIFLVANLLAWASRDELSSLSALLEMKGFRGCKVGKDCLGTEGVLRVSMGCFRILPSNTSHKSYLAQWQVSHFGAGVFLLIQLISIISFITWLNDRWVSEKYAERCQIHVVIFATIAYCVCLTGIILMYFWYAPQPTCLLNLFFITWTLLLLQIITSVSLHSKVNAGILSPGLMGLYVVYLCCEPAGARCIVKSDSATTTDWQSIISFVVAILAIVIATFSTGIDSKCFQFRKDDTPAEDDVPYGYGFFHLVFATGAMYFAMILIGWNSHHSMRKYVPYYHVLFLLL >OIW15344 pep chromosome:LupAngTanjil_v1.0:LG03:12853150:12873265:1 gene:TanjilG_26717 transcript:OIW15344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKGGRKRPPPPSQPSPSNPPKSQALNQEDEFVDEDVFLNETLISEDEESLILRDIEQRQALADRLAKWTRPPLSPDYIAQSRSVLFQQLEMDYVIGESHKELLPNSSGPAAIIRIFGVTKEGHSVCCNVHGFEPYFYISCPPGMRPDDISHFHQILEARMREANRNSNVGKLVRRIELVQKKSIMYYQQSDSHPFLKIVVALPTMVASCRGILDRGIQLDGLGMKTFMTYESNVLFTLRFMIDCNIVGGNWIELPAGKYKKTSKTLSYCQLEFDCLYSELISHTPEGEYSKMAPFRILSFDIECAGRKGHFPEPSHDPVIQIANLVTLQGEDQPLIRNVMTLKSCSPIVGVDVMSFDTEREVLLAWRDFIREVDPDVIIGYNICKFDLPYLIERAATLKIVEFPILGRIRNSRVRVKDTTFSSRQYGTRESKEVMIEGRVQFDLLQKEDVHHSIISDLQNGNPETRRRLAVYCLKDAYLPQRLLDKLMFIYNYVEMARVTGVPISFLLSRGQSIKVLSQLLRKAKQKNLVLPNAKQAGSEQGTFEGATVLEAKAGFYEKPIATLDFASLYPSIMMAYNLCYCTLVTPEDARKLNLPPESVNKTPSGETFVKSDLQKGILPEILEELLAARKRAKADLKEAKDPLEKAVLDGRQLALKISANSVYGFTGATVGQLPCLEISSSVTSYGRQMIEHTKKLVEDKFTTLNGYEHNAEVIYGDTDSVMVQFGISAVEEAMNLGREAAEYISGTFIKPIKLEFEKIYYPYLLISKKRYAGLYWTKPDNFDKMDTKGIETVRRDNCLLVKNLVTDCLHKILIDRDIPGAVQYVKNAISDLLMNRMDLSLLVITKGLTKTGDDYEVKAAHVELAERMRKRDAATAPNVGDRVPYVIIKAAKGAKAYERSEDPIYVLENNIPIDPHYYLENQISKPILRIFEPILKNASKDLLHGSHTRSISISTPSNSGIMKFAKKQLTCIGCKALLREGVHTLCSHCKGREAELYCKTVAQVSELEMLFGRLWTQCQECQGSLHQDVLCTSRDCPIFYRRKKAQKDMAEAKTQLDRWSF >OIW15376 pep chromosome:LupAngTanjil_v1.0:LG03:12528855:12534220:-1 gene:TanjilG_20288 transcript:OIW15376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKVLAQSVNVPGLVAGQRHGQHKGQGELKRAVKTMCASRTNRLRMNGYSGLRTSNPLDIMLRPGLDFHSKVAIATSSRRARATRSIPKAMFERFTEKAIKVIMLAQEEARRLGHNFVGTEQILLGLIGEGTGIAAKVLKSMGINLKDARVEVEKIIGRGSGFVAVEIPFTPRAKRVLELSLEEARQLGHNYIGSEHLLLGLLREGEGVAARVLENLGADPTNIRTQARSSGNKMPTLEEYGTNLTKLAQEGKLDPVVGRQPQIERVTQILGRRTKNNPCLIGEPGVGKTAIAEGLAQRIANGDVPETIEGKKVITLDMGLLVAGTKYRGEFEERLKKLMEEIKQSDEIILFIDEVHTLIGAGAAEGAIDAANILKPALARGELQCIGATTLDEYRKHIEKDPALERRFQPVKVPEPTVDETIQILKGLRERYEIHHKLRYTDEALVAAAQLSYQYISDRFLPDKAIDLVDEAGSRVRLQHAQLPEEARELDKEARQIIKEKEEAVRNQDFEKAGELRDKEMDLKAQISTLVEKGKEMSKAETEAGDTGPIVTEVDIQHIVSSWTGIPVDKVSTDESDRLLKMEDTLHKRIVGQDEAVKAISRAIRRARVGLKNPNRPIASFIFSGPTGVGKSELAKALAAYYFGSEEAMIRLDMSEFMERHTVSKLIGSPPGYVGYTEGGQLTEAVRRRPYTVVLFDEIEKAHPDVFNMMLQILEDGRLTDSKGRTVDFKNTLLIMTSNVGSSVIEKGGRRIGFDLDYDEKDSSYNRIKSLVTEELKQYFRPEFLNRLDEMIVFRQLTKLEVKEIADIMLNEVFNRLKTKDIELQVTERFKDRVVDEGYNPSYGARPLRRAIMRLLEDSMAEKMLAREIKEGDSVIVDVDSDGNVIVLNGSSGTPESLPEPLPV >OIW14735 pep chromosome:LupAngTanjil_v1.0:LG03:25326348:25326593:-1 gene:TanjilG_05356 transcript:OIW14735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGGLIQRCGVAARWLVAAPSEIGSVPILCGRGDKKTKKGKRFKGSYGNSRPKREKMIERIKDKVEVPRSTPWPLPFKLI >OIW15044 pep chromosome:LupAngTanjil_v1.0:LG03:22702458:22703774:-1 gene:TanjilG_13971 transcript:OIW15044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVNSDDNTSSDPDPDPIEPSSEDDCNTSKRQKLGETEKSEKEENQGSDDEKVYSPIVGVPDDEEVYSPIVGVPDEETDVELDDEDESNTEELVFHLRGEEMFFIEERQKQLAEAAKQGQEDASSSSKPLPMLIIHHSEGEFFQKRAQEAKKALEDRIPGIYVHVNSRQRMEPPFTELVELDMENLAWEISVFIKH >OIW15785 pep chromosome:LupAngTanjil_v1.0:LG03:667018:668418:1 gene:TanjilG_04320 transcript:OIW15785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAMHNLMHNNDHELHDLIDDLNFDQFINSIHGENEDLICNFGSDLNNDCFIDNHIFDHETNDNNNNIVVNVHDPILSSTLSSLSYFNGKLMGEGEEENDGVDSSSAATTTTETTTNAKSKQNADRSKTLISERRRRGRMKDKLYALRSLVPNITKMDKASIIGDAVEYVHGLQAQAKKLKSEVSGLETSLLVSEKYEGSINNSIMVHATYNNHSICKKILQMDMFEVEERGYYAKIVSNKGEGVAASLYKALESLTGFNVHNSNLATVCDSFLLTFTLNVEGSDPEINLPNLKLWVTGALVNQGFEVMPFFHAQL >OIW14745 pep chromosome:LupAngTanjil_v1.0:LG03:25246549:25249092:1 gene:TanjilG_05366 transcript:OIW14745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHTIFQIIILLYISSTPSFSTSSNSTFTPKDKFLIDCGAQSAATLPDGREFKSDEKANSFLKANDDYKVSIDDNNNATNPIYKNARIFIHEAQYSFPLTQPGFHWIRLHFNPIKNNVFDLQKATFSVNTDKYVLLHTFNINNNNKNVTSPILKEFLINVTEPKFTIFFIPLKNSAAFINAIELVSAPDNLIFDNAATLFPVGQFSGLNNYAFQPVYRLNNGGPLLTPSNDTLGRIWENDEPFLTNKNLAKSVSVATKVIIFPADTPTISPLIAPQTVYASATEMGDAGIKQPNFNVSWKFDVDTSFSYLVRLHFCDIVSKGLNELYFNVYVNAKMAIPNLDLSATTGALATPYYKDIVVNASLMSEALTVQVGPTDAEGGNANAIMNGIEVLKISNSVDSLDGEFGVDGRKSGGSHRGVVAAVGFGMMFGAFVGLGAMVIKWHKRPHDWEKKNSFSSWLLPLYAGDSSFMSSKNSMGSHKSNFYSSTRGLGRYFSFSELQEATKNFDSSAIIGVGGFGNVYLGIIDVGTQVAVKRGNPQSEQGINEFQTEIQMLSKLRHRHLVSLIGYCDENDEMILVYEYMPNGHFRDHLYGNNLPSLSWKQRLEICIGAARGLHYLHTGTTQGIIHRDVKTTNILLDENFTAKVSDFGLSKDAPMGQGHVSTAVKGSFGYLDPEYFRRQQLTDKSDVYSFGVVLLEALCARPVISPQLPREQVNLADWAMQWKRKGLLDKIIDPLLVGSINPESMKKFAEAAEKCLAEHGSDRPTMGDVLWNLEYALQLQDAFTQGKAEDEASKSSNVVVVVPSHSPTPSTTSDDNGSVQVQTTCTDEHSGTAMFSQFSNLNGR >OIW14665 pep chromosome:LupAngTanjil_v1.0:LG03:25905268:25905657:-1 gene:TanjilG_33007 transcript:OIW14665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEKYRIENQTVMNYEGRLGGRSVILAGKGRPVPVPPQLLQTMRPEPEHVLQPKSRSDQREQKQVTRPVPLQVGQRMNEPAMGLLSINDFTITAPARTVRPVANWVRTIGPMRIEEREWLDMRLWLKMC >OIW15709 pep chromosome:LupAngTanjil_v1.0:LG03:183545:184385:1 gene:TanjilG_04244 transcript:OIW15709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVIKAALGDAILTSLWVFCSSTLRILTAQVAVFLGLQHVSLAGLFISTILATILVFTISFIGSRLLGGARFNPSTTISFYTAGLRADSTLASMAVSFPAQAAGGAFGAKGILQVVPTQYKHILKGPSLKVDLHTGAVAEGVLTFAFNLVILLIMIKGPKNPLLKVYLVAVATVALVIPGSHYTGPSMNPANAFGWAYVYNKHNTWDHFYVYWICPFIGAILAGLLFRFLFISPVKQKKA >OIW15948 pep chromosome:LupAngTanjil_v1.0:LG03:1828254:1831760:-1 gene:TanjilG_04483 transcript:OIW15948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFPAAIVILPIGTLFILSGLIVNVIQAILFVLVRPISRNCYRKINKVLIELLWLELIWLIDWWACIKVELYTDSETFQLMGKESALLICNHRSDIDWLIGWVLAQRTGCLGSTVAIMKKEVKYLPVLGWSMWFSDYLFLERNWAKDEASLKSGFQHLNHIPVPFWLALFVEGTRFTHTKLLAAQEFAVSKGLPVPRNVLIPRTKGFVTAVEQTRGTIPAIYDCTFAVPKSETSPTLLRMIKGISCSVKVQIKRHKIEELPETSDAIAQWCRETFVTKDAILEKYNTTNIFSEQELQHIGRPKRSIMVIISWSCLLGFLLYKFFKWTSLLSTWQGILFTLLFLVLVTVIMEILIHSSESERSKSNMILPTQDPMKQKLLHS >OIW14671 pep chromosome:LupAngTanjil_v1.0:LG03:25864404:25865376:1 gene:TanjilG_33013 transcript:OIW14671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHRKKVLLILDDVDKQDQLHALVGHPDWFGDGSRIVVTTRDRQLLVSHGVETRYELDVLTENEALELLCWKAFKTNKVGKGYEEILKRSVLYASCLPLALELIGSTLCGKSIEEWKSAIDEFEVIPPKEIQKILKISFDALEEKEQQIFLDIACFFKGYELLEVEDILSAHYGVSVKYHIRVLVDKCLIKIEPFPKTVKLHDLIEDMGKEIVKQESPQDAGERNRLWLHQDIIHVLEENKGSRKIQIMILNFPYFEEGVVDWDGKALEKMENLKTLIIRNAFFL >OIW15559 pep chromosome:LupAngTanjil_v1.0:LG03:5342257:5343827:1 gene:TanjilG_01082 transcript:OIW15559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSANCSLSPPSKFITATNTSPLLNYPISNFINTRSSKRPLHLTLAKAEGSVDSGSATKSSSDQTVFVGPEDVPLEGVIQFEKLTSSARIEKWGRVALLAGGDVLALLLFATIGRYSHGLSLFDIETLHIADPFIAGWFLGGYFLGGYGEDGRGMKGLPKGVIATAKSWAVGIPVGIAIRSAASGHLPNYGFVFASLGSTAVLLITFRALLYSILPVDNSKKSDVYRRGSPFEFFGVYILSTLSS >OIW15250 pep chromosome:LupAngTanjil_v1.0:LG03:16223027:16234168:1 gene:TanjilG_17570 transcript:OIW15250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGNGNKINNLPDDLFSSKSSDSHSNLKDHMVNVCLLAVLDEALGGHAGEKGITVGLLDDSKDQVLSDNNIPLSPQWLYSKTVDAKTPTIPAGLNSTDPSLKESWRLEGSQDKKDWRRIAPDVDINRRWREEERETSLLGRRDRRKDDRRLEITSTSENRSSPSDRWHDSRGSGHDPRRENKWSSRWGPEDKEKDSRSEKKNDVEKEDGLAEKQSSSVGNRAGSDRDPDSRDKWRPRHRLETQAAGVATYRAAPGFGLEKGRAEGSVVQFSAGRGKANINGNLQIGRPPLGSSVGSVLVDKNNTILGKSSLGVSPYYYPRGKLLDIYRRQKVDPTFESMPSGMEYTSPITQHGSIEPLAFVAPAGEEETILKDILKGKITSSEVLGYSFSEDISGIGVILGEGKKPLTGNGRKVISGIDTSNDSDQNFIGSASSAGGSLQNIVEDVATFQEGKQKHMPAIDIHGRDESSGSSTADIIFHRNKVADSETFDSYPGQVSAFQGHANQDHIESIAASEISSNLPDDSHSLFDFSTLQHAPSINQQDLQINENAYPFQSVTTPEELSLCYLDPQGAIQGPFLGIDIILWFEQGFFGIDLPVRLSDAPEGSSFQQLGDMMPHLKVKSRLDSGSNLTTLSEPSDTIGRNLKVDVNSFDYNGYSASDDQPWSSSRPDATSCIGIQSQIPNQGYHSESKFSDEQYFNNIVAQDEDIVLSKLAQSSNGSPLMRPVDVNASYSLPTGIPVANEVAGSDTHSSEADKLHPFGLLMSELRDGSHLRRAQSSNSSLRLGDQGHFLDPLVDRDSPFADQGSHGGMVNQPSFRETWPDEHGINRHLNPDVHVGSLEDRFLSHMGPKFSNFDVSEHLMLQKLQKEQFQQQSGISSNHIPAHLAGTDLERFSGFSLSQSKNSNVQKMIQNPRSDYERLLERQIQQRQLELQQQQDMHHQQLLQQQMNLHPHQQSQVQQLLLEQLMNQHNSDPNFWLSKHDISRDNLFDQGQLRGYLHDLQQNSHSLRQHDPSMEQIIKANMGLNAIQERQADLSALLLQARHGNILSSEQQLHFQQDQLKAQQMSMALRQQLGLDGERHFGRSWSINETGNLVRNPTTHHHLGHSAGFNVSDIHKQQQRLVPQEEQMNFLGRNLPEQNHRGFFDSNSMLFDRSAPVSFQGRQLQEHHRNVHPTDQLGSLSSHHVQSSGDLFGHHPDTFKNSLPGNNGHVENSWIDPRVQLQHFEALRQRRVLGNTSADLSMTAFAGSHEESSTQDFMDLHQKLGLQSTLPSAVDKWHPLSTRTHDKSRQVSEASSLTHPFELPPDQVHMNDPFLERTQSANSSTLMHDHFASMHINEQYNNLCIGIQSQIPNQGYHSESKFSDEQYFNNIVAQDEDIVLSKLAQSSNGSPLMRPVDVNASYSLPTGIPVANEVAGSDTHSSEADKLHPFGLLMSELRDGSHLRRAQSSNSSLRLGDQGHFLDPLVDRDSPFADQGSHGGMVNQPSFRETWPDEHGINRHLNPDVHVGSLEDRFLSHMGPKFSNFDVSEHLMLQKLQKEQFQQQSGISSNHIPAHLAGTDLERFSGFSLSQSKNSNVQKMIQNPRSDYERLLERQIQQRQLELQQQQDMHHQQLLQQQMNLHPHQQSQVQQLLLEQLMNQHNSDPNFWLSKHDISRDNLFDQGQLRGYLHDLQQNSHSLRQHDPSMEQIIKANMGLNAIQERQADLSALLLQARHGNILSSEQQLHFQQDQLKAQQMSMALRQQLGLDGERHFGRSWSINETGNLVRNPTTHHHLGHSAGFNVSDIHKQQQRLVPQEEQMNFLGRNLPEQNHRGFFDSNSMLFDRSAPVSFQGRQLQEHHRNVHPTDQLGSLSSHHVQSSGDLFGHHPDTFKNSLPGNNGHVENSWIDPRVQLQHFEALRQRRVLGNTSADLSMTAFAGSHEESSTQDFMDLHQKLGLQSTLPSAVDKWHPLSTRTHDKSRQVSEASSLTHPFELPPDQVHMNDPFLERTQSANSSTLMHDHFASMHINEQYNNLGNAERIPLRSRSGALVEGQSLLSSNQDTLHPNYRIPPLTGKSAMEELLELEISKSHRHEFLGTITKSVPGVSELPEQVESTMNSMELPASISHSRHSSLSSAGGDGGSFGREMGLNNSRADEVSNDRVPPSSKGFDNVFHKRSHVSRTDQPSTHANQNNLMNLACSEGRREPSGNSSSTASMTEAQASGKKDVRFRTSSFGEGATSETSFIDMLRKPVLPEVDAHAVSGATNESSDGGQAGRSGKKKGKKGKQIDPSLLGFKVSSNRIMMGEIQRPEDDS >OIW14939 pep chromosome:LupAngTanjil_v1.0:LG03:23769868:23784753:1 gene:TanjilG_30658 transcript:OIW14939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWIRLITQVARNQSASGQVKDLFLRSYLSANKFEGCAGNRLLCAQKKFQSSYVGNLARRVRDADEASEVAHLKELYRRNDPEAVIRAFESQPSLHSNHSALSEYVKALVKVDRLDESQLLKTLRKGVSNSVREEESIGGFSALRNAGKPAKDAVLGTASFPIHMVAAEGGNFKDQLWRTIRSVVVVFLLISGVGALIEDKGISKGLGMSEEVQPSVESNTKFNDVKGVDEAKAELEEIVHYLRDPKRFTRLGGKLPKGVLLVGPPGTGKTMLARAIAGEAGVPFFSCSGSEFEEMFVGVGARRVRDLFSAAKKRSPCIIFIDEIDAIGGSRNPKDQMYMKMTLNQLLVELDGFKQNEGVIVIAATNFPESLDKALVRPGRFDRHVVVPNPDVEGRRQILESHMSKVARNQSASGQVKDLFLRSYLSANKFEGCAGNRLLCAQKKFQSSYVGNLARRVRDADEASEVAHLKELYRRNDPEAVIRAFESQPSLHSNHSALSEYVKALVKVDRLDESQLLKTLRKGVSNSVREEESIGGFSALRNAGKPAKDAVLGTASFPIHMVAAEGGNFKDQLWRTIRSVVVVFLLISGVGALIEDKGISKGLGMSEEVQPSVESNTKFNDVKGVDEAKAELEEIVHYLRDPKRFTRLGGKLPKGVLLVGPPGTGKTMLARAIAGEAGVPFFSCSGSEFEEMFVGVGARRVRDLFSAAKKRSPCIIFIDEIDAIGGSRNPKDQMYMKMTLNQLLVELDGFKQNEGVIVIAATNFPESLDKALVRPGRFDRHVVVPNPDVEGRRQILESHMSKILKADDVDLMIIARGTPGFSGAELANLVNVAALKAAMDGAKAVNMNDLEFAKDKIIMGTERKSAVISEESRKTTAFHEGGHALVAIYTDGALPVHKATIVPRGMALGMVSQLPDKDETSFSRKQMLARLDVCMGGRVAEEIIFGESEVTSGASSDLSQATNLARAMVTKYGLSNEVGLVTHEYHDDGRSMSSETRLLIEKEVKNLLERAYNNAKAILTTHNKELHALANALLEKETLTGNQIKALLAKVNSQQQQKRHAVEAQGGNSRSNAAAAAAAAASAVTKGQGLGMSKEVEPSEESNTKYNDVKDVDEQQQKRHAVEAQGGNSRSNAAAAAAAAASAVTKGQGLGMSKEVEPSEESNTKYNDVKDVDEVKA >OIW14490 pep chromosome:LupAngTanjil_v1.0:LG03:27491464:27493583:1 gene:TanjilG_12083 transcript:OIW14490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSITMNMDIYAINDLDFSEYTTTTSSDTHTSDDGHGYNWNHWSPIVNWDALTGDQNCFHGLIDSLMDDVATAGVNLSPINHQDACNSPSTTSEDITTTTDDITTSACEEEVAAATTGDVDFNGLKLIHLMMAAAEALSGENKSRELARVILVRLKELVSTTHGTNMERLAAYFTEALQGLLDGTGCAHNNKHYNYGSSGTHREDNHHRHQTEMLGAFQLLQEMSPYVKFSHFTSNQAIVEAVANERRVHIVDYDIMEGIQWASLIEAFASNKNGLPAPYLRITALSRTGTGRRSIATVQETGRRLTAFAASLGHPFSFHHCRLDSDETFRSSSLKLVRGEALVFNCMLHLPHLTYRAPNSIASFLNGAKSLKPKLITLAEEEVVPIISHEAGFVGRFMNSLNHYSALYDSLEAGFPMQNRARALVEQVFFGPRIASSVAQLYWMGEEDEERGSWVKWLGAAGFRGVPISSANQCQARLLLGLFNDGYGVKEFESNKLVLDWKSRRLLSVSVWTSSSESDS >OIW15219 pep chromosome:LupAngTanjil_v1.0:LG03:17267717:17273722:-1 gene:TanjilG_08811 transcript:OIW15219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDIEEEIRSLQLDSAEENNGVINLEDGKPEFDKSDEMDEDPKQDVQPQPVHADEPKVKDKETPHVQDEKDDLEMKKRHVNVVFIGHVDAGKSTTGGQILFLSGQVDERTIQKYEKEAKDKSRESWYMAYIMDTNEEERAKGKTVEVGRAHFETEKTRFTILDAPGHKSYVPNMISGASQADIGVLVISARKGEFETGYEKGGQTREHVQLAKTLGVAKLLVVVNKMDEPTVQWSKERYSEIESKMIPFLKQSGYNVKKDVQFLPISGLNGANLQTRLDKSICPWWDGPCLFEALDSVELPERDPTAPFRMPIIDKFKDMGTVVMGKVESGTVREGDSLWVMPNKHPVKVVAIFIDEDRVKRAGPGENLRIRLSGVEEEDILSGFVLSCIESPIPAVTEFVAQLQILELLDNAIFTAGYKAILHIHSIVEECEIVELLHQIDPKTRKPMKKKVLFVKSGAGIVCRVQVINTICIETFSCFPQLGRFTLRTEGKTVAVGKVTKI >OIW15415 pep chromosome:LupAngTanjil_v1.0:LG03:11115911:11119342:-1 gene:TanjilG_32654 transcript:OIW15415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSIGIMDSAYFVGRNEILTWINNRLHLNLSRIEEAASGAVQCQMMDMTFPGVVPMHKVNFDAKSEYDMIQNYKVLQEVFTKLKIPKHIEVNRLVKGRPLDNLEFLQWLKRYCDSVNGGIMNENYNPVERRSKGGKDRSSKSSLKSTKSLPTTTLNNSGSGETHTLNPNNASVPKQLRSSGGAGGANSSAEAQALSKQVTDMKLSMELLEKERDFYFAKLRDVEILCQAAELENDHVCVAIKKILYAADAKESALDEAQEYLNQILNDVDAEENTEA >OIW15838 pep chromosome:LupAngTanjil_v1.0:LG03:1017651:1018828:-1 gene:TanjilG_04373 transcript:OIW15838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLVVPEGAYAVRLGNEASIKQKVKLTKGSFYSITFSAARTCAQEEKLNVSVVPTIENGDWGVIPIQTMYGSNGWESFACGFKADFPEGEIVIHNTGVEEDPACGPLIDSVALKLLNPPKRTTANLLKNGNFEEGPYVFPNASWGVLIPPHIEDSHSPLPGWIVESLKAVKYIDSKHFSVPEGQRAIELVAGKESALAQIVITTIGKTYDLTFSVGDANNACEGSMIVEAFAGRDTVQVPYESKGKGGYTRGKLRFKAVTTRTRVRFLSTFYTMKNDNSGSLCGPVIDDVKLLSVRYP >OIW15141 pep chromosome:LupAngTanjil_v1.0:LG03:20562662:20563999:1 gene:TanjilG_14140 transcript:OIW15141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRRGKGALSVRCDFIGSPTNLILVASTSIMLFAGRFGLAPSANRKATAGLKLEVRDSGLQTGDPAGFTLADTLACGTVGHIIGVGVVLGLKNIGAI >OIW15389 pep chromosome:LupAngTanjil_v1.0:LG03:11395890:11396426:-1 gene:TanjilG_15772 transcript:OIW15389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNSEPINVDSTTHSDERSNNRTFCFPCCFGSRHSPPTAFACWERVQATSWSQSQSEVHPITGCAGDTWWSRSFMKARSWTEIVAGPRWKTFIRRFNRNRSSSLRSNGKYQYDPLSYALNFDEGQGQNGDFEDDGYDRFRNFSTRYAVATTIKTGSSDAGNDGVVFSVEREGKRECAE >OIW14722 pep chromosome:LupAngTanjil_v1.0:LG03:25477994:25479577:-1 gene:TanjilG_05343 transcript:OIW14722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGLNRWLLRTPFSLNTCTVTATKLNSMIESYVKDNNITQARKLFDENPSSCNVVSWNMMMTAYIQHHQIQHAHDMFDKMPQKDTVSWNIMLSGFHRNNNSSGLLQCFLQMGRSGFAPNDYTLSTLLRAVIGTVLNILVPQVHALALHLGLNLNVFVGSSLIRAYASLRDKEGLCQVFDDILFKDVTSFNALIAGYMELGSVDDGQRMFNLMPERNTISWTTLVTGYIRNKRINEARSFFNEMSERNVVSWTTMISGYVQNTRFIDALKLFLLMFKSGTRPNHFTFSSVLDACAGCSSLPMGMQVHLCIIKSGIPGDVIHLTSLVDMYAKCGDMDAAFHVFESILNKNLVSWNSIIGGYARHGLATRALEEFERMEKAGVTPDEVTFVNVLSACVHGGLVEEGEKHIIAMSTKYGIQAEMAHYSCMVDLYGRAGKFDEAQKLIKNMPFEPDVVLWGALLATCGLYSNLELGEYAAERIRKLERNHPVSYSMLSKIQGEKGIWSSVNELRDMMNERQVKKQKAFSWVG >OIW16066 pep chromosome:LupAngTanjil_v1.0:LG03:2876789:2878380:-1 gene:TanjilG_04601 transcript:OIW16066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHDNCVGKFGMVHVKCHVKFKKCLTKVQKSGKVGFSKVCPYSTAAPTMISGMDLAIMLSQLGNHSDDL >OIW15530 pep chromosome:LupAngTanjil_v1.0:LG03:5974788:5982322:-1 gene:TanjilG_13836 transcript:OIW15530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESQGSSSEDISTVTTLLPLASDSQQHYVSELLSFTLDRLHKEPELLRVDAERIRRQMQEVAVTNYRSFIAAADTLLTIRNEVSSIDKHLESMINEIPKLTSGCTEFIESAEQILEKRKMNQTMLANHSTLLDLLEIPQLMDTCVRNGNYDEALDLEAFVGKLSTMHPKLPVIQALAGEVRLTTQSLLSQLLQKLRSNIQLPECLRIIGYLRRIGAFSEYGMRLLFLRCREAWLTGILEDLDQANPYEYLKGMINCHRMHLFDVVNQYRAIFADDTSGSEENYDGGLLFSWAMHQITSHLQTLKVMLPKITEGGSLSNILDQCMYCAMGLGWVGLDFRGLLPSLFEEAVLNLFSKNMGTAVENFQLVLDSHRWVPLPAVGFSANTVREESQEDVTPPSYLMEHPPLAVFINGVSAAMNELRPCAPISLKHVLAQELIKGLQAVSDSLLLYNTTRVLRANESGLFLSLCRAFIEVAYPHCATCFGRCYPGGATLIMDAKNLYDGISRLLEASSAREVPKPVNNGEAKSVADNGEVPTMENGETPTATESEAINADGANKGATTSETNEEDTNTEKLLE >OIW14694 pep chromosome:LupAngTanjil_v1.0:LG03:25688036:25690240:1 gene:TanjilG_33036 transcript:OIW14694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGRSYSPSPTPPPPPHTHRDGGGGGGGGGGGGGRRRGYSSRRESGRSPSLPPPPPPPPPSHRDRRDRGYSRDRDLPTSLLVRNLSHDCRPEDIRRPFSQFGALKDIYLPKDYYTGEPRGFGFVQFVDPADAADAKHHMDGQFLLGRELTVVFAEENRKKPTEMRTRERGGRSNDRRRSPPRYSRSPRYSRSPPPRHRSRSHHYYSPPPKRREYSRSVSPEERRYSRERSYSPQKRERSYSRSPPPFNGSGSRNRSQSPAKDPPSRSRSPTPDRESSRGARSPSP >OIW15832 pep chromosome:LupAngTanjil_v1.0:LG03:991901:995608:-1 gene:TanjilG_04367 transcript:OIW15832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNTGHYCSSSTFIIMFIHLVINIVVSPYLTNVAVEGFDPVIQLSYSGSNITQSISKPVFSVVDYGAIGDGLHNDTKAFLKAWEIACSLPGSAKVVFPFGKTFLVHPVDVTGPCRSNITLKILGTIVAPQDPLAWNDLNLHKWFYFHGVNNLTVDGGGRINGMGHEWWAKSCKINHTNPCRPAPTALTFHRCKNLKVRKLMLLNGQQMHMAFTSCIRVVASDLKVFAPAFSPNTDGIHISATRGVEVKDSVIRTGDDCISIVRNSSQIKIRNISCGPGHGISIGSLGKSDAWEKVENIHVYGAYLYNTDNGVRIKTWQGGKGFASNITFKNVVMENVSNPIIIDQYYCDSQYPCDNQTSAVKVKNISFIDIQGTSATEEAIKFSCSDASPCKGLHLENVFLASFMGGNTRSYCWQAHGSTRGSVYPPSCLSSTNDFIRQKVWLEPNPAIDSI >OIW15066 pep chromosome:LupAngTanjil_v1.0:LG03:22861034:22865712:1 gene:TanjilG_13993 transcript:OIW15066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRVIDRICARPDIDDFFWEKVPTPILDMVENPLFLKNLSLKELKQLAFEIRLELCSIMSGTELLLKPSMAVAELTVAIHHVFHAPVDKILWDVGDQTYAHKILTGRRSLMKTVRQKNGLSGFTSRYESEYDAFGAGHGCNSISAGLGMAVARDMKGRRERVVAVISNLTTMSGQVYEAMSNAGYLDSNMIVILNDSRHSLLPKVEEGPKTSVNALSSTLRKLQSSKSFRRFREAAKGVTKRIGRSMHEWAAKVDEYARGMMGPLGSTLFEELGLYYIGPVDGHNIDDLISVLQEVASLDSMGPVLIHVITNENKEEENSQRSDITDWLQDDSIKSDLLHYAVRPRTYGDCFVEALVAEAEKDKDVVVVHAGGTMEPALELFREKFPDRFFDVGTAEQHAVTFASGLSCGGLKPFCIIPSSFLQRAYDQVVHDVDQQKTPVRFVITSAGLVGSDGPLQCGAFDITFMSCLPNMIVMAPSDEVELVNMVSTAARVNDRPVCFRYPRGALVRKEHTTSDGIPIKIGKGRVLVEGKDVALLGYGSMVQNCLKAHTLLAELGVEVTVADARFCKPLDIKLLRQLCKHHSFLITVEEGSIGGFGSHVAQFIALDGLLDGGIKWRPIVLPDNYIEHASPKEQLDQAGLTGHHIAATALSLLGRTREALQFMCS >OIW15312 pep chromosome:LupAngTanjil_v1.0:LG03:14308944:14313565:1 gene:TanjilG_10752 transcript:OIW15312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGPLDYASIQIFPNKNRYEAFVYKGKRSEKVAAGQLEHLLPHISATNDLYDKGYDAKFDLQLPENLRGAEWFSKATVKRFLNIVSSPDFVNDVNIIMGEMLQLENSKKFHISIYGKPEANNASSEISKIEFLQAMDLRLTVLRSKLAETLNKAVGGTCSTKDMAYLAKFSQYFGATDIEHSMCKFIELNQKSEDIGLMYNETARDVTSYGAKRAVINRPISKPLHSDTPVKYGVSPAKAAQAEKRILTEREESSNSSHEDQTSVERSRSLIGSSTPRRSASPKRRVPIGRSGPNKAAALIIKRLSFPPEQPHKKEFEVRRITVQNAIRRFESKPGDETTGIQQRKSLTNVSISTNKFVLRRWSTNSCMGETCVRGQPELFPEDAVPVSSNDVAHVEIPKNSERGVVSDFVPESHNNIEITNRDVKSERQENVGSSYTVDNQEETDPIVREEIVDKLAASEEWNKQRQAEFNHILKKMAETKPVLFGKSLPSRKQSITFGQRGGCYDHYRVKRDAKLSRQRSGRRLEKQAQFQEMQQLLEKKKAEMLSKTASATKKSSTRLPQKSLRNSTQPANSPKETSKTSVMKKTSFKTKTSPLPATRKSWSATPSTRDAATPLTKACSGISFPRTTPAQRKPMSTSAIPQPRSQREKPKQQSRNGKETPISNVKCLKSTNDKRLKSTNDKHQTVVPTKSKVTKAKIPTSSGETCVTSKIGLGNKGTNKNSVVSLESKPFQRKGTWTGIEKHYKKKSPPKLDKPLRDSEDLIEYRKSELVVNASDLASQHSDMDQNSSTEPEYQINNHLQLDETENIDQYCNESGYVLTNIEESSLKPTNKESTMSPSAWVETEEDIDLPKPCEDITFQHAFPSSIPAAGSTTPRVYHSLPQVLQEEISEPETLKWGNAENPPATIYQKDAPKGLKRLLKFAWNSKGDAGSSGRSSPSVFSAEDDADEFKKSNKKNDDNLLPKAALNAESYGQPKCSVHKGYEGNLGTSKLI >OIW15173 pep chromosome:LupAngTanjil_v1.0:LG03:19813078:19814784:1 gene:TanjilG_21149 transcript:OIW15173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEMAKIERERENNNNNINELNEEAQMEKLPNPLPYRRNKALVKELSVPPPGAKDLYFPSQYSQPTMGQFKSCLWKQYLTYWRSPDYNLVRYMFTLLVALVVGTVFWKVGTKRSNSGNLTTIIGAMYGSLFFVGVNNCQTVQPVVAIERTVFYRERAAGMYSALPYAIAQVIIEIPYCFVQTMLFSFIVYAMVSFEWQVAKVFWFLFVSFFTFLYFTYYGMMTVSITPNHQVASIFGAAFYGLFNLFSGFFIARPFLVDILHNVHQTLHIFTLVEEKFIYDLPNRFVKCIKPEENVKLR >OIW15966 pep chromosome:LupAngTanjil_v1.0:LG03:1932176:1932844:-1 gene:TanjilG_04501 transcript:OIW15966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIEQCTPLGAKKLWNILRIVFKMMRKGIAKSKIIMDFNFFLRRGKLVIGKVIAKTLMLHYHYIATFACYRSDISFISPQDYEFSCSNSPTFPLHKHNHHYQHHRYNRSFTKFSKSYQYNEFSKSYQYNEFSTINVVQKVFEMLNDDDMVEASPLVALPGFGKSPIGRQLRITDSPFHLKDEGDHGQVDMAAEEFIKRFYKDLNLQKKMAAIESPHHTLWDT >OIW15982 pep chromosome:LupAngTanjil_v1.0:LG03:2069446:2075341:-1 gene:TanjilG_04517 transcript:OIW15982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRAFNHQISMQKSRSFHFKKMFEIPGKHIHGLFDRDHEEGGEADKVYAKSFESKHTSDHASEVHQIGYSLTNEIPRIPPKPPSEMDMMKERFAKLLLGEDMSGAGNGVSSALALSNAITNLAASIFGEQSKLEPMSSERKARWRKEIERLLSVTDHIVEFVPSQQIGKDGTTMEIMTTRQRSDLLMNIPALRKLDAMLIGTLDNFRDQNEFWDHEEGGEADKVYAKSFESKHTSDHASEVHQIGYSLTNEIPRIPPKPPSEMDMMKERFAKLLLGEDMSGAGNGVSSALALSNAITNLAASIFGEQSKLEPMSSERKARWRKEIERLLSVTDHIVEFVPSQQIGKDGTTMEIMTTRQRSDLLMNIPALRKLDAMLIGTLDNFRDQNEFWYASKNDEDSKGNTNTQRKSDKWWLPIVKVPPTGLSDVAGKWIQFQKDSVNQVLKAAMAINAQVLSEMEIPENYIESLPKNGRECLGESIYKHITVEYFDPGQFLSTMDLSTEHKVLDLKNKIEASIVIWKRKMNSKDSKSTWGSAVSLEKRELFEERAETILLMIKHQYPGLPQSSLDISKIQFNKDVGQAILESYSRVIESLAYKVMSRIEDVLYADTMTKNPSLAVSSRRFSLDSLPVAEVSSPNSPAEEKDNPRSPDAPALVTLSDFMGWSETATKGGSDLKKTNSTGDLDDYLKEKDEKSVTKSPNFSIPNKACYVEKFEYLNPLKSPIARH >OIW15244 pep chromosome:LupAngTanjil_v1.0:LG03:16134727:16150137:-1 gene:TanjilG_17564 transcript:OIW15244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIGASLWPAASFPVYRREEPSQTFLKLKASWIPIMPPRFALSVDRVAETTTTAIPPNNGIPSVKVNGEEGTSAEKDKRSENKKDGEELNRRSGWRSYVEQLKEIAKPDGGPPRWFSPLESGSRLDKSPLLLFLPELVKIVEKTVRSEHQQLPNRPIYLVGESLGGCLALAVAAHIPDIDVVLILANPATSFGRSQWQLLTPLLEAMRGPLSLFPPEILSSISGDPLSLLDNLVRGFPLQNTARELLEDLTTFSGSLPVLANILPIETLQWKLKLLKSASAYVNSRLHAIKAQTLILCSGNDRLLPSQQEGERLCQLLPRCELRKFEGSGHFLFLESSVDLVTVIKRASLYRRGKRHDYVSDFLPPTINEVKEVIESNSLINTFTSPVMLSTLEDGTVVRGLAGIPSAGPVLFVGYHMLLGLDLIPLVTQIFMERNILVRGLAHPLVFTRKEIGVVPDLSAFDGFRLMGAVPVGPTSFFKLLSSKSHILLYPGGMREALHRKGEEYKLFWPEQSEFVRMAARFGAKIVPFGTIGEDDVGNFVFDYDDIVKIPPLKSATENLTKDVPKLRTDVVGELGNQPIYVPGFFPKVPGRFYFYFGKPFETEGRKLELKDREKAQELYLEIKSEVERCLDYLKEKRESDPYRSILSRSLYQAIHGFTSEVPTFEI >OIW16043 pep chromosome:LupAngTanjil_v1.0:LG03:2650851:2652575:1 gene:TanjilG_04578 transcript:OIW16043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTIRVTPCLPRIYAKNKSQAHLSPSKSCVSSRISLSPGANLSSGLQCMPILKSRRPLHVCLAGGKGMMENNNENSPWESLENTTQKLKGQSLEDALREQIQNGGNGGKPPGRGGGGGGRGGSEDGRFSGMSDETLQVILATIGFIFLYICVNDGVELAKLTRDFIKYLSGGGQSVRLQRAIYKWVRLYKNLTQRKEVDKDAPEKEPTRWYKPDHYWDIIRKYMKSDSNG >OIW14646 pep chromosome:LupAngTanjil_v1.0:LG03:26069603:26077182:1 gene:TanjilG_32988 transcript:OIW14646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLLWPLGKRIRMCYSGGSIYVMILNGFHWKPWNVGRKENSEAYDQIIVVEVWGEGPLGFGLLLTSRITQAYQLYFIFVKRRLPIIRSYFFLPLILLPWIAAAAIIHMKKPLSDRCHMRAEWTIPAVFLHALYVAILVGVTGSVRHIEFRFDELKDLWRGILVSTLSIAVWVTAYILNEIHDDISWLQVASRFLLLVVASILVLAFFSISSSQPLLSQISLRRKESREFRSMSQALGIPDSGVLAMNEPISRIDPNEPFDKLLLNKRFRQSFMAFADSCLAGESVHFFDEVHELSKIPEGDCVRRIYMARHIIEKYVVAGAAMEVNISHRSREEILTTSDLARRDLFRNALNEIIQLLKMNLAKDYWSSMFFMKFREESETRPNDHDLEQMTGWNYSPRLSSVHITDDPFQHDHLLKNSGCNDTDS >OIW15610 pep chromosome:LupAngTanjil_v1.0:LG03:4263182:4263744:-1 gene:TanjilG_08186 transcript:OIW15610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELKGSLSYGRGNLSDEGNTLENSVTPISHKSPVDEALVANLMTPSSELISLFL >OIW15299 pep chromosome:LupAngTanjil_v1.0:LG03:14003786:14004526:1 gene:TanjilG_10739 transcript:OIW15299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLGIHCGGSNCVTAKHGTFQKRCGVTFASPSSVAISDYSRSTVRKGRIYAAATETSAAVDGFLSNRNKYSSGGANDAIEAQERLDKWIRESVVEIVKNLKEAPLLVQVYTKNKNGDGETSISTEKKVVVEDWPEVKKRWEAGETPLPDGVIFVEEIGSDEDPANGGAGKGEERTTRVWGVVVQGKGVGCEPVCYLLKTCRVGSGPGIGFGVCSTHFCLVRVKSFRETAQSQLKNCWLLQSQLQ >OIW16029 pep chromosome:LupAngTanjil_v1.0:LG03:2517127:2517647:-1 gene:TanjilG_04564 transcript:OIW16029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTSTFTVARIRMMLSFTCEEMLCTCRSFSECWSSCSKADGNNAIPLMTLIPLRYALWPGTSSRISFDAMCSA >OIW14702 pep chromosome:LupAngTanjil_v1.0:LG03:25584163:25586065:-1 gene:TanjilG_33044 transcript:OIW14702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGVIVKNKNVRVSEEEEEEEEEEEDHNSAPRKLYLHHSGDDGFYDVEAIRRKRIRKGEVQYLVKWKGWSEGANTWEPLHNLHSVSDLIHSFEHKLKSGKLGNRKRKHHPVLHQQQQQQQQQQQPPKKRPHQQQRAPTSYCLRHFATDNNHTHSAHHTINLVNGKGDAAAPLAQDNQPNQNESNNEENIDDPKLSELKATSNNALDAAADKPEASTANPHMAMESGPCRGAKRRKSGSVKRFRRQDGDDDDDASKPNPTTVSVEQGQRGVNGDDGGNNNNNNGHMMGDAASAFHIVKIIRPIGYVTSFSNIVDDLSVIFVALRSDGTEVTVTNKYLKANNPLLVISYLLDRLDYVSYGLKAF >OIW14916 pep chromosome:LupAngTanjil_v1.0:LG03:23957073:23973610:-1 gene:TanjilG_30635 transcript:OIW14916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDAFARSIPEVLDFFGVNPTRGLSDTQVVQHARLYGTNGAPFWRLVLKQFDDLLVKILIAAALISFILALFNGETGLMAFLEPSVILMILAANAAVGVITETNAEKALEELRAYQAEVATVLRNGCFSILPATELVPGDIVEVSVGCKIPADMRMIEMLSNQVRVDQAILTGESSSVEKELKTTTTTNAVYQDKTNILFSGTVVVAGRARAIVVGVGPNTAMGSIRDSMLRTEDEGTPLKKKLDEFGTFLAKVIAGICLLVWIVNIGHFRDPAHGGFLHGAIHYFKIAVALAVAAIPEGLPAVVTTCLALGTKRMARLNAIVRSLPSVETLGCTTVICSDKTGTLTTNMMSVVKVCVVESAKRNPVANEYSITGTTYAPEGTIVDSTGMQLDFPAQSPCLLHIAMCSALCNESTLQYNPDKGNYEKIGESTEVALRVLTEKVGLPGFNSMPSSLYMLSKHERASYCNHYWEEQFRKMYVLEFSRDRKMMSVLCSRNQMHVLFSKGAPESIISRCTTIMCNDDGSIVPLTADIQAELNSRFQSFAGKETLRCLALALKWMPSDQQTLSFDDEKDLTFIGLVGMLDPPRDEVRNAMLSCMTAGIRVIVVTGDNKSTAESLCRKIGAFDHLMEFDEYSYTASEFEELPALQQTLALQRMALFTRVEPSHKRMLVEALQRQNEVVAMTGDGVNDAPALKKADIGIAMGSGTAVAKSASDMVLADDNFASIVAAVAEGRAIYNNTKQFIRYMISSNIGEVVCIFVAAVLGIPDTLAPVQLLWVNLVTDGLPATAIGFNKQDSDVMKAKPRKINEAVVTGWLFFRYLVIGAYVGLATVAGFIWWFVYSDSGPKLPYSELINFDTCPTRETTYPCSVFDDRHPSTVSMTVLVVVEMFNALNNLSENQSLLVIPPWSNLWLVGSIILTMLLHILILYVHPLSVLFSVTPLSWADWMAVLYLSLPVIIIDEVLKFFSRHPIGLRFRLWVRRSDLLPKREQRDK >OIW15022 pep chromosome:LupAngTanjil_v1.0:LG03:22950610:22959362:1 gene:TanjilG_24131 transcript:OIW15022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEATGGMVAGSHKRNELVRIRHDSSESGPKPLKNLNGQICQICGDSVGLTATGDVFVACNECAFPVCRPCYEYERKDGNQACPQCKTRYKRHRGSPRVDGDEDEDDGDDLENEFSHGQGNPSKARTQWDEDADLSSSSRRDPRQPIPLLTNGQPMSGEIQTPDTQSVRTTSGPLGPSEKLHSLPYIDPRQPVPVRIVDPSKDLNSYGLGNVDWKERVEGWKLKQEKNVVQMTGRYSEGKGGDIEGTGSNGEELQMVDDARQPLSRVVPLPSSQITPYRVVIILRLIILCFFLQYRVTHPVKDAYPLWLTSVICEIWFALSWLLDQFPKWYPVNRETYLDRLALRYDREGEPSQLAPVDVFVSTVDPLKEPPLVTANTVLSILSVDYPVDKVSCYVSDDGSAMLTFESLSETAEFAKKWVPFCKKHNIEPRAPEFYFAQKIDYLKDKIQPSFVKERRTMKREYEEFKVRINALVAKAQKMPEEGWTMQDGTAWPGNNPRDHPGMIQVFLGHSGGLDTDGNELPRLVYVSREKRPGFQHHKKAGAMNALIRVSAVLTNGAYLLNVDCDHYFNNSKAVKEAMCFMMDPAFGKKTCYVQFPQRFDGIDLHDRYANRNIVFFDINLKGLDGIQGPVYVGTGCCFNRQALYGYDPVLTEEDLEPNIIVKSCCGSRKKGRGGKKYNDKKRGVKRTESTIPIFNMEDIEEGVEGYDEERSLLMSQKRLEKRFGQSPVFIAATFMEQGGIPPSTNPATLLKEAIHVISCGYEDKTEWGKEIGWIYGSVTEDILTGFKMHARGWISIYCMPPRPAFKGSAPINLSDRLNQVLRWALGSIEIFLSRHCPLWYGYKGKLRSLQRLAYINTIVYPLTSIPLIAYCVLPAFCLITNKFIIPEVSIDFFLDRVDDARQPLSRVVPLPSSQITPYRVVIILRLIILCFFLQYRVTHPVKDAYPLWLTSVICEIWFALSWLLDQFPKWYPVNRETYLDRLALRYDREGEPSQLAPVDVFVSTVDPLKEPPLVTANTVLSILSVDYPVDKVSCYVSDDGSAMLTFESLSETAEFAKKWVPFCKKHNIEPRAPEFYFAQKIDYLKDKIQPSFVKERRTMKREYEEFKVRINALVAKAQKMPEEGWTMQDGTAWPGNNPRDHPGMIQVFLGHSGGLDTDGNELPRLVYVSREKRPGFQHHKKAGAMNALIRVSAVLTNGAYLLNVDCDHYFNNSKAVKEAMCFMMDPAFGKKTCYVQFPQRFDGIDLHDRYANRNIVFFDINLKGLDGIQGPVYVGTGCCFNRQALYGYDPVLTEEDLEPNIIVKSCCGSRKKGRGGKKYNDKKRGVKRTESTIPIFNMEDIEEGVEGYDEERSLLMSQKRLEKRFGQSPVFIAATFMEQGGIPPSTNPATLLKEAIHVISCGYEDKTEWGKEIGWIYGSVTEDILTGFKMHARGWISIYCMPPRPAFKGSAPINLSDRLNQISNIASMWFILLFVSIFTTSILELRWSGVSIEDWWRNEQFWVIGGTSAHLFAVFQGLLKVLAGIDTNFTVTSKASDEDGDFTELYVFKWTSLLIPPTTVLIVNLIGIVAGVSIAINSGYQSWGPLFGKLFFAIWVIAHLYPFLKGLLGRQNRTPTIVIVWSFLLASIFSLLWVRIDPFTKDTNASNGQCGINC >OIW14938 pep chromosome:LupAngTanjil_v1.0:LG03:23789496:23790860:1 gene:TanjilG_30657 transcript:OIW14938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLPDTEPTSKKYISLEELKKHNKRDDLWISIHGKIYNVTNWAKHHPGGDLPLLNLAGQDVTDAFLAYHPINASKYLQNFFNGYYLSDYAVSEVSKDYRKLLSHFSSIGLFEKKGHGVFFTLWFMVILFCASVYGVFFNDNPFVHLGCGGLMGLFWIQSGWIGHDSGHYQIMATKGFTRFAQVLSGNCLAGISIAWWKWNHNAHHIACNSLDFDPDLQHMPFFVVSNKFFNSITSYFYDRKMNFDGFTRFLVSYQHWTFYPVMCFARLNLFAQSFILLFSKRNVPNRVQELLGLLVFWIWYPLLVSYLPNWSERILFVIASFSVTGIQHVQFCLNHFSSSVYLGHPSSSEWVEKQTNGTLDVKCSPWMDWFHGGLQFQVEHHLFPRMPRNHLRTVSPFVKELCKKHNVPYHCVSFWNANVLTIQTLRNAALLARNLSNPIPKNLVWEAVNTHG >OIW14506 pep chromosome:LupAngTanjil_v1.0:LG03:27766277:27773358:-1 gene:TanjilG_12099 transcript:OIW14506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEKKAPPSSSFVKKGDRQIFTVELRQGETTIVSWKKLMKDANNNKNNDGNHNNGSNSSSAPSHVHHALDSRIAPGQPAEVEEKDPSQPNRFSAVIEKIERLYMGKDSSDEEDIRDVHDDQYDTEDSFIDDAELDEYFEVDNSAIKHDGFFVNRGKLERINEPPVLPNQQPKKRRRKDILKNPDENNDDQGSNKHVKGSRRASGKTASLKGKNTSYSSQNLVAPGEYYKDLKVQNKSDVPGNGISSKKKTADTKSTLDLSVSLKALKDDVLGSVKEAKDGDKQKTGIIQSKKISDKYKDASGFLGASHQKYHEQGAHAHPNSQPGRSSSNINDSKNTIHSKEKKVMRELPDLNLSEEKSTMQVTKSEYTHKKDGSSVRTKTSALEKAIHELEKMVAESRPPAMEQQESDTTSQAVKRRLPREIKLKLAKVARLAQASQGKVSTELLNRLMSILGHLMQLRTLKRNLKEMISTGLSVKQEKDVRFQLIKKEVVDMIRVQALTLESKQQQKTGASGDFQEFGPDGKAISKSKFSMDTTLEDKICDLYDLFVDGLDESAGPQIRKLYAELAELWPTGCMDNHGIKHGICRAKERRRALHNKHKEKMKRKKLLVPKPEENVLPDTSSIPPQQSSREKVASQSSSHDFTPVNKPVSYMSTTAQVPSPMNDLKQEKARKSSSSSVDNVNIENGGLAKKKVKRKPEHDLEGAHFGPEKKPSSLMEEARPKSLKQSAALHTKSKLHPTSIPGLEQSN >OIW15729 pep chromosome:LupAngTanjil_v1.0:LG03:277042:278456:-1 gene:TanjilG_04264 transcript:OIW15729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREKKREEEAIIMDDVLENMPLFCKELVAGGVAGAFAKSVVAPLERLKILFQTRRDEFHSTGFVGSAKRIAKTEGILGFYRGNGASVARIIPYAAIHFMSYEEYRRRIIQTFPHVSKGPTLDLLAGSLSGGTAVLFTYPLDLIRTKLAYQIVSPTKFNAPGMLHNEQVYKGILDCFRKTYKEGGFRSLYRGVAPTLVGIFPYAGLKFYFYEEMKLHVPEEYKKSIMVKLTCGSVAGLLGQTFTYPLEVVRRQMQVQKLLGSDNLELKGTVKSLVLIAQKQGWKQLFSGLSINYIKVVPSVAIGFTVYDTMKSYLRVPSRDDEAADEAVTNKRIRQ >OIW14803 pep chromosome:LupAngTanjil_v1.0:LG03:24872301:24880449:1 gene:TanjilG_05424 transcript:OIW14803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLNGLSHLYMMVFLHNFSSCMVAPAITDVTMGALCPGKDECSIAIYLTGFQQAMSGLGTLMMMPLLGSFSDKYGRKAILTLPMTLTIIPLGILAYSQTRRFFYLYYVFNVLFSMVCEGSVPCLSLAYVADNVTEEKRASAFGVISGIASAAFVCGTLSARFLSTPLAFQLSTLVAVIGAVYMRIFLRESLIDHNLCTPFISHVNPAVIAKIDCEKPKSDTQLFKALRSLNDLTSFFNSSLTITQAAIIAFFSNLADAGLNGSLMYYLKAQFHFNKNQFADLMVISGIAGSVSQLILMPILAPTLGETRLLSIGLFFHCIHMFIYSIAWSFWVSYAAAMFAILFFFAQPCIRSIVSKEVGPHEQGKAQGCISGICSVARIVSPLAFTPLTALFLSERAPLNFPGLSIMCIGFASMVSFVQSMMVRTVPPILS >OIW15514 pep chromosome:LupAngTanjil_v1.0:LG03:6157406:6158448:-1 gene:TanjilG_27365 transcript:OIW15514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKFISLAFDLTRNKDLAPERCTTTCLKRNYAMPFASMSLGKSSTQLNTTVSSPLFTDNEARLSLNTPLSQRFSKIHGQAYIYHNSGFRIPANAERPEWWWRTLSCIPYLIAMHMSATGYYLGPLMEKFPLFENLVYYIPGAVNRLPTWFPILYCYLAIVFVVKNRKFPLLFRFHLMMGMLLEIAQQIVWVSSNFMPLIHFKGTLGVYYWAGVAVTYILVMMQCIRCALLGTFVKIPMVSESAFVHSIFGVK >OIW14886 pep chromosome:LupAngTanjil_v1.0:LG03:24265208:24267120:-1 gene:TanjilG_30605 transcript:OIW14886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRAGRRNFFSWVDHPYLAADAVENGWSRFSFTTYDSYIPSVSKRSRLLGVCAAQAPVSGYYGRESEAEISWEIYQGSSEFMQKVRMNYGLKKSHQSKNNTSFMNVDSVIRTVLPLPGPALGNYAFPQEAYFEITILDSCVDEYESVGKSREGEKTKLLLIQGKSNSKENSDDMVHVTSNNNNNKINSSEEMKVESKESRKSESVMFSLGLTSGDSVPLRVPGSYPGSIGFNSNGSVYLDGMKLVFESEKPEWVGTDRVIGCGFDPRQKRVFFTLDSELVHVIHCHQEEFGTPLYPTMAANINIMVLVNFGQSAFKYAPANAQRTPNPCFTAPLVNSPAATLGDDDSKELFSMRRIDSQWFNRFTTKESHDNNRAIEYDEDSEADLFEIVLDGSGKSPNTTS >OIW15866 pep chromosome:LupAngTanjil_v1.0:LG03:1208801:1214026:1 gene:TanjilG_04401 transcript:OIW15866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGRNVDPYKRVTSREYISAYDTKEDNTVRLLNSKPSWQRSFLYVLVASLSSFSYGYHLGVVNETLESISTDLGFRGSTMAEGLVVSTCLGGAFVGSLFCGWIADGVGRRRGFQLCALPMIIGASMSATSKSLWGMLLGRLFVGTGMGLSPPIASLYVAEVSPAAVRGTFGSISPIATCLGLMSALFIGLPANKIMGWWRICFWVSAIPAAALALLMEFCAESPHWLFKRGRSIEAEAAFEKLLGGIHVKSAMAELSKSEKGDESNTVKLSELLYGRYFRVMFIGCTLFALQQLSGINAVFYFSSAIFKSFDVSSQIGNTCVGICNLLGSILAMILMDKLGRKVLLLWSFLGMAVSMGLQVIAASSFASGSGAMYLSVGGMLMFVLTFSLGAGPVPGLLMSEILPGNIRAKAISLCLTIHWVVLSCVILNFEIAYAFV >OIW15515 pep chromosome:LupAngTanjil_v1.0:LG03:6180039:6196855:1 gene:TanjilG_27366 transcript:OIW15515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDQGRHVFTVDLLERYAAKGRGVITCIAAGNDVIAIGTSKGWVIRHDFGVGDSSEIDLSAGHPGEQSIHRVFVDPGGSHCIATVVGPGGAETFYTHAKWTKPRVLSRLKGLVVNAVAWNRQQITEVSTKEVMIGTENGQLYELAVDEKDKKEKYIKFLFELAELPEAFMGLQMETATIINGTRYYVMAVTPTRLYSFTGFGSLETVFSSYVDRTVHFMELPGEIPNRQVVSLFFPINNLSVPGNNHSASRGKAAELHFYIKQRRAVHFSWLSGAGIYHGGLNFGGQNSSSGGNENFIENKALLNYSKLSEGSEEVKPSSMALSEFHFLLLLGNKVKVVNRISEQIIEELQFDQTSDSASKGIIGLCSDATAGLFYAFDQNSIFQVSINDEGRDMWKVYIDMKEYAAALANCRDPFQRDQVYLVQAEAAFSTKDYFRAASFYAKINYILSFEEVTLKFISAGEQDALRTFLLRKLDNLEKDDKCQITMISTWTTELYLDKINRLLLEDDSASDSSNSEYQSIIKEFRAFLSDSKDELDEATTMKLLESYGRVEELVYFASLKGQYEIVVHHYIQQGEAKKALEVLQKPSVAIDLQYKFAPELIALDAYETVESWMATKNLNPRKLIPAMMRYSSEPHAKNETHEVIKYLEYCVHRLHNEDPGVHNLLLSLYAKQEDDSSLLRFLQCKFGKGQKDGPEFFYDPKYALRLCLKEKRMRACVHIYSMMSMHEEAVALALQVDPELAMAEADKVEDDEDLRKKLWLMIAKHVVEQEKGTKRENIRKAIAFLKETDGLLKIEDILPFFPDFALIDDFKEAICSSLEDYNKQIEQLKEEMNDATHGADNIRNDISALAQRCTVIERDEECGVCRRKILTAGREFGMDRGYTSVGPMAPFYVFPCGHSFHAQCLIAHVTRCTVESHAEHILDLQKQLTLSGSEARRESNGTLSSEESIPSMTNVDKLRSQLDDAIASECPFCGDLMIREISLPFILLEENQHVLSWEIKPNVGSQRNISLPA >OIW15190 pep chromosome:LupAngTanjil_v1.0:LG03:18172790:18173057:1 gene:TanjilG_31653 transcript:OIW15190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDDSDIDDEGDSDEDESRDDEETLVKKVDQGKKRPNGCTSKNLVSIRKAKNATLEIIG >OIW15211 pep chromosome:LupAngTanjil_v1.0:LG03:17079485:17082705:-1 gene:TanjilG_08803 transcript:OIW15211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGILMQKENLDYVLVPLGLMVYLIYHIWLLYTIKCNPLGTVIGLNAESRHQWVLAMISDPLKNGVLAIQTIRNNIMASTLLATTAITLSSLIGIFASGSWNSDDTSSSTKRISITMCFLVAFLCNVQSIRYYAHVSFLITAPTLRDKTKYMEHIAKTLNRGSYAWSLGLRAFYLSFSFFLWIYGAMPMFGCCCLTSLILYFLDTTAKITRDLHSDPFKKESGTINHNAEAAVENDYYPLVDNNVSQNTSVDHV >OIW15076 pep chromosome:LupAngTanjil_v1.0:LG03:21419830:21424074:-1 gene:TanjilG_08563 transcript:OIW15076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSDEDNELLFPPNPNNATNYIEEEYQNDDDDEEGNDDDEEDDVVSHYQNHRNPQPSLSQLRKQHFKLETLTQRLLSELDPIRVHNVLINGNTKTKDWIIEAELNAIHNATTVQDLLRALEIALSRLQSLEVFESSKVRLEPGPPELPNTTNVVIDVVETVSKVSGEFGVFTKLGTSSWTAEGTLKYKNLLGYGDLWDASAAYGANQATELSVGVYAPRLKGSLTPLVARLSILSQDWQEFSSYKEQLLGMSLGLISTKHHDLAYTLGWRTLTDPSQMSSRSIRRQLGHGLLSSLKYTYKVDRRNSPIRPTKGHAFLSTTHFGGLTPDHRSLRFLRQEFDVRYAVPFGFYNTALNFGISAGAVFPWGRGFMDKPSPLPERFYLGGDFSPVCTLGGPMKSWGFKTRELGPTEPQRKGRDGIFDGYDDSSRWDSIGGDLAVTAFADLSFDIPIRWLREYGIHGHVFAGAGNTTKLTQNEHKNFSPRKFLDSCQTSVGCGFVIPTRLFRLEGNYYYILKQNGHDHGKTGFRFGFSAPS >OIW14474 pep chromosome:LupAngTanjil_v1.0:LG03:28058680:28059504:-1 gene:TanjilG_19890 transcript:OIW14474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFTQPNHFNFPQYPSPPSPSHSFYPPPPPSFRPPHPPPSHPLSPPPPPPHLYPPPSPFHPIVPPPHVFPPPAPVPVPPSPSPNNHPIVIVVVFVSLGGLVLLSLLTFALCCSIKKSKEKKTRETDIIRVDEHKEIKETIVTDPFGKQAVVLSVEDDVHIEEEIGKNEEKVGHSLHAESHQGNSKSKKNKSQEMDIIHVDEHKKIKETIVADPFGQKEVVLSIQDDLHIEEEKTKNYEKFDQNLHATEDQGNSSSTIVGTTSSDHEHQQIENKV >OIW15511 pep chromosome:LupAngTanjil_v1.0:LG03:6128068:6132689:-1 gene:TanjilG_27362 transcript:OIW15511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNTVPHVQKQLPLISLHDTPLEACPHITTKGPHSAPLLPNSREVPKLHFSHPSPAVPHEDGLGIMGQHGSTFPPLMGHLPSPAQTPNLEDKVTLEEVGNDKGQEQKKSVDQSILLCCKFFISEARNIATLDAVERAARLNPESVIVNKFHDRAYNRARYTLVSYVLHDCTGNAIYSPLQQTVVAMAEAAFNAINLELHDGAHPRLGAVDDIVFHPLGRASLDEAAWLAKAVAADIGNRFNVPVFLYAAAHPSGKELDMIRRELGYYRPNFMGNQWAGWNMSDVLPQNPDEGPRIVSRAKGISMIGARPWVTLYNIPILSTDVSAARRIARKVSARGGGLPTVQTLGLVHGEDSTEIACMLLEPNQIGVDKVQNRVEMLAAKEGLDVEEGYFTDFSPEMIVEEYMNLISARRS >OIW14954 pep chromosome:LupAngTanjil_v1.0:LG03:23648475:23656900:-1 gene:TanjilG_30673 transcript:OIW14954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLFSSTMASHLFNLSLSSQPKLSFNHTPIPHFHFITILSSKRCRITNRNYLRRRFLRPHFSVSNSSQTQTSSPVLLDVTGMMCGACVSRVKNILSADDRVDSVVVNMLTETAAVKLKRNEEEVEGVAEGLARRLSDCGFPTKRRASGLGVAENVKKWKELVKKKEELVVKSRNRVAFAWTLVALCCGSHASHIFHSLGIHIAHGPIWEILHSSYFKGGLALGALLGPGRDLLLDGLNAFKKGSPNMNSLVGFGSIAAFVISLISLLNPGLAWDASFFDEPVMLLGFVLLGRSLEEKARIQASSDMNELLSLISTQSRLVITSSEGSSSSDSVLSSNSICVEVPTDDIRVGDSVLVLPGETIPIDGRIVSGRSVVDESMLTGESLPVFKEAGLTVSAGTINWDGPLRIEASSTGSNTMISKIVRMVEDAQSREAPVQRLADSIAGPFVYSVMTLSAATFAFWYFIGSNIFPDVLLNDIAGPEGDPLLLSLKLSVDVLVVSCPCALGLATPTAILVGTSLGARKGLLIRGGDVLERLANVNYIALDKTGTLTKGKPVVSAIGSIHYGESEILQIAAAVEKTASHPIAKAIVNKAESLELILPLTRGQLVEPGFGTLAEIDGRLVAVGSLQWVNERFQTRVDPSDLMNLEHTLMNHSSNMTSSNYSKTVVYVGREGEGIIGAIAISDIVREDAESTVTRLKQKGIKMVLLSGDREEAVATIAETVGIESDFVKASLSPQQKSKFISSLKAAGHRVAMVGDGINDAPSLAVADVGIALQNEAQENAASDAASIILLGNKVSQIVDALDLAQTTMAKVYQNLSWAVAYNVVAIPIAAGVLLPHYEFAMTPSLSGGMMALSSIFVVSNSLLLQLHGSKTTTKPSL >OIW14586 pep chromosome:LupAngTanjil_v1.0:LG03:26618015:26622512:1 gene:TanjilG_32928 transcript:OIW14586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLFRELSLGHSKRDTTPPPPPIIPPPRPAADNLPSPFGQISINLTDYQLTLTAYEIFVAACRTSSGKPLTFVPNSHSAESLSHHSADSFAYQRSLTYTAASKVKKVFGLKSPGSDGSGQGKPKRPLTVGELMRNQMRVSEAMDSRVRRALLRISAGQTGRRIESMVVPLELLQQLKVSDFVDEQEYDEWQKRTLKVLEAGLILHPRLPLDKSNSAAQRLRQIIHGALDRPIETGRNNESMQVLRSAVKSLANRSPDGSLSDSCHWADGIPLNLRLYETLLQSCFDANDESSIIREFDELMEQIKKTWEILGLNQTLHNLCFTWVLFHQFVATGQMDLELLSAADGQLAEVAKDAKATKDSEYSKILSSTLTSIMGWAEKRLLAYHETFDRTNVETMEGIVSLGVSAAKILVEDISNEYRRRRKTEVNVARERIETYIRSSLRTAFAQASSFHLPLVMEKADSSRRASKNQPNALPLLAIFAKDVGSLAVNEKQVFSPILKRWHPLAAGLAMATLHVCFGNELKQFISGITELTPDAVQVLRAADQLEKDLVQIAVEDSVDSDDGGKAIIREMPPYEAEAVIANLVKIWIETRLDRLKECIDRHLQQELWSPQTNQERCAASAVEILRYVNETLDAFFQLPIPMHPALLPEVINGLDRCLQYYIAKAKSSCGSRNTFLPTMPALTRCTVGSKFQGFGKKRDKFTSSQKRNPQVATNGDNSFGIPQLCVRINTLQWMLGEFDVLEKRIITLLRNSESAHVEDFSNGLAKKFELSPAACLEGIQKLCEAAAYRIVFHDLSHTLWDGLYVGDPSSSRIDPFVEELERNLLFVSDTIHEKVCTRIITEIMRASFDGFLLVLLAGGPSRAFTRKDSQIIEDDFKALKEMFWANGDGLPTELIDKFSTSVRSVLPLFRIETGSIIEQFRHLTLERYKSNARSRLPLPPTSGQWDPSEPNTLLRVLCYRNDEPASKFLKKTYDLPKKL >OIW15895 pep chromosome:LupAngTanjil_v1.0:LG03:1402170:1405316:-1 gene:TanjilG_04430 transcript:OIW15895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGIDASEGTLVWVRRRNGSWWPGRIMGMHEVSDTCLVSPRSATPVKLLGRHDATVDWYNLEKSKRVKAFRCGEYDEWIEKAKVSAATLSKKAVKYARREDAILHALQLENAHLGKESLDLCSQPSKLGCEHGASAGESAVASRDENVVGELSDSEDNSNSAPELPQSGLSFEEPNRSGYAKVRRSMQTRRRRTPNDSEDDGTEGVKRMRGLEDLGIGAVSKRKVQGVGLPKIVQQDSTLLSISNTGNCLENGTLVNGGKGHCSTLKKKRSQLANVHEILRRKNRRRQLTKVLESTVAMVSLPLICDQLPCSRSSPMCGVTNNKALKLDSNVSKRSDPAAIHNSDTTVAACENGTCQISHTLKDIEASGISGLLGNNSSDKLVDRPFVRVIGEEKHAAAMPLVLMKFLLPGVIPTLPSCSSGKPQVDALEQQSCDVSHSEALSLGKECQKTSCRSSAVDHNIVGHRTERDSSKWQSKGKRNSRHTSKNRKESLRKHVDTNGESNAYLAGIENSDGFSQGVSQKVDRKLVGAPNASYNFTSQVRYNSLAEGQIDGFRDLSKHIRGTIEVKHFPDGSFTPQRSLPYRHSRFTVNSRHQTVDSPGRNCCSDASLYDIKIEVKSSYRPQRVPLVSLVSKLNGKAFIGHPLTVEVLEDGHYNSLLGNVECDLEDGDTHCMIKPNSVAGSISSKNLSRFSHSKSSKRKKPGLLNKKVRRLSSLTGHRQSKEVRKSKVNKHKGPVIACIPLKLVFSRINEAVSGSVQPTHHVLPTSNPRLEPPGNNKRFR >OIW15645 pep chromosome:LupAngTanjil_v1.0:LG03:4671954:4673782:1 gene:TanjilG_08221 transcript:OIW15645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQNSQGIYAPKHNNKVVVLWDLDNKPPRGPPYPAAVSLKNIASFFGEIVDISAYANRHAFIHLPHWVIQERRDRKQLDIMERKGVVNPTEPYVCSVCGRKCKTNLDLKKHFKQLHERERQKKLSRMRSLKGKKRQRYKERFVSGNHKYNEAARSLLKPKVGYGLASELRRAGVYVKTVEDKPQAADWALKKQMQHSMSRGIDWLVLVSDDSDFSDMLKRAREASLGTVVVGDWDRALGRHADLWVPWIDVENGTVSEMDLMPKKRDRRRSEGFVEEDGLFTVSNFNGDVDGVENLDEIMDDFVGMRTKFNDLIIEDDGEEWEEQEDYMSESSDDEYTEDDNGFY >OIW15291 pep chromosome:LupAngTanjil_v1.0:LG03:13844541:13846319:1 gene:TanjilG_10731 transcript:OIW15291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPSPPLPQHISHTKPLSRLNSYVAKTRAGKYFKLNQRNSTFTTELRAGTATFLTMAYILAVNASILTDSGGTCSISDCIPLCSDASIPIANCTGPTLHVTQPDISCKFDPVNPGYAACLEKTRKDLIVATVASSLIGCVIMGAFANLPLGLAPGMGTNAYFAYTVVGFHGSGNVSYQSALAAVFIEGLFFLLISAVGFRAKLAKLIPKPVRVSSSAGIGLFLAFIGLQNNQGIGLVGYSSSTLLTLAGCPSSSRASLAPVITAVNGTVNLLPGGTVSGDIFCVRDRMESPTLWLGLVGFIIIAYCLVKNVKGAMIYGIVFVTAVSWFRNTKVTVFPNTDAGDSAHEYFKKVVDVHTIKSTAGALSFKKIGTGHFWEALVTFLYVDILDTTGTLYSMARFAGFADEKGDFEGQYFAFMSDATSIVVGSLLGTSPVTAFIESSTGIREGGRTGITALTVAMYFLLAFFFTPLLASIPAWAVGPPLILVGVLMMRSVVEIDWEDMRQAIPAFVTLLLTPLTYSIAYGLIGGIGTYIVLNLWDWVCDFLGHYRVVGTTRGVPNGSHDVGVIGVALQHQEPPPQSEPVKALQVKV >OIW14630 pep chromosome:LupAngTanjil_v1.0:LG03:26231888:26247835:1 gene:TanjilG_32972 transcript:OIW14630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPSHAQAVKSLNKSTGRRRFIFKSFNDRVNDIDINVYRSLDKLKPVPSDGSSFFRDCLMEWRELNTAEDFISLYEEIMPCTQTLPLVLFHKESLITKLLSRLNMKARLSVDAILRLIAALSRDLLDEFVPLFPRIVDSLVSLLESGGDREPDIIEQIFTSWSCVMMYLQKYLIDDPSVVLKDTEKLRYYPKKYVQQFMAEAMSYVLRNVPKDEQLERGIAIVMDEAVKDPSSRIESVAELLYNIMKDSCTILKVVKSVFKKLCQTMEPKELNLVWDCLYEEVSKGVSTKNMQHLRCILSVLVSAVKVQNGQKVSDYEPLLELVREIVQTFITPGGIESQKDSHLVIDKILKLMSAILNGLCSCSKFMISQCALQWAPVFKLRSSSLVHFIRELLEKEFCLLSFRSNIISAINDLFEITEEEAIHLLQLFFEKIQNSACVDGTSAEPLASIHNHLKGTILQIKNDIERSDVSCQIDEGKLAVLWGAVSCYSHRSIVESDSSLLVDLMHTVDKHLIDNADDIADTSKKTWESIIGAALSSYNRLYRDDKCKDDETGTFLSLGKRYKSSPQVLLAIADYLELKYGSCLEDTGCCRMYHPELEERVADTMATFADNLHHLDKEIRISTLKILCHFKLLDGDNSSLDQPSKKKRKTAISSASNVDSTGNNVLLLLLSIETTPISISVDISIKRLISKIQTDLTSGRIPSVYVTLVLNGLFGILNNRFSLLWEPVLECIAVLIRLHFSPVWNKFILYLGRCQSILQTSCELHDSVNGPLLHQPTGLRDCFKSFVSHASDSTPSVTVLTLLLKALQKVPTLIEPHSQQFITLFFKFLGYDTDDHESLIMFSSEKRSCSVGLFDSQTCKGKEWKLVLKEWLNLLKLMKNPNSFYRSQFLKEVLQNRLLEENDPEIQMRVLDCLLIWKDDYLIPYNEHLRNLISSKNLREELTTWSLSRESNIIEECHRAYVVPLVIRLLMPKVRKLKGLASRKKASICHRRAILSFIAGLDVNELPLFFALLIKPLQIVKETDGTTNLFWALPRGSISEFQASSLLECFTLDNIETLSWKKKYGFLHVVEEIVGVFDEQHVRPFLDLLVGCVVRMLESCSSSLDNTQLNATDQSNNSSTKSISLNGNLKQLKDMRSMCLKIVSLVLSKYEDHEFDADLWDRFFSAVKALIDKFKQEAASSEKPSSLLSCFVAMSANQKLAVLLCREESLVPDIFSIISVKSASEAVIFFVLKFIENLLDVDNQLDNEDSPVQRVLHSNIKSLMASMCCLFGSDSAAKRKLIKSPGETLIRIFKFLPNYVKEAELANHFVDILLLFFDKKTPNSDICIEALQVIQNIIPILGHGSTTKILSAVSPLYISSEADMRLRICDLLDALVATDASVLRVAKLLRQLNATTSLGWLDHDTILNAYSSINTDFFRTVQVEHALLLLSHCVHDMSSEETTFMRSAYSSLLSFVEFSAVILRQDGNSEQELSIIKNTDDCWTKSCVQRIAKKFLLKHLADAVDGSLSVIKGWTRLLHQMVLKLPGVSNLKSLTVLCNEDSEVNFFDNIADSVIRKRVKALALFRNIISTNKLSEFITEKVFMRLYFNMLFDEKEAKAEHLKNACVETIASVAGQMGWKSYYGLLIRCFKGMSTAPDKQKVFIRLICSILDKFHFSELSYTEGPKQTLGSVSDMGISETASAILRRCDTFDVNTEIQTCLQKVVLPKMQKLLDSDSERVNVNISLAALKLLKLLPEAVMDLYLPTIVRRISNFLKNHLESIRDEARSALATCLKELGLEYLQFIVEAMRSTLKRGYELHVLGYSLNYILSKCLSSPAPGKLDYCLDDLLPVIKKDIFGDVAEQKEVEKIASKMKETRTKKSFESLKLVAQNVTFKAMAGSDPKLLEPVKDHLQKHITPNVKAKLENMLHHIAAGIESNPSVDQTDLFTFVYGIIKDGVKDEIGWQENKVLKVEGKDKRTNAKRISRGRVVPGGLLSTHLITVFALRILHKRMKGMKQDVKDEDNLSLLDPFVKLLSDCLRSKYEDILSASLGCLTILVRLPLPSLASQAKTIKVALLDIAESSVNSSSLLMQSCLTLLTVLLRNTNITLSSDQLHLLIQLPIFLDLEKDPSLVALSLLKGIVSRRLVVPEIYDIVKRVAELMVTSQMESIRKKCSKILLQFLLDYPLREKLLQEHLNSLLSNLRRVFWSTLYEHSTGRESVLEMIHAIIVKFPRKVLDEQSETFFFHLVTCLANDKDKNVHSMSVAAIKKLIESVSPDKLKKILEYALSWYLGGKQQLWAAGAQVLELLIEVMKKGFQEHINLILPKTCHIFQSAIEVATDRQVGFSAESTVPLWKEAYYSVVLLEKIIDQFRGLCFEKDHEDIWEAICKMLLHPHTFVRDRSVRLISLYFEHVTNASRENDHSSLRSYFLMCPSRLFLIATSLCCQLKMPLIDSNLITQNIVFAICGVHSLMGKIACADPPAFWSTLDQHDKDRFLRAFDLLGSRKGRSIFISSSLTSSVYEDDEQQNDHNTQTVLVSLLLKKMGKIALQVDATQMGVVFNSFENIMSQISKDDALRYAHIVLLPLYKVCEGFAGKLVADDVKEMAEKSSRKIENVLGTENFVQIHNLIRKNLSLKRNKRKREEKLMAVINPMRNAKRKSKISAKHRANKKRKIMTLKTGRWMH >OIW15844 pep chromosome:LupAngTanjil_v1.0:LG03:1066200:1079084:1 gene:TanjilG_04379 transcript:OIW15844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKEKSVTLTALELPGRLTRSQAASCSESRQFPPLKVVTQQNQKQSKGANPKRPVSDNTRLGRKRRSVLRDVTNISCENTYSSSFNATKIQAKKSKLTMAAQLNVSIVVQSDAMEQSQIQLGSNAKGLPEIGLRSEDVTCSVNLQHNALDSQTPGISAQTLISQKKVLQIVEAKKGNLSGAPNIAKDPDVTDIDAGFEDPIFCTIYVVDIYDNLRVAELSRRPYPHFMETVQQDITQTMRGLLVDWLVEVSEEYKLVPDTLYLSIYLIDWFLSKNCIERQRLQLLGITCMLIASKYEEINAPRIEEFCLITDNTYTKEEVLKMEIQVLKSVAYQLFAPTTKTFLRRFLRAAQASYPDPIIDLECLGNYLAELTLMNYDFLNFLPSMIAASAVFLAGWTLDQSSHPWNPTLQHYTGYKASDLKSTVLALRDMQLNTDGCPLITIRTKYRQEKVQQRGSGISWLQNSSSATSIEYRRCPLYSKFPTQPADVASVEDLFEFICSGPLLDKIGVTQEKVADSIDKWLSYGRYICQLFQLNELYLTEPQKARVYHYYIPVFIWCEDQIVQHQSKFNEEDEIPPLVVYFRIPPFHDSLSPIVCFLRVFILDLVLLKDVERQPLFLLLTIFSKELAGSGISWLQNSSSATSIEYRRCPLYSKFPTQPADVASVEDLFEFICSGPLLDKIGVTQEKVADSIDKWLSYGRYICQLFQLNELYLTEPQKARVYHYYIPVFIWCEDQIVQHQSKFNEEDEIPPLVIGFSAPQGCGKTTLVFALDYLFQRTGRNSATISIDDFYLTAEGQNKLREANPGNGLLEFRGNAGSHDLALSIETLNALTKMTSEGIKMKLPRYDKSAFSGRGDRADPSTWTEVEGPLTVVLFEGWMLGFKPLPVEVVKAVDPQLETINKNLGAYYNAWDKYIKSWIVIKIKDPNCVYQWRLQAEIGMREAGKPGMSDDEVRDFVSRYLPAYNAYLPTLYSEGPSGSDPRRLLTIEIDEGRNPILAG >OIW15206 pep chromosome:LupAngTanjil_v1.0:LG03:16747880:16753814:1 gene:TanjilG_08798 transcript:OIW15206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTLVSSAGGMLAMLNEPHLSLKLHALSNLNNLVDTFWPEISTSVPLIESLYEDEEFDQHQRQLAALLVSKVFYYLGELNDSLSYALGAGPLFDVSEDSDYVHTLLAKAIDEYASLKYKAAESSDESAKVDPRLEAIVERMLDKCIIDGKYHQAMGISIECRRLDKLEEAITRSDDVKGTLSYCINVSHSFVNLREYRQEVLRLLVKVFQKLNSPDYLSICQCLMFLNEPETVSSILEKLLRSKNKDDALMAFQIAFDLVENEHQAFLLNVRDHLTSPKSQPSESAQPKPSDVDSTQNASVSGADDVQMADGDSASVIKVPEDPSEVIYAERLNKIKGILSGETSIQLTMQFLFSHNKSDLLILKTIKQSVEMRNSVCHSATIYANAIMHAGTTVDTFLRENLDWLSRATNWAKFSATAGLGVIHRGHLQQGRSLMAPYLPQGGAGGGSPYSEGGALYALGLIHANHGEGIKQFLRDSLNSTTVEVIQHGACLGLGLSSLGTADEDVYEEIKNVLYTDSAVAGEAAGISMGLLMVGTGSDKANEMLTYAHETQHEKIIRGLALGIALTVYGREEEADTLIEQMTRDQDPILRYGGMYALALAYSGTANNKAIRQLLHFAVSDVSDDVRRTAVIALGFVLYTDPEQTPRIVSLLSESYNPHVRYGAALAVGISCAGTGLSEAISLLEPLTSDVVDFVRQGALIAMAMVMVQTSEASDSRVGTFRRQLEKIILDKHEDTMSKMGAILASGILDAGGRNVTIRLLSKTKHDKITAVVGLAVFSQFWYWYPLIYFISLSFSPTAFIGLNYDLKSPKFEFLSHAKPSLFEYPKPTTVPTTTSSVKLPTAVLSTSAKAKARAKKAEDQKANAEISSVSDSTLVVPSSGKVKSSSEKDGDSMQVDGPTLEKKSEPEPSFEVLTNPARVIPAQEKFIKFLQDSRYVPIKLAPSGFVLLKDLRPTEPEVLSISDTPSSATSAGGESAARLQSSASEMAVDDEPQPPQPFEYTS >OIW15776 pep chromosome:LupAngTanjil_v1.0:LG03:595760:597646:-1 gene:TanjilG_04311 transcript:OIW15776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPGQGHAIFTAECSHTFHFHCISSNVKHGNQICPVCRAKWKEVPFQKPSSNVSSDMSRINRVSSRRDDAFATRLRRVPSRPANNVGGRQVSSLPNVPEPAIFDDDESLDQQTATPHDENEVETNHNVINTMEIRTYPEVSAVSKSVSHDNFALLIHLKAPKRNITESSSPSGQNSRAPIDLVTVLDVSGSMAGTKIALLKRAMSFVIQNLCSSDRLSVIAFSSTARRIFPLQLMTDTGRQLALQAVNSLSPNGGTNIAEGLRKGAKVFVDRRFKNPVGSIILLSDGQDTYAVNSRPDSVTDYRSLVPNSIHRNNGVGLHIPVHAFGFGVDHNATAMHSIAEISAGTFSFIEAENAIQDAFAQCIGGLLSVVVQELQVEVVCVHPKLQLCSVKAGSYQTNLTANARTASITVGDLYAEEEKDFLVTVNVPVDKSSSEISLLVVRSFYRDPMTKEMIGFGKTSEVKIQRPSVARRQVVSIEVDRQRNRLRAAEAMAEARVKAERGDLSGAVSLLENCRKALSETVSAKAGDQLCVALSAELKEMQERMANQRVYEQSGRAYVLSGLSSHSWQRATARGDSTESTSLVQAYQTPSMVDMVTRSQTLILGPPQPGNLLRPAKSFPAKHKGK >OIW15280 pep chromosome:LupAngTanjil_v1.0:LG03:14908320:14909048:1 gene:TanjilG_08077 transcript:OIW15280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKIKIFLLVLLLVLIPLSSGLAEGCMEDMDPSSTHHLLYKDGMKMNSRKLLNHEFVLDYDEAGPNPKHTKKPGKGP >OIW15621 pep chromosome:LupAngTanjil_v1.0:LG03:4390758:4390967:1 gene:TanjilG_08197 transcript:OIW15621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHQDQIDASRLLDLTEDAASGLALTEDAVSGLVHQQSALAHQGASLLAHQEQGELVHQDGSGMAHQLD >OIW15363 pep chromosome:LupAngTanjil_v1.0:LG03:13380177:13381784:-1 gene:TanjilG_26736 transcript:OIW15363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTLNQTFFLSSSLLFLIFVLSVSSFPTTFLSERILDSQEHKGRNLLQMSKGCPVNFEFSNYTIITSRCKGPAYPPKNCCAAFKDFACPYADVLNDLQNECAYTMFSYINLYGQYPPGLFAHECREGKEGLACPALPPSVSAYDTANQVIHCPSLLMMLTSCLLILLF >OIW14382 pep chromosome:LupAngTanjil_v1.0:LG03:29760245:29765741:1 gene:TanjilG_15736 transcript:OIW14382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISNIPLVPFFTIIFFILFSTVNSSDSLSFTFSDFNKDEKNLILQGDAHVTSNRVLQLTKTNSTGVAQQYSIGRVLFHDKIQLWQKSPKRLSTFETTITFNLTSPTPNDPADGFTFFLAPPKTTIPPGSDGGLLGLFDPNTALDPSKNQVVAVELDTFYFQNSNQWDPLYYHIGINVNTINSSATVKWDRKEGGIGTVHINYNADTKNLSVVSSYLGSETYHVSYVVDLRNVLPEWVRIGLSASTGQQTQVHIIKSWVFNSTLQHVKKEHGV >OIW15929 pep chromosome:LupAngTanjil_v1.0:LG03:1653449:1654535:1 gene:TanjilG_04464 transcript:OIW15929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FARPIKFALLFTFGNLLAVGSTAFLLGPTKQYRMMFDSVRIFATAIYLGCVVIALICALWIHSKLLTIIAIITEIGALIWYSLSYIPFARRMVSELMIRLCDTEL >OIW15380 pep chromosome:LupAngTanjil_v1.0:LG03:12399336:12421027:1 gene:TanjilG_24365 transcript:OIW15380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRRRTESPVFTRQWSGDSISTGSSSPAMSPAHPQSRLGPTSTVRRTQNVAAKAAAQRLARVMASQTATDDDGEDDDDDLDFRFSDRNPPAFSSFSNNGNGHRSTGASSTIRPISLARPNRSPSPALGRNILEHAPAVRSTSAGRPAVSVRSAQAVPPSISTIRTPVAVPPIDLSTNGGREKRFPSDINIKQLNSKDKGDQRQASALRDELRIAEEKRQEVEARARELEKQVASLGEGVSLEAKLLTRKEAALRQREAALKAAQQTQDVRDEEVMALHVEIQNLKDDAAAAVEQQQDAEAEAKALRTMTQRMILTQEEMEEVVLKRCWLARYWAFAVKHGICADIAQSKHEHWSSLAPLPFEVVISAGQKAKEESWNKNADDPDRSNLVRDLNDLTGEGNIESMLSVEMGLRELASLKVEDAVVLALAQHRRPNFIRQSMLDSKSPGDAKYSEAFELSEEEEEDVLFKEAWLTYFWRRALFHGVEEDIAEERLQFWIARSGQRPTSHDAVDVERGLLELRKLGIEQQLWEASRKGIDQLPSSVANHKSATDSDASS >OIW15922 pep chromosome:LupAngTanjil_v1.0:LG03:1611527:1615133:1 gene:TanjilG_04457 transcript:OIW15922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHIEEGSGGKQKMANLVPGVLLKLLQHMNTDVKVGGEHRSSLLQVVSIVPALSGGELFSNQGFYLKVSDSSHATYVSLPDEHDDLILSDKIQLGQFVFVDRLEAASPVPILHGVRPVPGRHPCVGTPEDIVATHSLGFLDNNSDNDNKNSNKGRNSFSSCSIDMDRSKSPRKVVGNRGVVGEKEKEKKVIRSLNVGGGGGSVGIKEEKSEKRSVVLAGLKSQPTKPAGAGLKVNVKKEKDSSMARLRSMNSRSIPVPLSPGSCYSLPSSFEKFANGVKQHQAKVKGVDRLTGKVGVVDTGKAVRSASPATRKIGMGNPITNLVQGIEMGAKALRKSWEGGIEVKTRETSKARAATKYDREPEVRSSTHRTSFSSERLSSKEESRIQASTKPSKEEHKNQISVKKVNANGTTEEQGKSNKQRTPIGKKSAEGSDNRFPGNMVKVSLNSRKVTDASVQWASLPSSISKLGKEVMKQRDAAQMAATEAMQEAAAADSLLQCLSIYSELSNSAKEQNPQPAVEQFLTLNSSLSSTKTIAESLSKPIPDSSSPDSERSAMEEALKVKTDRQKHAASWVQAALATNLSPFAVFTKEPQSCSFPVSSNSHNQKAILGSQYMLVLHNSSEDTLSKAPGKTRPTANSKHGSQGTARRLSDVLANGHKQQVQQLPEWIKGNGLDEVVNLAEILQLQSRDWFLGFVERFLDTDGDTTLSDNGQIAGMLTQLKSVNDWLDEIGSNKEDDEESCQISAETIERLRKKIYEYLLTHVESAAAALGAGSQPSPQIKTKR >OIW15265 pep chromosome:LupAngTanjil_v1.0:LG03:15290563:15293799:1 gene:TanjilG_16515 transcript:OIW15265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHRNTNKITLVLVYAILEWILITLLLLNSLFSYLIIKYADFFGLKRPCIWCTRIDHIIEAGKNKNPCRDIVCEAHANEISKLGFCSKHLKLAESQDMCDDCSSSSSQQGYVDLSESFGFFPWMNQIGMNMVKDDDDDEPLKCSCCGVNLETRLYPPCFLIKPSSRNILEYPLKQNLITESGVDAEIDESDHRRSNFVLDHDGDKHDSEENRGNKMVFEVDHGREEGAGESCGCSVCDGIKKTLDDEICKLDLGVEKGKENMKDKTFNVPKDDDDDEPCDQNTAQVDFNGEMIQEIPNIHLEFFIHGDDCRLVPVELVESSATENVEKHRYKGEDEDFILDFDMHADAEADSVIENWHMSGVTDTEFSCQENKDEFNANEIESIQLRNREKSSEFEGEEENLDQNYHDLRFAQTAEELHKVDNVQANMERGGELCFDFSLGLSEDATQMQGEEFEAEVSIGTEIPDQDQVDEYQCQDTLFDTNRKIQDLSTSTVRFHVEYDSGQDKSEDFLEFKTMPLEVRMPTMNNHLTSSSLELHENEEEKVPDTPTSVESMHQLHKKLLLLERKESGTEDSFDGSVTSDLECGEVTIEKLKSALKSERKALSTLYAELEEERSASAIAANQTMAMINRLQEEKASMQMEALQYQRMMDEQSEYDQEALQILNELMIKREKEKQQLEKELDIYRKKVHEYEVREKMIMSRRDGSSIRSRNSSSPSCSNAEESDGLSIDLNHEANEENGFYSHQECSNQNTPVDAVMYLEESLENFEEERLSILERIKVLEEKLVILNYEEEHHYDDVKSMEHLPEENGNGYHDHGNGKENGYANGHANGINGMHHEGSTIIGTKPKRLLPLFNAISTEEAEDMELSEDENELEFSPLQNGSSEKFHLDKKKVDLEEEVGHVYERLQVLEADREFLKHCFSSLRKGDKGLDILQEILQHLHDLRNVELRARNMGYLAV >OIW15423 pep chromosome:LupAngTanjil_v1.0:LG03:10502808:10505632:1 gene:TanjilG_12277 transcript:OIW15423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSVLLSAIGSVVPRGGLPVLLLLFLAYFTSTSTAYDALDPTGNITLKWDVISWTPDGYVAVVTMFNFQQYRHIQEPGWSLGWTWAKKEVIWNMMGAQTTEQGDCSKFKAGIPHCCKKDPTVVDLLPGTPYNQQIANCCKGGVLNSWAQDPNNAVSSFQISVGSAGTTNKTVKLPKNFTLKAPGPGYTCGPAKIVRPTQYITSDKRRTTQALMTWNVTCSYSQFLAQKTPSCCVSLSSFYNDTIVNCPTCTCGCQNKTAHGSCVNPDSPYLSSVVSESGKAINTPLVQCTSHMCPIRIHWHVKLNYKEYWRIKITITNFNYRMNYSQWNLVVQHPNFDNITENFSFNYKSLSPYEGLNDTAMLWGVKFYNDFLSSAGPLGNVQSEILFRKDKSTFTFDKGWAFPRRIYFNGENCVMPPPDSYPWLPNASSKLVFSLLTTVIATLASLFILLN >OIW15209 pep chromosome:LupAngTanjil_v1.0:LG03:16977269:16978392:-1 gene:TanjilG_08801 transcript:OIW15209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSLCTITDLVRENELLESAHQPWTLFVGKRFPANRRSQKITIASEHSGQYFDESSVFNVSCNKFSRSTIVVSFEASLLFQLQEVPQIHHCCKMCLTLSKSWCRLAQSDDLMPWPGKFLCSLAWSGKFCPVSVTILI >OIW14386 pep chromosome:LupAngTanjil_v1.0:LG03:29710297:29713898:-1 gene:TanjilG_15740 transcript:OIW14386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLLSIPSLSLQYNDKLKVGGNSLRFSKEQSNTFSNAKSSGRISMVAAVNVSRFEGIPMAPPDPILGVSEAFRADTSDAKLNLGVGAYRTEELQPYVLKVVNKAENLMLERGENKEYLAIEGLAAFNKATAELLLGADNPAIKQQRVATVQGLSGTGSLRLGAALIERYFPGAKVLISAPTWGNHKNIFNDARVPWSEYRYYDPKTVGLDFEGMIEDIKAAPEGTFVLLHGCAHNPTGIDPTPEQWEKIADVIQEKNHIPFFDVAYQGFASGSLDEDAASVRLFVARGLEVLVAQSYSKNLGLYAERIGAINVISSSPESAARVKSQLKRIARPMYSNPPVHGARIVADIVGNPALFDEWKVEMEMMAGRIKNVRQQLYDSISSKDKSGKDWSFILKQIGMFSYTGLNKNQSDNMTNKWHVYMTKDGRISLAGLSLAKCEYLADAIIDSFHYVS >OIW14504 pep chromosome:LupAngTanjil_v1.0:LG03:27683106:27685516:1 gene:TanjilG_12097 transcript:OIW14504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEKVGVNNNIHNQTFDVSVDVHQQGASKCFDDDGRLKRTGTVWTASAHIITAVIGSGVLSLAWAIAQLGWIAGPAVMILFSLVTYYTSTLLSACYRNGDPVSGKRNYTYMDAVHSNLGGFKVKLCGLVQYVNLFGVAIGYTIASSISMMAIKRSNCFYKSGGKNPCKMNSNIYMISFGVAEIIFSQIPDFDQLWWLSLVAAVMSFTYSTIGLGLGIGKVIENKGFKGSLTGITVGTVTETEKVWRSFQALGDIAFAYSYSMILIEIQDTVKSPPSESKTMKKATLVSVIVTTLFYMLCGCFGYAAFGDASPGNLLTGFGFYNPYWLLDIANAAIVIHLVGAYQVYCQPLFAFIEKNASKRFPNSDFINKDIEVPIPGFIHPYRLNLFRSIWRTIFVIITTVISMLLPFFNDIVGLLGSLGFWPLTVYFPVEMYIIQKRIPKWSTKWICLHMLSIACLIISIAAAAGSIAGVVSDLKSYKPFSTEY >OIW14427 pep chromosome:LupAngTanjil_v1.0:LG03:29236622:29238632:-1 gene:TanjilG_20873 transcript:OIW14427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESPVDRVENSVSGVDQYIPSTSGMKPVIQHQNGYVVSGKSEYVSLNKTVQTKHRINQPSEDSKNCEIESDNGVLGSSKGVNDRTKSCYQSEIICSPSPPNSFYSATVYSEAKQSFTNTVASEYVTVDKSVESGEVTNSCDFNNESSVCRGSTGSDVSDESSTSSFSNAMYKPHKANDIRWEAIQAIRVRDGMMEMRHFRLLKKLGCGDIGSVYLAELNGTRTCFAMKVMNKTELASRKKLVRAQTEREILQSLDHPFLPTLYTHFETDTFSCLVMEVCPGGDLHALRQRQPGKYFSEHAARFYVAEVLLALEYLHMLGIIYRDLKPENVLVREDGHIMLSDFDLSLRCVVSPTMVKSSHAFMETKSSGGYCIRPACIEPTCVIQPDCIKPSCFTPRFLSSKSKKKEKKSKPQNDMHNQVIPLPELLAEPTNARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGRTPFKGSANRATLFNVVGQPLRFPESPTVSFAARDLIRGLLVKEPQHRLAYRRGATEIKQHPFFQNVNWALIRCANPPEVPRQAMKTAALTAEKVPPGVNPCGDYLDIDFF >OIW16030 pep chromosome:LupAngTanjil_v1.0:LG03:2525534:2545954:1 gene:TanjilG_04565 transcript:OIW16030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQQKIVIKLQMGSDRCRNKALTIAAEIKDKFLNMLCTKFQMMQQKIVIKLQMGSDRCRNKALTIAAEIKDKLLNILYTKCQMMQQKIVIKLQMDCEKCRNKALKTAAEIKGVTAVSLDGPEKDRVVVTGDNVDTVCLANRLKKKFRSIMVFSVEEVKIPTPEEKKKEEEKKKEEEKKKEDEKKKEEEKKRLQCCAALCHLPPSCTKCHITTCQECKGKCDHHDPCSKCQSAKCGGNCVTIACFKCNSPKCHGQCTLSGKAGSSPPSFNQCPPWCSCPRCYIPYYAPCPPCPPYYYRVVYDSCPNDSCSIM >OIW15740 pep chromosome:LupAngTanjil_v1.0:LG03:327134:328228:-1 gene:TanjilG_04275 transcript:OIW15740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLGEHNRSNPTIHVPPWQDPTDDIYSSCFTNDVTDGNAAAADYSPYYMQEALTALRRYLPSNEADSHFDSEIPGRESDAPVDAYSCDQFRIYEFKIRKCARGRSHDWTECPYAHPGEKARRRDPRKFHYSGTACPDFRKGNCKKGDACEHAHGVFECWLHPARYRTQPCKDGTSCRRRVCFFAHTPEQLRIVPNHSPRSIDSYDGSPMRNGTLTFMSSPISISPPVSPPSESPPISPLTRSSMNDMVASLRNLQLGKVKSMPSKRNVIPIGSPGFGSPRVSLLRAGFLSLPSTPTQVPGRCGVSHFDQWDQGRGEEPVMERVESGKGIRAKMFEKLSKENSLDGSGQVSDGAPDVEWVSQLLD >OIW15883 pep chromosome:LupAngTanjil_v1.0:LG03:1324127:1326225:1 gene:TanjilG_04418 transcript:OIW15883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSEPAFVEINVPHQKHFNEFQFPSVLSLASSHALPLTRAVKEHKTFLESLLLKSGAVLFRGFQVNTASEFNDVVESFEYEELPYVGGAAPRTNVVGRVFTANESPPDQKIPFHHEMAQVPEFPSKLFFYCEVEPGSGGETPIVLSHVVYERMKEKYPEFVERLEKYGLLYIRVLGEDDNPSSPIGRGWKSTFLTTDKSVAEQRAKKLGMELEWLDDGVKTIMGPIPAVKYDERRQRKIWFNSMVAAYTGWKDEKNDPVKAVTFGDGEPLPADIVYDCLRILEEECVAIPWQKGDVLLLDNWAVLHSRRSFDPPRRVLASLVK >OIW14473 pep chromosome:LupAngTanjil_v1.0:LG03:28055026:28058014:1 gene:TanjilG_19889 transcript:OIW14473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTVGEVIKCKAAIAWEAGKPLVIEEVEVAPPQAGEVRLKILFTSLCHTDVYFWEAKGQTPLFPRIFGHEAGGIVESVGEGVTHLKPGDHALPVFTGECGECPHCKSEESNMCDLLRINTDRGVMLNDGKSRFTVKGQPVHHFVGTSTFSEYTVVHAGCVAKINPEAPLDKVCVLSCGICTGLGATINVAKPKPGSSVAIFGLGAVGLAAAEGARISGAKRIIGVDLVSSRFEEAKKFGVNEFVNPKEHSKPVQEVIAEMTNGGVDRAIECTGSIQAMISAFECVHDGWGVAVLVGVPSKDVEFKTHPVNFLNERTLKGTFYGNYKPRTHLPGVVEKYMRGELEIEKFITHTVPFSEINKAFDLMLKGESIRCIIRMEE >OIW15005 pep chromosome:LupAngTanjil_v1.0:LG03:23159067:23160716:1 gene:TanjilG_28264 transcript:OIW15005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHELWPLDPVDPKKAKFPCCLVWNPLPVVSWLAPFIGHVGLCREDGVVLDFSGSNFVNVDEFAFGAVARYVQLDRRQCCFPPNLSAHTCKHSYRHTENGTAITWDDALQSSMRYFDNKTYNLFTCNCHSFVANCLNRMCYGGSMNWNMVNVGALILFKGHWVDFMSVVRSFLPFVVVVCIGVFMVGWPFLLGLLSFSLLLMGWFLVGTYLIRNLLE >OIW15181 pep chromosome:LupAngTanjil_v1.0:LG03:18603119:18605077:1 gene:TanjilG_09920 transcript:OIW15181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSLKNLGNSVKGGGRKRNLVGGENEKSIEGDAEVEEVQMLGENPSDLCVVPVKECKGALAKLVDKDKSSSLLSVPIIAVDSLDWTNEAAFCIDKVSLLKPFMPKGKNSKRSIIEKESELEVVQSLSLLDPAILKVVSCSGVGALEEGGEVADHFNSDDLVEGAIAKLDGGNGPTKELGLSVKAQKAKKKCASRSSFSVGSLKIVGGKEGLKGVFVRSKFEVGASSKNLVPISAPGACRIADNPFSKRCCTKINRNQLIKVPNGIGPAGQQKLPSFKQKGNRKKKLRGSRKAFSKSQLLKKGKSNNGLIEESSLGRVEVVGDSVGFDSSISNSIGDSNGQQVNNCFLTEKGGAAGKLWKIGKSLGVSFEGDDSEMIRQDQDEDGDRMGSRMRMRIG >OIW15339 pep chromosome:LupAngTanjil_v1.0:LG03:13724142:13725549:1 gene:TanjilG_23883 transcript:OIW15339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPKQRTARVSRNPELIRGIGKYSRSKVYHKRGLWAIKAKNGGVLPRHEPKAKAAAPAEKAPKFYPADDVKKPRLNKHKPKPTKLRASITPGTVLILLAGHFKGKRVVFLKQLPSGLLLVTGPFKINGVPLRRVNQAYVIGTSTKVDVSAVNVDKFDDKYFTKDAKKKNKKGEGEFFEAEKEEKNVLPQEKKDDQKTVDSALLQAIQSVPDLKTYLGARFSLKSGQKPHELLF >OIW15952 pep chromosome:LupAngTanjil_v1.0:LG03:1847988:1848308:1 gene:TanjilG_04487 transcript:OIW15952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSVSVCFCIRGRKSKENNEEDSEKLSNAKAPHKGKVKGCCSKTPCDDGVGGNVVHGSSTIASNDAAVAAAVVSAAHLSVMSVNEGQDGSGHGHGYGGESGGNDGG >OIW15002 pep chromosome:LupAngTanjil_v1.0:LG03:23228900:23231242:1 gene:TanjilG_30721 transcript:OIW15002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVVGEVSVRLDTAEMNSHNLERRVPIELKVNGLFIKATLMVSLRLLKVGNSHDNTQGPFENLVVSEKKKRGIVGKVNCFTKLTKKNNEKFDEAEQPSPYGSDDSCNGSTTCSGSSSCSSRNRIIQHREGSRLKYGSEKLTSLVQIKTSLDTVLRNWLELSWNRRFMKWCIKTTTTKQEILTTNSGPLKKSNEVSPEFQDNNEGPSSWENKEFLSRDGEAKVKMNVFFASFDQRSEQASGESACTVLVALIADWLNSSMDMPTRAEFDNLITEGSSEWRRLCKNDYYLNLFPDKHFDLETIIEANLRPLTVIPQKSYTGFFSPEKFECLKGAMSFDEIWDEINSNEDTYNSEPRIYIVSWNDHFFVLKVEADAYYIIDSLGERLVEGCKQAFILKFDDSSVMYGKVEKKKAFEILCSGKECCKEFIKRFLAAIPLGQLEKEENKGNASNLYLHRQLQIDFHYSSSVSSSSSSSPSSLSSLLSSEASEEAK >OIW14928 pep chromosome:LupAngTanjil_v1.0:LG03:23858601:23860046:-1 gene:TanjilG_30647 transcript:OIW14928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNHISNPELRLGLGLSLHDQFSETCVKKGEYRQKKKENDPTKCNKAYPSLTLGPPKDDEANNQIATKTESDEYFLPRASSPSAVSSFSNSSSIIKRERDQFEVEEFEQEKERNQSRDVAVDEENGNPRKKLRLNKEQSEVLEDSFKEHSTLNPIQKQKLARKLNLRARQVEVWFQNRRARTKLKQTEVDLELLKQCCENLTEENKRLQKELQDLKSMKTTPYITICPSCERICGGNGSSNNGSSPTMLRKSHNHLCKSNYSFTQSSAAC >OIW15269 pep chromosome:LupAngTanjil_v1.0:LG03:15144322:15146456:-1 gene:TanjilG_16519 transcript:OIW15269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVWFIRQEVEEKANKISVFTEETVSGYDVAGVVVRVGSEINKLKVGDEVYGDINENAINHPKTIGSLAEYIVAKEKLFSHKPSNLSFVEAASLPLALVTAYQGLEKVEPYSGKSILILGGVWRSWKPCNSGFISFITIVIAKHIFSASKVAAAASTAKKDLLRKLGVDLAIDYTKVNFEEL >OIW14451 pep chromosome:LupAngTanjil_v1.0:LG03:28543157:28549616:-1 gene:TanjilG_19499 transcript:OIW14451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSKNKGHDNSVKEELDSTTLPAKSGSLIGSGNYFVVVGIGSPKRDLSLIFDTGSDLTWTQCEPCARSCYKQQDPIFDPSKSTSYSNITCTSSVCTQLTSATGNQPGCASSSNACIYGIQYGDSSFSVGYFSRERLTVTATEVVDNFLFGCGQNNQGLFGGSAGLLGLGRNPISFVEQTATKYKKLFAYCLPSTASNVGYLTFGRVADNYIKYTPLSTNSGVSSFYALDLLGIYVGGAKLSISSSTFSTGGTIIDSGTVITRLPPTAYSALRTEFQKGMSRYPKASALSILDTCYDLSGYKVFAIPKISFVFGGGVTVDLAAAGVLYVGSVKQVCLAFAPNGDDSDTTIFGNVQQKTLQVVYDAAGGNQPGCASSSNACIYGIQYGDSSFSVGYFSRERLTVTATEVVDNFLFGCGQNNQGLFGGSAGLLGLGRNPISFVEQTATKYKKLFAYCLPSTASNVGYLTFGRVADNYIKYTPLSTNSGVSSFYALDLLGIYVGGAKLSISSSTFSTGGTIIDSGTVITRLPPTAYSALRTEFQKGMSRYPKASALSILDTCYDLSGYKVFAIPKISFVFGGGVTVDLAAAGVLYVGSVKQVCLAFAPNGDDSDTTIFGNVQQKTLQVVYDAAGGKVGFGVGGCK >OIW14971 pep chromosome:LupAngTanjil_v1.0:LG03:23482867:23486698:1 gene:TanjilG_30690 transcript:OIW14971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFWRSIAFSALLALLFIHDSHCFYLPGVAPQDFNKGDLLQVKVNKLTSIKTQLPYTYYSLPYCSPPKIQDSAENLGEVLRGDRIENSLYAFKMREPQMCNVVCNRKLDAKTAKEFKEKINDEYRVNMILDNLPLVVPLKRNDQDSTVYQLGFHVGLKGQYSGSKEQKFFIHNHLAFTVKYHRDLQTDSTRIVGFEVKPFSVKHEYEGKWDEKTRLTTCDPHAKHTVLNSNTPQEVEENQEIIFTYDVDFQESDVKWASRWDAYLLMNDDQIHWFSIVNSLMIVLFLSGMVAMIMLRTLYRDISRYNELETQEEAQEETGWKLVHGDVFRPPQNSDLLCVYVGTGVQFFGMLLVTMIFAILGFLSPSNRGGLMTAMLLLWVFMGLFAGYASTRLYKMFKGSEWKKIAFRTAVFFPASVSVIFAVLNTLIWGQKSSGAVPFGTMFALIFLWFGISVPLVFVGSYVGFKKPAIENPVKTNKIPRQIPEQAWYMNPAFSVLIGGILPFGAVFIELFFILTSIWLNQFYYIFGFLFLVFIILIITCAEITVVLCYFQLCSEDYLWWWRSYLTSGSSALYLFLYATFYFFTKLEITKLVSAVLYFGYMLIASYAFFVVTGTIGFYACFWFTRLIYSSVKID >OIW14396 pep chromosome:LupAngTanjil_v1.0:LG03:29635067:29637693:-1 gene:TanjilG_15750 transcript:OIW14396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKRIHVFDAKKDVFFSVYDLGLNKEGQYYYHPEALFASVGQMGMSFGVQQPNPSCVPDEVVVEERAMNKSKKKLKIKVKNPSLRRLISGAFAGAVSRTVVAPLETIRTHLMVGTSGHSTTEVFHDIMKNDGWKGLFRGNFVNVIRVAPSKAIELFAFDTVNKSLSPKPGEQPKLHIPPSLIAGACAGVSSTICTYPLELLKTRLTVQRGVYNGLLDAFLKIVKEEGPSELYRGLAPSLIGVIPYSATNYFAYDTLRKAYRKFSKKEKIGNIETLLIGSAAGAISSTATFPLEVARKHMQVGALSGRQVYKNVIHALATILEQEGLQGLYRGLGPSCMKLVPAAGISFMCYEACKRILVQNDDEE >OIW16002 pep chromosome:LupAngTanjil_v1.0:LG03:2286163:2287386:1 gene:TanjilG_04537 transcript:OIW16002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKLGRMLNTFCISSASNTCFCLRSMDFEDEFEAKSLIASNIDHKLRLKDVVSGKQTLAFQLKPKIVVLRVSMHCYGCAKKVEKHISKLEGVSSYKVDLDSKMVVVMGDILPFEVLESVSKVKNAELWIHDDNKA >OIW14998 pep chromosome:LupAngTanjil_v1.0:LG03:23251765:23254658:1 gene:TanjilG_30717 transcript:OIW14998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFAAENGLKGDPRLEAISQAIRVVPHFPKQGIMFQDITTLLLDHKAFKHTVDIFVDRYRDMNISVVAGIEARGFMFGPSIALGIGAKFVPLRKPHKLPGEVISEKYVLEYGTDCLELHVGAVQPGERVIVIDDLIATGGTLKAAISLLERVEAEVVECACVIGVPDVKGQCRLIGKPLYVLVEPREVDECF >OIW14569 pep chromosome:LupAngTanjil_v1.0:LG03:26776418:26779656:1 gene:TanjilG_32911 transcript:OIW14569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTITQTTPHSKRQLRSRTERVPLTQLLKVSSVAGGIQFGWALQLSLLTPYVQQLGIPHAWASIIWLCGPLSGLFIQPLVGLLSDRCTSRFGRRRPFILGGAVFIIFSVLVIGHAADVGWWFGDTVNHRPWAVAVFVFGFWILDVANNVTQGPCRALLGDLTGKDHRRTRVANAYFSLFMAIGNILGYATGAYSGWYKVFPFTLTSACNISCANLKSAFFLDIGLIAVTTYISIMAAHEVPLSSTGATHDGETAGESGSTEEAFMWELFGTFRYFSAPVWTILSVTALTWIGWFPFLLFDTDWMGREIYGGEPNEGPNYDSGVRIGALGLLLNSVVLGITSLSMERLCRNRGPGFVWGISNIIMAICFVGMLVVTYVASNIGYLGTDLPPFGIVFAALLIFTILGFPLAITYSVPYALISTHIQSLGLGQGLSMGVLNLAIVIPQMIVSLGSGPWDQLFGGGNSPAFAVGAVAGLVGGLIAVFTIPRPGGQKPRSQV >OIW14627 pep chromosome:LupAngTanjil_v1.0:LG03:26262333:26263364:1 gene:TanjilG_32969 transcript:OIW14627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDSNTESERESLIPGLPDEVAELCLLHLPYPYHFLLRSVSFSWNIAISNPSFLHTKHSLSRPYLFVFAFHTPTSTVQCHALDISTARWFFLPPAPFPAAVSFPELACAALPRQGKLFVMCGTDCSNVVYSTVTNKWSSASPMPTAKSLFAAESVSGKIVTVSGSGTEIYDSESDTWRLGQRLGDELASYEMVAVNGKVYVTEGWRWPFMFGPRGWVYDYDCDTWRVMGKGMREGWTGIGVGVGGRVFVVTEYGECQVKVYDEESDTWQYVGGDRFPSDVMKRPFAVRGFEEKMYVVCGGLNVAIGSVVGNDNNNGVRMRWEVVEAPKRLGELSPWKCQVLYA >OIW15554 pep chromosome:LupAngTanjil_v1.0:LG03:5468767:5478069:1 gene:TanjilG_01077 transcript:OIW15554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIAAILTSAGINVAICVVLFSLYSVLRKQPGNVNVYFGRRLASQHSKHLDLCLERFVPSPSWIWKAWETSEDEILASGGLDAAVFVRILVFSIRIFSIAAVICTVLVLPVNYHGQERMHTDLPLESLDVFTIGNVKEEKSYCDTVKSFFSYYHSSTYLSHQMIYKSGTVQKLKDDAEHVCKVIRGASREKTCKPSFTECWCFQGTNSFEMISNEIDIGSVHERAGYTDKHLDARKKFGSQMQECPAAFVFFKSRYAALMTAQTLQTSNPMLWVTDLAPEPQDVYWSNICIPYRQLWIRKIATLVASIVFMIVFLIPVTFVQSLTQLDNLHKLLPFMTGMLKKKFVIQVVSGYLPSVILVLFLCAVPPVMMIFSAVEGSISRSGRKMSACCKVLYFTIWNVFFVNVFAGSVISQLSVFSSVRDLPAQLAKAVPSQATFFTTYVLSSGWASLAIEVMQICPLLYNLFQRVILRVKDDSEGGSLSFPYHTEIPRILLFGFLGFTCSILAPLMLPFLLFYFFLAYLVYRNQIINVYITKYDSGGQYWPIAHNTTVFSLIVAQVIALGVFGLKHSSVASGFTIPLLICTLLFHQYCRQRFLPIFRSNSAQILIDMDLRDEHSGSMGEIYEHLSSAYSQSSLVPDASNQTKCFSTHEDKRSDQSSEDIEKGKEIIQKNDTPWDDNHTLSSKEVPGAK >OIW15297 pep chromosome:LupAngTanjil_v1.0:LG03:13946674:13950510:-1 gene:TanjilG_10737 transcript:OIW15297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFPSHHRRSQSELHFRIPDDFDLELDPFDSPSLHFQHPDQPSNHDLLSAYIDSDNSGSNVQPPKPEETGDGTGNASSSKTGRAGHRRSNSDDGSLSSLMEGIEAKKAMSPDKLAELWTVDPKRAKRILANRQSASRSKERKAGYVLQLERKIQILQTEATTLSAQLNLFQRDTTGLSSENTELKLQLQAMEQQAKFCDALNEALKKEVDGLKMATGETVTHADTYGFGMHQFSYSPTLFFSHQPQQWPGRHHAMQMPQMHAPSSNMSTSHRPVLDPATPYDLSEMLSSDSIDQFQGLDISHMASHNLMHDSPSISVNKINNAF >OIW15726 pep chromosome:LupAngTanjil_v1.0:LG03:258582:259859:-1 gene:TanjilG_04261 transcript:OIW15726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKINGRGQSNRDDLLTQRCVRRQERAIRRSTYELDADDVVSFSMWVENHQSNVFFYEGFSDSDPFTLGIQTEWQLQQMIRFGNRGLLASDSRFGTNKLKYHIHSLLVFNSDKKAIPVAWIISPRCSSIDAHGWMRALFNRVHTKDPTWKLAGFILDDPLYDVPSIRGVWLKGKGQACGGEYWSVRHLAERIVCKAKFCYGRKYGILVSKRFFFVTAEWSDSV >OIW16045 pep chromosome:LupAngTanjil_v1.0:LG03:2659809:2662581:-1 gene:TanjilG_04580 transcript:OIW16045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKRNSSNDKNYQQQKDEDEEELELEQEEESNEILKRRISSHPLYGLLVETHLECLKVGDISNLDSELKINHQMQAMKKQNLGMFSQSELDLFMEAYCLALSQLKEAMEEPQKKSMTFINNMHSQLRELTMATMPTPSEPDDATSSTTTSECKFIRNPTI >OIW15439 pep chromosome:LupAngTanjil_v1.0:LG03:8919682:8921188:1 gene:TanjilG_28638 transcript:OIW15439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDQVQHPTVIGKVAGQLHLRSDIRNYDGAFRQPALYQRRQFGNYSNAAFNYPAMPTCGATTDLSSVATTASPIFVAAPAEKGNFVVDFLMGGVSAAVSKTAAAPIERIKLLIQNQDEMIKSGRLSEPYKGIGDCFKRTTAEEGAIALWRGNTANVIRYFPTQALNFAFKDYFKRLFNFKKDRDGYWKWFAGNLASGGAAGASSLLFVYSLDYARTRLANDSKAAKKGGERQFNGLIDVYKKTLATDGVAGLYRGFNISCVGIIVYRGLYFGMYDSLKPVLLTGNLQDSFFASFGLGWLITNGAGLASYPIDTVRRRMMMTSGEAVKYKSSLDAFTQILKNEGFKSLFKGAGANILRAVAGAGVLAGYDKLQVVLLGKKYGSGSG >OIW14446 pep chromosome:LupAngTanjil_v1.0:LG03:29024322:29030502:1 gene:TanjilG_15359 transcript:OIW14446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIGTKGKYRGSGAIKIDYLIHIQEIKPWPPSQTLRSSRSVLIQWENGERSSGSTNLVSPSLGSVIGDGKIEFNESFRLAVTLLRDVSVKNSDTDAFQKNCLEFNLYEPRRDKSVKGQLLGTAIIDLADCGVLKETLSISAPLNCKRNYRNTDQPLLFVKIEPVEKNRTKSSLKDRLSKEVSKDNGDSVSALTNEEYNEEAEVASFTDDDVSSHSSVAAVISSPNSTGCMPREHEENEPGGPAQNNGRYDKNHPLASETTVEKMNLMQREANEKLESSSYMSSMDVCSSVEGPVNGHASMMNTPKSSSVATRKHVSRSADFSSSSLEENSKHGSTSRDHENLGQNSGTVNCGSSEINDKLNERPGEYDNYRVKEGESDKFYYNSIEDKHGSKVLHVENQIEDESVAQSVKEQVLLSSGRISLGGSDTGMKGNIPKSERLKHVKSVRSPPETARSIGSLGSNHHAEVNKNGILGDTQNGGVNIRSIDTSNAKIYTREERNATLDRKVEHLENKIKMLEGELREAAAIEAALYSVVAEHGSSMSKVHAPARRLSRLYLHTCKENLQARRSGAAKSAISGLVLVAKACGSDVPRLAFWLSNAIVLRTIISQTTKDVEPSNPPESSMRRKSEKGNGKIAQPLIWKGFSRRRSENTEVDYAGFSNWGDSNMFISALEKLEAWIFSRIVDSIWWQSLTPHMQLVDTNVAHKDMASSSPRKSYRRVSSSCDQDQGNLSLDIWKNAFREACERLCPVRAGGHECGCLPVLPRLIMEQCVTRLDVAMFNAILRDSADDIPTDPISDPISDPKVLPISPGKSSFGAGAQLKTAIGNWSRWLTDLFGMDENDSLEDKDDDGIDNNGESQSTSFKFFSFLNALSELLMLPKDMLLIASIRKEVCPMFHAPLIKKILDGFVPDEFCPDPIPTVVFEALDSQDDLEDVQESVNTFPCIAAPIVYSPPLATSIANIIGEIGSQSQLRRSRSSIVRKSYTSDDELDELNSPLSSILFSSFSSSSVSTQPNWKSIESREESAVRYELLRDVWMNSE >OIW15303 pep chromosome:LupAngTanjil_v1.0:LG03:14125320:14128812:-1 gene:TanjilG_10743 transcript:OIW15303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAFSNMRAIFLCLLFFVLVLVVAITAVSPSLNDDVLGLIVFKADLQDPMGKLASWNEDDESACGGNWVGVKCNSRSNRVTEINLDGFLLNGKIGIGLLRLQFLRKLSLSRNNLTGGISPNIARIDSLRVIDLSDNNLSGEVSDEFFKQCGSLWTVSLARNKFSGKIPLSLGSCSALASIDLSFNMFSGLVPSGIWTLSGLRSLDLSQNMLEGEIPKGVDVMKNLRSISLAKNQFSGEVPNGFGSCLLLRSIDFSDNSLSSSIPWDLKELALCSYLSLSGNAFSGEIPEWIGEMKGLQTLDLSQNGFSGQVPDSIGNLPSLKMLNLSANGFTGNLPESMANLSNLLALDVSQNSMSGGLPLWIFKSGVEKVLVSESRPSGGMKSTFYSLAEVAIQSIQVLDLSDNAFSGEITSAIAALSSLQFLNLSNNSLGGSIPAAIGELKTLEGLDLSCNNLSGYIPWEIGGVMMLKELRLEKNSLVGKIPSSIENCSSLSTLNLSQNRLSGPIPAALAKLSNLQTVDLSLNSLTGNLPKQLANLPNLRSFNLSHNNIQGELPGGGFFNTIPTSSVAGNPSLCGAAVNRSCPTVLPKPIVLNPNTTTDSGSGSMSPTLGHRRIILSISALIAIGAAVVIVIGVIGITVLNLRVRSSAERSPAAITFSAGDEFSQSPTTDANSGKLVMFSGEPEFSSGAHALLNKDCELGRGGFGAVYQTVLRDGRSVAIKKLTVSSLVKSQEDFEREVKKLGIIRHQNLVKLEGYYWTPSLQLLIYEYVSGGSLYKHLHEGSGGNFLSWNERFNVILGTAKALAHLHHSNVIHYNIKSMNVLIDSYGEPKVCDYGLARLLPMLDRYVLSSKIQSALGYMAPEFACRTVKITEKCDIYGFGVLVLEIITGKRPVEYMEDDVVVLCDMVRGTLEEGRVEECIDEKLRGKFPAEEAISVMKLGLICTSQVPSNRPDMGEVVNILELIRCPSEGQEELG >OIW16025 pep chromosome:LupAngTanjil_v1.0:LG03:2475601:2476773:-1 gene:TanjilG_04560 transcript:OIW16025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNANLLRNVSFCARHFRFSPFNSTSSIPTLSASTRLFSSTNIPSNQPQFSNTQKKNESVDVDDISDEELKRRVAKLQEGDVDAIPSVFEAILQRYLTGKPIEDDQELMREILGKGTVSEDEDDELDSDLEGMSDTDDEDGNSDVDFGRNNVRQTNK >OIW15388 pep chromosome:LupAngTanjil_v1.0:LG03:12117201:12127313:1 gene:TanjilG_25396 transcript:OIW15388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPLQILKPPNCNGGAAVVDAPSYVSTYRVWEGSNEFLLRGRLIFGPDVKYTFITIFLIVAPIAIFSVFVARKLDNLPPNSGYSILIVVIVHTVFVLTALLLTSARDPGIVPRNAHAPEPEEYDECANIFPEQSLQRHLPRAKDSTYENIKNRYDRQVNPFNKGVGYNFKEIFCSTIPPSKNNFRSKVTIQKDPSDSSQRKGVESLRSLMRKTRGDLELGRSVYNEVYEKQNDSKDGFTSEDVVGNGSGFSDISVELIKMLHMEGGEREVASFLRDSLWERSSRRRNLSLKTDSATHTATDSATHIAIDSASGPSGDLTKVAP >OIW15234 pep chromosome:LupAngTanjil_v1.0:LG03:15861370:15864957:1 gene:TanjilG_17554 transcript:OIW15234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSYKNGFKLSVKLREPNLVPPSEETKKGIYFLSNLDQNIAVNVRTVYCFKSKENGNENAEEVMKNSLRKVLVHYYPLAGRLNISSKGKLVVDCTGEGAMFVEAEANCSMEEIGDITKTDPRTLGKLVYDIPEAKHILQMPPLVAQVTKFKCGGFALGLCMNHCMFDGIGAMEFVNSWGEEARGLPLSNPPFLERAILEARNPPKIEFLHQEFADIEDKSNTNSLYEEDEMVYKSFFFYPEKLNQLKEKAMENGVLESCTTFEVLSAFVWIARTKALKMLPDQETKLLFAVDGRAKFNPTLPKGYFGNGIVLTNSLCKAGELTKMPFSFAVKLVQEAIKMVTNNYMRSAIDYFEVTKARPSLSCTLLITTWSRLSFHTTDFGWGEPVISGPVSLPEKEVILFLSHGKERRNINVLLGLPDPVMKIFQDLMQI >OIW15706 pep chromosome:LupAngTanjil_v1.0:LG03:163075:172637:-1 gene:TanjilG_04241 transcript:OIW15706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELHSTHDSTPEGGQRLPDPPSSAAGSPLQHNPLPGPRLAPNYTLVNAISDKKEDGPGPRCGHTLTAVAPVGEPGTPGYIGPRLILFGGATALEGNSAASGTPSSAGNSGIRLAGATADVHSYDVLTNKWSRLTPFGEPPTPRAAHVATAVGTMVVIQGGIGPAGLSAEDLHVLDLTQQWPRWHRVSVQGPGPGSRYGHVMALVGQRYLMAIGGNDGKRPLADVWALDTAAKPYEWRKLEPEGEGPPPCMYATASARSDGLLLLCGGRDANSVPLASAYGLAKHRDGRWEWAIAPGVSPSSRYQHAAVFVNARLHVSGGALGGGRMVEDSSSVAVLDTAAGVWCDTKSVVTSPRTGRYSADAAGGDAAVELTRRCRHAAAAVGDLIFIYGGLRGAAINDQAGHLPGRYGFLDDRSRQTMPEAAAAADGAVILGNPVAPPLNGDIHTDISTENAMLQGSRRTSKGVEYLVEASAAEAEAISRTLAAAKARQENGEVELPDRDRGAEATPSGNEIPSLVKPDAVGTNNVIPGGVRLHHRAVVVAAETGGALGGMVRQLSIDQFENEGRRVSYGTLENATAARKLFDRQMSINSVPKKVIAHLLKPRGWKPPVRRQFFLDCNEIADLCDSVERIFSSEPTVLQLRAPIKIFGDLHGQFGDLMRLFDEYGAPSTAGDIAYIDYLFLGDYVDRGQHSLETIILLLSLKVEYPKNVHLIRGNHEAADINALFGFRIECIERMGERDGIWTWHRINRLFNWLPLAALIEKKIICMHGGIGRSINHVEQIENIQRPIPMEAGSIVLMDLLWSDPTENDSVEGLRPNARGPGLVTFGPDRVMEFCNNNDLQLIVRAHECVMDGFERFAQGHLITLFSATNYCGTANNAGAILVLGRDLVVVPKLIHPLPPASSPETSPERHIEDTWMQELNANRPPTPTRGRPQATNDKGSLAWI >OIW14822 pep chromosome:LupAngTanjil_v1.0:LG03:24663193:24669026:-1 gene:TanjilG_17047 transcript:OIW14822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVESSSKDFGMLNQGEAVAVLHPAGSAEDVARLVRTAYESGFAVSARGHGHSINGQAMIKERKLKGVVIEMGKGGHNNNNNNNNIRVCEEEMYVDVWGGELWIQVLNATLEYGLAPMSWTDYLYLSVGGTLSNAGISGQTFNHGPQITNVHQLDVVTGKGELLTCSEEQNSELFHGVLGGLGQFGVITRVRMALEPAPHRVRWIRVLYSNFSTFTKDQEFLISLHEKPASQKFDYVEGFVIVDEGLINNWRSSFFSPSNPVKIISLNVDGGALYCLEITKNYNQENADSIDEEINVLLKKLDFIATSLFTTDLPYVDFLDRVHKAELKLRSKGLWDVPHPWLNLFVPKSRISDFDKGVFKGILGNKTSGPILIYPMNKSKWDQRNSVVTPEEEVFYLVAFLRSALDSETLEYLSNQNNQILRFCNDAKIKVKQYLPHYTTQQEWMDHFGDKWTQFFHRKMQFDPRCILATGQQIFQFHPSTPTNITNYHHII >OIW14866 pep chromosome:LupAngTanjil_v1.0:LG03:24408908:24412012:-1 gene:TanjilG_30585 transcript:OIW14866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKILVTGASGYLGGRLCNSLLRQGYSVRVLIRPTSNLSDLPPPSSSASLEIVYGDVTDYASLLSAFSGCSVVFHVAALVEPWLPDPSRFFSVNVGGLKNVLAAVKETKTVEKLIYTSSFFALGPTDDGGVADENQVHHEKFFCTEYEKSKVAADKIALQAAANGFSIVLLYPGVIYGPGKVTAGNVVARIIIERFSGRLPGYIGSGNDRFSFSHVDDVVDGHIAAMKKGQIGNRYLLTGENASFKQVFDMAASITDTTKPIFSIPLWMIEAYGWLSVLFARITGKLPLISPPTVNTIRHRWEYSCEKAKQELDYSPRNLKDGLAEVLLWLKNLGLIRY >OIW15627 pep chromosome:LupAngTanjil_v1.0:LG03:4468444:4470914:-1 gene:TanjilG_08203 transcript:OIW15627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPLEALSPLMEGPDENDDQYSSSNIGEGKWGHWIKEQLTRNGSVSSSASSSSVNQKRSDLRLLLSVLGASLAPVHICNINPFPHLSIKDIPIETSSVQYILQQYIAASGGQKLQNSINNAYAMGKLKMIASEFEIANKVTHNRNSSKATESGGFVLWQMSPDMWYVELALGGSKVHAGCNGKLVWRHTPWLGAHVAKGPVRPLRRALQGLDPRTTASMFISARCIGEKKINEEDCFILKLDTDPSTLKARSEGPAEIIRHVLFGYFSQKTGLLIHLEDSHLTRIQNNGGDAVYWETTINSFLDDYRPVKGIMIAHSGQSVVTLFRFGETAMSQTKTRMEEAWTIEEMAFSVPGLSMDCFIPPSELRSASMSEAVSFLNVIE >OIW15262 pep chromosome:LupAngTanjil_v1.0:LG03:15328979:15331211:-1 gene:TanjilG_16512 transcript:OIW15262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFEGKSFGRGHKELGGAHDLISQYKLWPYYQFFCKRSHPASISETHYLRNVVGDTKIRKGEGMELDQLRRNASMREKETCLHPFDLDVLSEAFHMKEMNPIRLSSAQKGLATPVSKSVNQCRHKEDKDRKDKDKNRKGEKHTRHKPHQVKDGSCIENNRICPKDSHPSQLKIQLDKKRKAEISNDRSVSKRLSIRKLDAGL >OIW15798 pep chromosome:LupAngTanjil_v1.0:LG03:774039:784455:1 gene:TanjilG_04333 transcript:OIW15798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMSGMRGLSVFISDIRNCQNKEQERLRVDKELGNIRTRFKNEKALTPYEKKKYVWKMLYIYMLGYDVDFGHMEAVSLISAPKYPEKQVGYIVTSSLLHENHDFLRLAINTVRNDIIGRNETFQCLALTMVGNIGGREFAESLAPDVQKLLISSSCRPLVRKKAALCLLRLYRKNPDVVNVDGWADRMAQLLDERDLGVLTSSMSLLVALVSNHHEAYWSCLPKCVKILERLARNQDIPPEYTYYGIPSPWLQVKTMRALQYFPTIEDPNTRRSLFEVLQRILMGTDVVKNVNKNNASHAVLFESLALVMHLDAEKEMMSQCAALLGKFIAVREPNIRYLGLENMTRMLMVTDVQDIIKRHQAQIITSLKDPDISIRRRALDLLYGMCDISNAKDIVEELLQYLSTAEFAMREELSLKAAILAEKFAPDLSWYVDVILQLIDKAGDFVSDDIWFRVVQFVTNNEDLQPYAAAKAREYLDKPAIHETMVKVSAYILGEFGHLLARRPGCSPKEIFNIIHEKLPTVSTSTISILLSTYAKILMHTQPPDPELQNQIWAIFKKYESSIEVEIQQRAVEYFTLCRKGADLMDILAEMPKFPERQSALIRKAEDTEVDTAEQSAIKLRAQQQSQASNALAVTDQSYGNGTPTVSHLSPVKLPSTSSKVDNSLADQRLYQENGTLNKEDSVPPSEDLLSDLLGPLAIEGPPSSSVHPQRSTTSGLEDTVVDATALVPAGEQANAVQPIGNTAERFHALCVKDSGVLYEDPYIQIGIKAEWRAHHGHLVLFLGNKNTSPLVSVQAIILPPTHLKMELSLVPETIPPRAQVQCPLEVINLRPSRDVAVVDFSYKFGNDMVNVKLRLPAILNKFLQPIPVSAEEFFPQWRSLTGPPLKLQEVVRGVKPLPVLEMANLFNSFHLTVSPGLDPNPNNLVASTTFFSESTRAMLCLVILILICRLKEFIKEQLIVIPTVTHAPTQAPPGPPPLAQPASNPAALTDPGAMLAALL >OIW14548 pep chromosome:LupAngTanjil_v1.0:LG03:27009649:27022870:1 gene:TanjilG_14934 transcript:OIW14548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSFDCVSSLLCSEDRDSVFDENSSVYEDTCQHLRNHKKHHFGESNMLPLQDDECFATMVEKECQHWPGVVYLNRMYNGDLDFGARKEAVDWIEMVRAQFGFGPLCVYLSINYLDRFLSAYQLPGRDWAMQLLAVACLSLAAKIDECDVPMIVDLQFGESKFVFEAKAIQRMELLVLSTLNWRMQSITPFSFIDLFLYKINDDQSQLRSSILQSTQLILSTARGIDFLEFKPSEIAAAVAISVVGETKTIDARKAIFVLIPQAEEERVLKCVKMVHELSLNNGIYKDYSSAIVPCMSQSSIGDVNHSLQTHSSLVRRLSQERELEGHLGCVNAVAWNSKGSLLISGSDDTRINIWSYSDRKLLHSIDTGHSANIFCTKFVPETSDELVVSGAGDAEVRLFNLSCLSGRGPGNNAVVPSALYQCHTRRVKKLAVENGNPNVVWSASEDGTLRQHDFREGTSCPPAGSSHQECRNVLLDLRSGAKRSLADPPKQVLALKSCDISTTRPHLLLVGGSDAFARLYDRRMLPPLSSCRKRMSPPPCVNYFCPMHLSDCGHPSVHLTHVAFSPDGYEVLLSYSGEHVYLMDVNHAGVNEMQYTSGDVSKLMTYSPTTDGVELQPFVSNVFPNDFPVKKNIAEKHDMCKKLLKFAKKSLNKGTTPHYGIEACNEVLNGQSHVVGPALKYQCLCIRAALLLQRKWKNDAYMAIRDCYAARKIDNSSYKPLYYMSEALSQLHRHKDALDFAVASHSLAPSISEVSERVEKAKKDIASAEFEKSIKANHGASMFHTRGGRILSLNDMLYRSEANSDASQDGPISERDDSDYDEELELDFETSISGDEGHDDESNILHGSLNLRIHQRDDSGENAIANGLCESSSSSSQNNGACYQAEAVIDMRQRFVGHCNVGTDIKQASFLGQRGEYVASGSDDGRWFIWEKKTGRLIKMLNGDESVVNCIQCHPFDFVVATSGIDSTIKIWTPSAPLPSSVAGGSAGPETGDVLTAMENNQEKLSLSRDTILPFELLEPLRMHDFPDGALRLRPFECAQS >OIW14858 pep chromosome:LupAngTanjil_v1.0:LG03:24473046:24473859:-1 gene:TanjilG_30577 transcript:OIW14858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTKAKGVLVFLVIATMASGRESKTMLVGDSEGWSAGTNYTQWAIQNKPFHINDTLVFKYPAPDNSTAPMSVYLLPNMWSYTTCEFKEAKLLGSTTEGAGEGFKVKLKQRKPYYFASAEGNSYDCIAGLTKFIAVPSPRSLTHNHFSHKPSLLN >OIW15123 pep chromosome:LupAngTanjil_v1.0:LG03:22345422:22349410:-1 gene:TanjilG_08610 transcript:OIW15123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITELSFKEQAMKGVGLSLFLFILLIIVSLSSISALSTSGSTRHSMKFILGDENLGPLKNEFTQVALAPSPGTDAPASTLVLAANRTNRPDILRRFHIYRGGWDIANRHYWASVGFTGVAGFILAFLWFILFGLALMIHLCCGWGINIKGEGSNHSQRICLTLLLLFTFAAASGCIILSVGQDKFHGEAVDTLHYVVNQSDYTVKTLRNVTEYLSLAKTINVAQMLLPSDIMDGIDNLNRELNTAADTLSEKTDENAAKIRKVFNAVRLALIVISAVMLLLALIGLILSILGHQHAILIFVISGWLLVATTFILCGVFMILNNAISDTCMAMGEWVENPHAESALSNILPCVDQRTTNKTLFQSKQVVTNIASVVNTFIYNTADANPTQGSMNYYNQSGPAMPPLCYPFDSQFRERQCTVQEVSSANASSVWKKYECEVSEYGICTTIGRVTPEIYIELEAAVIASYALEHYTPPLLSLQNCNFVRDTFKEITSSYCPPLNHYLKIINAGLTLISVGVLLCLVLWKLYANRPQREEVFVKQSLAEKIKKRFNKNCNNNTNLTMPNAPSDV >OIW15204 pep chromosome:LupAngTanjil_v1.0:LG03:16658549:16689313:-1 gene:TanjilG_08796 transcript:OIW15204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLPPVQYLYVTEDSLREWKNGNPNIKLSDPVPLLRFLYELCWTVVRGELPLQKCKVALDSVRFSEKASNEKLASNFADIVTQMAQDHTMSGDFRSRLIKLARWLVDSELVPVRLLQERCEEEFLGEAELIKIKAQELKGKEVRVNTRLLYQQTKFNLLREESEGYAKLVTLLCRDSEVPTEKASTATIGIIKSLIGHFDLDPNRVFDIILECFELQPENDVFLELIPIFPKSHASQILGFKFQYYQRMEVSSSVPFGLYKLTALLVKQNFIDLDSIYAHLLPKDDEAFEHYNSFSSNRLAEANKIGKINLAATGKDLMDDEKPGDVTIDLFAALDMETDAIEERTPELQNNQPLGLLTGFLSVDDWYHAHILFDRLAPLNTVEHTQICSSLFRLIEKSISSAYDVIRQTHLQKSGSPTGGGTDAMDVDNSSGDESFIDLPKELFQMLACAGPYLYRDTMLLQKVCRVLRGYYLSALELVSRRDGGALNPHLHLKDARLRVDDALGTCLLPSLQLIPANPAVGHEIWELMNLLPYEVRYRLYGEWERDDERFPMLLAARQTAKLDTRRILKRLAKENLKQLGRMVAKLAHANPMTVLRTIVHQIEAYRDMITPVVDAFKYLTQLEYDVLEYVVIERLALGGRDKLKDDGLNLSDWLQSLASFWGHLCKKYPSMELRGLFQYLVNQLKKGQGIELVLLQELIQQMANVQYTENLTEEQLDAMAGSETLRYQATSFGVTRNNKALIKSTSRLRDSLLPKDEPKLAVPLLLLIAQYRSLVLINADAPYIKMLSEQFDRSHGTLLQYVEFLCNAVTPAINYGVLIPSLNDLVHLYHLDPEVAFLIYRPVMRLFKSQRTPVVCWPLDDKNSASDASTNIESDPADYSCSMVLDLGSAQNPSSWSYLLDTVKTMLPSKAWNSLSPDLYATFWGLTLYDLYVPRKRYESEITKLHANLKSLEELTDNSSSAITKRKKEKERVQESLDHLINELDKHEEHVASVRRRLSHEKDKWLSSCPDTLKINMEFLQRCIFPRCTFSMPDAVYCAMFVHTLHSLGTPFFNTVNHIDVLICKTLQPMICCCTEYEAGRLGRFLYETLKIAYYWKSDESIYERECGNMPGFAVYYRYPNSQRVTYGQFIKVHWKWIHRITRLLIQCLESSEYMEIRNALIMLTKISGVFPVTRKSGINLEKRVAKIKSDEREDLKVLATGVAAALAARKPSWVNDEEFGMGFLELKPTQSITKSLAGASVQSGMGLGVSQAEYSSDKHLDSGNTVKEQIVRPKTGDSKSERSERTAVTKSESVLVKLKGSSMVNGLDAQSSLPSSSVKTGVSKSTENQKQAEESINRASDEHVTRAAESRNSAKRSGPAGSVSKPSKQDLAKEDGRSGKAVARTSGSSSSDRDPQTQASNGRQTGTTNVSSLVNANGSTVAGSTKSSAPSAKMDGPGSESKVEVGAAKYFDVRTSVVKDDRNDAADLPRGSSSRVVHSGGPDMTVLTYKSSDKVQKRAESAEGPDRLGKRRKGEVDLRDVEGEVRFTEREKLVDPRLADDRSGSDELGVYRTGPLERPKEKGNERYEREHRERLDRLDKSHGDDFIAEKSRDRSIERYGRERSLERGSDRNFNRAPDKAKDERIKDDRSKLRYNDTPVEKSHVDERFHRKSLPPPPPLPPNMFPQSVDANRRDEDADRRYGATRHSQRLSPRHEEKERRRSEEIVVSHDDAKRRKEDDFRDRKREERDELSMRVDERERDREREKGNILKEELDLNAASKRRKLKREHLPAIEPGEYSPATPQPPAQGGIGMSQAYDGRDRGDKKGPMIQHISFNDEPGLRIASKLNRRDSDSMYDREWDDEKRQRADQKRRHRK >OIW15604 pep chromosome:LupAngTanjil_v1.0:LG03:4243665:4244493:-1 gene:TanjilG_08180 transcript:OIW15604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSRWAHRSPGCPLNEISPGSQCGSSDGWSMRTFSELVASSQKERWSFDSEHFGSGRRKISGTISRFSYSPSMDLQSCGACSKLLTKRSTWNRQKFVANNDLSVVAVLVCGHAYHAECLETMTLEADKYDPACPMCMIGDKHLSKLSRKAFQTESEIRAKNHRISKNRVVDSYVDGDFDVFDRQKDIERGGKVSKMEPSSSTRSSSGKALLRRHFSLGSKWNRSLSENSSARKKGFWARYRKD >OIW15503 pep chromosome:LupAngTanjil_v1.0:LG03:7942249:7965974:-1 gene:TanjilG_32907 transcript:OIW15503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTGASIDREGVSEEVNKDRIDEACGQLYVSLKMENNRKLTANLLPHVYGSLPLVGSWDPLKALPMERDSVSMWELSCVVPPNHETLDFKFLLKPKDNNAPCYVEEGPNRLLIGGALQEDARLALFRLDNGEDLEYQVFVKADRVSPFDLAASWRAYQDNFRPSSVRWMPDVSINSAPQTGGENGSSAGLELDLEHYVVPAPSTSANSALVYAANMTENPRSLISGFDSSSFSVKDGGVPAMEMEVIVPDPAKVFQSSGMVESKSVGTCSPLQKQESQRGLFVDRGVGSPRLVKSSSSNIFTTGLSLDNVTKSSMPAAAGAVAAAAVADQMLGPKEDRHLAIILVGLPARGKTFTAAKLTRYLRWLGHSTKHFNVGKYRRLKHGANQPLLPFTMASQTQASSNTRRIKDVGWKYCRPSADFFRADNPEGVEARNEVAALAFEDMISWMQEGGQVGIFDATNSYKKRRNMLMKMAEGRCKIIFLETICNDVNIIERNIRLKIQQSPDYAEEPDFEAGLQDFKIRLANYEKVYEPVEEGSYIKMIDMVSGHGGQIQVNNISGYLPGRIVFFLIWTSTLQRTILTARPIAGFPKIQWRALDEINAGVCDGMTYEEIKKNMPEEYEYVADVSRKKDKLRYRYPRGESYLDVIQRLEPVIIELERQRAPVVVISHQVVHMMLN >OIW15355 pep chromosome:LupAngTanjil_v1.0:LG03:13164734:13168438:-1 gene:TanjilG_26728 transcript:OIW15355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPATSLRSPTASQRHVGFIRRTQAPQLPPPSPEPAIKKYKMMTEIMAKARIYVVCGINILEPLGNAPPLVECFFPNFVVKDQNELPLDARKRRKRSGPRIVQKKKRRLLPFVPSEDPAQRLKQMGSLASALTALNIEFSDHLTYLPGMAPRSANEAGLESGGMQILTKEDTETMEHCKAMSKRGECPPFMVVFDSCEGYTVEADGPIKDMTFIAEYTGDVDYLKKRERDDCDSIMTLILASEPVHSLVICADKRGNIARFISGINNHTPDGKKKQNCKCLRYNVNGESRVFLVATRDIAKGERLYYDYNGYEDEYPTHHFV >OIW14952 pep chromosome:LupAngTanjil_v1.0:LG03:23671340:23671714:-1 gene:TanjilG_30671 transcript:OIW14952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSVSMALPLTTVTQNKVIQPSSGSFFKPLPIRQQKRTLISEPLSKTKGGIQASLKEKAVTVLTVASLTASMVIPEVAHAAGSDVSPSLQNFLLSIFAGGVVLGGLFGAVIGVSNFDPVKRT >OIW14631 pep chromosome:LupAngTanjil_v1.0:LG03:26223137:26229069:1 gene:TanjilG_32973 transcript:OIW14631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAISLEEIKNENVDLEHIPVDEVFEQLKCSREGLTSDEGASRLQVFGPNKLEEKKESKVLKFLGFMWNPLSWVMEAAAIMAIALANGDGRPPDWQDFVGIIVLLVINSTISFIEENNAGNAAAALMAGLAPKTKVLRDGRWSEQDAAILVPGDIISIKLGDIIPADARLLEGDALSVDQSALTGESLPATKKPHDEVFSGSTVKKGEIEAVVIATGVHTFFGKAAHLVDSTNQVGHFQKVLTAIGNFCICSIAVGIVIELIVMYPIQHRKYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLSVDKNLVEVFAKGVEKDYVILLAARASRTENQDAIDAAIVGMLADPKEARAGIREVHFLPFNPVDKRTALTYIDSDGNWHRSSKGAPEQILNLCNCKEDVRKRAHATIDKFAERGLRSLGVARQEVPERTKESPGAPWQFVGLLPLFDPPRHDSAETITRALNLGVNVKMITGDQLAIAKETGRRLGMGTNMYPSSSLLGQHKDPAIESLPVDELIEKADGFAGVFPEHKYEIVKRLQDRKHICGMTGDGVNDAPALKRADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVFGFMFIALIWRFDFAPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLKEIFATGVVLGSYMALMTVIFFWLMKDTDFFSDKFGVRSLRNNPAEMMAALYLQVSIISQALIFVTRSRSWSYVERPGFLLMGAFLIAQLVATFLAVYANWSFARIKGMGWGWAGVIWLYSLVTYVPLDILKFAIRYALSGKAWNNLLENKTAFTTKKDYGKEEREAQWATAQRTLHGLQPPETTNIFNDKNSYRELSEIAEQAKRRAEVARLRELHTLKGHVESVVKLKGLDIDTIQQHYTV >OIW14422 pep chromosome:LupAngTanjil_v1.0:LG03:29307192:29316240:1 gene:TanjilG_20868 transcript:OIW14422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFLTIFTIILSSLQFLIFPSHSAVFTVDLGSESLKVAVVNLKPGQIPISVAINEMSKRKSPALVSFNSGDRLLAEEAAGLVARYPQNVFSQIRDLVGKPYDFATKYLDSLYLPFEVERDSRGAVSFKVDNENGTVFYSPEELVAMVLSYAVSLAEFHSKVPIKDAVITVPPYFGQSERKGIIQAAKLAGINVLSLINEHSGAALQYGIDKDFSNETRHVIFYDMGSGSTYAALVYFSAYKSKEYGKPVWVNQFQVKDVRWNSELGGQSMEMRLVEYFANEFNAQVGNGIDVRKFPKSMAKLKKQVKRTKEILSANTAAPISVESLHGEIDFRSTITREKFEELCGDIWEKSLLPVKEVIENSGLSLDQIYAVELIGGATRVPKLQAKLQEFLGRKELDRHLDADEAIVLGAALHAANISDGIKLNRKLGMVDGSLYGFVYELNGPDISKDESSRQLLVPRMKKLPSKMFRSINHNKDFEVSLAYESENQLPPGVSSPQIAQYQISGLTDASEKYSSRNLSSPIKANIHFSLSRSGVLSLDRADAVIETKEWVEVPKKNLTIENSTISSNQSNEAGATNSSEESNENLQTGSGSSKTSNISTDEQNATEPATERKLKKRTFRVPLKIVEKITGPGVSLSEEFLAEAKGRLEALDKKDAERKRTAELKNNLEGYIYTTKEKIETLEEFERVSTAEERQSFIEKLDQVQDWLYMDGEDANADEFQERLDTLKAVGDPIFFRLKELTARPLEVEKAHKYLGELKQIVQEWKAKKSWLPKQRVDEVVGDAEKLKKWLDEKETQQKKASVFSTPVFTSEEVYLKVLLLQNKVSSINRIPKPKPKVQKPVKNETESGGKNMADSSSGGKNTDSSSSSSDQSANNSNGSSDETVDDQPEVHDEL >OIW15318 pep chromosome:LupAngTanjil_v1.0:LG03:14399719:14401191:-1 gene:TanjilG_10758 transcript:OIW15318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTILSSETMNIPDGVTIKVNAKVIEVEGPRGKLVRDFKHLNLDFELITDEEGKKKLKVDAWFGSRKTSASIRTALSHVENLITGVTKGYRYKMRFVYAHFPINASISGDNKAIEIRNFLGEKKVRKVDMLEGVSVVRSEKVKDELVLDGNDIELVSRSCALINQKCHVKNKDIRKFLDGIYVSEKGTIVEE >OIW14516 pep chromosome:LupAngTanjil_v1.0:LG03:27456810:27459960:-1 gene:TanjilG_04949 transcript:OIW14516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSKSGLTLGLSSHMSTPSTPSVHTVLFKHESSSSSASSSQTEESNLEQFQEDNETINFMGQSLSLKRNRETQHSYFPSKRVHVDRTIEGKRNWVQGWGNKSIGIADPEIFQIMEKEKRRQFKGIELIASENFVCKAVMEALGSPLTNKYSEGMPGAKYYTGNHYIDQIEILCCNRALEAFDLDSKKWGVNVQPYSCTSANFAVYTALLHAGGRIMGLDSPSGGHLSHGYYTMGGKKVSAASIFFETLPYKVNPQTGYIDYENLEDKALDFRPKILICGGSSYPREWDYARFRQIADKCGAILMCDMAHISGLVAAKEVVSPFDYCDIVTSTTHKSLRGPRGGIIFYRRGPKPRKQGFSLSHGDNNNYDFEDKINFAVYPSLQGGPHNNHIAALAIALKQVASPDYKAYMQQVKKNAQALASSLLKRNCRLVTDGTDNHLLLWDLTASGLIDRNYEKVCEACYITLNKCAIYGSISTGGVRIGTPAMTSRGCVEEDFETIADFLLRAAQITSIVQRDHGKSSKDFLKGLQNNKDIYELRNRVETFASQLAMPGFDI >OIW14798 pep chromosome:LupAngTanjil_v1.0:LG03:24909956:24914916:1 gene:TanjilG_05419 transcript:OIW14798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSPGVLNEPLQYPTLRRDDSVVDDYHGVKVSDPYRWLEDPDAEEVKEFVEKQVKLTDSVIKKCETRSKLSEKITKLFDHPRYDAPFRRANKYFYFHNTGLQPQNILYVQDSLEGEPEVLLDPNALSEDGTVSLNTLSVSEDGKYLAYGLSSSGSDWVTIKVMLIKNKNVEPDTLSWVKFSSISWTHDNKGFFYSRYPAPKDGEVVDAGTETNANLYHQLYYHFLGTDQSEDILCWRDLENPKYSFGGGVTDDGKYVLLYTSEGCDPVNKLYYCDISELPNGLESFRSENALLPFVKLIDNFDAQYHVIANDDTVFTFITNKDAPKYKLVRVDLKEPTAWVDVIQESEKDVLESACAVNGNQLIVRYLSDVKYVLQVRDLKTGSFQYQLPIDIGTVDEISARREDSTVFISFTSFLSPGIIYQCNLGTEIPDMKIFREIVVPGFDRSEFHVNQVFVPSKDGTQIPIFIVARKDIVLDGSHPCLLYGYGGFNISITPYFSVSRVVLTRHLGVVFSIANIRGGGEYGEEWHKAGSLANKQNCFDDFISAGEYLVSAGYTQPQKLCIEGGSNGGLLVGACINQRPDLFGCALAHVGVMDMLRFHKFTIGHAWTTDYGSSDKEDEFHWLIKYSPLHNVRRPWEQHPNQSIQYPPTMLLTADHDDRVVPLHSLKLLATLQYVLCTSLEKSPQTNPIIGRIDCKSGHGAGRPTQKMIDEAADRYSFMAKMLDAHWIE >OIW15696 pep chromosome:LupAngTanjil_v1.0:LG03:109988:110269:-1 gene:TanjilG_04231 transcript:OIW15696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPYCWGLVLNCAYIVQVWRVGLKLNGLGHKDVEDGLAKVMTDKEMDNRLIKLRQKIMGMQGDGEDKTGTFMLKAFVEYLNKPSSTTIHENSS >OIW15932 pep chromosome:LupAngTanjil_v1.0:LG03:1677489:1681059:1 gene:TanjilG_04467 transcript:OIW15932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGLCIKLFLISATTRTRSCFSSKIHPGESPAYWEKVLEGIGKMKCVAYDPVDTMEWDKAGDNLPPKERRFAVLASSLLSSQTKEHVTRGATRRLHENGLLTADAIEKADEETIRKLIYPVGFYSRKASNLKKIANICLMKYDGDIPSSIEELLLLPGVGPKISHLVMILGWNNVQGICVDTHVHRICNRLGWVSRSASKQKTLMPEETRVALQRWLPREEWVPINPLLVGFGRKICTPLWPHCAECAVSRFCPSAFKDTPNSSFKSKKSALNKKL >OIW14448 pep chromosome:LupAngTanjil_v1.0:LG03:29044625:29050915:1 gene:TanjilG_15361 transcript:OIW14448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFSALTLSFLLLAFVPHQLHASNHGLFGTKYVTTNVDGICTSSVIVHGYKCQELEVTTKDGYILSLQRIPEGRGEVSGTWTKKQPVIIQHGVLVDGMTWLLNPPEQDLPLILADNGFDVWIANTRGTRYSRRHISLDPSNPAFWNWSWDELVAYDLPAVFDYVFKETGQKINYVGHSLGTLIALASFSEGKLVNQVKSAALLSPIAYLSHMNTALGVVAAKTFVGEFTTLFGLAEFNPRGIPVNAFLHSLCAYPGIDCYDLLTALTAVRDGFLSKFNYVTPTYNYMHYGELFPPIYNLSNIPHDIPIFISYGGKDALSDVHDVVNLLDSLKFHDVDKLSVQFIKDYAHADYIMGINARDIVYNVVLAFFNRPFNI >OIW15851 pep chromosome:LupAngTanjil_v1.0:LG03:1136826:1141776:1 gene:TanjilG_04386 transcript:OIW15851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMNNNRVEHFDCHDQQQFQTIIKIQQQQQQLDIAMEIHKVELPPQRTTLHKLQQRLSEIFFPDDPFYRFKNQSCFMKFILGLQYLFPIFQWGPQYNFHLLRSDAISGLTIASLAIPQGISYAKLANLPPIVGLYSSFVPPLIYSLLGSSKHLGVGPVSIASLVMGSMLSETVSFTQEPILYLKLAFTATFFAGVFQASLGLLRLGFVIDFLSKATLVGFMAGAAVIVSLQQLKGLLGIVHFTTKMQIVPVLISVFKQRDESLKKPKLFWVSAAAPLTSVIVSTILVFLLRNKTHKIAIIGNLPKGLNPPSSNMLYFNGPFLALAIKTGLVTGILSLTEGIAVGRTFAALKNYQVDGNKEMMAIGLMNIAGSCSSCYVTTGSFSRSAVNYNAGAQTTVSNIIMAAAVLVTLLFLMPLFHYTPNVVLAAIIITAVIGLIDYQAAFKLLKVDKLDFLACLCSFFGVLFISVPVGLGIAVAISVFKILLHVTRPNILVLGNIPGTQIFHNVNQYKEASRVPSFLILGVESPIYFANSTYLQERILRWVREEEERVKANNGSSLKCIILDMTAVTAIDTSGLDTLCELRKMLEKKLLKLVLANPVGNVIEKLHQSKNLDELGLKGIYLTVGEAVADISSSWKAQP >OIW15938 pep chromosome:LupAngTanjil_v1.0:LG03:1746226:1748447:1 gene:TanjilG_04473 transcript:OIW15938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNLRQKSTTTCSDIVPIENNSNIVKEDILDHQPSKSTTLPHEKHQKKRSISNLLRKNQDSGTKKTTKVHESTLSNLRSNASTDSHRRKKSKKNVDTCFQRTENEVENSEGDNETISTFLGNRLKKSCNKNGIRQTKKKVSFSLPLQEGTSKHKPIKKDSETKISRASRPNANGTRGKGKGKGKGVHIEKINGAKNKDETAEVMAEVGGKRTKKNSEKDTIDEIPMDVVEFMSKLQYERSLPDMGNTTGMVDEPIKESGNSIRENIVSCENGKSINLKKRNKQVKKRDTNINNPKSNGPRTLRNKNVEGLMDKSKQSTTPSNAAIGAEMLQTVFNSHHGNSKLKISSKKSGQTLTKSRKGRSSKSTMQNEAGASNNICESVCTINRNPADITVIEETNAYMINGEDIIFEDNVPEE >OIW14868 pep chromosome:LupAngTanjil_v1.0:LG03:24400365:24402201:-1 gene:TanjilG_30587 transcript:OIW14868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAAYEESRRKRMEENKKRMEALNLHHLSKSLHKSTSPKPSPSKPRTPNKDKELVLVRRSGRVANLPSPLYKQVLLDRVTIPRRSYNRHRDYSNRIYASDEDREEAMEKAQKIESDLDSQYPTFIKSMLQSHVSGGFWLGLPVDFSRRNLPKRDETIDLIDEDGNEYPTVYLSQKRGLSGGWRGFAISHDLADGDALVFQLINRTAFKVYIVRVNGLAEEGEQHE >OIW15876 pep chromosome:LupAngTanjil_v1.0:LG03:1266334:1267223:-1 gene:TanjilG_04411 transcript:OIW15876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSILSIMCTTCVIIFLASTNTSVVEGGREFKVGDHLGWHQPLPNNTVFYIQWAERNRFQVGDSLLFEYQNDSVLTVEKMDYINCDTSNPITEYNNGNSIVNLGRPGAFFFISGNEDHCINGQKLVVEVMSPHQIPKSSPSPSPSISLPPEGSSEMAPSPSPYASDHSLGDSTSASASLVLGPVPMASLTTFVIVFLLTP >OIW15996 pep chromosome:LupAngTanjil_v1.0:LG03:2220711:2228781:1 gene:TanjilG_04531 transcript:OIW15996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRQKSILSFFQKAAPGTDTSGDRRGSDSLVQQANRNNVTAASMKPPSDDVRGTDTPPEKVPRQILPASFAPKENNNLFESIMHKFVKVDDSEKVNHRSAVKGLPKPYSSLGICNDAKRKVLQKEEAGFQFQPMMKDDSISFKEKTNHRGLQKEEVAFPFQPMVKDNGVYFTENISRKGLQNFQFQPMVNDNAVKFKEKTNQKNVVPVETDDDITGPETPGMQSLAAKRGREDGSNSSKSRSLLDSSKRVRFLEDFMETNMTKKEAEVASRFEWLDPSRIRDANGRRPNDPLYDRTTLYIPPEVLKKMTASQKQYWSVKCKYMDVMLFFKVGKFYELYEMDAEIGHKELDWKMTLSGVGKCRQVGISESGIDDAVQNLVARGYKVGRVEQLETSEEAKARGANSVIMRKLAQVVTPSTSVDGDIGPDTNHLLTIKEVNIDLDKGSVVYGFAFVDCARLRFWVGSIDDDASCSALGALLMQVSPKEIIYESRGLSKEAQKALRKFSLNGSTALQLTPVQSISDLMNSEIHDLVHSKGYFKGSSHSLDNVLSKVIHREISESALGGLIGHLDRLMLDDVLQNGDIYPYQVYKGCLKMDGQTLINLEIFGNNVDGTLYKYLDNCVTSSGKRLLRNWICRPLKDVEGLNNRLDVVDDLMARPEIVSHIARHLHTLPDLELLLGRIKSSLHLSDPLLLPMLVKKILKRRVKVFGSLVKGLRTALSLLLLLQNEKPLISSLTKVFKLPILTGSDGLDQFLTQFEAAVDSDFPNYLNHDVTDSDAETLTILSELFLEKADQWFEVVHAINCIDVLRSFAIISSFSSVRTMSRPVILPSLESASKDSGQPVLKMKGLWHPFAFGESGCSPVPNDIILGDNEVGNHPRTLLLTGPNMGGKSTLLRVTCLAVIMAQLGCYVPCENCVLSVVDIIFTRLGATDRIMAGESTFFIECSETASVLQNATQNSLVILDELGRGTSTFDGYAIAYAVFRHLVEKVNCRLLFATHYHPLTKEFASHPHVTMQHMACALRDHGLVFLYQLTPGPCPESYGLQVALMAGIPEDTVNIALKASQQMKNSIGKSFKSSEQRSEFSTLHEEWLKTLVSISRIKDYESVDDDTFDSLVCLWYEMKNSFRPGN >OIW15330 pep chromosome:LupAngTanjil_v1.0:LG03:14605535:14608705:1 gene:TanjilG_10770 transcript:OIW15330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAENAGTKIGSSSQNLDNSVVSSDTTTEVEKSKPRNGSINGGDVNLNGVFKHHDIAPKGNYNAQLGQIRNGFEGNGVQNQQMVVNNNGYGGVSGENGGESFKRDMRDLEELLSKLNPMAEEFVPPSLINNFGYLAAHDAGFGYPNTFVLPNNYGIDNGQNNRRKKNGYNNGKRRSSNKMDMERKEEMIKRTVYVSDIDQLVTEEQLAALFLNCGQVVDCRVCGDPNSVLRFAFVEFTDEEGARAALNLSGTMLGYYPLRVLPSKTAIAPVNPTFLPRSEDEREMCSRTIYCTNIDKKLTQADVKHFFQSICGEVQRLRLLGEYQHSTRIAFVEFTVAESAIAALSCSGVILGSLPIRVSPSKTPVRSRFPRTAIH >OIW15711 pep chromosome:LupAngTanjil_v1.0:LG03:199274:202403:1 gene:TanjilG_04246 transcript:OIW15711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRIISNNNNNNNNNNEEVANDYEGSLKKGPWTPDEDAILIEYVNTYGEGNWNSVPKNSGLSRCGKSCRLRWANHLRPNLKKGAFSPEEERVIVDLHAKLGNKWARMASQLPGRTDNEIKNFWNTRIKRRERAGLPIYPPKVIRDATLYHLREEQYFEKPYNSSLTSSSFPPLLSSYPKKLNASNHYHALVNPKKLNNSNHYHALVNPKKNQPIPLYGSSSNLFNYHGFVVSNNSAQPVSHGSQYFNNGFNISSIMPPLAPSSVSSSQTPPDSVTPASSNVSGVDGFMGASSKVNNEQYEVAPFSLEGNRSLLGALVVEGQSILRNNNTRSEDSTVVTAAEKSSNKRKSIVIMENAEERGTTVDSALKKKNSGKSSNEIPMTSSGKKQSGKDPTLEEIDVSWDDDLCSLLNNFPTEMPTPEWYSGGDKAESSGHQKTQTNTPPPGPTIEPELGWNNMPSIC >OIW15881 pep chromosome:LupAngTanjil_v1.0:LG03:1310774:1316652:1 gene:TanjilG_04416 transcript:OIW15881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISSNSCTLLRCSGLGGSCNLSVAHRRVSGPSRVMCMTPSSRVGDRNGSVVMEIETQTTPLKEIKSDSAVADVDDNEISAGGPQDVYGEDKATEEHSVTPWSVSVASGYTLLRDPHFNKGLAFTEKERDSHYLRGLLPPTVIPQETQVKKMIQHIRQYQVPLQKYMAMMDLQERNERLFYKLLIDHVEELLPVVYTPTVGEACQKYGTIFMRPQGLYISLNEKGKILEVLRNWPQKNIQVIVVTDGERILGLGDLGCQGMGIPVGKLSLYTALGGVRPSACLPITIDVGTNNEKLLNDELYIGLKQRRATGQEYAELVHEFMSAVKQTYGEKVLIQFEDFANHNAFDLLEKYRSTHLVFNDDIQGTASVVLAGLVASLKLVGGKLADHRFLFLGAGEAGTGIAELIALEISKQTNLPLEDARKNIWLVDSKGLIVSSRKESLQHFKKPWAHEHEPVKKLVDAVNQIKPTVLIGTSGQGQTFTKEVIEAMSSINEKPIILSLSNPTSQSECTAEQAYTWSQGRAIFASGSPFPPVEYGGKVFVPGQSNNAYIFPGFGLGLIMSGTIRVHDDLLLAASEALAAEVSQENYDKGLIFPPFTNIRKISAQIAAKVAAKAYELGLATRLPQPKNLVEFAESCMYTPSYRSYR >OIW16052 pep chromosome:LupAngTanjil_v1.0:LG03:2767875:2768726:-1 gene:TanjilG_04587 transcript:OIW16052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDPATELIPPPTSPTISSISSSDLDTESTGSFFHDRSTTLGTLMGVNFPAIAFRAQSQHRDPRSAAAAAVSGSSGSRKKPVAAVAERRRRWWQMCRDDDGDGDARPASLGEFLEVERRSGDVAFYGTAAELEGMVADHQQRNGGRVLFADGRVLPPSPADVDDGDTAAGSLCNRFPVSLAGICSGGA >OIW14390 pep chromosome:LupAngTanjil_v1.0:LG03:29681337:29682990:1 gene:TanjilG_15744 transcript:OIW14390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKGKLSTEIPIKAPASKWFHLFATKLYHVQNLAERLHHTKLHEGEDWHHKDTIKQWTYEIDGKVLTCKEKIEAVDEENKTITYILFGGDISPHYKVFKFIFHVIEKEDGSAYVNWTLEYEKIDHSVEHPYGYVEYLTKSSEDVDANLLKA >OIW15377 pep chromosome:LupAngTanjil_v1.0:LG03:12572436:12572753:-1 gene:TanjilG_20289 transcript:OIW15377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHEAANQKDRDRQNMTCKPDRENMALAHQTDQSNMSLAYKTDRVNMVLAHERDQGREGLMHEADLDRMIMGHEPDSWRLADKNKPHKPENQMWPIMRDLANHFD >OIW14370 pep chromosome:LupAngTanjil_v1.0:LG03:29906728:29912000:1 gene:TanjilG_15724 transcript:OIW14370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWNPLSWVMEAAAIMAIALANGDGMPPDWQDFVGIIALLVINSTISFIEENNAGNAAAALMAGLAPKTKVLRDERWSEQDAAILVPGDIISIKLGDIVPADARLLEGDPLSVDQSALTGESLPVTKHSSDEVFSGSTVKKGEIEAVVIATGVHTFFGKAAHLVDSTNQVGHFQKVLTAIGNFCICSIAVGIVIELVVMYPIQRRKYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLSVDRNLIEVFAKGVEKEYVILLAARASRTENQDAIDAAIVGMLADPKEARAGIREVHFLPFNPVDKRTALTYIDSNGNWHRASKGAPEQIIELCNCKEDVRKKVHSVIDKFAERGLRSLGVARQEVPEKTKDSPGAPWQFVGLLPLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSSLLGQDKDSSISALPVDELIEKADGFAGVFPEHKYEIVKRLQERKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVFGFMFIALIWKFDFAPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLREIFATGVVLGSYMAFMTVVFFWAMKDTNFFPNKFGVRTIRYNPDEMMAALYLQVSIISQALIFVTRSRSWSFAERPGLLLLGAFFIAQLVATFIAVYANWEFARIKGMGWGWAGVIWLYSLVTYIPLDILKFAIRYILSGKAWDNLLENKTAFTTKKDYGKEEREAQWAAAQRTLHGLQPPETSNLFNEKNSYRELSEIAEQAKRRAEVARLRELHTLKGHVESVVKLKGLDIDTIQQHYTV >OIW15836 pep chromosome:LupAngTanjil_v1.0:LG03:1010280:1011968:1 gene:TanjilG_04371 transcript:OIW15836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSHLHVYMGTLILEKMAGLTYCIALSSSSYYGMRYFSTVSNDPDTHDDFKPATKHESSGISLSDVVEKDVKDNPVMIYMKGVPDFPQCGFSSLAVRVLKHYDAPLSARNILEDPELKSAVKAFSHWPTFPQIFIKGEFVGGSDIILNMHQNGELKELLKDIKSNQ >OIW14402 pep chromosome:LupAngTanjil_v1.0:LG03:29568906:29572771:1 gene:TanjilG_15756 transcript:OIW14402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKLKVSESKEKWNIRSVNNHIGRQFWEFDPHLGTEEERAQVEHVRQQFHNNRFIYKHSSDLLMRLQFEREKGLKNMNVSGNNNVKVEKEIEDINEEVVGRTLKRALRSLSTLQSEDGFWPGDYGGPLFLLPALVIGLYVTGALNTILNIEHRREMKRYLFTHQNIDGGWGLHIEGCSTMFCTSLSYVSLRLLGEELDDGAMQNARKWILDRGGVTSIPSWGKLWLSVIGLYEWRGINPIPPEIWLVPYFVPMHPGRMWCHTRLVYLPMSYLYGTKFVAPINSIVLSLRTELYTLPYHLLDWDHARNLCAKEDFYYPRPMIQDMLWGFLHYLGEPLLMSWPCSKLRKKALDHVMQHIHYEDENTQYICIGPVNKVLNMVCCWLEDPNSEAYKCHLSRIKDYLWVAEDGMKMQVRRNSSGNPSEWYRHISKGGWPFSTRDNGWSVSDCSAEGLKVAILLSNMPFETVGKAIETEQLYDAVNYILSLQNPSGGFASYELTRSYSWLEKINPTETFGDIMIDYQYVECTSAVIQGLALFREKCPQHLNMEIQTCMAKAGNYIQTIQLPDGSWSGSWGICYTYGTWFGIKGLIAAGKTYQDSHCIRKACEFLLSKQNPCGGWGESYLSCQNKGQRDPWPLHRAAKVLINSQTENGEFPQQEIVGVFCKNCTISYSAFRNIFPIWALGEYRSRVLLSPNKDEDHQNYI >OIW15598 pep chromosome:LupAngTanjil_v1.0:LG03:4193780:4194890:1 gene:TanjilG_08174 transcript:OIW15598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEEGQVIGVHSVEAWKEHIEKGKQSQKLIVVDFTASWCGPCRFIAPILAEIAKNTPAVTFLKVDVDELKTVAEEWGIQAMPTFLFLKEGKIVDTVVGAKKEELQLTIVKHSTVASSSSSIL >OIW15136 pep chromosome:LupAngTanjil_v1.0:LG03:20509483:20510968:1 gene:TanjilG_14135 transcript:OIW15136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPLLSKKIVKKRVKRFKRPQSDRKISVKPSWRRPKGIDSRVRRKFKGCVLMPNIGYGSDKKTRHYLPNGFKKFVVHNVQDLELLLMHNRTYAAEIAHNISTRKRKEIVERAAQLDVVVTNKTARLRSQEDE >OIW14615 pep chromosome:LupAngTanjil_v1.0:LG03:26340356:26341567:1 gene:TanjilG_32957 transcript:OIW14615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQYLERRECEYDQKTLVSFYKEEDSLNPVLTGVIVFTSTADKANKYYLGPAPLEDMARQIATAYGPCGNNRDYLFLLEKAMHDIGHEDDYVIELANEVRKELGIVNVLSKDKKMVAPTPHMPIPTLQLHALPIALDS >OIW14820 pep chromosome:LupAngTanjil_v1.0:LG03:24648317:24650166:-1 gene:TanjilG_17045 transcript:OIW14820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHSITHQNQYENPELDAYGSSLRNNSAYPEDLGVFPSTFQSIGDRMSLAEGSEMSHTRHLMDLLGAANERNHQTQGLSLSLSSHMLIPSDEYRHRPLNQGLMNPNYFMHGQETREPCNNAVEQHLSSEYFFTSGATFASSSTSLNLSPSTSYGTESFAAVIGNSRYLKPAQSLLEDVIGVGANVVDRMNEKYVEKLFHGSRTGARTLSSELKAELRNMGPLLAEKQEHQMKIEKLIALLDEVESRYEKYYHQMEEVVSSFEMIAGLGAAKCYTVLALQAMSRHFCSLRDAIVSQINVEKRKLFQDLPKINSGLSQLTLFDRDNRQSRMSLQQLGIIHSQRQVWRPIRGLPETSVTILRSWLFEHFLHPYPNDSEKLMLASQTGLTKNQVSNWFINARVRLWKPMIEDMYKEEFGDSSEDSNPQANNYLTREDTTYCVED >OIW15920 pep chromosome:LupAngTanjil_v1.0:LG03:1600159:1605077:1 gene:TanjilG_04455 transcript:OIW15920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPSGNNNSNNQEQNQQVSSFNGNSASDTSLAMKHNPGISLDWTTEEQAILEEGLAKYASASNIVRYAKIALQLQNKTVRDVALRVRWMNKKENSKRRKDDHNLTRKSKDKKERVSDPAAKPSPFAARSNVPSYAPLPMIAMDNDDGISYTAIGGSTGELLEQNAQALNQISANLSAFHVQENLNLFCQTRDNILKIMNDFSDSPEVMKQMPSIPAKLNEELANSILPRTILQLPQS >OIW14605 pep chromosome:LupAngTanjil_v1.0:LG03:26421153:26422301:1 gene:TanjilG_32947 transcript:OIW14605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVIPNGIGFALCIVLLVTVSLVVAEVKDDKHFIHPPRLLGHGIFNKGGGFGGGGGIGGGGGFGGGAGGGGGIGGGAGGGGGIGGGAGGGGGIGGGAGGGGGIGGGAGGGLGGGGGLGGGAGGGSGLGGGFGGGAGGGLGHGGGLGHGVGAGIGGGAGGGGGLGGGGGLGGGGGAGGGLGHGIGGGAGGGFGGGAGGGLGHGVGGGAGLGGGAGGGLGHGVGGGAGGGLGHGGGLGHGIGGGAGSGLGGGGGLGGGGGIGGGGGLGHGIGGGIGGGSGLGGGAGGGLGHGVGGGIGGGAGGGSGFGGGAGGGVGGGGGAGGGAGGGGGFGGGGGVGGGAGAGGGFGAGGGAGGGIGGGGGGFGGGGGGGGGFGGGAGAGGGK >OIW15326 pep chromosome:LupAngTanjil_v1.0:LG03:14530517:14530798:-1 gene:TanjilG_10766 transcript:OIW15326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVSGNNNVKVEKEIEDISEEVVGRTLKKALRSMSTLQSEDGFWPGDYGSPLFLLPTLVIGLYGTEALNTILNIDHQREMTHYLFTHQNIDGG >OIW14597 pep chromosome:LupAngTanjil_v1.0:LG03:26522704:26527092:1 gene:TanjilG_32939 transcript:OIW14597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTEEISFFNVTRESEHDFLTRALNFLWQSDESGYHHVWPDIEFGWKIILGTFLGFCGAAFGSVGGVGGGGIFVPMLSLIIGFDPKSSTAISKCMIMGAALLTVYYNLKLRHPTLSMPIIDYDLALLIQPMLMLGISIGVVFNVVFPDWVVTILLIVLFLGTSTKAFFKGVETWKKETIMIKEAARRLETNGYGADTEYKTIPSGPNGGAENDTEEKPVTILENVHWKEFGLLVFVWISFLVIQIAKQSFTTTCSTAYWILNFLQIPIAIGVTAYEATALFSGRRVIASTGDHGKSFTVSQLAIYCFFGLLAGVVGGLLGLGGGFIMGPLFLELGVPPQVSSATATFAMTFSSSMSVIEYYLLKRFPVPYALYLTLVATIAAVVGQHIVRRLIILFGRASLIIFILTFTIFISAISLGGVGISNMVYKIQNHEYMGFDNICKYGS >OIW15024 pep chromosome:LupAngTanjil_v1.0:LG03:22943235:22943411:1 gene:TanjilG_24133 transcript:OIW15024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRRKKIRADIVDIFFVHLLLDDDDEDEEDDCGFVVTVGLAMEGNVGEAGSGVSPSDL >OIW14922 pep chromosome:LupAngTanjil_v1.0:LG03:23915841:23919467:-1 gene:TanjilG_30641 transcript:OIW14922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDSNNTTDDGNNHHNWLGFSLSPHMNMDVTSSVLSHHHYHQQQQQQVQASAASSVSHTVPTSFYLNPSHSGFSYGENGVFHSPLTVMPLKSDGSLCIMEALTRSHTQVMVPSSSPKLEDFLGGGATMGRHEYVDHEREAMALSLDSIYYNQNDHDSQANRDHSLDLLSESFRNQDHLHQHINNLQSHPYYSGLTYQRMYQATPSHEEQTYKETHVAVCGSQMSRMTEYSTHQAMEQQMNSNMGSDDHGATESLGTVGCGELQSLTLSMSPGSQSSCVTIPRKISPSGTDSVAVETKKRGPGKLSQKQPVHRKSIDTFGQRTSQYRGVTRHRWTGRYEAHLWDNSCKKEGQTRKGRQGGYDMEEKAARAYDLAALKYWGPSTHINFPLENYQSELEGMKNMSRQEYVAHLRRKSSGFSRGASMYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDVAAIKFRGVNAVTNFDITRYHVERIMASNTLLAGEHARRNKDNDPRTEAIEFNNNNGVSSQANVEAVQARNNNENGSDWKMALFQEQQQSNNICDQKAINCGNYRNSAFSMALQDLIGIDSVGSSQHMVDESNKIVTHFSNPSSMVTSLSSSREASPDKMGPSLLFPKPQMETKISNNTIGSTGGVSSWFTSQIRPASAISLSNLPVFAAWNDT >OIW14604 pep chromosome:LupAngTanjil_v1.0:LG03:26428656:26428985:-1 gene:TanjilG_32946 transcript:OIW14604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMKKYLLSSRNNHHETTHNIRLCRSYTRTHYRIYSPIKKTVWQMLWRKLKSGKKQKVFSSDNSVYDPETYSMNFDHGTGWMEPDNILRSFSARFADPSRILPPKHLLA >OIW14640 pep chromosome:LupAngTanjil_v1.0:LG03:26119087:26122989:-1 gene:TanjilG_32982 transcript:OIW14640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEETGYIIRSPGNLNPTAEEFIPTNPIHFPPATVFTVPIPVGVFYATPHLSYSTLQQPLPPPPNLATSSTRSLLISSLASNVFITESLLRRELEVFGDVRGVQMENLLINGTVTVHFYDLRHAETALTEIQGQHMMQQARLRNYYYYGNGSFNAAPPVPPAARGVVGGRAVWAQFVVAACDDVIDGQNQGTIVVLNLDCGVSMKNVRQVFEPFGPIKELRETPSKKNQRFVEFFDIRDAAKALKEMNGKEIYGKVVVIEFSRVGSYSRKYFHSNKPLNFHNNVPPHPSPSHFSPPTPPQSHRKFSTPFVSNATNSNPHSHLPQLQLPFKKPSSVYKRSSKKIANSAGSIEEAIGSANLSEEIGNVVEKQQDQYSNGSSRRDETIIANTKHQPEVNRDNRHWKGKQGKNQDTRFLIKEDAIVESDTRDTRTTVMIKNIPNKYSQKLLLNMLDNHCIQCNEQIGDDNDQPYSSYDFVYLPIDFNNKCNVGYGFVNMTSPEATLRLYKAFNHQHWEGLEALKEHFKNSKFPCEVDHYMPVLFSPPRDGKNELTEPLPLVGNNNHHFHQTIPIPQILQEHCNEDSEC >OIW14992 pep chromosome:LupAngTanjil_v1.0:LG03:23299056:23301892:-1 gene:TanjilG_30711 transcript:OIW14992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKARKASHAGSWYTNNPKQLSEELDGWLSSCDLTKSSDVRGVIAPHAGYSYSGRAAAYAFGNIDPSNITRVFLLGPSHHHYTPKCALSTATVYKTPIGDLPIDLEVNELLKATGKFEQMNIHVDEAEHSMEMHLPYLAKVFEGKPVKIVPILVGALNAENEAMYGQILSSYVDDPTNFFSVSSDFCHWGSRFNYTHYDKKHGPIYKSIEALDKMGMDIIEIGDPDAFKQYLLEYDNTICGRHPISVFLHMLRNCSTKIKIKFLRYEQSSQCKSTRDSSVSYASAAAKIDDTTST >OIW15026 pep chromosome:LupAngTanjil_v1.0:LG03:22934182:22937402:1 gene:TanjilG_24135 transcript:OIW15026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFVKGSNVEVLANTKELCVEWRCARIVSSNRHTCAVQYDGSRITNEAGVERVPRKAIRPCPPLVKGTKRWEANDVMEVYDDGSWTAAIVLKFLGGDFYLVRLFISCKELEVHKANTRIRQSWQNGEWVVKPTVSGNSGVGRSNWSLISNSCKVMPEVQHASIEISQQGSNDCALWEPRPALSTTLKRVSPYCSSPVEAYPRKIRVVMNKGGCERFKAVYTAPLMGKVDAFAYPQNDMGEKCMLASFTNGSNQYHLTGKENHSIVKTQFLERVEEPDYSCSDMSSVGSCSVISNNTNKFYSDMLAGPCQEGDALSSDAESLDTARDNGCPISPREGSNVEVLANTKELCVEWRCARIVSSNRHTCAVQYDGSRITNEAGVERVPRKAIRPCPPLVKGTKRWEANDVMEVYDDGSWTAAIVLKFLGGDFYLVRLFISCKELEVHKANTRIRQSWQNGEWVVKPTVSGNSGVGRSNWSLISNSCKVMPEVQHASIEISQQGSNDCALWEPRPALSTTLKRVSPYCSSPVEAYPRKIRVVMNKGGCERFKAVYTAPLMGKVDAFAYPQNDMGEKCMLASFTNGSNQYHLTGKENHSIVKTQFLERVEEPDYSCSDMSSVGSCSVISNNTNKFYSDMLAGPCQEGDALSSDAESLDTARDNGCPISPREVIAERIHRLELHAYRSTLEVMYASSCLSWEQEELLTDLRISLHISNDEHSMELKKLVSACPHL >OIW15632 pep chromosome:LupAngTanjil_v1.0:LG03:4497791:4498342:-1 gene:TanjilG_08208 transcript:OIW15632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTFNQLIRHGREEKRRTDRTRASDQCPQKKGVGPRLSTRTPKKPNSAPRKIAILVFLLFIVFAVTYLVCILLGLDWTIFVVKVQSMLLVRSFRLLFIRLIGEAVGPGTLILLLCILSSLGGYTYYNMEDPARGEGGSGAGPSQRRGHIDLNLPPGSGDELSDLVAELDQVEREIRRLSFPIP >OIW15533 pep chromosome:LupAngTanjil_v1.0:LG03:5793150:5813987:-1 gene:TanjilG_16139 transcript:OIW15533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPLLRGENSGRRDRPRGLHRRSDALTYGSNYEKAAALVDLAEDGVGLPEQILDSSSFQSYAKFYFIFTKFDLIWSLSYFALIVLNFLEVFCLLVLVADMLVYALYSSPLAFDSLPFRIAPYIRVVLFVLNVRTLPNISREEFELIFDELDDTHDVKINKDEFADICNAIALKFQKEDCLSYFEYLAFYHSPTSKKLKAFVKSPMFGYLVSFILLLNLGAVIVETTLDIQNSSAQKVWQVVEFVFGWIYVIEMALKVYSFGFENYWRDGQNRFDFIITLIIGN >OIW14625 pep chromosome:LupAngTanjil_v1.0:LG03:26268145:26269090:-1 gene:TanjilG_32967 transcript:OIW14625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFFMDSTQNKERLFLNPVQDGSGFQIAGPPKFFAGGSSESENSSSIGTPDDSDIEDEEDEVQSNFKEKTGLGSLDSLEDSLPIKRGLSSHFDGKSKSFTNLSQVSNLKELQKQESPLNKRRRILIASKWSKKSSNFYTWSNPQSMPLLPMDESQDDEDYDYEDDGKKARKVPFTSSSSSSSSSSSSSLVEEKKQEYQIHVCQDRLPESYAAEMRLRLRSFKSRSFSLADLKENDDGEEDNDG >OIW15174 pep chromosome:LupAngTanjil_v1.0:LG03:19835287:19843279:-1 gene:TanjilG_21150 transcript:OIW15174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSINLHSHVFAGNPLRSKTPNPVNPISLNSAFETLKCRILDSNNNSLSPNFKVLPLRNGRPLASSSLTEGNLGLSWNLGWIGLGELKGILVNCGGAELSGESFLYLGSSVEDDEVYWAIDVSDEGGLVAEFDSMKLCFVELRTLMVATDWEDLKAMGNLAIAGHARALLEWHNLSRFCGHCGEKTVPKEAGRRKQCSNESCKKRIYPRLDPVVIMLVIDRESDRALLSRQSRFVPRMWSCLAGFIEPGESLEEAVRRETWEETGIEVGDVVYHSSQPWPVGPPSMPCQLMVGFFAYANSVEINVDKEELEDAKWYSREDVRKALTFAEYKKAQKSSAAKVEQMCKGVEKTHSLATDFNVESGELAPMFVPGPYAIAHHLITSWAFPDKKLNGVESLECHSKQPSGSVSNLY >OIW14967 pep chromosome:LupAngTanjil_v1.0:LG03:23511483:23516318:-1 gene:TanjilG_30686 transcript:OIW14967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPPTTVQQEHTRLLDFASHIGRFTFDSSNFLNLFSHSSSSSSSSSSSSSYSHSSSILLNSLTFKTTRVRNPASLSLNFGGKGDFNFRRVFTDFNTAIRFHCHKSPIGFASLGTDSGDGNNNNNNNDVCDVIDNDGNSPNGVQGQKPKKVLILMSDTGGGHRASAEAIKAAFYEEYGDQYQVFVSDLWSEHTPWPFNQLPKSYSFLVKHGSLWKMTYYGTAPRVVHQSNFAATSAFIAREVAKGLMKYQPDVIISVHPLMQHVPLRILKTRGLLKKIVFTTVVTDLSTCHPTWFHKLVTRCYCPTTELAKRALKAGLQQTQIKIYGLPVRPSFVKPVQPKDELRRLLGMDEDLPAVLLMGGGEGMGPIEATARALGDMLYDESLGAPVGQVLVICGRNKKLADKLRSITWKVPVQRNKLNASFLLLLYVVVFQLWWPIHDCRTKLMYHTPPHGCGSTSPVAFGMEELLTETGFDKVKGFVNKMEECMGACDCIITKAGPGTIAEAMIRGLPIILNGYIAGQEAGNVPYVVENGCGKFTKSPKEIAKFVSEWFGPKADELKAMSQNALKLARPDAVFKIVRDLHELVKERSLLLEYSCTA >OIW14748 pep chromosome:LupAngTanjil_v1.0:LG03:25225024:25228948:1 gene:TanjilG_05369 transcript:OIW14748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCDTMPGLIDRPTKLGHDIMSDHSEDEKKKKIGSFKKMAFSASNKFKHSFAKKGRRHSRVMSISIEDDIDTEELIAVDAFRQTLILEELLPSKHDDHHLMLRFLKARKFDIEKTKQMWSDMLKWRNEFGADTIMEDFEFNEIDEVRKYYPQGHHGVDKDGRPVYLEKLGQVDSNKLLQVTSMERYLKYHVKEFERTINVKLPACSIAAKKHIDQSTTILDVQGVGLKSMNKTARDLLQRLQKIDGDNYPESLNRMFIINAGSGFRLLWNTIKSFLDPKTTSKIHMVKNGKGKAKRKTSSELNEKTITEDETQYQKAKQCGVYQYGDFIDKSVDSSWNKSVANAKYSVSQVSNTSDGFSKQFVGGIMAIVMGVITMIRMTRTMPSKITEATLYSNSVYYNDTMLKAPPIPTITNDDHMTMMKRMAELEEKVAILSMKPVMPPEKEELLNNALCRVKALEQNLVATKKALDDALAKQVELEDQIEKKKKKKKKLFRFHW >OIW14607 pep chromosome:LupAngTanjil_v1.0:LG03:26403988:26405864:-1 gene:TanjilG_32949 transcript:OIW14607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEKEWYFFSMRDRKYPTGVRTNRATNIGYWKTTGKDKEILNSVTSELIGMKKTLVFYKGRAPRGEKSNWVMHEYRNNSKSSFRNTKDEWVVCRLFQKSVGVKRYPNSIHARAVNPYSMELCPNTVAQPLIQLGDPATHHFLYGRNCLNSAELSEVTRVLRGSASTSSNFNLPMQSQFNYPVTAAAGGGGGFTISGLNLNLGGAPAAMTQPMFQPMQPPPPPPAQTMGQVNDVSTDMMMAAPTNSLGEVNVGGYGSAEMSNIINQHGNRFVGMEHCMDLDNYWHSY >OIW14924 pep chromosome:LupAngTanjil_v1.0:LG03:23895237:23897627:1 gene:TanjilG_30643 transcript:OIW14924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDETEKDKDKDPKLFGALLFGFIGATVTTFAIGRLRRTVEWFSIQFSKSQSSWRGGSGGSYRTSFQEEAWRRHNKRMQEEYEEELERVERIRRMQSVFNRERNKYKRSYERWRENDPGAYNQHSQREDWYWKADTSFRDRRTNYREAPRESGNYALSHHYSVLGLDRFRRTPYSDAEIKTAFRTKAKEYHPDQNQNNIVASEAKFKEVMNSYEAIKQERRNQNL >OIW14799 pep chromosome:LupAngTanjil_v1.0:LG03:24896447:24897478:-1 gene:TanjilG_05420 transcript:OIW14799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSPRAFFHLPNHKTHLLNLPEPSNGIRYCGSSHGWLVIVDESPNVRLLNPVTRETLHLPQLNTFPDVVSFSYSNIGREYLVTNPRGGVHALNLSQMRNCYVKKIVLSSSPCLQSGDNFAAFAIVGKGQHSLGFCKKGQNSWGFAISDEMYCWEDVVYHNGLFYAVTKEGTIAVCDIYGYAPPQVTVIQTTIPFEFCGDIYYVVFSGVDMLLVARILEQEFDNNDDGGDEYNLVYRTVGFDVFKMNWSELKWEKIESLGDRVLFVGGNSSLCLIASDFVGCLKDCIYFTDDYSDSNYDDACGKHDVGVFNLWDQSIELLPCYPRNSYSRLGWPLPIWVSPNPC >OIW14360 pep chromosome:LupAngTanjil_v1.0:LG03:30031891:30033075:1 gene:TanjilG_15714 transcript:OIW14360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNKNKDHEKKKNKKPRLTWSEELHRKFVNVVNLLGIENAVPRKILAFMNDERLTKENVASHLQKYKLSLKRISSSCVPNKNGSIIGCGQFQNVSPSDPVYQNDAVQQKPMSTLHSDERLDSLVDDVLLQWWEDNNQDPTDLSDVIGTLLNTPPLKDAVNSNLGSTQDLVTLASSSCVSHESIEIGELRQEIAATRNETRQLRETIHALKAQQDMLVQVVLQLLPPNVLTQQ >OIW15835 pep chromosome:LupAngTanjil_v1.0:LG03:1005191:1007324:-1 gene:TanjilG_04370 transcript:OIW15835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLQYTSLVMSLQKIGSLTSGSSLEIQSITRSEDGCEEIKSSGLTSDLIPKFKEVEFLLTKLCETSSVRELELKIAGFHLHVVRDLTEKIRTPPPAIPASISVNPVSEAPKSNGAVSSTSLAISKPVVPSSSGSIQRFLDKAADEGLVGFFRRSRTIKGKRTPPSCKEKQKVEEGQVICYIEQLGGEVPIESDVSGEVVKILREDGDPVGYGDAVVAILPSFPGIKKLQ >OIW14632 pep chromosome:LupAngTanjil_v1.0:LG03:26209599:26212985:1 gene:TanjilG_32974 transcript:OIW14632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALSSSSPSATIAQQTWELENNIIPIDTPSSTTNADDSIFHYDEASQNQFHRERPWINDPHYFKRVKISALALLKMVVHARSGGTIEVMGLMQGKTEKDSIIVMDAFALPVEGTETRVNAQADAYEYMVDYSQTNKQAGRLENVVGWYHSHPGYGCWLSGIDVSTQMLNQQFQEPFLAVVIDPTRTVSAGKVEIGAFRTYPDGYKPPDEPISEYQTIPLNKIEDFGVHCKQYYSLDITYFKSSLDSHLLDLLWNKYWVNTLSSSPLLGNGDYVAGQISDLAEKLEQAENQLAHSRFGSLIAPSPRKKEEESPLAKITRDSAKITVEQVHGLMSQVIKDILFNSVHQTNKSHTETSDSEPMIES >OIW15112 pep chromosome:LupAngTanjil_v1.0:LG03:22032213:22034033:1 gene:TanjilG_08599 transcript:OIW15112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILGQQPYIGVATSACSETGNFNSILGYTCYEVSPSCQAYLTFRTQPLYNSVSSISKLLGSDPSKISEANSVSEEATFETNKLVIVPINCSCAGDQYYQFNTSFIVQQDDNYFVIANNTFEGLSTCQALQDQNRIPDVDLDVGTKLVVPLRCACPSKNQTEEGVRYLISYLVKPDDDVSQVSERFGVTIETILEANSLSLQQPTINPFTTLLVPLQDKPSSSQTVEPPLPPPPPPPPPASPSSNGSSSKTWVYIVVGVVGAFVLILLICSIIVRKHYLNKKRKDSEAVSDNFAGKEKAQGKELEDESRKLLEIISGIAQSFKVYSFEELQNATNNFSPKCLIKGSVYHGVINGDLAAIKKIDGDVSKEIELLNKVNHSNVIRLSGVSFKDGHWYLVYEYAANGALSDWIYFNNNDENKSLTWTQRIQIALDIATGLDYLHSLISPPYIHKDLKCSNILLDIDFRAKIANFGLARSLELENDQNVITRHIVGTRGYMAPEYLENGLVSTKLDVYAFGVMMLEILSGKEVAALYEESDFNLSSVVQGEHERLRDFMDASMQGNYPLELAIFVCGMIDDCMKKDPTTRPSMNEIVTSLSKAFDSSLSWE >OIW15518 pep chromosome:LupAngTanjil_v1.0:LG03:6212226:6212633:-1 gene:TanjilG_27369 transcript:OIW15518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCATNKAWVVATSVGVVEALKDQGLCRWNYALSHIIHPSPTNTTNWVAVIRDLDESKPRRRNTASIETGAVNKRRN >OIW14598 pep chromosome:LupAngTanjil_v1.0:LG03:26511889:26517829:1 gene:TanjilG_32940 transcript:OIW14598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNVERIANFALAGLTLAPLVVKVDPNVNVILTAALTVFVGSYRSVKPTPPAETMSKEHAMQFPFVGSAMLFSLFLLFKFLSKDLVNTVLTAYFFVLGIVALSATLLPSIKHFLPNHWNENPTIWHFPYFISLEIEFTKSQLVAAIPGAFFCAWYALQKHWLANNILGLAFCIQGIEMLSLGSFKTGAILLAGLFVYDIFWVFCTPVMVSVAKSFDAPIKLLFPTSVSARPFSMLGLGDIVIPGIFVALALRFDVSRGKKAQYFKSAFLGYTVGLVLTIVVMNWFKAAQPALLYIVPSVIGFLAAHCLWNGDVKQLLEFEEGNTADSSEEVDDKSSKKVE >OIW15287 pep chromosome:LupAngTanjil_v1.0:LG03:14795572:14796234:-1 gene:TanjilG_08084 transcript:OIW15287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDNALWCNLFKERWGGDHAAFYAPIGSKSWKDVFEVQDRCERVGLGLKIIREGSDYYLVHQGEIQRYLGSRKSQEQVTARSCHSFGSEGGNSLAEEKSCRGILDRILFFIGDLEVASADAKRSRVI >OIW15673 pep chromosome:LupAngTanjil_v1.0:LG03:3560219:3568605:-1 gene:TanjilG_09611 transcript:OIW15673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALATNTTLIPSSSVYCVARFGSRDLNLPFSGSHGDVGDSVFCVGSHAGKSDVVLERRRLKPVNTRIVENNNSHIKSTFEITVSCYQLIGVPDRVEKDEIVKAVMSLKNAEIEEGYTMDVVTSRQDLLMDVRDKLLFEPEYAGDLREKIPPKSSLRIPWSWLPGALCLLQEVGESKLVLDIGRASLQHQGAKPYADDLLLAMALAECAIAKIGFEKKKVSQGFEALARAQCILRSKPSLAKMTLLSQEEVSLSVAVTCVQSVSLSTTGDNFGYHHRNIEEDDSVDAEVSGGDHKSGGQSSFLEKEDSAKERSNVAADGSRSQEDNASDSNFLNERTENKGYFPSDHEEESLSVAVTCVQSVTSSTTGDNEISKAKDLHEVTMNGEVGPPQLGVVANKLGGRHSSINIGNKSFAFGPRGQDNGFIEESLEELAPACTLELLSMAHTPENVDRRRGAIAALCELIRQGLGVEASCQVQDWPSFLSQAFDNLLANEIVDLLPWDNLAEMRRNKKTIESQNLRVVVDANCFYKVFTAHMALGFSSKQKELINKAKSICECLIASEGIDLKFEEAFCLFLLGQGTEADVVEKLKQLELNLNPKHNSVLGKAIIDASAANPSLEMWLKDSVLALFPDTKACSPALANFFSVQKKFSGSKKTRGPAQTLPSICHRPLSSSGSLDRRDTEEPRSYMSSSPNIGFAVKQLAPTDLQSSLLSGSNEKVNNLSESPVQVKRSLGTQRSGIWDSHFTHAYILGKITYISVLGCIVFATIKLMGINFSRLLNGSPGALNDNIAWTVDSSADYSVSPAYIRGGNIADRLKKILPIAKIPFLHKSAAGKHHDLHASLTPPSSPTNAYRRLMPVVEAETLVKQWQTIKAEALGSSHEVNCLAEVLDESMLAQWQALADVAKEKSCHWRFLLLKLSVLRADILLDVNGVDIAEIEALLEEAAELVDNSQKKNPNYYSTYKVKYILKRQGDGSWKFCEGDIRTP >OIW15780 pep chromosome:LupAngTanjil_v1.0:LG03:635457:640080:1 gene:TanjilG_04315 transcript:OIW15780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEIQSQSDNYRSSSSSASSPASRVPSSNFFYLRKPGSLRQPISFEDSPEWEDTDVDVRVDDGGDSIHVATTPASPSLSKLNSGSLPSPHLPEGAVIPRKIAGASVAWRDLTVTIKGKRKYSDKVIKSSNGYALPGTMTVIMGPAKSGKSTLLRAIAGRLHPSARVYGEVFVNGRTSHMAYGSYGYVERETTLIGSLTVREFLYYSALLQLPGFFCQKKSVVEDAIHAMSLGDHANKLIGGHCYMKGLPSGERRLVSIARELVMRPRILFIDEPLYHLDSVSALLMMVTLKRLASTGCTLILTIYQSSTEVFGLFDRICLLSNGNTLFFGETLACLQHFSNAGFPCPIMQSPSDHFLRAINTEFDRIIAMCKNWQDDNGDFSSVNMDTAVAIRTLEATYKSSADAASVETTILKLTEKEGPALKSKGKASNATRVAVLTWRSLLVVSREWKHYWLHLILYILLTLCIGTVLSGLGHSLSSVATRVAAIFVFVSFCSLLSIARVPALLKEIKVYACEESNQHSSTLVFLLAQFLSSIPFLFLISISSSLVFYFLVGLEDQFSLLMYFVLNFFMTLLVNEGIMLVVTTLWQDVFCSVMTLLCIHVVMMLSAGYFRIRNALPGPVWMYPMSYIAFHTYSIQGLLENEYLGTLFAVGQVRTISGFQALQNVYNISLDNTSKWNNLLVLFLMAVGYRIFVFILLCFFIGRKVSVLKSFKCNRVTTNTS >OIW16024 pep chromosome:LupAngTanjil_v1.0:LG03:2461649:2465352:-1 gene:TanjilG_04559 transcript:OIW16024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGTTLQFGGVRGDDRFYIPVKARKNQNQRKQAQRTKNGETEIADSASKTKLVASKNNNNNPNESLNKPSSESVEPSSNIDRFLQSTTPFVPAQYFSKTTMRGWKTCDIEYQSYFALNDLWESFKEWSAYGAGVPWLLDQGESVVQYYVPYLSAIQLYGQSANKSNVKSRYTSEDSDGDYFKDSSSDGSSDYEFRIRNGHFTPQRSQYRTGNVSSQMSRLSMHDKNNKVQEGFSSDDSEAGNPQYLLFEYFDQDPPYSREPLADKILDLARHYPSLTSLRSCDLLPSSWMSVAWYPIYRIPTGPTLKDLDACFLTYHTLHTPLTGNGGAQAPTLVYPSEMDGIPKISLPTFAMASYKLKGSTWMQNGDSENQVTNSLLQAADNWLRLLQVYHPDYQFFVSHGTYRG >OIW14529 pep chromosome:LupAngTanjil_v1.0:LG03:27303533:27306245:-1 gene:TanjilG_12928 transcript:OIW14529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDKENHARPQREAKKRAAAAITEQSNSGTARKKKRVTLSDLTNLPPDKPVSGSELGGNGTATKRKRVPLSELTNFPLDKPVPGSDTEFNRVRKKPATEPDYNSDPQLCVPYVSDIYEYLRKMEVDPSRRPLADYIQKVQSDVNAKMRGILVDWLVEVAEEYNLVSDTLYFCVSYIDRFLSLNALSREKLQLLGVSSMLLASKYEEIKPPEVEDFCFVTDNAYSKEQVVEMEADILKTLKFELGGPTTSNLQFEFLCYYLAELSLLDYNCVKFLPSLVAASVVFLARFMLSPTTHPWNSALHHLTRYEPADLKECILNIHDLYLSRRGGSFKAVREKYKQHKLHGYFGHSSNVWQRHPLLLRYLFIFEFRVTDPQALVSHDLAFLAMALVSTIGRDMEDRFLDTRRLHWLVLIVALAVKCYCT >OIW15557 pep chromosome:LupAngTanjil_v1.0:LG03:5426143:5428890:1 gene:TanjilG_01080 transcript:OIW15557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWWGKKDRGGGTLVVKMENPNFSMVELEAFSDDDENENFLITNDNNNKRRNKNAKQLTWVLLLKAHRAAGCLASLPLSFFSLASSIKRRVVSGKTDTNTNNNNNNNSIKTRFYSCIKFFLFLSLLLLCFEVAAYYNFKGWHFTAPNSKGVFDWVYSMWVFVRVEYLAPVLHFLANVCIVMFTVQSLDRLVLCLGCFWIKYKKIKPVANVSDADLESGDKGFFPMVLVQIPMCNEREVYQQSIAAVCNLDWPKSKLLIQVLDDSDDITTQSLINEEVEKWQQEGANILYRHRVIREGYKAGNLKSAMNCSYVKDYEFVAIFDADFQPTPDFLKRTVPHFKDNDELGLVQARWSFVNKDENLLTRLQNINLAFHFEVEQQVNGIFINFFGFNGTAGVWRIKTLEDAGGWLERTTVEDMDIAVRAHLHGWKFIYLNDVECQCELPESYEAYRKQQHRWHSGPMQLFRLCLPDIISAKISIWKKFNMIFLFFLLRKLILPFYSFTLFCIILPMTMFVPEAELPIWVVCYIPATMSFLNILPAPKSFPFIVPYLLFENTMSVTKFNAMISGLFQLGSAYEWVVTKKSGRSSEGDLVSLINEKSPKHHRGVSAPDLEEMKEEIQRQEEKASKKKKHNRIYMKELALAFLLLTASARSLLSAQGIHFYYLLFQGISFLLVGLDLIGEQVE >OIW15875 pep chromosome:LupAngTanjil_v1.0:LG03:1263483:1264942:-1 gene:TanjilG_04410 transcript:OIW15875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKAVTIRTRKFMTNRLLSRKQFIIDVLHPGRANVSKAELKEKLARIYDVKDVNSVFVFKFRTHFGGGKSTGFGLIYDSVENAKKYEPKYRLIRNGLDTKVEKSRKQLKERKNRAKKIRGVKKTKASDAAKAGKKK >OIW15884 pep chromosome:LupAngTanjil_v1.0:LG03:1326825:1330984:-1 gene:TanjilG_04419 transcript:OIW15884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCSDTTRICTSFLAFKPQPNHTMALIQSMFDVLPNDISVESNGWDYIFIRKNCSCAFSMNKYVSDTTFTVRSNGGFVHELVMDAYEGLAFLPNTTRWARKGSVISLRLFCGCSSGVWNYLVSYVMKDGDSVESLASRFGVSMDSIETVNGIDNPDSVTVGSLYYIPLDSVPGEPYHPRNDTTPVPVPSPSVNYFSVDGVNHKANVPYRWIIGGLGIGLALILLSMILCVSLRSSNCFDEARNRAKDAEGKVSHKFHILGNPCLSFGSRRYICGKPVEQKKSDGESSNHQITIPIASTLGPDEFGMDEPLVFAYEEIFASTDGFSDSNLLGHRTYGSVYYGFLRNQEVAIKRMTYTKTKEFMSEMKVLCKVHHANLVELIGYAASHGELFLIYEYASKGSLRSHLHDPQNKGHSSLSWITRVQIALDAARGLEYIHEHTKTHYIHRDIKTSNILLDGSFKAKISDFGLAKLVGKTKEGETTNTKVVSTYGYLAPEYLSNGFATTKSDVYAFGVVLFEIISGKDSIIQTEGTMTKNIERRSLASIMLAVLKNSPDSMSMSSMRDYIDPNMMNLYPHDCVFKMTMLAKQCVDNDPILRPDMKQVVISLSQILLSSVEWEATLAGAGTYH >OIW15579 pep chromosome:LupAngTanjil_v1.0:LG03:3897539:3898633:1 gene:TanjilG_08155 transcript:OIW15579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLALATSTHSHTLPMDFSLSTLRHSPNLSLNLHRSSLPSPLSSSSSLSFKSLQPPLPSTSSFKLSSIKASLNDSAFHKPTKTSSSNLLQTLISPFLSPIVETTCIVIAATAFFFMRHMPVTAAPLPLPSAVASEQNVAPDEESERLIESRLSENPNDIEALRTLMELKIRARKVNEAIQVIERLIGLEPEEVEWPLLKAHMHVYNDDHELARNVFEEILQRDPLRVEAYHGLVMATSESNQPLDGVLKRVEEAVENCKKEKKDSEVRDFKLLIAQIKVMEGDFSEALKNYQDLVKEEPQDFRPYLCQGIIYTLLRKKDEAEKQFQKFRGLVPKNHPYKDYFEDNMFATKFFSQKLEREGAGARN >OIW15017 pep chromosome:LupAngTanjil_v1.0:LG03:23013819:23014670:-1 gene:TanjilG_24126 transcript:OIW15017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPISIEELHTFHIIDREVFFRLVIKLARNPAQSLLVMALWLWLESIKYPNFVHKMVGLSDSMIDYIANEAVACLRCLNLEYNKTPDSGGLPLTTILMQKKISLQFFRQKRFTAITGIRYVLNNICARIFTDILQYVLGNPSTSYPSHYRPMIVPGFPHPLFGTFTIPILNFEELDLLDPRIWIKMHPYDGTTDDDKTMFLTFSKGFPVTEEEVRHIFTSLYGDCIKILNMGIGNMSEQVLFATMVVNNIETIDEILNGNHVAKFRLNGKHIWARKYERRDNI >OIW15977 pep chromosome:LupAngTanjil_v1.0:LG03:2032364:2036845:1 gene:TanjilG_04512 transcript:OIW15977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRGVLQSSSPVQQMMAGNPNWWNINTMRPPNASQTPHFFSNTTPSNFLTPYPQTSSFPFTSLHDNQELPESWSHLLMDGLVGEEDKGVMDQFQTKRLENCWEDQMLSQAPNASFVDVKQERSVNSYVYGHGNEHELQTPTKTTTWSPNSCVTSFSTNMLDFSKNDARHPPPDPSSECNSTGGGAVKKARVQASTTQSTMKVRKEKLGDRVTALHQLVSPFGKTDTASVLLEAIGYIRFLQSQIEALTLPYMSSGSGNPRHQHSVHGEKNCIFPEDPGQLVNENCLKRKAPIEQDYQEEPNKDLKSRGLCLVPVSCTQQVGSESGADYWAPTLGGGFQ >OIW15566 pep chromosome:LupAngTanjil_v1.0:LG03:5049104:5049487:-1 gene:TanjilG_01089 transcript:OIW15566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVRSITNCGQSISYGNTMDGAGGFSSFPRSYSTATSTRSEENEDFAELMRAASARTIESRFDMDLVMKQEQQQKSSNGLSKSSSVGMAKIDEDMPFDLSYDGVVTFKPDSYPRSRSYAVAKRSVVF >OIW15669 pep chromosome:LupAngTanjil_v1.0:LG03:3526958:3527602:-1 gene:TanjilG_09607 transcript:OIW15669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDLEQPFLGTLPTFNEAKPISCEELKSGEKQEPKVFDYATRAQWLRAAVLGANDGLLSTASLMMGVGAVQKGAKIMILTGVAGLIAGAFSMAIGEFVSVYSQYDIELAQMKRDGKVAGKEKLPNPFHASMASGLAFAMGSMVPLLGAAFIEGYKARIVVVVAVVSIALLVFGGVGAVLGKAPMIKSSLRVLIGGWLAMCVTFGLTKLVGHTDI >OIW15397 pep chromosome:LupAngTanjil_v1.0:LG03:11737952:11739400:-1 gene:TanjilG_15780 transcript:OIW15397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSMVSNRNYLHFNNPLETKPMNFLAPDEAPCISPLSYNKEVGVNKINNIRAYSTTTRSCKAKRKSNIVKGQWSADEDRLLDSIGGTIWSEKVVSYCSDAAWKNWQTMQREKDTWTNEEDKILIQAHKEMGNKWAEIAKKLPGRTENSIKNHWNATKRRQYSDQRNSRSKHPKDTLLHEYIKSLNLDKNPPIDYRKRSYANARAMKNNPSTNKVAATSLVQLHNIDDQSSLSDCMVPNLDFCFDEHIFKDGYSIDSLLDDIPCGPPTMNEKYFDERMQGVTPHNVEGKHFDIGGMQCDNPVPVDGNPFETEMAKEIMEPTLGVDVKKKVDWVEMLSLLK >OIW14589 pep chromosome:LupAngTanjil_v1.0:LG03:26594767:26597201:1 gene:TanjilG_32931 transcript:OIW14589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIRRALSPVPQARTVSNLEICSVASPLSKSSSNTQSCPQSTGLLSSSVSLSDSRAFVLGVFSPRSLRVLERSISKQKVQLWRKVLFHFSICFMVGVSIGIIPLASTNFSTNLLPMHQAFSIEMISAVGNFKALENVKINSMPSIDEAVKFNATSNSAAKEQTLLDEVAYDISNSKLLSEEPYLESQKLLIIVTPTYNHPFQAYYLNRLAQTLKLVPPPLLWIVVEINSQSEETIDILRSSGIVYRHLICKTNLTDTSYRSIVQRNLALAHIETHQLDGIVYFADDDNIYSLEVFQEMREIRRFGTWTIARLSGDKSAIVLQGPICNGNRVIGWHINEPNDISKRFHAEMSGFAFNSTILWDPKRWRRPNLEPIRQLDSVKEAFWISTLIQQVVEDESQMEGLMNNCTKIMVWHIDLKSSYSFYPQNWIIKNNLDVILHIPFE >OIW15769 pep chromosome:LupAngTanjil_v1.0:LG03:542847:545455:1 gene:TanjilG_04304 transcript:OIW15769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNISSSGRRRHRRRHHHHHPPPPPPPPHDAVYVGSSGRLQNPNLVDGHGVVEHDKAVTIRNDANIKKETLRMEPDLLNPPHFLLAFTFDATAPGCITVMYFAKETLDGKLIPVKESVLKQISMPFQQGLCQKFRQPSGTGIEFSQLEETGVTKEGDIEVYPLVLKAETRPSNHYENDGNLSSQITLASFEKREPGGYKVQVVKQVLWVNGKRYELQEIYGIGNANDGDFDGHDSGGECVICLSEPRDITVLPCRHMCMCSGCANLLKVHTAKCPICRHPVERLLEIKVNNEPANQ >OIW15947 pep chromosome:LupAngTanjil_v1.0:LG03:1823820:1825136:1 gene:TanjilG_04482 transcript:OIW15947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSSGLGGGFLSGPSGGILDLESPFHRHQHAQLGHPSVTSQQNINIMGGLENDHPIGPTEVKCSNAGLSFGKGKGIVASNPADSNDFSEDDEAEDGNCDNFDVGKGKKGSPWQRMKWTDNMVRLLVAVVCCVGDDGTINGMDGHKRKSAVLQKKGKWKTVSKIMISKGCHVSPQQCEDKFNDMNKRYKRLNDILGRGTCCQVVENPALMDSMPKLSTKAKDDVRKILSSKHLFYKEMCAYHNGKGIPNYQDLDLQGYSLEHGRDSRDNNGSDEEAEDNNESEDDELHEDGEKMEFYGRNKLSEDDDRFLSQCVTVDKFEAEMARIFQDPSKSLFERKEWITMQAFKLQEQKVSYEAQALELEKQRFKWLRYCSKKDRELERLRLEKERMKLENEQKILKLKLKEHETDFSRTDMSLDPVSLGINRPQGREHINLGRQQ >OIW14955 pep chromosome:LupAngTanjil_v1.0:LG03:23638959:23643165:1 gene:TanjilG_30674 transcript:OIW14955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLCSRNRRYNDADAQESAQAADIERRIELETKAEKHIQKLLLLGAGESGKSTIFKQARNCIIKLLFQTGFDEAELKSYIPVIHANVYQTIKLLHDGSKELAQNDVDSSKYVISDQNKDIGEKLSEIGGRLDYPYLTKELAKEIETLWEDAAIQVETYARGNELQVPDCAHYFMENLQRLSDANYIPTKDDVLYARVRTTGVVEIQFSPVGENKRSGEVYRLFDVGGQRNERRKWIHLFEGVSAVIFCAAISEYDQTLFEDENKNRMTETKELFEWILKQPCFEKTSFMLFLNKFDIFEKKILKVPLNVCDWFKDYQPVSTGKQEIELAYEFVKKKFEELYFQSTAPDRVDRVFKVYRTTALDQKLVKKTFKLVDETLRRRNLFEAGLL >OIW14994 pep chromosome:LupAngTanjil_v1.0:LG03:23288017:23292087:1 gene:TanjilG_30713 transcript:OIW14994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLSLLKPSFFFSHRPFLKPSPIFFRTTKPRSFNTTSLGTSHPLKTAQNNISKSNGETHSDSFTSGLKNLSSVWFYNTMSKKKESFKPKLDSKVGMYVCGVTAYDLSHIGHARVYVNFDLLFRYFKHLGYEVCYVRNFTDVDDKIIARAKEVGEDPISLSRRYCEEFCQDMVTLNCLPPSVEPKVSDHMPQIIDMIDKILSNGYAYVVDGDVYFNVENFPEYGKLSGRDLEDNRAGERVAVDSRKKHPADFALWKSAKPGEPFWESPWGPGRPGWHIECSAMSAAYLGYSFDIHGGGIDLVFPHHENEIAQSCAACKKSDISLWMHNGFVTIDNEKMSKSLGNFFTIRQVVDLYHPLALRYFLMGAHYRSPINYSDIQLESASDRVFYIYETLHECESFLIEQDQAVRKDSIPPDTLSIIDNFQNVFLTSMSDDLHTPVVLAGLSEPLKSINDLLHTRTGKKQKFRVESLAALEKSIRNVLTILGLMPSRYSEVLQQLKEKALKRAKLTEDEVLRKIEERAYARIQKEYAKSDAIRKDLAVVGITLMDSPNGTTWRPAIPLPLQEHHGDAL >OIW14969 pep chromosome:LupAngTanjil_v1.0:LG03:23492892:23497578:-1 gene:TanjilG_30688 transcript:OIW14969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIYLSTPKTEKFSEDGENDHLRYGLSSMQGWRSTMEDAHAAHTDLDESTSFFGVYDGHGGKVVAKFCAKFLHQQVLKSEAYAAGDIGTSLQKAFLRMDDMMHGQRGWRELATLGDKINKFSGMIEGLIWSPRSSDGNEQADDWVFEEGPHSDFAGPTSGSTACVAVIRNNQLVVANAGDSRCVISRKGQAYNLSRDHKPDLEIEKERIVKAGGFIHAGRINGSLNLARAIGDMEFKQNKYLPAEKQIVTANPDINTVELCDEDEFMVLACDGIWDCMSSQQLVDFIHEQLHSETKPSAVCERVLDRCLAPSTARGEGCDNMTMILVQFKKHAQSSAPSEEQSSSNGQVESEPKQETSET >OIW14881 pep chromosome:LupAngTanjil_v1.0:LG03:24302060:24303442:1 gene:TanjilG_30600 transcript:OIW14881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSAIEHISECFIKLQHPIEDSNQIWYLAPGDIAMLSAHYIQKGLLFNKPALPCNQQFCINNLLDKLKHSLYLALVHFYPLFGRLVTRKTEDPPTYVIFVDCSSNSPGAGFIYATLDMTVSDILSPIDTPSIVQSLFDNHKAVNHDGHTMPLLSIQVTELLDGVFIGCSMNHSIGDGTSYWNFFNTWSEIFQSLGQGHDHDILISHQPIRNRWFPESCDPLINLPFKHHDEFISRFEIPKLRERIFHFTAESIAKLKAKANEESKTTKISSFQSLSALVWRSITRARNLPHGQVSTCKLAANNRTRIEPPMAQEYFGNLVQLVSAGTTIRELLEHNLGWAAWKVHLAVTNHNNTMVQQSFNDWLLSPVVYQVGRNFDPNSVLISSSPRFNMYGNEFGMGKAVAVRSGYANKYDGKITSYPGHEGGGSMDLEVCLLPHIMGALELDEEFISAVSVSNSLY >OIW14388 pep chromosome:LupAngTanjil_v1.0:LG03:29695411:29701357:1 gene:TanjilG_15742 transcript:OIW14388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVKSREVDVSFEASEAHNNLRNILHSCSKFAQVGDLNESGNAISELLKFLDSLLDASLSEPDNQHVENDAFEALAEIHRYICSSTNQEVVDALSFELPKAVSKFASISSRFFDKADSIIDQFITKCGPRDMLSILCNTLGYSSEMTKAASYIVLPLAGLSKVFVLIKRRQFEQAKEAIPIILNVLTAVSLESEDEELEGVFERAVEIANSIYEVCNKLEGVAKEKLQSLLGLYVLQCLALVSVSLSYQASSCHSLVLQLSQISSNCGLSYLSLLTTYDVETVAGSVFGEDGDYMGCLSHVKHGAALSVIWGHVSEEVARTAKEDLVAIKDELCNNLTERWQAIGTLRHVLSFVNFPWELKKHTIEFLLCITVGGISGNNDDKHVEWSSYMPTLFAALQAVKMIIMYTPDPELRKKSFAVLKGVLADIPISQRFDILKALITNTDSSSMIAIFIDLVRREMHTEVCSRTSIVKNVPHMDNEAHPDVSFWTPSVLELVELVLRPPQGGPPSLPEQSDAVLSALNLYRFVLMTESTGKTNRTGVVSRSSLLKVYNEWLLPLRTLVTGIIAENKNDYDELAVDTLCTLNPLELVLYRCIELVEEKLQQSS >OIW15088 pep chromosome:LupAngTanjil_v1.0:LG03:21554540:21556813:-1 gene:TanjilG_08575 transcript:OIW15088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSNPHPPSDTPPNTNGNGTTATETCHVTDNPIQSDTAGNTRSGADKDQSGGEGETTSKRRRRKSRWDPPPESNNSDSESAGTGTTTKKRKSRWADDEPKPVIQLPGFMVGIEFDPEIQALNSRLLEISRMLQSGLPLDDRPEGARSPSPEPVYDNMGIRINTREFRARERLQKEKQEVISQIIKKNPAFKPPADYRPPKVQKKLYIPMKEYPGYNFIGLIIGPRGNTQKRMEKETGAKIVIRGKGSVKEGRLQQKRDLKHDPSENEDLHVLVEADTQEAVDAAAGMVEKLLHPVDEVLNEHKRQQLRELAALNGTIRDEEFCRLCGEPGHRQYACPTRTSTFKSEVVCKHCGDGGHPTIDCPVKGGTGKKMDDEYQNFLAELGGSVPESALKQTSTLAIGSGSSGTNPPWASKYSGSAGDAPQAGFGASAVKKEIDDTNLYIGYLPPTLEDDGLIQLFQQFGEIVMAKVIKDRVTGFSKGYGFVKYADVQMANNAISAMNGYRLDGRAIAVRVAGIIPQPVVPPGPPASAVPTYPIQSQPLGAYPSQQYAGGGPLGSAIPGSYGGAAVPWGPPVPPPYTPYAPPPPGSTMYPPFQGQPMPPYGVQYPPIQTGPPGTQSQPAISSEVQQSYPPGVQSDSSTSTHSVPANIYGNSTPSMPPAALPTYPASYGYPPYYSVVPPPLVPVSTSDQSPSIVNVPWASNPPVPPPTASKDQLQGIGNVPWAANPPGPPLAALAEKTSSYGADSEYEKFMAEMK >OIW15659 pep chromosome:LupAngTanjil_v1.0:LG03:3811158:3816359:1 gene:TanjilG_08415 transcript:OIW15659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAPVLVLKDSLKRESGTKVHHANIQASKAVADIIRTTLGPRSMLKMLLDASGGIVVTNDGNAILRELDIAHPAAKSMIELSRTQDEEVGDGTTSVIILAGEMLHVAEAFIEKSYHPTVICRAYNKALEDAIAVLDKIAMAIDPQDRATMLGLVKSCIGTKFTSQFGDLIADLAIDATTTVGADIGQGLRDVDIKNYIKVEKVPGGQLEDSRVLKGVMFNKDVVAPGKMRRKIVNPRIILLDSPLEYKKGENQTNAELLKEEDWNLLLRMEEEYIEEICMQILKFKPDLVITEKGLSDLACHYLSKHGVTAIRRLRKTDNNRIARACGAVIVNRPDELQESDVGTGAGLFEVKKIGDEFFAFIVDCKDPKACTVLLRGASKDLLNEVERNLQDAMSVARNIIKNPKLVPGGGATELTVSATLKQKSSSIEGIEKWPYEAAAIAFEAIPRTLAQNCGVNVIRTMTALQGKHANGENAWIGIDGNTGSITDMKEGQIWDAYNVKAQTFKTAIEAACMLLRIDDIVSGIKKKQTPGAGPSKPKVETEADADGEQILPD >OIW14375 pep chromosome:LupAngTanjil_v1.0:LG03:29843639:29844672:1 gene:TanjilG_15729 transcript:OIW14375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISMLLAVKSMVVVDPAQVACPPLWKRYQKQVKEWEVALSKNNNPGNGGLNEVATLEKPPMFAFCMKPRGLKLLNKGLKHRSQKRVSLSRHTNSSLYQDGFHTFGRRLSSGFAFGDEKFKYPGLSYDSLDDSSLRVFSPKDADNMRYYSMSNDGYYRNPVPKFRKGNSNKFAPFMYHNDSQFKASYSQRMSASGKRNGFNRQHLPDGPQRDGSEHLDGSELEEFKLRDASAAAQHARNRAKFMRQRALVMQSIADAAIQRAAVALMTAEAMKASEDSVEGDAKPQKASK >OIW16062 pep chromosome:LupAngTanjil_v1.0:LG03:2853284:2854922:-1 gene:TanjilG_04597 transcript:OIW16062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSKTLQRRRSTNTNKEKKLKRSEVLAKKKAIEKLIKTACAENDQLASFHAFHHFHRNGLSVCLKSGRGNELFSPVKNYIQSLLKLNMEGPYGSEWPVEEKVKRREMVDPEAHYIFVHEIANLNANETATMLTANEASTICVEDSGPLVGFVQYRFVLEEDIPVLYVYELQLEPCVQGKGLGKFLMQLIELIGRKNSMGAVVLTVQKANLLAMNFYISKLR >OIW14471 pep chromosome:LupAngTanjil_v1.0:LG03:28019510:28026573:-1 gene:TanjilG_19887 transcript:OIW14471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPPGPPTPIGGAQSVNASLLRSNSGMLGGQGGSMPSQNSFPSLVSSHTQFNNMNILGNMSNVTSTLNQSFPNGVQNHGLSGPGSSQRGVVYTGAETDPLSSVANGMNFSNSSSSFVQANMVNAGGSSGLGQGQQFSNPSGNQLLSDQQHSQQIEPQSFQHSQQSMQQLSAPLSTQQHFQSMRGGIGGMGPVKLEPHVNNDQLGQQQQQQLQSLRSLPPVKMEPQQLQQMRSLPPVKMEPQHSDQPLFLHQQQQQQQQQQQFLHMSRQPSQAAAAQFNLLHQQRLLQLQQHQQQLLKTMPQQRPQLPQQFQQQNMPIRSPVKPSYEPGMCARRLTHYMYQQQHRPEDNNIEFWRKFVAEYFAPNAKKKWCVSLYGSGRQTTGVFPQDVWHCEICNRKPGRGFEATVEVLPRLYKIKYESGTLEELLYVDMPREYHNSSGLIVLDYAKAIQESVFEQLRVVRDGQLRIVFSQDLKICSWEFCARRHEELIPRRLLIPQVSQLGVVAQKYQSFSQSATPNISVPELQNNCNMFVASARQLAKALEVPLVNDLGYTKRYVRCLQISEVVNSMKDLIDYSRETGTGPMGKIDCFFSCLLSESLAKFPRRNNSSAGPRGQAQQHEDQLQQQQNLAHNSNGDQTSSSQPSPTQFSSNNGMVRVNNSVSSAPASTTTSTIVGLLHQNSMNSRQNSMNNASSPYGGSSVQIPSPGSSSTMPQAQPNPSPFQAPTPSSSNNPQQTPHPSLTSANHMNTTNSPANISMQQQQPSLSSEADLTDAQSSVQKIIHEMFMSSQMNGTGGMVGVGSLGNDMKNVNGVLPMSTNAGMNSSSGLIGNGALNTNSGIGVGSYRTMNFGQSAMANGMRTSMGNNSVMNGRGGMASIARDQAMNHQHDLSNQLLSGLGAVNGFNNLQFDWKPSP >OIW14445 pep chromosome:LupAngTanjil_v1.0:LG03:28995156:29000398:-1 gene:TanjilG_15358 transcript:OIW14445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVTIPDRFELDAFELDALEDTSRSNIASKEDITLQDVSSTNERFGLLSLEKVDEFDSGESGNPVNHLMLEDIHQSVLMEMDFEFCNMPFSADQSDLMELDFEVFRSNSPINSLPGKDMDLNTVVVDAGAEEESSDLFSKDRHINTDERVQLTAPYEDQIPEKCRIPLEASADISMIFGTRNERIDHDDYLASMENTEEVTQGPLKKLKFQEKFPIQGVTLSVIPPQSENLDATPQSMFQGASVGRPTLGKSSKIRILFSKKKLRLRKKLKIAGTPGNSRVAESQTVANLRRVAASPKTPPRSLAIKGRSIEHPARIEIENSDSLGPLTPLVSIEVDQSSKRVEGRNPTKEFNVGNSISFESVEKELSLAEVDDLNLMNGDISSSETENSELIAGWSVQTGKIAKSLQRKFQDQKNKQEEEVVNFSQVVKGKPRNQCAKLFYEMLVLKTTSCVDVKQDSPYADIAIKKQPKLDETLATYEDM >OIW14609 pep chromosome:LupAngTanjil_v1.0:LG03:26377289:26385086:1 gene:TanjilG_32951 transcript:OIW14609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPAPQSLITPPTVFPGFFKRMGEFDLYDDHWYNDFDHVKPDYFRFGTDALIGDVFSDDLTPAAATHNNTDAVTAFSTCGDTIICSQNVTPMNSTISATIDSQASNCGTVGSPVSANKPNSSENQTKGATSGSSEQCDEDDEYGPCEQSTNPLDVKRHRRKVSNRESARRSRRRKQAELGDLELQVDKMKLENATLYKQFTHASQQFRDADTNNRVLKSDVEALRAKVKLAEDMVTRSSFTLNNQFLQTQMSTLPQLSTTNLRGIAHVSPTITVHGNDASYGGVTIGGQNSTHGLGNLDIPYNNVNNGVFSDAASSDAVTAFSTCGDTIICSQNVTPMNSTISATIDSQASNCGTVGSPVSANKPNSSENQTKGATSGSSEQCDEDDEYGPCEQSTNPLDVKRHRRKVSNRESARRSRRRKQAELGDLELQVDKMKLENATLYKQFTHASQQFRDADTNNRVLKSDVEALRAKVKLAEDMVTRSSFTLNNQFLQTQMSTLPQLSTTNLRGIAHVSPTITVHGNDASYGGVTIGGQNSTHGLGNLDIPYNNVNNGVFSDAASSVTMWQ >OIW15407 pep chromosome:LupAngTanjil_v1.0:LG03:12044279:12046290:-1 gene:TanjilG_15790 transcript:OIW15407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSSSAAITASASSKLIYPLSSPSSSYSSNSPTFRTTNPKLTPLSSSFLNSSTILHLTPSSTTRHHRNFTIRAARGKFERKKPHVNIGTIGHVDHGKTTLTAALTMALAANGNSTPKKYDEIDAAPEERARGITINTATVEYETENRHYAHVDCPGHADYVKNMITGAAQMDGAILVVSGADGPMPQTKEHILLAKQVGVPNMVVFLNKQDQVDDEELLELVELEVRDLLSSYEFPGDDIPIVSGSALLALEALMANPAIKRGDNQWVDKIYELMDNVDSYIPIPVRQTDLPFLLAIEDVFSITGRGTVATGRVERGTIKVGDTVDLVGLRETRSTTVTGVEMFQKILDDAMAGDNVGLLLRGIQKIDIQRGMVLAKPGTITPHTKFSAIVYVLKKEEGGRHSPFFAGYRPQFYMRTTDVTGRVATIMNDKDEESKMVMPGDRVKMVVELIMPVACEQGMRFAIREGGKTVGAGVIQSILE >OIW14797 pep chromosome:LupAngTanjil_v1.0:LG03:24918507:24927797:-1 gene:TanjilG_05418 transcript:OIW14797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMEEMVEVKRSISSTPSMSLNDLFLKSPYVSRFMNTPMMKALENMHGYIEQVDLFTKLDPQDDWLPITESRKGNVYYAAFHVLSSGIGFQALVLPFAFISLGWIWGIICLCVVFLWQFYTLWLLIQLHESDSGVRHSRYLKLAMAAFGEKLGKLLALFPIMYLSGGTCVTLIMIGGSTMKILFHLICEDSCTLKPLTTIECYLVFTGSAILLAQLPNLDSIAWVSLTGAITAISYCCIIWILSIVQGKVSHINYESQYETNNIFSVWNSLGIIAFAFRGHNLVLEIQGTMHSEAREPSRLAMWKGVVYAYLVIALCLFPLAIGGYWSYGNLLPSNGGMLSALYKYHKHDTPNFIMIMTTFLVVVNSLSSFQIYAMPVFDNLESRYTSMKNKPCPRWLRIAFRVFFGCLALFISIALPFLPSLAGLFGGIALPITLAYPCFMWIVIKKPKKYSTDWYLNWMLGIVGMVLSVLVVIGAIWTSHSYTERDVALYALGIGACASNAVDADELKYVYHENGQNHIKVLPTYAAILAQKSLEGGFDIPGFKYDPRLLLHGQQYIELYKPFPSSCNVHSKVCLAGLHDKGKAAILEYETKTYDKESGDLLCMNRMTVYLRGAGGFSKSSKPFSYSNYPSNQVSTVKIPEKFLNFCSFWQALVYRLSGDYNPLHSDPMFAKVAGFSQPILHGLCTLGFAVRAIIKSICKGDADIIKGISGRFLLHVYPGETLVTEMWLQGLRVIYRTKVKERNQAVLSGFVDLRGLTSSL >OIW15032 pep chromosome:LupAngTanjil_v1.0:LG03:22428046:22428600:1 gene:TanjilG_13959 transcript:OIW15032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVSHKFPSFSFELRIIQAQNIESIKSTGNSLFARFYLPIGNNKRIQLNTKKVSSKATIPFWNESFGLECSCPQEFLETLKKESMVLELRQSKKRIWGSHLVGKGEIPWKKILESPNMMFKEWVKIDLAEVQVEIKIRVTSTEKEESSLNKWDKCGCKYDHDRHAWLSAEDYDIFTLGATLEAF >OIW15447 pep chromosome:LupAngTanjil_v1.0:LG03:9335709:9339031:-1 gene:TanjilG_28646 transcript:OIW15447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQSGGMGNGSQERNQLVRACHGSDSGSNKPLKNLNGQVCEICGDTVGFTTTGDVFVDCQECGFPLCHNCYEYELKNGNQSCPKSHKVIPQLEGDDDDDDVDNPENEASYGQGNTKAGLQWEEEADLSSSSGHDSQQPKSHVTNGQLMSGKIACATHKNQSLRATCSPLSPSGRVHSLPYIDPKQPGLESDEEIRRVPEIGGEFSGTSAARPETGSTVAPESVHGTSDGQRKRGRNPADKESKRLKRLLRNRVSAQQARERKKAYLNDLETKVKDLEKKNSELKERLSTVQNENQMLRQILKNTTASRRGSNSGANAE >OIW15117 pep chromosome:LupAngTanjil_v1.0:LG03:22245622:22246163:-1 gene:TanjilG_08604 transcript:OIW15117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIDELSDLAASMRQAAALLADEDVDDANSSKRPSTFLNVVALGNVGAGKSAVLNSLIGHPVLPTGENGATRAPICIDLQRDDSLSSKSIILQIDNKTQQVSAS >OIW14727 pep chromosome:LupAngTanjil_v1.0:LG03:25420010:25434697:1 gene:TanjilG_05348 transcript:OIW14727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLTLTHAFHLLLFIISTHSFSLASADSFYGCGGFIEASSSLIKSRKQTDPKLDYSHVTVELQTIDGLVKDSTQCAPNGYYFIPVYDKGSFVIKINGPEGWSWDPEKVPVVVDNNGCNGNEDINFRFTGFTISGKVVGAVGGGSCSLKNGGPSNVKVELLSPAGDLLSSVPTSESGSYLFTNIIPGKYELRASNPSLKVEVKGSTQVELGFGNVVVDDVFFVPGYSINGLVVSQGNPILGVHIFLYSDDVFEAECLQGSADGPRQGAALCHAVSDADGKFTFNSIPCGNYELVPYYKGENTVFDVLPSSVSVNVKHQHLTVHQKFQVTGFSIGGRVVDGNEVGVEGVKITVDGHERSITDNKGYYKLDQVTSKRYTIEARKEHYNFKKLEKFMVLPNMASVEDINAISYDICGSVRMVGADLKAKVALTHGPENVKPQRKLTDENGNFCFEVVPGEYRLSAIAASPGNAHGLMFVPSYIDVVVNSPLLNVQFSQALVNIHGAVACKENCGPSVSVTLVKQANKHNEEIKTIRLTTESSEFHFSDVIPGKYRLEVKHGSPDSVTLEDNWCWKQSFIDINVGTEDLDGVLFVQKGYLVNVISTHDVNGFITQPDGSTVNLKIQKGSQHICVEYPGVHEFNFVDSCILFGSSSVKIDTSNLSPIHLKGEKYLLKGQISLQSSPVNALSENIVVDIQKDGGGIIDSSKALLKSHEKDQTNNAIFEYSFWANLGEKLTFVPRDSRNDGEKKILFYPREHHVSVADDNCQAFVPAFSSRLGVYIEGAVLPPLSGVDIRIFAAGDSSITSLKSGELVVETTTGTDGSYVAGPLYDDIGYSIEAVKPGYHLKQVGLHSFSGQKLSQISVRVHHIDDAKESIPSVLLSLSGDNGYRNNSVSGTGETFSFINLFPGMFYLRPVLKEYAFSPPAQAIELGAGEFKEVIFQATRVAYSATGLVTLLSGEPKGGVSVEARAESKGYFEETVTDSSGNYRLRGLHPDTVYAVKVSKRDVLGNSNIERASPDSVAVKVGTEDIKGLDFIVFEEPEITIVSCHIEGNTTDELRKHLIVEIKSASDTTKIESVFPLPISNFFQVKGLSKGRHLLQLRSGFPSSSLKFESDVIEVDLEKNIQIHVGPLRYRIVEDQLKQELTPAPILTLVGGFLFIALVLSIPKLKDLYEATIDIPTPGFSSTSRKDVKKPILRKKTY >OIW15210 pep chromosome:LupAngTanjil_v1.0:LG03:17039621:17040103:-1 gene:TanjilG_08802 transcript:OIW15210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTLLATTAITLSSLIGVFASNNSDTKLVFGNKTSLNSSVKRLSISLCFLVAFLCNVQSIRYYAHVSFLITSPALKGKMDFIEYVAKTLSRGSHSWSLGLRAFYVSIPLVLWIYGPIPMFTCCCITSFILYFLDTTTQITRDLHTKSFKQRETEDTDAA >OIW15230 pep chromosome:LupAngTanjil_v1.0:LG03:15802307:15806586:1 gene:TanjilG_17550 transcript:OIW15230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMNQVQGEKKQLQKTEACDLRAGVGAEHSLVNVSGDNNITSNNRGSDQIHDYYYQNHCHLNHHQLGFVHFGNVNKKKRMARQRRSSTNATFMHQLNPFSSKQTPPVTPPPPPFLLPPTTSHVSIPPSPARETGHGTLKFLFQKELKNSDVSSLRRMVLPKKAAEAFLPALESKEGILISMDDLDGIHVWNFKYRYWPNNNSRMYVLENTGDFVNTHGLHFGDSIMIYQDSQNNNYVIQAKKAYEFMEETSDTTNDIFLNDYEVIKPGSFNITYPLVNDTNMSFIYDTTFSNDSPFDFLGGSMTNLSRTGTDETFGSVENLSLDDFY >OIW15822 pep chromosome:LupAngTanjil_v1.0:LG03:934278:936731:1 gene:TanjilG_04357 transcript:OIW15822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQCSERMSIKEKKVRDLMVNKKRLVEVPYTASLAHTMNTLVANKVTAVPVAAPPGQWIGAGGSMIVECDKESGTRKHYIGMVTMLDIVAHIAGDDGGDDDDDVIDDLDQKMNVPVSSIIGHSFEGLSLWTLNPNTSLLDCMEVFSKGIHRAMVPVYGEMENVSSGVELVEAASSYQMLTQMDVLKFVKNNAGGELQNILARSVQDLGADTEMIYAISNNTRLVDAIKCLKSAMLNAVPIVRASDLSEDDHKQLINGRCRKLIGTFSATDLRGCYLATLKSWLGISALAFTEEVATSPLFTAFDTERSENSIRELVTCHVESPIHDVIDKVVTKHVHRVWVVDQQDFLVGVVSLSDVIRVIRHSLLSHSDDK >OIW15221 pep chromosome:LupAngTanjil_v1.0:LG03:17503466:17504304:-1 gene:TanjilG_08813 transcript:OIW15221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSPKMSLYPIKKAYLRAPEHPIPIAYEDSWLALKWVASHVGGNGSDAWLNQHADFEKVFFAGDSAGANLAHQMAIRVGLEGLPGVKLEGVVLANPYFWGVDRIGSESGKEFAPKVDMLWRFASPKSSGSDDPLINPDKDPNLVKLGCKRMLVFVAEKDILKDRGLYYKELLQKRGWNGVVEVIETKGEDHVFHIFKPTSHEALVLLNHVVTFIKHV >OIW14668 pep chromosome:LupAngTanjil_v1.0:LG03:25886867:25889110:-1 gene:TanjilG_33010 transcript:OIW14668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNHETRVHELISLSFFVLIVCGTLATVNGADDLATKCGQVVEKVIPCLSFATGKAATPTKECCDAATEIKESNPQCLCYIIQQTHKGSPQSKQMGIQEDKLLQLPSVCNVKNASISQCPKLLGLSPSSPDAAIFTNASKLTPTSSAQSSTSTPTTQSKDSSYGKMIKPSMIIDIIVLALAFLLIAIPTGFVSIYT >OIW14579 pep chromosome:LupAngTanjil_v1.0:LG03:26668793:26672327:-1 gene:TanjilG_32921 transcript:OIW14579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEEEIFESNLQQQHAVEELNFDTYLSSLHTYLGDVEDTRHRTAFLDAASVCNLPLFSLPGVVLFPGATLPLRVVEPPSVAAVERALAQVDVPYTIGVIRIHWDTTNRRMKSASIGTTAEIRQYGRLADGSLNVVTRGQQRFRLRRCWTDAEGVPYGEIQITDEDVPLRTPRDAFGKLVPLSNRSRSHAISHMLSSRAFWPQWVYHMFDSYCLAERAADMWKQIVGAPSMDSLVKKPDALSFYIASKIPVSESTRQELLDIDGITYRLRREIELLASIDLIRCKICQTIIAKRSDMLVMSNEGPLGAYVNLGGYVHEIMTLNKADGITPVGPSATEYSWFPGYAWTIAKCATCRTQLGWLFSATKRKLKPSSFWGIRSSQVAEEIRRNR >OIW14520 pep chromosome:LupAngTanjil_v1.0:LG03:27423275:27424870:-1 gene:TanjilG_04953 transcript:OIW14520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQSPSTAVTSPEPYHRQIHFSSDDSVGDGARDYTAYIPDECLAMIFHFLTSVDRKRCSSVSKRWLRVDGESRYRLSLNAKAELVNRVPSLFSRFDSVTKLTLRCDRRSMSINDDALILISLRCSNLTRLMLRGCREVTEIGMAGLARNCKNLKKLSCGSCMFGVKGVYTLMEHCNFLEELSIKRLRGVDDGVSTEIVGNGVVSVSSSLKSICLKELVNGRSFEPLIIGSKKLRSLKLIRCLGDWDMMLETMGKLNPGLVEVHLEKVQVSNLGLRGISKCLKLETLHVVKTPNCSDLGISDVAERCKMLKKLHIDGWRSNRIGDDGLIAIARNCPNLLELVLIAMFPTGLGLEAVVSNCPNLERLALCGIGTVGDAEIKYIATKSVALKKLCIKGCPVSNSGIAAFGFGCPNLVKLKVRKCRKVSGEVVQWLREKRGSSGFNFDYSEVEGDDLDGSGSDGGRVRESTIVFPHPIVTQVTSLADAAAASSSNNNSNRFSMLRTKFGFLAGRNFVPCAFRRWANIDNISGNSF >OIW15752 pep chromosome:LupAngTanjil_v1.0:LG03:433423:434826:1 gene:TanjilG_04287 transcript:OIW15752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFLSILYVVIVLYLCYMVWKLFDQKRDQECYILAYQCYKPSDDRMLGTDCCGKIMERNKNLGLNEYKFLLKAVVSSGVGEKTYAPRNIVEGRELNPTLNDGIIEMEEFFNDNIAKLLAKTGISPSQIDVLVVNVSMISSHPSLASRIINHYKMRQDIKAFNLTGMGCSASLISLDIIKNIFISQKNKFALLVTSESLSPNWYSGNDKSMILTNCLFRAGGCAMLLTNKRSLKHKAILRLKCLVRTHHGAIEDAYNCCNQKEDNQGKLGFYLSKNLPKAATKAFVDNLKVLCPKVLPTREFLRYMIVSLMKKRNRNSSPKIIATLNKSPLDFKSGVEHFCLHTGGKAVIEGIGKSLDLCDYDLEPSRMTLHRFGNTSASSLWYVLGYMEAKKRLKKGDRVMMISFGAGFKCNSCLWEVMKDLVDRNVWDDCVDEYPPESLANPFMERFGWINNVQDPNTFILSDFLK >OIW15815 pep chromosome:LupAngTanjil_v1.0:LG03:880664:885275:-1 gene:TanjilG_04350 transcript:OIW15815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSRSCCSYRIAIPGSSTEDEASESSSSFSTSSLNFSSGNPTIEETRGIMHLFRHDPNSSVSSSPPPPPPLPVERKPLVCVVGVPNHMTYADFCQFSGSFIHHILEMRIVRLDGMEDYYSVLIRFNDQDSTDSFYKHYNGHRFSSLEVEVCRVLFTLDVQYTGSIEHAQPSNTISTEQPTCPVCLERLDQDTGGILTTICNHSFHCSCISKWADSSCPVCRYCQQQAEKSICFVCQTTENLWICVICGFVGCGRLIQSKTDGKLVELNSHCVHADNGCGSCSCEDNGMSEAILSSKVEAIVNEYNELLASQLESQKAYFESLLEEVKEETEQEISVAVEKALSLKKPKIQAKIDRANKEKKFLDDLNENLVKNEEIWKAKDKKALKLADNRVAGLEEQLRDLMACLEGSEKVQQLQGTDEIKDGTVFDIPRESSSASASEPGRKA >OIW14885 pep chromosome:LupAngTanjil_v1.0:LG03:24276843:24277352:1 gene:TanjilG_30604 transcript:OIW14885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEERECCSTDSTITRTNSRSRNSPSSTEKRKKQHQDKPYRGIRMRKWGKWVAEIREPNKRSRIWLGSYTTPIAAARAYDTAVFYLRGPSARLNFPDLLFQDQNDNVLVQQGDMSTDSIRKKATQVGARVDALLLQASSQSASNQIKYEKPDLNEYPKPDEDSSFDLDI >OIW15328 pep chromosome:LupAngTanjil_v1.0:LG03:14563854:14564270:1 gene:TanjilG_10768 transcript:OIW15328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRSMLKTKKLPKQFWGEAVSTSAYILNRCPSSRLEGITPEEAWSGKKPNINHLRIFGSLCYKHVPDAQRKKLDDKSERLILIGYHTTGAYRLYNPYTQRIVLSRDVKVDETQCWDWESPTENQKKNVSIQIVDQPEQ >OIW14383 pep chromosome:LupAngTanjil_v1.0:LG03:29749712:29752190:1 gene:TanjilG_15737 transcript:OIW14383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNNNNLGSLLIPHHHSKSATTLRLCHSSFRRILFHSITCGSISHRRHHTTPPISEDEENTVKPETRLSEKLSDLLNMEDTETKEEALEEMKKVVKELREEDTRRRVTAAGMVRKVAKEDVEVRETLAMLGVIPSLVAMIDYEEDVHSQIASLYALLNLGIGNDANKAAIVKVGAVQKMLKLIELPGGPNSLVSEAIVANFLGLSALDSNKPIIGSSAAISFLVRTLQSLDDKTSPQAKQDALRALYNLSIFPGNVSCILETDLVHFLVNSIGDMELTERILSILSNLVSTREGRKAISMVLDAFPILVDVLNWTDSPKCQENVSYILMVMAHKSYGDRQAMIEAGIASALLELSLLGTTLAQKRASRILECLRVDKGKQVSGSFGGNLVANVSAPIYGSLASCAKRDGEGAECLEEEEDMMSEEKKAVKQLVQQSLQNNMRKIVKRANLPQDIVPSDHFKSLTSSSTSKSLPF >OIW15304 pep chromosome:LupAngTanjil_v1.0:LG03:14165371:14167049:1 gene:TanjilG_10744 transcript:OIW15304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSWSSSNWVMESGDIPHVLAVDDNLIDRKLVEKLLRNSACKENGPRALEFLGLTSGEHNTLNGRSKVNLVITDYCMPGMTGYELLKKIKESSMMKEVPVVIMSSENIPTRIDKCMEEGAQMFILKPLKQSDVKKLTLV >OIW14910 pep chromosome:LupAngTanjil_v1.0:LG03:24001581:24005416:-1 gene:TanjilG_30629 transcript:OIW14910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRNSQRKSVASASAMFDSDDDSSATSSSTAPSDLMSIPETEQLQSDQDSLLDQALDSLDEKRSSTREKAFSSIIEAFNSNMQHQFVEKKFATLLHQCLASIKKGPKKASSKEIALAAHAIGCLALTVGCGDNAREIFEEAVRHVEESLASNSDVSKIPSLLECLAIITFVGGNDQEETERSMDIMWRVIHPKLGSNVVAVKPSAPLITAVVSAWSFLLSTVSNLKLNSKNWQNSISYLSTLLDKEDRSVRIAAGEALALVFEIGVIDKYSSESRSASDGTQEEIKPQESYIFLQGLKGKVINQCKNLAVEAGGKGSAKKDLNSQRNLFRDISDFFEYGYSPEMSTKIGGDSLQTSSWFQMIQLNFIKHFLGGGFTKHMQENEFLHDVFDFTPKKKYLSNNEHRMSGLEKRMFKSPNSVLNKARTQLLDKQRLLTEGRKFGHYAVNMVDDEA >OIW14554 pep chromosome:LupAngTanjil_v1.0:LG03:26965719:26968319:1 gene:TanjilG_14940 transcript:OIW14554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTRGTPSKSESGKSKVSEGGGEQVKTDSIAFGDVIWIKLRHGSWWPAQVVDAKSVDKSMKPRKRSVGDVLVRLYGSYKYSYVDPIQSRSEFETILKSNNGSYRDILLQSLEKDLPSNKSSKSKGSSSKGKGTPSKRKSCQKDDDDLDSESPETAALGKSQELSARRVRVMASLGLIAPPGSPFHKDGHNSIQNL >OIW15870 pep chromosome:LupAngTanjil_v1.0:LG03:1230760:1234080:-1 gene:TanjilG_04405 transcript:OIW15870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLERALSSRRYNLHGDAIDDGATSSSDESKTKKHKLLFCRITNKVSNYVSKITGSHYQWSCAIIVFFILLLLLASSFLFTSRGFVCISSFDPVSRARFFGFDGLESDFGALGVPWCRSKHGKTVEWTSKDLLKGLEEFVPIYETRPIKNNMYGMGFDHSFGLWFMARWLKPDLMIESGAFKGHSTWVLRQAMPNTPIISLSPRHPEKYLKKGPAYVDGNCTYFAGKDFVDFGSVDWPKVLHKHGIVDHSRVLIFFDDHQNELKRVEQALKAGFHHVVFEDNYDTGTGDHYSLRQICDQSYIRGGGHSCFKDSDEARIRSRRKKFWEKAVDIAELCGSDEAWWGVRGYMRDNFNHSNKPISHPQHFQNSRFIESILDVYWELPPVAGPSLTHQTRYDPARVPSPIVEDGRYGLFQRLGLAKLDNSVFNGYTQMVYLQISEQ >OIW15555 pep chromosome:LupAngTanjil_v1.0:LG03:5453149:5457698:1 gene:TanjilG_01078 transcript:OIW15555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRPGIPSRRFGDTGGGLFSSSKSKPSPILSIALIIVGGLFLIAYVYKGSGGFVRLDSVTMVEGDYLCSREVQQAIPILRQAYGDRLHKVLHVGPDTCYVVSKLLKEDETEAWGLEPYEIEDADSNCKALIRRGSVRVADIKFPLPYKPKSFSLVIVSDTLDYLSPRYLNKTLPDLARVSADGIVIFTGFPSNKKKAKVADVSKFGRAAKRRSSSWWTRYFAQNNLEENEAASKKFVQASTKSSYVPKCQIFHLKSLH >OIW15134 pep chromosome:LupAngTanjil_v1.0:LG03:20464866:20471267:1 gene:TanjilG_14133 transcript:OIW15134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEALQEEQESSTTISLTQTSSTKSPTSSSSTVPNPSPFSASLSPTTSPTPSSAASASTPPPPSLSSTSPHNSSITVPISNSYSAFAVWGGIVSVYREFGFAPIVFDMVLKAFAEKGMVKYALHVFDEMGRLGRVPSLRSCNCLLSKLVDAGEGKVAVMVFDQVLRMGVVPDVYMVAIVVNAHCRGGDVEIALGFLEKMEKMGFEINVVAYNGLINGYACKGDLDGAERVLKLMLERGVSRNVVTWTLLMKGYCKQCRMDEAEKMLLVMEKDELVVVDERVYGMLVDGYCQIGKMDDAVRIRDEMLRKGLKMNVFVCNSLVNGYCKHQLVCKAEKLFRDMVHWNLRPDSYSYNTLLDGYCREGKISKAFMLCDEMLRNGLSPSVVTYNTVLKGLVHAGSYDQALCLWDSMVQRGVAPSEVSYCTLLDCLFKLGDSDRALVLWKEILGRSFTKSPVVFNTMIGGLCKMGKVVEAEAVFERMKELGCSPDEITYRTLSDVYCKSGNVLEAFKIKALIEREAISPSIEMYNSLINGLFKSRKSTNVSSLLVEMQTRGLLPNAVTYGTLISGWCDEGKLDIAFNLYSEMIEKGFTPSLVVCSKIVSSLYKADRISDATVILQKMVDFDLLTLHNCSDKLVKHDDISLQAHKIADSLDKSAMGNSLPNNIVCNIAIAGLCKSGKVDEARSVLSVLMSRGFHPDNFTYCTLIHACSVAGNMGDAFKIRDEMLERGVAPNITTYNALINVGTVANAFVSVAFQIFEVAPLLYMVELQKSEEDSLEFHKVASAKVWPVMWLDLEAKLSSTLHHPFEGERTSFQKGAFQCKVLQAKIGSDIYPSHWEIKLLGPKPVLQLGVVAEFIYLLKVQLL >OIW15615 pep chromosome:LupAngTanjil_v1.0:LG03:4332465:4340467:-1 gene:TanjilG_08191 transcript:OIW15615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELALTEEDSAQWVYRGEGAVNLVLSYTGSSPSFIGKVMRIRKVPRNGSKGVKISNLALSEHECLVWKDVVELISSSDEEIANQQYLQHVLQPLLGSKFIDAGVHVSVSREFLESVEKNVISQRPAWRVDAAGVDTHCDFGLLMSDHSLFANGSQGSGPCISVEIKPKCGFLPLSRFISDGTAIKKKITRYEMHQALKLHQGEISHLSAYNPLDLFSGSKERIHKAVKDLFTTPQNNFRVFLNGSLILGGLGGAAENTNLCVAKAFEDELKSVIQADSGLCTENFFTLIAETLKKSGVLDQLLEVQKLDTIDIEGVIHAYYDITSQKCLVCRDLSEEEVKRYASLHSASLDESLRIVKDYLIAATAKDCSLMICFRPKKEEDSGSVYNNVYLESTKQAFDFKVYFIDLDLKHLNKVEGYYKLDKKIVSCYKQMIKMDEEME >OIW14794 pep chromosome:LupAngTanjil_v1.0:LG03:24944548:24945279:1 gene:TanjilG_05415 transcript:OIW14794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKSHQQKNNFTYDWIVRTRVDGYWNNPLGPEYFIPGQYLVPPGSAFRGLNDRLGIGDITTSTVALNRLSLIPQLDSLGLKELNSESAFKAQLEAQNISYITKRLPFCILSDRRYNFPPGRFGVPVGSLSSQSLLSGAKCRPCTPVCRGACVEDVMGSVEKFWSWTNYEDGALELCDARKGWEKDWENIFDRVAGKKYAKARKGIHSMKFDKCVKDLLQLKKRSAYWDAPSVEDICKLGFNPF >OIW15199 pep chromosome:LupAngTanjil_v1.0:LG03:16524161:16526280:-1 gene:TanjilG_08791 transcript:OIW15199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRILGLLKLRVKRGINLAIRDARTSDPYVVVHFGDQKLKTRVIKNNCNPEWNEELTLSVRDVKTLIHLTVYDKDTFSVDDKMGDAEIDIKPYMQSMNMGLNKLPNGCAVKRVLPSRSNCLAEESSCVWHNGNITQEMILRLRNVECGEVVVELEWVDVPS >OIW14901 pep chromosome:LupAngTanjil_v1.0:LG03:24148322:24150498:-1 gene:TanjilG_30620 transcript:OIW14901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREPDATIRRDGIARCFKEIPLRENQEHVLVLSALWHTAMNKPNDQEFPSLGIFKCMANLINKGVKDRNWLLTNQNIYIPYYAAHIIGSYTMNKEEFALIAVQSGVIPPLMDLLSGKISWVEQRVAVRALGHLASYNSTFDSVAGYEQEVVKLAMNLASTCLEVVYVEYVAVNDVKKRLEYHKNLLTRGVGDLEIENQKAEEWGSQLQCWSIYLLNCFACKDRSLSLNLICKRVFLRDLCNMWGGLVNHTSPAGVGLIRILCYNKVGRKNIAESPKVLKILGNLSRSSDDWQYIGIDCLLLLLKDPDTRYKVFDIAVLYLVDLIELSSLGDKSNVGETITKVLLLEHNNRSKVKFNGNKVEASLQVVVDLKVHRKNREKLVSAQNLDEARVLANLKKQQGNHMFKLGKVKKALLKYCEALDICPLKFRKERMVLYSNKAQCNLMLKNPDSAISDLTRALCLSDQPNTHIKSLWRRSQAYDMKGMAKESLMDCIMFMNCCIKSSNDSRRVNIPYQATRMICKQMEATWLFSNARSKVKHKSIVVERRKECNENGRVENEGNNEEQPHDHMSLMMEKKGFMPGLSTILEEPFNTKDTSRRKMERARRRLKKGVVASQA >OIW15357 pep chromosome:LupAngTanjil_v1.0:LG03:13256071:13259624:-1 gene:TanjilG_26730 transcript:OIW15357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECRSNLEKVVVVGGEGIEYILKSKIGEGSYSLVWRAEQRPSGDVVALKQVFLSKLNPYLKACLDNEINFLSSLKHRNIIRLIHFFQVDDGCVYLVLEFCAGGDLASYIRCHGRVQQETARKFMQQLGSGLKVLHSHNIIHRDLKPENILLSNHGDDVVLKIADFGLSRTTRLGEYAETVCGSPFYMAPEIIQFQRYDNKVDMWSVGTILFELLNGYPPFNGRNNVQLLKNIKSCTQLPFSQLILSGLDPDCLDICSRLLSLDPGYAHFLIS >OIW15987 pep chromosome:LupAngTanjil_v1.0:LG03:2120063:2120647:1 gene:TanjilG_04522 transcript:OIW15987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIRTTKKFFQKTIKNFKSFFSSSYYEMLPKTPPYNDHFSYSVAATSVKNMDNNNNTSYMELENFYNDQWDSEKDKETKRRNKNKAALMLSSPTNQNNEVYKKKNQVYKREECENQNKKKNFFMVEEKLRELEMLDINNEDHVLDIEEILHYYSRLTCPAYIEIVDKFFMQMYSELFGLAWPCSVNSSMKLRYQ >OIW15100 pep chromosome:LupAngTanjil_v1.0:LG03:21679777:21682454:-1 gene:TanjilG_08587 transcript:OIW15100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKPIHQWKVTELKEELKRRRLTTTGLKNDLVRRLDDALRAERDAAEASEKEVNGLEGHDNEAELKDSEIVSEDAVAVDERGNEEKFEAVEENVGSVEPIEREKAEKVLEGVVDDSSKYDNSASAMDQDVEPTVLPAVVDSSNVGEELITHTTVVETTTITTTVTESVLTEVVVDGEDSHSTEKKIEDSGTKLANEDLKAYSTDNNNGGSGTKLENEELKVNSAEKNTEVLGTKLENEESKAQLDNENSKPRLESDTKPSYKDLLPDSTVPKNQVSEVNPSLLGSQVKSDSIFSDSVSINQKNELKDTIITDNVKLEQDIVRSEMVEEPSPRNEPVYEESNSINVGKPHVRKLSVEENSNVVTSPDLNKTNISDDVGYPEKLSLDRSSGDDEDLPESSKVNVDELRDKVESTEVPTVKVENTTVVVGDGQSGGKSDTHQDIDSSPVGLVEKRKFNEQALDCNNDPAKRQRRWSTETVKGPNPQSTTPRSTTTPKDGPVSLKRNFSRSDSFATDDAPKKRIVPPSQRSPTNSLRIDRFLRPFTLKAVQELLGKTGKVSSFWMDQIKTHCYVTYSSTEEAIETRNAVYNLQWPPNGGRFLLAEYVEPEEVKMKLEPPPSQAPSISSGPAVPPAPPSQPEPSPRQHRELPPPPPTLPPPPPLSKPPPVGIERLPSPPPLPEKADLPTVTLDDLFRKTKATPRIYYLPLSEEQVAAKLAAKGRKAPGSR >OIW14650 pep chromosome:LupAngTanjil_v1.0:LG03:26026316:26027521:1 gene:TanjilG_32992 transcript:OIW14650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKQIFSKLPRKSSSKGGSSDTNSGRSHGGVKSNDLATKLAGLGSASVSQPNHGNINNNRAQLPIGVNENQNPNGNLSFSSYEALPAFRAVPNSEKNALFINKLKMCSVVFDFIDATKNLKEKEIKRQTLVELVDHVTSANAKFSESVIQQVVRTVSANIFRMLSPQLRENKPIDGVELDEEEPSMDPAWPHLQIVYELFLRFVASPELDVKVAKRFIDQSFVLRLLDLFDSEDPREREYLKMTLHRIYGKFMAHRPFIRKAVNNIFFNFIFETEKYNGIAEFLEFLGSIINGFALPLKEEHKLFLVRVLIPLHKPKSLAMYHHQLSYCITQFVEKDCKLADTIIRGLLKYWPITNSSKEVMFLGELEEVLEATQPPEFQRCMMPLFRRIAHCLNSPHFQV >OIW15078 pep chromosome:LupAngTanjil_v1.0:LG03:21435752:21439433:-1 gene:TanjilG_08565 transcript:OIW15078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKNWKFNFFKDQNGVLYLLSLCVLLHSFGLCCSLNEEGKALLKLKERIVSDPFGALSNWVDDDDVAVDPCNWFGVECSEGRVIVLNLKNRCLGGSLAPEVMGLVNIKSIILRNNSFYGFIPAGIAHLKELEVLDLGYNNFSGCLPTDIGSSISLTTLLLDNNYLLGSFSPQINKLKMLSERQVNENHLTSTDKTPAGTRRSIKWHVGHNRKVHRSLLQSRIHEHDDIHNRATYFPDIPAPSSAPSPDPLPAAPPVVPKLAPPERTDYDSPSPLPIPGSPTQSIIPSSKNNNVIIIAGVGGGVVFLVILGIGIYLCKTDKVATVKPWATGLSGQLQKAFVTGVPKLKRSELEAACEDFSNVLGTSSIGAVYKGTLSSGVEIAVASVSLDSSNNWSRASETQFRKKVDALSKVNHKNFVNLLGYCREDEPFTRMVVFEYAPNGTLFEHLHIQEAEHLDWGTRLRVAMGMAYCLQHMHQLEPPLPHSNLNSSAVQLTDDYAAKISDLSFLNEIGKPDKKSRAKKHIEMTLASNVYSFGVILFEMVTGRLPYSVDNNGSLEDWASHYLQGDQPIKEMVDPTLASIDVEKLEQVAVLIKSCVHQDPQQRPTMKEVSVKLRDITNITPEAAVPKLSPLWWAELEIGSADAC >OIW14485 pep chromosome:LupAngTanjil_v1.0:LG03:28238939:28240015:1 gene:TanjilG_19901 transcript:OIW14485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQSILSKYTIHHSVTKKLAKPRKASSSNNTEPIVPRVVRISVTDPDATDSSSDEEGVSQNRKRMKRYVNHIDIETVSKKPVSVVKKPASGRTTVRRQPAKTFSGVNGGRKFRGVRQRPWGKWAAEIRDPLRRVRIWLGTYETAEEAAMVYDNAAIKFRGPDALTNFLTPPSKEATAVVAAVKPVRKVVVNGEASDSSYDSGDDQCVNLSSPTSVLQFRSNEEVMESQKPIQTEENQEMLVEDVFRECEGETSFFDEISLFDETGEFFQRQMPLWDQVFDHETPQYLDDVVLFEEQQQQPLMMDETTPVLSEDDVFDNFNLVDELFDFDKACSQPSSLCQVEDYFEDILSSSDQLVVL >OIW15591 pep chromosome:LupAngTanjil_v1.0:LG03:4096422:4105327:1 gene:TanjilG_08167 transcript:OIW15591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGDVRIGAKKKKRSKTVEIGFDSDDNETIGSMFKLRRPKKKVNLAPEGSCGDGGKNGKGVAEKDSVADNEDLGGMDDTLASFRKRLKGPKRDRGSEASGGRSSALNVGLVSYSDRSLNVSVGGIEGHDLSGDDFVAQESRGTSKDEKVVDMLHGDGTQHPSDEKMEDSLSVIIRKAQSNLIKKSRASSSSKKKKGSQNVDNDLRPGSESVPETVDSAGECKSRSAPALESGKRDLTFAGAVSQPSALGEFSSISDKQKYADDCFQEDTAEGICDIPDESLADHSPSTNVCKGDRQQLSCVQSENVCLNPCSSRLDDVEIIRTLPLSRAGKGTHECTESEFKNRSTIELAQVCNDSSKHSISASMEKESSLPSHDTGSLIKSSGSILIENNFTVSGNIFQESSDNEALKLSGFYVEEDGGVKSESEFISGRSFCDYNSSDAKAEVKDFALGSSLEKNDIMAGGSLSPMMSNEVSESELANESNHPEKPSEMCNIPKDSTPSVLECLDPVQSDGSSLPSAIPDENENYAEFHASLSDFANNDGKISVISRAVRKAKMHKHGDMTYEGDADWEILINDQSLHESQVFADGDHTLRTRAKLDSSFNVVYDSESVAVAAVSAGLKAHAASPIEKIKFKEILKRKGGLREYLDCRNKILSLWSRDVTRILPLAECGVSDIHSDDEGPRSFLTREVYAFLDQCGYINVGIASQKEILGNSASDCCKLVEEKGLEESSAALVADSEDGVSFIVGQTEMSETSMEINKSLTTVYYEDLKTEAAEDRRHVNAATMAISNMRQHEERKNYECQENGQSNESTCIKSAVGDQIGDPLQSDSKARNRVIIIGAGPAGLTAARHLKRQGFPVIVLEARSRIGGRVFTDHSSLSVPVDLGASIITGVEADVATERRPDPSSLVCAQLGLELTVLNSDCPLYDTVTGQKVPADMDEALEAEYNSLLDDMELLVAQKGEQAMGMSLEDGLEYALKIRRMARSGSIGETEKHNSGYTPFDSERDCTVKKNIDEEILSPLERRVMDWHFAHLEYGCAALLTEVSLPYWNQDDVYGGFGGAHCMIKGGYSTVVESLGEGLAVHLNHVVTNVSYGINESDQNNKVKVSTSNGNEFFGDAVLITVPLGCLKAETIQFSPPLPSWKYSSVQRLGFGVLNKVILEFPCVFWDDAVDYFGATSEERGKRGHCFMFWNVRKTVGAPVLIALVVGKAAIDGQSLSSSDHVNHALKVLRKLFGEASVPDPVGHVVTDWGRDPFSYGAYSYVAIGASGEDYDILGRPVDNCMFFAGEATCKEHPDTVGGAMMSGLREAVRIIDILSTGNDYTAEVEAMEAASRQLDTENEVRDITKRLNAVELSNLFYKNSLDGAQILTREALLREMFLNAKTNAGRLHVAKELLCLPVGNLKSFAGSKEGLAVLNSWILDSMGKDGTQLLRHCVRLLVRVSTDLLAVRLSGIGKTVKEKVCVHASRDIRAIASQLVNVWLEVFRKEKKAFNGGLKLSRQAIALDISKRKHLKDSASGRPPLSTHPGSLDNRGKQQAAIGSRHEVSSSRSQGSISKVLTEKEDNCYVISEEERAAIAAAEAARAQAHAAAEAYAAAEARRNKLLQLPKIPSFQKFASKNDECDNRKKWSGALGRQDCISEIDSRNCRVRDWSVDFSAACVNLDNSRMPVDNLSQRSHSNEIASHLNFRENSGESVAVDSSIYTKAWIDTAGGVGIKDSDAIDRWQSQAAAADSYFSNPSISLKDEEDSNGCSRLPSWKHDGMANESSISQVTVNKEALKSHHSRGADHIKQAVVDYVGSLLMPLYKARKLDKDGYKAIMKKSATKVIEKATDKEKAMTVDEFLDFKRKTKIRSFVDTLIERHMAIKPDMKS >OIW14863 pep chromosome:LupAngTanjil_v1.0:LG03:24434424:24436429:-1 gene:TanjilG_30582 transcript:OIW14863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERTKSSLLQIQPPTYGNLITILSIDGGGIRGIIPATILAFLESKLQELDGEDARLADYFDVITGTSTGGLIKRSPYMNAQLSDICISTSAAPTYLPAYYFKNQDPEGKIHEFNLIDGGVCANNPTLVAMNEVMKQIINDNPDFFPIKGLDYGRFLIISLGTGTPKNEHKFNANMAAKWGVLDWLNHSGCSPLIDAFSQSSSDLVDIHLSTVTRAFHSEDNYLLIQACYIINNWLS >OIW15909 pep chromosome:LupAngTanjil_v1.0:LG03:1537990:1540080:-1 gene:TanjilG_04444 transcript:OIW15909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLAPEGTQFDAKQYDSKMNDLLSADGEDFFTSYDEVYDSFDAMGLQENLLRGIYAYGFERPSAIQQRGIVPFCKGLDVIQQAQSGTGKTATFCSGVLQQLDYGLVQCQALVLAPTRELAQQIEKVMRALGDYLGVKVHACVGGTSVREDQRILQAGVHTVVGTPGRVFDMLRRQSLRPDHIKMFVLDEADEMLSRGFKDQIYDIFQLLPGKIQVGVFSATMPPEALEITRKFMNKPVRILVKRDELTLEGIKQFYVNVDKEDWKLETLCDLYETLAITQSVIFVNTRRKVDWLTDKMRSNDHTVSATHGDMDQNTRDIIMREFRSGSSRVLITTDLLARGIDVQQVSLVINYDLPTQPENYLHRIGRSGRFGRKGVAINFVTLDDGRMLSDIQKFYNVTVEELPSNVADLL >OIW14733 pep chromosome:LupAngTanjil_v1.0:LG03:25337267:25345744:-1 gene:TanjilG_05354 transcript:OIW14733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATHEMPLDDKAKRMRELLSSFYSPDPSNSPNSSNTSSKYTSIDDINSISFDPDQYMNILAHKSNLEGLLQKHVQMAAEIKNLDTDLQMLVYENYNKFICATDTIKRMKSNILGMEGNMENLLEKIMSVQSRSDNVNTSLFDKREHIEKLHRTCNLLRKIQFIYDLPDRLVKCIKSEAYADAVRFYTGAMPVFKAYGDSSFQDCKRASEEAIAVIIKNLQEKVFSDSESMQVRAESAVQLKQLNFPVDNLKAKLLEKLEHSLKDVQLIPEEIGNASPSNHEAAICEFVEAIRAFRVIFPDSEEQLVKLARDLVTKHFVITEEYVKTRISGADLLGVLRVIWNDVLLMDKVSGEAALYDHSLEAAKVVIKLYITSTFSYLLQDISDSLLKVVKRDGVEKYSLGVSLDACTKAILQGGKNFLVDVHKILDDNSGILDKLRELIIDWVQEGFQEFLRQLEDPFLLLSGRNSSSSTQNHGFPEEAQDDKALAGLVLVLAQLSSFIEKIAIPKITEESAMSFSGDSVIGYEYGPAFIPREISWKFRSAGEKFLQQYISMKTQRVSLLLKKRFTTPNWVKHKEPREVHMFVDLFLQELEVIGNEVRQILPQGIQKHRRAASTGSTASSISNSLREEKMGRSNTQKARSQLLETHLAKLFKQKVEIFTKVEHTQGSVVTTIVKLCLKSLQEFVRLQTFNRSGLQQLQLDIQFLRTPLREIVEDEAAMDFLLDEVIVAVAERCLDPIPLEPPILDKLIQAKLAKTREQNAISS >OIW15887 pep chromosome:LupAngTanjil_v1.0:LG03:1349505:1352267:-1 gene:TanjilG_04422 transcript:OIW15887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWQTYVDEYLMCDIDGHTLTAAAIVGHDGSPWAYSSIFPEFKIEEIESIIKDFDEPGSLAPTGLYLGGTKYMVIQGESGAVIRAKKGSGGITVKKTNQSLVELKMSWQTYVDEYLMCDIDGHTLTAAAIVGHDGSPWAYSSIFPEFKIEEIESIIKDFDEPGSLAPTGLYLGGTKYMVIQGESGAVIRAKKGSGGITVKKTNQSLVVGIYEQPLTPGQCNIIVERLGDYLIEQGL >OIW15845 pep chromosome:LupAngTanjil_v1.0:LG03:1088132:1088578:1 gene:TanjilG_04380 transcript:OIW15845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATELGLGLEITELRLGLPGGEPMCVDNKNEKKRVFYEIEGGGDENSSSGDRNMPKKIQVVGWPPVCSYRKKNSMNEASKPYVKVSMDGAPFLRKIDLGMHKGYSELALALEKLFGCYGIVN >OIW15576 pep chromosome:LupAngTanjil_v1.0:LG03:4867446:4870249:-1 gene:TanjilG_01099 transcript:OIW15576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNKGPGLFSDIGKKSRDILTKDYNSDHKLTISSSTNTGFDLNTTLVKSRGLSSGDVSTKFNYKNNTVHVKVDTESSVLTTFTIPDIVASTKTIASVRLPDYNSGKIEVQYLHDHAAFTTAVGLNSSPVVDFSATIGTPGIAFGAETSYSTSIGNFTKYNAGMCLRMPTSNASVILADKGDSMKVSYLRQLDSLNGGAAAGEISRRFSTNDNTLTVGCSYVVDRRTLVKAKLNNHGNLGALLQHELANKSFLTISGAFETKALERSPKFGFSLLLKP >OIW15510 pep chromosome:LupAngTanjil_v1.0:LG03:6074168:6075027:1 gene:TanjilG_27361 transcript:OIW15510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGHAQAQNLRDSLAEAKSDIVVKPQLGLRKCSRSFAEAQAAGFSEENGTLCDIWETISGSDLVLLLISDVAQVN >OIW15983 pep chromosome:LupAngTanjil_v1.0:LG03:2079934:2082929:1 gene:TanjilG_04518 transcript:OIW15983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKPFITCDNPKGVVECGSIRKYRCSSKKMKGRNKSKCPAENLEVQTSMKGKPDKEERVSKGSTDRSFDPTSLQLMEVSKGARRLNNMIGSWSTGLSYDEQSEDIAKDLLKGALDLQESLVMLHKVQEVSQHLSRLKRRQNEKSERVRIDDRMMNDRTYSNQFSEQSYPMGFQRPWSSADGSSTSCTEELKKVVKETLVRQNVFPRTATEVLDSASEFLSTNSSRSFGVQTERLSDSSFSPPTSRKERGPSLVARLMGLEEAPARSFPNLVPKQLESQKIQNHKRPMFEIDLPKVRKNNSIAEKVNPEGYKTVREILGTTHYSGLLKTSPVREPKFQVHHHFNDLHSKQFGDLPPIVLMKPRYDSYQEFAPIHEPVPPEELSLRKLKAKAVPSKTFKPREDYINMGKEMEECVSNRLTKEERTKCVKEVVELDAKEINPVENVRGSRGKVKLYSHASQKLQPRVSEIVDKKVKLKTISRNLPEKANWEPKIVIKSQDQGEICPTKLRKPQSGSRIDKNEIPSRKNIGSKTTSKPKNQKINIPKEPIKNQMKKQRHVAVPQATKPVAEQLGEEEKIIHVSCEDDCTEIRIITTIADDLTKEHEVDASATNIGEDCKQSQSSSGDEILMLKPGHESDAIPAEEFHDSTNCCENEIDNKPDEEGSELKYLLLTNQSFIVHAEELLNLDLDSPKMQQKNETTEIANARLYLDCANELTERKSFQESQVVCSLLPTYAGNSRLHISLGKLVEEIYNTIENLTSYSEKNSGKKHASSDSIYTMMERDMKCNDGVMNGIWNWGWKHGFSADEAEQVVNEVENLVLSGLIEEVVVNL >OIW15917 pep chromosome:LupAngTanjil_v1.0:LG03:1583609:1584109:-1 gene:TanjilG_04452 transcript:OIW15917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSSSKRVEKSLSNSPEFNSSCDSAFSHCLSLTQHSFNAVFPYQLKSASDHLHSLLLPQLPLIHTWLPTPPDRTRVDSALRVVTRQTTNDETLIGNTLFREWALRLYTDAVVSAASKAVILRVPVGVAGIAGIGAVSRAGGHVVGTAIGVYSLGVVVSIFLGLSG >OIW14551 pep chromosome:LupAngTanjil_v1.0:LG03:26989852:26991387:-1 gene:TanjilG_14937 transcript:OIW14551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVSATCFSRHNYVPSSRSLSSLPWISPLKLKKAAEPKPDPPPEAPIETWKKRNFLSHETAINLIKREKDPQHALKIFNMVSEQKGFNHNNATYATILEKLAQSKKFQAVDRVLHQMTYEACKFHEGIFVNLMKHFSKSSMYEKVLQTFFSIKPIVREKPSPKAISTCLNLLVDSNQVDLVRQLLLHAKRSLTHKPNVCVFNILVKYHCKNGDLDSAFEVVEEMRNSKFSYPNLITYSTLMDGLCQNGRLKEAFEIFEEMVSKDRIVPDPMTYNVLINGFCCGGKPDRARNVIEFMKNNGCRPNVINYSALVNGLCKVGKLQDAKEVFAEMRSSGLKPDTVCYTSLINYVCRNGKIDEAIELLKEMKENECQPDTVTFNVILGGLCREGRFEEALDMVENLPHEGVYLNKGSYRIVLNSLTQNCDLNKAKKLLGLMLGRGFLPHYATSNELLVSLCKAGMADDAAMALFGLVEMGFQPGPDSWELLIELICRERKLLYVFELLDELVITNS >OIW14618 pep chromosome:LupAngTanjil_v1.0:LG03:26322295:26327376:-1 gene:TanjilG_32960 transcript:OIW14618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWLPKNNAEMKDGVNGLVAVAIDKEKGSQNALKWTIDHLLNRSSTVFLIHVKLKPGSLSTSPSLFGQRSGMQIGDEGPVGKTDPDALNKNIFLPYRVFCTRKDIQCKDVLLEDVDVSKALIEYVSNAGIEHLVLGSSTKAGLFKKFKVLDIPGIVSKGAPDFCTVYVVAKGKINSMRSATRSAPVVSTMLSQLSQASAKSEPLEPPHVPVVPASVKEPEWRPANATSLESQDGTELFRSPFTRKGYSGRSYVESSIPDSDISFVSSGRPSEDCMFPSLYSNSDTTISNSRLSYSSDTDGNYSFESMPYGRRSVEVGTPLEFTSLSFESDRLSSSTSQAVDDVEAEMRRLKLELKQTMEMYNTACKEALTAQQKAVELQRWKLEEERRLEEARLAEEAALAIAEKEKAKSKAAIEAAEAQKRIAELEAQKRLNAEMKALKEAEEKRRALDALGNMDVRYRRYSIEEIEAATEFFAESLKIGEGGYGPVFKCLLEHTPVAVKVLRPDAAQGRSQFQREVEVLSCIRHPNMVLLLGACPEYGCLVYEYMANGSLDDRLFRRSNTPPLPWQVRFKIAAEIGTGLLFLHQTKPEPLVHRDLKPANILLDSNYVAKISDVGLARLVPPSVADSVTQYRMTSTAGTFCYIDPEYQQTGMLGVKSDIYSLGIIFLQLLTAKSPMGLAHHVEGAIEKGTFTEMLDPTVPDWPEEETLSFAKMAVQCAELRRRDRPDLGKVVLPELNKLREIAENSNLHLVLGGYVNVHHQSQVSLQLDGNSSLPVSGDN >OIW15109 pep chromosome:LupAngTanjil_v1.0:LG03:21920957:21924654:1 gene:TanjilG_08596 transcript:OIW15109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESTEEFEPLFDYSRVQPFNPLSFHDDDDDEVYAHKNKKKKVSRPVVDKGKTDVKEVPVVEIEDDDDDDWLPPPPKVSCDTKKLIEEDSILKELRLKKQELVSISQSAKNMLQIVEESAKREFSNVLQSSIDGASEKTSKPPERPKILISVQDKDELKQFRIFMDDKFERIIKIYADKVRCDLHQIALSFDGDKVGLSDTPASLGMEDGDIIEVHVKSR >OIW15400 pep chromosome:LupAngTanjil_v1.0:LG03:11827298:11833503:-1 gene:TanjilG_15783 transcript:OIW15400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVMSSKKSKEELEIASNKAKEIASSSSVVIFSCKTICVEEEFQAKDAQGDGSDIQLALAEWTGLRTVPNVFIGGKHIGGCDTVLEKHRAGQLVPLLNDAGAIANNSAQL >OIW14391 pep chromosome:LupAngTanjil_v1.0:LG03:29666477:29669287:-1 gene:TanjilG_15745 transcript:OIW14391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDTSTLSPVVDGLEVVHRNSVHQDPSNSGEDSVVLNDLDINVIESTEIVASNANFETRNSSVAVIEVSDSNNVNLSKEEEVKITNQTGQLKASKGPIKNKSAKTTTSSVVHASLVKRNKNAKDKETSSAVSIGTSALDSHTRQPIKNKSFNGRQTQLVKHPSNSDAASSEVATSTNAEDAKPRKVGTLPNYGFSFRCGERAERRREFYSKVEEKIHAKEMEKSNLQAKSKESQEAEMKMLRKSLTFKATPLPTFYQEPPPKVELKKIPTTRPKSPKLGRKKSSINSESDGNTSSSSKQGRLTFNEKMSQGNNPPTKGVTLVHPTKPLRRSLPAQLATRRLNSSNSKTVPASSEARKVEKTVSSATKKNVKSSNATKEQKIAIPATNEEDSTLPSETTEALPLNVVDSDKPSEAQSLLNGDLVVEKNPQLAFAQEPITAKH >OIW15634 pep chromosome:LupAngTanjil_v1.0:LG03:4512162:4512425:1 gene:TanjilG_08210 transcript:OIW15634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QNMHFHHCSHVGGLKRVMLFVLLTFLVSGEKKEAVFGLSNQKPNIQEKQWRQRKQLQHKEQVQKYPFDVFVSEKREVPNASDPLHNR >OIW15472 pep chromosome:LupAngTanjil_v1.0:LG03:6754320:6757923:1 gene:TanjilG_32876 transcript:OIW15472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAKIGSFFESIGNFFTGGEQIPWCDRDVIAGCEREVAEASNGDSEERKNESIMRLSWALVHSRKKEDVQRGIAMLETSLGNDKSPLHQREKIYLLAVGYYRSNDYGRSRELLGQCLEIAPDWRQAQSLNKIVEDRIAKDGVIGIGITATGVALIVGGIAAALARKN >OIW15452 pep chromosome:LupAngTanjil_v1.0:LG03:10056499:10058867:1 gene:TanjilG_28651 transcript:OIW15452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPQDLCLYPDEVIVTDGSHVSTTPLQTLVVIRLNDQSEKGFAGCDGLPHGVETTLDIPFSSNSLLMTAFDHTQKEIELLLVDLKPSIVFFDTAFWLPYLTRNLGTKCVTVLYWVITSPSASFVEYTVRKSEGNDEVEFPGSSSIKLHAHEARAMDAILKKEFGSGISLYERIRRASTMADAMGFKGCREIEGPNADYIANVYKKPVLLSGPILPEQQTSALEEKWALWLGKFKTGSVIFVALGSEWELPQNQFQELVLGLELTDEFKERVQGRGVVHGGWVQQTLNLKHPSIGCFITHCGSGSMIEALVRKGEEDGLFPKESVFEAVMTVMNELVRK >OIW14637 pep chromosome:LupAngTanjil_v1.0:LG03:26148942:26153130:-1 gene:TanjilG_32979 transcript:OIW14637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRYSFSYTLVITTIFSTFPLFITTIFLCGSTLQNKVESLPLSTNGRWIVNEDGKRVKLACVSWVSHLETILAQGLNKKPLDDISKSVKDMGFNCVRLTWPILLATNDSLASITIRDHFSSLGLVQTIIDIQKNNPSIVDLSLIKAFQEVVKSLGEKEVMVILDNHVTQPQWCCGNNDGNGFFGDKYFDPDLWIKGLSKMADMFKEVSNVVGMSLRNELRGPKQNVPDWYRYMPKGAEAVHAANPNVLVILSGLSFDTDLAFIQNQPVTLSFKRKLVFELHWYSFSDGNTWASMNPNQACGQITRNVMRKAGFLLDQGWPLFVSEFGIDLRGTSVGEHRYFNCFMALASELDFDWALWTLVGSYYLREGVVEMNEYYGILDSDWSQIRNQTFLQKISAIQLPFQGPDSQESKHNKVIFHPLTGLCVLRKSLSEPLSLGPCPNSDGWEYTPQNILSIKGTYFCLQADGEGNEAKLGTTCSNLNTKWELISDSKLQLSSKISDNSSVCLDIDSNNIIVTNACKCISIDNNCDPESQWFKLVDRTMS >OIW15194 pep chromosome:LupAngTanjil_v1.0:LG03:16444822:16451414:1 gene:TanjilG_08786 transcript:OIW15194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPYNRLPSTGYSTPSPPSSPLRSPRLRPGRIKTGRFSPNQPPPVRTVSQRLAWLFLSVLLRRQGVFLFAPLIYISCMLLYIGSVSFDIVPIIKHRPAPGSVYRSPELYSKLRDEMDSDDSSVDAISTIWKSAYKGGAWKPCVSMSSGGLPESNGYIYVEANGGLNQQRTSICNAVAVAGYLNATLVIPNFHYHSIWKDPSKFSDIYDEEYFVNTLKNDVRVVEEIPEYLMERFGSNMTNVYNFRIKAWSSIQYYKDVVLPKLLEEKVIRISPFANRLSFDAPPVVQRLRCLANYEALRFSSPISTMGESLVARMRKHSEMNGGKYVSIHLRFEEDMVAFSCCVFDGGKQERQDMTTAREIGWKGKFTKPGRVIRPGAIRINGKCPLTPLEVGLMLRGMGFTKNTPIYLASGKIYNAEKTMAPLLEMFPNLHTKETLASEEELSLFKNYSSRMAAIDYTVCLHSEVFVTTQGGNFPHFLLGHRRYIYAGHSKTIKPDKRKLALLFDSPNIGWKSLKRHLLSMRSHSDSKGVELKRPNDSIYSFPCPDCMCRANRTDDSRPSPAT >OIW15036 pep chromosome:LupAngTanjil_v1.0:LG03:22563215:22565044:-1 gene:TanjilG_13963 transcript:OIW15036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQQEQHGLEAYDENMNQMKEQMRIVEEEKGRGLNERGTNDQVLVPKRDINISKPHSRDQMVMQDNNLNNIESLKQHDITKGLELQLFEKDVLLKNLKKEVENLKSYEAHAMAMFSEYRRKLQELEFEIDKRKESETNLFDTLVMQTKQLEQSKISLEESKLEIGNLEEKLKALQNLKTHIESKDGIVNDISTMEIEKGMKNEGEIGQRELNNEAQEGKDLTIGAKALLEELNMLKNELKSATLAEENSKNAMDDLAFALKEVAMESNEVKAKLTLSEVELEHTKGDAERWRAKLGSTEEKYKELLDATRKEAERFKNTAERLRLEAEESLLAWSGKEIEFVNCIRRVEDERVNTQKETARVFEMLREAENKIKVSKDENQKLRDILKQALNEANVAKGAAEIAKAENARLHDSLTLLVQENEMLKIHEAASFENIKELKKLLSESSITKEGELVKKAKTYHNNSTTEKVHSKNLSKTFSLNLKDMISINKENHNHKQQQHKMVNDEANGNNKEIEDYTLKGSIFDEVDSTDLESHHDVHIGIHSNDFDHLDESHFDDSDGERNSRKKGALLRKFGDLIRRRGNLYHHRKDSSNEEHLQQVTNITQVAK >OIW14849 pep chromosome:LupAngTanjil_v1.0:LG03:24519460:24521745:-1 gene:TanjilG_30568 transcript:OIW14849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQALGCTVILSLFVLSSFSSFSFALSNDEAAFIARRQLLHLHENEELTESYVESYKTDLKFDNPRLKHAYIALEAWKKAMYSDPSNFTSNWVGSDVCSYKGVFCAPALDDPETEVVAGVDLNHADIAGYIPPEIGLLSDLALLHINSNRFCGVLPKSLCNLKLMHELDVSNNRFVGPFPQSVICLQDIKYLDLRYNDFEGELPFELFNKTLDAIFLNNNRFVSTIPENLGNSPASVVVLANNHFNGCIPGSIGQMDKTLNEFVVVNNNLSGCLPVEIGKLRSVEVFDVSQNMLVGALPNTLNGLGKVEELSIANNKFTGSVSRSICSLPGLVNFTFSDNYFNEEEKDCVPQSRKDIELNDERNCIPNRPEQRGASECNAAISKAVDCSKTQCGHPSTPSHSNNPPSETPSEPEPKLTPSISNPPTETPSNPESPPQTPETPKTKPPTPETPKTQAPVTPQTPKPEPPPTPETPEVEPPVTPQTPNPEPPPTPETPEVQPPSTPQTPKPEPQPTPKAEPPPTPQTTIPQPPHSPETPKSPPLEDDPHNEAPRGRFRTPPPSVHSPTPLVQSPPPPVHSPPPPVHSPPPPVHSPPPPVHSPPPPVHSPPPPVHSPPPPPVHSPPPPVHSPPPLVHSPPPPVHSPPPPMHSPPPPVHSPPPPVHSPPPPVHSPPPAPIHSPPPPVHSPPPPVPFNSPPPPVHSPPPPVHSPPPPPAYSPPPPIQSPPPPTPTISPPPPEEEDIILPPHFGSSYASPPPPIIAGY >OIW15422 pep chromosome:LupAngTanjil_v1.0:LG03:10439754:10442693:-1 gene:TanjilG_12276 transcript:OIW15422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTVTPPSLSSHHHDKLSIYHRTTLTRNNNPLSLIPIPKSSLYLSIHTKSESHTQTQAQAQGPYLQQRLHKLCSSGNLNEALKLLQSQFHNFFSTSEAIGALLQACGVHKDIEVGRRVHGIVSDSVQFRNDVVLNTRVVTMYSMCGSFLESRKVFDGFQNKNLFLWNTLLSSYTRKELFHNTVSLFIELISSTDFVPDNFTLPCVIKASAMLLDVEFGEVIHGFALKIGLFTDTFVGNALIAMYGKCGFVESAFKVFECMPERNLVSWNSIMYVCLEKGLFEESYDLLHRLLNSEEGLVPDVATMVTVIPVSAALGKLEMGMELHGLALKLGLCEELKVNNSLIDMYSKCGYLCKAQVLFDRNVNKNVVSWNSMISGHSKDGNCFRTFELLRKMQREEKVTVDGVTLLNVLPACLDESQLPSLKELHGYAIRHDFQNDELVANAFVAAYAKCGSLNYTECVFFHGMKTKTVSSWNALIGAYAQNGFPQKALDMYFLMKDSGLDPDFFTVGCLLLACARLKFLRNGKEVHGFMLRRGLELDEFIGISLLSLYIHCAKTLLAKVYFDKMVTKNVVCWNTMITGFSRNELPYESLNMFRRMVSSGTQPHEIAITGVLGACSQMSALRLGKEVHCYALKAHLTEDKFVTCSLVDMYAKCGCMEQSQNIFDRVNVKYEASWNVIIAGYGINGHGLKAIELFELMQRSGCRPDSFTFIGVLTACNHAGLVTEGLKYLGQMQSLYKIKPKIEHYSCVVDMLGRAGQLNEALKLLNELPYEPDSRIWSSLLSSCRNYGDLDVGEEASKKLLELGPDEAENYVLLSNLYAGLGKWDEMRKVRQRMKEIGIQKDAGCSWIEIGGKVYRFLVGDGSVLELKQIQKTWSKLEKKISKIGYKPDTSCVLHDLEEEEKINILQTHSEKLAISFALLNTTKGSTLRIYKNLRICVDCHNAIKLVSKVVEREIIVRDNKHFHHFKYGFCSCGDYW >OIW14472 pep chromosome:LupAngTanjil_v1.0:LG03:28035810:28044543:1 gene:TanjilG_19888 transcript:OIW14472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRGMDDDEFDEEEEEHVADFDEEEEEERGGGRKRRRSGFIDDDADEVDEDEEEEDDDDDEDFDGGGGGGGRKRQRKRKSAASYFDEEAAVDSDEEEEEEEGEDDFIVDGGADLPEEHGDRMRRARMLPHDDEDHEDLEAVAQSIQQRYARRQADYDEEATDVEQQALLPSVRDPKLWMVKCAIGRERETAVCLMQKYIDKGSELQIRSVIALDHLKNYIYVEADKESHVREACKGLRNIFGQKITLVPIREMTDVLSVESKAIDLARDTWVRMKIVTYKGDLAKVVDVDNVRQRVTVKLIPRIDLQALANKLEGREVVKKKAFVPPPRFLNVEEARELHIRVEHRRDAYGERFDAIGGMMFKDGFLYKTVSIKSISAQNIKPTFDELEKFRKPGENGDDDVASLSTLFANRKKGHFLKGDAVIVIKGDLKNLKGWVEKVDEDNVHIRPEIKGLPKTLAVNEKELCKYFEPGNHVKVVSGAREGATGMVVKVEQHVLILVSDTTKEHIRVFADDVVESSEVTTGVTKIGDYELRDLVLLDNLSFGVIIRVESEAFQVLKGVPDRAEVVLVKLREIKCKLDKKISVQDRFKNTVSAKDAVRIVEGPCKGKQGPVEHIYRGILFISDRHHLEHAGFICVKAQSCVVVGGSRSNGDRNGDAYSRFASLRTPPRIPHSPSRFSRGGPPNHSGGRGRGGRGHDGLTGSTVKVRQGPYKGYRGRVIEVKGTSVRVELESQMKVVTVDRNHISDNVAVTPYRESRYGMGSETPMHPSRTPLHPYMTPMRDPGATPIHDGMRTPMRDRAWNPYTPMSPPRDNWEDGNPGSWGASPQYQPGSPASRPYEAPTPGAGWASTPGGNYSEAGTPRDGSAYANAPSPYLPSTPGGQPMTPNSASYLPGTPGGQPMTPGTGLDMMSPVLAGDNEGPWFIPDILVNVHKPGEESLGVIRELLPDGSCRVALGSSGNGETITALPSEMEAVVPRKSDKIKIMGGALRGATGKLIGVDGTDGIVKVDDTLDVKILDLVILAKLAQA >OIW15014 pep chromosome:LupAngTanjil_v1.0:LG03:23040906:23044870:1 gene:TanjilG_24123 transcript:OIW15014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLKQSEKDPVITQISIGGFGSEDKAKDLVTYLEDKIGLVYRCRLKTSWTPPESYPEFNIINAAPITITDDYQKVEPHAFVHFVLPGSAKAAYDAAGRCDLSWKNQQLMVSCGPENPYFLNQRRSTTTPFKLSDVIVEIGTLISPEEYFVAWRGNDRGVNFLVDPFDDMCRICFNRDTAFSFKGDVRKAVIKCDFQVGFLIRDINEIRRYKDTSHHVVILQLASPPMVWYRTADDDIIDSVPFDLLDDDDPWIRTTDFTLSGAIGRCNFYKISIPPRHGAKLEKAMKYLTGRRVQQIQLRRPLRLRDEPGFGVLMSDPFFCIHSQEGITFDIMFLVNAVIHKGILNQHRLSDSFFELLRNQPKDVNVAALKHLWSYKRPVFDAVKRLKAVQEWLLRNPKLYQSSNLLDHIVEVRRLAITPSKGYCLPPNVEVSNRVLRKFKEVSDRFLRVTFMDEGMQTMNVNALNYYVAPIVKQITSNSFPQKTRIYKRVKTILEDGFYLCGRKYSFLAFSSNQLRDRSAWFFAEDNNLSCDNIRSWMGRFNQTNVARCAARMGQCFSSTYATVEVTANEVNTMLPDIKRNNYVFSDGIGIISPDLASEVAEKLKLDNIPSAYQIRYAGFKGVVASWPITGEKFRLALRPSMDKFISSHTNLEICAWTRFQPGFLNRQIITLLSALDVSDDIFWNMQEAMISRLNQMLVNADAAFDVLTKSCAEHGNAAAIMLSCGFSPQTEPHLRGMLTSVRAAQLWGLREKSRIFVLSGRWLMGVLDESGVLEQGQCFIQVSTPSIENCFSKHGSRFSETKNMEVVEGLVVIAKNPCLHPGDVRVLEAVDAPGLHHLHDCLVFPQKGDRPHTNEASGSDLDGDLYFVTWDGNLIPPSKRSWIPMDYTAQESSIKTRKVMIRDITEFFAKNMVNEHLGAICNAHVVHADCSDYGALDENCLTLAKLAATAVDFPKTGKLVAMPSNLKPKLYPDFMGKEPHRSYKSKKILGRLYRRIKDAYDEDIDAADSNYGTGDIPYDIDLEVPGSDDFIADAWEQKCTYDGQLSGLLGQYKVKREEEVVTGQIWSMPKYNSRKQGELKERLKHSYSAMKKEFRQIFEKLNSDVGELNDEERNLLYEKKASAWYQVTYHPKWVKKSLDLRFKSSEDDLEPDSLGNTVMLSFPWIAVDYLACTKIRHQGPAKFDSTKQVGSLAKYLSERL >OIW14865 pep chromosome:LupAngTanjil_v1.0:LG03:24413820:24417516:1 gene:TanjilG_30584 transcript:OIW14865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGKWICSYKRTTLLFCFFNIVVALFVLRSLYVSIYISSSNVSNNVVLYNPDQIRKMEESIQIRKAFKPVELIKLVKSLEGEFSRENAALELPRHLKQKIVDEILQRLKSINSSSTGIASEREAVESWRTEKLKEVKLALASETSSSTIPHEEAGLILRALESDWAVFCEEIGLWIPAVVANEEHDDKPEGAEEFEEEVLPGRPLSPECHAELHTDYDGTAVRWGLTHHKESAADCCQACLDHARRAKEGEKKCNIWVYCPSEFGCHSPDIYEHKHQECWLKYAEKPRLNFKDKYPEWYRNSHPSTPVIVPWASGVVSL >OIW14817 pep chromosome:LupAngTanjil_v1.0:LG03:24785774:24787073:1 gene:TanjilG_05438 transcript:OIW14817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGFQFPLTWGRKRLRSAISDTPSSSSSPPLPKAEASSPATPLSFSPSESEDKRTLLRKNVSLKRKKDHYLKTIEELIKDNVLLHREVMNVKCHFEKLKFLNSKLKARKQELSLPKQPYQSEIPTMLHSPSLILNQPQIGDTIEGNNYMAQFQILQQTMSFEMLSSSSFNGLGNNNKIVGPIGIPDLNLLPNDEPIIGEECGNVSVADKNLSRAIEAAQARQKRIQICKFKNPITNSKVRYSWR >OIW15239 pep chromosome:LupAngTanjil_v1.0:LG03:15993036:15998731:1 gene:TanjilG_17559 transcript:OIW15239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSSLNKLKRIALPKSVGKEKKKFQQSAKFDELALAAKDMQEMRDCYDSLLAAAAATENSAYEFAESLREMGNCLLEKTTLNDDEESGKILGILGNVQLELQKLVDIYRSHIIQTITNPSESLLNELRTVEDMKRQCDEKRDVYEYMIAQHKEKGRSKSGKGESITSQQLQGAHDAYEDEATLCVFRLKSLKQGQSRSFLTQAARHHAAQLNFFRKGLKSLEAVEPHVRVVAEQQHIDYQFSSLEEDDVKDGYDDDDENDYETNEGGELSFDYRSNKQGPDIVSTSPNSTEISFDMNQGDFKVSSHYPRISSYSAPIIAEKKFDPAEKVRQLLSSSTAKSNAYVLPTPVSINETKSSSAPRTSASGISHNLWHSSPLDEKKNEKDFIDARLSAPTIPRYHSVLKESNSECTSTQLPRPSAEGLSLPQVDIFNAYDTDKIQRHAFSGPLTTKPLSVKPSSSGVSIGSTDLPPLVSGVFPHLRMPQRLSPKVSPAASPPLDSSPRISELHELPRPPCNQSTKPVKSSQTGHSAPLVFRNPEHPSNKFPSVVSSAASPLPTPPVIVSRSFSIPPSSQRAMALGVTKYLDTPRVLEKVKQAASPPLTPISLGASSKLDLASHSSEIQGETLFLVVCNFGI >OIW15180 pep chromosome:LupAngTanjil_v1.0:LG03:18561141:18564871:1 gene:TanjilG_09919 transcript:OIW15180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMDDECSIYVGGIPYDITEDTIRTIFNLYGAILDVKIINDQRTAGKCYCFVTFTNPRSAIDAINDMNGRSIGGRAVMVNGVKTRGGRSGFSREHQRRNMERNDDWDHGRGRDQDYDHDRVIFRDRHSHRSQERDRSQERDRSQERDRSRDHDRGRDRGFEHMHNYGHEKEPFVDKDRSRDKDGLDNKHEHNKAHGQEKEGEHNLDFDKWSKIDWTSDHDRSFGEDQTKHSRRSSGWYVTHQHSMDHLSDSNGNHDDQVEDQLKRSTQRLDQLKNEVSQMEEKLEEKRLLTLELQKKSRKLEDTLISVKKQSSYRQMQLIKLHKCVLQVKDYSERLKASEKELQALVDMTLLENEDVTG >OIW15823 pep chromosome:LupAngTanjil_v1.0:LG03:938569:939584:1 gene:TanjilG_04358 transcript:OIW15823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHLVSRSSARNMKKGLVDGYVYKEEMNFTVLKTSLFFDGDGFTVYDCNGKLVFRVDSYGPDTIRDELVLMDPNGRCLLTVRRKRPSLHQRWEGFKGERMDGDKAIFSAKKASMMKRASVTVEVYDKPGEEYHIEGCYSQRCCTVKNGVKESMAVINRKVDPTTSVMLGKEVFSLCVKPGFDAAFAMGFVLILDQINCDNYFDNDSTSDHMVHPTTEY >OIW14927 pep chromosome:LupAngTanjil_v1.0:LG03:23870493:23873305:1 gene:TanjilG_30646 transcript:OIW14927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTDFMYINKCSSAQNFGQGQLSPYGHIQLSPSAGVLNYGQGLFEGTKAYRKENGKLLLFRPEQNAIRMQNGAERMCMISPSIDHFVDALKQTVLANKRWVPPPGKGSLYLRPLLLGTGPVLGLAPSPEYTFLIYASPVRNYFKEGSAPLNLFVEEDYDRASRRGTGSVKTISNYAPVLMAQIRAKSRGFSDVLYLDSATKKNLEEVSSCNIFIAKDKYILTPATNGTILAGITRKSVIEIARDHGYQVEERAVTVDELIEADEVFCTGTAVGVAPVGSVTYQDKRVEYITGSGTICQELNSTLLGIQTGIIEDKNGWVIEIN >OIW15790 pep chromosome:LupAngTanjil_v1.0:LG03:710264:720686:-1 gene:TanjilG_04325 transcript:OIW15790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPFPSENGVDGDDEREEEEEEEEDEDEEEEEEDDDEEPRLKYQRMGGSIPSLLASDAASCIAVAERMIALGTHDGVVYILDFLGNQVKEFHAHVAVVNDLSFDIAGEYIGSCSDDGSVVINSLFSDEKMRFEYHRPMKAIALDPDYARNSSRRFVAGGLAGNLYLNSKKWLGYRDQVLHSGEGPIHAVKWRTSLVAWANDAGVKVYDTANDQRVTFIERPRGSPRPELLLPHLVWQDDTLLVIGWGTSVKIASIRTNRHHTANGTYRQVPLSGMTQVDILASFQTSYFISGIAPFGDTLVILAYIPGEDGEKDFSSTAPSRQGNAQRPEVRIVTRNNDELSTDALPVHGFEHYKAKDYSLAHSPFSGSSYAGGQWAAGDEPIYYIVSPKDVVIAKPRDAEDHIAWLLQHGWHEKALAAVESGQGRSELLDEVGSRYLDHLIVERKYAEAASLCPKLLRGSASAWERWVFHFAHLRQLPVLVPYMPTENPRLRDTAYEVALVALATNASFHKDLLSTVKSWPSVIYSVLPVISAIEPQLNTSSMTDPLKETLAELYVIDGQHEKAFSLYADLLKPEVFDFIDRHNLHDAIREKVVQLMMLDCKRAVPLLIQNRDLITPPEVVKQLLNANVKCDSRYFLHLYLHSLFEVNPHAGKDFHDMQVELYADYDPKMLLPFLRSSQHYTLEKAYEICIRRDLMREQVFLLGRMGNSKQALAVIINKLGDIEEAVEFVTMQHDDELWEELIKQCLHKPEMVGILLEHTVGNLDPLYIVNKVPNGMEIPRLRDRLVKIITDYRTETSLRHGCNDILKADCVNLLIKYYKEAKHGIYLTNEEDEPRSKRSNTHASQIFDKTPSLRIMEAKSKTRGGGRCCICFDPFSIQNISVIVFFCCHAYHTTCLMDSSYTNSSKKEIETTYNGFVDDNSHDDDEEEEAGDHRMRCILCTTAAS >OIW15408 pep chromosome:LupAngTanjil_v1.0:LG03:11342701:11343363:1 gene:TanjilG_13744 transcript:OIW15408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESICVTYGTIEKLFANGWYYDGCPQFNRKADAIQLPINCPGCGKYLQEVVPRFRVGVRVRYADDSMKFVLWNCECEQLIRQAASDLMELLLSEGELNPMSIPHDVDDIVTKSLAFKVKVQPTYKHCSVI >OIW14595 pep chromosome:LupAngTanjil_v1.0:LG03:26542518:26555371:1 gene:TanjilG_32937 transcript:OIW14595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALDEETTKKVIRQVEFYFSDSNLPTDVFMRKTVTESEDGMVSLALICSFNRMRKHLNLGDIKPDQVSVETVDAVAQVLRNAASLKISEDGKKVGRATELPKVEEVIEQVEIRTLAVSPFEYDLKLEDVETFFNQYAKVNSVRLPRHVGDKRLFSGTALVEFSSEEEVEKVLKQKLVYAGAELELKPKKDFDAEREKELEAYEKSRPPLGSNRQNNSNEEESYPKGLIIAIKLKSISDEIPSKPNGADQQANDSDGVSKTDEKPSETTVGESDQKVSENVNIGEENNEAKEEKKAVNEEKSQETGEKIPASAYKDNMDVVLREDLKSIFEKFGTVKYIDFKIGEESGYIRFDEAEAAQKARAAAVLSEKGGLIVKNFVAILDPVSGEAEKEYWTLLRGNQKDRHQNFKGNRGRRTTGPTRRSTKGQWTPEEDEILSQAVQRFKGKNWKKIAECFKDRTDVQCLHRWQKVLNPELVKGPWSKEEDEIIIALVNKYGPKKWSNIAQHLPGRIGKQCRERWHNHLNPSINKEAWTQDEELALIIAHEKYGNKWAELTKYLPGRTDNAIKNHWNSSVKKKLDSYKASGLLARLQSSRLQSSGDENGPRGTEGGEVSQCSQESVNAGHFPSATEMSNAVFQTREKNRPNEESSLGKDFSPSQASCSEPYYVSLDDVEFIEQKYVQESGNFTNEDCQLNLHALTDISSLDFVRESSRLQNDCIAPSESRDMLISNDECCRLLFSEALSDECFSSGDNKGLNMADLSRCTSFSCQTSAAPSVSSASDDRLLYTAEANELVGSVDQQFVCPTSAPPVPSASDGRLLYIGYEDQQFVSRSEDNILYANDLSSSPGINEIGRAELQEPTDNVEDTSKLVLVNSIGSGSDAKQTCYPADGKPNVHTEPENTGSLCYKPPCFPSLDIPFLSCDLIQPGGDMQQEFSPLGIRQLMMSSMNCLSPFRLWDSPSRNDSPDALLKSAAKTFIGTPSILKKRHRGLLSPLSDKRIEKKLDFDMASSLIKDFSCLEVMFDNVETQEAVLPSPSSMGKKNSGTSVEDDKENCGQVVKEEQVLEKNNSAISDDKRSELDTGDSNSQEKSTQSVSDVKSEIKNDVNATAEIVQQPSGVLVKHDRNNLLLHSPDLVGFKSDSDHSLCARAHKSPCSGVKSPYGQEKLRVAVASCVQSFCSSAQGENSDIHAGKDGGFETCGIFGGTPFRRSIESPTTWKSPWLFNTFLSSPKIDTEITIEDFGYFMSPGNRSYDAIEWMKQISPIAREILENETPKASQKNESGNDSDGDHKNNDPCDRPGNHCQLASNAILKVERRILDFSECGTPGKVDSSKCSTISC >OIW14510 pep chromosome:LupAngTanjil_v1.0:LG03:27813085:27813315:1 gene:TanjilG_12103 transcript:OIW14510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein HCKCPCCLCRVCFTDQDDDKIILCDAGFQATSGAKKVYDDVSKPSINAEKKCSNKHLSELEKGGGVDMLCEMLSYC >OIW15521 pep chromosome:LupAngTanjil_v1.0:LG03:6291302:6293420:-1 gene:TanjilG_27372 transcript:OIW15521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTTLSHATLSQVSSGKSGVFCPSQALVVKPMSPKIMGNGKRMRVTCQATSIAPERVPDMEKRKLMNLLLLGAISLPTAGMLVPYATFFAPPGSGSSTGGVVAKDALGNDVFADQWLKTHGPGDRTLTQGLKGDPTYLVVEKDRTLATYGINAVCTHLGCVVPWNKAENKFMCPCHGSQYNDQGRVVRGPAPLVSKMENLVVGQFPLT >OIW15047 pep chromosome:LupAngTanjil_v1.0:LG03:22719313:22721979:1 gene:TanjilG_13974 transcript:OIW15047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLGLTSLSLTTPLSASLAVTLAEEEPKMALFTDEVNAYSYLYPVELPSKKFVFKWVESRKPERYSSAAPLSPDARLRIVSERVDIIDNLIISVTIGPPNPSFIKSNDKSTWTAKDVADSVLSDKSALRVTSSQRLAESSVLDTHSSEIDGEPYWYCEYLVRKSPTKLTQDSNIYRHYLATTAERDGFDGLSNAADDACNGCSCCQM >OIW15374 pep chromosome:LupAngTanjil_v1.0:LG03:12803685:12805513:1 gene:TanjilG_16836 transcript:OIW15374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLLSLSINAAPQPGLYFNVLKTLKLTHLSGSAGDVLEDDPVGRLKVFVYELPSKYNKKILQKDPRCLTHMFAAEIFMHRFLLSSPVRTLNPEEADWFYSPVYTTCDLTPSGHPLPFKSPQMMRSAIQLISSNWPYWNRTEGADHFFVTPHDFGACFHYQEEKAIERGIPPLLQRATLVQTFGQRNHVCLKDGSIIIPPYAPPQKMHTHLIPEKTPRSIFVYFRGLFHDVGNDPEGGYYARGARAAVWENFKDNPLFDISTDHPTTYYEDMQQAVFCLCPLGWAPWSPRLVEAVVFGCIPVIIADDIVLPFADAIPWEDIGVFVNEEDVAQLDTILTSIAPEVILRKQRLLANPSMKQAMLFPQPAKPGDAFHQVLNGLARKLPHDRTVFLKPGEKVLNWTSGPVGDLKPW >OIW15043 pep chromosome:LupAngTanjil_v1.0:LG03:22699186:22700181:-1 gene:TanjilG_13970 transcript:OIW15043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYKSSSLSCLALLLAFSSCIHAFDVLKVFGSDPEFTQFIKALTETKLVDEINKRSTITVLSLNNEAMSSLSGKSTSTLKDILSTHLLLDFYDDKALFYANTNHTKMPTLFQSSGKAVNDQGYIYVSLINEGEIAFASAVNNAPYKSLLVKTVGSEPSTISVLEVSAPIVAPGIESTVTPVVSIAPVPSAPKATATAKAPATGTEKAPATSNATAKAPATSTVTAKAPAPAKTTVTAKAPAPATTTVTKKAPVAAPVAAPVATSKQGPAPAEVSVIAAPAPSNIAEPPVDAAAPSPVAEEAAAPAPHSSASSTQIGLVGAVMAMASLFVSL >OIW14552 pep chromosome:LupAngTanjil_v1.0:LG03:26974712:26986031:-1 gene:TanjilG_14938 transcript:OIW14552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNTVADLSQGNGGASLPPPNSQPGPPEHQVSHVGSGNTIFKSGPLFISSKGIGWTSWKKRWFILTQTSLVFFRSDPSAIPQKGNEVNLTLGGIDLNNSGSVVVKADKKLLTVQFPDAHDGRAFTLKAETTEDLYEWKTALENALAKAPSAANATEQNGIFRNEQNDSIDISMDQLKDREPMKSTVIGRPILLALEDVDGTPSFLEKALQFLEQHGSNVEGILRQAADVDDVERRVREYEQGKVEFSPDEDAHVIGDCVKHVLRLLPSSPVPASCCNALLEAVRTERGSRVSAMRTAINDTFPEPNRRLLQRILLMMQVIASRKALNRMSSSAVAACMAPLLLRPLLAGDCEIENDFDVGGDGSVQLLQAAAAANHAQAICITLLEEYNSIFGEGSESPDIYTDTEESGSESEGATDDDLSYDDDEDYDDEEDGSVHESDLEDDIVSESYSETGESQANDDKDHDGSGPSSKSSGASEEFKVIQPSKSLKGSRSQHQDIKSIENLTNLTKNACADQSNEPADIVGGVSTDHVTMDNSDFTSPPSHIKKSMTMSNGGAPSPTPRRRTMLGRTSARKNLSMESIDFPIDDEDEIERLEVTRTELQTQIAQEVEANVKLQTHIDRRKKELQERRLALEQDVARLQEQLHKEKKSQTKSDLEELALVEVDLTDLERKVEELGIRLNAQLEHNSGSTLDSYNQSEQISNDERKWKSKPDTDRKNKPHTEVAATSQSDRSISKDTNLGGAENDSERKSESIPFSNKQPPTSSKKSGSKGEGANSTPSALTKLTSRLNFLKVRQSSDKGRDRDSNYSVQNLEKGKGPETQYVSNPEKGRGLESSHSGKCKSSNQTSEKLRKSDSQPGYHSEGWNPNQYPKQLERGRSEGHHQPYNVDKGR >OIW16055 pep chromosome:LupAngTanjil_v1.0:LG03:2796999:2801053:-1 gene:TanjilG_04590 transcript:OIW16055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRASLNLASSLLSRTLAASSSRSAAASSSFPAPNRFLHSALYRTSTAGGSNNHRRCFSSLLRPYTAASLGFAGALITSLAATSVYQEALAKEPPPAEALPNDVVLYQYEACPFCNKVKAYLDYYDIPYKVVEVNPLSKKEIKWSEYQKVPILVVDGDQLNDSSAIIDKLGQKIMPKKKANENDEETKWRQWVDNHLVHVLSPNIYRNATEALESFDYITSNGNFSYTEKFSVKYAGAAAMYFVSKKLKKKYNITDERASLYEAAETWVDALNGREFLGGSKPNLADLSVFGVLRPIRYLRAGKDMVEHTRIGDWFTRMESVVGESSRIKP >OIW14460 pep chromosome:LupAngTanjil_v1.0:LG03:28792658:28796702:-1 gene:TanjilG_19508 transcript:OIW14460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRAHIIISLYTQISQSRSSHSLNISPTKQITGVFLNTMKSKSSVNRPPTPDVLEDTPEREPTLQELINIKLIETGEKERLMELLRERLVDCGWKDEMKSLCRAFVKKKGRNNVTVDELIHVITPKGRASVPDSVKAELLQRIRTFLVSAAL >OIW14547 pep chromosome:LupAngTanjil_v1.0:LG03:27028075:27029574:1 gene:TanjilG_14933 transcript:OIW14547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYFSCNAESAIATCDPHYWDLKKTTTNNTTTRTIRKFHYTDLVTATNSFSDQNFLGKGSHGSVYKATLDGGKLIAAVKTTKLTTKTTTSRHHHRRHITTPAENEIEILSHVNSTRMVNLIGFSTDPNDNKLIVVQYMPNGSLHDLLHSPVGPPGWTERIRFAHQVAKAVHTLHSSNPPIIHRDIKSSNVLIDYDRNARLGDFGLSLRGHVEDVLVKCTPPAGTLGYLDPCYLAPEDLCAKTDVFSFGILLLEIVSGRNAIDLNYSPPSVVDWAVPLIKRGQFGDICDRRIGVPADEAVVRQLAVLAGRCVRSTAERRPSMEEVVEGVKNVRKRIGAAAMWNGLSRRVARDRFGVFECGDVDRSEEVVRVVKGGSRRRNGKVSSVGGVEYQIGPSSNQVSRVVRCKSIGSGSIMKLGSDHNADGKPTRIEIKGNMRLKKSKSMDFSQGTTTLLHYGSENKYKSGPIHSIETAMSKLVIKHKLEEKMLEAPLVRNLSNPE >OIW14519 pep chromosome:LupAngTanjil_v1.0:LG03:27426402:27427790:-1 gene:TanjilG_04952 transcript:OIW14519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQSPSTAVTSPEPYHRQIHFSSDDSVGDGARDYTAYIPDECLAMIFHFLTSVDRKRCSSVSKRWLRVDGESRYRLSLNAKAELVNRVPSLFSRFDSVTKLTLRCDRRSMSINDDALILISLRCSNLTRLMLRGCREVTEIGMAGLARNCKNLKKLSCGSCMFGVKGVYTLMEHCNFLEELSIKRLRGVDDGVSTEIVGNGVVSVSSSLKSICLKELVNGRSFEPLIIGSKKLRSLKLIRCLGDWDMMLETMGKLNPGLVEVHLEKVQVSNLGLRGISKCLKLETLHVVKTPNCSDLGISDVAERCKMLKKLHIDGWRSNRIGDDGLIAIARNCPNLLELVLIAMFPTGLGLEAVVSNCPNLERLALCGIGTVGDAEIKYIATKSVALKKLCIKGCPVSNSGIAAFGFGCPNLVKLKVRKCRKVSGEVVQWLREKRGPRIPASAIDENRCQVSQPLAAIVTR >OIW15635 pep chromosome:LupAngTanjil_v1.0:LG03:4515764:4516240:1 gene:TanjilG_08211 transcript:OIW15635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDPEITPAPVSDQETTLPPPPQTEAPPQQNTFPNPSTTKASSISFSIWPPTQRTRDAVINRLIETLSTPSVLSKRYGTLSSDEASAAARQIEDEAFAAAGTSTDEDGVEILQAYSKEISKRMLDTVKARAPPATTVDNGGASDITAEDGVVSAASDS >OIW15129 pep chromosome:LupAngTanjil_v1.0:LG03:21184021:21185872:-1 gene:TanjilG_09060 transcript:OIW15129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAPEGSQFDAKQFDSKMNELLTSEGQDFFTSYDEVHDSFDAMGLQENLLRGIYAYGFEKPSAIQQRGIVPFCQGLDVIQQAQSGTGKTATFCSGILQQLDYSVTECQALVLAPTRELAQQIEKVMRALGDYLGVKVHACVGGTSVREDQRILSSGVHVVVGTPGRVFDMLRRQSLRPDYIKMFVLDEADEMLSRGFKDQIYDIFQLLPSKIQVGVFSATMPPEALEITRKFMNKPVRILVKRDELTLEGIKQFHVNVEKEEWKLDTLCDLYETLAITQSVIFVNTRRKVDWLTDKMRSRDHTVSATHGDMDQNTRDIIMREFRSGSSRVLITTDLLARGIDVQQVSLVINYDLPTQPENYLHRIGRSGRFGRKGVAINFVTKDDDRMLFDIQKFYNVVIEELPSNVAELL >OIW15747 pep chromosome:LupAngTanjil_v1.0:LG03:397093:397773:1 gene:TanjilG_04282 transcript:OIW15747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGRKGGGPKKKPVSRSVKSGLQFPVGRIGRYLKKGRYSQRVGTGAPVYLAAVLEYLAAEVLELAGNAARDNKKNRIIPRHLLLAVRNDEELGKLLAGVTIAHGGVIPNINPVLLPKKSATSSAAAVGSKSPSKATKSPRKAVAA >OIW15433 pep chromosome:LupAngTanjil_v1.0:LG03:8410229:8410462:1 gene:TanjilG_28632 transcript:OIW15433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVASRVSSRSVVPNKSPRIVPISDDDMSVNPIPRRTSPHRIFTRVVKKGIHHGPDPVVVEADRRIIAFWARQKARV >OIW14457 pep chromosome:LupAngTanjil_v1.0:LG03:28732804:28735496:1 gene:TanjilG_19505 transcript:OIW14457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLWVVALELTEEKQQLESWMQEDGREVFCPIVGCGARLISMEDFENHYNARHTAVCSVCSRVYPTSRLLSIHISEAHDSFFQAKVARGYDMYECLVEGCGLKFKSYNSRQQHLVDKHKFPTTFEFYKKARSSKKHRLKSQRKQPFHKEDASGLMEVENAAIDDLASAVSKLSTSDSTPSSISFGHRRKGMTFVPRSVRHGSGSNP >OIW15430 pep chromosome:LupAngTanjil_v1.0:LG03:10772618:10779255:-1 gene:TanjilG_12284 transcript:OIW15430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLSFTPTNTILGTPSSFVTTTRLLSTSHSIPFQKHRRSFVVRGSVTAAPASSSVVKVSGEYSVKSVKARQIIDSRGNPTVEVDLVTDQVYRSAVPSGASTGIYEALELRDGDKNVYGGKGVLNAVRNINEFLAPKLVGIDVRNQADVDAIMLEIDGTPNKSKLGANAILGVSLSVCRAGTGAKGVPLYKHIQEISGTKELVMPVPAFNVINGGSHAGNNLAMQEFMILPVGATSFAEASAWAVKGIIKAKYGQDACNVGDEGGFAPNVQDNREGLVLLIDAIEKAGYTGKIKIGMDVAASEFYTKDGKYDLNFKKQPNDGSHIHSAQSLRELYKDFVKEFPIVSIEDPFDQDDWSSWSSLLSSVDIQLVGDDLLVTNPKRIADAISKKACNALLLKVNQIGTVTESIQASLDSKAAGWGVMVSHRSGETEDNFIADLSVGLASGQIKTGSPCRSERLSKYNQLLRIEEELGNVRYAGEAVRSP >OIW14465 pep chromosome:LupAngTanjil_v1.0:LG03:28896403:28897157:-1 gene:TanjilG_19513 transcript:OIW14465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATIKIFIIVLFLGLISQGYGQSCSLNDLYVSVAKAGSFIQGKPEWEVTIVNNCMCKQENVKLNCSGFQTVDIIDPSVLNISGNVCLVNSGKPITSNDPFTFKYAWYYLFQLTPINSTVVCA >OIW14659 pep chromosome:LupAngTanjil_v1.0:LG03:25948354:25951652:-1 gene:TanjilG_33001 transcript:OIW14659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMDADVAGGVKTTSSFSMLRNYPLVASLLAFAIAQSIKFFTTWYKERRWDPKQLIGSGGMPSSHSATVTALALAIGYQEGFAGSLFATALVLACIVMYDATGVRLQAGRQAERNILWLKADHSGNFLGIRPLRSLLVGYLDL >OIW14831 pep chromosome:LupAngTanjil_v1.0:LG03:24735072:24738209:1 gene:TanjilG_17056 transcript:OIW14831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSSTGKLHEYISPSNSTKQFFDQYQMTVGTDLWSSNYENMQENLKDLKEVNRNLRKEIRQRVGECLEDLNIEELTLLEDDMDKAAKVVRERKYKVITNQIDTQRKKMNNEKEVQNRLLRDLDARAEDPRYGLVDNGGDYDSVIGFSNLGPRMFALTLQPSHPNPHSAPGSDLTTYPLLF >OIW14500 pep chromosome:LupAngTanjil_v1.0:LG03:27597784:27598386:1 gene:TanjilG_12093 transcript:OIW14500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEEGKQSSHKTPYTEFDQVRDDFILATSLQEQEGTLSTLATIESESETDEYVSDSSFDDDDDDADFSESQEFDAFLEGEGSNYDEDMEMEEDEIDPDELSYEELLELGEFIGEEKKGLSKNEICSFLYPNIYQSGASKSGIDKCVICQVEYEKGEALVALQCNHPYHKDCITKWLQVKKVCPICSNEVSAPKMVSNNNA >OIW15051 pep chromosome:LupAngTanjil_v1.0:LG03:22745568:22747300:-1 gene:TanjilG_13978 transcript:OIW15051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHTQVPLPISISDPEATVYIVPHIGPTPTNTTTTSPKASFSFNVEKVKTNLILRSKWAELNGAMGDLGTYIPIVLALTLARDLNLGTTLIFTGIYNILTGAIYGVPMPVQPMKSIAAVALADPSFSIPEIMASGILTGGVLLVLGATGLMQLVYKFIPLCVVRGIQLAQGLSFALTAVKYVRKIQDLPKSKSLEARHWVGFDGLVLAIVCVCFIVIVNGAGDKDRGSCGCDHDHDGNSDEVVEIEGKKNICKVRKLIFSLPSAFLVFVLGVVLAFVRRPMVVHEIKFGPSSIEVVKISRHAWKQGFIKGTIPQLPLSILNSVIAVCKLSSDLFPGKDFSATSLSVTVGLMNLIGGWFGAMPACHGAGGLAGQYKFGGRSGGCVAILGGAKLVLGFVLGSSLAHILNQFPVAILGVLLLFAGIELAMTCRDMNTKEDSFVMLTCAAVSLVGSSAALGFLCGIIIYVILKVRKWTKGMPLSAFWNNETTEQL >OIW15504 pep chromosome:LupAngTanjil_v1.0:LG03:7969179:7972388:-1 gene:TanjilG_32908 transcript:OIW15504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLEESEYEVISLNTNFEAEIARNALLEKENKELRQEIAQLKSQIISLKAHNIERKSIFWKKTEIHFHEPVPRYDKSAIARVPMPPPRPSSKPLPSHKNEKGMKMQPTITAPPPPPTPPKSLAGLKTVRRVPELIELYRSLSRKDANIDNKTNHNGIPAVAFTRNMIEEIQNRSTFLSSIKSDVERKGELISFLIKKVESASFSNISEVDGFVKWLDGKLSSLVDECSVLKHFPHWPESKADALREASCNYRDLKNLESEVLSFEDNPKEDLPQALRRVQALQDRLERSVNNVERTRESTSRRYRNFHIPWEWMLDTGLIGQMKLSSLRLAKELMKRITKELEQNEVFQEDNLILLQGVKFAFRIHQVDLIQRPYKHSKN >OIW15325 pep chromosome:LupAngTanjil_v1.0:LG03:14519445:14520901:1 gene:TanjilG_10765 transcript:OIW15325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSELACSYATLILHDEEIAITAEKISTVLKAAQVNVESYWPSLFAKLAQKRNIDDLILNSGGGGAAAVAVAAPAAAAVGAAAAAPAVQEKKDEAKEESDDDMGFSLFD >OIW15107 pep chromosome:LupAngTanjil_v1.0:LG03:21873736:21874864:-1 gene:TanjilG_08594 transcript:OIW15107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLNSNRVDHFVCHGTTTTIKIQTDIPIMQQSTPLEIHKVRLPPQHTTLHKLKHSLSEIFFPDDPLYTFKNQTWCTKFFLGIQYLFPIFQWGPHYNLLLLRHDVISGLTIASLAIPQGISYAKLANLPPIIGLYSSFVPPLIYSLLGSSRHLGVGPVSIASLVMGSMLSETVSYTQDPVLYLKLALTATFFAGVFQSSLGFLRYYIRKHIQ >OIW15626 pep chromosome:LupAngTanjil_v1.0:LG03:4462904:4464391:1 gene:TanjilG_08202 transcript:OIW15626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSFDRWEKDPFFIAAEEVQESADRMESAYRTWVHATRDSSCMWNSDELRRDLHTALGTTKWQLEEFKRAAGSSYSKTLREGESPRNRHQDFIAAIEHKVTKVDNLLNDSVLSAGKASLPWVRLDEGEQNELALFLSGMPAAESSNPTVSNSRDSENPQLNDNDYASNSRNFHVSSGWGEATEETSHGHRRAASASPDIGSWKIAVSDDAQQSSSSSGSRGPMHKIPSLSAFLSSVEPVKLKWPRNGYRKLKAVDHHKETDSALLPTVELNRGTNASNERSKTYLDGLDECYDKQLHGWHGAIQRQLQRSQYQLQYSQPVRTTVLIVILCLIGKPVI >OIW15564 pep chromosome:LupAngTanjil_v1.0:LG03:5120260:5121215:1 gene:TanjilG_01087 transcript:OIW15564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLLMGHPIEEVSRKVDGGPPGTSIPERILSSFKLHNIKLNRRWIRFLTESLPLPVPSHECSSSPNTTPSSVPPLQPNLVVSITPQNRIHPPNPPGATVPNEAIKPETKRRVVSGLHRCCRRMTSATLAIDDALRRCR >OIW14513 pep chromosome:LupAngTanjil_v1.0:LG03:27834438:27841631:-1 gene:TanjilG_12106 transcript:OIW14513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANNAAACAERATSDMLIGPDWATNIELCDIINMDPRQAKDALKILKKRLGSKNPKIQLLALFPELNVREKILILIDTWQEALGGPTGVYPQYYAAYNELKALETLSKNCGESVFQLIVERDILHDMVKIVKKKPELNVREKILILIDTWQEALGGPTGVYPQYYAAYNELKSSGVDFPPRNENSVPFFTPPQTQPVVHSDAEYGDVAIQASLQSDASGLSFEEIQTAQGIADVLMEMISALNPKDPEGVKDEVIVDLVDQCRSYQKRVMVLVNNTADEQLLGQGLALNDSFQRVLCRHDDIAKGTADTGARGTETSVLPLVNINHEDDESEEDFAQLAHRSSRDTHAQDQKPAYDKAEPLRVNPLLPPPPASKKPVYSDTSMVDYLSGDTYNKAEEPFENSFAPPVHAPVSSTIPTVSSSPPHAVNTSSPFLGKQPVYDEPSPVKKTSEELPPAPWDTQSTAIIPPPPSKHNQRQQFFEQQGGSPSNGGSSASYDSLVGQTQNLSLNSSTPTKQQKPAEDALFKDLVDFAKSKTSSNPNRSY >OIW15950 pep chromosome:LupAngTanjil_v1.0:LG03:1837666:1839461:1 gene:TanjilG_04485 transcript:OIW15950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNNIPLSSIDKEQIFGMAEKEMEYRVELFNKMTQSCFNKCVDNKYKESELHMGENSCIDRCVSKYWHVTNLVGQLLGNGRPPM >OIW14549 pep chromosome:LupAngTanjil_v1.0:LG03:27004209:27006460:1 gene:TanjilG_14935 transcript:OIW14549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSISENLTNDQYVYLAKLAEQAERYEEMVQFMQKLVTSSTSELTVEERNLLSVAYKNVIGSLRAAWRIVSSIEMKEEGRKNDEHVVLVKDYRSKVENELSNVCDSILKLLDDNLIPSATLSESQVFYLKMKGDYHRYLAEFKIGHEKKSAAEETFFSYKAAQDIAQVNLPPTHPIRLGLALNFSVFYYEILNQSDKACGMAKQAFEEAIAELDTLGEESYKDSTLIMQLLRDNLTLWTSDVQDQLDEP >OIW15663 pep chromosome:LupAngTanjil_v1.0:LG03:3263296:3263928:-1 gene:TanjilG_09601 transcript:OIW15663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQITIRSPKHCAEKQVLRIERNYKKLFFVFSSFFTTLLLFLLLVYLIIHPSKPEFSLTQVDIYQLNLSGPKLNSSIQLTLVSKNPNQKVAIYYDEFQVYATYKGQQITGDTPVLPFYQSHEESNLLTASLVGNGVPVAPSIGYELGRDQSAGKLVLNLKVNGKLRWKVGTWVSGHYRFNVNCISVMALEPSMTSTPLSSKQGAQCSITI >OIW15395 pep chromosome:LupAngTanjil_v1.0:LG03:11656969:11657142:1 gene:TanjilG_15778 transcript:OIW15395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITKKISCNWNILETIIPALKFISTKPRWRTKNKTEFSYLIKQKERNENESINLSTN >OIW14887 pep chromosome:LupAngTanjil_v1.0:LG03:24245978:24257730:-1 gene:TanjilG_30606 transcript:OIW14887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGEDCCVKVAVHVRPLISDEKLLGCKDCVTVVPAKPQVRIGSHSFTFDHVYGSTGSPSCAMFEECVAPLVDGLFQGYNATVLAYGQTGSGKTYTMGTGLKDGYQTGIIPQVMNVLFNKIGTLKHQTEFQLHVSFIEILKEEVRDLLDPSLMSKQDTVNGHVGKATSPGKPPIQIRETSNGVITLAGSTEVSVATLKEMAACLEQGSLSRATGSTNMNNQSSRSHAIFTITLEQKRKINIPDDSSLNDTMNEDYLCAKLHLVDLAGSERAKRTGSDGLRFKEGVHINKGLLALGNVISALGDEKKRKEGLHVPYRDSKLTRLLQDSLGGNSRTVMIACISPADINAEETLNTLKYANRARNIQNKPVINRDPMSNEMLKMRQQVEYLQAELCARAGGSSVEVQVLKERIAWLEAANENLCRELHEYRSRCSVVEQCEKDAHDGSICIAKIDGLRRSLPIIGPDYPMNETAGYQLSIIAGDSKEIEEVAKEWEHTLLQNSMDKELHELNKRLEQKESEMKLFGASDAEVLKQHFGRKIMELEDEKRVVQRERDCLLAEVENLAANSDGQTQKLEDIHAHKLKALEAQIMDLKRKQESQVQLMKQKQKSDEAAKRLQDEIQSIKAQKVQLQQRIKQEAEQFRQWKASREKELLQLKKEGRRNEYERHKLQALNQRQKMVLQRKTEEAAMATKRLKDLLEARKTSIRDTLVTMNGSGTNGQSNEKSLQRWLDHELEVMIKEQEVRFEYEKQSQVRAALAEELAMLKQVNEFTAKGLSPPRGKNGFTSICLQKQLKKEGRRNEYERHKLQALNQRQKMVLQRKTEEAAMATKRLKDLLEARKTSIRDTLVTMNGSGTNGQSNEKSLQRWLDHELEVMIKEQEVRFEYEKQSQVRAALAEELAMLKQVNEFTAKGLSPPRGKNGFTRASYMSPHARMARIASLENMLIISSNSLVAMASQLSEAEERERAITSRGRWNQLRSMGEAKNLLQYMFNSVADARCQLWEKDTEIREMKDQIKELVGLLRQSEMKRKEVEKELKVREQAVATALATPASGNSPNSLKHYAEDMKGPSSPMPMPTPKQLKFMPGVANGLVRESAAFTNQKSQLMQMVPIGQLSMKKLAAVGQASGKLWKWKRSHHQWLLQFKWKWQKPWRLSELIRHSDETIMKTRPRSQALLPRR >OIW15528 pep chromosome:LupAngTanjil_v1.0:LG03:5964062:5964388:-1 gene:TanjilG_13834 transcript:OIW15528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDHNRSRSYGNDQMMQIDNYYGASKPYDIRSYSASHAQTQMGPSDLKLKKGKSMSKSWSSLADPEFQRKKRIASYKMYSVEGKVKGTLRKSFKWLKVKYTQMVYDWW >OIW15045 pep chromosome:LupAngTanjil_v1.0:LG03:22710472:22712294:-1 gene:TanjilG_13972 transcript:OIW15045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVDYYNILHVERNATEDDLKKAYRKLVMKWHHDKNPTNKKEAEANFKHISEAYEVLSDPEKRAIYDQYGEEGLKDRPPPGYDEPSGFNPRNAQDIFAEFFGSSPFGFGSSGPGRSMRFQSDGGAGTFGGFNASENLFRTYSERPNNVLKKPPPAESKLPCSLEELYSGSTRKMKISRTVIDTNG >OIW15320 pep chromosome:LupAngTanjil_v1.0:LG03:14438707:14443826:1 gene:TanjilG_10760 transcript:OIW15320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGVGSCNDWSYYASPSSNLSAFAAPFNRPNPNDSSTPFVDSSESVQPQSHVHDFFVNPTRELDSTQSATPSVSQFLYLNPMGSPPEPKDSFFMNPRRELDSNQSAKPSVAQLRNFNSLGTHNLSDQFFYDLSSTSTKPSIVEAQPYYPSYISSPNGDPTPLVAAHNYWSSSGFTHLGEYAKKSPEIGVHGLWNHNGLIGEERMKQGCQDLNGEALLLPHQMFGREKSCVPKNADHSDDKPCWWETVKPMPVEFTATSFTGSPSAPLETYLATNSVAIDSGSGNLHLPYSGSHDKPLGQHDKPSSVNTVFSTPIGGSIMDIGNTIADGDPGNNNFYNIKQAYHMPNPGTAGGFDLSHLRAHLERDKHSTSTNAMISEKVSREVVNDIFKERHGFQNPHAGLDNLSLTLNAIEDINSAEKSFEDADRCNPTLDSPCWKGAPTAHFFRREDYVALSPEYLHKKEEYIGSAIQEPQNYSLDNNNLKYPSENSNSYHCQETGLEGSSMKRSVTKDASEDCNSYGAANAGSFQTESSHDCGLQYLYDTTETKEYSVPSTRPTYVCESGSSHTLHQVLDENNLMYKKQHTLCFGGADAGPNVVECVEHSAPTTGGAEGGCNMNKCMATPYPPKDDQYKRSLASDALNTLEKTPGEVSTEKLNVQMLVDTMHNLSELLLCHCLNDACEMKERDHDILKKVINNLNVCALKKSQQIIPARECLSPKPETSRGAGEACEIQQSSTLEKPRLTNIGPESSKVEHQNPLGQEANNLHLRSKNPNRKLSDSFSSRDKAEIEKEDKMTKALKKILSENFHEDEESDSQTLLYKNLWLEAEAALCSVSYKARYNQMKIEMENHSHKQKDTEEKSNPEVVPNLSENSATKVHIDPNHDSFVQELHEFNTNFLNCINDLQFSADMNKPNAMTPEVNVTQDLDSSIYSSTIPWTDKEVEDDDASVIARFRVLKARAEKSCMNTSNLEEPSDVADKLAPIERDNQKQINLNQDSPIPGKNKADYETSVMARFHILKSRAEDSSTISSERILSDDDMAITKDDASEGNSFNAHVNASGAVFMKSIPKEEYVLDFDDRQEDLHRVTCEDVSQLPTYCIDGLVSDWEHVEKRSL >OIW14438 pep chromosome:LupAngTanjil_v1.0:LG03:29084926:29085306:-1 gene:TanjilG_20884 transcript:OIW14438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARQLFILALIFVAIVGVAIAAEAPAPSESSPKSSPAPSKSSASTPETSAAPTITPSESEAPASSPSGISSPPAPASEAIEVDAPAPGPVVDDVAADNAPAPTKSSASALQASAIVAVVVAGFFAF >OIW14716 pep chromosome:LupAngTanjil_v1.0:LG03:25520155:25521108:1 gene:TanjilG_33058 transcript:OIW14716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSHVSKQVDRRKAIHVEKKTLSDLQGSGEDFPGSDYHPSDRKNWMSGLDPERVQVKSIVWPGTHDSATNRVGVPLVTRPFAQCQSLSIYKQLALGTRVLDIRVQEDRRVCHGILLTYSIDVVINDVKKFLSETQSEVIILEIRTEFGHDDPPEFDKYLEDQLGEFLIHQDDHVFDKTVAELLPKRVICVWKPRKSPQPKAGGSLWSAGYLKDNWIETDLPSTKFDSNLKYLSEQPPVASRKFFYRVENTVTPVADNPVLCVKPVTGRIHRFARLFITQCFAKGFADRLQVLSTDFIDTDFVDACVGLTHARVEGKA >OIW14766 pep chromosome:LupAngTanjil_v1.0:LG03:25110878:25114298:-1 gene:TanjilG_05387 transcript:OIW14766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANQGIQLMNSPFGDTTYTKVFVGGLAWETQSETMRHYFEQFGDILEAVVITDKNTGRSKGYGFVTFQDPDAAMRACADPAPVIDGRRANCNLASLGRPRPPMPYGRIRSASPYVGSLQPTRGAYAGGYGYQQPVSYNYQQGLAYPPYGYTAYGPEYIYPQSVYNPYTGQHYLQIYGVPGAVNTTVYPYGQMGRAIPSDHGYTAMQGYTIPGHQIVPYGGPNVNAITASLVPAIQAPYPSGIAAPVPGQPQFVVPAPSQFMQGSGPDQTAGKSIALNKSCDVQVICSHAHRVVSESLQMARETGMWGLLVHVDVYASVFNLYLILLVLRKSGHSIGSMDAGIGFWQPCRNNLV >OIW14421 pep chromosome:LupAngTanjil_v1.0:LG03:29319469:29332213:-1 gene:TanjilG_20867 transcript:OIW14421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEDEEQRRRLKLEEALEIQSLRRIVSAYLNYPDASEEDVRRYERSYKKLPPAHKDLLSHYPLKFERLRRCISMNSHFIFSMLQAFEPPFDMSQDVDVSGDSHAEHAQADHLACQANNACSCETDPVRIKCCDQHGCVEGSNDTCRSPVQEVDIESHHHSNAGSHPLSSVPSKEFEEYGGSAITDSNGNVSSSGQHCSDPSFQLYVPLVDVDKVRCIIRNIVRDWASEGKNERDQCYMPILEELNALFPNRSKESPPACLVPGAGLGRLALEISRLGFISQGNEFSYYMMICSSFILNNSEATDEWTIYPWIHSNCNSLSDSDQLRPVSIPDIHPASAGITEGFSMCGGDFVEVYSDSSQAGTWDAVVTCFFLDTAHNIIEYIEIISKILKDGGEMSIELSLEDVKKVALQYGFEFENHYFAAFWTMRKKSAAVKVPLE >OIW15990 pep chromosome:LupAngTanjil_v1.0:LG03:2159496:2160380:1 gene:TanjilG_04525 transcript:OIW15990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGTSKVIMGATLVMVVTLAVVLGLILVLLAELYCSLLLRRQRRRQMRSSTTQTTTTTTIPNIAPPPSHTPQQHSPPPFNIYSQGVIQAPRTFLFPPFDSTHDMAAPKNQHQHLSELHQVIQIQENANANASPHYIGLVSAPSSLESFISRAPSKPVQQDSLQGSISSRTIIETNDKPCNGVEHLVYISNPIYENEEGKESEPNTPFETPDTSPSRLERSGSSSDDDGVVVEVSHCGVQTLPSTPLTPMKKLLGSQEACSVSLVGSLGTSGSDSHSNNVLSNSSSASFSTSSSW >OIW15126 pep chromosome:LupAngTanjil_v1.0:LG03:21058811:21064847:1 gene:TanjilG_09057 transcript:OIW15126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNSHPHPWSPLQAPKHLAKKLSLDPKTLSLASSDFGHINYETPLAVFEPTSETDIIDLIKYSNSLPKPFTIAPRGQGHSTHGQGLTHDGVVLNMNRLSDSRNGSEIVVYDEYVDVGAEQLWIDVLGATLKHGLTPLSWTDYMYLSVGGTLSNAGINGTAFRFGPQISNVLELHVVTGKGDLVKCSHVSNSELFYGVLGGLGQFGVITRARIALEPAPTRVKWLRLLYTDFSEFTKDQEHLISFHEKHDTSGADHVAGYILANLPPPRDVSFYSEHDLPKITSLITQYGIVYSLELGKFYDNDSQSHVEEELANLIKGLKFIPTFLFEKDLSYQEFQNRLQVDIEFLKSKGLLDIPHPWMDLFIPRSRINDFNEGVLKDIILKQNVPVASIIFYPMNSNKWDDRMSAVTPNEDVFYALGLFRGCFDKKELEASEAQNWQILQFCKDTGIDVKVYLASYKTQLEWVEHYGSKWELIKERKDEFDPKRLLSPGQKIFN >OIW14621 pep chromosome:LupAngTanjil_v1.0:LG03:26298598:26301129:-1 gene:TanjilG_32963 transcript:OIW14621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENPTFSVVEINGADAAFRPVEKSRGKNAKQVTWVLLLKAHRAVGCVTWLVTVLWTLLGAIKKRLIHRNVVVDVVENERNKFEKGRFLFTIIRVFLMISLVVLAFEVVAYLQGWHFTNTTLHIPRTSELEGLLHMAYVAWLTFRAEYIAPIIQALSKFCVVLFLIQSVDRMLLCLGCFWIKFKKVKPRIHGDPFKTDDVEGSAWNYPMVLVQIPMCNEKEVYEQSISAVCQIDWPRDRLLIQVLDDSDDESIRWLIKSEVCKWSQKGINIIYRHRLLRTGYKAGNLNSAMSCDYVKDYEFVAIFDADFQPNPDFLKQTVPHFKDNPELGLVQARWTFVNKDENLLTRLQNINLCFHFEVEQQVNGVFLNFFGFNGTAGVWRIKALEESGGWLERTTVEDMDIAVRAHLNGWKFIFLNDVKVLCEVPESYEAYRKQQHRWHSGPMQLFRLCLPAIIKSKVPAWKKANLILLFFLLRKLILPFYSFTLFCIILPLTMFVPEAELPLWVICYVPVFMSFLNILPAPKSFPFIVPYLLFENTMSVTKFNAMVSGLFQLGSSYEWVVTKKAGRSSESAEERETKSIMEQQKILRGASDSELLESNQIKEQKEAVSAPIKKANKIYKKELTLAFILLAASVRSLLSAQGVHFYFLLFQGVTFLLVGLDLIGEQMS >OIW14840 pep chromosome:LupAngTanjil_v1.0:LG03:24569684:24570373:-1 gene:TanjilG_30559 transcript:OIW14840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGFGESTSRSPPSPSYSNNNNNSDAGNFECNICFELAQDPIITLCGHLFCWPCLYRWLHFHSKSRECPVCKALVEEEKLVPLYGRGKTSTDPRSKSIPDANIPHRPAGQRPETAPPPESNHFRQHGFGFSGGLGGFAPMATARFGNLTFSAAFGAFLPSLFNFQLHGIHDDAMHGGAAGFPYGFSNSFHGVHAHRYPLHAGQVQQDLFLKRLFLFIVFCVVLVFIWQ >OIW15459 pep chromosome:LupAngTanjil_v1.0:LG03:10224533:10226902:-1 gene:TanjilG_28658 transcript:OIW15459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSPENSNWFLDYGLIDDIPVPDPTFNWPPNPSSNVGVELELDVSLGDSDGLKEPGSKKRGRSDSCAGSSSKACREKLRRDRLNDKFVELGSILEPERPPKTDKSAILIDAVRMVTQLRGEAQKLKDSNTSLQEKIKELKAEKNELRDEKQSLKAEKEKLEQRVKSMNPQPGFMHHPPPGIPAAFTPQGQAPSNKLVPFMGYPGVAMWQFLPPAAVDTSQDHVLRPPVA >OIW15075 pep chromosome:LupAngTanjil_v1.0:LG03:21413186:21414538:-1 gene:TanjilG_08562 transcript:OIW15075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQLSNFILRHFVLAILVPTLFTPNFTYAKKSKIIGLQMNVIDKCWRSDPEWRKHRPQLARCSMGYAGKMTNNIGEGLIHYKVTDPSDDPINPKYGTLRYGASVIQGKVWITFQRDMVIRLVRPLLVSSFTTIDGRGVDVHIAHNACLMIFKATDIIIHGLRIHHCKPQAPGMVMGPNGKVMPLGKVDGDAIRLVTASKIWIDHNTLYNCQDGLLDVTRGSTDVTVSNNWFRFQDKVMLLGHDDGYIRDQNMKVTVVYNHFGPNCNQRMPR >OIW15046 pep chromosome:LupAngTanjil_v1.0:LG03:22713699:22715251:-1 gene:TanjilG_13973 transcript:OIW15046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLNPYAASYVPLQKNEPSGVTFGTEKGSKNHDGRNLFYSSTSASNNMPGDFQPASNSYGSSSQNAAPLTDDLFTDEDHLDLDIEFLKMSFPDISEQSLRDVYVLNEDDLDAAIDMLNQLEFDDDVDSSGSLPDTLDIGDVSEPVVSADSASSKQKNVAAEASTSSNPSASSKLS >OIW14503 pep chromosome:LupAngTanjil_v1.0:LG03:27648991:27651588:-1 gene:TanjilG_12096 transcript:OIW14503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRKRTTKKPVAPPSSTPPPPPTQTKTLLKTRHSTPKPPSTITKSLKFPEPSSDNVWVPLNLTREELSLPLTFPTGQTFRWKNTAPSQFTGVVGSHIVSLTHLQNGDVSYCLHGTHDAVAARTALLDFLNAGVSLPGIWKVFSDSDERFAELARHLGGARVLRQEPFECLIQFLCSSNNNIGRITKMVDYVSSIGNYLGSVEGFMFHSFPTLEQLSLVSEEDLRKAGFGYRAKYIVGTVNILQSKTGGGEEWLRSLRKLDLEDVISALSTLPGVGPKVAACIALFSLDQHHAIPVDTHVWQIATKYLLPELAGSRLTPKLCNRVAEAFVTKYGKYAGWAQTLLFIAELPSQRVLLPSHLWTTDQHNHTKKEDSELLVNSKDGVALRI >OIW15030 pep chromosome:LupAngTanjil_v1.0:LG03:22402856:22403410:1 gene:TanjilG_13957 transcript:OIW15030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSHKFPSFSFELRIIQAQNIESIKSTGNSLFARFYLPIGNNKRIQLNTKKVSSKATIPFWNESFGLECSCPQEFLETLKKESMVLELRQSKKRIWGSHLVGKGEIPWKKILESPNMMFKEWVKIDLAEVQVEIKIRVTSMEKEESSLNKWDKCGCKYDHDRHAWLSAEDYDIFTLGATLEAF >OIW15965 pep chromosome:LupAngTanjil_v1.0:LG03:1924636:1928658:1 gene:TanjilG_04500 transcript:OIW15965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKHNTQTSLLNCIDLSNHDINQSVNLLKQACLDSGFFYVVNHGISQEFMDEVFAQSKKFFSLPQKEKMKLLRNEKHRGYTPVLDELLDPENQVHGDYKEGYYIGVEVSEDDPESQKPFYGPNRWPASDILPGWKEAMEKYHHQALEVGKAVGRIIALALDLDAKFFDGPEMLGEAIATLRLLHYEGQTSDPSKGLYGAGAHTDYGLITLLATDNVSGLQICKDRDAKPQIWEDVAPLKGAFIVNIGDMLERWSNCVFKSTLHRVLGNGQERYSIAYFIEPSHDCLVECLPTCKSDSNPPKFPPIVCGDYLTRRYNDTHADLNVYKKQQV >OIW15644 pep chromosome:LupAngTanjil_v1.0:LG03:4668663:4670413:1 gene:TanjilG_08220 transcript:OIW15644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVGVARGRLAAERKAWRQNHPHGFVAKPDIGLDGSVNLMKWKCFIPGKAETDWENGHYPITLVFSENYPFQPPTCEFPKGFLHPNVYTEGEVCLSILGYQILVGIQILLNNPNPASPANSEFNKLFVQDRDEYDRKVREQAKNYPRVL >OIW15599 pep chromosome:LupAngTanjil_v1.0:LG03:4195788:4201321:-1 gene:TanjilG_08175 transcript:OIW15599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENNSVSKLLTLYFFTVLFLYSKFIHCNVTYDKKAIVINGQRRILISGSIHYPRSTPEMWEDLIQKAKDGGLDVIDTYVFWNVHEPSPSNYNFEGRYDLVRFIKTVQKVGLYVHLRIGPYVCAEWNFGGFPVWLKYVPDISFRTDNGPFKEAMEGFTQKIVNMMKNEKLFQSQGGPIILSQIENELQSKARGAAVPSYMTWAAKMAVGLGTGVPWVMCKEDDAPDPVINTCNGFYCDYFTPNKPYKPKLWTEAWTGWFTEFGGTIVQRPVEDLAFGVARFIQKGGSYFNYYMYHGGTNFGRSSGGPFIITSYDYDAPIDEYGLPREPKYTHLKDLHKAIKQCENALISADPTVTSLGTYKEAHVFSSGNGTCAAFLANYGSNSSARVTFNNRHYDLPPWSISILPDCVTDVFNTARVRVGTSQIQMVPSNLKILSWETYNEDISSLEENSRITGSGLIEQLNATRDTSDYLWYTTSVDISSSESFLRGGHNKPGISVNSAGDGVHVFINGQFVGSAFRTSKQKSFTFNAPANLRAGTNKIALLSVAVGLPNVGFHFETWKTGITGPILINGLDHGQKDLTGQKWSYQVGLKGETMNLVSPNGVSSVDWTIETLAIQSQQQLKWHKAYFNAPDGVEPLALDLTSMGKGQVWINGQNIGRYWMVYAKGACNSCNYAGTYRSTKCQLGCGKPSQQWYHVPRSWLKPTKNLIVVFEELGGDPSKISLVKRTVHTEATSEPNSKN >OIW14932 pep chromosome:LupAngTanjil_v1.0:LG03:23820623:23826805:1 gene:TanjilG_30651 transcript:OIW14932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIDEPSSLDQADVCHAHQILRKGGLKEENIIVFMYDDIAFDEENPRPGVIINSPHGDDVYKGVSKDYTGEDVTVNNFFAALLGNKSALTGGSGKVVDSGPNDHIFIYYSDHGGPGMLGMPTNPYLYAIDLIEVLKKKHASGTYKSLVFYLEACESGSIFEGLLPEGLNIYATTASNAEESSWGTYCPGDIPSPPPEYETCLGDLYSVAWMEDSDIHNLRAETLHQQYESVKQRTVNGNSIYGSHVMQYGDIGLSKNNLFLYLGTNPANNNFTFLGKNSLRPSSKAVNQRDADLIHFWDKFRKAPQGSPRKAAAEKQILDVMSHRMHVDSSVKLIGKLLFGVEKGTELISSVRPAGQPLVDDWNCLKTLVRTFEMHCGSLSQYGMKHMRSFANFCNAGLLNEQMAEASAQACTTIPANPWSSLHQDKLELYSENKQHPAMVQETHYEVLNVKEDASYDEIRTSYRSAVLSLHPDKLLRTSETSSVNQRSGDRFLKVQKAWEILSNSSSRLSYDKELRNSRRDILAADVAEDLSLDDMTVEDDGEALELFYQCRCGDYFSVDSFELQQMGYSLLREGISISALNADTLPGSVILPCGSCSLKARLVISIDHN >OIW15597 pep chromosome:LupAngTanjil_v1.0:LG03:4186584:4188415:1 gene:TanjilG_08173 transcript:OIW15597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTKAKKAMNKNLKKANSKKPSDGADFLPLESGSARKIHEEKQPREGTATVLYVGRIPHGFYEKEMNGYFGQFGTIKRLRIARNKKTGQSKHYGFIEFESPEVAKIVADTMHNYLLFEHLLQVHLIPPEHVHPKLWRGFNYHYKPLDTVEIERERHDKERTLEEHNELVEKILKRDKKRRKIIEAAGIDYECPEIVGNVQPAAKKIKFED >OIW16054 pep chromosome:LupAngTanjil_v1.0:LG03:2793728:2795725:-1 gene:TanjilG_04589 transcript:OIW16054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQYSSKSESPPKSLMENLLGLLRVRVKRGVNLAVRDARSSDPYVILKMGKQKFKTRVIKKDVNPEWNEDITFSVLDPNLPILLTVYDHDTFSKDDKMGDAEFEILPFIEALRMNVNGLPNGTIISRIQPSKQNCLVDESCITYTSGKVIQDMILRLQNVECGEVEIQLHWIHLPGCKGL >OIW14769 pep chromosome:LupAngTanjil_v1.0:LG03:25100989:25102742:1 gene:TanjilG_05390 transcript:OIW14769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKLIELFESWMSKHGKIYETIEEKVLRFEIFKDNLKHIDERNKIVSNYWLGLNEFADLSHQEFKNKYLGLKVESSRRRESSEEFSYRDVDLPKSVDWRKKGAVTPVKNQGSCGSCWAFSTVVAVEGINQIVTGNLTSLSEQELIDCDRTFNNGCNGGLMDYAFTYIVQNGGLHKEEDYPYLMEEGTCEMTKEETEVVTISGYHDVPQNNEQSLLKALANQPLSVAIEASTKDFQFYSGGVFDGHCGTELDHGVAAIGYGTSKGLDYITVKNSWGSKWGEKGYIRMKRNIGKPEGICGIYKMASYPTKKK >OIW15918 pep chromosome:LupAngTanjil_v1.0:LG03:1586187:1592658:-1 gene:TanjilG_04453 transcript:OIW15918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNDDNNNPEQQHKNNNKDNTNIGLIDSRFNQTLINVQGLLKGRSIPGKILSSQRVDLPDISTLYSPTYNRSLSYNTAGTSDHTSETREDEVHSTSKPIGPSNENKLKISTSPVENSSELDLKSSVGGRATDSVRVMKFTKVLSETMVILEKLRELAWSGVPDYMRPTVWRLLLGYAPPNSDRREGVLKRKRLEYLECVSQCYDIPDTERSDDEINMLRQIAVDCPRTVPDVPFFQQQLVQKSLERILYTWAIRHPASGYVQGINDLVTPFLVVFLSEHLEGSIDNWSMSDLSSDKISSIEADCYWCLSKLLDGMQDHYTFAQPGIQRLVFKLKELVRRIDEPVSTHMEQQGLEFLQFAFRWFNCLLIREVPFNLVTRLWDTYLAEGDALPDFLIYIFASFLLTWSDKIQKLDFQELVMFLQHLPTENWSDQELEIVLSRAFMWHSMFNNSPSHLST >OIW15542 pep chromosome:LupAngTanjil_v1.0:LG03:5732022:5732291:1 gene:TanjilG_01065 transcript:OIW15542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIVGRLAEIQEEIQTAETEKLQQENSLGLLWEHLPALDPEVVGRVMQRIRDRIRALEDRKEALLQEQQSLLVEGAISNRRGNGNNGGN >OIW15892 pep chromosome:LupAngTanjil_v1.0:LG03:1375398:1378557:-1 gene:TanjilG_04427 transcript:OIW15892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFVKWANLLLIFHFFAATPIFSHSSSFTSKFLDLAKEPKIFDWMVNIRRKIHENPELGYEEFKTSELITSELTKLSIPYKYPVAVTGVVGFIGTGSSPFVALRADMDALPIQELVEWEHKSKVAGKMHACGHDAHVAMLLGAAKILKAHEKEILGTVVLVFQPAEEGGGGAQKIIDSGALENVSAIFGLHVHPNEPVGVVATRSGPLATGSSFFEAIISGKGGHAAIPQYAIDPIVATSNIIISLQHILSREVDPLDPQVLTIGKFQGGETANVIPDSVLIAGTFRAFSRESMMYLKQRIEQVIIGQAAVHKCNATVTFLNGDKPYSPPTINNYDLHEYFKSVVGNMLGANKIKKAQLLMGSEDFALFQEAIPGYIFFLGMENKSVEQLPSAHSPYFKVNEDALPYGAAIHASLASNYLIKLHQDQPLVEDKYNDEL >OIW14469 pep chromosome:LupAngTanjil_v1.0:LG03:27993828:27999995:-1 gene:TanjilG_19885 transcript:OIW14469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSISQELYPSQDDLLYEEELLRNPFSLKLWWRYLIARSESPFKKRFVIYERALKALPGSYKLWHAYLRERLDLVRNLPITHSHYDTLNNTFERALVTMHKMPRVWIMYLQTLTEQKLVMRARRTFDRALCALPVTQHDRIWDPYLVFVSQKGIPIETSLRVYRRYLKYDPSHIEDFIEFLVNSSLWQEAAERLASVLNDDRFYSIKGKTKHRLWLELCDLLTRHANEVSGLNVDAIIRGGIRKFTDEVGRLWTSLAEYYIRRGLHEKARDVFEEGMCTVITVRDFSVIFDSYTQFEESMLAYKMEEIDLSDDDEEEEEAEQENDVKEGDEEDIRFKEKSWEDEFEKKILHGFWLNEKNDIDLRLARLDYLMDRRPELANSVLLRQNPHNVEQWHRRVKLFDGNPAKQILTYTEAVRTIDPMKAVGKPHTLWVAFAKLYEHHDDLVNARVIFDKAVQVNYKTVDNLASIWCEWAEMELRHQNFRGALELMRRATAEPSVEVKRKVAADGNEPVQMKLHKSLRLWTFYVDLEESLGTLESTRAVYERILDLRIATPQIIINYAYFLEEHKYFEDAFKVYERGVKIFKYPHVKDIWVTYLSKFVKRYGKTKLERARELFENAVETTPADQVKPLYLQYAKLEEDYGLAKRAMKVYDQATKAVPNNEKLSMYEIYIARAAEIFGVPRTREIYEQAIESGLPDKDVKTMCLKYAELEKSLGEIDRARGIYVFASQFADPRSDPEFWNKWHEFEVQHGNEDTFREMLRIKRSVSASYSQTHFILPEYLMQKDQTVNLDDAKDKLKEAGVPEDEMAALERQLAPAVNNSVTKDRKVGFVSAGVESQSDKGIKTSGNHEDIELPDESDSDDDDDDKIEIAQKDVPDAVFGDLIRKRDENENNGEVDGANDTKLGALERIKRMKRN >OIW15394 pep chromosome:LupAngTanjil_v1.0:LG03:11622773:11623555:-1 gene:TanjilG_15777 transcript:OIW15394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQQQQHHVSPSLSFRLTSYSSSETLAEIAARVIEELRWDTHHSISDGEEPWENGDAIHDNDTNKNDNHDDGFDFTFVPREPNTSPVSADDVFYNGQIKPVYPIFGRTPNDTVSVINNPNQHQTAEDTKRRRLPLRELMFEECETSSFSSSTDESIDLEDVKEENYCVWTPQSVAVKDRKKKISSTGYVSKRWKLRNLVLGSQSEGKEKGSKKSDTVANRSSGDIIDRALVKAVKDGEQIKIKSFLPYMQGLIGPFTQVK >OIW15137 pep chromosome:LupAngTanjil_v1.0:LG03:20513161:20514569:1 gene:TanjilG_14136 transcript:OIW15137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANEQLKPIATLLLGLNFCMYVIVLGIGAWAVNRAIDHGFVIGTGFDLPAHFSPIYFPMGNAATGFFVTFALIAGVVGAGSTIAGLNHIRSWTSESLPSAASVATIAWSLTLLAMGFACKEIELNIRNARLRTLEAFLIILSATQLFYIGAIHGAAAYRR >OIW15147 pep chromosome:LupAngTanjil_v1.0:LG03:20656226:20656498:1 gene:TanjilG_14146 transcript:OIW15147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDRNNNNNEDAAAPESPTSPLDLPHNGDLLHLPITYNLTSPCPITIPLNIDIDLNLNNILFHINMDITTNTIMLNFNFNIATPTPTLSP >OIW15964 pep chromosome:LupAngTanjil_v1.0:LG03:1918002:1921985:1 gene:TanjilG_04499 transcript:OIW15964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNGNNFAFICDDINELEHSNQINLPRVTVIMPLKGFGEHNLHNWRTQLTSLYGGPLEFLLLVESTDDPAYLPVSRLISEFEGSVDARIVVAGLSTTCSQKIHNQLVGVEAMHKESKYVLFLDDDIRLHPGSIGTLTREMEKNPEIFIQTGYPLDLPSGSLGSYCIYEYHMMHANDFRQDNCGVVSGLRDGGYSDDMTLAAIAGAHKKLITSPPVAVFPHPLATDLNFGRYWNYLSKQTFVLESYTTKVNQIMNRALFAVHCYLSWGFVAPYFMAMIHVAAALRFYFKGYSLEEVTTISGGLSLASILATCTFAELLSMWNLTRIEVQLCNVLSPEAPPLSLASYNWCLVFIAMLVDNFLYPISAIRSFFSQSINWSGIRYYLKDGKISKIERIQRSQDMAPVFTDLGGKHLYGRKGFPTKGSFLSSFTSFSKGLMQWRQPKRYE >OIW15359 pep chromosome:LupAngTanjil_v1.0:LG03:13270366:13274610:-1 gene:TanjilG_26732 transcript:OIW15359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQISSRWRNLFSIKTCMIQSSTHFSAFHSTPSSCDKWKSKWNNPDIGEAQQPSKNHFKYVVRQKRADAKKALKSLLYNSGSSKSPFVDKETKWKFEGNSNWYGDHDDHSNKSRPKSGQRFGGKPQKKAKRKIRRESFAEEIDGHPEQVFHATFGNRWYTWSFNNMKGPSSEHSTSGFEWKQHSSRKNKWKSASDIESDDESCCVGSSFDRTILGLPPTGPLKIEDVKNAFRLSALKWHPDKHQGPSQVGSKPLKTRINGCKVQTILMGLGVRGEASWTTICTYPLDE >OIW15588 pep chromosome:LupAngTanjil_v1.0:LG03:4070514:4075172:1 gene:TanjilG_08164 transcript:OIW15588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLEEKIKTGGVVGGQTLAAEMKLLKEMQEHCGARKALNSELWHACAGPLVSLPQEGTLVYYFPQGHIEQVAASTRKSTTSQIPNYPNLPSQLLCQVQNVTLHADKETDEIYAQMRLQPLNSENDVFPVSDFGLKHSKHPSEFFCKTLTASDTSTHGGFSVPRKSAEKLFPPLDYKMQPPSQELVVRDLHDATWTFRHIYRGQPKRHLLTTGWSIFVGSKRLRAGDSVIFIRDEKSQLRIGVRSVNRQQSTLPSSVLSADSMHIGVLAAAAHAAANGSTFTIFYNPRACPSEFVIPLAKYRKAVFGTQLSVGMRFGMMFETEESGKRRYMGTIIGVSDLDPLRWPGSKWRNVQVDWDEPGCGDKQNRVSVWEIETPESLFIFPSLTSGLKRPSQSGFLGNEWGNWVRRPFMRVPENGTHELPNSISNLYSEYMMRMPFNPQLVNNNSAFLRVMQHESAATRCLLEENTTLAQDSQKVHPSSESIPLKNLHSQSVPDQCNALNLHSMSKSDQPDQIQPLAKNDNHDNSGTVSDKPKLESEALSENMFDIPAYPAIPQNLASHMTFLNQNQSPLLSQSCAWPMQQPQLESSTSHPQLLDMPQSDPTIVNGMLPQLDIDEFMMYSSCRPLSDLHEHTALQNQAANTPLPPMSQEVWDHYVMNFNFSSQADQLTSMCPSGLYGLNGISSSTNMKDLSAESNNQSEICVNVNVSNSKSTTTMVDPSTSSAILDEFCTLKDKDFQNPPDCMVGNLSSSQDVQSQITTASLAESQAFNSGGTSLSHIDFDHSSFLQNNSWQQVAPPMRTYTKVQKAGSVGRSIDVTTFKNYEELICAIECMFGLDGLLNDTRGSGWKLVYVDYENDVLLVGDDPWEEFVGCVRCIRILSPSEVQQMSEEGMKILNSGALQGISG >OIW15324 pep chromosome:LupAngTanjil_v1.0:LG03:14476139:14479340:1 gene:TanjilG_10764 transcript:OIW15324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTGETQITPTHVSDEEANLFAMQLASASILPMVLKSAIELDLLEIIAKAGPGVHLSPTDIASKLPTSNPDAPVMLDRILRLLASYSILTYSLHTLNDGKVERLYGLAPVAKYLVKNEDGVSLSALSLMNQDKVLMESWYYLKDAVLEGGIPFNKAYGVTAFDYHGTDPRFNKVFNKGMSDHSTITMKKILETYTGFEGLKSLVDVGGGTGAVINKIVSKYPKLKGINFDLPHVIEDAPSYPGVEHVGGDMFVSIPKADAVFMKWICHDWSDEHCLKFLKNCYEALPENGKVIVAECILPVAPDTSLATKGVVHIDVIMLAHNPGGKERTEKEFEALAKGAGFKGFRVFTNAFNTYIMEFLKKV >OIW15527 pep chromosome:LupAngTanjil_v1.0:LG03:5944695:5949130:-1 gene:TanjilG_13833 transcript:OIW15527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVVDWVGITLAGFGTIGVGAGGEEQEAVALSIFHIPSLAFVVFILFILLNGWLRIYKRQRREQEMVEYDVVEEIIYGLESGILFGMSSVISKMGFLFLEQGFHKLLVPLCLMISVCCSGTGFYYQTHGLKHGRAIIVSTCAAVASILTGVLAGMLALGERLPSAPKARLALLLGWVLIIMGVILLVGSTRLVRFFTCSSRSSKRNNLDKNYGS >OIW14707 pep chromosome:LupAngTanjil_v1.0:LG03:25560443:25561403:1 gene:TanjilG_33049 transcript:OIW14707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAVNITNVTVLDNPASFLTPFQFEISYECLTPLKDDLEWKLTYVGSAEDETYDQLLESVLVGPVNVGNYRFADPPDPSKIREEDIIGVTVLLLTCSYLAQEFIRVGYYVNNDYDDEQLREEPPSKVLVDRVQRNILSDKPRVTKFPINFHPENTENDDQAPPPDNPAETGEDLLAAVDADPPPEKDS >OIW15930 pep chromosome:LupAngTanjil_v1.0:LG03:1658116:1668679:1 gene:TanjilG_04465 transcript:OIW15930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVMSRRVVPACGNLCVCCPALRASSRQPVKRYKKLIAEIFPHNQVAEPNDRKIGKLCEYASKNPLRIPKVTENLEQRCYKDLRHRNFDSVKVVLCIYRTLLSSCKEQMSLFANSLLGIIRILLEQTQADEMRILGCDALVEFIDCQIDGTYMFSLEGFLPKLCQLAQEVGDDERALLLRSAGLKALSNMVKFMGEHSHLSMDFDKIISVVLENYMDSQSKSNSAKVEKLNSQSQKQLVQGFSKEEDHVHSLSDITQKNPSLLNVVTGALDTAKDPAYWSKVCLYNMAKMAKEATTVRRVLEPLFHIFDTENHWSSENGVACGVLMYLQSLLAESGDNSHLMLSILVKHLDNKNVAKHPDLQIHIINTATQLAQNVKQQSSIAIIGAISDLIKHLRKCLQISTEASSVGNDVYKLNIELQYALEMCILQLSNKVGDVGPILDLMAVVLENVSTNTIIARTTISAVYQTAKLITSIPNAFPDALFHQLLLAMAHPDHETQIGAHSVFSMVLMPSMFSPWLDQKAKMAKKVQCDSFSVQHVSFSEAEHLNGNVVEGKAIAADVNGKKNAVHSCRGYSFSCTLTDGKDDLSSLRLSSHQVRLLLSSIWVQATSVENGPANFEAMAHTYSIALLFTRSKTSSYMSLARCFQLAFSLRSISLDQAGGLQPSRRRSLFTLASYMLIFSARAGNFPDLIPKVKASLTEATEQLSSIKKQLLEGFSPDDAYPSGPPLFMETPKPYPPLAQTEFSDFDEIMDPDALMDEETGPEPSGSQSDRRTSLSTNNPDVIGVSQLLESVLETAREVASLSISSNPLPYDQMKNQCEALVTGKQQKMSVLQSFMHPQETKAVVLSSESEVKVFPLSTMAQEYSKGDLKLVTQKQFQALDQVPFYSHDHVRQHPLRLPPSSPYDKFLKAAGSNETRLQ >OIW15704 pep chromosome:LupAngTanjil_v1.0:LG03:154023:157623:-1 gene:TanjilG_04239 transcript:OIW15704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVANGTSDDEERSIIIKEKDGWKIDYSSTKPVTPLLDTINHPIHMNNLSTQELEQLAAELRADIVHSVSKSGGHLSSSLGVVELSVALHHVFNTPQDKIIWDVGHQAYPHKILTGRRSMMHTIRKTSGLAGFPKRDESPHDAFGAGHSSTSISAGLGMAVARDLLGKNNSVISVIGDGAMTAGQAYEAMNNAGFLDANLIVILNDNKQVSLPTATLDGPATPVGALSGTLSKLQASAGFRKLREAAKNITKQIGRQTHQVAAKVDEYARGMISASGSTFFEELGLYYIGPVDGHNIEDLVTIFEKVKAMPAPGPVLIHIVTEKGKGYPPAEAAADKMHGVVKFDPKTGSQFKVKSPTLSYTQYFAESLINEAKVDSNIVAVHAAMGGGTGLNYFQKQFPERCFDVGIAEQHAVTFAAGLAAEGLKPFCAIYSSFLQRGYDQVVHDVDLQKLPVRFAIDRAGLVGADGPTHCGAFDIAYMACLPNMVVMAPSDEAELMHMVATAAVIDDRPSCFRFPRGNGIGANLPINNKGTPLEIGKGRIVMEGNRVAILGYGSIVQQCVQAAEMLKTLGVYVTVADARFCKPLDTDLIKQLAKEHEILITAEEGSIGGFGSHVSHFLSLAGILDGPLKWRAMMLPDRYIEHGSPQDQIEQAGLSSNHIAATVLSLIERPKEALLFK >OIW15946 pep chromosome:LupAngTanjil_v1.0:LG03:1818437:1821119:-1 gene:TanjilG_04481 transcript:OIW15946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRLDEEHDLFAMVYSMLQGKRDVETVLTMGIMEDVSIIAQPAGDPAGARLKPGNGPGSGFGIRVDSPLGPFRLEYAFNDKKHKRSVNATTSGLTLGGLGPTKYNKD >OIW15735 pep chromosome:LupAngTanjil_v1.0:LG03:306957:310345:-1 gene:TanjilG_04270 transcript:OIW15735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDPIAAQELSSLSLNDAVSPTHVPNAEFSDRVPIRSIISRPDGGSGLAGQKARIGGWVKTGRKADKDAFAFLELNDGTSAGNLQVIVEASVYELGELVATGTCVVVDGLLKIPPSGTRQRVELRAEKVIHVGPVDPAKYPLPKTKLTLEFLRDFVHLRSRTNTISAVARIRNALAFATHTFFNKHGFLYVHTPIITTSDCEGAGEMFQVTSLFSEAEKLEKELIQNPPPTEADVEAAKLLVKEKGDIVSQLKAAKASKQEIGAAVVELKKAKDNVSKVEESVYTFGPTFRAENSHTSRHLAEFWMVEPEIAFADLKDDMNCAEAYVKFLCQWLLDNCLEDMKFMADKFDKSCIDRLKMVASTPFVRVSYTEAVEILVEAVNGGKKFENEVKWGIDLASEHERYLTEVKFQKPVIVYNYPKDIKAFYMRLNDDLKTVAAMDVLVPKVGELIGGSQREERLDVIQQRLRDIGLPPEPYEWYLDLRRFGTVKHAGFGLGFERMILFATGLENIRDVIPFPRYPGRADL >OIW14477 pep chromosome:LupAngTanjil_v1.0:LG03:28125369:28125551:1 gene:TanjilG_19893 transcript:OIW14477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLVWGIILLKSIVAAEDVCEQLIRLGVIWLIVDECDLRLKYENFKNNPHAPLFCSRGMN >OIW15125 pep chromosome:LupAngTanjil_v1.0:LG03:21251361:21251759:-1 gene:TanjilG_11876 transcript:OIW15125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLMAFLTLTLVLAILSLSLEFQILANGYFYSSPPPLENYPPMSPPYHYLSPPPPLPSVHSPPPAYHYPPPPPPKKATTYIYPPPPKAPYHYASPPPPPKKPYKYPSPPPLAPFYVPNALYYYKSLPSVHV >OIW15116 pep chromosome:LupAngTanjil_v1.0:LG03:22183866:22184324:1 gene:TanjilG_08603 transcript:OIW15116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAKKKEQEQYTSITFFSPNPNTLYPMFSAMEFNLKENARANKSYYNSFAPCEASCGYFDGPFGKHVFEKSETDSCSERGSGDDESDEECDDECEDEEVTLDELLHEGKTKNKIEMLAAMVGVDTTEPEIVLAEVVRVLKHLKRINQLYLSA >OIW14507 pep chromosome:LupAngTanjil_v1.0:LG03:27786933:27790185:1 gene:TanjilG_12100 transcript:OIW14507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQQGLAGEAVRGGRTVVVGMKLDSLSKELLTWALVKIAQPGDTVVALHVLVNHEIVNLDGKSLLISLVTAFNPVLAGCEGFCNLKQVDLKLKICKGSSVKKILVREAKAYAATHVMVGISQVHHKIWSSKSVAKYCARKLSNDCCVFAVNNGKVVFKRIRDGSPPNGGAADLQGIDYHYRNGLLGSAQQTLGKSSEVLSDDTASMCADKGAGKISDHSFAKVFPDSAENVRRQSCSVCGSTLALLNTSSHQSAEESSGEGGSENSLAIVPVKTTDASQLELIPGWPLLHQKILSDRQPLHLSPLHQISVVPWAMSLPCRSLSYYAYHDHRSKFFDRGHDHSVALDSQSGALVPVDAEIGTAYSPERNSISVPRELGSLHDKYSATCRLFEYRELVSATSNFLPENLIGKGGSSRVYKGCLRDGKELAVKILEPFDNVLNEFLVEIEIITTLNHKNVISLLGFCFEKGNLLLVYDFLSRGSLEENLHGNKKNTLEFGWTERHKAAMGVAKALEYLHCKDDQPVIHRDVKSSNILLSEDFEPQLSDFGLAKWASTSSSHITCTDVAGTFGYMAPEYFMYGKVTDKIDVFAFGVVLLELLSGRKPISCDYPKGQESLVMWASPILDSGKVLQLLDPSLGDNYDHEEMERMVLAATLCIRRAPKARPQMSLVSKLLQGDADAIDCARLEVNASKAHEILDDKSCPPSNLQSLLNLALLDVEDDSLSMCSIEQNVWLEDYLRGRWSRTASFD >OIW14555 pep chromosome:LupAngTanjil_v1.0:LG03:26947925:26948956:-1 gene:TanjilG_14941 transcript:OIW14555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFPNRAEAERLLGIAEKLLQNRDLTGSKDFAILAQETEPLLEGSDQILAIADVLIAAEKPLNNNLDWYSILQVDRRCQDMDLMKKNYRRLALLLHPDKNPFTLAEHAFKLVSDAWVLLSDPVQKPLYDQNIAIPVQQQDKLPVRRGNSYGYSNNSSSNFWTACPYCYYMYEYHRGYEGCCLRCQNCEKSFHGVAVPSLPPLVPGQEAYYCSWGFFPMGFVFGTPGSEAKGALPLPNLSPAEVPNWNQQPWSQPQQQQLQPQPWSQPQQQQQLQPQPWPQNQPQTQQEPQPRYEPVELVDDNNGWNFAEPVMRVSGGGGGISNGIGLGMGSGAKKRGRPRKVV >OIW15336 pep chromosome:LupAngTanjil_v1.0:LG03:14689876:14690850:-1 gene:TanjilG_10776 transcript:OIW15336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPALPLLNSAFDLTTVTFISSLIVLSVLSLCFIFHLRFKSKSLIHLQGFNSIWTVRFLLVLFIFFWAITELLRLPFFRRRYLYPFLPSFSVSQQYEFCKLHVFFSLGFFEPAFLVTLLFLLNASTKKKTPNDTWAISFVLITCLPITTLQGFLLYFNPIVNQVPLIFRQTAVVINDGFGSETVLCGYPFLNSVVFAGFGVGYSIWFLVSCWRVLSLVINKGLRVRIYALAATVLVALPLQMVALGFTVFWKPDEDLYGVVSLVAFLGAFCCAVTGEGILVIKPISDALDAGGNCCRWKSCHGGGGDIERSTAPERRVEEGERCV >OIW15865 pep chromosome:LupAngTanjil_v1.0:LG03:1205989:1206435:-1 gene:TanjilG_04400 transcript:OIW15865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWLLQLPPKLSSPFINGFTPLSLSLSTKPSSIPTPNPPSFLPPIKAMKSLQGKVVCATNDKTVAVEVVRLAPHPKYKRRVRKKKKYQAHDPDNQFKVGDLVLLQKSRPISKTKTFVALAAPDRGSKNVLEKGDLNGEISIPFESQKEP >OIW15317 pep chromosome:LupAngTanjil_v1.0:LG03:14383329:14383730:1 gene:TanjilG_10757 transcript:OIW15317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARISISPRIALAAVFFFLLFIFHSILAGEGPFLPVFLSSSHSSNKGQNMCVGVTIPSLCPVKCFRADPVCGVDGVTYWCGCAEAACSDAKVASMGICEVGNGGSVPFSAQALLLVHIVWLIVLGFSVIFGLL >OIW14582 pep chromosome:LupAngTanjil_v1.0:LG03:26646248:26650260:1 gene:TanjilG_32924 transcript:OIW14582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEFDSGGRSADKAPGAEDEGSTAPLPEKVQVGGSPLYRVDRRLGKGGFGQVYVGRRMGAGAGAIEVALKFEHKSSKGCNYGPPYEWQVYNALGGSHGVPRVHYKGRQADYYIMVMDMLGPSLWDVWNNNSHMMSTEMVACIAIEAISILEKMHSRGYVHGDVKPENFLLGTPGTPDEKKLFLVDLGLATRWRDSSSGLHVDYDQRPDVFRGTVRYASVHAHLGRTGSRRDDLESLAYTLVFLLRGRLPWQGYQGENKGFLVCKKKMATSPETLCCLCPQPFRQFLEYVVNLKFDEEPNYAKYISLFDGIVGPNPDIRPINTEGAQKLICQVGQKRGRLTMEEDDDEQPKKKVRMGMPATQWISIYNARRPMKQRYHYNVADVRLSQHIDKGNEDGLFISSVASCTNLWALIMDAGTGFTSQVYELSPHFLHKEWIMEQWEKNYYISALAGANNGSSLVVMSKGTQYLQQSYKVSDSFPFKWINKKWREGFYVTAMATAGNRWAIVMSRGAGFSDQVVELDFLYPSEGIHRRWDNGYRITSTAATWDQAALVLSIPRRKPADETQETLRTSAFPSTHVKANSAPDLSVLLSFYGHTCLYLKFCLCRKNGQRTSILHLFVTGEQCHELLMFEIPADILTSCMIMPPLTLSSAG >OIW15431 pep chromosome:LupAngTanjil_v1.0:LG03:8068902:8069126:1 gene:TanjilG_28630 transcript:OIW15431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPFVLIALGKGNHSRMKPILAKGCMVTLYYSAFVSEDIPNPSFLPFFLIDDYGGAFTVVARRRQDGMTMMASY >OIW15295 pep chromosome:LupAngTanjil_v1.0:LG03:13905491:13905844:-1 gene:TanjilG_10735 transcript:OIW15295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANFNNHHNHAMLLLLFLFLISSSSSYARLLNGVTSLVTTETTLNIALPSEGVFLKEKEELAGHVLPCDHMVVVTGKVQSPEFTLSGGKYGPLILNMLPKGKVPSSGPSKRINNVKN >OIW14594 pep chromosome:LupAngTanjil_v1.0:LG03:26558340:26561953:-1 gene:TanjilG_32936 transcript:OIW14594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVNQSVSVSPTASSDSVFAHLLPAPEDPILGVTVAYNKDPSPVKLNLGVGAYRTEEGKPLVLNVVRRVEQQLVNEASRNKEYLPIVGVADFNKLSARLIFGADSPAIQENRVTTVQCLSGTGSLRVGGEFLARHYHQRTIYIPQPTWGNHPKIFTLAGLSVKTYRYYAPATRGLDFEGLLEDLGSAPSGSIVLLHACAHNPTGVDPTTEQWEQIRKLLRSKALLPFFDSAYQGFASGSLDIDAQAVRLFVADGGELLLAQSYAKNMGLYGERVGALSIVSKSADVASRVESQVKLVVRPMYSNPPIHGASIVAAILRDRDLYNEWTIELKAMADRIIKMRQQLFDALQSRGTPGDWSHIIKQIGMFTFTGLNAEQVSFLTKEYHIYLTSDGRISMAGLSSKTVPHLADAIHAAVTRVV >OIW14767 pep chromosome:LupAngTanjil_v1.0:LG03:25107708:25109653:1 gene:TanjilG_05388 transcript:OIW14767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIVCISCLHIQPTPSTSDKAQLLSPITLQHHKQKQIPPLISSFTENEYSVNRRHLFLHSSLAAFTSLATVPIAFALNDVSEDVRIYTDDVNKFKIVIPQEWQVGTGEPNGFKSVTAFYPQEVSDSNVSVVITGLGPDFTKMESFGKVEEFAETLVGGLDRSWQRPPGVAAKLIGSKSSNGIYYIEYSLQNPGESRKHLYSAIGMATNGWYNRLYTVTGQFVEEETEKYASKVKKVNSL >OIW15974 pep chromosome:LupAngTanjil_v1.0:LG03:2002465:2005335:-1 gene:TanjilG_04509 transcript:OIW15974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLKQLEGLIGGLDQFSNPKIELEQYPTGSHIASRMLFTAENSFGDVTNKVVADFGCGCGTLGVAAALLGAEHVLGIDIDPESLEIASLNAEELEVDIDFIHSSIKDLGWRGQFVDTVIMNPPFGTRTKGADLDFLSVALKVASQAVYSLHKTSTRDHVKRTALREFNAKSAEVLCELRFDVPKLYKFHKKKEVDVAVDLWRFVPGRHQS >OIW15386 pep chromosome:LupAngTanjil_v1.0:LG03:12173794:12178018:1 gene:TanjilG_25394 transcript:OIW15386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVLGKHAGDGAQHRHWSTSQRNVVSDNNAVMVRERQGNRHTGDFLENVPVPERRQHRLDPCTVNQKGWPSWLMAVAGDAIGDWTPRRANTFEKLAKIGQGTYSNVYKARDLVTGKIVALKKVRFDNLEPESVKFMAREIIVLKKLDHPNVIKLEGLVTSRMSCSLYLVFEYMEHDLAGLSAGQGVKFTEPQVKCFMKQLLSGLEHCHSRGVLHRDIKGSNLLIDNEGILKIADFGLSTFFDPMQKQSMTSRVVTLWYRSPELLLGATFYGVGIDLWSAGCILAELLYGKPIMPGRTEVEQLHKIFKLCGSPSEEYWKKYKLPNATIFKPQQPYKRCISETFKNVPPSSLPLIESLLATDPDDRGTASAALNSEFFTTEPYACESSTLPKYPPSKELDVKLRDQETRRQKAMTGKAHAVDSAKRVRTREHGRAIPAPEANAEIQTNLDRWRVVNHANAKSKSEKFPPPHQDGVVGYPQDESHKGPVSFGATDTSFGSGIFNSKPSEPVRKYGAEGPYRGRKTKIQGSRMTSSWKFMRTFKPSTIGISMNLLFKSK >OIW14738 pep chromosome:LupAngTanjil_v1.0:LG03:25297913:25305876:1 gene:TanjilG_05359 transcript:OIW14738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNKEDDEAPTLLSHDLSNTQDEGENVIADELPQEGEKAVDDIGLGIDYVASGKTEAAVVVSEEREVSDSVTEVEPIEGDEIFEEDKVTSAIDEAENVIQNQRVEEGTEVGDVESGKAEEEEDDADVGYDDSADEADVEIGHSQGTDDVIRDEKEVPNEEQEEEKELSVHEGDNDGVGDDTTQDTDTAALMEEGEGEEEAGDGDGDGDGDGDGDGDGDGDGMAQDIEEEEEQGGGGDGMEEDDEVREEQSESVSGGKRKRGPAKNSKTTRRVASKKKTEEDVCFICFDGGDLVLCNRRGCPKAYHPSCVNRDEAFFRTKGKWNCGWHLCSNCEKNAHYMCYTCTFSLCKGCIKDAIIFCVRGNKGFCETCMTTVMLIEQNEQGNTGQVDFDDKNSWEYLFKDYYIDLKEKLSLTFDELTEAKNPWKGSDMAASKDESPDELYDANNDKGSDSDNSYENVGSSRSKRRKGKKRAKSRSKEGNSYAATVLAADVTSADDRSEWSSKELLEFVMHMRNGDTSILSQFDVQALLLEYIKRNKLRDPCRKSQIICDARLQNLFGKPRVGHFEMLKLLESHFLLKEDYQGEDLQGSVVDTDVTHLDGDGNSDSYLKAGKDKRRKTRKKGDERGLHSNVDDYAAIDNHNINLIYLRRNLVEDLLEDTENFPDKAVGSFVRIRISGSGQKQDLYRLVQVAGTCKAAEPYKVGKRMTDILLEILNLNKTEIVSIDIISNQEFTEDECKRLRQSIKCGLINRLTVGNIQDKAFALQAVRVKDWLETEIVRLSHLRDRASEKGRRKEYPFLLKTPEERQRRLEEVPEIHVDPKMDPSYESEEDEDEMNDKGQESYMRPRGSAAFGRKAREIVSPRSGSSDSWSGTRNYSNANRELNRNLSNKGFSMKGDDVFNSSEALNDAQLRDRESQLSNSRERQRLSSSSEIGVKNAQPLVASESFSNAVLEPSAAPSSAGIASPTVKINESEKMWHYQDPSGKVQGPFSMVQLRKWNNTGYFPADLRIWRTTEKQDESMPLKDALDGKFSKESPVVDKTLPKAQMVHDLHNSSSYLGKSHLAAQQGIIEGQVGARPTFDQNSGSWNSSHSSLGSLGQTTGGSRPSPLVVEVPKTTSDGWGSNVGVRNESTNLPSPTPQTPSVGTMVQGFENNWSPTPILGNPNLSGPGGLQNAENGLSSRTVMMSASTTQVHPQATTLAPGLQNQVVSSHNSHAGAQGWGSGLVSKVEPQALGATPSQRVEPNNHATMPVQNSASFITGNPTGNFPAQSYPGQSNISAPAPPNNMPWNMGVVQNQNATAWGGMPGNQNTSRGGGAVPANVNMNWMPAPGNANPGWAAAPSQGPPPPVNAAGWVAPGQGRPHVNSNMGWGQGHAPGNANPGWPASVGNQGTQGTWGGGEHNHNGDRLGNQRDRGTHGGRDSGYGGKPWSRQTPFNSGGSRGGGSSRPPFGGQRVACKFNESGRCKKGDSCDFMHT >OIW14487 pep chromosome:LupAngTanjil_v1.0:LG03:28351574:28353371:1 gene:TanjilG_19903 transcript:OIW14487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLFQWVHRKLRQNNDDTIKDFTLGNPCTYPTMQPALHTKGSFSCINQPSFLSQESYSGFDYSIEEDKQQEETHAVISEIFKGFLTIGTLGAETVTNEPGTPTFSMPLENMHAEVTENDLKLISHELEKFLVAEKEERSYESSRRNSHVSIVTLSDEKQIDGSEAEDYGNRSLCPLQGYLLGSSVELKEKTEVRKERASLAELFHRTKTTTTTRDSIEVKKTHKSAIQIMKKMLKKVNGSSKSTNTSGDDAVFASTNKKLQKVGLYH >OIW14447 pep chromosome:LupAngTanjil_v1.0:LG03:29033503:29038237:-1 gene:TanjilG_15360 transcript:OIW14447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGQSDSELSLFSAEELEFTAEDEIVEIVPNLKMPPLNLISGDFGPFTPQIVTKVPLWLAVALKKRGKCSICPPQWMSLEKLTQVLEAERDSQEMSEQLPFHYVEISRLLFEHARDNIPDVYMVRSLIEDIRDVRFHKVETDLEAFSGRTIAVKIKNLSAMEVNIVRPFIGRALQAFYKHDSPDLIPDPERVSDSRPQVVNNAPRVCLSIYKPGFIFCATKNIF >OIW15191 pep chromosome:LupAngTanjil_v1.0:LG03:18174336:18174818:-1 gene:TanjilG_31654 transcript:OIW15191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKASCDAKPADTRLKRKGAGIAREQTKKAAKDPNKPKRLQSAFFVVMAEFREEFKKENPNNQSVTTIGKACGSEWKEMNDAEKAPYVAKAVKKKEEIGEDGFVTMSLSIVSTPCQHGTRMSRVRRKREMHRLRMKPTRIDLQRMEGEGHPCQHWNSSQP >OIW15118 pep chromosome:LupAngTanjil_v1.0:LG03:22251841:22252185:1 gene:TanjilG_08605 transcript:OIW15118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTQDNLGREKIEIPNPQLHFFSSSISGGGDGGSGIPIHGGSKHWGRLAVVRGGGETVMACYSGCDGSWMRGLFVVN >OIW15309 pep chromosome:LupAngTanjil_v1.0:LG03:14198698:14201380:-1 gene:TanjilG_10749 transcript:OIW15309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLHFSIVSLVLLIVILTLLGLVVGDLNSDAQTLLEFSFSVSHGPRLNWNDTSSICTSWIGVTCNSNRSRVIGLALPGIGLTGSIPENNIEKLDALRVLSLHSNGLKGNLPSNILSIPSLQFAHLQHNKFSGPIPSSVSPKLIALDISFNSFSGPISPAFQNLRRLTWFYLQNNLISGAIPNFNLPRLKYLNLSYNNLNGTIPNAVKTFPYTSFVGNSLLCGPPLNHCSSISPSPSPSAVYQPLSPSTTQNQETTTHKKKFGLAPILALVIGGIAFISLLVLVIIVCCFKTKNSKSSGILKGKASSCAGKTEVSKSFGSGVQEAEKNKLFFFEGCSDSFDLEDLLKASAEVLGKGSYGTAYKAVLEEGTTVVVKRLREVMVGKKEFEQQMEIVGRIGCHTNVMPLRAYYYSKDEKLLVYNYMPGGSLFFLLHGNSGSAGRTPLDWDSRVKISLGAAKGIVFIHSQGGPKFTHGNIKSTNVLINQDFDACISDTGLCPLMNTPAAMSRLNGYRAPEVTDSKKITQKSDVYSFGVLLLEMLTGKTPLRYPGYEEVVDLPRWVRSVVREEWTAEVFDDELLRGHCVEEEMVQVLQIALACVANVPDMRPRMDEVVRMIEEIKHPEMKNMPSSESESNVPTP >OIW15575 pep chromosome:LupAngTanjil_v1.0:LG03:4894459:4894985:-1 gene:TanjilG_01098 transcript:OIW15575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTPLQVAPVTYLQLTKPTEVVIDDENLDRHRQLESIFKSVVNNAIPAVKFPPPSMEKNISEEVLEDVPKTIGVPNELDSNVATQDPATPKKAKLKTSIVIGRHL >OIW14366 pep chromosome:LupAngTanjil_v1.0:LG03:29933807:29946732:1 gene:TanjilG_15720 transcript:OIW14366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKGKSRTDLLAAGRKKLQQFRQKKDNKGGSSRGKSSNKYSKHQQLESDADAASSNPTPVESSQVTDGNAETDSNVVITESLESQSGTNSLAPDDIDPSVDSLSVAITYDSSSVIGVETEVDSNSKLALQQVQGENDSELSVKDQGESARDTGPYVETEVDSSSKLALQQVQGDNDSDLSVKDQGESATNTGPYVAQDIFLSTSDSLRFEGGATNDHVSAPVDILSPSVSFEDAVGDSVPVEREGEKKEESLLLSEDIPNTSEGRSGAMQEADGLDMMKSDQITDAMSDGEKKISLFEVGDGEQLKQLGKSVDTFSSLRDTASDNLSGSDKEQEDGIAITGPSMSNLARGTLPDSYFEAMPPHSGQEQIIEGLGSRQDRELQEELKQHSAPVESEVVDKTHELSKRAHEIDPARPLDVSPIFDASSVNLSQLAVIIRGLKEEDYHFLLKSRGAVSDPGPLLSSSIIQDNAISEAFERLKEELFLANLMKNIFNLQLAEQLEQQVESDNQHYQLVDKLSQLQASHNEVNEKNQHLNEELENCRVELQDVSSRSVEMQNQFNIADANMEALSSRVVELQLCFEMAQKESLDLSTELADCRGLISGLQEENRGMNEVNEKNKQLNEELEKCRVELHNVSSRSVEMQNQFNIAEANVEALSARVIDLQICFEMSQKESLDLSTELVDCRVLISGLQEENEGFDETLGLMITEKNKLLEEKEFHLHESKKLATELADFKSLVETLKAENSNLIDQISLVTEDKNTIKAEIEHLTNEVDRISLDLVENKNMVASLQEENSNLCGNLALSADKIKNLEEENEPVVLENQRLSSQLDELQEELALEKGERMRFDTNLKEATGHLEQLTKENVLLTSTLDEHKAKIEEISKKPFQPLFQHAYRGNQAHLSRTKSEGLKIAIAEDSLHMDQNPEDDSSGGPPQNLLEHEVFDETLGFVSLNTCLNEVEQVLSKLEKSVTVLHSRSGSFGRSSEKLSSPRISRLIQAFESKSPEDEHEVEGKDSSAVQSPSDSLMLTKDQIGHLRKLLTKWKLDVQNACTLFKGERDGRKNDDAKYNNLRRQFEELQQYCSNVEASNIELAVQCEAAKQLLGDIEEKKCHLDELCKSLKEEGIHLKTKNYELYEKIGHCHSKISELRTEMYGVKQSSNEMFSSIGSQLENMKKEVTERSILGQGWNTIAEIVELISKLNESVGKTLSSISDSDDHDSMDISHRLAVSVNAVTELIFDLQKKLDATYSELEITSTAHKEVNLKCDDLLGRNELAVGVLHKMYNDLRELVHGHGGFIGEGKIDGQIEALPDLLNFNNYQVIMDHLGDILNKKLELESVTKEMRSELMQREIELEEFKMKCLGLDSVSKLIEDVAAVLNVETSMVEINKSPLSCLETLVSSLLQKNRETEIQLHMTKEGYGSKEIELSELKENIHSLDNLRLENENEILVLKENLQQAEEALSATRSELHEKKHELENSEQRVSSIREKLGIAVSKGKGLVVQRDGLKQSLAETSSELERCLQELQLKDTRLHEVETKLKTYAEAGERVDALESELSYIRNSANALRESFLLKDSVLQRIEEVLEDLDLPEQFHSRDIIEKIDWLARSVAANSLSMNEWGQKDSAGGGSYSDGGYVVTDSWKKDDSPLQPDSGDDFRKTFEEVQTKYYVLAEQNEMLEQSLMERNSLVQRWEGLVDSIDMPSHLQSMEMEDRIEWVGRALAEANHHVDSLQLEIEKYESYCGLLNADLEESQRRLSAVQADLKALASEREHLSEKMEALILENEKLSSQTKQTELENEKLHNEITSLTDELEQKAAHDSEKMEAWILEHEKLSSQTKQTELENEKLHNEITSLKDELDLKTAHEEQVFTINGKIRKLQDLVSDALSESETEDLDSVAPNIDSLEELLRKLIANHASFSSTKPPVGVPLDGHHLQKDVDTLHEARSIDLYDREEANVDSHKKDLEEALSELVHVKEERDKILEKQTSLSGEVEVLSKRCDELQELLDRGEANFDRYKNDLEQALSELVHVKEERDRTLREQTSLSGEFEALHKRNDELQELLNQAEANVDRYKKDLEVALSELVHVKEERERTLEKQTSLSADVDSYKNDLEQALRELVHVKEERDRTLREQTSLSGEFEALCKRNDELQELLNQAEANVDRYKKDLEVALSELVLVKEERDRTLEKQTSLSADVDSYKNDLEQALRELVHVKEERDRTLREQTSLSGELEALHKRNDELQELLSQAEANVNRYKKDLEVALSELAHVKEERDRTLEKQTSLSVNVDRYKNDLEQALRELVHMKEERDRILREQPSISGEFEALHKRNDELQELFNQAEANVDRYKKDLEVALSELVHVKEERDRTLEKQTSLSADVDTLSKRNEELQQLRNQEEQKTASVREKLNVAVRKGKSVVQQRDSLKQTIEGMSIEMEHLKSEILNREHILEEHARKLTELLTYPGRLEALESESLLLKSHLATAEHNLKEQENYLELILNKLGEIEFDGEGHISDPVKKLDWIGKRCSDLRGAVVSSEQESRKSKRAAELLLAELNEVQDRNDAFQEELTNVAAELNDLRKERDSAEAAKLEALSHLEKLSALHEEGKSHFSEIIGLKSSMSQFCKGFGEVQNLMADAFSMDLESFRNLETGLESCMKGNNAGSVVDSSLKKGYDGLLNKSSDYKKSLGSTDSWSDFGVDHYDDNALIDFFHLLGHQLQEFLVEIPSLKERINVHSNLAQEQDKTLSKLMASIQREMISQRESWESMKKEIRERDGQLVALHRNVGYLYEACINSVNVIENGKAGLVGNKVESSDLGVNLKIPSFDDVMFEECIKTVGDRLSLAAKEFASIKTEFFDANQKEMKATITNLQRELQEKDVQRDRICSDLVKQIKDAEAAANSYSRDLQSVRIQEHNLKKQVDVVEAEKKKLEQRLNEQQDMQGIAAELEEKIRSQTDLLAAKDQEIEALMHAIDEEEVQMEDSTKKIAELEKVVQQKSQEIENLESSRGKVMKKLSITVNKFDELHHLSASLLSEVEKLQSQLQERDAEISFLRQEVTRCTNDVLHASQMSNQRNSDELFEFLMWIDMIVSQEGMHDMHPDMKSNSQVHEYKEILHRKLMSLLSELENLRTVTENKDTLLQVEKSKLEESNHKVETLERSLREKELHLNLLEGVEEPGKEASTSSEIVEVEPVTNEWSARGTFVAPQVRSLRKGNTEHVAIAVDEDPGSTSRIEDEEDDKVHGFKSLTSSKVVPRFTRPLTDLIDGLWVSCDRTLMRQPVLRLGIILYWFIMHAILAFVVV >OIW15372 pep chromosome:LupAngTanjil_v1.0:LG03:13567701:13572323:1 gene:TanjilG_26745 transcript:OIW15372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTKFETKSNRVKGLSFHTKRPWILASLHSGVIQLWDYRMGTLIDRFDEHDGPVRAVHFHKSQPLFVSGGDDYKIKVWNYKIHKCLFTLLGHLDYIRTVEFHHESPWIVSASDDQTIRIWNWQSRTCISVLTGHNHYVMCASFHPKEDLVVSASLDQTIRVWDVGSLKRKAGPQPGGDDILGLSQINSDLFGGVEAVVKYVLEGHDRGVNWAAFHPTLPLIVSGADDRQLKLWRMNDTKAWEVDTLRGHMNNVSCVMFHAKQDIIVSNSEDKSIRVWDATKRTGIQTFRREHDRFWILAAHPEMNLLAAGHDSGMIVFKLERERPAFAVSGDSLLYIKDRFLRSYEFSTQRETQLLPIRRPGSLSLNQSPKTLSYSPSENAVLLCSDVDGGSYELYSISKDSYGRGDVQDAKKGLGGSAVFVARNRFAVLDKSSNQILLKNLKNELVKKSALPIAADAIFPAGTGNLICRSEDKVVVFDLQQRIVLGELQTPFIKYVVWSNDMESVALLSKHAIIIANKKLVHQCTLHETIRVKSGAWDDNGVFIYTTLNHIKYCLPNGDSGIIKTLDVPIYMTKVSGNTIFCLDRDGKNRAIVIDATEYIFKLSLLRKRFDHVMNMIKNSQLCGQAVIAYLQQKGFPEVALHFVNDEKIRFDLALESGNIQIAVASATAIDEKDHWYRLGVEALRQGNAGIVEYAYQRTKNFERLSFLYLVTGNKEKLSKMLRIAEVKNDVMGQFHNALYMGDVRERVKILENVGHLPLAYITASVHGLHDVAERLAAELGDNVPSLPEGKVPSLLIPPSPVMTGGDWPLLRVMRGIFDGGFENKGRGVADEEYEAADADWGEELDMVDADDLQNGEVAAILDDGEVAEENEEGGWELEDLELPPEADTPKASIDTRSSVFVAPTPGVPVSQIWIQKSSLAADHAAAGNFDTAMRLLNRQLGIKNFAPLRSMFIDLHNGSHSYLRALWSAPVISFAVERGWTESSSANVRGPPALPFKLSQLDEKLKAGYKSTTAGKFTDALRTFISILNTIPLVVVESRREVDEVKELITIVKEYVLGLQMELKRREIKDNPARQQELAAYFTHCNLQPPHLRLALLNAMSVCCRAKNLATASNFARRLLETSPTIENQAKTARQVLAAAERNMNDATQLNYDFRNPFVICGATYVPIYRGQKDISCPYCTSRFVPSQEGQLCTVCDLAVVGADASGLVCSPSQIR >OIW15848 pep chromosome:LupAngTanjil_v1.0:LG03:1103074:1104333:-1 gene:TanjilG_04383 transcript:OIW15848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAENALQSSKKRAAGRELTRDTPIDDEDDAPELETGTFKKASDDVLATRRIVKVRRQTTNSAPNPFAGIRLVAPTGSGANPAEGTSEAPSAVVNTVAYDSKGNDAIAKDTEETKGGENKQSESKSEVVENKSAANKEATEETNAGEEHTAENESTGDKSVVDKEQSQDVSKSENEDKKDTAQKSVDEVDKGQSTDDNVESGELSAEGGNLNSFQQLSSSKNAFTGLAGTGSSSPFSFGSVSNDKPFGLGLSTNGSSIFGTSGSSTVFKSEGSGITTLQEVVVETGEENEKVVFNADSILFEFVDGSWKEKGKGELKINVTSSGTEKARLLMRSKGNYRLILNARLYPDMKLTNMEKKGVTFACINSAIEDKGSLSTFALKFKDWSIVEEFKAAVLAHKGETKATAINIPENSPKATD >OIW15933 pep chromosome:LupAngTanjil_v1.0:LG03:1682262:1685857:-1 gene:TanjilG_04468 transcript:OIW15933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGEGEEERVPLTERPEWSDVTPVPQDDGPHPVVPIKYTEEFAEVMSYFRAIYLSDERSPRALTLTAEAIHFNAGNYTVWHFRRLLLESLKVDLHTELDFVERMANANSKNYQIWHHRRWVAEKLGPKARNDELDFTKKILSIDAKHYHAWSHRQWVLQALGGWEDELSYCSELLEADIFNNSAWNQRYFVVTRSPFLGGLEATRESEVLYTVQAIIACPENESSWRYLRGLYKGDTTSWVNDPQVSAVCLKILNSKINYLFALSTLLDLLCSGFQPNQEFRDAVEALKTSDLDKEDQNIARNICSILEHVDPIRANYWIWRKSLLPQTA >OIW15182 pep chromosome:LupAngTanjil_v1.0:LG03:18848128:18848496:1 gene:TanjilG_09921 transcript:OIW15182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKKKSTVPSNWLDRLRAKKGFPVGDNPDLESFLTSIKKGDDPDPQSDNPKDTLSHEAINPTNSTTLRKFSPDGPIVQNSSYRRRPRKQSHPTKRILPSSSSNTNTVVVLDIDHKAKSTSFI >OIW15084 pep chromosome:LupAngTanjil_v1.0:LG03:21491277:21496220:-1 gene:TanjilG_08571 transcript:OIW15084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTGWADGPEFVTQCPIRPKESYTYKFSVVGQEGTLWWHAHSSWLRATVYGALIIRPREGHSYPFPKPNRETPILLGEWWDTNPIDVIREATRTGAAPNVSDAYTINGQPGDLYKCSSKGTTIIPIDSGETNLLRVINSALNQPLFFTIANHKLTVVGADASYLKPFTTTVLVLGPGQTTDVLISGDNPPSLYYIASRAYQSAQNAAFDNTTTTAILQYKSTHHGLNGRKPLMPLLPAYNDTNTVTAFSRSFRSPRKVEVPTEIDENLFFTVGLGLNKCPPNFRARRCQGPNGTRFTASINNVSFVLPNNISILQAHHYGIPGVFTTDFPAKPPLKFDYTGNVSRSLWQPIPGTKAYKLKFGSRVQIVLQDTSIVTSENHPIHLHGYDFYIVAEGFGNFNAKKDSSKFNLVDPPLRNTVAVPVNGWAVIRFVADNPGAWLLHCHLDVHIKWGLATVLLVENGVGKFQSIEPPPKDLPLC >OIW15020 pep chromosome:LupAngTanjil_v1.0:LG03:22970609:22970797:-1 gene:TanjilG_24129 transcript:OIW15020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTETPLHGGEPQWLSREPSWFSEDTPTIARRPRSSYFGQLCLTTVVPSSRRDVISLSGMVM >OIW15381 pep chromosome:LupAngTanjil_v1.0:LG03:12380874:12382265:1 gene:TanjilG_24366 transcript:OIW15381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIALSKFTKNENDLFDIMDDWLQMDHFVFVGWFGLLLFPCTYFALWDWFTVVGLALNLRAYDFVSQKIRTAEDPEFETFYTKNVLLNEGIRVWMAAQDQPHENLIFHEEVLPRETLFNGNLALIGRDQETIIFAWWARNARLINLSGKLLGAYAAHSRLIVFWDGAMNLFEVVHFIPEKPMYEHGLILLPHLATLGWGRSWGRSYRHLSILRVWCISINFLCSIGIWR >OIW14693 pep chromosome:LupAngTanjil_v1.0:LG03:25690987:25697890:1 gene:TanjilG_33035 transcript:OIW14693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKGRKKSRVSSIVVTNKDTCTATTAIAPHVCPHILKGVNLNTFSANIASFPSSITCQDCSKRKGKGKCKDANKNIWVCLNCAHFTCGGLGLPTTPHCHVLTHSTQTRHPLFTLFDKPQFCWCFRCNMPIQHTDQTSHLLSHALNLFKQHSSLKSPPNPHISPTSDIQSKTLITTASSYGRGGYVVRGMLNLGNTCFFNSIMQILLAMDNLRHNFLNLEAPSPQFRGYQQHDSHEFLRCLLDGLSTEELAARKHNVTNSNNTLVHALFGGQISSTVCCTHCGHFSTVYEPFLDLSLPVPTKKPPPSKAQQVSRTKNTKLPPKKGGKSRAKGRKDVGPLPTQSLSNQLSGPAPSNTSSVVAEMLDSSGDSTVQGSEEISNTADKYDLSSPKFVAVAESQNTQQVIDNAIKNMLASWDDFTWLDFVEDGNVAGESDFISQEDTLEVHDAENNNECLKELHVQVATCESHGPVNFLKDNEEDQNPRPDSSLENGWKDEVPLQVQGSEVLLLPYKEESPSAGEIIGKDGEASSSVLDRGQEELEFDGHGDLFDEPEVVVAGPAPRPTLSGEVVGTGFIVGNNNESEPDEVDDTDSLVSVGSCLAHFIEPELLSDDNAWYCDNCSKLIQCQKMKTNKLVKNVSNGNETSGHKEPGHAACSVKVGGLGNVDIGNGENVGSSVSHVKNGMELERGQIHELSTNTNDRDHGALEMKDKHNEELEYSGSHKASNKESCNRQAADSSSTLHMSDTVQKSDTWMLGEYNKDSNEHNEEEANSKSVKVKRDATKRVLIYKAPPVLTIHLKRFSQDACGHLSKLNGHVSFREIMDLRPYMDPRCVNEENYEYHLVGVVEHVGSMRGGHYVAYARGMADKGNENEGSTWYHASDSDVREASLNEVLHLEIELYRIREERKSELSHCKTSSSYNNKQQQHQQHQHQQQHQRSRTQQPPHLDHEVDMDDLLSSISETQNEQQLFALLSRYSGRQLSIRFMVSLLSRQSDWQRSLAILDWINHKARYSPSLFAFNVVIRNVLRAKQWQLAHGLFDEMRQLGLSPDRYTYSTLITYFGKHGLFDSSLFWLQQMEQDNVPGDLVLYSNLIELSRKLCDYSKAISIFMRLKSSGITPDLVAYNSMINVFGKAKLFREARLLLHEMRENGVQPDTVSYSTLLTMYVENHKFVEALSMFSEMNEGKCSPDLTTCNIMIDVYGQLDMAKEADRLFWSMRKIGIEPSVVSYNTLLRVYGEAELFGEAIQLFRLMQKKSIQQNVVTYNTMIKIYGKSLEHEKATNLIQEMQNRGIEPNSITYSTIISIWEKAGKLDRAAMLFQKLRSSGAEIDQVLYQTMIVAYERAGLVGHAKRLLQELKQPDSIPRETAITILARAGRIEEATWVFRQAFDAGEIKDISVFSCMIDLFSRNKKYANVVEVFEKMREVGYFPDSNVIALVLNAFGKLREFEKADALYGQMYEEGCVFPDEVHFQMLSLYGAKKDFKMVESLFEKLDSNPNINKKELHLVVASIYERVDRLNDSSRIMNRMNQRATGNHDRA >OIW14571 pep chromosome:LupAngTanjil_v1.0:LG03:26748129:26754356:-1 gene:TanjilG_32913 transcript:OIW14571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTEQFQRNSMYIETPDAYGNDGKNFDDDGRAKRTGTWVTASAHIITAVIGSGVLSLAWAIAQMGWVAGPVVLFAFSFITYFTSTLLADCYRSPDPVNGKRNYTYSQVVRSILGGRKFQLCGLAQYINLVGVTIGYTITASISMVAVKRSNCFHKHGHQDKCYTSNNPFMILFACIQIILSQIPNFHKLSWLSIVAAIMSFAYSSIGLGLSIAKVATGGEHVRTSLTGVQVGVDVTGSEKVWRTFQAIGDIAFAYAYSNVLIEIQDTLKSSPAENKVMKRASLIGILTTTLFYVLCGTLGYAAFGNDAPGNFLTGFGFYEPFWLIDFANVCIAVHLVGAYQVFCQPIFGFVESWSKEKWSESKFVNVDYAVKLPLCGTYNLNFFRMVWRTTYVIITAVIAMLFPFFNDFLGLIGSLSFWPLTVYFPIEMYIKQTKMQKFSFTWTWLKILSWVCLIVSIISAAGSIQGLAQDLKKYQPFKATQ >OIW15809 pep chromosome:LupAngTanjil_v1.0:LG03:835320:838689:-1 gene:TanjilG_04344 transcript:OIW15809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLLVLFLDLHILVLTISLLSPILHASDANANAQAESPGTQDVRVVHHQNLNKKILVALIVCCVLLGGIFIFLSYVWFRRHKILRFSSSKSEGTIEAAKGETLNPIDAKLYYSRMTDKKSPVAIFDFRLLEAATNSFSKSNIMGESGSRIVYRAYFDEHFKAAVKKADSDADREFENEVSWLSKIWHQNIIKLLGYCIHGESRFLVYELMENGSLEIQLHGPNRGSSLTWFLRLRIAVDIARALEYLHEHCNPPVVHRDLKSSNVLLDSNFNAKLSDFGLAIASGMQHKNMKMSGTLGYVAPEYISHGKLTDKSDVYAFGVVLLELLTGRKPIENMSSHEYQSLVSWAMPQLTDRTKLPSILDPVIRNTMDLKHLYQVAAVAVLCVQPEPSYRPLITDVLHSLIPLVPVELGGSPRVTEPVLS >OIW15724 pep chromosome:LupAngTanjil_v1.0:LG03:251456:251989:-1 gene:TanjilG_04259 transcript:OIW15724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSSILSLLLVLFLSVVVCVYSRKVIQLSDVCSKHPNPTNCANILYSIPGIGAGIELPGSIPPYIINVIGHTSAFDTYTLLNTLIRNTSDTQLKQRYITCSGDYVATLGYFNSAKDAYNSADYKGMKSNAANVIKAVQDCDWRPPYDPSQLPFLNKRMQDVSNIIIILAHFLLGTY >OIW15417 pep chromosome:LupAngTanjil_v1.0:LG03:11029909:11032341:-1 gene:TanjilG_32656 transcript:OIW15417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEKSMVPSRFIFCVMFISMFLLVLSSLFLLQNSSPSLIPRSVLELILVNSSSMYFIPNFKRDQIILPPYPSDDLNFQSKKGREADCQVFNSSQKTSYVGQQMNMASDSTRTLLRVFMYDLPPEFHFGLLGWKGSVNQTWPLVDNPKLVPRYPGGLNLQHSVEYWLTLDLLSSNIAKVGQPHVAIRVQNSSQADVIFVPFFSSLSYNRHSKLNEGEKVSVNKMLQDRLVQFLMGQKEWQRSGGKDHLIVAHHPNSMLDARKKLGSAILVLADFGRYPVELANIEKDIIAPYRHLVGTIPRAKSASYEERSTLVYFQGAIYRKDGGAIRQELYYLLKDEKDVHFAFGSIGGNGVNQASQGMTLSKFCLNIAGDTPSSNRLFDAIVSHCVPVIISDEIELPFEDVLDYSDFSLFVRSSDALKKGYLLNLLRSTKQGEWTKMWERLKEITHHFEYQYPSKPGDAVNMIWQQVARKIPSVQLNLHKKNRYRRSPLLVKAH >OIW15984 pep chromosome:LupAngTanjil_v1.0:LG03:2088854:2091375:1 gene:TanjilG_04519 transcript:OIW15984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTNTDSDDVTSFNHSSSPTSSSPQKRTVYYVQSPSRDSSSHDGDKSSTMQATPYFHSPMDSPLHSASSASRLSGVYNSALTKKGSMKSNDKGWPECKVIEEEVEYGDFYHEREGLSKRTQIFCAAIGFILIFCVFCFTIWSVSRLYKPELSVKSFTLHNFYFGEGSDLTGVATKMLTVNCSIIMTVHNPATFFGIHVSSNPVNLMYSEVTVATGELNKYFQQRKSNRTVSVNLQGSKVPLYGAGASFAGLVDNQKIPMMLIFEVRSQGNIVGKLVKSKHSLHVSCSIAIDSHNNKPINLKHSLCTYD >OIW15665 pep chromosome:LupAngTanjil_v1.0:LG03:3322069:3322941:-1 gene:TanjilG_09603 transcript:OIW15665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKWSLMLVVVVLVMLHASARKVPSDGGHDDEQTMALHTSAPHATSNKGLDKKTHFIFGGVGGFAGVGGYGKYGHQFGGIGGGMGKYGAVGGGIGGGMGKYGGIGGGMGGGIGKFGGIGGGMGGGMGKFGGIGGGMGKFGGIGGGYHGIGGGIGKAGGIGGVVGGYPNIGGGVGGLGGAGGVGGIGGLGGPGGVGGLGGPGGVGGLGGPGGVGGIGGNGGGVGGVGGNGGGVGGVGGVGGVGGVGGVGGAGGLGGGGGLGGGSGGGSGPGAGIVSGLGCGPVVGANCHDP >OIW14635 pep chromosome:LupAngTanjil_v1.0:LG03:26181349:26183178:1 gene:TanjilG_32977 transcript:OIW14635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMEKEVKNKSIDHNHKLQKNISKPRSSFLPPLQPLSINKPSVEKWPSAGCDDLGVWPIPQTPKGSIIAYDSGQNNKYFQLKKDKLAFFDKECSRISEYIYLGSETVAKNHELLMHKGITHVLNCVGFVCPEYFESDFVYKTLWLQDSPTEDITSVLYDVFDYFEDVREQGGRVLVHCCQGVSRSSSLVIAYLIWRNGQSFEDAFRFVKSARGVTNPNMGFAYQLLQCQKRVHDMPLSPNSKVRMYRMAPHSPYDPLHLVPKMVNQISAQALDSRGAFIVHVPSAIYVWIGKHCNSAMSRNAKAAAFQVIRYERAKGPILSILEGKEPPEFWIALSDTEEVKKEEHSERESIEIGSRTVDAYDLDFEIFHKAIAGGVVPSFSVLNAESETCIPAREHGWGRLRRKFTSGGMKRLFTSSKRNYDASFNDHCGMIMEEETVAEPLLLSSNSPCSSAYSFDCSETVNLSFSIPYVASSLPPSTPSSTLSSDYTSSSIFSPSSTNWSDLSFMSHRQSSPFGMESIEPFCVKDASFLESFSLLRKEVISSPAKAFLADHTLEAANYYMPSKKPLMSIAERRGSNPPPRMLLSLVNESPGDHKNMVRSQYFLSLA >OIW15545 pep chromosome:LupAngTanjil_v1.0:LG03:5663330:5701264:-1 gene:TanjilG_01068 transcript:OIW15545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDRLSTLARVGGAEFNKGPWQARPSEIRSLRTFFLDMDQAPTSFLALARKRSRSPPTNKIGECIKSTSFIDGRVPTGQRFGFMHYTRLRGGSYKAYSRQGLPASKRWKRLYLLMFSPTGILPLPLKFWLAFPDLGVLTAEQYGMVRAFIMERNNGTTASLRIESCECMVGPEPEIRDSAFKNSLPAILSCVKIAFRPVLFLSWKRRQEQSLLIHLFANLLVGYPHSVTRIGLWREYPVHRNFNQKRLQESTVGKGSKRIVLVASLRARLFFEWKQFLLVTRPFGEESLSQGVRKSHQGDLTRKLKPPPTSLSVSYITPTYGSLVAALSVAGISAANMATSALFGRFVKRHFWDEGTSLERGGERQSNSLFAGFRFTRSPLSSAFETAAAASSEPRPSGFSGFPCERDKDIPRLTDFLFENREPLEAKEVIKLHSYSLAIGSTKAIGAKPTLILLQMILIFLTILPSDLRKWIELSAVLFNNSVKLPRSSWNLVNKVPFKRSDSTIDHRITSAMERPQKTRQKKGGQTRGSLPSKAKRTLDELMRMGVSLAARKHPVLTTLRDTKAQVTPVGEVALSIPSAYPRPDRQLLDLPISPKLPPVFGPSCMRQKLAPRTVRRPSPTPAVMVRLRSTNTKKIQFTQRLPLGSELHMGKERCCFRGLDHLHGPTSHSIFGNLMIYKPSLTNDRLMFEHDESLRADLLPINFPASYENGKLEHFLHRWMKNREHNNLWLTMFPEKRYFRERTSTTEVAIHTNPFTDRYASIGTGSSRTGGCLSSFYRYEMQYPHTSLHGFRPGREEARDCPMKDKLAGCRGQEGRTPRKAQTETGKRLTRTDRRELACYRLTDLLSSLIGFLAWNAFVRVRIRKTAWIGERGNLTALLIEKAWADPQPLAHYKIKMTNWLELQRDKGESAHDAWKVGHNLVAATSQAHQMQTWLLLALARPSTASTADAADLDVPSIAKEVAELVLWDLDSDFPYSSNNPMGNPSLSAPEPNESVWYAEASPYPEIGRKQTLLEDKKGWDKDLSFKSRRKWKGSSLCLACSKLRS >OIW15951 pep chromosome:LupAngTanjil_v1.0:LG03:1840905:1843641:-1 gene:TanjilG_04486 transcript:OIW15951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRKLHERLIPEKSSLSYADFHQEITKCEKGNSINSHGKQKKQVTCGRVSKDDELVKYMSTLPGYLEKGQKIGDKALNVGVLDWGRLEQWRYGHKQVPHRSSGSSTSTSNTSSSVSTDALSGSSTRGRSLSCYRQRISRPSLQSHLIVPPMDDHSVSVKPFGGSVGNCQNLRGSQSNFATQSKYVRADDLLSQNHPTSIMKGCNKKYLSPHIDTETDKLPNDRRYEAASCAKIVKSTQDVGMQKRLDNLQESNIDTFEKATLTKTKALVVLMPREVSQSRHSGASSMRTSFSQKFGSPTRTRLSGKPKEPSHRYPNHDAPHSCFLPVVNSRSHSQPKGSAPSSIDPEKINTPASTLPAPLPVKMGTSPCRSRKAEERKHTVASSAIEPPQIVDQKVTAEKSRSSSPFRRFTISLGFAGKGSGCKDVAHVPHQNSMAAPKSCSENAIGYASTNVSGNVKPGYAGRSTTSPLRRLLDPLLKPKAANCRRYIELSKNDSVLINKNCRPGNVKPSTSLPEKELDMDHGVDCTTTKAADSSKQKKYGLPTFHALLRIAVKNGHPLFTFVVDNNSEILAATMKNLTVTRKDECHSIYTFFTFREVKKKNGSWMNQAGKSKGPDYIHHAVAQMKVSESHCFDSTSQNCMDSSTAKVFVLFSVKVRQGDAQVTDYQPNDELAAIVVKSRKAINFVSRAHQNICQNDSQDLVHVTAVLPSGVHSLPSDGGPSSLIERWKTGGACDCGGWDLGCKLQILANQNQSSRNSRTSKAYIANQFELFLQGNDQDQENQPCFSFAPFKPGIYSVAFDSSLSILQAFSTCIALVDGKMSYENSKPRSSTEGKDLTETLMVQTEELKDFVKLENIPASYIAYPPVSPVGRF >OIW14560 pep chromosome:LupAngTanjil_v1.0:LG03:26864420:26868866:-1 gene:TanjilG_14946 transcript:OIW14560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVNNPQPLHEDDVVLDKVEDGNGINCCSRRSELTLSFEGEVYVFPSVTPHQVQAVLLLLGGRDVQTSVPAVEIPFDQSNRGMGDAPKHSNLSRRIASLARFREKRKERCYDKKIRYIVRKEVAQRMHRKNGQFTSLKEGPGSANWDSAQNAHQDGTPHSDTVRRCYHCSVSENNTPAMRRGPGGPRTLCNACGLMWANKGVSEYSSKAIAAESSNDHAAVNLGDDEVVLVSFSLLLSPCNYSTFILELSDTFSQELPESVKHFTNTLPLGIVHSSVNDDEQEPLVDLSHLSDTDIHIPEKL >OIW14467 pep chromosome:LupAngTanjil_v1.0:LG03:27964148:27966829:1 gene:TanjilG_19883 transcript:OIW14467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGKENLKTKDLNLWFENLIMVAGGNIKAGVITEWKDIPVELLVKILSLVDDQTVITASGVCRGWRDAIYFGVAHLSLSWCSKNMNNLVLCLVPKFAKLQTLILRQDKPQLEDNAVETIANCCHELQILDLSKSFKLTDRSLYAIAHGCCDLTKLNISGCSSFNDNALAYLASFCRKLKVLNLCGCVRAASDTALQAIGRYCNQLQSLNLGWCENVGDVGVMSLAYGCPDLRTVDLCGCVRITDDSVVALANRCHLSSLGLYYCKNITDRAMYSLAQSKVNNKMVRTVKGTSNDDGLRTLNISQCTALTPSAVQAVCDSFPSLHTCSGRHSLIMSGCLNLTSVHCACAVHAHHRSFTTFPYPAH >OIW15069 pep chromosome:LupAngTanjil_v1.0:LG03:22879575:22881694:1 gene:TanjilG_13996 transcript:OIW15069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPNQQTVDYPSFKLVIVGDGGTGKTTFVKRHLTGEFEKKYEPTIGVEVHPLDFFTNCGKIRFYCWDTAGQEKFGGLRDGYYIHGQCAIIMFDVTARLTYKNVPTWHRDLCRVCENIPIVLCGNKVDVKNRQVKAKQVTFHRKKNLQYYEISAKSNYNFEKPFLYLARKLAGDPNLHFVESPALAPPEVQIDLAAQKKHEDELLAAATQPLPDDDDDAFE >OIW15445 pep chromosome:LupAngTanjil_v1.0:LG03:9104448:9104825:1 gene:TanjilG_28644 transcript:OIW15445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCQNCFPAITTTTSSTAISPPSTLHTGNITSSRSLKRSYTEATQQVGPPSPDETMSFEAKRIVNRCSGCRRRVGLIGFRCRCGDLFCSEHRYSDRHECSYDYKAAGKEAIARENPLIRAAKIVKV >OIW14667 pep chromosome:LupAngTanjil_v1.0:LG03:25895836:25896666:1 gene:TanjilG_33009 transcript:OIW14667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPERKCFLWLAIVGLIGVVFFVATMIRSSDTFCPETRIQTRTRVSEDYNPTAIQLKAILHYATSRVVPQQSLSEIKISFDVLKSLGRPSKFLVFGLGHDSLMWASFNPHGTTLFLEEDPKWVQTVLKDAPNLRAHTVRYRTQLRDADMLLSSYRSEPTCFPSRAYLRDNGACKLALDNLPEEVYDTEWDLIMIDAPKGYFAEAPGRMAAVYSAAVMARGRKGSGVTHVFLHDVDRKVEKAYAEEFLCRKNLVKSVGRLWHFEIPPQSNDIDAPRFC >OIW15000 pep chromosome:LupAngTanjil_v1.0:LG03:23242516:23243361:1 gene:TanjilG_30719 transcript:OIW15000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKQVIATPPAPFDYVLLDGDAEHPITVRASSSNVEPWIEPEMSKLRHRICRGPLGDVWLATHHQSTEDYGEYHEVAAKMLPPLREDHMKTVLEKFHELYFQLQGVAMACCLHGFSIMNGRVKFGLCILFAMWEIFIFQYDMFTGCRYGINLAQGIQELHSKGVLILNLKPFNVLLTDNDQTILGDFGIPNILLGSSFLSSDMPNSLGTPNYMAPEQ >OIW16031 pep chromosome:LupAngTanjil_v1.0:LG03:2565911:2567927:-1 gene:TanjilG_04566 transcript:OIW16031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAKTDSEVTSLAASSPTRSPPRRPLYYVQSPSRDSHDGEKTVTTVSLHSTPLASPHHSSSAAPHHHHRKDLNKPWKQIDAIDEGVYEAEDRHRNGLPRRCYFLAFVVGFFLLFTLFSLILWGASRPMKPKISVKSIKFDEVRVQAGADASGVATDMISLNSTLKFRYHNTGTFFGVHVSATPLDLSYSDIVIASGDMKEFHQSRKSKRLVSVAVISNKVPLYGSGASLSSTTGMPTVPVHLKLNFVVQSRAYVLGKLVKPKYYKNIECSITLDPKKIGTMVPLNNSCTYD >OIW15926 pep chromosome:LupAngTanjil_v1.0:LG03:1631003:1635288:-1 gene:TanjilG_04461 transcript:OIW15926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNNAFPATGTGLIVSFGEMLIDFVPTVSGVSLAEAPGFLKAPGGAPANVAIAVARIGGKAAFVGKLGDDEFGHMLAGILKENGVRADGITFDTGARTALAFVTLRADGEREFMFYRNPSADMLLKPEELNLELIRSAKVFHYGSISLIVEPCRSAHLKAMEVAKEAGCLLSYDPNLRLPLWPSPEEARKQILSIWEKADLIKVSDVELEFLTGSDKIDDASALSLWHPNLKLLLVTVGEHGSKYYTKNFHGSVDAFHVKTIDTTGAGDSFVGSLLAKIVDDQSILEDEPRLREVLKFANACGAITTTKKGAIPALPKEEDVFNLIKESSA >OIW14779 pep chromosome:LupAngTanjil_v1.0:LG03:25045342:25047090:-1 gene:TanjilG_05400 transcript:OIW14779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSERLGVEEALCQDIQSLSVSKCLVRSVSQKLRKRKNNRSASKEDNDGGKGVSLRCLTLYGRGGGCKVGADTSDESGDSSGRRRSSASEEGKGYRTIRGHEETSVNCFSYGVKDRFCRRQHNIRSSEFEELVTNSRMNIFLPDDILEMCLVRLPLTSLMNARLVCKKWRSLTTTPRFLQTRREGLYQSPWLFLFGVVKDGFCSGEIHALDVSLNQWHRIDAGFLKGRFLFSVASIHDDIFIVGGCSSLTNFGNVDRSSFKTHKGVVAFSPLTKSLRKIPPMKYARSVPLLGVSEVSLDFPSCQGHHSQMDRRFPRSRIGGISDVYEDPHKLSMRCHSRSSLNESEALSLPSRKAYKFLRQRSEQSSSKSGKRFVLIAVGGLGSWDEPLDSGEVYDSVSNKWTEIQRLPFDFGVACSGTVCGRMFYVYSETDKLAAYDIERGFWIAIQTTTFPPRVHEYYPKLVSCNGRLLMLSVSWCEGDGQIGRRNKAVRKLWELDLMYLTWREVSVHPDAPMDWNAVFVADKNLIFGVEMFKIFGQVLDFFTVCDVSDMANWNHISRNHATQELDASSCFTKSMVVLHL >OIW16074 pep chromosome:LupAngTanjil_v1.0:LG03:2975577:2977993:-1 gene:TanjilG_04609 transcript:OIW16074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVIANVVGVNVVLSALPITNDFTFNHNNIQSHRLYLNCSKPSQIISSFPFSLSLPLTKKGSCFLLPCLPSDSESLNSSSITRKVYAVPSLKLFVSGLSFRTTEESLRNAFENFGQLVEVNLVMDKIANRPRGFAFLRYATEDESHKAIEGMHGKFLDGRVIFVEVAKPRSELRQEKTRPKF >OIW15989 pep chromosome:LupAngTanjil_v1.0:LG03:2154993:2158451:1 gene:TanjilG_04524 transcript:OIW15989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKSLIKLTKNRIDVIRRRRKATERFLKKDVAELLMNGLDANAYGKAEGIIVDLTLSSCYDYVEQSCEFVLKHLSVLQKLREAISSLMIAAARFSDLSELRERYGNSIECYVNQEFAANLNLKSAALEKKVLLMQDIASEFSIKWDSKAFELRMPKSSAFAQDKKVEKIEGGSNLHDSWGNATPLRASQDTATAMKSPGHAGFHSKNNLNEPFSVNNGDLLDAGNITERKVEKDDTPRLKPPYYNNAIPPPYVKSNSKLKICTRETDLSSSHIDSEDIILYPSVHGKPYAASTSERIRLGLDKKDSGHERPSKEGHEKELSTHDAAAEIPVLTQKSMRRRHSKSQSSDNDASNNDAEVERKPRSRRRDETRHSLQIMFDDKQHETDEDERVIDRLLIHYSNKPPIPVPEKARRSKSRHAHWTRESLRMKTMMGLISHRIHDQLPFHNQEQCK >OIW15231 pep chromosome:LupAngTanjil_v1.0:LG03:15821746:15824458:1 gene:TanjilG_17551 transcript:OIW15231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYQNHSSIQTLDPEEAQTAPPLTGFSAKGVVQKVKSNLVFRSKWADLNGAMGDLGTYIPIVLALTLARDLNLGTTLIFTGIYNIITGAIYGVPMPVQPMKSIAAQALSDPSFGVPDIMAAGILTGGVLFLLGVTGLMQLVYKLIPLCVVRGIQLAQGLSFAMTAVKYVKKVQNLPQSKSLGSRPWLGLDGLVLAIVCLCFIVVVNGGGEKNHGCCNTTSSENGENDLDGGTSIERNRKTKLRKIIFSLPSAFLVFVLGVVLVFVRNPKVVNEIKFGPSSMKVVKISRHAWKKGFIKGTIPQLPLSILISVVAVCKLSSDLFPHKEFSVTSLSVTVGLMNLLGCWFGAVPTCHGAGGLAGQYKFGGRSGGCVALLGAAKLILGLVLGTSLSHILNKFPVAILGVMLLFAGIELAMCSRDMNTKEDSFVMLICTAVSLLGRSAALGFLVGMIVYVLLKLRTWTNDKPFSTIWMQ >OIW16079 pep chromosome:LupAngTanjil_v1.0:LG03:3051100:3051616:1 gene:TanjilG_04614 transcript:OIW16079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSQTYQCFCIICFMASINLLYAKGEMYTVGDIYGWNDFFDFNNWSDGKEFHVGDILVFNYESSLHNVLQVDYKAYDGCITDSYIQRFNNGNDSVVLKEGRAWFICGVENHCQNGQKLNITVDS >OIW14461 pep chromosome:LupAngTanjil_v1.0:LG03:28806849:28808369:1 gene:TanjilG_19509 transcript:OIW14461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLFMTLFSLHNLSFIFLLFLFYITIFEFLRIKKHSNLIPTTYPFIGCFISFYKNRYRLLDWYTELLSLSPTKTIVVQRLGAHRTIVTANPGNVEYILKSNINNFPKGKPFTEILGDFLGHGIFNVDGELWLTQRKLASHEFSTRSLREFFMHTLEEEVSERLLPLLESLSNEKNQVIVDLQELLGRFSFNVICKFILGTKRCSLDPSDPKSPLSRAFDVAAGISARRGAAPLFIIWKMKRWLGVGSERRLREAVKEVHNHVMEMIEEKKHHMNVTGKGNCVEDLVSRLICSGLDDEVIRDMVISFILAGKDTTSAAMTWLFWLLSHHSHNEQQIVKETELNTKQKLDYESLKNLDFLKACLCESMRLYPPVAWDSKHATKDDVLPDGTMVNAGDRVTYFPYGMGRMEKLWGKDWYEFRPSRWFSEPDNNGGTMIEVSPFKFPIFQAGPRVCLGKEMAFIQMKYVVASILHRFKIRHVSQDKPIFVPQLTAHMAGGLKVIIFKREK >OIW14810 pep chromosome:LupAngTanjil_v1.0:LG03:24836525:24836905:1 gene:TanjilG_05431 transcript:OIW14810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDSVLLALFLPCLGMSFVFIIYMCLLWYATTYRSDNPRLPVKPVSEKGLSASELEKLPRITGKELVMGTECAVCLDEIENEQPARLVPACNHGFHVECADTWLSNHPFCPVCRAKLDPQVFNNPC >OIW15792 pep chromosome:LupAngTanjil_v1.0:LG03:735120:735356:-1 gene:TanjilG_04327 transcript:OIW15792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARVFLIYAVAVLTVAVVVVSGSPATMTLERAFPSNDGVELSHLRARDMFRHRRMLKSSNVSVVDFSVQGTFNPYEVG >OIW13587 pep chromosome:LupAngTanjil_v1.0:LG04:9978363:9980481:1 gene:TanjilG_25686 transcript:OIW13587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEELRSKIETTVLEIVKQSNMEDTTEFTIRVAASHRLGIDLSLPERKQLVRTVIESYLVSVAEGMKNNTVVPESNEIKENSIVVQDSSEMVELKMKKIKKEEEKLERIVCQLSNRRNVSVGNFKGSTLVSIREFYCRDGKQLPGPKGISLSTEQWSAFKKSVPAIEEAIAKMERKMG >OIW13304 pep chromosome:LupAngTanjil_v1.0:LG04:14244421:14245269:-1 gene:TanjilG_02824 transcript:OIW13304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRINKIKPVDLSSAKDIFVSAIRFAMSMEGPCFPFGDELRVSAQEQVDFMLGEDEDTSTVMADDEVKSIVRMGVYNIIHSFETELSLLLLDTALEFETANNKVMRKVSDLEWICNVLPKMDLMKNFVSDWAAISSKILGIIEDKKLDHVMWGLKIKLIEVTSKVLEAVGYGSVILPAPCRVQLLKNWFPYVRKMKPLLDSKAIEETGFPYKMDEDLCQSIEGAIVSLILTLPSNDQADILGDWIKNGEVGYPDLTEAFEVWCYRTKSAKRRLVESLDSHSE >OIW13308 pep chromosome:LupAngTanjil_v1.0:LG04:14283330:14286242:-1 gene:TanjilG_02828 transcript:OIW13308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETAVLFVIDQVYKLLLEEFTFLARIHKEFAHIKDELESIGAFLKDADTRAIGDGDTSEGIKVWVKQLREVSFRIEDVIDEYIYLAQRVHHPGCIASFRKIGHLVKSLKARHRIMSEIEDINLSIRGIKERSERYNFQSLHEQGGSTGTKVDKWHDHRLSSIFIEESEVVGFESPRDELVGWLLEGAAERTAISVVGMGGLGKTTLAKLVFDSQNVTGHFDCCAFIIVSQSYTIRGLLIEMIEQFCKETLEPLPQNMHKMDEKTLITEVRQYLQQKRYLVFFDDVWKLDFSDEIELAMPNNNKGSRIIITTRMMHVAEFFKKAFPVRVQSLQLLPPNKAWELFCKKTFRYEIDQNCPLELMDMSNEIVQKCKGLPLAIVAIGGLLSTKAKTMIEWKKLTRNLSLELECNAHLTNLTKILSLSFDDLPYYLKACMLYFGVYPENNSISTGRLTRQWIAEGFVKNEGKRTLEEVAKEYLTELIHRSLVQVSKLGCDGKIKRCQVHGLLREVIMRKMKDLSFCHFVHEDDQPIEVGLARRLSIATSSKDVLSCAVHLSIRAVHIFEKGELPEDFMTKIFAKFKHLKVLDFEDSSLNYVPDNLGNFLHLRYLNLRNTKVQALPKSIGNLHNLETLDLRQTIVHQLPSEIKKLTKLRHLSAYYRNYETDYCAIRTTKGVVVKNGIEFLTSLQNLYFVEADHGELDLIEKLKKLRQLRRLGLRRVRREFGNALCASIVEMKELESLNITAVSEDEIIDLNFISSPPQLRWLNLKARLQKLPDWIPKLQYLVNLRLCLSMLEDDPLKSLKNLPNLLRLSLCDNAYNGEILHFEERGFPKLKKLLLAHLNRVHSIIIDNEALLDLEYLSVERISTLKKVPFGIKHLTKLKNINFSDMPTEFVESIDPNKGKFYCTINHVPLVFIRHKVGPKIDDYDTLTIHSSSKVSNIKVPFFSNHIMLLLSMHRTSNAYNGVMHY >OIW13221 pep chromosome:LupAngTanjil_v1.0:LG04:17814598:17816028:-1 gene:TanjilG_03550 transcript:OIW13221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLHYLPLSSPLSNSLHKSCSISAPSLIVHQSAHSSFNGQSLHLSCLRLPILTRNTCTRIPVIMMVQPKIQFIQGTDEQTIPDVRLTRSRDGTNGMAIFRFEQPSVFDSSGDVGDITGLYMIDEEGVLQSVDVNAKFVNGKPSGIEAKYIMRTPREWDRFMRFMERYSNASGLQFIKK >OIW13067 pep chromosome:LupAngTanjil_v1.0:LG04:22040137:22042035:1 gene:TanjilG_17627 transcript:OIW13067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSECPPAAAVTTVHVTGFKKFHGVSENPTETIVNNLMEYVNKKGLPKGLVIGSCHILETAGQGALVPLYQILQSAITAKESESSSSNKTIWLHFGVNSGATRFAIEHQAVNEATFRCPDEMGWKPQKVPIVPSDGPISGIRETTLPVEEITKALANKGYNVMTSDDAGRFVCNYVYYHSLRFSEQNGTKSLFVHVPLFSTIDEETQMEFAASLLEILASVS >OIW13247 pep chromosome:LupAngTanjil_v1.0:LG04:16899225:16903928:-1 gene:TanjilG_14180 transcript:OIW13247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTKFETKSNRVKGLSFHTKRPWILASLHSGVIQLWDYRMGTLIDRFEEHDGPVRAVHFHNSQPLFVSGGDDYKIKVWNYKLHRCLFTLLGHLDYIRTVQFHHESPWIVSASDDQTIRVWNWQSRTCVSVLTGHNHYVMCASFHPKEDLVVSASLDQTIRVWDIGSLKKKAGPQPGGDDLLRLSQMNTDLFGGVDVVVKYVLEGHDRGVNWAAFHPSLPLIVSGADDRQVKLWRMNDTKAWEVDTLRGHMNNVSCVMFHAKQDIIVSNSEDKSIRVWDATKRTGIQTFRREHDRFWVLAAHPEMNLLAAGHDSGMIVFKLERERPAFAVSGDSLFYTKDRFLRFYEFSTQRETQVLPIRRPGSVNLNQSPKTLSYSPTENAVLLCSDVDGGSYELYSISKDSYGRADVQDAKKGPGGSAVFVARNRFAVLDKSNNQILLKNLKNELVKKSALPIAADAIFYAGTGNLICRSEDRVIVFDLQQRVILGELQTPFIKYVVWSNDMETVALLSKHAIIIANKKLVHQCTLHETIRVKSGAWDDNGVFIYTTLNHIKYCLPNGDSGIIKTLDVPIYITKVSGNTIFCLDRDGKNRALIIDATEYIFKLSLLRKRFDHVMNMIKNSQLCGQAMIAYLQQKGFPEVALHFVNDERTRFNLALESGNIQIAVASATAIDEKDHWYRLGVEALRQGNAGIVEYAYQRTKNFERLSFLYLATGNTEKLSKMLKIAEVKNDVMGQFHNALYMGDVRERVKILENVGHLPLAYITASVHGLQDVAERLAAELGDNIPSLPEGKVPSLLIPPSPVMAGGDWPLLRVMRGIFDGGLDSTSRGVADEEYEAADADWGEELDMVDVDDLQNGDVAAILDDGEVANENEEEGGWELEDLELPPEADTPKASINTQSSVSVIPTPGMPVSQIWVQRSSLAADHAAAGNFETAMRLLNRQLGIKNFAPLRPVFIDLHNGSHSYLRAFSSAPVISFAVERGWTESSSANVRGPPALPFNLSQLDEKLKAGYKSTTAGKFTDALRMFISILHTIPLIVVESRREVDDVKELIIIVKEYVLGLQMELKRREIKNNPARQQELAAYFTHCNLQPPHLRLALLNAMTVCYKAKNLAMAANFARRLLETNPTVETQAKTARQVLAAAERNMNDVTQLNYDFRNPFVICGATYVPIYRGQKDVSCPYCTSRFVPSQEGQLCTVCDLAVVGADASGLLCSPSQIR >OIW13566 pep chromosome:LupAngTanjil_v1.0:LG04:10596255:10599308:-1 gene:TanjilG_29307 transcript:OIW13566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEESHMVTSNTPPTNPNDAPDVTKTSGDNFVSGSVVRAESEAGGSHVVVVKRKRGRPRKDVGDTNLLSPMSMSSPPPGGSTEKRSRGRPRGSGKLQILASIGGFVAETAGGCFKPHVVTVNVGEDVVSRILSFLHKSNEVVCILSAAGAVSSVFMRHQSSSSQVLKYEGCFEIVSLCGSCTFASGIDGARHKNAMLSVILGKGDGSVFGGCIESSMIAATPIQLSMATFKQNIISKKVKRKLSIESPNEPCNQDSLEAQQNVPKLIEGEQSLASPTSGPTPATPPNGATDNAIPATTNGESNTCIDHEDLDMNSENQTMDPITLQSKVADVDVNVPLI >OIW13545 pep chromosome:LupAngTanjil_v1.0:LG04:10427838:10436675:1 gene:TanjilG_29286 transcript:OIW13545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSYKSVNVNDIDSSSVNVENEIHKNGSLLSAIVEEDNKIINSNSLEEEEEEEKIAALWNDGYGSRSVEDYFDAAKEMNKFNDVGPPRWFCPLDWIDGTGLGLTLHHKALGKAFKVHCLHIPAHDRTPFEGLVKLVEEAIKLEYALSPNKPIYLVGESLGGCLALAVAARNPSIDLVLILVNPATSFGRSKLQPLLPILEVMPDELHATVSFLLGFIIGIDGTGLGLTLHHKALGKAFKVHCLHIPAHDRTPFEGLVKLVEEAIKLEYALSPNKPIYLVGESLGGCLALAVAARNPSIDLVLILVNPATSFGRSKLQPLLPILEVMPDELHATVSFLLGFIIGDPVKMASVNIRNSLPPTEKVEQLSNNLTVLLTYLPELADIIPRDTLLWKLKLLKSAASYANSRLHAVKAEVLVLASGEDKMLPSADEAQRLGGLLQNCKVRNFRNSGHTILLEDGIGLLTIIKATCMYRQSRRLDLVRDFIPPSMTEFRHIMDQVVGLFRSLIGSVMFSTLEDGTIVKGLTGVPDEGPVLLVGYHMLMGIELTSLMEEFLRQKGIMVRGIAHPEMFTGREESSSSEFPLMDWVKVFGGVPVSPSNLFRLLSEKSHVLLYPGGVREALHFKGEEYKLIWPDHPEFVRMAARFGATIVPFAAVGEDDVAKIILDYNDLIKIPLVNNYIRNWSLDANKFRDETSGEVANTNLFLPVVQPKIPGRFYYMFGKPIRTKGMDNILKDKEAANKLYLQIKSQVKHNIDYLINKREEDPYRNLINRKIYEALHPSKTDQTPTFKP >OIW13064 pep chromosome:LupAngTanjil_v1.0:LG04:22000834:22003639:-1 gene:TanjilG_17624 transcript:OIW13064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKSKAAKTSSTSSPATAPFKAGFAVEVNSDDDGFRGSWFTGKIIRRLVNDRFVVEYDNLMADESGSKRLREILKLHQLRPIPPVEAGREFKFGDEVDAYHNDGWWEGHVTEECGNGRFAVYFRVSREQIVFQKEELRLHREWFDENWVPPFENQQHQQQEQEKVLLAPNVKSAETVMPDMKSAQIVTLDVKSAQTVTLNVKSDEIVNEEERFRVGTPVEVSSDEEGCQGAWFSATVIQVIRKGKFLVEYQSLLANDDSQLLRKEVDTHHIRPHLPQTVVNGHFSLLEEVDAFHNNVWWIGTVSKVLDNSRYVIYFRNRSEELEFQHSKLRKHQDWIDGKWIMPSKVDKAEQSHDEPAEMVNNQENMPRRSSRARFASQILKDFVME >OIW12734 pep chromosome:LupAngTanjil_v1.0:LG04:25306067:25312870:1 gene:TanjilG_24667 transcript:OIW12734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFDEYEYLEKTVENLDDIETTNGNGTVKSEDKDRSRRSKHSKSGDAASRDDDNDDRVKHRGSSRHRSRSRDGEKVKDRHRSSGGEKDRRRDDKDRERHGRERNRRDRGSESEREKEREKEREKEHSQLNRTKSERHPTELDERDQEASRGGRDYRDRVRIRDRDYRGERESVREPWERDRENRRHKEKKDDAAEPEADPERDQRTVFAYQISLKADERDVYELFSRAGKVRDVRLIMDRNSRRSKGFGYIEFYDAMSVPMAIALSGQPLLGQPVMVKPSEAEKNLVQSTASVAGGTTGGIGPYSGGARRLYVGNLHFSMTEADLRSVFEAFGQVELVQLPPDESGHCKGFGFVQFARLEDARNAQQLNGLEIGGRTIKVSAITADQSGMLEVGGNTGEFDDEEGGGLYLNASSRALLMQKLDRSGTTSSMVGSIGNSAVNNTGLNLPASGNIPAATLLGAVPAGSSIPAVPGLPGGGFQFPIAAATIPSIDTIGVPSECLWLKNMFDPNDEKEPDFDFDIKEDVEAECSKFGKLKHIYVDKKSAGFVFLRFENTQAAISAQGALHGRWFAGKMITASFMVPQSYEDKFPDSR >OIW13445 pep chromosome:LupAngTanjil_v1.0:LG04:11800919:11808179:-1 gene:TanjilG_05335 transcript:OIW13445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSENGEERQHESRVTENRSNNEDLTAVNTKGGNSKGKEKTETVSYYRLFSFADSTDIVLMIVGSIGAIGNGIGMPIMAILFGQVINSFGTNQSTRSNVVSDVSKICLKLVYLGIGIGVAAFLQVACWMATGEIQAARIRGLYLKTILRQDISFFDMETNTGEVIGRMSGDTVLIQEAMGEKVGKFMQLISTFIGGFVIAFVKGWLLTVVMLSIIPLLIVSGASMAILIGRMASKGQRAYAKAANVAEQTIGSIRTVASFTGGKQAVSSYNTFLGYACKAGVYEGFVSGMGLGMVMFLIFFGYGLAVWLGAKMIMEKGYNGGTVINIIVAVITASKSLGQASPSMNAFAAGQAAAYKMFQTIERKPDIDSYDPNGKTIEDIHGEIELRDVYFSYPARPEELIFNGFSLHITSGSTTALVGESGSGKSTVISLIERFYDPHEGEVLIDGVNVKEFQIRWIRGKIGLVSQEPVLFASSIKDNIAYGKEGATVEEIRAAAKLANAAKFIDKLPQGMDTMVGEHGTQLSGGQKQRIAIARAILKDPRILLLDEATSALDAESERLVQEALDRIMGNRTTVVVAHRLSTVRNADMIAVIHRGRMVEKGTHIELLKDPEGAYSQLICLQETKKESKESTGRQDKGEITEMRLFRRSGSSAGNSSRHSFSNSSGLPTGVNMSDPELEHSQTKEQSPKVPLKRLVSLNKPEIPMLLIGCVAAIVNGAVFPVFGLLISVAIKIFYEPFPELKKDSKFWSIMFVIVGLASLVANPARAYFFSVAGNKLIQRIRLICFEKVVSMEVGWFDEPENSSGAIGARLSADAASVRALVGDALGLMVENIATALVGLIIAFNASWQLALIITFMIPLIGANAYIQMKFMNGFSADAKMIYEEASQVANDAVGSIRTVASFCAEKKIMELYREKCEGPKKTGIRQGLISGIGFGASYCILFCVNATCFYAGARFVHSGKATFSDVFRVILALTFTANGISQSSSLAPDSSKAKSAIQSIFRIIDRKSKIDPSDESGTTLNNIKGEIQLHHISFKYASRPDIPIFRDLSLTIHSGKTLALVGESGSGKSTVISLLQRFYDPDSGQITLDGVEIQNLQVKWLRQQMGLVSQEPVLFNDTIHANIAYGKEGNATEAEIIAAAELANAHRFISSLQQGYDTIVGERGIQLSGGQKQRVAIARAIIKTPKILLLDEATSALDAESERVVQDALDRVMVNRTTVIVAHRLSTIKNAHVIAVVKNGAIVEKGNHETLIHINDGFYASLVQLHESGSTI >OIW13548 pep chromosome:LupAngTanjil_v1.0:LG04:10452283:10454734:-1 gene:TanjilG_29289 transcript:OIW13548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGVAVLGSSEGVAGTVYFIQEGDGPTTVTGTLSGLKPGLHGFHVHALGDTTNGCLSTGPHYNPNGKEHGAPEDDNRHAGDLGNINVGDDGTVTFSITDSQIPLTGPNSIIGRAVVVHADPDDLGKGGHELSKATGNAGGRVACGINLPCLHEVEKVVDQRKKL >OIW13921 pep chromosome:LupAngTanjil_v1.0:LG04:8319396:8322337:1 gene:TanjilG_31810 transcript:OIW13921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAEHSSIPESTNDCCTLWKKKHLKIMEGRNALRQAVKLLEQKANELEAENENLKKLYKEEQERRKAEKTEKLKESNAKVSLENEVSALDSEIKVSRQECGANAEKENEDVIVKGLQDCISEKENEINRLKKLFEQENIKADTEKDKINAAYAKKLLEAEKKVEDVKALQACISEKEREISRLNQLLERETITANTERKIGEKEKRNAAELHKLLEAEKKKSVEKEMQLSKEVSEAKKKLASETMKFRDTSKRFAGEKQKLLVEKRISESATTVAQKSLEIEKEKAAKEKKQADIKVVKLKEQKKLLEDNLKTAMEKERLVDQMSQKLEEKTQTIEGLKQKLDELSSRKKSMEKSGVSSDVCVNAESDKVRFLEERLKLEISRVKHEKKKYKLKATCCSILQHELGRLKLDFNQIFQRLNALDTLSSPDPESMHGITMSQHMPNIQNLNAVTQVCNPNISEMYKQIENELMKACSTSMDACDPLRENMQHTPLLASSGVNITTSNSNTASFSNGKLTGSQEEGGALQVIASSKFAMENINVRPSMFNPSDSLVIEHNRKRKRTIDKVGNGHLMKMLYLHKPADEEVYRGSLNVPMPSSLHVPKMVDVDNLNCLLEGGSTL >OIW12724 pep chromosome:LupAngTanjil_v1.0:LG04:25396848:25401609:1 gene:TanjilG_24657 transcript:OIW12724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSSSASLFGFREEDQNQMNQQHSSSATPAAPPQKKKRNQPGTPNPDAEVIALSPKTLMATNRFICEVCNKGFQREQNLQLHRRGHNLPWKLKQKSTKEPRRKVYLCPEPTCVHHDPSRALGDLTGIKKHYSRKHGEKKWKCDKCSKKYAVQSDWKAHSKTCGTREYRCDCGTLFSRRDSFITHRAFCDALAQESVRQPPNLSTGIGSTSHLYGSNNSNMALALSQVTPQMSNMQDHFNNEQRSVVPTDILGLGGNTGRTGHFDHILHPSLQAGSSSFKPSQKTMQNSAVSFFMAADNSNQNYHHHDQSQQQGMVQQNKDPFQGLMHLSHDGVNHHHNSSSSPGGSANFFNHPFLSNNTSNNSSNNSFSEHFNNANGNVGGGNEGINLFSTGNPMVGGGGHHQQTMTSSAPSLFSTSLQSNNSAANTHHMSATALLQKVAQMSAISSNSTNSLLKSFGSSISSSCGSKAEQHRQIHVPPPPPPPSSANYNNIFGGNESSNNNLQDLMNSFAASGNTSIFEHGGSVGLTGFEPYDHNSNRREQPKLHGVNIGSSGSDDRLTRDFLGVGQIVRNMSGGSGGLVSQREQHGFKLSTTLETERNNNAVPSRQTFGGGGGNFQ >OIW12750 pep chromosome:LupAngTanjil_v1.0:LG04:25157384:25158602:-1 gene:TanjilG_24683 transcript:OIW12750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKVKTNRVKYPEGWELIEPTIRELQAKMREAENDPHDGKRKCETLWPIFKIAHQKSRYIFDLYHRRKEISKELYEFCLDQGYADRNLIAKWKKPGYERLCCLRCMQPRDHNFATTCVCRVPKQLREEKVIECVHCGCKGCASGD >OIW13699 pep chromosome:LupAngTanjil_v1.0:LG04:9268320:9270019:-1 gene:TanjilG_08041 transcript:OIW13699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNQEEISNKPAKRKPVFIKVDQLKPGTNGHNLVVKVLSSDTVLQKPQPPRPSSSSSSSSHNLRPTLIAECLIGDDTGTIIFTARNEQVDLMKAGNTVIVRNAKIDMFKGSMRMAVDKWGRIEVASDPADFIVKEDNNLSLVEYELVNVVEE >OIW14125 pep chromosome:LupAngTanjil_v1.0:LG04:4035929:4037430:-1 gene:TanjilG_21265 transcript:OIW14125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFRIQSANAFEFGFVVPGQTVEEAEIGVKDHAQDLLQVKDLLEKESWREAQKALRLNSALLKKDMYTIIQSKPGSERPQLRELYSTLFNNVSRLDYAARDKDGPQIWQCYENIVLAVNDILSRI >OIW13164 pep chromosome:LupAngTanjil_v1.0:LG04:18697043:18701898:1 gene:TanjilG_17520 transcript:OIW13164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGVYFSGYQGVPYTYVLPSVGPPAYNAVNYRANGAGGKFQSSKEERSTSLTIGVADDHIGLVVGRGGRNIMDISQNSGAKIKISDRGDYISGTTDRKVTITGSQRAIHIAESMILQKVSNATERVIE >OIW14144 pep chromosome:LupAngTanjil_v1.0:LG04:3656831:3659350:-1 gene:TanjilG_21284 transcript:OIW14144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSEGIFIETTSTTAPDLSLHISPPSTTFSSSSLICNNNNTLVGGGATNYEAHNSPVTTNFPSRTVSHAHTELSLGRNFSGGGTSLEETPKHHHHNNNNNNPYFHNLHHQVSNTNSSCGGATTATASTTNCTRLNHINYGVSLLDVSSEGLRPIKGIPVYHNRSFPFLPIENTRDKDHHHPKTCLNHHMPSYPSLSSSYPSSSSSSSPYFAAVAPDLDPMSFLNSSTFNGPTAAYRAAAATMFNEISGEAFKSHYPLHHHSYYGVGTSHHEVSSAGLMRSRFLPKFPTKRSMRAPRMRWTSTLHARFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQMYRTVKTTDKPVASSGLSDGSGEDDMSPIGSSGSGGHRQFSDQRGCLDRPVQQDMDNSSTTILWSNSSRYH >OIW14231 pep chromosome:LupAngTanjil_v1.0:LG04:1943889:1944977:1 gene:TanjilG_21371 transcript:OIW14231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGEINNYMKAWFQAIISLCYCYAIGKIVPKGVPRLLAIIPIMCLFLVLPLSLNTIHLCGTFAFFISWLANFKLALFAFEKGPLSDPSLSLKNFVVVASFPMKINNQMIPTDSENPPLNAMNNGHKSLLNYTIKAVAFIGVIKAYDYKDYNMHNMHNMKLYTLYSLHLYLILEIILAMVKVLARTLLGVELEPHFDEPYLASSLQDFWSRRWNLVVVNTLRLSIYEPTKKFALPIIGRKWASLPAIFLTFFVSGLIHELLFYYLGRLSPTWEITWFFLLHGFCLPLEVFLKKKLADKFPLPRVVSGPLTLGFIMVTALWLFFPQLLRCNGEQRAFEEYAEVGIFLKNITRALMLWFNAKKLY >OIW12717 pep chromosome:LupAngTanjil_v1.0:LG04:25451188:25452234:-1 gene:TanjilG_24650 transcript:OIW12717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDDTINPNLTESTEHASLQKKHSSMVERLSKRHQTRSDNSDSSSPSFESVSSFLSRFNLLKTSIESNLAESQSLSSQLKPHFDNIAVSISDLEKLVAQNSYFLPSYDVRSSLKTVSDLKQKLDNLNSQLIPKKKFAFKNKGAKKDSVFIPQSKPSTVENVVSEKASSIAVRDSPGFRNETGHVLIKDCKGLEVGEFTVSDLDSCEVRIIGTVRALFVHRLKNCKVYVGPVMGSILIEEVEGCVFVMASHQIRIHNAKMTDFYLRVRSRPIIEDSNGVRFAPYCLCYQGIEDDLHGAALDQETGNWANVDDFKWLRAVQSPNWSVLPENERVGIVDVSNMNNGNEEI >OIW13149 pep chromosome:LupAngTanjil_v1.0:LG04:19748632:19781284:-1 gene:TanjilG_15053 transcript:OIW13149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCALLSKNKFKFVDGSISMPENDDLLFDEWERCNTMVISWITRSLNAQIAQSTVYIESAEELWKDLKERFSKGDYFRISDLLQELHSIKQGDRDLSHYFTDLKIVWEDLEALRPLPSCVCAIKYKCSMLKIIREQRESEYVICFLKGLNEEFSTTRSQILLLEPLPTINKVFSLLQQQESQIGSVTTKVLFSSNMADSSEGTNRTSGNNAQWKAVGRGNNYGRSRGRSFGRGFGSSRTMNTKVCTFFLSRLFDARGRGPEGPVPNPSPDRHAATRSRRESSSSSPPTADGFGTGTPVPSPQSQSFSRGYGSILPTSLAYIVPSTRGCSPWRPDAVMSTTGRGRHSVLRIFKGRRGRTGHHATCGALPAAGPYLRLSRFQGGQAICTDDRSARAHAPGFAATAAPSYSSGPGPCPDGRVSAQLGTVTQLPVHPASPVLLTKNGPLGALDSVAWLNKAATPSYLFKSFAPIPKSDERFARQYRCGPPPEFPLASPRSGIVHHLSGPDRYALTRTLHRRSGSVGGATHKGIPPISFLAPYGFTCPLTRTHVRLLGPCFKTGRMGSPQADARSTQVPKHTKRRALPTTIAMMTSPRACQQPGLGPPSQFASVHAPSRLADRLSPFHIRPRHIAGPHPLPSRQFQALFDSLFKVLFIFPSRYLFAIGLSPVFSLGRNLPPDWGCIPKQPDSPTAPRGATGSGHDGALTLSGAPFQGTWARSAAEDASPDYNSDTEGDRFSWRPTDPHGSKSRKAGGGDTHDRSRALAQPPSITAPSTADSVFNQPRALGLMASGATCVQRLDGSRDSAIHTKYRISLRSSSMQEPRYPLPRVFRISVSQRRPHEHRLRADGGELNDFNFLGAFRAGVLLLGQEDTAEGVAPPDTRGTEVQWTSHNVAGSEPPTSPQSEHFTGPFNRQIAPPTKNGHAPPPIESRKSSQSVNPYYVWTCLANTMSGPGKFPRVESN >OIW14320 pep chromosome:LupAngTanjil_v1.0:LG04:418107:418379:1 gene:TanjilG_21460 transcript:OIW14320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCCGQHSSLSNNNVTASLTNRSFSVVDQLRHRLAETEARLARARAREAQLSRRLHAMKRSVSVMEILEDYLKRRFIEKKYTSLASSITLT >OIW12629 pep chromosome:LupAngTanjil_v1.0:LG04:26138060:26141745:-1 gene:TanjilG_24562 transcript:OIW12629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQSTVKPESCSSPEYTDGKTTSFTQIMVASSIGLIFAVAMHYRLKNMRDQKIIPRLRLSKHGQPPKLERFSHYVAGQMGFKDRRSCPHLCKLASEYIRKCDGCEDDIYAFFENEPDADSLFVKLVEEFERCILSYFAFHWKHGDVLISQVMSSESEPKKKLKNIVMAATREQRVERVTKNLKVARVFNTLVEEMKAMGLVSADDSQCTEVMAPVAHSDRSPVLLFMGGGMGAGKSTVLKEILKEPFWAGAAGNAVVIEADAFKESDVIYKALSSRGHHHDMIRTAELVHQSSTDAASSLLVTALNEGRDVIMDGTLSWVPFVVQTITMARNVHRRRYRMGAGYRMNDDGTVTENYWQRIEGEEPEQVEGKKRKPYRIELVGVVCDAYLAVIRGIRRAIMCRRGVRVKPQLKSHKRFADAFMTYCQLVDNARLYSTNALEGPPKLIGWKDRDKTLLVDPDEIDCLKKVARLNEDANSIYELYKHPNPVREAGSIWKDIVLSPSRLNIQQELKYSIQKVEIYKSCL >OIW12506 pep chromosome:LupAngTanjil_v1.0:LG04:27112356:27114766:1 gene:TanjilG_04670 transcript:OIW12506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDDYDDVDMGYEEEPPEPEIEEGEEEDVDANKNEEETGEPLVTEDKEDEQPVERPRKTSKYMTKYERARILGTRALQISMNAPVMVELEGETDPLEIAMKELRERKIPFTIRRYLPDGSYEDWGVDELIVEDSWKRQVGGE >OIW12668 pep chromosome:LupAngTanjil_v1.0:LG04:25811490:25812799:-1 gene:TanjilG_24601 transcript:OIW12668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSKVTLEIFSKLEHKWLSHYETNNKTRILSIDGGGTTAIVAGASLIHLEDQIRLQSSDPQAHIADFFDIIAGTGIGAILAAMITAADAFGRPLYTARDSVRLITERNSELYKVKRSGLFRRCRRFSSRSMNNVLKQVFERKEENGRLLTLKDTCKPLLIPCFDLKSSAPFVFSRADASESPSFDFELWKVCRATSATPKHFRPFNFTSVDGNTSCSAVDGGLVMNNPTAAAVTHVLHNKRDFPSANGIEDLMVLSFGNGSLSAGASENSNRSTPSVVDIVLDGAFGLGTKKEMLKEMGLESLPFGGKRLLTETNGDRIESFVQRLVATGKTSTPSSPGKESAVTPLDNGR >OIW14239 pep chromosome:LupAngTanjil_v1.0:LG04:1823182:1824107:1 gene:TanjilG_21379 transcript:OIW14239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLTEENVEKVLDEVRPSLMADGGNVALHEIDGLVVVLKLQGACGSCPSSTMTLKMGIETRLRDKIPEILEVEQILDTQTGLELNDENVESVLSEIRPYLVGTGGGILELVQINDYAVKVRLSGPAAEVMTVRVALTQKLRDKIPSIVAVQLID >OIW13368 pep chromosome:LupAngTanjil_v1.0:LG04:13928085:13928294:-1 gene:TanjilG_16477 transcript:OIW13368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCFCFLVDQRKEVRRSKPAAGLCSRCGGGASVADVKTATRFCYVPFYWTSWKAVVCTFCGAVLRSYQH >OIW13818 pep chromosome:LupAngTanjil_v1.0:LG04:7462227:7463354:-1 gene:TanjilG_31707 transcript:OIW13818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMMFGKLHNPLPTLAHAQYIRPTSLSTPPSPPKGGKEDAHFGGYVSNYYNVYLLISLQPFQNQPQSPVSVAAMPRKPPGIRARVRQEEGKPQTRTALLNGCVAKE >OIW12880 pep chromosome:LupAngTanjil_v1.0:LG04:24055516:24055797:-1 gene:TanjilG_24813 transcript:OIW12880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRKLRHFCVSTILLLLCLDLQLLYAIEARPLEVKKLDSSVRGIDSKAAARKSLRTMMHSGPSPGGKGHKSRKEDARILRKLKDSGPSPGIGH >OIW13830 pep chromosome:LupAngTanjil_v1.0:LG04:7603108:7603953:-1 gene:TanjilG_31719 transcript:OIW13830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVPLVNDDTNIDNETEDLNEEMSPSESEDEVKLSEPSENAINNRDALLDKLGDISWPENVDWIHKLSIDIDQEQEVDVNDDLARELAFYTQALEGARQAFEKLQSTPLRPPDCHAEMVKTDYHMVTVKGHILAEKKKVEEVDDRRKVKESQRLAKENQAQKLKERADEKKEDIESVKNWRKQRQQSRFADSNDDADTGLDLEDGKVFERSKKKRPGVSPDDRSGGKAKQTGGKGKFQKKKDSKFGFGGKKGMKKQNSSDTTSDFGGFKKGVFTGNKKRKR >OIW13379 pep chromosome:LupAngTanjil_v1.0:LG04:13719435:13719980:1 gene:TanjilG_16488 transcript:OIW13379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHVTKLFSVLALCMVVMAHQTAAAEFNKGKDLINNLCNLSPTKDLCIQVLSSDETSSTADLRDLAVIALRVAASNASSILTDAKMLIDDVKLNPKVQQGLADCKENILDAVDQLEDTTAALLEDDNSQAQKWLKAALAAITTCDASIPGDDDVLSVKSASFRKLCNIAIFLTKSLPSSHS >OIW13441 pep chromosome:LupAngTanjil_v1.0:LG04:11754787:11756248:-1 gene:TanjilG_05331 transcript:OIW13441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRHSCCYKQKLRKGLWSPEEDEKLLRHVTKHGHGCWSSVPKQAGLERCGKSCRLRWINYLRPDLKRGTFSQQEENLIIELHAILGNRWSQIAAQLPGRTDNEIKNLWNSSLKKKLRQKGIDLITHKPLSEVENVENKIRDQKKVPKELSNELNLMNSESSKSDGASSIATKGYATEIEGSTSSKIMMKNCSSKDFFLDKFMSSNHENYTNLIGNYPIHMSYASSIDQTLPTNSNSSHWCSQSGKSFDMNSEFISNSINVMSIIPPTTTTSFLPTSFCYKPSLPVPSDDISTPSFAANGSYYWEAATSNNSKSSNRSNGSKDLTSNNNSFMESQVEEAKWYEYLHNPMLMLSSVQNQSSESLCSEIKAETYLVPDTSGAVLPHSKQQQQPSQSTNIFSKDMHKLTAAFGHIE >OIW12512 pep chromosome:LupAngTanjil_v1.0:LG04:27072734:27074569:-1 gene:TanjilG_04676 transcript:OIW12512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCQVLEKGKQKHRKGLWSPEEDNRLRNYILKHGHGCWSSVPIKSGLQRNGKSCRLRWINYLRPGLKKGVFTKQEEETILTLHNMLGNKWSQIAKHLPGRTDNEIKNYWHSYLKKRMVKAKEMESHKEIQYATSSSDTLNSSPPLQKLATQGTHNINFTIEPTNQSSLPKLLFAEWLSVDHVNGGNSSNSFESLPLRNGFDQNPTLHEASMQHYMSEAPFDGGEYHDNSLTQISETEMFNSQLKFGNQMVTNGFIHCIPGNDLSSNFSLNNDAMYS >OIW12517 pep chromosome:LupAngTanjil_v1.0:LG04:27048015:27049462:-1 gene:TanjilG_04681 transcript:OIW12517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREILHIQGGQCGNQIGAKFWEVVCAEHGIDSTGRYGGDNELQLERVNVYYNEASCGRFVPRAVLMDLEPGTMDSVRSGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSTVCDIPPTGLKMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATADEDGYEYEDEDDVGEEEEA >OIW14263 pep chromosome:LupAngTanjil_v1.0:LG04:1379302:1391299:-1 gene:TanjilG_21403 transcript:OIW14263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCNNRGTHNTTQGANISIKRVPHILDVALKHTCVAQGMDYVLTQVHTEHPVSRPKPASCLPQAALTSHVKSTQKSASGSFIPNANSAKILEHALVGLEDMTTHIQDLDGKVALAELGNDRDQESSARPNWVDQMGNLHGRVDGANASAEALLIGSHMDTVIDAGIFDGSLGIVSAISALKVLHINGKLHKLRRPVEVIAFSDEEGVRFQTTFLGSGAIAGILPATTLGVSDKRNVTIESVLKDNSLEVTEESFLQLKYDSKSVWGYVELHIEQGPVLEQVGFPLGVVKGIAGQTRLKVTVRGSQGHAGTVPMSMRQDPMAAAAELIVLMESVCKNPEKYLSYDEQCSDSAVKSLSSSLVCTVGEISTWPSASNVIPGQARVEYLKCC >OIW12581 pep chromosome:LupAngTanjil_v1.0:LG04:26605482:26613625:-1 gene:TanjilG_04745 transcript:OIW12581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCHYCGACLTKSNSVNNNQGNDSSVKFNVKVPKKSCKSCGEKPEQENVKWGHTSPYFTPHISPTASLTSSDSCVSACSEFYVDVNSSDRTSRVESTVEGVMLDLNYKLNGESAKVMANNARESNNDNEGYTVRDVEIVQGNNCLEAKADGSENPTASYAEETKYSLPDDLDIQTWEPPEPENPQDDMDNSLTCNDDDEDEGNGIAYLGEPTSMSSSKDEVSGNYRFKEEKQRAIEEVMNGKLKGLVGRLLKSVGVSSSDEGHRSWVDIVTSLSWEAASFLKPDAIGGNAMNPDGYVKVKCVAAGSRSQSQLIRGLIFKKHAVHKHMPTKFKNPRLLLIRGDVGHSINGLSSFESMDQEKEYLKSKMGLIEMCHPNVILVEKMVSRDIQESILAKGMTLVLDMKLHRLRRVALCTGSPILSCDNLNGQKLRHCDFIYFERIVEEHDGAGEGGKRPIKTLMFIEGCPTRLGCTILLKGTHSDELKKIKYVTRCAVVMAYNLILETSFLLDQKAMFSTIPAMKLTNTLPTNQESHGSAFVDSSIPSLEHSAENGLVNTDIPVCNGLHDKNTNCLNLESEEVSPLSCEPYNPAVFSGFSAISSSLKKVMGGSFPFASSAPYQSISAYLGFNERKPDDEVNKSISIVYSPEEDENTKIEVKTDSNAVKLLNGGLSLTPFVDLDSNGDKSKDGDNDRKEIEGKNDISAVLDSQSILVLMSSRNALKGTVCQQSHFSHIMFYKNFDIPLGKFLQDDLLNQARLCDTCQELPEAHFYYYAHHNKQLTIQVKRLPHEKCLPGEAEGKLWMWSRCVKCKSDCTKRVLISTTARSLSFGKFLELSLSRYSSTRKLTSGCSLDRGYVYFFGLGHMVAMFRYSAVITYTVSVPPQKLEFNGALRREWLLKETENVHMKCTALFTEVANCLKTIRLDKSTLILEGSIREFSEVEKMLKQEREEFEVNIKNVVSKKGDSDHAAFKLLSLNRLMWDILIESCVWNRRLYSLHSHDCSRSESFVSEKTMQEHSYSKIEDTAGREIALTGNVKENGNVNGGAKLEIMLDTYLDVNDLLITEIPISGPLLEGNELDDPHNTYDVPHKVKTPFVDDWRSKRSSDNKLRFSEDVFTQSPSEYGNHQEKDFITSNHPQVHESFPVYADIQSSLSVHNSASLHSPVTSFQDSNEWFWKPFADIRQIGVRDFQKRFLSNFEPVSSSITEYLPTANQLITEEGTRLHIPLRTDNHIVSDYEHEPSSIIACALAFLKDSYMMPGDYEDGSRKSGVASKSIESLHGFIHGATLTSPHTFSSSADSDSVYSTERTSSEESRSSHTPENHSSIEIAMGYAKSLGSEKYSVICHYVNQFRELRHLCGLSELDYIACLSRCRNWDAKGGKSKSFFAKTLDDRFIIKEIKKTELDSFLGFSSRYFKHMRESFESGSQTCLAKVLGIYQVTKRNTKSGKEVKHDLMVMENLTYNRNITRQYDLKGALFARYKSAADGAGDVLLDQNFVNDMNSSPLYVSHKAKCHLERAIWNDTSFLNSVNVMDYSLLVGVDSKKGEIVCGIIDYLRQYTWDKHLETWMKSSLVVPKNVLPTVISPIEYKKRFRKFMSTYFLSVPDHWCSRKSSDPCSYSEDDSTRQNP >OIW13572 pep chromosome:LupAngTanjil_v1.0:LG04:10667824:10668396:-1 gene:TanjilG_29313 transcript:OIW13572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTTTGGDGGAVGGGGAGGGGGGGGRGRERKKAVSKSVKAGLQFPVGRIARFMKKGRYAQRVGTGAPIYLAAVLEYLAAEVLELSGNAARDNKKNRINPRHVLLAVRNDDELGKLLKGVTIASGGVLPNINPILLPNKTASASSKSNAIKETVKN >OIW14223 pep chromosome:LupAngTanjil_v1.0:LG04:2209843:2219721:1 gene:TanjilG_21363 transcript:OIW14223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRISLDDSNYSDPTHSPLTPNKDQLQWLPLPQHPLFSSSTTLATASSATKNLFAWDAASRLYFWDSINNCLHRLSLRLGDPDPTSVLAASPSKVLQIDTELNFDVQKISINRNGTAMLLFGSERLCVMYLYGRASKTDVNLICRTITVGSNAYSNGINDIRVLQALWHPSSDTHLGILSSDSVFRLFNLAVDPLQPEQEYYLQPVESGRSRNASSVCPVDFAFGDNHLWDKFSVFILFSDGAIYVLCPVVPFGSLFKYESLLEIYNDAQTFGIKSTNSVAASNSKLAMSWLEATFPELKNLETQEDSQSLLRAHAYALFDASLVLQGPLRRVGQGGSEDSVGRSAECEGRAISFLYNLVSKDSILVTAWSGGQLQIDALADEIQPVWSVGSPPRLRVDSHDQILGLAMICESISSSTLEELDHNAWLGNPPPLLRLAIVDLALPRRAESGYNISLFIDNLMPERIYSLHDGGIDSIVLHFLPFTSQTNGKDDTLRPPSVHPVLNTCQSGSISEPSLCGFVSLSDSFGYSWILAVTLSQECVVLEMKTWNLLLPVSIDMEKKPIQSEGESKEREMSTIISKELLSGPKEVLIPQAPSLRSVAADSIEGRSTLHQYFKLFHETYVEYAHKVYLELKHHAPQLSKIINDQQSRLGGAQQKLLKVEEKESILQKRIDRAIQMHNSLEERLQKLRNLPCAHKKPLSKAERQYKSELDHFKEVELDALHSSVDALSARLRRHIQASKASYQQQKVPAKKICAADNQISVLKSSLEKLSLLNSENTKKVKLVESSLKNKEVNRESSLPIV >OIW13883 pep chromosome:LupAngTanjil_v1.0:LG04:8002915:8015193:1 gene:TanjilG_31772 transcript:OIW13883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFQGNPNQHQQYLQTQKPHFDLNKVFNPTTTTTNNPLPSNSTNLNTSPSFPSPSPSTPPPSSFPIPSSSYPPPTATYPFQNPHYFPYPNLQQQHLHEMNATQRPIFQPSSSPNPNTTSSARLMAMMNTQNPPSNPDSPVMYQAAPSSNEFSVPTSPAVLPLTSAAPARILSTKFPRGRRLIGENVVYDIDFKLPSEVQPQLEVTPITKYASDPGLMLGRQIAVNRTYICYGLKLGAIRVLNINTALRYLLRGHTQKVTDMAFFAEDLHLLASASTDGRIFVWKINEDPDDEDKPQIGGKVITAIQILGESEPVHPRVCWHPHKQEILLVAIGNRILKVDTMKAGKGETFSAEEPLKCSIDKLIDGVHLVGKHDGNVTELSMCQWMKSRLASASEDGTVKIWEERNATPLAVIRPHDGKPVNFVTFLTAPHRADHIVLITAGPLNQEVKIWVSDNEEGWLLPSDSESWSCIQTLEIRSSSEANPEDAFFNQVVELPHAGLFLLANAKKNTIYSVHIEYGSNPTATRMDYISEFTVTMPILSLTGTSDSLPDGENIVQIYCVQTQAIQQYALNLSQCLPPPLDNADLEKPELNLSCSSNALYESSNLETKNMPQVHSSNSESAPVVSLPVNLSTSDIFGLPEASTSDIETKPNDLPSHNGFEHIQSSLPPHPPSPRLSHKLSDLKGSSNNLETNSANADHNSEQTNLESSAERKVESEKDNTTDVAAPGDSLRKNDGFIQNDVSMVSNSPATFKQPTHLITPSEIFSKASLSSENPQISQDMNAQDVAAHGDSENSEVEVKVVGETGSNQENNEYDRDRDSNTDVAEKKDKLFYSQASDLGIQMAREAYTTDEVRHADNIKTIDVPDQRSNAIEEEVQDTSKDAPVSISESEIVAVNFQSPLPALKGKRQKGNGFQVPGRSSTSPFDSTDSSNNQGGISGGLSKEAALPQLSTMHEMLSQLLSMQKEMQKQMTVMVSVPVTKESRRLEGSLGRSMEKVVKANSDALWARVQEENSKQEKLEQDCTQQITNLISNYVNKDMTTVLEKIIKKEISSIGSTVASSVSQIVIQTLEIRSSSEANPEDAFFNQVVELPHAGLFLLANAKKNTIYSVHIEYGSNPTATRMDYISEFTVTMPILSLTGTSDSLPDGENIVQIYCVQTQAIQQYALNLSQCLPPPLDNADLEKPELNLSCSSNALYESSNLETKNMPQVHSSNSESAPVVSLPVNLSTSDIFGLPEASTSDIETKPNDLPSHNGFEHIQSSLPPHPPSPRLSHKLSDLKGSSNNLETNSANADHNSEQTNLESSAERKVESEKDNTTDVAAPGDSLRKNDGFIQNDVSMVSNSPATFKQPTHLITPSEIFSKASLSSENPQISQDMNAQDVAAHGDSENSEVEVKVVGETGSNQENNEYDRDRDSNTDVAEKKDKLFYSQASDLGIQMAREAYTTDEVRHADNIKTIDVPDQRSNAIEEEVQDTSKDAPVSISESEIVAVNFQSPLPALKGKRQKGNGFQVPGRSSTSPFDSTDSSNNQGGISGGLSKEAALPQLSTMHEMLSQLLSMQKEMQKQMTVMVSVPVTKESRRLEGSLGRSMEKVVKANSDALWARVQEENSKQEKLEQDCTQQITNLISNYVNKDMTTVLEKIIKKEISSIGSTVASSVSQIVEKTVSSAIVESFQKGVGEKGLHQLEKSVSSKLEATMARQIQTHFRTSGKQALQEALRTSLEASIVPAFEKTCKAMFEQIDVTFENRLTKHTTAIQQQYDSTHSPLAMTLRETINSASSITQTLSAELAEGHRKLIEIAANSKVAADPFVTQINNGLHEMTEDPTKELSRLISEGKFEEAFIAALHRSDVSIVSWLCSQVDLAGILTMVPLPLSQGVLLSLLQQLSCDVNSETPKKLAWMTDVAAALNPVDPTISAHVRLILDQVYLTLGHHRTLSTTSPTEASTIRLLMHVINSVLVSCK >OIW13827 pep chromosome:LupAngTanjil_v1.0:LG04:7545605:7548433:1 gene:TanjilG_31716 transcript:OIW13827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSSQLELDDPDFVEVDPTGRYGRYNEILGKGSSKIVYRAFDEYQGIEVAWNQVKLYDFLQSPEDLERLYCEIHLLKTLKHRNIMKFYTSWVDTANRNINFVTEMFTSGTLRQYRLKHKRVHIRALKHWCRQILEGLLYLHSHDPLVIHRDLKCDNIFINGNQGEVKIGDLGLAAILRKSHADHCVGTPEFMAPEVYQEAYNELVDIYSFGMCILEMVTFDYPYSECTHPAQIYKKVISGAKPDAFYKVKDPDVRQFVEKCLTTVSLRLSAKELLDDPFLRDDDCEYDLRPVNSDGLDDFVPLIGQPYFNQHQSYSIFSNDYSNGFGYEGDWSPHTAEIENCGIEPFEHNGDDDEPSEEVDISIKGERKDDDGIFLRLRITDKEGHIRNIYFPFDVETDTAISVATEMVAELDITDQDVTSIAVMIDGEIASLVPDWKPGPAIEDTHFANQGLYQNCASNHSRNASGLNFLSNNPGSKDLQSEEITFQAEEYGNRVREDVLNSSSQSNFSQYQDCWSRHESCELSQVESDQSHHHEPYGQFNKPVSAEARGRSALEKKFTPNAGKSWPKSVSQDFSVIHSMYRSLRKSIIKISKGN >OIW13256 pep chromosome:LupAngTanjil_v1.0:LG04:17141967:17142677:1 gene:TanjilG_14189 transcript:OIW13256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAARPPLSTPAPEPAITLPGTTSLGHPIFTRVRLATPSDVPLLHKLIHQMAVFENLTHQFSATESSLSSTLFPSTTLQPFHSFTIFILDISLTPFTDTPNHTNPLYNPTEKVVNLDLPIEDPERDTFRVGNSDTFVAGFVLFFPNYPSFLAKPGFFVEDLFVRECYRRKGFGKMLFSAVAMQAVKMGYGRVECGVLDWNANAINFFEEMGAKKLHELRICRLTGEALQAYRGTD >OIW13070 pep chromosome:LupAngTanjil_v1.0:LG04:22073929:22076799:1 gene:TanjilG_17630 transcript:OIW13070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKEASLCFLFLSFLITSSAGTLVGFSSKSAETISFLQQSKVSPSQIRVLVTDYRILNTFTNYNKVSVDLYLNKTLVENFITSKPYAVSWLKTHVVNFISKVNIKSIIIKCGSEYLAQNEIEPSLLSALKSVHSVLNKLHVGKEVKVSVAFPLLYLEKLNTSHEKEHLVKILSFIKETKSSVFIEDSIEGELSIGEHFVQSIIERAALAASVLPCKDVPMVLTIKSTVIPSSKEVSQFSERISKYLEPRTQIIKRIVALYAEVHTIEDFAQKQLQREEEELFPHMRRTLDDTTTNPPNTIFPKNPTPAATPTITPPDTPAIITVPSTTSPVSISPTNPAAIPVTVPNTTPVPLTPTNPAASATPIPVQPVINPVASYPPPPTSVPVINPLPPPANTNAPSVIQGQSWCVAKNGAPQSSLQSALDYACGNGADCSQLQQGGSCYSPVTLQNHASYAFNSYYQKNPAPTSCDFGGSASLVNTNPSSGSCIFPSSSSSTTTTPISSPPTTTTPSNTTPPPSPITPPSSIPTTPTTPPATPTSSIPTAPTTPSSSGTGTFGYGTPPSVLNPSIPTSGIMPGRVDLHGLVHDRVRREEKKVHGANLSLGDDQGISTVEVIAASGYRLCNIVWSCT >OIW12958 pep chromosome:LupAngTanjil_v1.0:LG04:23124006:23125596:-1 gene:TanjilG_15407 transcript:OIW12958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMRSVLLLSRGFRVSMDSTSRGAMSRFYSSDKGRVLSEEEQAKENVYIQKWERERLEKQKQQADKVKSDKDKDTADKKPEAANKN >OIW14347 pep chromosome:LupAngTanjil_v1.0:LG04:77556:79247:1 gene:TanjilG_31237 transcript:OIW14347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIMSLTMTSSTSCLLSPFPFSLSSSTLIIPSSFSTFTSTICKQQCFSFPSHTTKFSTLSKKVIITKASEYKFPDPIPEFADTEIEKFTEHLSKRLIKKDVCGESVEEVVGICTEIFSTFLHSEYGGPGTLLVDPFVDMADALNERGLPGGSQAARVALKWAQKHVDNDWKEWIGGDSK >OIW12590 pep chromosome:LupAngTanjil_v1.0:LG04:26537022:26537694:1 gene:TanjilG_04754 transcript:OIW12590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMDSSATLNLHHHAKLVPKQCHTFPSGSYLSSRRTPSFSSSCSSLESLYFHDDPLHCPSTPLRFLGVPFSWEYLPGIPKNQNSKKNKDSSMKTLPLPPPTNSSKNLNHEETRVRKKNNTIQSSVQRDPFFAAMVECSKDDNNDKEISGSLWSGAKFTFQPQEEAPISMLVTGFYEFSAFNDALPGFQSCVHVCY >OIW14190 pep chromosome:LupAngTanjil_v1.0:LG04:2835990:2842066:-1 gene:TanjilG_21330 transcript:OIW14190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMNGDGNEPLKKKRLVYMWGYLPGALPQRTPLLTPVLVRVPPSNYSWVDVSGGGCGFAMAISESGKLITWGSTDDLGQSYVTSGKHGETPEPFPLPNEASIVKAAAGWAHCVAVTDCGEVYTWGWKECVPSGKVFVEPSAGVSVEKDVPGRQSLFLVEQVSSHSQGSKSTAGTVTTSTSGEENTKRRRISSAKQAAETSSPGDDVLTALPCLVTLNPGVRISSVAAGGRHTLALSDIGQVWGWGYGGEGQLGLGSRVRMVSSPQLLPCIDSSSYVKDRSASLDQGSMSSDGQNLRVPGSYVKGIACGGRHSTVITDAGAVLAFGWGLYGQCGQGSTDDELRPTCVSSLLGIRIEGVAAGLWHTVCISADGDVYAFGGNQFGQLGTGVDQAETLPKLLDCPSLENVNVKSVSCGARHTAAVTDDGKVFCWGWNKYGQLGLGDVIDRNIPSEVTIEGCTAKNVACGWWHTLLLAESPT >OIW14203 pep chromosome:LupAngTanjil_v1.0:LG04:2579767:2585295:-1 gene:TanjilG_21343 transcript:OIW14203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSNAENDSTKDATVDIEVPDTAHQISTDSWFQVAFILTTGVNSAYVLGYSGSIMVPLGWVGGVVGLVLATAISLYANSLIAKLHEHGGVRHIRYRDLARHIYGRKAYALTWALQYVNLFMINTGYIILAGSALKALYFLFRDDDQMKLPYCITIAGVACGMFAISVPHLSALGIWLGVSTILTVIYVLIAVVLSIKDGIQSPARDYSIPGDGASKAFTIIGSSASLVFAFNTGMVPEIQATIREPVVKNMMKALKFQFTIGLLPFFLIIFAGYWAYGSSTGTYLLNNVTGPVWVKSLGHISAFLQAVIALHIFASPMYEFWDTKFGIKGSALNIKNLSFRFMVRGGYLIFNTFVAALLPFLGDFMSLTGAISTFPLTFILANHMYLVAMGDKLASSQKLWHWFNIVLFSMASLAATIAAIRLIVVDSKTYHVFANV >OIW13326 pep chromosome:LupAngTanjil_v1.0:LG04:14643218:14644952:-1 gene:TanjilG_02846 transcript:OIW13326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIRKSQVIFPSYLSSTVSLSDPNLINRSTVVVQLNDVTTTTTTHHASATASSLDHYQPSDQTLPPIGKLSNGYDPPRINVGGAHKQDNNSKQQPLDEDVRKQYSGDSEDKHNSDFSKGGILGSDIVVHQVLPPSSPSSTQDVRWCEREKAIPLKKRRGCFQNNGDNLGNSSKKMKTKMNKKCSTQNDNNKKRVKGSAVMEGSRCSRVNGRGWRCCQQTLVGYSLCEHHLGKGVRSMSSVRSKSIVSSTIVPDMKLHDGMSQSLSCASSFHEKRSKCDSDETLVSDEKKIVIVTKKRMKLGIVKARSMSSLLGQTKNNTVVRENNT >OIW14257 pep chromosome:LupAngTanjil_v1.0:LG04:1492294:1500240:-1 gene:TanjilG_21397 transcript:OIW14257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGHSIRNLQLTPLSAVATAVDSGDLEDVRLLDSYDTDDVSDSKIDEGMKRIQVEVTGMTCAACSNSVESALKSVNGVLTASVALLQNKADVVFDPTLIKDEDIKNAIEDAGFEAEILHEPSTLVKMPHGTLVGQFTIGGMTCAACVNSVEGILRNLPGVKRAVVALSTSLGEVEYDPSVTSKDDIVNAIEDAGFEGSFVQSSEQDKITLGVVGVYSLIDRQVLEGMLSSMKGVRQFRFDHISSALDVMFDPEVLSSRSLVDGIHGGSNGMFKLHVRNPYTRMASKDVGETSTVFRLFISSLFLSIPLFFMRAVCPHIPMLYSLLLWRCGPFLIDDWLKWALVSFIQFGVGKRFYIAAGRALRNGSTNMDVLVAVGTTVSYIYSVCALLYGALTGFWSPTYFETSAMLITFVLLGKYLECLAKGKTSDAIKKLVELAPATAILVVKDKGGRSIAEREIDSLLIQPGDTLKVIPGTKIPADGVVTWGSSYVNESMVTGESVPVLKEVNASVIGGTINLHGALHIQATKVGSDTVLSQIINLVETAQMSKAPIQKFADYVASIFVPTVVSLALLTLLCWYIAGSIGAYPEEWLPENGNHFVFALMFSISVVVISCPCALGLATPTAVMVATGVGAKNGVLIKGGDALERAQMLKYVIFDKTGTLTQGKATVTTAKVFTGMERGEFLTLVASAEASSEHPLAKAILEYARHFHFFDDSSVTTDTQNIAKELKSGWLFDASDFSALPGRGVQCFIDGKRILVGNRKLIVENGLDISTEVENFVVDLEERAETGILVAYDDILIGVLGVADPLKREVSVVIEGLQKMGIMPVMVTGDNWRTARAVAKEVGIQDVRAEVLPSGKADVVRSFQNDGSIVAMVGDGINDSPALAAADVGIAIGAGTDIAIEAADYVLMRNNLEDVITAIDLSRKTFSRIRLNYVFAMAYNAVAIPVAAGVFYPSLGIKLPPWVAGACMALSSGVSAKALDHLIEFLSNNEMIT >OIW13974 pep chromosome:LupAngTanjil_v1.0:LG04:6179061:6183768:1 gene:TanjilG_09325 transcript:OIW13974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGIFSGSIVSPPDELVAAGSRTPSPKTKAAALLRRFIESKAFAVSVQAGEHAQLAYTHHNESPCQPRSFAVKDEVFCLFEGALDNLGSLRQQYGLAKSANEVLLVIEAYKALRDRAPYPANHVVGHLSGSFAFIVFDKSTSTLFVAVDQDGKVPLYWGITADGNVAFADDAELLKGACGKSLASFPQGCFYSTRVGGLRCYENPKNKITAVAAKEEEIWGATFKNSESVHSSRRLMSMVANGR >OIW14276 pep chromosome:LupAngTanjil_v1.0:LG04:1165740:1167965:1 gene:TanjilG_21416 transcript:OIW14276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKQEIKGDERNTEEERNNKKERDEGKEENPAPLKALNHVSRLCRDVKKSIEFYTKVLGFVLMERPEVLDFEGAWLFNYGIGIHLVQSKEEERLPSHTKELEPNDNHICFQCEEVEEMERKLKEMNVKYMKRSLKTEDGTSMDQIFFNDPDRFMIEICNCEDFKLVPADSLGKLKLPMDRHIPPIQTNQRHHHASN >OIW13409 pep chromosome:LupAngTanjil_v1.0:LG04:12559921:12562505:1 gene:TanjilG_19761 transcript:OIW13409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANRIEIRRLLANVTKIVGIIEQLYNKNITFTEKRQHRRSPIPSSFSRVQDLAESTPSPSHVVQVTQPHPLHTQTTEGQGECVEAKAQEEVLLTNKATLQSLVGEVVVRSFENAKEHICLVHLDQDLSWMSIDVFVDRDNLLFEMKDGTLIKMSFPGKDMRCMDPNDYLPDIC >OIW13447 pep chromosome:LupAngTanjil_v1.0:LG04:11827718:11830513:-1 gene:TanjilG_05337 transcript:OIW13447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCFGCCTEDNGSKAPQSGPPYAPKNSPGNAGNVQDPQSAKKDGQPVRIQPIEVPAIPVDEIIEITENFGEDSLIGEGSYGRVYYGVLKSGQHAAIKKLDASKQPDDEFLAQVSLVSRLKNDNFIELLGYSVDGDSRILAYEFAANRSLRDILHGRKGVQGAQPGPVLTWAQRVKIAVGAAKGLEYLHEKANPQIIHRDIKSSNVLIFDDDVAKIADFDLSNQSPDMAARLQSTRVLGTFGYHAPEYAMTGQLNAKSDVYSFGVVLLELLTGRKPVDHTLPRGQQSLVTWATPKLSEDKVKQCIDARLDEEYPPKAVAKMAAVAALCVQYEADFRPNMSIVVKALQPLMNPRPGPVNETSY >OIW13288 pep chromosome:LupAngTanjil_v1.0:LG04:15766140:15773009:1 gene:TanjilG_25767 transcript:OIW13288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTINVPSDSLPQSPSPSAVNDDLPPPPHPPPIHPSRRRDRRDDYRRDYHDRNLSPRDHRGRDFKRHRSPSPNYRDRRYSPPPPSRRSPPQFKRHRRGSPRGGGYGHDDRSGYDYAGGYERGGGGRGGERSYGGRFGHRPAGRYQNGISGSLYFSLSDNMLFLVKSVNLKMLVNCSREGLMSYKQFIQELEDDILPSEAECRYQEYKSEYISTQKRAYFNAHKNEEWLKDKYHPTNLLTVIERRNENARRLAKDFLLDLQSGTLDLNPGLNTSSSNKSGHVSEPHSEEETDAGLKRRRHPREPNKDSDFLAAPKAHPISSEPKRIRQDIQQAQAVVRKLDMEKGIEDNILCTSDHTKNDDKARSGSVGPTVIIRGLTSVKGLEGVELLDTLITYLWRVHGVDYYGTIETNEAKGFRHVRPEGAGHEEKGKSGSEWEKKVDSFWQERLNGQDPLEVRTAKEKIDAAAVEFLDAYVRKIRDEKYGWKYGCGAKGCTKLFHATEFVHKHLKLKHPELVTDLTSKLSEDLYFQNYMNDSDAPGGTPVMQQPQRVKSLKQRLGGLDGRLKDDRGSRRDHDRSDRINGDRPDNSPSSERQLGNHDEEMYDAYGGPAVPQFTSDMPPPPQVLMPVPGAGPLGPFVPAPPEVAMQMLREQGGPPSYDAPGRKMRSGPGPHVGGSAPIIAVPPAFRADPRRLRSYQDLDAPDDEVTVIDYRSL >OIW14232 pep chromosome:LupAngTanjil_v1.0:LG04:1917251:1919398:1 gene:TanjilG_21372 transcript:OIW14232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLNSIHKPNHLLFKTIHFKNFTYSNLLFRHVILPNHPNDYAFNIMIRALTTTWHNYPLALHLYYQMRLIGITPNNFTYPFLFLACANLVEVRHGGSGHCGVVKLGLGVDYHVSHSLITMYARCGELCCARKVFDEIPVKDLVSWNSMISGYSKMGYATEAVEVFGEMKGAGFEPDEMSLVSVLGACGELGDLDLGRWVEGFVVEHNMTLNSYIGSALISMYSKCGDLISARRIFDGMAERDVITWNAVISGYAQNGKADEAISFFHSMKDDCVNPNKITLTAVLSACASIGALDLGKQLDEYASQRGFQHDIFVATALVDMYAKCGSLDSAQRVFQNMPQKNEASWNAMISALASHGKAKEALSLFQRMSDEGAGARPNDITFVGLLSACVHAGLVAEGYRLFDMMSTLFGLVPKIEHYSCMVDLLARAGNLYEAWDLIEKMPEKPDKVTLGALHGACRRQKNIEIGERVTQLLLEIDPSNSGNYIISSKIYANLNMWDDSARMRALMRQKGVTKTPGCSWIEIENHLHEFHAGDGLRIGSIDIDVVIDFLHEELKREGYVPMIVE >OIW13028 pep chromosome:LupAngTanjil_v1.0:LG04:22202255:22206588:1 gene:TanjilG_15477 transcript:OIW13028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIKGLTKLLADNAPKSMKENKFESYFGRKIAIDASMSIYQFLIVVGRIGTETLTNEAGEVTRHVVIIIICYYFNHLQGMFSRTIRLLEAGIKPVYVFDGKPPDLKKQELAKRYSKRAEATEGLTEAIEADNKEDIEKFSKRTVKVTKQHNDDCKRLLRLMGVPVVEVYAVASEDMDSLTFGAKKFLRHLMDPSSKKVPVMEFEIEKILEGLNMTMDQFIDLCILSGCDYCESIRGIGGISALKLIRQHGSIENILENINKERYQIPDDWPYQEARRLFKEPTVLIEEEELNLKWSAPDEEGLISFLVNENGFNNDRVTKAIEKIKAAKNKSSQGRLESFFKPTANPSVPIKRKESKCMLRSFKPATEHKILSLQLSNWSRPMVLGKLSLPIYNLGSNLSVPLSKGVCFGF >OIW14126 pep chromosome:LupAngTanjil_v1.0:LG04:4013715:4032062:-1 gene:TanjilG_21266 transcript:OIW14126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLQLLLNFLSLTFILWSWCTIHDAQADPQILLLNKGCSQYNATNLSNFNQNLNASLSDIKSQVTNQSKHFATAHQSLGADPVYALFQCRNYLSNTDCAACLAVAATQIRNCSAGANGARVIYDGCFLRYESNGFFDQTTLPGNSMICGNNTANVGANAFSEAAQQVLNDLQKATPKVTGFFAATKTQVGGGALYAIAQCAETVTESGCMDCLNVGYNNIESCLPNTDGRAFDAGCFMRYSETSFFADNQTIDITPFLKQESSRKKGAIIGGVVGGVGLIVILLALFAWLKLNKKPKRAPRGDILGATELKGPVNYRYKDLKSATKNFSDENKLGEGGFGDVYKGTLKNGKVVAVKKLLLGQSNKIDENFESEVKLISNVHHRNLVRLLGCCTKGQERILVYEYMANNSLDRFLFGEKKGSLNWKQRYDITLGMARGLAYLHEDFHVCIIHRDIKTNNILLDDDLQPRIADFGLARLLPEDKSHLSTRFAGTLGYTAPEYALHGQLSEKADTYSYGVVVLEIISGQKSSELRTDVDGDFLLQRAWKLYERDMHLELVDKTLESDDYEPEEVKKIIEIALLCTQASDPQTRLLNTGCSPFNVTTNNLHTFFGSINGTLSEIRAQVSNQSKHFATAQEAKGEILAFSMFQCRNYLSKKNCLGCFDTATTQIQNCSHANGARIIYDGCFLRYESESFYDQTTQPGNGVSCGNKTAKETQFDATGKQALLNLQIATPRIKGFYAATKTQVGGSAIYATAQCVETATENDCLACMVVGYNNLQTCLPNTDGRAYDAGCFMRYSETAFFADNQTIDITSYLKQGGGSTKKWAIIGGGVGGVSLVVILLTLFVCHRRSKKPKRAPRGDILGATELKGPLNYKYRDLKAATKNFSDENKLGEGGFGDVYKGTLKNGKVVAVKKLILGQSTKMEDDFESEVKLISNVHHRNLVRLLGCCSKGQERILVYEYMANSSLDRFLFGNKKGSLNWKQRYGIILGTARGLGYLHEEFHVSIIHRDIKTGNILLDDDFQAKIADFGLARLLPGDRSHLSTRFAGTLGYTAPEYAIHGQLSEKADTYSYGIVVLEIISGIKSTDVKIDDDGHEYLLQRAWKLYERGMHLELVDKDLDPDEFDAEEVKKIIEIALLCTQASAAARPTMSQVVVLLISKSLLEHLQPSMPVFVDSNLRAREEKSTSTGGSSTSNATASISVLSAR >OIW13650 pep chromosome:LupAngTanjil_v1.0:LG04:9551867:9553600:-1 gene:TanjilG_07992 transcript:OIW13650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISSIIGLPELGIMEDPNFFNQWNISSIDATSLLAGSSFGETLQKSSISNSNSNPKTCMESERPAKQFKSNWNNNRNPQTSEAQFASNYSNLLSFVDSNYYTSQLGLVRPKMDMVCPKVESKIAQEAFGHQNFVFQDFHEGKMIGARPKHSTPHEHVLAERKRREKLSQRFIDLSALVPGLKKMDKASVLGDAIKYLKQMQEKVSSLEEEKNRKKTAESVVFVKKSILSNSDDLDTGGSFDEALPEIEARVWERNVLIRVHCEKKKGVIEKTISEIEKLHLKVINTSALAFGSFVLDITIIAQMEVEFSMTVKDLVIELRSAFASFM >OIW13721 pep chromosome:LupAngTanjil_v1.0:LG04:8934341:8937250:-1 gene:TanjilG_08063 transcript:OIW13721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDSSTPPPPPPPPMTINGADEDLALSNSRVLTRREVIARRLRRVRQLARCYRGHYWTLMEDLKSKYREYYWIYGKSPFVSENANDTVVLGGNVNGVTVVGDDVVRCATSGCKTKAMALTRFCHTHILSDSKQKLYRGCRFVVKNLPTGPSFCNKPVLRCTVPLACPAHCQRGEKCLLFAIKRAGYNLPNNRKPNPKLHLVVSEFVRQIQKKRKVAFQATVPKAETA >OIW13339 pep chromosome:LupAngTanjil_v1.0:LG04:14909321:14909557:-1 gene:TanjilG_02859 transcript:OIW13339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKESENEVVEDSLNSEQDEVVEDSINSTQDEVFEDYLNSTQDEMVEDTKEGKLENVEDSKEAAQGFDLNIALANIEY >OIW12924 pep chromosome:LupAngTanjil_v1.0:LG04:23589910:23590626:-1 gene:TanjilG_15844 transcript:OIW12924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDPQLEFHTKTTNSTSLKLFGFNVQEEIFENKVLHNDAATDSTTQKTTSTSTSPPSSSSGERKYECHYCCREFANSQALGGHQNAHRKERQQLKRAQLQASRNAPVSYIRNPIISAFTPPPHLLTPPPGSVMVPAEMPSWVYMPPRAVPHGCVFSSAQSKTMCNNSSSRNYYSGCCNRAKYAGTEFFPYVDTVVDPSSVTMGSQVQARAHRGRIDDGPNFDDALGLDLHLSLAPAAP >OIW14103 pep chromosome:LupAngTanjil_v1.0:LG04:4386366:4388555:1 gene:TanjilG_19482 transcript:OIW14103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKADKVCSEFKSQNPIPHRKQVSMKFYSTSVATFLFVIVILFPLAIADLNSDKQALLDFATAIPHRRNLMWNPTTSICTSWVGITCNQNRTRVISVRLPGVGLWGSIPANTLGKLDAVKIISLRSNRLGGNVPADVASLPSLQYLYLQNNNLSGDIPSSLSPKLNTLDLSYNSFTGAIPKIFANFTELTTLNLQNNSLFGEIPNLNVTNLRLLNLSYNHLNGSIPAALLIFPNSSFEGNSLLCGPPLKPCSIVSPAPSPAFTSAPSAAPGRKGSKNKLSKVAIIVIAVGGAVVLFFVALVIVLCYVKKKDGQGSREIKEKGPSGGRGEKPKEEFGSGVQEPEKNKLVFFEGSSYNFDLEDLLRASAEVLGKGSYGTAYKAILEESVTVVVKRLKEVVVGKRDFEQQMEIIGRVGQHLNIVPLRAYYYSKDEKLLVYDCVQGGNLSTLLHGNRSGGGTPLDWDSRVKISLGTARGIAHIHSVCGPKFTHGNIKSSNVLLNQDNDGCISDFGLTPLMNVPATPSRAAGYRAPEVIETRKHTHKSDVYSFGVLLLEMLTGKAPIQSPGRDDMVDLPRWVQSVVREEWTAEVFDVELMRYQNIEEEMVQMLQIGMACVAKMPDMRPSMDEVVKMIEEIRQSDSENRPSSEENKSKDSNVQTP >OIW13424 pep chromosome:LupAngTanjil_v1.0:LG04:12104936:12108715:1 gene:TanjilG_33073 transcript:OIW13424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFSLTTLLRRRRHHHHQLNPCFKHLLPSFSLNHKPHHHLSTSTTTKTTTPSSLPSLTSTNQNHNTQLPFSHVDLNGFAQSVLSKCSLLDNNAKNHQTHVCSLNHHLLELSTVIPETTRKFWRVPVLKPEHVLEILWGFESECAKDEVQPQKVRSLWQIFKWGVEKNMGFNHLVQSYQVMASLLLRVRLLREVEDFLSAMEGRGISLECHEIFDDMIEGYVDMRELERAINVYDGMRGRSMVPSRYCYRALIDLLLQKKRSELAFRIAFDMVDLGAPLSSAEMKTLENVMILLCCNGKIHEARSLVKKVLPFNSEVSSLVFDQIAFGYCEKKDFKDLLSFFVEVKCPPSVIAANRVINSLCSSYGVKRAGMFMQKLENLGFKPDEGTYGILIGWSCVEGKLRNAMSYLSIMLSRSLVPHRYTYNALISGLFRIGMSEHARHILDEMIDRGTPPDISTFRVLIAGHCKSRQFDNVKALILEMESRGLIKLSMMESPLSKAFLILGFNPLSVKLKRDNGRRLSKTEFFDDIGNGLYMDTDVDEYENHVTCILEESMMSNFSSSVRKECSNNNLKNTLILVEEMLWWGQELLLPDFSILVKQLCSSRSQISSLIKLLEKMPQSTHKLDQQTLNFVVQAYSRKGLLCKAKTILDEMLQNKFHIKNETYTAILMPLCKKGNMKDLNYYWGIACRNKWLPGLEEFKHLVFSICHRKMVREALHLLEIMLLSYPHLRSDICHVFIEVLSATGLTSIALVVLKQLQPCFVLDHVGYNNLIRGICNEGKFSVAFTILDDMLDKSLATCSDVSVLLIPQLCKAGRYDKAIALKNIILKEQPSFSYAAHCALISGFCNTGNIMKADTLLHDMLSEGLIPDDGLCNMLIQGHCKANDLRKVGEILGIVIKKSFDLSLSSYRHLVRLTCMKNRVLFALRLKDFMHAQYSLDGLITYNILIFYLLSAGNSLVVNEMLTEMEEKKVVLDEVGHNFLVYGFLQCKDLSSSLRYLTTMISKGLKPCNRSLRKVIRSLCDAGELQKAMELSQEMRLRGWIHDSVIQAAITESLLSHGKIHEAENFLDSMEEESLTPDNINYDYLIKQFCQYGRLDMAVHLLNIMLKKHNIPISTSYDYLIHGFCVQNKLDISLSFYSEMLNRNLKPRVDTVEMLVRSFCQDGRTEQAEKFLVDMVQGGETPTQIMYSTIIRSYHMEKNLNKVSELLQDMLKFGYQPDFETHWSLISNLSNCKAKDTENGSKGFLSRLLSKSGFLLKK >OIW12887 pep chromosome:LupAngTanjil_v1.0:LG04:23976815:23981478:1 gene:TanjilG_24820 transcript:OIW12887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESVPSDVSTSPPVKDTGGQASAAVVSGQDSTAYKVPSRVSPTVISSWAKNLKVSQSFSGSQDDSSSGNAGKSAFSRITSNIGLRLSPKSPPAADDSSSETAGQSNLFGSITKGLVDTSKNAVKAVQVKARHVVSQNKRRYQEGGFDLDLTYITENIIAMGFPAGDMSSGFFGYVEGFYRNHMEEVIKFFETHHKDKYKVYNLCSERLYDASLFEGKVASFPFDDHNCPPIQLIISFCQSAYSWLKEDIENVVVVHCKAGMARTGLMISSLLLFLKFFPTAEESMDYYNQKRCIDGKGLVLPSQIRYVKYFERVLTYFNGENPSARRCMLRGFRLHRCPYWIRPSITVSDHRGVLFSTKKHPRTKELLPEDIWFSAPKKGVMVFALPGEPGLTELAGDFKIHFHDRQGDFYCWLNTTMTENRKVLNISDLDGFDKRKLPSPGFLVEVVLVDYNGNVVTYKPDTVTNKSDESSSNNPAPVEASIIALNVDKESGSNDKDDVFSDGEAEHHASSRTKQTKAATEAVTNVTTGTELNRISNQITNVTHATEQVSLGNKSSTAIHSTGEPKSDVDGRTTSGLEAPSTESEFKAMAADASVFTFGDEEDYESD >OIW13007 pep chromosome:LupAngTanjil_v1.0:LG04:22475985:22477641:1 gene:TanjilG_15456 transcript:OIW13007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQYLVASSSSSFVQSYTPHHLHKVHRYVLLKPHCAQRQNTQTSLRTSWPLVSLSLFGTGFLFGPLLDGLHSRVNLVVYKSGSIDIGPLHTNIWVPFLLGLFYCTVGLLQLYLDEKVLIKVQKGNLEKTIVSSILLVLFLELSAELYKAGIAENIETYILFAAAELIWFLLDRTLSGFILACIVGFGCPLAEIPIMKLFDLWYYPQSNIEIFGQGLVTWTLTCYFVYTPFLINLSRWLKSVYAAPTTEDST >OIW12897 pep chromosome:LupAngTanjil_v1.0:LG04:23882888:23883097:-1 gene:TanjilG_15817 transcript:OIW12897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNRGAADQNFGGRLVDEGMIVLRKRIHEMNMIKGSYEPPSDWMNWENHYYTSYDSVICEAMVFFRPS >OIW12975 pep chromosome:LupAngTanjil_v1.0:LG04:22985549:22988329:1 gene:TanjilG_15424 transcript:OIW12975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNFLLILLPLFATLALGDTPPTTTVSPGTICKSTPDPSYCKSVLPTQNGSVYDYGRISVKKSISEATKFLNLVNIYLQKSSTISTPEIRALQDCKSLAELNLDFLSSSFQTVNKTSSFLQSSQADDIQTLLSAILTNQQTCFDGLQSTSLKNGFSSSISNDTKLFSVSLALFTKGWVPSHKNRPSTFHPTKKHLGFQNGRLPLKMSSRTRAIYESVSNRKLLQVTVNNNVMVRDIVVVSQDGSGNFTTINDAIAAAPNKSSSTDGYFLIYVTAGVYDENVAIDKKKTYLMMVGDGINQTIITGNRSVVDGWTTFNSASLAVVGQGFVGVNFTVRNTAGAVKHQAVALRNGADLSTFYSCSFEGYQDTLYTHSLRQFFRECDIYGTVDFIFGNAAVVFQNCNLYPRLPMSGQFNAITAQGRTDPNQNTGTSIHNCTIKASDDLAANIGAAKTYLGRPWKEYSRTVYMQSFIDSVVDVTGWNKWDGDFALSTLYYAEFNNSGPGSSTDKRVTWPGYHVINATDAANFTVTNFLLGDNWLPQTGVTYTSDLL >OIW13561 pep chromosome:LupAngTanjil_v1.0:LG04:10540002:10546429:1 gene:TanjilG_29302 transcript:OIW13561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVTLLSLGNGAPDVFSSLAALRSGQPRTGLGAILSAGAFVSALVVGFVAIYAAPFSVDPAPFIRDVLFYLTSALFLFYVYLSAEIFLWQAIGFVGFYVFFVGFVFYMDLGIGDRRVKIGNESVSDLEQQKELITSHQEQVKRRSSRFRRAFELISKLWEVPVSTLLKLTIPQPAPSQWSRFYASANIALCPLALLYACNSIMPFNHPIVFLLPNTHFPLWSVVLTTSFSLAFLHFIIEKEPPKTEQLPVVVTAFVMSVFWISTTAGELVNCLEAIGILLKLPPAILGLTVLAWGNSVGDLVADVAVAKAGHPAMAMAGCFAGPMFNMLVGLGSALVIQTANIYPRAYELNFHCVGVYLFDFTWNRMDHQGHGQNPNMGVPYGSNPYQQSQMTGAPGSVVTSAGNMQSTGQPTGAQLGQHQLAYQHIHQQQQQQLQQQLQQFWANQYQEIEQVTDFKNHSLPLARIKKIMKADEDVRMISAEAPVIFARACEMFILELTLRSWNHTEENKRRTLQKNDIAAAITRTDIFDFLVDIVPREDLKDEVLASIPRGAMPVAGPADALPYMYMQPQHAPQAGAPGVIMGKPVMDPSMYAQQPHPYMAPQMWPRPQDQQPSSPDH >OIW13111 pep chromosome:LupAngTanjil_v1.0:LG04:20785523:20792070:-1 gene:TanjilG_08144 transcript:OIW13111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAVVDAGSSLLKAGFAIPDQSPAMIIPTQMKRMLDDGSLTENPVVDEVAVEPVVRGYISDWDAMEDLLNYVLYSGLGWEIGNEGQILFTDPLCTPKANKEQLVQLMFETFNISGFYASEQAVLSLYAMGRISGCTVDIGHGKIDIAPVIEGAVNHIASRRFEFGGIDLTNFLARELGKSNPLVNISISDVEKIKQQYACCAEDELAYQKTKDSCTVEKHTLPDGQVITIGRERYTIGEALFQPSLLGLEAHGIVEQLVRTISTVPSDNHRQLLENTVVCGGTSSMPGFEERFQKESSLSSSAVRPTIVKPPEYMPENLTMYSAWVGGAILAKVVFPQNQHVTKADYDETGPSIVHKKCF >OIW14159 pep chromosome:LupAngTanjil_v1.0:LG04:3429203:3431314:-1 gene:TanjilG_21299 transcript:OIW14159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTLVSQENVVALVSRTGRELQRYRKGRRQVVGCIPYRYKIGEKTCLEGDELEVLVISSQNQKGKGMLFPKGGWELDESKKEAALRETIEEAGVRGIVGGKLGKWSFKSKTHDTFYEGYMFPLLVQEQLEFWPEQNVRQRIWMSVNEAREVCQHWWMKEALDRLVNRLASSKLGREKQVLSSLNCIGDAISAL >OIW12612 pep chromosome:LupAngTanjil_v1.0:LG04:26365925:26369086:-1 gene:TanjilG_04776 transcript:OIW12612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCCSKFYLVLLLSIYVGISLASLLEDQKRDKITELPGQPGNVGFDQYSGYITVNEQRERALFYWLVEAPLHRRPHSRPLVLWLNGGPGCSSVAYGASEEIGPFRIRPDGKTLFTNPYAWNNLANILFLDSPAGVGFSYSNKTTDLYTFGDQKTAEDSYTFLVNWFERFPQYKHREFYIAGESYAGHYVPQLSQLVYQKNKGIKDPVINFKGFMVGNAVTDDYHDYIGTFEYWWTHGLISDSTYKMLRIVCDFGSSQHPSVQCMEALRVAVVEQGNIDPYSIYTPPCNDTSALRSGLKGRYPWMSRAYDPCTERHSDVYYNLPEVQKALHANVTGIPYLWETCSDIVGNYWTDSPLSMLPIYRELIDAGLKIWVYSGDTDSVVPVTATRYSIDALKLPTLINWYPWYDNGKVGGWSQVYKGLTLVTVRGAGHEVPLHRPRQGFILFRSFLENKSMPSS >OIW13661 pep chromosome:LupAngTanjil_v1.0:LG04:9491197:9493922:-1 gene:TanjilG_08003 transcript:OIW13661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITNGDSGAQRIPEGKLKAMAVCFFLGLGSLVSWNSMLTIGDYYYELFPKYHPSRVLTLVYQPFALLTLAILSYYESKINTRMRNIAGFVLFFASTLLVLVLDLATSGKGGLGTYIGICLLSACFGIADAFVEGGMVGDLYFMSPEFIQSYLAGLAASGALTSLLRMLTKVAFEKSSHGLRKGALLFLAISTFVEFLCIFLYAIYFTKLPIVKYYRSKAASEGSKTVSADLAAAGIQTTTNDQGGYDSKQERLGNKQLFLQNIDYAIDLFLIYAITLSIFPGFLYENTGVHQLGAWYATVLIAMYNVMDFIARYIPLVKWMKLESRKALVIAVLSRLLLIPAFYFTAKYGDQGWMIFLTSFLGLTNGYLTGPEQNALGNLLVMCLLGGIFAGVCLDWLWIIGHESF >OIW13825 pep chromosome:LupAngTanjil_v1.0:LG04:7515412:7518223:-1 gene:TanjilG_31714 transcript:OIW13825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRTWCWLSGTPPPLSLNLQLCSYHLCSNSNTHILNSRKMINASFKRETTKTPQILKVVVSGVTELLRLFSPSLQTSDLSRDIEKQKDEFSVSSVDDVVVIIESDYGKAYFVTGNFTSSIYTEDCIFEDPTIKFRGRELYARNLKLLVPFFDCASIKLQKIEKDVDSDTNFVLATWKLRTNLKLPWRPLISIDGRTLYELDEDFKIVRHVESWNVSALEAILQIFTFKFENLGGRMS >OIW12726 pep chromosome:LupAngTanjil_v1.0:LG04:25360294:25379076:-1 gene:TanjilG_24659 transcript:OIW12726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTHIPPPGAPRPRNNAPQFQSPNYAPNIRGTPDSLADNFHNLNLNRPPMTSNPVSRPPPFGQPPPFASSAPSAGIPGPSPPFSQPGPPPGAFVRPSGPPSGPPVSSFPPNVAPGRPTGPPPGQPPSFASRPPPNVAAPVTGVPPPGGSPLNRPFAPPQPTIGARPSPSPFNSPPIAPSQLTNNGPPAFSGGAFPGHQRFPPVGSAPQPPVGPPTMRAPPGPAVQPQPPYPVPTQGATQPPGSPFGAPSWQMQSQQAAPPPPIPGPLAPRMFSMPPPLPNQSMTTTISPAIGQTGAPMAGPSKIDPNQIPRPSPGSAVILHETRQGNQATIPPPATSDYIVRDTGNCSPRYMRCTINQIPFTADLLSTSGMQLAMLVQPLALPHPSEDPIHVVDFGESGPVRCSRCKAYINPFMKFVDQGRRFICNLCGFSDETPRDYQCNLGPDGRRRDADERPELCRGTVEFVATKEFMVREPMPAVYFFQIDVSMNAVQTGATAAACSAISQVIKDLPKDPRTMVGVATFDSTIHFYNLKRALQQPLMLIVPDVQDVYTPLKTDVIVPLSECQEHLELLLESIPIMFQHNRISESAFGAAIKAAFLAMKDTGGKLLVFQSVLPSIGIGALSSREAEGRTNISAGEKEAHKLLQPADKAFKELAVEFAEYQVCVDVFVTTQTYVDIASLSVIPQTTGGQVYYYYPFSALSDPAKLYNDLRWNITRPQGFEAVMRVRCSQGIQVQEYHGNFCKRIPTDVDLPGIDCDKTFMVTLKHDDKLQDGSECAFQCALLYTTLNGERRIRVITLSLPVTSMLSNLFRAADLDTQFCCFLKQAANEVPSRPLPLVREQVTTLCINALFAYRKYCATVSSSGQLILPEALKLLPLYTLALTKSTGMRTEGKIDERSFWINYVSSLSAPLAIPLVYPRMISIHDLDSKEDEESVIPSFLPLSSEHISDDGVYLLENGHDCLIYIGDSVNPDIVRRLFGVATVEEIPMLFVLQQYDNPLSKKLNEVVNEIRRQRFSYLRFKLCKKGDPSGSFLGPGHPKLEDIL >OIW13481 pep chromosome:LupAngTanjil_v1.0:LG04:10872532:10875028:-1 gene:TanjilG_01049 transcript:OIW13481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSSSLSSSSTDNSSSHHHTTRRHRTRRDRHNKDSLKIRKKSKSHTKRRYRHRHSSDSDSYSSSSLSDSSRSESSSESEHETTHRSKRHKKSDRSKKNKEKDRSKSHRHKRQKHKLKEKQHGERISSPVQLSKFLGRDKDDSVRRSAVSGKKILLKLDKTKEDKAAESKRNELLNFLNASFD >OIW13187 pep chromosome:LupAngTanjil_v1.0:LG04:19088347:19090502:1 gene:TanjilG_17543 transcript:OIW13187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDLPTVCQPSIHHNALDRGNPSGSDDWFWYSTTGPYYSPQSDEVSQNKQQSSRQSRVPRKVLYKNKCDKHLNKIIKRISADEHEVEIPAKKYEDKYKQVGGVSSSKGEEVRKKHVRKEMERELETIDAKEGK >OIW13528 pep chromosome:LupAngTanjil_v1.0:LG04:10294401:10297441:1 gene:TanjilG_29269 transcript:OIW13528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANEGGKKSINFYEVLGLKKNCTHSELRNSYKKLALKWHPDRSSSSANANSIEEAKNKFQAIQQAYSVLSDAKKRTLYDVGVYDSNVDDDKNGPGDFFTQLARMIRQTKPFRSWREREEELQRLKDMCETDILSYERTSHTCSASNKRNSSEMNFGKVDSDYQNFSSGAESKGEQGEIPEGDSSRRRSGTKQKTSSGHDVSSDDYSDIYTK >OIW13639 pep chromosome:LupAngTanjil_v1.0:LG04:9621316:9624605:1 gene:TanjilG_07981 transcript:OIW13639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESFALHSLSSSPSFSLSLHHCSRSIIIPRSHFSLPLNPTSLKPSQTFSFPSKASNFTLFSKPHNNPIHANSSKSPPPSPPPSPIVQGAKPIPFIISISIGLILRFIVPKPVEVTAQAWQLLSIFLSTIAGLVLSPLPVGAWAFLGLTTSVVTKTLTFTAAFSAFTNEVIWLIVISFFFARGFVKTGLGDRIATYFVKWMGKSTLGLAYGLTFSEVLIAPAMPSTTARAGGVFLPIIKSLSLSAGSEPGPTSKRLGAYLIQNQFQSAGNSSALFLTAAAQNLLCMKLAEELGVIVSNPWVTWFKAASLPALVCLLATPLILYKLYPPEIKDTPEAPALAAKKLESMGPVTQNEWIMVATMLLAVSLWIFGDTIGIASAVAAMIGLSILLVLGVLDWNDCLLEKSAWDTLAWFAILVGMASQLTNLGIVGWMSDCVAHTLRSFSLSWPAALAVLQAAYFFIHYLFASQTGHVGALYSAFLAMHRAAGVPGVLAALALGYNTNLFGAITHYSSGQSAVYYGAGYVELPDIFKYGFLMAVINAIIWGGVGSVWWKFLGLY >OIW12820 pep chromosome:LupAngTanjil_v1.0:LG04:24615825:24617828:1 gene:TanjilG_24753 transcript:OIW12820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDKSFSFSSRNNGTSKHRRKLSEQLSWELENDDVLIHNHHHHHHNLPKVLEEVDHFLHNSTIHDSIDHKVVPECVVLLTKLLDSMIDKYNAGQRNSKHNSKFGQDPKGDKSFLDVVDRISKVCITLNTSTALDEASSILEKAMRFLEKDLIFIMQPHKSTNTSISNNTYEFQVKTPKKTFPRKTFSFGSLNLHDSNLIENESPKENPCEDEEDEEFPSFSQEKVSILNKIATAMINAGYDAEFCMVFANFRRNAFKTALQSFGYDIMNMEDIHKMQWELLEGQITMWNSVVKHCTTVLFNAERRLYDSLFPDQNTISRTLFSNLVRAVIIQFLNFAQGVVLQKPSAEKLFKFLDMYETLRDMEPVIKFDDSCEEQCWKELEYETSTTKSRIVEVAVAMFFYLENSIKSDNQRIAVPNGAIHPLCRYVMNYVKYACEYRDTLEQMFQVHQCIDANKENHKFNINDQSKMEDGTPNTSAFAVQLMTIMDLLDENIERKSRLYRDQALRYIFLMNNGRYIVQKIKGCVELHESMGDNWCRKKQSSLRLYHKSYQRETWTKVLQCLNPENIQVGGNNKVSRQVLKERFKCFNTMFEEIHKTQSTWVVSDEQLQSELRVSITALVIPAYRSFFGRFKKGVDKYIKYHPDDIEALIEDLFGGNSTSMSRRRT >OIW14072 pep chromosome:LupAngTanjil_v1.0:LG04:5026545:5032326:-1 gene:TanjilG_11417 transcript:OIW14072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVESDVHFCNSCGEQVGVDANGEVFVACHVCYYTICKACVDYDISEDRKFCLRCCTPYEEDRAKANGDTKVSENRSTMASQISNSQEVGSLHARNALNVSAASRELNDESGNPIWKNRVENWKEKDKKNKKEEGAKNEVPIIPPGQKMEEIQPSEADAAEPLSVIVPISKTKLSQYRFVIITRLIILALFFHYRITHPVDNAFGMWLTSIICEIWFAFSWVLDQFPKWYPINRETFIDRLSARFEREGEPSQLAAVDFFVSTVDPMKEPPLITANTVLSILAVDYPVDQVSCYVSDDGAAMLTFESLVETADFARKWIPFCRKFSIEPRAPEFYFSQKIDYLKDKVQPSFVKERRAMKREYEEFKVRINALVAKAQKTPDEGWTMQDGSPWPGNNPRDHPGMIQVFLGHSGAHDMEGNELPRLVYVSREKRPGYQHHKKAGAENALVRVSAVLTNAPYILNLDCDHYVNNSKAVREAMCFMMDPQVGRDVCYVQFPQRFDGIDRSDRYANRNTVFFDVNMKGLDGIQGPVYVGTGCVFNRQALYGYSPPSMPNLPRSSSCCCCPSKKASKDVSELYKDAKREELDAAIFNLREIDNYDEYERSMLISQMSFEKTFGLSTVFIESTLMENGGVPESANPSMLIKEAIHVISCAYEEKTEWGKEIGWIYGSVTEDILTGFKMQCRGWRSIYCMPLRPAFKGSAPINLSDRLHQVLRWALGSVEIFLSRHCPLWYGFAGGRLKWLQRLAYINTIVYPFTSLPLVAYCSLPAICLLTGKFIIPTLSNLASALFLGLFISIIVTSVLEMRWSGVTVEDLWRNEQFWVIGGVSAHLFAVFQGFLKMLAGVDTNFTVTAKAADDAEFGELYIIKWTTLLIPPTTLIIVNMVGVVAGFSDALNGGYESWGPLIGKVFFAFWVVFHLYPFLKGLMGRQNRTPTIVVVWSVLLASVFSLVWVKINPFVTRVDSATIAQTCISIDC >OIW12571 pep chromosome:LupAngTanjil_v1.0:LG04:26666502:26670813:-1 gene:TanjilG_04735 transcript:OIW12571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFILLHSSNSLCFPNFSLPSKDNITLFRNKPFFNTTKNPISLLQCSFLFSNPHSSLLFKKVSIFGPTHFTFCSKDGGVDGLSTDLSQEAIIDDVEKFGLLNKPSPVPREVEPEKPSKDEALAPFLKFFKGSDSIEEKLVEENGEIFEVPKEKGDVVVDENEEEKEVEEESKKVNVEYYEPKPGDFVVGVVVSGNENKLDINIGADLLGTMLTKEVLPLYGKDLENLLCDVDKDAEEFMVHGKMGIVKNDDALSGVPVSGRPVVETGTILFAEVLGRTLSGRPLLSTRRLFRSVAWHRVRQIKLLNEPIEVKITEWNTGGLLTRIEGLRAFLPKLELMKRVNSFTELKEIVGRRIYVQITRIDESTNNLILSEKEAWEKVYLREGTLLDGTVKKILPYGAQIKIGETNRSGLLHVSNISSAEVASVSDILSVDENVKVLVVKSVFPGKISVSTADLESEPGLFLSNKERVFAEAEMMAKKYKQKLPPAVFTKRLEPVPTSDMPFENEQLYANWKWFKFEK >OIW13848 pep chromosome:LupAngTanjil_v1.0:LG04:7808898:7809224:1 gene:TanjilG_31737 transcript:OIW13848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSHCVILLSLLLLSLSTISAAALAGGWTPIKNINDPHVKEIADFAVTEHNKQSGEKLKLESVVKGESQVVSGTNYRLVLVASSKNYQAVVYEKPWLHFKKLTSFELA >OIW13917 pep chromosome:LupAngTanjil_v1.0:LG04:8290822:8294412:-1 gene:TanjilG_31806 transcript:OIW13917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFRASLISTILVIFSLFSPHAKGDASGSVFFIDGSDHHFLRHRSSIIEVEHPSMSLQEVGAAVSVLLGFAPPSTISDASSSKLNQVLSPNPFNRPRAVFFLEVNGINGFEKVIHDNAMFGNSLWSTNFPGSDKVDIQLPDENDVSVISLDDLSEDCTDKEISEFTSLIGGSYAPDAVEPVNGELTIPLANGASVNLHMSKKSERKFVVGLLSLIRNVKRAIQMHEDLSQGTSPAELLKGCFNSIKVLQEQYEAERIAQHEVELLFTTLTKIVSSLQEAYKGQIVGVIYCHAATPQESGKKFDVTFAPHHAARWLAESEAANLTKIAEVVLVRTTLAWVTGIILLISTLLGIYYLLYMPITRDTLLYSNVKLD >OIW13366 pep chromosome:LupAngTanjil_v1.0:LG04:13949646:13950404:1 gene:TanjilG_16475 transcript:OIW13366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCCFSTPNTKQEQNNLKNQPPQHNSTPPPPLPQHLEEETVKEVLSETPIYKSHQDPFLMAKTNTQMPKIEKGRVPIMNKACSMSESFSQEVSQISETCSISKSFSTTTVTEKREDEATSKRRTTGTQSRKKRSDAGDGNKIGERERMQKNPARIPGKRFPVSSPEVRRKEPGQLRRDSGEGYRRQSRSPSSARTVGGGVGRNQMKLPDVTGRRLPPDKCVKKEMIRKENDVVPHEESFENPHISLECFIFV >OIW12784 pep chromosome:LupAngTanjil_v1.0:LG04:24954422:24958698:1 gene:TanjilG_24717 transcript:OIW12784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLYNLTLQQPTGIICAINGSFSGGKSQEIVVARGKVLDLLRPDDNGRIQTVLSVEIFGAIRSLAQFRLTGAQKDYIVVGSDSGRIVILDYNKEKNVFDKIHQETFGKSGCRRIVPGQYLAIDPKGRAVMIGACEKQKLVYVLNRDTAARLTISSPLEAHKSHTLVYFICGVDCGFENPIFAAIELDYSEADLDSTGLAASEAQKHLTFYELDLGLNHVSRKWSEQVDNGANLLVTVPGGGDGPSGVLVCAENFVIYKNQGHQEVRAVIPRRADLPIERGVLIVSAAMHKLKSMFFFLLQTEYGDIFKVTLEHDGDRVSELKIKYFDTIPVTASMCVLKSGFLFAASEFGNHALYQFKAIGDDDDVEVSSATLEETRDGFKPVYFRPRRLKNLVRIDQVESLMPIMDMKVSNLFEEETPQIFTLCGRGPRSSLRILRTGLAVSEMAVSRLPGIPSAVWTVKKNVIDEFDAYIVVSFTNATLVLSIGETVEEVSDSGFLDTTPSLAVSLIGDDSLMQVHPNGIRHIREDGRINEWRTPGKRTIAKVGYNRLQVVIALSGGELIYFEVDVTGQLMEVEKHEMSGDVACLDIAPVPEGRQRSRFLAVGSYDKTIRILSLDPDDCMQALSVQSVSSAPESLLFLEVQASVGGEDGADHPASLFLNAGLQNGVLFRTVVDMVTGQLSDSRSRFLGLRAPKLFPIIVRGKRAMLCLSSRPWLGYIHQGHFLLTPLSYETLEYAASFSSDQCVEGVVAVAGEALRIFTIERLGETFNETVIPLRYTPRKFVLQPKRKLLVIVESDQGALTAEEREAARKECFETAQAGGNGTGSDPMENGGEDEDKDDALSDEHYGYPKTESDKWVSCIRVLDPRTGNTTCLLELQENEAAFSICTVNFHDKEYGTLLAVGTAKGLQFLPKRTLIAGYIHIYRFVEDGRSLELLHKTQVEGVPLALCQFQGRLLAGIGPVLRLYDLGKRRLLRKCENKLFPNTIVSIHAYRDRIYVSDMQESFHYCKYRRDENQLYIFADDCVPRWLTASYHIDFDTMAGADKFGNVYFVRLPQDVSDEIEEDPTGGRIKWEQGKLNGAPNKVEEIVQFHVGDLITSLQKASLIPGGGECIVYGTAMGSIGALHAFTSRDDVDFFSHLEMHLRQDHPPLCGRDHMAYRSAYFPVKDVIDGDLCEQYPTLPMDLQRKIADELDRTPGEILKKLEEVRNKII >OIW12672 pep chromosome:LupAngTanjil_v1.0:LG04:25770900:25772955:-1 gene:TanjilG_24605 transcript:OIW12672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLGKSEIVFRSIRTWLAVGRNFSTEATVQKGARSRTSGGGGDTLGRRLLSLVYPKRSAVVTINKWKEEGHPIPQKYQLNRIVRELRSSKRFKHALEVCEWMTLQENIKLVEGDYGVHLDLIAKVRGLNSAEKFFEDLPDRLRGRAACTSLLHAYVKNNLPDKAEALMSKMTECGFLGCPLPYNHMISLYISNGKLEKVPQLIQDLKTNTSPDVVTYNLWLSVCALQNDVEAAQRVLLEMKKAKINLVWVTYSTLTNLYIKNDCLEKAGSTLKEMEKRISRDTRVAYSSLISLHTNMGNKDEVDRIWKKMKASFRKMSDNEYLCMIASLVKLGDSAGAENLYREWETVSGTNDVRVSNVLLASYINQDQMEIAEDFCHQMVQKGVIPCYTTWELFTWGYLKKTDVEKFLHYFQKAISSVKKWSPDQRLVTEAFKVLEEQAHIEGAEQLLVILRNAGHVNTNIYNLLLRTYAKAGKMPLIVAERMKTDNVQLDEETHRLLNLTSKMCVGDASRILS >OIW13835 pep chromosome:LupAngTanjil_v1.0:LG04:7693287:7701892:1 gene:TanjilG_31724 transcript:OIW13835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKVPLIEVEPKELEFIFELRRQSTCSVRLTNTTKHYVAFKVKTTSPKKYSVQPNVGVLMPKSTSEFIVTMQAQKEAPADMVSKDKFLIQSTIVPAETTREDVNSSLFIKDGSRYIEENKMKVALISPPSSSEFSPIGGELKNGFDHEKVEIYSEEKIQFPEPMVAKNVEHKVLNGVLKLEEDMELKMEQDKGLKTMNGVEEPKEAGLKMSKDEGLNTIKDEEELKPEKAKLEVYKDLDLNMVKNVKEQKESKNAEELKPEKNAKFEVSKDLESKTAKNVEELKRETEAESKVSRGIEELKLMEVIEQMKSKLDGLDSKLNESAVTISKLTEERRLSNQETKILQEKLAELINKGPRSVQVGFPLLYVCMVALVCVFLGYHSRC >OIW12797 pep chromosome:LupAngTanjil_v1.0:LG04:24857162:24864359:-1 gene:TanjilG_24730 transcript:OIW12797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIGVCSEAMTMLGAMEWDAYGFTVRPQHLQSYREYANIYKEEEVERSDRWISFLERQAESSEFATDRLGVEEDETVLRAQASEQEADAGTEKAVDGDELGSQKPGSDTTAENNSQKEETEVDRVQLWTEIRPSLRSIEDMMSIRVKKKTVSMKNIGNKKRLLKDEQIVGDGKSLSHTDGFVEDSDDEFYDVERSDPSPDTPIVDGTSASANGIAADTGTLEASFPWKEELEVLVRGGVPMALRGELWQTFVGVKARRREKYYQNLLASENDSERKMDQQSMQQSTDNNGKTTADPVFVSEKWKGQIEKDLPRTFPGHPALDEDGRNALRRLLTAYARHNPSVGYCQAMNFFAGLLLLLMPEENAFWTLMGILDDYFDGYYSEEMLESQVDQLVFEELVRERFPKLANHLDYLGVQVAWVTGPWFLSIFVNMLPWESVLRVWDVLLFEGNRVMLFKTAVALVDLYGPALVTTKDAGDAVTLLQSLSGSTFDSSKLVLTACMAYQNINEFTLQELRNKHRPAVLAAVEERSKGLKDWRDSKGLASKLLCFKEQSGSLSRSESTNADDILISLTGEGEIDSVPDLQEQIVCLKDVLCRLLEEKRSAILIAEELESALMEIVKHDNRRQLSAKVEQLEQEVAELRRALADKQEQENAMLQVLMRVEQEQKVTEDARRFAEQDAAAQRYAAQVIQEKYEEATAALDEMERRAVIAESMLEATLQYQSDQVKLQRSSQPQSPASENNQKTITDGPAKRIGLFGFGWGDRNKGKPTVDKPIAEEQSAINKPIAEAKSATNQQEKDANGLKVQDE >OIW13357 pep chromosome:LupAngTanjil_v1.0:LG04:15398123:15398922:1 gene:TanjilG_02877 transcript:OIW13357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEEMQALNKKLEETEAAVEEYFKPLDNEAEIIMKMQLEGEERTSQMMMNALEEQAVLQTAKAKQNASISQAASSESNPGEAEIMTKMGSEEEEKTLKEMMKAMQEQVLLAKDYAENTESVNSIPTSTTTTK >OIW13802 pep chromosome:LupAngTanjil_v1.0:LG04:7183009:7185872:1 gene:TanjilG_31691 transcript:OIW13802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGCDFFCWGCIPQEFCDNDPHPFSLPSPLPQWPQGNGFASGRISLGKIEITKVTKFESVSRCTRLGGKSQGFTFYRPSEIPDGYVCLGHYCQSNDQPLRGYVIVARETTSEPEANCSSGSSLESPALKMPLNYSLIWSMDSHDEGAYFWFPNPPKGYKAMGMVVTSKRSEPEVNEVRCVREDLTESCETSKLLLSVSSTFSKKSFQVWNTQPCDRGMLARGVPVGTFFCGSYLAAEQVVDVACLKNLDPALHAMPNMNQVHALIEDYGPTVYFHPGEIYLPSSVQWFFKNGALLYSEGSEKGKAIDHQGSNLPNGGTNDGAFWIDLPTDNDARNYLKKGNIESAELYVHVKPALGGAFTDIMMWVFCPFNGPATLKVPLTSIEMSRIGEHVGDWEHFTLRISNFTGELWSMFFSEHSGGRWVNAFDLEYIKENKPIVYSSKHGHASYPHPGTYLQGSSILGIGVRNDAARSKFVVDSSTRYQIIAAEYLGNGAVTEPCWLQYMREWGPTIVYDSGSEVEKLLDLLPVFVRFSVENLLELFPTELYGEEGPTGPKEKDNWLGDEYC >OIW12596 pep chromosome:LupAngTanjil_v1.0:LG04:26500678:26502696:1 gene:TanjilG_04760 transcript:OIW12596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLKFSSLSLTHSSPLSDITGMLGKQLNFPMLTINSAAQFCYCKADVAATSLSIPLSNVGFSNRFSPSVVKATWTSTLSTKHWILNSTTQIENIITSDEDQSKWEACKQALSAFNFSVEEKDKILGKAFGLVHSPYWGEERKKEVPKLEAVNGILDYLRSLSLSDNDLSKLLKKFPEVLGCNLEEELKGNVKILEEQWSIEGKSLRNLILRNPKVLGYNVDCKGDCMAQCTRCWVRF >OIW13296 pep chromosome:LupAngTanjil_v1.0:LG04:15511641:15518263:1 gene:TanjilG_25402 transcript:OIW13296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFSTTTWVTFLSGETFTSTLPQWLRFIFLSPCPQRVLLSTFNVLLLLTLFVISIIKLCSKFKSKSSVGKTNELNHIRNNDKSFVRTSAWFKLTLAATVVLTILYIVACVIVFTSSSSSSTKVAWNQIDGLFWLVQVITQALIAVLIIHEKTFEAVTHPLWLRIYWIAYFIIISLFTASGVMRLVSLDEAENKDLFIIKVDDIVSFISLPVSLFLLIVAVKGTTGISTMSSEVTEPLINEEIKLYGDNLSTSEVTAFASASFVSKAFWIWINPLLSKGHKSPLKIDEVPTLSPVHRAERMSLLFESKWPKSTEGSKHPVQITLLRCFWKDLTVTAILAIIRLCVMFVGPVLIQNFVDVTSGKGSSIYEGYFLVLILFISKFIEVLTTHHFNFSAQKLGMLIRCTLVTSLYKKGLRLSCSGRQDHGVGTIVNYMAVDTQQLSDMMLQLHAVWMMPIQLCIGLFLLYNSLGASAVTALVGLIGVLIFVVIGTKRNNTFQFKVMMNRDKRMKAMNEMLNYMRVIKFQAWEEHFNENIMRHREAEFESLSKFMFSICGNMVMMWSTPLVISTITFGTAILLGIKLDAATVFTTTTVFKILQEPIRAFPQSMISLSQAMVSLGRLDKYMLSKELLNDSVEREEGYFEHTAVEVKDGTFSWEDDTLQRDLKNIDLVINKGELAAIVGTVGSGKSSLLASILGEMRKISGKVQVFGSTAYVAQTSWIQNGTIEENILFGLPMDRHKYNEAVRVCCLEKDLEIMEYGDQTEIGERGINLSGGQKQRIQLARAVYQDCDIYLLDDVFSAVDAHTGSEIFKECVRGALKGKTVVLVTHQVDFLHNVDLILVMKDGMIVQSGKYNDILESGVDFKALVSAHDTSMELVEQSAVVLGENSNKPTKSLVAAPNQNGTNGESSSIEHPKSAKGSSKLIKEEERETGKVSLRMYKLYCTEAFGWWGITGVFVLSLLWQASMMASDYWLAYETSEERAQVFNPYMFISIYAIIAAISVIIVVARSYSFTIIGLKTAQIFFTQILHSILHAPMSFFDTTPSGRILSRASTDQTNVDILLPLFLGIVISMYITVLGILFVTCQNSWPTAFLLIPLVWLNLWYRSYFLSSSRELTRLDSITKAPVIHHFSESISGVMTIRAFRKQKNFCEENIKRVNSNLRMDFHNYSSNEWLGFRLELLGSLVFCISTMFMIVLPSSIIKPENVGLSLSYGLSLNAVLFWAVFMSCFIENKMVSVERIKQFTNIPSEPSWNIKDRLPPPNWPSQGNVDIKDLQVRYRPNTPLVLKGITLSISGGEKIGVVGRTGSGKSTLIQVLFRLVEPSGGKIIIDGIDITVLGLHDLRSRFGIIPQEPILFEGTIRSNIDPIGQYTDDEIWKSLDRCQLKEVVASKPEKLDSLVLDNGENWSVGQRQLLCLGRVMLKRSRLLFMDEATASVDSQTDGVIQRIIREDFAACTIISIAHRIPTVMDCDRVLVVDAGIAKEFDKPSILLQRPSLFGALVQEYANRSSGL >OIW12532 pep chromosome:LupAngTanjil_v1.0:LG04:26960629:26963211:-1 gene:TanjilG_04696 transcript:OIW12532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHTMKLCPKLRNAYVCVISIIVILVSVAECSGVQYQAISCRKHSAVLTDFGAVGDGKTSNTKVFNNAIKNLSKYANDGGAILIVPPGKWLTGSFNLTSHFTLFLQKGAVILASQHESEWPSLPVLPSYGRGRDAPGGRFSSLIFGTNLTDVVITDSCTNTKIEDCYIVSGDDCIAVKSGWDQYGIKVGIPTQYLIIRRLTCISPDSAMIALGSEMSGGIKHIRAEDNIAINTQSAIRIKTAVGRGGYVKDIFVKGMTLKTIKYVFWMTGAYGSHADSGFNPKALPKITRINYRDITADNVTYSARLDGITNDPFTGICLSNVHIKVSEENKKLQWNCTNIAGVTSNVTPQPCSLLPQKEGQDCPYPEDKLPIDNVQLKTCSFK >OIW12665 pep chromosome:LupAngTanjil_v1.0:LG04:25831165:25832076:-1 gene:TanjilG_24598 transcript:OIW12665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEFPSCFGENGIQIADSSSSSTTRVAQNVVTCVYQCKLSGNSCLITVSWTKTLMGQGLSVGIDDLSNHCLCKVDIKPWLFSKKKGSKNLDIQSSKVHIFWDLSCAKFGSSPEPIEGFYLAVMFNQEIVLLLGDLKKEACKKIDSDTASYANSGAIFIAKREHIFGKKFYGTKAQFCDKGQVHGVTIECDTVELNDPCLVIRVDSKTVMKVKRLKWKFRGNHTILVDGIPVEVFWDVHNWLFGNAMGNAVFMFQTCFSTQKLWQGQSVSDPSALTWAYYSQQFRDSQLQGLGFSLILYAWKNE >OIW12632 pep chromosome:LupAngTanjil_v1.0:LG04:26112819:26115612:-1 gene:TanjilG_24565 transcript:OIW12632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESPAPSDDGYDSTLEIGRRRIEVCDEELVVGKGTWKHAAFHVATTIATPAAYAPLPFALASLGWPLGVTCLVSATLATWYSSILIASLWKWNGKKHLTYRHLAHRFWGYWSIAIFQQVASLGNNIAIHIAAGSSLKAVYKHYHEDGKLTLQHFIIFFGIFELLLSQLPDIHSLRWVNALCTFSTIGFAGTTIGVTIYNGKKIDRTSVNYSVHGSSASKAFTAFNALGTIAFSFGDAMLPEIQNTVREPAKKNMYKSISAAYSVIVLSYWQLAFTGYWAFGSKVEPYILASLSIPQWTVVMANLFAAIQISGCFQLSLVVEDMRNCVVLRNASYHSLVISDIKPYGSNASTNKVPCIICQIYCRPTYAYFEERMKTNKSTSHFSLRNRLARLSYTSIYMVLVTLIAAAVPFFGDFVSICGAIGFTPLDFVFPALAYLKVGSIGKNSKLGLWMRPLNILIATWFSIVAILGCIGAVRFIVQDIKNYKFFHDM >OIW12889 pep chromosome:LupAngTanjil_v1.0:LG04:23963766:23969868:-1 gene:TanjilG_24822 transcript:OIW12889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLSETYACVPTTERGRGILISGDSKSNNILYCTARSVIIRNLDNPLQVSVYGEHAYPVTVARYSPNGEWIASADVSGTVRIWGTHNDYVLKNEFRVLSGRIDDLQWSQDGMRIVACGDGKGKSLVRAFMWDSGSTVGDFDGHSRRVLSCAFKPTRPFRIVTCGEDFLANFYEGPPFKFSMDHSNFVNCVRFSPDGSKFITVSSDRKGIIYDGKAGSKLGELSAEDGHKGSIYAVSWSPDSKQVLTVSADKSAKVWDILEDGSGTVNKTLACTESSGVEDMLVGCLWLNDHLLTVSLGGTIYLYSAKDLDKSPLSLSGHMKNVTVLTLLSRSEKMLLSSSYDGVIIRWIPGIGYGGKYEETKQFGLIKLLAAGEEEIITAGFDNKVYRVPLLGDNFGPAEHVDVGSQPKDLSLALNSPQFVLIAIESGIVLLNGSKIVSTKQLGFVVTASAISPDGSEAIVGGQDGKLHIYSVSGDTVTEESVLEKHRGAISVIRYSPDVSMFASADLNREAVVWDRASKEVKLNNMLFHTARVNCLAWSPDSSLVATGSLDTCVIIYEIGKPAANRRTVKGAHLGGVYGLTFIDQERVVSSGEDGCVRVWSLVSE >OIW12824 pep chromosome:LupAngTanjil_v1.0:LG04:24588178:24589179:-1 gene:TanjilG_24757 transcript:OIW12824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSPLIAKEITYESQTLIRIFSNGTVERPSQSPFIPAIPKNDPSARFKFKSKDIIISLYPLIVARIYLPKPNDQKDKKVKFPILIYFHGGAFLYESAFSKVYDTHFRTFVPQANAIVVSVEYRLAPENPIPACYDDCWHVLHWISSHSLSAEYTGMKFPWLINHGDFNRIFIGGDGAGANIAHNVAMRAGTEALPGGVKIVGAILSRPFFYSSYPIGSETVIEPEHNIVYSVWNMVFPSAPGGIDNPVVNPVGPGAPSLATLGCSRIIVCVAGKDHFRDRGVWYYEAVKKSGWQGKLELFEEKDEDHIYHILYPQSENAQKLIKRLVSFLVE >OIW13886 pep chromosome:LupAngTanjil_v1.0:LG04:8029728:8037523:1 gene:TanjilG_31775 transcript:OIW13886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEGRRRSKLREAARKVAAAAATYACGSLSRRKTLVDPLTIETSSSLSAIISNSSFVSPSTKNNSSGEIIEDTDSSITTKFNNHELHSKNLCAICLDPLSYHSKASSPSQAIFTAQCSHAFHFACISSNVRHGSVTCPICRAHWTQLPRNLNNNLCGSFTSSHQNDPILRILDDSIATFRVHRRSLLRTARYDDDDPVETDDTPDSPKLCFSLEPIPPNAPNSFHPALQVSKNASCPCNLSLHPLICSSSSLLQSPHMQTPYDMCPSSNRAYLSVNLTHERATDLVLVASPNGPHLRLLKQSMALVVFSLRHIDRLAIVTYSSAAARVFPLRRMTSYGKRTALQVIDRLFYMGQADPVEGRRRSKLREAARKVAAAAATYACGSLSRRKTLVDPLTIETSSSLSAIISNSSFVSPSTKNNSSGEIIEDTDSSITTKFNNHELHSKNLCAICLDPLSYHSKASSPSQAIFTAQCSHAFHFACISSNVRHGSVTCPICRAHWTQLPRNLNNNLCGSFTSSHQNDPILRILDDSIATFRVHRRSLLRTARYDDDDPVETDDTPDSPKLCFSLEPIPPNAPNSFHPALQVSKNASCPCNLSLHPLICSSSSLLQSPHMQTPYDMCPSSNRAYLSVNLTHERATDLVLVASPNGPHLRLLKQSMALVVFSLRHIDRLAIVTYSSAAARVFPLRRMTSYGKRTALQVIDRLFYMGQADPVEGLNKGIKILEDRMHKNPESCILHLSDNPTRPYHAVSMELPSTPIHRFHVGFGYGTSSGFVMQEFEEFLAKMLGGIVREIQLRICGAEDEVGSGRVIRIGEIRGGEERRILLDLGDCTHVYVEYSYIEGEIDECVRRTGETVVGVGDHKGDDVTEGAEEIGRDMNIGGRTSSVESLDFHDPYMARRWAKHLHGYRL >OIW14026 pep chromosome:LupAngTanjil_v1.0:LG04:5564664:5569824:1 gene:TanjilG_11371 transcript:OIW14026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEGRKRYRSQRDHDGDNKNQKRRANERDEKGNDELVVYRILCPDGVIGNVIGKSGKVINSIRQETRARVKVVDPFPGAKDRVITIYCYVKEKEEFEIDGELNDNIRPLCAAQDALFKVHSAISNAIESVGDSEKKRKDKEECQILVPSSQSANIIGKAGSTIKKLRVKTRTNIKVIPKDVADPAHSCAMEFDNFLLITGESEAVKRALFAVSSIMYKFSPRENIPLDQAIPEALPSIIIPNDVPIYPPGGLYPAPDPIIQPRTVPQMIGGANVQDLQGYDAGNTWSLYSSALPVVSGLSAPQSEELIVRMLCPSDKIGRVIGKGGSTIKSMREASGARIEVDDSKARHDECLISITATESSSDLKSMAVEAVLLLQGKINDEDDNTVSIRLLVPSKVIGCIIGKSGSIINEIRKRTKADVRISRSDKPKCADVNDELIELVGSVDSVRDALIQIVLRLRDDVLKERDIGQKTPMGAESLYPGGSVLSFPSMLPSAPHAAAPLTYDQRTESGTGLGMLSSSSLYGYRSFPMGEHDYGSMSSYAPKLYGGLPPPSTLEMLVPANAVGKVLGKGGANIANIRKISGASVEICDSRSGRGDRIALISGTPEQKRAAENLIQAFIMAT >OIW13939 pep chromosome:LupAngTanjil_v1.0:LG04:5816413:5817954:1 gene:TanjilG_09290 transcript:OIW13939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTFKYHQYQVVGRALPTQSDEHPKIYRMKLWATNEVRAKSKFWYFLRKLKKVKKSNGQVLAINEIFEKNPTKIKNYGIWLRYQSRTGYHNMYKEYRDTTLNGAVEAMYNEMASRHRVRFPCIQIIKTATIAAKLCKRESTKQFHNSKIKFPLVYKKIRPPTRKLKTTYKAKKPNLFM >OIW13114 pep chromosome:LupAngTanjil_v1.0:LG04:20708115:20708819:-1 gene:TanjilG_08147 transcript:OIW13114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEYFCDAYPDPYTIDLVSEKPSSPKDQCFNVEIKHTETHVLNARKFETFEESKYHSFKNISKDEMMQETTILGWLSSMTVPKDAHKVMVAKILDCARGMTLWTHKDKRVLYIRVDITITIATEECHDNDDDDNDDIDDSDDNNDNDYDEDDQELRFVPASNSSIESLETVNEQEGCVNYRKCAICFEDINVVSVQMPCLHKFHKNCIVDWLNISAFCPLCRFLMPTEKGEKDC >OIW12952 pep chromosome:LupAngTanjil_v1.0:LG04:23202093:23210615:-1 gene:TanjilG_15401 transcript:OIW12952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNRGKGKGKEVAGKGSTGKRKSTADNDKTGGGKRRNRGVVQFFEESADVDDFDDSSDDSDFSDDYFGDEEFETLSTMNKEPAKGQSSSFPFIPKEEEIDEEEFDRMMEARYGEASTFIRFAGDDLDDKGIDPNSFGVKEFVPTIWKVKCMVGRERISAFCLMQKFADLKLLGTKLEIISAFAVDHMKGFVYIEAERQCDINQACNGITGIYVTRVQPVPRNEVFHLFSVRPRSNEIAVGTWARVKSGHYKGDIAQVVAVNNTIKKVTVKLIPRIDLQALAAKFGGGYSRQKTAAVPAPRLISSSELEEFRPLIQIRRDRDTGKVFEVLDGMLLKDGYVYKKVSPDSLNLWGVEPTEEELLKFVPSENNESKDLEWLSQLYGDSKKKRVIRDDKGGGKGESSSGSGVANGFELYDLVCFGKKDFGLIVGMDKDDGYKILKEGPDGPLGVNVQRRDIKCGLVDLKLSAQDQHNKTILVNDSVRVLEGSSKGKEGIVRHIYRGIIFLYNESEEDNGGYFTSKSKMCEKVKLTVGDFSGKDSEPGPLVFEDMPTSPRSPLSPKKPWQARENNREFNRGDQDSLFTIGQTLRIRIGPLKGYLCRVIALRRTDVTVKLDSQQKVLTVKCEHLSEVQGKGTAVSTRGDPDSSSSKPFDLLGTDGNSGGWMDGAGTSTGGGGWNAGGTSTGGGSGWNAGGTSTGGGGWNAAGTSTEGSTWSNHSAPSTLETVNPTSSTGVEDPAWETKKTSNTSLSWGAVAGNKAADDLDGNQPSGWDSKSNLNTPKASEDESSGWNRKCDDQNKDVEQNGQGNGWKSGSSGGENNWNAPVSSSQVNSEWIKKSTEDDKEEKGKDQGGWSAGKASDDSATGSGWKGGSGDGVNTDSAWDTKKSSSTSSGWKSGSSDGAKEGSNWGNMKSGSVAEKQNSDWEKAGNSNSVSGDAGILGKGPVADSLEDSWKSGSADGMKQTSNWGALKSGSSSGNEKQKSNWISDLNQTSSWGKNNNPSSGAAANENNISNWSAVDTGAGNQDSSWGKKNQDSDQNNSNWNSGSASNSNQNSNWGKKENLSESAGNDNNSNWSSGCTDTGNQDSGWGKKSNWNSGNSGSQTSDLKNSKWNSGSDDSNQNSSLGKNSNWSSGNQDSSWGKKSTWNSGSDDTNLNTNWKNNSNWKTNNASSGENEGGLNDSSEDGAGGGNWRGGFRGRGFRGRGDRGGFGGRGDRGGFGGRGDRGGFGGRGDRGGFGGRGDRGGFGGRGDRGGFGGRGDRGGFGGRGDRGGFGGRGDRGGFGGRGDRGGFGGRGDRGGFGGRGRSDREGSSGRWGSEGGFGGRGRGRGGQSGSWNDRRDSDQDGSSDWKKGGENAAEGWKGSNGSGSWKQESGDNKGGQSWNQGNADKQPSSWSQGDGSNKPWQSWSSESAGGGGKWSSKGSEQSGSGVGNQDSGSKKGVQSWEQGNADKDPPSWNQGGGSNKQWQSGSSASGGASDWNSNISKQAAEGSGAQDGGWNKGSNSNQETGWKTAGSGGDTGDRAPAWGQSGAADNGQSSSWNASADEFFSPYVGAASSWGKKNDGSEKGGWQ >OIW13554 pep chromosome:LupAngTanjil_v1.0:LG04:10488420:10498996:1 gene:TanjilG_29295 transcript:OIW13554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNITVCVRFRPLTSKEKPHGDDSVCIRCIDSETFIFKDEKDEESMFSFDRVFYEKSEQADVYQFLALPIVRDAANAINGTIITYGQTGAGKTYSMEGPGILECDEQKKGLLPRVVKELFDSINLSEEEMTYSIKLSMVEIYMEKVRDLFDLSKDNLQINESKSRGIFLPGVTEITVRDPAEAFQSLYSGIANRAVGQTQSVNRTRSGKLILVDLAGSEKVEKTGAEGRVLEEAKAINKSLSALGNVVNSLTCSMQGKAGHIPYRDSKLTRILQDALGGNARTALLCCCSPSAFNASESLSTFRFGARQVIHESMAKHIKASPHVCYCEDKSDTVSIKASAHVSFCEEKYDTASIKDSPHISSCGEKSDIVSNPSPPGDGSCARILNKLGERLNVEDVKLLEKLFILEGILFDPLSVEEESEIEDLTLQTISSLQEALENLTSTVNELKRENNILKAKVDASTKSLFCKIAEKSLILQDMISVEQSSAQVLPSKSLLSSIENTKSWFQFYGDGFAIRVPPEFQDITEPEDYNAGLSLYGDKAKPKTFAARFASVDGSEVLNVVTRTTNQLKITFLEAQDITALGSLKDAAKIFIPGGATIYSARSIKVKEEDGFRTYYFYEFGRGEQHIAMVVGVSRGKAIIAGATAPQSKWDSVGVKLRSAAVSLSIL >OIW13559 pep chromosome:LupAngTanjil_v1.0:LG04:10528211:10529350:1 gene:TanjilG_29300 transcript:OIW13559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVPLLLDLNGKHLWVTCSQHYSSSTYQAPFCHSTQCSRANTHQCFTCTDSTTTRPGCHNNTCGLLSSNPVTQESGLGELAQDVLAIHSTHGSKLGPMVKVPQFLFSCAPSFLAQKGLPNNVQGALGLGQAPISLQNQLFSHFGLKRQFSVCLSRYSTSNGAILFGDINDPNNNNYIHNSLDVLHDLVYTPLTISKQGEYFIQVNAIRVNKHLVIPTKNPFISPSSTSYHGSGEIGGALITTTHPYTVLSHSIFEVFTQVFANNMPKQAQVKAVGPFGLCYDSRKISGGAPSVDLILDKNDAVWRISSENFMVQAQDGVSCLGFVDGGVHARAGIALGAHHLEENLVVFDLERSRVGFNSNSLKSYGKTCSNLFDLNNP >OIW13726 pep chromosome:LupAngTanjil_v1.0:LG04:8759391:8761733:-1 gene:TanjilG_17905 transcript:OIW13726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSATLQSHSLTNQQPLTELNDESDFESILSPDGYISICGFGSLLSERSARSTFPDLLNFRTARLNDFRRVFAHVAPVFFERGIAKPETMEISSLSVEPFEGETLVVTVFEIKKSEIPAYIKREVEFRFLAVHPETLDGKSFDYPAVLCARYSDEEFLNIRCKGSKEMYFQQYGRWNIDKIWRDDVLPCRVYLRHCVLAANNLGDTAYNNFLDHTYLADRKTTIREHLATTGSGIMEEEPPESLKYRYGG >OIW13716 pep chromosome:LupAngTanjil_v1.0:LG04:9107260:9113883:1 gene:TanjilG_08058 transcript:OIW13716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFHSSNRHRRCYSNPNLTTHPEPALLVFSGGTAFNGVVEDLKNFTTRVAHVLPVSDDGGSTAEIVRVLGGPAVGDIRSRCLRLSDQSTAEALAVRNLLGHRLTFDPLQAKSEWYSIVEGDHFLWEGARIFFQSLDAAIFLFSRVSDIPPDSLVLPVISTNDRLTLGCELWDGTVIRGQNEISHPTSGTMEPVNKVFPSPNAAVLEQLNSVDCIVYAMGSLFTSVCPSLVLMLNGTHDRETNGFLASSFVTAITDALNRTYGDPSNHLNNPPSQYINTLLVPRNSAIPVDVDCLAAQGIFDVIVVDSLCDSKVGIIYDPKSLIRALADLIDRYVKTRVNGLIDTT >OIW12770 pep chromosome:LupAngTanjil_v1.0:LG04:25053869:25061215:1 gene:TanjilG_24703 transcript:OIW12770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNYICLSSSDDDLEEIEDPRRSLPQWPAPEMNSGNDGWSKQGSSSRGANTSTTTSSNVNNHSQTIPHTQPSSSDNSLNHRVAKRDEPSYRSQNGKSIFNTSGSDYEKMPSQQAFKRTLPLSLQPSLPSSSFAPDIRSSNSKHHMSSSQFHDTYKNRRHGIGPSVTGDKSFIRENYNRGNDEDRLMFPNGGSRILPSSLAHGKAINPQFASSSEAAYRSGALDERASATDERLIYEAALQDLYRSKTETDLPDGLMSVSLLRHQKIALAWMLQKETRSLHCLGGILADDQGLGKTISMIALILMHKSLQSKSKTDDACNHKTEALNLDDDDDNGIVDVDKLKKNEESDDIKPTTEPSSSTRAPSRKRPAAGTLVVCPASVVRQWARELDDKVGNEKLSVLIYHGGSRTKNPDDLATYDVVITTYAIVTNEVPKQPLVDDDENDEKIDERFGLSSVFSASKKRKKAYNGNKKSKKGKKGIDSSLECGSGPLAKVGWFRIILDEAQTIKNHRTQVARACCSLRAKRRWCLSGTPIQNTIDDLYSYFRFLRYDPYAVYKSFYNTIKVPISRNSIHGYKKLQAVLRAIMLRRTKGTLIDGQPIINLPPKTIELNKVDFSGEERAFYTKLEADSRSQFKAYAAAGTVNQNYANILLMLLRLRQACDHPLLVKDYNSNPVGQDSIEMAKRLPRDMLINLYKELDTTSAICHVCNDPPEDPVITMCSHVFCYQCVSDFLTADDNTCPAVYCKETVGEDVVFSKTTLRSCISDDLDGSSSSNSHHVDYSLFQDTEYNSSKIKAVLEILQSNRKMKAPSTVSPNSSGGRGDLPSHDISIIEDCDSDVRVTKHTRKYSEPITEGAIKAIIFSQWTSMLDLVEDALKQSRTRIRYRRLDGRMTLLARDKAVKDFNTDPEVTVMLMSLKAGNLGLNMVAACHVILLDLWWNPTTEDQAVDRAHRIGQTRPVTVTRLTIKDTVEDRILALQEEKRKMVASAFGEDHAGGTATRLTVDDLKYLFMV >OIW13129 pep chromosome:LupAngTanjil_v1.0:LG04:20082410:20084137:1 gene:TanjilG_32110 transcript:OIW13129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFYLTDIKPPLSGFTVADKNNVIGQSNVKVPKCYLPHVPTLRVHVSENFGDCSLSIDSLGSTGTEKDDSTDENRQHIRFIPIRRPRAVISSPANDILIRNRNKIRDERLCATKNGAVLQNRHAKCEVKSHEVTYPSDTRKSKEPESNDKVDPIVKKKVNKSSIKSENVPRIWKF >OIW14272 pep chromosome:LupAngTanjil_v1.0:LG04:1214719:1215939:-1 gene:TanjilG_21412 transcript:OIW14272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVTSQLPTLHHHHHQQVKNETSDIESIDQEIREEETHLSPTMDNITMHQLHADQKSSTNNRYMPLLVINYLMLFVGSLSSSLLSKYYFNHKGSSKWVSTWVQSVGFPLLTIPIYLPYLLNFTKRKPFSDFNPKMLFFSFFLGLLLGLNNLLFSWGNAYLPVSTSALLLSSQLVFNLVLSIIIVKQKITFSNVNCVILLTLSSILIGLDSSHEKPDDLTKKKYLIGFFCTIGAGLLFALNMPLLESVYKKVYCYEMVMEMQLIIEISATALATIGMLCDNGFSELKEESERVFDMGPTLYYVTIMANVVTWQFCFMGTAGMVFLTSSLTGGVCMTALLSMNVLGGVVVFRDVFSGVKAVATVLCIWGFCSYVYGIYSKMVDQRNEMRKKNESSTEMIHVVNGVVSC >OIW13552 pep chromosome:LupAngTanjil_v1.0:LG04:10466381:10478440:1 gene:TanjilG_29293 transcript:OIW13552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLGLRNFTERTETGEAVIDTVNGEELMHVQSSVDIVFNNFSPFSNGTLYISTKQVIWLSDLDKSKGYAVDFLSISLHAVSTDPEAYSVPCIYTQIDTEADEDDSDDSDSECNVIHDLSKIREMRLIPSDPTQLDTLFEVFCECAELNPEPNDEEEEEHDWVFSTDQTENEEPEEEGYVSQNPVNSLGQSNGNHDLARTVGYSSPSQSGIMNDLNLSVAQYSIFGSILTIGAMIGAIGSGKIADYAGRRVVGYSSPSQSGIMNDLNLSVAQYSIFGSILTIGAMIGAIGSGKIADYAGRRVAMGFSELFCILGWLTIAFSKLMIGFGMSLTYLIGAYLDWRVVALIGTIPCLVQLISLPFIPDSPRWLAKVGNMKDSDSALQRLRGRNTDVYQEAAEIKEHTEALQRQKEASIIGLFQSQYLKALTVGVGLMILQQFGGINGIVFYANSIFIAAGLSKSIGTIALVAVKIPMTTLGVLLMDRSGRRPLLLVSAGGTCLGCFLTAISFFLQDLHKWKEASPALALIGVLVYVGSFSLGIGAIPWVIMSEIFPINVKGSAGSFVTLVNWLCSWIVSYAFNFLMSWSSSGTFILFSTICGFTVLFVAKLVPETKGRTLEEIQASLNSYSTKR >OIW14173 pep chromosome:LupAngTanjil_v1.0:LG04:3183377:3199353:-1 gene:TanjilG_21313 transcript:OIW14173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDPVERFESEVLDSITVIKVASADELCMEIDPPLRENVATAEDWRKALDKVVPAVVVLRITGCRAFDTESAGASSATGFIVDKRRGIILTNRHVVKPGPVVAEAMFLNREEVPVHPIYRDPVHDFGFFRYDPGAIQFLNYEEIPLAPEAASVGLEIRVVGNDSGEKVSILAGTLARLDRDAPHYKKDSYNDFNTFYMQAASGTKGGSSGSPVIDWLGRAVALNAGSKTSSASAFFLPLERVTFLHKGFDETRRLGLRSETEQIVRHASPAGETGMLVVDSVVPGGPGHKHLEPGDILVRVNGDVITQFLKLETILDDSVNKNIELQIERGGTSKTLLLLVQDLHSITPDYFLEVSGAVIHPLSYQQARNFRFNCGLVYVTEPGYMLFRAGVPRHAIIKKFAGQEISCLEELISVLSQLSRGVRVPLEYISYMDRHRRKSVLVTVDRHEWYAPPQIYTRDDSTGLWIAKPAFQSNSLFLSSGVTDFGNLARQPVSLTEEHACGENVSEDNNQELVDGVTSLETNHEDPSAYASHQNVSDGLAKKRRVEECLSADGSVVTDLSLNGTGEAKLEKSSALQDDVLMDYQGATAAAANASFSERVIEPTLVMLEPALRRGDSVYLVGLSRSLQATSRKSVVTNPCAAVNIGSADSPRYRATNMEVIELDTDFGSTFSGVLTDEQGRVQAIWGSFSTQVKFGCSTSEDHQFVRGIPIYAISQVLDIIVSGANGPPRLINGVKKPMPLLRILEVELYPTLLSKARNFGLSDNWIQALVKKDPIRRQVLRVKGCFAGSKAENLLEQGDMVLAINKEPVTCFRDIENACQALDKSYDGDNYGKVHMTIFRQGQEVELLVGTDVRDGNGTTRAINWCGCIVQDSHPAVRALGFLPEEGHGVYVARWCHGSPVHRYGLYALQWIVEINGKPTPNLDSFIDVTKELEHGEFVRVKTIHLNGKPRVMTLKQDLHYWPTWELRFDPDTAIWHRNIIKSLNCTTTAVVQI >OIW13518 pep chromosome:LupAngTanjil_v1.0:LG04:10206620:10208577:-1 gene:TanjilG_29259 transcript:OIW13518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVDMRYPFVHIYSGVAGDNIYGLDSSDPAKSLDAAIAPAIASNIPWVAVLGNHDQEGSLSREGVMKHIVGMKNTLSKVNPAEVDIIDGFGNYNLEVEGVEGTAFENKSVLNLYFLDSGDYSKVPFIPGYGWIKPSQQLWFQQTSEKLQTEYKHGPFPRKEPAPGLAYFHIPLPEYASFDSSNFTGVKQEGISSPSVNSGFFTTLVEAGDVKAVFTGHDHVNDFCGKLTTIQLCYAGGFGYHAYGKAGWSRRARVVVVSLEKSEEGGWEDVKSIKTWKRLDDQHLTGIDGQVLWIKSFAGNRRKRRDGGS >OIW13386 pep chromosome:LupAngTanjil_v1.0:LG04:13610842:13611647:-1 gene:TanjilG_16495 transcript:OIW13386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSKSAHDPLLSFLYSGAADDECENVRPDTSSEVSSIEEIHSEESPLERDVQPSLSDKDHIEKARRSEFVQGLLMSTILDSDF >OIW13838 pep chromosome:LupAngTanjil_v1.0:LG04:7717418:7719006:-1 gene:TanjilG_31727 transcript:OIW13838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIMVQLQRQLFDYTNSLFHEGFLDEQFNQLQQLQDESNPDFVVEVVTLFFEDAERLLNELTNTLSQENIDFKRLDAHVHQLKGSSSSIGAQRIHTVCISFRNSCEEQNVEGCLKSLQQVKQEYSTVKSKLETLFKMEKQILAAGGSVF >OIW13092 pep chromosome:LupAngTanjil_v1.0:LG04:21241905:21244228:-1 gene:TanjilG_08125 transcript:OIW13092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFDPTFLYQFSDLSKIISEHSRNSEIPIMVPLQQTSTLVPPPPKNIYFYSPDNFHHSEINHPFNGVYHDPCFLKKSPSSSTNPLSMVQTLSLGNSYSNWSNNNFVNSNYPIGFAPNNDKMEASNEYLNNCNRFLDFPQEIPILYDAISQPLMGLSLAPPHDVYAPVVVNPRLQDELSHIARAENDHHDTNHKIVVGDNKEQKITNKVKGKWTPEEDRVLIESVRRFGLKRWSRIAKLLNGRVGKQCRERWFNHLRPNIRKDSWSEEEDLILIEAHKNVGNKWAEIAKRLIGRTENTVKNHWNATKRRLNTKKRINKRINPSGELLINYIKQVSQNGK >OIW13581 pep chromosome:LupAngTanjil_v1.0:LG04:9933498:9936369:1 gene:TanjilG_25680 transcript:OIW13581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYIAVTMPTSPTPCATTIDITKEHNGLRRAHSSKDLCTHSVIRRSYSDNHLSRSINRVKASSVQPKFKRSIGFSPFQFSGSISKDMNMLNIEEKGMHIEENMVESNKEEIKNRANWVERLMEIKKHWRNRIPKESMDPDLICENNTYDDECDCDDDGRVCVVGYDEEDGQEVTYDRDSFSKFLAQVPWSETKLYSQLAFLSNMAYVIPEIEAKDLRRFYSLQFITSSLEKKAEVAKLKAKLDQDSTRVPLNGSAASQDGSEKSKDNEQKPQIKLAYDIAASAASYVQLRAKNLLSLAAKPKQQSDNEDSDRRGNSTGQEAEGTSRVYNKSEVAAYVAASTMTSVVAAGEEERHETAKGLQSLHCSPCEWFVCDDPSNYTRCFVIQGSDSLASWQANLFFEPTEFEDTNVLVHRGIYEAAKGIYEQFMPEIMDHLKRHGERAKLQFTGHSLGGSLSLLIHLMLLTRKVVNSSTLRPVVTFGSPFVFCGGQRILDELGLDESHIHCVMMHRDIVPRAFSCNYPNHVAVVLKRLNSSFRSHPCLMKHKLLYTPLGKTFILQPDEKTSPPHPLLPSGSGFYVLDNTKCGYSSNVIRTFLNQPHPIETLSDPTAYGSEGTILRDHDSSNYLKAVNEVLKQHSKIIVHTMREQRIESLWPLLISTSPQLWSHEQNLDKCTIMTKEIAGV >OIW14147 pep chromosome:LupAngTanjil_v1.0:LG04:3581597:3587712:1 gene:TanjilG_21287 transcript:OIW14147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSLRNPYDDPGSPPTPEQTYYQRVSEKRRKSEANRNQSLIHGFNLKPFATFFLESNDIGPLLNFIQTPNNSNKRILESFSSNYPNSFSLKLSRILSLNPPFGIRHKTAFLLEDTLLITEKNNIRRIRCDMFLELKHLILDPFKIELEERLFYPLTAAISDLASRIYEFSIGGWIELLEYIISCFFSLNSNDEDSVLNQRKGFMLLAGLPEDVSEHTEFWKNHYSALFENLAARMLDETSNEVLQALTYDALHTMLKIAQPLGEYEIGGSILLIILDCIDQHWNEETVLGRFEDLADYVSRDVDQVLNGKEGNVFRAMVQIAEKNGASKKLRIAAVEVLEGLNEDRIFIMETIINEISDVDVQRGFKVSLDMMFESDKKCIKFGKTLLNWLCLKRDENSVFRFLVEFLKTTYTDSKDLRKRHAVMIFIAGLVNISDDSVIATEIIRMVAEEINHIISIIIDSERAQEADPSEGGSEYLPDEEIIQSSKLLISSITGALKGQLSPYAEELFTTIAQLWLYSLIEHEQSIKGEESRDGAMISDMAVSALGKICEFHHKNIDGPECDELATKETLSEINVFLDKHDDVPYEPHATIHMNHMLPSMNCTLPGSDQARPRQEPPASPPASALSSPQPSPPTACAASWGG >OIW14206 pep chromosome:LupAngTanjil_v1.0:LG04:2511294:2514007:-1 gene:TanjilG_21346 transcript:OIW14206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METGIAACYTRGAILPSVSSKHSPPSISPSFGFRNLKSTSLFGESLRVTSKSTQRVSKTKGTSLITKCEIGDSLEEFLTKATPDKGLISLLISMGEALRTISFKVKTASCGGTQCVNSFGDEQLAVDMLANKLLFDALKYSHVCKYACSEEVPELQDMGGPIEGGFSVAFDPLDGSSIVDTNFTVGTIFGVWPGDKLTAVTGRDQVAAAMGVLGPRTTYVLALKDYPGTHEFLLLDEGKWQHVKETTEINEGKIFSPGNLRATVDNPEYNKLVNYYVKEKYTLRYTGGMVPDVNQIIVKEKGIFTNVISPTAKAKLRLLFEVAPLGLLIEKAGGYSSDGYQSVLDKVITNIDERTQVAYGSKNEIIRFEETLYGKSRLKEGVPVGASA >OIW13913 pep chromosome:LupAngTanjil_v1.0:LG04:8258984:8266388:1 gene:TanjilG_31802 transcript:OIW13913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPKAAKLKEAPAERPILGRFSSHLKIGIVGLPNVGKSTLFNTLTKMAIPAENFPFCTIEPNEARVNVPDERFDWLCQFKPKSEVSAFLEIHDIAGLVRGAHEGQGLGNSFLSHIRAVDGIFHVLRAFEDPDIIHVDDTVDPVRDLEIISEELRLKDVEFMERKIADVEKSMKRSNDKQLKVELECCQKVKALLEEGKDVRLGDWKAADIEILNSFQLLTAKSVVYLVNMTERDYQRKKNKFLPKIHAWVQEHGGEQIIPFSGALERNLADMPPDEVAKYSEENKIQSALPKIIKTGFAAINLIYFFTVGPEEVKCWQIRRHTKAPQAAGAIHTDFERGFICAEVMKFDDLKELGSEGAVKAAGKYKQEGKTYVVEDGDIIFFKFNVSGGGKK >OIW13446 pep chromosome:LupAngTanjil_v1.0:LG04:11820554:11820991:1 gene:TanjilG_05336 transcript:OIW13446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAPIRTRTREGFSARNYIVDVAKGRMRIRLKVGLALCVVVICIGIGSFVLYFVEGLDLVDSVYLSVMSVTTVGYGDRAFKTPLGRLFAAIWLLFSTLMVARAFLYLAEARIDRRHRRMAKKVLHREITVEDLFAADINNTGFIR >OIW14141 pep chromosome:LupAngTanjil_v1.0:LG04:3707306:3714864:-1 gene:TanjilG_21281 transcript:OIW14141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLSKTTSTSDLSVHSTFASRYVRTSLPRFKMAQESIPKEAAYQIITDELMLDGNPRLNLASFVTTWMEPECNKLIMDSINKNYVDMDEYPVTTELQAKSKTAIGVGTVGSSEAIMLAGLAFKRKWQNRRKEKGKPYDKPNIVTGANVQVCWEKFAKYFEVELKEVKLSDGYYVMDPEKAVELVDENTICVAAILGSTLNGEFEDIKRINDLLIQKNKENGWDTPIHVDAASGGFIAPFIYPELEWDFRLPLVKSINVSGHKYGLVYAGIGWVIWRNKEDLPEELIFHINYLGADQPTFTLNFSKGSSQVIAQYYQLIRLGYEGYKNVMENCRDNMLVLREGLEKTGRFEIVSKEEGIPLVAFSLKDNSHFDEFQISDLLRRFGWIVPAYTMPPDAQHVTVLRVVIREDFSRTLAERLMVDIEKVLHELDSLPSKVVASTSVTVPESVNNNDKVKNTDIEIQREMTAVWNRYLLERKKLNDKMNGVC >OIW12558 pep chromosome:LupAngTanjil_v1.0:LG04:26772532:26773677:1 gene:TanjilG_04722 transcript:OIW12558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVEEDGDVQNSSNFQEEYHKTLRTKSYADFFNKAQLLAKHPSIYHNHNRFSEILLEPAQETIHSIIDSARLSKTPELKNLMLSYFDISAEASHICSHLLISINQVHSNYEFIQRALDINDHHDPSDQYYELIIFELYSFIISNNNPFSNLKNQDFKLINDKYSSVLHHLKSMRKKVGRKMKLIKHLKKTSRVCFTAACGLITLTTVVIVAHTFTALIIMGPAILIFPFKHLKKKLRRYKMSKSASLSKVYDQLDIAAKGTYILNRDFDTMSRLVTRLHDEIEHNKSIVQFCLDRKEDKFSLQIVKELKKSGVGFRKQVEELQEHVYLCLVTINRARALVIKEMN >OIW12804 pep chromosome:LupAngTanjil_v1.0:LG04:24741822:24748012:-1 gene:TanjilG_24737 transcript:OIW12804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNGMIECSVCHSKLVSPTTKSISRAYDRHKSRVSSKYRALNVFLVVGDCILVGFQPILVYMCKVDGKFNFSPISVNFLTEIAKVFFAIVMLLIQARNQKVGEKPLLSISTFVQAARNNVLLAIPAFLYAINNYLKFIMQLYFNPATVKMLSNLKVLVIAVLLKVIMKRRFSIIQWEALGLLLIGISVNQLRSLPEGATALGLPVTMGAYIYTSIFVTIPSLASVYNEYALKSQYDTSIYLQNLFLYGYGAIFNFIGILGTVVIKGPENFDILRGHSKATMLLIANNAAQGILSSFFFKYADTILKKYSSTVATIFTGIASAVMFGHTLTMNFVLGISIVFISMHQFFSPLSKVKDEQNGLLDMHGVHDKQRSKESFINMAAGANEEASHRMGLDERQSLLPK >OIW12736 pep chromosome:LupAngTanjil_v1.0:LG04:25286135:25288967:-1 gene:TanjilG_24669 transcript:OIW12736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSELMKFLRACFRPSSDRYARTGSDAGGRQDGLLWYKDSGQHFHGEFSMAVIQANNLLEDQSQVESGTLSTNEFGPYGTFVGVYDGHGGPETSRFINDHLFHHIQRFTSEQQSMSVDVIRKAFQATEDGFMSLVARQWSMKPQIASVGSCCLVGVICNGTLYIANAGDSRAVMGRVVKATGEVLATQLSTEHNASIESVRQELHSLHPDDPNIVVLKHNVWRVKGIIQVSRSIGDVYLKKAEFNREPLYVKFRLREPFKRPILSSEPSITVHQLQPHDQFVILASDGLWEHLSNQEAVDIVANNPHNGSARRLVKVALQQAAKKREMRYSDLKKIDRGVRRHFHDDITVIVLYFDSNLTSRASTVKFPRISVRGGGIHLPPNTLAPCTTPTEVGGT >OIW12618 pep chromosome:LupAngTanjil_v1.0:LG04:26330565:26330732:1 gene:TanjilG_04782 transcript:OIW12618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPITTYFFIFISAFYFFWDARLVIEEDVLLHNHLLFPFSTMGSGLAHPDLPIFI >OIW14176 pep chromosome:LupAngTanjil_v1.0:LG04:3109003:3112619:-1 gene:TanjilG_21316 transcript:OIW14176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLKKRLGYGFNGFQVPFIPRRPRSARRKCPSKKDDQVCAFELLASLAGKLLEEGESSASSNASECNHQPSLSQDVNELDRQEEVKPLIAEDIHHVSCAESISVTDVASQNSSQKPLLHAKTECVLGHNSSVKSEIFKLEKKIEHRPNSYSNKLVESPGDFRGSCDGNVKNGFRRELEAGSSGFQRSTSADKCSLKDQLELHDSPALVDSSSDVKPQYHRESFPNASFSKHGNDVKLGFRDDGENFLRCNDVCNKSKAFRIPQHIAHRKIRKQLFSKYWKAGPKLKDCELYKSDVEVKPLYHKRKASYGFITSQHNTVVKKRKFFDRVSGVTSDGVFSSESVSNSPEKGIDGDNPDLSAKMHAPKDSHVKFSIKSLRIPEVYIEVPDTATVGFLKRRIMEEVMAILEDNVHVGVLLQEEKVKDDNITLMQTGISCKENLDTLTFVLEPSSLLTSPTACVDDPSFQLETSQPARSPITPILESGNTDILGDSSLLTNPGNVIESKHESTTSPDDTIGDEITPDSKALVIVPTIPEALAVVPVSQKTNRAELAQRRTRRPFSVTEVEALVHMVEELGTGRWRDVKLRAFQNADHRTYVDLKDKWKTLVHTARISPQQRRGEQVPQELLDRVLAAHGYWSQHQAKQNVKHQAMPAILNVSNHL >OIW13376 pep chromosome:LupAngTanjil_v1.0:LG04:13766933:13773716:1 gene:TanjilG_16485 transcript:OIW13376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSRGVQLFTCKWVPLSSPKALVFLCHGYGMECSSFMRECGVRLACANYAVYGIDYEGHGQSEGPRCYIKKFDNIVNDCYDYYKSISEIPEYKGKAKFLYGESMGGAVALLLHRKDPSFWDGAVLVAPMCKISEKVKPPQVVINILTKVEDVIPKWKIVPTKDVINSAFKDPAKRERIRRNKLIYQDKPRLKTALEMLRTSMSLEDSLYKVKLPFFVLHGEADIVTDPEVSRALYERASSKDKTIKLYPGMWHGLTSGEPDDNIDKVFEDIIIWLDKHATNVAYDSLQSIEAFGNDGIENLTKVASKEKIAPQKQRRRSYLCGLRGRRLLYHSEI >OIW12810 pep chromosome:LupAngTanjil_v1.0:LG04:24685030:24688222:1 gene:TanjilG_24743 transcript:OIW12810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSSSSSSSSFQSGLTRYGSAPSSLLTTAVDAVISAGSHPFPPRYFSGDSSEPSSLALGGGSTNLVRQKSSPAGFLNHLDNAGFTITRGASTYTSHGHVSNGSRLKTQLSFTGQDSLSQISEVNENIEEGTTSDNGHRRPLHSYANTSFGMEPWDNSNSIVFSAPPTKRSKNMDGDILNCLDALESQFSLPQTTLEMATVEKLLHIPEDSVPCKIRAKRGCATHPRSIAERERRTRISGKLKKLQDLVPNMDKQTSYSDMLDLAVQHIKGLQSQVQKLHHELENCTCGCKEST >OIW12548 pep chromosome:LupAngTanjil_v1.0:LG04:26853510:26854193:-1 gene:TanjilG_04712 transcript:OIW12548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALATLTLALTIILSQISANAYIYSSPPPPNNNYPPLSPPLPPVHSPPPPPVPPKTPYHYSSPPPPVHVYHSPPPPRKYSSPPPPVPTYHSSPPPPPPKILGNPHPLRPTPSPPKPAPSPKPRGPRYGSPWQKKPSPPPPSHAYIYASPPPPPVHVYHSPPPPPPPKMLGYPRPHSPTPPAPKPAPSPAPGDPGYRSPRQKKPSPPPPPPPPSHAYIYASPPPPYHH >OIW13452 pep chromosome:LupAngTanjil_v1.0:LG04:10964537:10967630:1 gene:TanjilG_22243 transcript:OIW13452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNYCGKPVAHVSSNFTGSKNKAVNKTKQDLFTSHQKAALQTSQSNVNKSISNNLKSFSFNDLKEATKNFRRENLIGEGGFGYVFKGWIDEITYAPTKPGSGMVVAIKNLKPESFQGHKEWLTEINYLGQLQHENLVKLIGYCLDGKSRLLIYEFMQKGSLENHLFRKGVQPIAWATRINIAIGVARGLTFLHSLNSNVIFRDLKASNILLDSDFNAKLSDFGLARDGPTGDNTHVSTRVIGTQGYAAPEYVATGHLTPRSDVYSFGVVLLELLTGRRAIEDNGPGFSDETLVNWAKPFLSDSRRVLRIMDTRLGGQYSKKGAQAAAALALQCLGTDPKFRPPMVDVLAALEGLNSSNTMPPMAQKSGTEIRATKHSGHSHN >OIW13916 pep chromosome:LupAngTanjil_v1.0:LG04:8279922:8288946:-1 gene:TanjilG_31805 transcript:OIW13916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEMTMPIDNCSHALPFARSYQVEAFEKAMKENTIVFLETGAGKTLIAIMLLRSYAYLLRKPSPYIAVFLVPKVVLVSQQAEAVKALTDLKVGMYWGEMGVDYWDASTWNKEFEKHEVLVMTPAILLMSLRHSFLKLNMIKVLIMDECHHARGRDPYACIMREFYHDQLKSGVSDLPRIFGMTASPIKSNVGNSEWTLAESIRKLMTLMHSKVVPFSSTTYDVTILVLLKCNLGFFFKLKVYTCASEAITEFVPISTPKFKFYREDEISYVLFEDLSVKIKMLKQQHELALRNSDFTESAAESAHKRIEKSFCAIMFCLDELGVWLALKAAESLFSNETESFLRDNSGDGLVKSFNLATVNYLTTYLPSGPQWSIGDNIKSAVDMGLLTSKVFCLVDSLLEYRDLNNMRCIVFVERVITAVVLETLLNVLLPKYNSWKTKFIAGHNSGLKNQTRNKQNEIVEEFRDGSVNIIVATSILEEGLDVQSCNLVIRFDPCPTVCSFIQSRGRARMQNSDYVLMVKSGDSVTHSRLEKYLASGDIMRKESLRQSSIPCGSLELLPEEVYRVESTGAIVNLSSSITLIYLYCSWLPSDGYFKPSPRWDKETGTLYLPKSCPLQPIQVQGDKKFLKNIACLEACKQLHNIGALTDNLVPDIVVEEAEVDEFENEPYNEEQPSYVPPQLINHFSKDDKTIYHCYLIELKQNFSYDISVRDIVLATRSELDPEIGSTQFEMCFDRGSLSINLRRIGAVHLSPNEVLLCKTFQVTILKILVDHNIDKLAASVKSLDKLYLDDDLEIDYLLLPATAIQHRPAVIDWVSITSVNPSKITCKKHSPKVWTKNGLVCPCILQDSLVYTPHNGRIYITTNIMELDGNSPLELRDGGITTYKKYYEEKHATQLCFEHQQLLNARHIFLVKNYSHGRRQEKDREAGKNFVELPPELCCIIMSPISISTLYSFSFVPSIMHRLQSLLGASNLKKMYLDHCMQHEIQTVKVLEAITTKRCKEPFHYESLETLGDSFLKYAASKELFKSYQNLHEGLLSVKRTKIISNAALSKFGCNCGLPGFIRNAPFEPHTWLIPGDKSESFKLKEELNSNGSKIYVSGKQKLKRKIIADVVEALIGAFLTSGGEKAALLFMDWIGIKVNFNIVPYERHLSIQPEKLVNVNFLESLLKYSFHDRSLLVEALTHGSYMLPEIPSCYQRLEFLGDSILDYLITMHLYEKYPGLSPGQLTDMRSASVNNDCYAWSAIKAGLHKHILHASQELQKHIFNTLNIFRKLASSTTFGWECETSFPKVLGDIIESLAGAILVDSGYNKEVVWQSISPLLEPLITPETLKLHPVRELTELCQREGYTQNITISSKDGVICARVEVDANGVIHQYEYSGCVDKKTAKKLGCKEILKSLQKTEGK >OIW13533 pep chromosome:LupAngTanjil_v1.0:LG04:10342715:10349180:1 gene:TanjilG_29274 transcript:OIW13533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTRKFHVQHNHFNFDLDYDTNDGFEVFQFQLFSLTSVPPHHQKIYVSEHDTLVATDSDLTTISDKLRLISIDEHQPESESSNADLLKSDEELARLLQAEEEALMLQQYMASENTQEFESRVRPYVSEVLMYDDARRQEAARKTVPVEELEDKALVSLAKEGNFKPSKIEQDHAFLLQLLFWFKQSFRWVDSPSCYDCGNKTTPQGMAAPLPSETLYGASRVEVFRCTLCSKQTRFPRYNDPAKLLETRKGRCGEWANCFTLYCRAFGYESRLIVDFTDHVWTECFSQFLGRWMHLDPCEGIYDKPLLYEKGWNKKLNYVIAIANDGVYDVTKRYTRKWHEVLSRRAMITEPSLSSLLKNITKEIRRGFASQQLSVLETRDTEENQQIERSLHSEDDESLSLPGRRSGDEEWRKSRLEIGSDKHLSSSSCPARLCIDEHVTKTYNAFRPVISQFVEEELTKSEAVEVLGIIKGIILNLHNSPFKTRRIPIDSVLNNPKCQKLLPSFDDLLDALSLEKKVNTDGTVEICLAGDPVVTSLALPVALDTLDDIIYNFNKLENYGKDSLALPLLKLNRIHSGSVVASAEELPFGIVTSAFDGTQKSKWEEPNGARGCWVVYKTFDKKMFELVAYELMSANDAPERDPMDWILEGSNDEGISWHVLDKQTSQSFEDRFQRKTYTIKSASFPSNLFRFRFLAVRDVQSTSRLQIGSIDLYAKTM >OIW12775 pep chromosome:LupAngTanjil_v1.0:LG04:25011280:25017812:1 gene:TanjilG_24708 transcript:OIW12775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFRARTPTLYRSLQTLFNTHHSLNFSSSLPLKVSRHLVHDCDCDGFLPWLERKAGSTISSSLSIGKSSYGRSLFASKTIQTGDCILKVPYNVQITADNLPPEITSLISEEVGYIAKLAIVILIEKKLGQGSDWDPYISCLPQPRDIFWNENELEMIRQSSVYQETINQKSQIEKDFLAVRPVFECLHQSFGVITYKDFMHACTQVLFDNFNVKSPVGSRAWGSTKGLSLVIADRDYAPGEQVLIRYGKFSNATLILDFGFTVPYNTYDQVQFQFDIPKHDPLHGMKLELLQRYFVLPTEDVKSLEHSVNSFTIKEVKSARGKGKGIPQSLRAFARVLSCTTPQELNDLVNEAAHADGRLARRPFQDINREIQAHLMLSSLFARLIEERNAAILSLDSSNSPSSCERLAVRRSMARDLLVGEIRVLKSASAWLENYCD >OIW14269 pep chromosome:LupAngTanjil_v1.0:LG04:1285943:1287247:1 gene:TanjilG_21409 transcript:OIW14269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCPNNLLIPHITLTTFLYFLLLSPPHPTLSLSLNITAILSTFPDFSHFTSLLSAATPLSSDLSHRTSLSLLAVPNSILDSPSSPLITHHITPSAIPDILRYHLLLQFLSWSDLLNLPPSGKLVTTLLQTTGRAPNNLGSVNITRDPDSNVISIHSLSPYSPSNATVLSLVKTIPYNITIFSINSLLIPSGFNLMTSETQPNLGLNITKTLIDGHDFNVAASLLSASGVVEEFEGDEGGAGITMFVPVDDAFADLPPSASLQSLPADKKAVVLRFHVLHSYYTLGSLESIVNPVQPTLATETMGAGSFTLNISRLNGSVAINTGVVQATVTQTVFDQNPVAIFGVSKVLLPREIFGKSIVSKPGGLVQEAPPPDADADTLSPENSPGFNGQPSHLLSPPGFGQEVGSKAANDVYGFKSFVVVVVCCIGLYVVI >OIW12691 pep chromosome:LupAngTanjil_v1.0:LG04:25659380:25660438:1 gene:TanjilG_24624 transcript:OIW12691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFGNTSSLIGLLSFLLFSFTNHLNHVAPATTVGGIHVEVVRKPAPDVPIFREAPAFRNGVTCNNDRIHISMTLDSNYLRGTMAAVFSILQHSTCPEDVEFHFLWARFEPQVYFSIKSTFPYLKFKIYRFESNRVRGKISKSIRQALDQPLNYARIYLSDILPSYVKRVIYLDSDIVVVDDIAKLWEVDLQGKVLAAPEYCHANFTVYFTNLFWNDPELPRTFEGRNPCYFNTGVMVVDVDKWREGRYTQKVEEWMVVQKQKRIYHLGSLPPFLLVLAGELKSVDHRWNQHGLGGDNLEGKCRSLHPGPISLLHWSGKGKPWLRLDSRRPCSVDHLWAPYDLYRPNTHSLEE >OIW12951 pep chromosome:LupAngTanjil_v1.0:LG04:23212303:23214102:-1 gene:TanjilG_15400 transcript:OIW12951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVCAKGVPHMTMSDMKGLTIQVITGRWFVVFVSFIILSVAGATYMFGLISNDIKASLGYDQTTLNLISFFKDLGANVGVLSGLVNEITPPWVVLAIGSVLNFFGYFMIWLAVTGRIAKPQVWHMCLYIYLGANSQTFANTGAFVTCVKNFPQSRGVVIGILKGYVGLSGAIITQLYFAFYYNDTKDLILLIAWLPAAISFVFIRTVRYIEVVHQPNELNVFYKFLYISLGLAGFLMIMIIVQKEVPFTQSEYGVSAAVVLLLLFLPLVVVFYEQFKIQQSQQLVFVDPLTVKTVSEDKNDNSYSLSSAQTSNNDDARVSIEVQETRWWQNIFSPPERGEDYTILQALFSIDMAILFISSTCGCGGTLTAMDNLGQIGTSLGYPKQNISTFVSLVSIWGYLGRVFSGFVSEHFLAKYNFPRTIMLTMTLIISCVGHLLIAFNVPNGLYAASVIIGFCFGSQWPLVFAIISELFGLKYYATLYNLVGVASPIGLYVLNVMVTGHLYDKEAKRQSVSNGVERKIGQELNCVGASCFKLSFFIITATTLFGAIISLILVARTFKFYKSDIYKRYKEHDEGHTTEVAVVLNGGERRQKPKVEM >OIW13631 pep chromosome:LupAngTanjil_v1.0:LG04:9659561:9662833:-1 gene:TanjilG_07973 transcript:OIW13631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFLLSLHPLWTEVNWYCLLSRVWYFTKVVGGRSSSSSGKGKGHQSTVKYGFSLVKGKANHPMEDYHVAKIFQINGQELGLFAIYDGHLGDTVPAYLQKHLFSNILKEDDFWTDPSSSIIKAYETTDQAILSHNPDLGRGGSTAVTAIIINNQKLLVANVGDSRAVLSKGGVAIQMSIDHEPNTERGIIENKGGFVSNLPGDVARVNGQLAVSRAFGDKNLKSHLRSDPDVQQDDIDSDTELLIPASDGEWKVMANQEAVDIARRIRDPQKAAKQVAAEALNRESKDDISCIVVRFKP >OIW13540 pep chromosome:LupAngTanjil_v1.0:LG04:10385703:10390301:-1 gene:TanjilG_29281 transcript:OIW13540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMSTTLLLQSPPLRNTFIWKHNTFPLNHRIQFHHTNLNFTSIIVSKRQFTSSFHKNENFTPKSKPHLQILSDIITNTLKALRKPVIAVVLLALLLTHNPINSSIALAASGGRIGGRSFSSSSSSSSGSYSVSKTPLSSSSEGFSFSAPYYSPAPFGGGVYVGPVVGIGAGSSLFFILAGFAAFVLVSGFLSDRSEGSSVLTASDKTTVIKLQVGLLGMGRSIQKDLNRIAEVADTSSPEGLYYVLTETALALLRHPDYCISAYSSVDLKRDIESGEKRFNQLSIEERGKFDEETLVNVNNIKRQSTRSQISNGFSNEYIVITILVAAEGRHKLPTINGSGDLKEALQKLGTIPGDKLLAVEVLWTPQNENDTLSERELLEDYPLLRPL >OIW13022 pep chromosome:LupAngTanjil_v1.0:LG04:22270187:22272818:-1 gene:TanjilG_15471 transcript:OIW13022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVEKNTRRIESKISIEASLDAVWSILTDYERLADFIPGLAVSQLLQKGDKYARLLQIGQQNLAFGIKFNAKGVVDCYEKELECLPSSMKRDIEFKMVEGDFQLFEGKWSILQQFNIGSCEESQIQKVNTTLSYIIDVKPKMWLPVRLIEGRLCNEIKKNLASIRKEAQKASDRVVHVHQLE >OIW12526 pep chromosome:LupAngTanjil_v1.0:LG04:26995053:26998250:1 gene:TanjilG_04690 transcript:OIW12526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRYLISAAFAVLFLFGEICMSANNSSMPLPCIQRERDALLNFKASLHDPSNRLSSWEGNHCCQWEHITCHDVTAHVVKLDLSNPCWRLVTQDESFKCYRYERLLFLSASNVDPSLSELEYLSYLDLSDNDFRGSPIPISIGSMRSLTYLSLSEANFGGKIPTNLGNLTNLTHLDLGYNYGIHANQSLNSNDINWISKLGLLEHLDLSGVNLGGIHNLLQVLNMLPSLLRFYLAGCQVSDMIIPLVNHTNIALSLQLLDLSQNNLNYVPSLSNMTSIESLDLSYNKLTLFPSWFGEFNRLVNLDLSSNELSDPFPEAIKNLTSIESLNLNGNKFTTIPSWFCNFKKLVHLDLSLNALVGPIPKAIRNLTSIEFLDFSRNHLTSVPCWFVEFKKLVHLDLSQNHLTHMECSLSSILTNLCQLRFLNFASNILRVEQIGDSKLFGCITYDLKELDLSYNEFRGSLPAWFGQLENLVQLNLASNFFYGLNSFSPGELKKLDHYNNTFDGKLYGSFDNTIAKLVNLQWLDLSNNYLNGIIPQSIGELVNLQELDLSNNHLNGTIPQSLCQLSDLNFLIISGNKLHGNIPNDFDKLVGLTVLDLSSNNLDGIIFVGKEWSSIMPHLRFLNLSYNHINGSLPKNIGNIMPNLRELFLGSNLINGSIPNSLCQTPLYTLDLSKNKLSGEIPNCWMDTGYWEEINLSSNKLSGVFPSSFWNISSLIWLHLNNNNLQQRLPMSINALENLLILDLGENQLSGNIPSWIANTFPSLQILRMRQNMLTGSIPSQICQLSSLKILDLSRNILEGSIPLCLGNLTGMVLRNSESNLNISPISEAPTASTAEAPEPEWSKEDVKQIIKGREDDYIKILKLVVNMDLSENKLVGSIPNGITLLNGLHFLNLSYNHLEGEIPEMIGDMKSLESFDVSHNQLSGSIPNSMPSLTSLSHINLAHNNFSGPVPQANQFLTYNSSVYADNPYLCGHELPNKCPGDESIEVTRSRGNEDKDDKKDKVEKVLFYFVVAVGLATGFWGVIGVLLLKKNWRHAYFRWVEDTMDDIYVAVVLKLAKLKKK >OIW12589 pep chromosome:LupAngTanjil_v1.0:LG04:26542065:26545690:1 gene:TanjilG_04753 transcript:OIW12589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQSGNGNGNGNGGNKKVVEVVEVQAHPVKEQMPGVQYCINSPPPWPEAVALGFQHYILTLGMTVLIPTMIVPQMGGGNVEKAKMIQSLLFVSGLSTLLQSWFGTRLPTVVVGSYSYIIPTISIVQASRYSAYTDPYERFTQTIRGIQGALIISACFQMIMGFFGFWRNATRFFTPLTLVPYVTFTGLGLYRLGFPMYLNRYMCTTRPIYDRFAVLFSVASVWLFAQILTSSTAYNHKPETTQTSCRTDHAGLISAAQWVYLPYPFQWGSPTFNSGEAFAMIAASFVSLFESTGTFYAAARYGSATPVPPSIISRGSGWVGVATFLNGMFGSVTGSTASVENAGLLALTRVGSRRVIQISAGFMIFFSVLGKFGALFASVPLPIIAALYCVFFGYVSSAGLGFLQFCNLNNFRTKFVLGFSFFLGISIPQYFSEYYHVKHASTSPRWLNDMVTVICMSHTTVAALVAFILDLTLSREDNAAASNDSGLQWWEKFNLYNADVRNSEFYALPCRLNELFPAL >OIW13906 pep chromosome:LupAngTanjil_v1.0:LG04:8190869:8192875:1 gene:TanjilG_31795 transcript:OIW13906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQFNSGDTTLVKISHAFNSLATVVADSDNGAAVEVGPFSHASSLLSPLFGCLGIAFKFAEMDYVAKVKDLAEASKSIQTLPSMIELDVQANSVRFAGSHTRNLLRVKRGLDMVRVLFQQILVTEGNSLKAPASKAYGQVFAPYHGWAIRKAVSAGMYALPTKGQLLRKLNEDEASAKVQMQNYVTASTTLIQYIDKLFISRELGIDW >OIW13052 pep chromosome:LupAngTanjil_v1.0:LG04:21848176:21852364:-1 gene:TanjilG_17612 transcript:OIW13052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYRPTGNARTDIRRNRYKVAVDAEEGRRRREDTMVEIRKNRREESLQKKRREGLPPQQIPSVNSTVVDKKLEHLPTMVAGVWAEDNNLQLESTTQFRKLLSIERSPPIEEVIQTGVVSRFVEFLMREDFPQLQFEAAWALTNIASGTSENTQVVIEHGSVPIFVKLLASPSDDVREQAVWALGNVAGDSPRCRDLVLGHGALLPLLAQLNEHAKLSMLRNATWTLSNFCRGKPQPSFDQVKPALPALARLIHSNDEEVLTDACWALSYLSDGTNDKIQAVIESGVCPRLVELLLHPSPSVLIPALRTVGNIVTGDDIQTQVIINHQALPCLLNLLTNNYKKSIKKEACWTISNITAGNNQQIQAVVEANIFGPLVNLLQNAEFDIKKEAAWAISNATSGGSHDQIKYLVSQGCIKPLCDLLICPDPRIVTVCLEGLENILKVGEADKNTGTTGDVNLYAQMIDDAEGLEKIENLQSHDNTEIYEKSVKILETYWLEEEDETMPPGDAAQSGFNFGSTGAPSVPSGGFNFN >OIW13621 pep chromosome:LupAngTanjil_v1.0:LG04:9707567:9709837:-1 gene:TanjilG_07963 transcript:OIW13621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFVLRFVLTSFLMFCCESQLLQVGFYSNTCPQAESIVHNVVRESVISDHNMAAVLLRLHFHDCFVEGCDGSILIDNGPNSEKNAFGHEGIRGFDVIERAKAELEASCPGVVSCADIVALAARDAIVMANGPMYQVPSGRKDGLVSNVSLAQAMPDVSDSIQILNAKFLNKGLTQKDLVLLSGAHTIGTTACFFMTKRLYNFFPSSGSGSDPSINTRFLQELKTRCPQNGDVNVRIAIDEGGHNKFDKHILDNIRQGFAVLESDARLNDDIVTKFIIDSYFNNPIFGPSFEADFVESIVKMGQIGVKTGFLGEIRHKCSKFN >OIW13186 pep chromosome:LupAngTanjil_v1.0:LG04:19084792:19085997:1 gene:TanjilG_17542 transcript:OIW13186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPFPNYEPQPIFNSVKAFAPGFNFLGCSVDGIGDIVSISVDPNVHPGHMSISHISGDNGNKLSKNPIFNCAGIAAIEFMKMLWIRSVGLSLQLHKGLPLGSGLGSSAASAAAVVVAVNGMFGNRLGVQELVLASLKSEEKVSGYHADNVAPAIMGGFVLIQSYEPLNLMKLKFSEEKDLFFVQADALVVSVLLGEVLCLGKALSSDKIDPKRAPLIPGMEAVKRAALQAGAFGCTISGADPTTVAVIDDEAKGNFIGKHMVEAFLKDGNLKASTKVRQFDWLGGRLISSTKN >OIW13953 pep chromosome:LupAngTanjil_v1.0:LG04:5921906:5925444:1 gene:TanjilG_09304 transcript:OIW13953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWSNKGTSACSDGSVNDESSASGVAGEGTSHSNHEIAHITKLRSSPNEFLVRVVPGRMKLPASAVRMLVGREGNYSGRGKFSSADGCHILSRYLPTKGPWIVDRMKSRAYVSQFSADGSLFIAGFQGSRIRVYDVDRSWKVMKDISARNLRWTITDTSLSPDRCYLVYASMSPIIHIVNVGSATTESIANVTEIHYGLNFSSDNDDDEFGIFSIKFSTDGQELVAATSDSSICVYDLGADKLTLRIPAHLSDVNTVCFADESGHLIYSGSDDSLCKVWDRRCFVTKGQPAGILMGHLEGVTFIDSRGDGRYLISNGKDQTIKLWDIRKMSSNATMMSPNALSPGIGEDDWDYRWMDYPDYARNLKHPHDTSLATYRGHSVLRTLIRCYFSPSYSTGQKYIYTGSSDSSVYIYDLVSGAQVAKLDHHEAPVRDCSWHPFYPMMITSAWDGDIVRWEFPGSDEPPVPQNKKAGRTRRRGFYYM >OIW13605 pep chromosome:LupAngTanjil_v1.0:LG04:9769670:9772491:-1 gene:TanjilG_07947 transcript:OIW13605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKYELVKDIGAGNFGVARLMRNKDTKELVAMKFIERGHKQICHRDLKLENTLLDGSPAPRLKICDFGYSKSSLLHSRPKSTVGTPAYIAPEVLSRREYDGKMADVWSCGVTLYVMLVGAYPFEDQEDPKNFRKTINRIMAVQYTIPDYVHTSQDCRHLLSRIFVANPSSRVTIKEIKSHPWFLKNLPRELTETAQAVYYRQENPTFSLQCIEDIMNIVEEAKTPAPVSRSIGGFGWGGEEEDDEIEAEEEDEYEKRVKEVLASGEFNIS >OIW12893 pep chromosome:LupAngTanjil_v1.0:LG04:23925451:23927525:-1 gene:TanjilG_24826 transcript:OIW12893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNSYSSSSPQLSDSAPPQIYSRKDKSLGLLCSNFFKLFNREGTGMIGLDVAANKLGVQRRRMYDVVNILESVGIVARRAKNQYSWKGFKEIPRALKELMALNEKENGRSETDIENNPLESSKTDCRRDKSLALLTENFIKLFLCSDVEYVLLEDAAKEMLGDSQNSTALTTKTRRLYDIANVLSCLNLIKKTNHPENRKTAYRWLGCKAITGSEGSLDQNASERIFGAEITNYSLKRNNPDSLMDPRLQKKARMYHKPGDLENGYNDNILEHPPRNRSKAIDFGPFAPNKILEHINKSSSGLEDKEL >OIW13989 pep chromosome:LupAngTanjil_v1.0:LG04:6273713:6274063:-1 gene:TanjilG_09340 transcript:OIW13989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCCKVASSMEWGGEDWSSVTSKHKVFDEDHANGLKNMEKESVKDLLRASSDGNGKVKIMVSKKELAALLGEKDDISARHVSAEQVLVGLINGKHHVNDYHRTWRPVLQSIPEVD >OIW12929 pep chromosome:LupAngTanjil_v1.0:LG04:23531841:23533127:1 gene:TanjilG_15849 transcript:OIW12929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSISTKRELGSNEEESELRRGPWTLEEDSLLIHYITCHGEGRWNMLAKSAGLKRSGKSCRLRWLNYLKPDIKRGNLTPQEQLLILELHSKWGNRWSKIAQHLPGRTDNEIKNYWRTRVQKQARQLNIESGSKRFIDAVKCFWMPRLLQKMEQDSFLSSYSSMTNMNFGNSAEASTSSISTTSNIPYLPSPTPPQELFIDAANANHLSSMSNHTNASLNLDSLQFSQLLEISEQPTCPPNMFENNVYNNPIQDNWYVDTNNYGMQGLNMEPLQVMESYDIPEFAFQAEGNDEWILDNIGDTLWNMNAM >OIW13772 pep chromosome:LupAngTanjil_v1.0:LG04:6703089:6704843:1 gene:TanjilG_31661 transcript:OIW13772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCCTGCERVVKNAIYKLKGIDSVEVELEMERVTIVGYVDKNKVLKAVRRAGKRAEFWPYPNPPLYFTTANNYFKDTSNEFKETYNYYRHGYNLPERHGTFPVTQRGDDKVSNMFNDDNVNACSIM >OIW13508 pep chromosome:LupAngTanjil_v1.0:LG04:10122562:10125976:-1 gene:TanjilG_29249 transcript:OIW13508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMYRENDTVSSPIAAINCGTPVAVEYVRSLTEVGTMTRFLHECIAHQRTLDIELDDLLSQRNNLHEHLLHLQRSSEVLNIVKSDSHYMLNNVSSTCDLADDVSRKVRELDLAQSRVRSTLLRIDANVERGNCLEGVYRALDSEDYESAARYVQGFLKIDAEYKDCGNDERERERLMSAKKQLEGTVRKKLSVAVDQRDHSEILRFIQLYTPLGMEEEGLHVYVGYLKKVIGMRSRIEFEQLMELRNNGSNVNVNFVLCLTNLFKDIVLAIEENNEILSGLCGLCGEDGIVYAICELQEECDSQGSMILKKYMEYRKLAKLSSETSAQNSNLLAVGGGAEGPDPRNVELYLEEILSLVQLGEDYTEFMISKIKGLTFVNPELVLRTTKAFKIGSFSKVAQDLTGFYVILEGFSMVENVRKAIRIDEQVPDSLTTSMVDDVFYVLQSCLRRAISTLNVSSVRAVLSGANNLLINEYQEALQQKIRVPNLGEKLFFGGVGVHKTGTKFAAALNNMDVSSEYVLKLKYEIEEQCAEVFPAPTDHEKVKSCLSELGESSNAFKQALNAGIEQLAASITPRIRPVLDSVGTISYELSESEYADNEMNDPWVQRLLHAIETNVAWMQPLMTANNYDNLVHLVIDFIVKRLEVIMIQKRFSQLGGLQLDRDTRALVSHFGIMTQRTVRDKFARLTQMATILNFEKVSEILDFWGENSGPMTWRLTPAEISRVLGLRVDFKPEAIAALKL >OIW13962 pep chromosome:LupAngTanjil_v1.0:LG04:6011991:6014614:-1 gene:TanjilG_09313 transcript:OIW13962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVHGKCCGKYPTPSEGGSELGPYHAQRKHILTQRALELVPVPSHNLTLEYSVLTQRGYYPDSPDKANQDSFCIRTQIQGNPNVHFFGVYDGHGQFGSECSSFVKDSLVETLSHDPVLLEDPVNAYTSAFLTTNYELHNSEVDDSMSGTTAITVLVIGDTLYVANVGDSRAVLAVKDGDRIVAEDLSSDQTPFRRDECERVKQCGARVLSVDQVEGLKDPNIQTWGDEETQGGDPPRLWVPNAMYPGTAFTRSVGDNLAETIGVIAVPEVSTVRLTPNHLFFVVASDGIFEFLSSQSVVDMAVSFSDPRDACAAIAGEAYKLWLEHENRTDDITIIIVQIKGLSNSGTSRVGPSEINVSTVMRAKRGKGISEVSATTGSDVSRSVRSGYSDLQSYQHLVSIRSPAIVVPSPTCQRPIELVQSQLN >OIW12705 pep chromosome:LupAngTanjil_v1.0:LG04:25567528:25572124:1 gene:TanjilG_24638 transcript:OIW12705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILNSTSVYVRMGLSSSADAASVDSMTLFVLLLCACIIFGHLLQKSKWMTESVVALIIGLVTGIIILVSTGGKSSRVLVFNEELFFIYLLPPIIFNAGFQVKKKQFFRNFLAIVLYGVIGSLISFLIVSLGSLQLFKKLDIDLMALGDYFALGAVFSATDSVCTLQVLNQDETPHLYSLVFGEGVVNDATSVVLFNAIQKFDLSHITPTNIFQFIGNFLYLFLTSTFLGVAVGLFSAYLIKKLYFGRHSTDREIALMILMAYFSYMLAELLDLSGILTVFFCGIVMSHYTWHNVTENSRITSRHAFATLSLISEIFIFLYVGMDALDIEKWRFASNSPWTLFGTSAIMLSLVLLGRAAFVFPLSFIANLFRKSRNDKIAFKQQVVIWWAGLMRGAVSIALAYNKFTTSGHTQLQGNAIMITSTIIVVLLTNVVGGLLTKPLIRLMLDPHKHVGSSMTYDFVSEKTLPLLSNGEDSESNIDTGNPIDSSKVVHHYWRMFDDTFMRPVFGGRGFVPLIPASTTEDTQH >OIW13241 pep chromosome:LupAngTanjil_v1.0:LG04:16701053:16702337:1 gene:TanjilG_14174 transcript:OIW13241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPKAYQTRSSNVKEKYMVWTSEMDYCLVDVLAEQVKMGNKVDDILKPAAFAAALKVLNAKFGLYLTKQHIKNRLKTYRKQFRVLKEILAHRGFVWNETQQMVTADNSVWNDYIKAHPDARIFRAKPIENFDKLCIILGNDQEIASFSENFTEIGLNLTVDKGDLDVSFVSEIQTYGNQAKSLRWTQEMDHWLGRILADQVRRGLKVDKVLQTEAYDTAVSALNAKFGLHLTKYNIKNRLKTWKKQYEQLKEILSHAGFKWDETRKMVTANDSTWNDYIQVVCLVTWRSWIQILEAAFSLLRVGMHTSNLPRPN >OIW12626 pep chromosome:LupAngTanjil_v1.0:LG04:26162652:26163245:1 gene:TanjilG_24559 transcript:OIW12626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGNYVNRNSHREDHSFGFEEHTWGTSWPARNYACSFCKREFRSAQALGGHMNVHRRDRARLRSSISSWVSECPKPNPSTTKPNNSSSPLSDELLNCTHRSSHCSPYLTLSSTSGDKKPRLASSSQQFPPLSLQSMEIKMAKNTTRGTFDVEELKGCEEDDEGKIFKNSSEHNITLDLGIGLLKEEEKLDLELRLGH >OIW13920 pep chromosome:LupAngTanjil_v1.0:LG04:8313238:8314350:1 gene:TanjilG_31809 transcript:OIW13920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFPSNKITLTPFSHFLSLLHSPKRTNSLVVHTGFPTSLIDLFLKNRTRFKKHSPPPPPPPPSPPSPATTPTYQPISTIPLVVSENDDVSGRVSDRECGTGVAVLVKILVVLALIACVERLTVWITVLAFALVFLQYAGKRVVSLFSNANVAKLNNCASIEGSVSVSACVSCFQKVLQLNNCEAQSVQLGSTTSIDEIEVVESNCEESFFVDSNELDCVDESPIRVVKQCGIYEHKTKGNSRSYRFKSKLMKKFMPKKFLYSKKQKEKKRSIEVFETESGSEILSVLDEEESSLLVTTKLDYGKEEVINYGITCYQKSLLGKEEVKIERDGNSGFIILVVIALVGLVMGLFSALILIITWCFILKLVKTL >OIW12865 pep chromosome:LupAngTanjil_v1.0:LG04:24200071:24205344:1 gene:TanjilG_24798 transcript:OIW12865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVATISEVSRQQHHQQSENGNGNTVPRRTKGRQVSSRYMSPSPSSISNSTTTTTSSSSSSSSRRFQSPLLSRSTNSTPLVSKRSQSVDRRRPRPMTPVPDNASGEVSTAAAKLLVTSTRSLSVSFQGEAFSLPISKAKTPVSGNARKTATTPERRRATPVRGGGDQGENSKPVDQHRWPGRTRNMNSGPNNLTRSLNVGNGFGKVVRALEGSVGSRRASFDAIGGGLSLDLGKDNHKKDEFFKGSDGNSNSLKLVNACSLPLPPCDLTASDTDSVSSGSTSSAHDYAGAAKGLREPRGIVVSARFWQETNSRLRRLQDPGSPLSTSPASRMTTTSRNNASQLKRYNSDGPVLSPRTMASPIRGNARPASPSKLWASSPSKGNASPSKVRSMVASSMNSSSSNTPSILSFSAEVRRGKIGQDHIFDAHMLRLLYNRYVQWRFVNARADATCMTQKLNAERHLWNAWVTISELRHSVILKRIKLLLLRQKLKLTSILKEQMSYLEEWAFLDRDHSSSLLGATEALRASTLRLPVVEKATADVPNLKDALGSAVDVMQAMGSSIYSLSSKQVEETNCLVAEMLKITSKERFLLEQCKDFLSTLAAMQIKDCSLRTHMLQLSRVPTSST >OIW12862 pep chromosome:LupAngTanjil_v1.0:LG04:24237716:24244392:1 gene:TanjilG_24795 transcript:OIW12862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLLLPLSTPSSPFTFIDNSASKSSFFHGTTKFFPSFSKPTNKVERRCFKSPFAKSSFENIPNQFRKENLKDGLMDNFKNAPKYLYGLSPSQMDMFMTEDNPIRQQSERVTEESISSAKNYLDQGGMWSHSSMSNNGPSKYSMSVSMYRGGGGRGAGRPRTAPPDLPSLLLDARICYLGMPIVPAVAELLVAQFMWLDYDNPKKPIYLYINSSGTQNEKNETVGSETEAYSIADMMSYVKSDVYTVNCGMAYGQAAMLLSLGTKGYRAVQPNSSTKLYLPKVNRSSGAVIDMWIKAKELEANTEYYVELLAKGIGKSKEEIAKDVQRPKYFQAQEAIEYGIVDKIIDSRDATFDKRNYDEMLSKSRATRRQAGGNPQVAPSGFRIPSPTIFLYNTLISSLTHNNQTHLALSLYNRILNNHTLQPNTFTFPSLFKACASHPSYLHYGPPLHTHLFKFIQQPFDHFIQSSLLNFYAKYGKLNVSASLFSQITQPDLATWNTMLAAYANSADSDMSLEALHLFNHMQLGSQIRPNEVTLVALITACSNLSALPQGAWLHCYVLRNNLKLNRFVGTALIDMYSKCGCLNLAYQMFDDLSERDTFCYNAMIGGFAINGHGHEALELYRNMKLEGLLPDAATFVVTMFACSHVGFVEDGLQIFESIKEVHGMEPTLDHYGCLIDLLCRAGRLEEAEERLAEMPMKPNAVSWRSLLGAARLHRNINIGEVALKHLIELEPETSGNYVLLSNIYASIGRWNDVKKVRMMMKDHGVKKLPGSSLVEINGTMHEFLTCDKTHPCSKEIYSKIVEINRRLRDYGYEPRTSDVLLDVEEEDKEGVLSYHSERLAIAFALIASASTLPIRIIKNLRVCGDCHDITKLISAAYQRDIIVRDRNRFHHFHDGTCSCLDYW >OIW13107 pep chromosome:LupAngTanjil_v1.0:LG04:20918288:20919475:-1 gene:TanjilG_08140 transcript:OIW13107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEWSQLPKELLNLISQRLESSLYLLRFRSVCSSWRSSTSSTPNLHNHHHLPFNFPIFSNDPTTPNQNHNSTFSLSKRTLFLITPPQQNQQQPLLPWLIKIGHDPSGKNQLWHPLSRFPIKSSILPSPSSLPELLDIYHLPVVELGEEFVLNIRGPPSFSSLYMEKVVFSWTGSNIQSFVLLTIHVSGKLALFRSGDEGWSIIPDMPTPYDDVCVFKGRLFAVDGTGRTVRVGLDLGVEIVAEPVFGGDKKFLVQCEGELLLVDKYLSSGYVGSLDMLGEGGGDDGDEIFELGCERAMKFGLYRLEEEERRWVELESLNGRVLFLGDDCAFCVPATDLCVAKGDCVVFRDDTFNIDVLESGMGVFHLGDDRISLLSRQPDYFNLFWPPPDWVTLH >OIW12695 pep chromosome:LupAngTanjil_v1.0:LG04:25628147:25633115:-1 gene:TanjilG_24628 transcript:OIW12695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKGKSKNNGLLPSSLRIISSCIKTVSTNVSTVASSVRSSAASITSAPDDHKDQVTWAGFDILELHQSNFKRILLLGYLNGFQVLDVHDASSFSELVSKRDGPVYFLQMQPFPVHSDGQEGFVKSHPLLLVVAGDDTGNINHKGTHSSGLGRDGKVENQSGNSVNSATAVRFYSLKSHSYVHVLRFRSAVCMIRCSSQIVAVGLATQIYCFDALTLENKFSVLTNPVPQLAVQGSLGVNVGYGPMAVGPRWLAYASNSPLPSNVGCMSPQNLSPSPGVSPSTSPGSGSLVARYAMESSKNLAAGIIKYCHELLPDGSNSPVPSNLGWKVGRVTGADKDNAGMVVVKDFVSRAIISQFKAHSGPISALCFDPSGTLLVTASVYGNNINIFRIMPSCTRKGSGVPGYDWSSSHVHLYRLHRGITPAMIQDICFSQFSQWIAIVSSKGTCHLFVLSPFGGDTGFKIINSQGEEPSLLPVFPLPWWFTSSSTSYEHPSPPPAPNVLSVVSRIKYSSFGWLNTVHSSTSNVTGKVFVPSGAIAAVFHNSLSHSKQLGNSKVKPLEHLMVYTPSGHVVQHQLLPSVGPEPSERGSRTQSASNLHMQEDEFRVKVEPIQWWDVCRRSEWPEKWDPCGNTVDRQDGIDSVQEKICSADGYGLDFWDISRGVGEKVVKHSTGKPRERFHGYLSNAEIYFCAINSMRTGFSDGGEFEIEKISANKVEIRQKELLPVFDHFHSIRPSWNDRDHAGEKYLNLASPVPYQAEDRQTADMTVICHSKPASLSSTESSDGGSSRRIENLIDLDQISPTCHVFGEIYLERMGAINVEPSLQNKAVLENLPLSGNLKHDDSQYDYCLDNRPLLQGSKITYLKGDTSEDVGISEGSTFDLKHASDKSEPIEVTPVMQNGDGRSFPDSQCKTPRHKVGNVLTEVVADDVDSSSSHHEIEQPEEDGENDELLGGLFAFSE >OIW14205 pep chromosome:LupAngTanjil_v1.0:LG04:2536171:2538382:1 gene:TanjilG_21345 transcript:OIW14205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFIGTQQKCKACEKTVYPVDQLSADGTAYHKVCFRCSHCKGTLKLSNYSSMEGVLYCKPHYEQLFKETGTFSKNFQSSPKPADKATPELTRSRSRAASMFSGTQEKCATCGKTAYPLEKVTVEGQAYHKSCFKCSHGGCPITPSNYAALDGILYCKHHFSQLFKEKGSYNHLIKCASIKRAAASVPES >OIW14228 pep chromosome:LupAngTanjil_v1.0:LG04:1994570:1997667:-1 gene:TanjilG_21368 transcript:OIW14228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DHSKNFKAEEMETQIPGKNCIVTGANSGIGYSTAEGLAQRGATVYLVCRNKDRGEAALSQIQTRTGNQNVYLEICDLSSVAEIKSFASRFSEKNVPVHVLVNNAGVLEQNRITTSEGFEMNFAVNVLGTYAMTELMVPLLEKASPDARVITVSSGGMYSTPLTTDLQYSEKFNGAEQYARNKRIQVALTETWADKYKNKGIGFYTMHPGWADTPGVAKSLPSFSKSLSGKFRTSEEGADTVIWLALQPKEKLVSGAFYFDRAEAHKHLAFAATRGSHALINSVVDSLNSMASLFV >OIW14153 pep chromosome:LupAngTanjil_v1.0:LG04:3530100:3531263:-1 gene:TanjilG_21293 transcript:OIW14153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTTSLSSTSNNYNFKSSFKYSMALIVSLPIGTPPQIQQMVLDTGSQLSWIQCHNKVPKKQHPPTPSFDPSLSSTFSPLPCTHPVCKPLIPDFTLPTSCDQNRLCHYSYFYADGTYAEGNLVREKFTFSPSVITPPLILGCATESTDPRGILGMNLGRLSFTSQAKVNKFSYCVPTRRTRPGPVPTGSFYLGNNPNSLGFKYIQMLTFSQSQRMPNLDPLAYTVALQGIRIQGKKLNISQSVFRPDSGGSGQTMVDSGSEFTYLVDEAYNKMKEEVIRIVGPRMKKDYVYGDVADMCFDRGYVIEIERLIGGMVFEFEKGVEIVVPKERVLADVGGGVHCVGIGNSDKLGAASNIIGNFHQQNMWVEFDVANRRVGFGRADCSRLTK >OIW14261 pep chromosome:LupAngTanjil_v1.0:LG04:1426515:1429912:1 gene:TanjilG_21401 transcript:OIW14261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPSILAFLLLSSLVLQVTTCFQHHIPISQLRNTLEKKLITVLSNSPPLLASSPAPSEAKRSVGVVYPAGYGADPTGKNDSSDAILNAIGDAFKTESGLELMPGVKDLGGVVIDLQGGNYKISKPITFPSSGGGNVVVKGGTLRASDSFPSDRYLIELIASTTTPQQNNVVHYEDITFHDILFDSSYKGGGISIVDSARIRINNCFFLHFETEGILVQRGHETFISSSFLGQHSTVGGDKGERNFTGTAIDLASNDNAITDVAIFSAAIGILLRGQANIITGVHCYNKATGFGGIGILVKLPGNSATRIDNCYMDYNAIVLEDPTQVHVTNAFFLGDGNIVLKSINGQISGLTIVDNMFSGDPKAKFPIISLDGKFSNIDQVVIDRNIVAGMSIKSTIGKLNMDGNGTKWVADFSNILVFPNRISHFQYSFFSQEGPKFIAHSVTNVSNNIVVVESEKPVKGVVSFLVEQ >OIW13487 pep chromosome:LupAngTanjil_v1.0:LG04:10825024:10827254:-1 gene:TanjilG_01055 transcript:OIW13487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKNVLDDVIRRLLEGKGGKQVQLSESEIRQLCVNARQIFLSHPILLNLSPPIRVCGDIHGQYQDLLRLFEYGGYPPSANYLFLGDYVDRGKQSLETICLLLAYKIRYPDKIHLLRGNHEDAKINRIYGFYDECKRRFNVRLWKIFTDCFNCLPVAALIDGKILCMHGGLSPELQNLNQIKEIQRPTEIPDNGLLCDLLWADPNSSSGGWAESDRGVSCTFGSDVVIEFLDKNDLDLICRGHQVVEDGYEFFAKRRLVTIFSAPNYGGEFDNAGALLSVDESLVCSFDILKPLDRGSSSKMNLKKPPKPGKV >OIW12833 pep chromosome:LupAngTanjil_v1.0:LG04:24499411:24503380:-1 gene:TanjilG_24766 transcript:OIW12833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLVIGNRVEGNEMIMEEAPNNSSDDDQAQQQQQQQEEEEADPNQPAKRAKRYNRHSEEQIQQMEEFFKECPHPNDNQRKELSKHLKLDPSQVKFWFQNKRTQVKAQVERLNNQRLNGEIENLRAELERCQEALKKATCPNCGGPSSYGEMSFDEHHLRMENTRLKEEVQRLSGMTGNHVGNVASSSYTNVSSFQNRMPSHDVGIGSYGVIPNMVGEMYGTNGSGSYLPTPLQVAPDPKRMMIVELVDAAMEELTKLAIVGNPLWFPQNNQLGTEILNEDEYFRTFHSGFGPKLLGFKSEASRDSVVIIKNNINLMEILMDVKQWSKVFCDIVSRSMTHEVLSQGVTGNYNGVLQVMSAEFQVPSPMVPTRRAYFARYCKQHQDGSWVLVDVSLDDIRPNAISTCRRRPSGCLIQDLSSGYSKVTWIEHVEVDERTSYNLYENFVNSGFGFGAKRWLASLHRHCQRLAITKPITMLHHGEEKQFIVKLAERMKWSFLTGVGSSTADIWTTISPPCDSVRIMRRTSMNDPGRPSGLVLNIATSFRLQIPPIRVFTFLRDVKFRSQWDVLCTSALVQEKEHIATGRDSGNCISLIQVNQLQNENQNMFILQESFTDATGSYAIYAPIDVGPMNEALCGGDPDFISMLPSGFAIVPDEPIFSSPPQGGSIVKVGPRGSLITVAFQILVHNKPTGSLSPNSVASVNNFLDFTMKKIKDSVADDSNKHLLGPQIVSKSATRC >OIW14258 pep chromosome:LupAngTanjil_v1.0:LG04:1474216:1474929:-1 gene:TanjilG_21398 transcript:OIW14258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEGDEELSVIYSHLLPPTTSTKPIGSDTMTTTTTTTNQYWMEFYQQQPLMDGAMVPPKEGFSGATTSNLTPPKVNNNNNVVSKPIRRRSRASKRTPTTLLNANTTNFRALVQQFTGCPSTTMSSLGVHKGPITLNFKHGSSRQHIGARSDHNQVHQAASAAVAQFPLPVVQQLLPQQQLFQEQHGGYSFDYMKSNNFFPTLGNSRPSMEILDGMVVGNDFNLPDLTSVNAFSNDSY >OIW13911 pep chromosome:LupAngTanjil_v1.0:LG04:8239217:8242657:-1 gene:TanjilG_31800 transcript:OIW13911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVDNYLDRLASGRSRLKDLLLQKDNRFCADCNAPDPKWASANIGVFICLKCCGVHRSLGSHISKVLSVTLDEWSDDEIDAMIEVGGNSSANSIYEAYIPEGYTKPGPDASPGQRAKFIRSKYEHQEFLKPSLRIVSGKSSIESSSSKSFLDGFRTNSTSSQNMEGMVEIIGMLKVKVIKGTNLAIRDIKSSDPYIVLNLGQQTVQTSVIQSNLNPVWNEEHMLSVPDQYGPLKLKVFDHDTFSADDVMGEADIDLQPLITSATAFGDAGMFGDMQIGKWLKSNDNALIEDSTVNIVDGKVKQEMTIKLQNVESGELDLELEWISIDQ >OIW14180 pep chromosome:LupAngTanjil_v1.0:LG04:2996150:2997313:1 gene:TanjilG_21320 transcript:OIW14180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSATSSYWCYRCSRFIRVWTQDTVVCPDCNGGFIEEIEHPERDTHADNRQQRLPAAAMYMIGQRPNSDQNSQPPIRRTSRGGGDRSPFNPVIVLRGGRTTEGATRQDGAEGGGFELFYDDGAGSGLRPLPPSMSEFLFGSGFDRLLEQLSQIETNGIGRYENPPASKSAIDSLPTIEIGEDHLELESHCAVCMEPFELCTSVKEMPCKHIYHEECILPWLALHNSCPVCRHELSVDTPSHSTHLTRNSTNSRALNENENAGLTIWRLPGGGFAVGRFSGGRRGSEREPPVVYTEMDGGFNNGGEPRVISWSSRGSRGGREGGGLQRFFHNLFGCFRGGGIRMQQRSTPSTASPSMARESSSRANMVPSPRSRRTWSMDVNSGMRSW >OIW13842 pep chromosome:LupAngTanjil_v1.0:LG04:7749480:7755145:-1 gene:TanjilG_31731 transcript:OIW13842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSDTSTSAPPTSGGRIRHRKRSNEVIPEDSKANGTRLLVNDKSKYKSMIIRAYSSIWMIGGFVLIIYMGHLYVTAMVVVIQIFMAKELFNLLRRAHEDRQLPGFRLLNWHFFFTAMLFVYGRTLSPRLVNTVTSDMVLYRLVSSLIKYHMVICYSLYISGFMWFILTLKKKMYKYQFGQYAWTHMILIVVFGQSSFAVASIFEGIFWFLLPASLIVINDIAAYFFGFFFGRTPLIKLSPKKTWEGFIGASVTTIISAFLLASIMGRSQWLTCPRKDLSSGWLDCDPGPLFKPDSYSLTGLVPQWFPWKEISILPVQWHVLCLGLFASVIAPFGGFFASGFKRAFKIKDFGDSIPGHGGITDRMDCQMVMAVFAYIYHQSFIVPQSFSIEMILDQILMNLSFDEQQALYRRLGEMLQQGVQGQS >OIW12881 pep chromosome:LupAngTanjil_v1.0:LG04:24030681:24033767:1 gene:TanjilG_24814 transcript:OIW12881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIEYTFWQMLHLCTSPKVVLLLTVATLAYCAAYDHSTAHALFVVFSVLLFHFLLTGVFLATFCWFLTNAYLREEAPNSYVVEQRVECYPLFPVPSIGGSWFRPRIVVQSTIHVLPFLERTTFFLYPIGVVMVLSPILILSGFNPSRYLMSIYFSRQI >OIW13341 pep chromosome:LupAngTanjil_v1.0:LG04:14940881:14943205:1 gene:TanjilG_02861 transcript:OIW13341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRPPPEDFLLKETKPHLGGGKISGNKLTSTYDLVEQMQYLYVRVQKARDLPSKDVTGSCDPYVEVKLGNYKGTTRHFEKKTNPEWNQVFAFSKDRIQASVLEVTVKDKDLMKDDFIGRVLFDLNDIPKRVPPDSPLAPQWYRLEDRKGDKAKGELMLAVWMGTQADEAFPEAWHSDAATVSGADALSNIRSKVYLSPKLWYLRVNVIEAQDLQPTDKGRYPEVFVKAILGNQAMRTRISQSRSINPMWNEDLMFVAAEPFEEPLILSVEDRVAPNKEEVLGRCAIPLQLVDRRLDHKPVNTRWFNLEKHVIVMEGEKKKEIKFSSRIHMRVCLEGGYHVLDESTHYSSDLRPTAKQLWKSSIGVLELGILNAHGLMPMKTIDGRGTTDAYCVAKYGQKWVRTRTIIDSFTPRWNEQYTWEVFDPCTVITIGVFDNCHLHGDKAGGAKDSRIGKVRIRLSTLETDRVYTHSYPLLVLHPSGVKKMGEIHLAVRFTCSSLLNMMHMYSHPLLPKMHYIHPLTVSQLDSLRHQATQIVSMRLSRAEPPLRKEIVEYMLDVGSHMWSMRRSKANFFRIMGVLSGLIAVGKWFDQICNWKNPITTVLIHILFIILVIYPELILPTIFLYLFLIGVWYYRWRPRNPTHMDTRLSHADSAHPDELDEEFDTFPTTRPPDMVRMRYDRLRSIAGRIQTVVGDLATQGERLQSLLSWRDPRATALFVIFCLVAAIVLYVTPFQVVALLTGIYVLRHPRFRHKLPSVPLNFFRRLPARTDCML >OIW12756 pep chromosome:LupAngTanjil_v1.0:LG04:25127804:25130149:-1 gene:TanjilG_24689 transcript:OIW12756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGDDKGNTIHVDFPGSDSIAASTTKILVGNTVNSPTNSQQTVSDDDVIDYTTKPEFYDPDLDDKNELWAHKKRHGRISDAVLSCPACFTTLCLECQRHEKYLTQYRAVFVVNCKIEKDQVLSHNISRSRKRNRGGERFNGNEAQSSNVETLKQVCCSVCLTEVGAIDEDEVYHFFNVLPSEC >OIW12822 pep chromosome:LupAngTanjil_v1.0:LG04:24600439:24602543:-1 gene:TanjilG_24755 transcript:OIW12822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSLGGVSTVTVAVAVAVRPPSPRFRVERGMSKLFCDGRVPCSGIRIVCKAVGENQRGSLADSVVYQGVYGPWTIDSDDVREVISYRSGLVTAAASFVIAASAAFLPDNFPLSDTLKQNIDFFYVLGSGGLGLSLLLIHIYVTEIKRTLQALWGLGVLGSVATYIALAQPAHRNLVQYVVDNPSTVWFVGPLFAALTGLVFKEGLCYGKLEAGLLTFIIPIVLLGHLSGLMDDGAKLTLLASWMALFVIFAGRKFTQPIKDDIGDKSVFIFNALRDEEKKVLIDKLEQQKTQN >OIW13218 pep chromosome:LupAngTanjil_v1.0:LG04:17926876:17932496:1 gene:TanjilG_03547 transcript:OIW13218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPNPKVVAAFRAMSALGITESQVKPVLKKLLKLYDKNWELIEEENYRALADAIFEAAEHPQEEMEDEEAQMHVDSAQPLKRSHLRVQDGQSSNPLTNSSPGSAASPLKKPKLEGDILPESSSRQRPQNTAVSSDINARSESRPIPPHDGTVDRGKQPLSPQVARRRRLISERERAALSAQSKEPTVEPGMRPLPNNKMPHSHAVIIPKDEPIDELPDYAVPVTMIPPEPSSMRNSPMMNGAARKRDGHITKASLQFRDETVRDEDIHPSSNEEATSNVEIASSTLGEVKISLRCSFAVGRPEYRMPSQDQILQMMEEKCLRSYKITDPNFSVPKLLKDICDCMLEFRNDSNDDSQKKSWTTSNVDVMKESDAHGILSSRGNEDPNMLSHTSNGSINVKSSAALVSPRSPFSVAEVSGLDDAVRASLKDKTTNILESNYSKEPENLMSPNSHSLAVVPQHNQLTDIRSIHDVNDLTKGEEIVQIPWVNDTTNDFLPPFHYIPQNLVFRDANVSISLSCIGGEDCCSTCMGNCVLLSTQCACANKTGAEFAYTAEGLLKEDFLEECIAISHNPQQHYFYCKDCPLERSKDDGCLEPCKGHLKRKFIKECWTKCGCGKQCGNRVVQRGITGKLQVFFTPEGKGWGLRTLEDLPKGAFVCEFVGEILTTEELNERNMKCTGNGSYTYPIVLDADWDSRFVKDEDALCLDAASFGNVARFINHRCSDANLVEIPVEIEGPGHHYYHCAFFTSRKIVAQEELTWDYGIDFDDSDHPVKLFQCRCGSKFCRNMKRSNRSTRSASAASS >OIW13817 pep chromosome:LupAngTanjil_v1.0:LG04:7438458:7440942:-1 gene:TanjilG_31706 transcript:OIW13817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSVVEGEKYKMINFEETELRLGLPGESAAVKNTCNTSGKRGFSDTNTTMDLKLNLSSSNNHVVMEKVKENITTTAKSSHPVPCANDPAKPPSKAQVIGWPPVRSYRKNIVNNVQRNNNDEAEKKAATTATITMNNSVNNIIAPRAVEKCKNRT >OIW14219 pep chromosome:LupAngTanjil_v1.0:LG04:2278996:2282671:1 gene:TanjilG_21359 transcript:OIW14219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRSFRAEESQMQAAFKQRQKQLVKEKEEDLALFLEMKKREKERNDLHFHSSEELDLAFGSNSGTSRIFNISSSAPASHPLKTGADDFLNSENDKNDYDWLLTPPGTPLFPSLEMETRKTVMSQLGTPTARPMTLKSRLANSQPGRTNLVSKQPASSPQSSSSDGATRRPSSSGGPGSRPGTPTGRLTSSSTSKSSRPSTPTRATFPSTRTMVATSKTTTFAAKPMVSANKTSSSAIKTTVPAAKPTIPSRSSTPLSRSTARSSTPTSRPSLPPSRSASRASTPTRRSSTSSSEPNISAPSVNISSSRQSYPATSRQPAPMTTRQSAPMMTRQPAPVSSRQPAPVSSRQPAPVASRQPVTSRGTSPTVRSRPWNPSEMPGFSLDAPPNLRTTLPERSLSATRGRPGAPTSRSSSVEPSSSGRPKRQSCSPSRGRSSNGTAHISGNSMPAVSRGYSRVNDNVSPVVMGTKMVERVVNMRKLAPPRMDDKNSPRGNLSGKSSSSPDSSGFGRTLSKKSLDMAIRHMDIRRTIPGNLRPLMTNIPASSLYSVRSGPQHSRTLSVSGSPHATSSNASSELSVNQSGLCLDSSEVDDDIGSERCGQSPASVRGR >OIW12599 pep chromosome:LupAngTanjil_v1.0:LG04:26475395:26476930:1 gene:TanjilG_04763 transcript:OIW12599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPKGSLYDNNWARTSSLTSPGNGLPTNLSSHPPSFYGAKIEAPFKQFNQISPTQGLLPGESNNDIKILDQENFPISDSSQTTKLQDWDPSVMLNNLSFMEEKIHQLQDLVHLIVNKRCQPFGRPDELVTQEQQLVTADLTSIIVQLISTAGSLLPSVRHTLTNTSPSVGQLSQLRGNTFPFGSGPSSGIRPQNNSGDKFFDQFAQNGVPKNCEVEQNCHIEEHETKDEDDAEEGENLPPGSYEILQLEKEEILAPHTHFCTICGKGFKRDANLRMHMRGHGDEYKTPAALAKPHKESGSEPKLIKRYSCPYAGCKRNKDHKKFQPLKTILCVKNHYKRTHCDKSYICSRCNTKRFSVLADLKTHEKHCGKDKWLCSCGTTFSRKDKLFGHMALFQGHTPAIPFDDTKGGPAGALDLEIRENNSKVGSMNFCFGSNPSSENGVQNMDVKGNNIDDPTNYFSPMNFEGCNFGGFNEFSQPTFEDSEGSFSFLMSGSFNYAPKIAGETNSDNL >OIW12855 pep chromosome:LupAngTanjil_v1.0:LG04:24287969:24289669:-1 gene:TanjilG_24788 transcript:OIW12855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTRINVPLHSNIPISQCFSSSSPTSISPHFNSISHPIQNKRKFPSLTVKISNNESLMLPTMSDIIDASRAQKLDLKLQTLGPFFRITAKSLETERELGKAEGLIRVWVGGRILHLDSIRLQRETLGMEKSIFGLGLFIGAVAIRHGYDCRCETAQLLAINDSDLYHNKLVRFYTRLGFKPVYEVTGSSVKDVAHMLVWGGVGTRMDASVEQLMIKWCTRFRKTSQ >OIW14248 pep chromosome:LupAngTanjil_v1.0:LG04:1700964:1703245:-1 gene:TanjilG_21388 transcript:OIW14248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKLCKHVEALEILLQGLCGVQRERLRIHEICLKSGPNLGTVASEVRILCNLEQAEPSWTVRHVGGAMRGAGAEQISVLVRTMVEAKASKNVLRMFYTLGYKLDHELLRVGFSFNFFRGAQITVTVSSINKMLKLHATDEAVPVTPGIQLVEVTAPATAEAYTEVASAMSSFCEYLAPLLHLSKPGISTGVVPTAAAAAASLIQETDEFREKS >OIW14214 pep chromosome:LupAngTanjil_v1.0:LG04:2362369:2363708:1 gene:TanjilG_21354 transcript:OIW14214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGMSGFCIRDGSVRGNSGSTGTKCGRWNPTTEQVKLLTELFSSGLRTPSTDQIQKISTQLSFYGKIESKNVFYWFQNHKARERQKRRKVSFDDDKDVNIRRENSMNFSTQNFAYQVSEPESVIETLQLFPLDSFGESESEKLRVHSNECWDTKMFSYTMGEQMNHPPLDLRLSFMST >OIW14118 pep chromosome:LupAngTanjil_v1.0:LG04:4103607:4114588:-1 gene:TanjilG_21258 transcript:OIW14118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLNLALVSSPKPFVLGHSFARDATDREFFRRKALSFGRVFIAPPLSRYHVTRAAAKSHQNPVSVQEKQIVERFASISSSSNQGTTSSIGVNPQFSSPPSSTIGSPLFWIGVGVGLSALFTMKYAMQQAFKTMMGQMNPQSNPFDSAAFSGGSPFPFPMPSPSVSGPAAPTSFAGTQYQAPSASTASRSSVTVDLSATKVEAAPATNVKDEVEVKNEPKKIAFVDVSPEETVQKSPFESFKDEGASSFKEAQVIQQASQNGAPFNQGFGNTSGSQSTQKSPLSVDALEKMMEDPTVQKMVYPDAAKSTVPSTT >OIW13885 pep chromosome:LupAngTanjil_v1.0:LG04:8020532:8024217:1 gene:TanjilG_31774 transcript:OIW13885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLRNIVLLLLSITVVAPIVLFTARLSTFNSPSTKQEFIEDVTAFSLSATDSEHLNLFPHETSTTLKEPVGVYNEDSTSTKNLPRDLGLRESGEHASARVLSATTEEDQTQRDNPIKQVTDGNKQGNQGGSIIKKGDSTNENVSGEDAIDVDDNDGKLNKSAQVSDQESRIKATKQEQPATETSSSVKKKRPILSNKKNDQMPSDARVQRLKDQLIQAKIYLSLPAVKVNPRLTKELRLRVKEVSQTIAGASKDSELPRNANERMKKMEHSLMKGKLMQDDCSAFVKKLRAMLHSTEEQLRAHKKQALLLTQLAAKTLPKGLHCLPLRLTTEYYYSNSSQKQFPNQEKLEDPQLYHYAIFSDNILATAVVVNSVTANAKDASKHVLHIVTDRLNYAAMRMWFLVNPPGKATIQVQNIEDFTWLNSSYSPVLKQLNSPAMIDYYFKAHRATSDSNLKYRNPKYLSILNHLRFYLPEIFPKLNKVLFLDDDIVVQKDLIGLWSVDLKGNVNGAVETCGEIFHRFDRYLNFSNPLIANNFDPRACGWAYGMNIFDLVEWKRQNITEVYHNWQNLNHDRTLWKLGTLPPGLITFWKRTFTLNRSWHTLGLGYNPKVKQKDIDRAAVIHYNGNLKPWLEISIPRFRSYWAKYVNYDHVYLRECNINP >OIW12664 pep chromosome:LupAngTanjil_v1.0:LG04:25838554:25840209:-1 gene:TanjilG_24597 transcript:OIW12664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRPSSTIEIPRQSHRQNDRRTRSPSYDSYDRNLDRHTDRRSRRSRSPSYDSYDRNLDRHTDRRSRRSRSPSYDSYDRNRERHTDRRRRSPSYDTYDQKRDRYTDRNRSRLRSQSPEYRTNPGHNNGISDNNDLPKKFGRGNGAYLDRDFGDRRRSDSESDEELKGLNFEEYRRMKRQKMRKALKHCIWNVTPSPPRRDNDDWEDHKAEELSEKFADDGAVKSDSSDKEIKPKGKSKSEKYVKSESDSSSESDSDDSRLKKKGRRSSSSKRKSKKSYSESDSEVSESEESESDEKYSRRRKSKRKSSSSKRRRTKRNKKKSRYSDSEEREESESDGSDASGRSKRKHSSRSQSKKSRRRTSETESESSDPEEEKGLSDVVVADEVKRTEVDVEALELKELFESQKKPVLDNDLPVGPMPLPRAEGHISYGGALRPGEGDAIAQYVQQGKRIPRRGEVGLSAEEIQKFESLGYVMSGSRHQRMNAIRIRKENQVYSAEDKRALAMFNYEEKAKREHKVMADLQRLVQRHIGQDVGPTHDPFAATKPPDAADA >OIW13867 pep chromosome:LupAngTanjil_v1.0:LG04:7901946:7903035:-1 gene:TanjilG_31756 transcript:OIW13867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKVTFMKLKVDLECGKCCKKVKKLLSKYPQIRDQKFDEKQNIVTITVVCCSPEKIRDKLCCKSGGSIKSIEIVEPPKPEKKKEEEKPKPKPVESEKKTEAEKPKSEAPKKDSAKPKEKPVESEKKTEAEKPKSEAPKKDGDKPKEKPAEPKPALAPMPRIFDHVSAYPQFPPPMAVPVGMYCVPAYEGRPVGPYGNEYGGPAPCYDGYYGRPVYDSYGGGGGGAYYSSRRDQYLSEENEQGCTIM >OIW13783 pep chromosome:LupAngTanjil_v1.0:LG04:6942379:6953285:1 gene:TanjilG_31672 transcript:OIW13783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKFLKEVVGGSGAGLKDLPYNIGEPYSCAWGSWLHCRGTSKDDGSAVSIFSLSGSSAQDGHLAAGRNGVKRLRTVRHPNVLSFLHSAEIETYDAGTPKVTIYIVTEPVMPLSDKIKELGLKGTQRDEYYAWGLHQIAKAVSFLNNDCKLVHGNVCLASVVVTQTLDWKLHALDVLSEFDGSNDAASGQMLVTSKQPYRCVEVHPLQTPLGCLIYELFSGTKLAKTEELRNTVSIPKSLLPDYQRLLSSMPSRRLNTSKLIESDCHKLQLKTASKYIGNWLHCDNMSEFVANGCAEYFQNKLVDTIHFMEILSLKDSVEKDTFFRKLPTLAEQLPRQIVLKKLLPVLASSLEFGSAAAPALTALLKMGSWLSDEEFRVKVLPSIVKLFASNDRAIRVGLLQHIDQYGESLSPQMVDEQVYPHVATGFSDTSAFLRELTLKSMLVLSPKDWGLHNGINKSLLITPITKFDAIEVNFLSLCVELKRPGSTLLKLSQRTISGSLLKHLSKLQVDEEAAIRTNTTILLGNIATHLNEGTRKRVLINAFTVRALRDTFPPARGAGIMALCATSSYYDITEVATRILPNVVVLTIDPDSDVRTKAFQAVDQFLQIAKQYYEKTNAADTTGGAGMGISSNPGNASLLGWAMSSLTLKGKPSDHAPVASASSSALTPSSSNASSAVDTPSTAPVRVSSTTDFAEHPAPFRVSSTPDFAEHHVPTSPTSTDGWGELENGIDGEHENDKDGWDDLEPLEETKPTPALANIQAAQRRPVSQPVRQASSLQPKSTPRSSKDEDDDLWGAIAAPAPKTAKAINFKPNVTDDNDPWAAIAAPAPTTKAKPLSAGRGRGAKPAAPKLGAQRINRTSSSGV >OIW13493 pep chromosome:LupAngTanjil_v1.0:LG04:10736281:10738621:1 gene:TanjilG_01061 transcript:OIW13493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPKPRLGGKSATAKSTGSGSSGTTSKSLTMATRTIFGSRFQNAWIALPGRVEPFNSASYVVVASSSFPDREALAKNSPIQIEVAPENKNPDVGAGIGLIQLRKVWHVSLIRALRQGFHKLMHSSLVIVTLGHGPFFSESADASVRLGLLHASFLLHHPSDRRVLLKKRPKKYPDERRLHFLVLRERRSSSSVAGEATTDWISDDMGALMVLKARPGRFTLFPPVFRHSSFVSIYDAIDHLDIAAEKECCLSALSTFASPAWVSLTDLNHETKNHAYEAISISIVQPEVVSLVIKEDQMSETD >OIW13306 pep chromosome:LupAngTanjil_v1.0:LG04:14266427:14270743:1 gene:TanjilG_02826 transcript:OIW13306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHQWLFTIKFICLICFVAGQNNSNTEEQLDYRYACLDQSSVPTSSTYQTNLNNLISLLSSDSASSNGFGNRTSGSGDEGNMVYGLYLCRGDANTSLCHQCVQNSSLLLKQHCPNNASAILWYPFCLLRYSNQNFFGKLTVTPRIPMFDAKQNFTSAGEFDSDARVLMNGLIQMGSEEPLMFGTHMFNINGTQRRYGWVQCSRDITNEECRTCLSNLLDDVQDCCERKRVWRVFSPSCIMMYETQPFLLNDTLPAAQAPQQDKGANKRFRIIIIGVVSGTVAVVLLALSTYYYFWCLKQKNDKEPMEENGLSPMFSEDQTHKEETINGDLPMMPLSTIIQSTDNFSDEYKLGKGGFGPVYKGVLQDGRQIAVKRLSKTSGQGVEEFKNEVILIAKLQHRNLVRMLACCIEQNEKLLIYEYMPNSSLDFHLFDMVKGAQLDWKQRLNIINGIAKGILYLHEDSRLRVIDRDLKASNILLDHEMNPKISDFGLARTFVEDQNQANTIRVVGTYGYMAPEYAMEGLFSVKSDVFSFGVLLLEIISGKRNTGFYLSEQGQSLLIYAWKLWCESKGLELMDPVLEKSCVPSEVVKCVHIGLLCVQEDAADRPTMSSVVHMLASQTVILTQPTHPAFSVGRVVTTQDSSSNASVNYTVNEVTVSEVRPR >OIW13714 pep chromosome:LupAngTanjil_v1.0:LG04:9128724:9130876:1 gene:TanjilG_08056 transcript:OIW13714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNKTMLKEDNIAKKWHEINGQNHWKGLLDPLDIDMRRYIIQYGELAQSAYDAFITEKASKYAGSSRYTKRDFFSKVGLEKGNPFKYSVTKFVYATSSISLPDAFVIKSLSREAWSKESNWIGFVAVSTDEGKSMLGRRDIVIVWRGTVQTLEWVNDLEFILVPAPKVFGKNTDPKVISEVQRLVELYKGEEVSITITGHSLGAAVATLNAVDIVANGFNKGAPVTALAFASPRVGDLNFKKLFSGYKDLRTLRIENVLDVVPKYPPLGYSNVGDVLNIDTMKSNYLKSSVNPSNLHNLEIYLHGVAGTQGTNGVFQLEVNRDIALVNKYLGALKDEYLVPVSWWIEKNKGMVQEEDGSWKLMDHEVEEGDRF >OIW14293 pep chromosome:LupAngTanjil_v1.0:LG04:874243:875535:1 gene:TanjilG_21433 transcript:OIW14293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHRFLNPNQHTFNFVLHACSKGYDKAFNQGVQLHAHVIKFGFENQVFVRNALIHMYFAFSRFESSKRVFEEDTLCRDVVTWNSMLAGLVRNGEVRVAEKLFDEMPERDVISWSTMVMGYVQNGILKDGLECFREMREKGIRPNEATLVTVLSASAQLGLVGFGKFIHSTIKSMRIPVSTPIGTALVDMYAKCGCIEESRVLFDSMSRRDIWTWNVMICGLASHDHADEALALFHMFINEGFCPVNITFVGVLNACSRAGLVSDGRRYFKLMVDDYGIQPEMEHYGCMVDLLARAGLIDEAAELIETMVIQPDPVLWATLLDACKIHGFVEMGEKIGNKLIQLDPTHDGHYVQLAGIYAKARKWEDVVRVRRLMTEKITNKVAAWSLIEVQGRVHRFVAGDREHEHASDIYKMLETIGVRITEAGYSSNI >OIW13689 pep chromosome:LupAngTanjil_v1.0:LG04:9331755:9332102:-1 gene:TanjilG_08031 transcript:OIW13689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRKGKRICYSPYINEKPTIFLNGRELGNMKKIIGNQTKETGVVMSPMKFLIRLGAKVASAVRVVTMRRRSCRKISSSTLPKSRSFSDPNDSYRARAVQDCIEFLHSSSTRERPS >OIW12701 pep chromosome:LupAngTanjil_v1.0:LG04:25593253:25595346:-1 gene:TanjilG_24634 transcript:OIW12701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRYYCDYCDTYLTHDSPSVRKQHNAGYKHKANVRSYYQQFEEQQTQSLIDQRIKEHLGQATAFQQVGVAYNHLMGQRPNLPPMLPPPRLPIPGGVQVPGGQPLMPGGFRPLLPRPMPGAPGYGSGPTMPPMVPPPGAPQVPGQAITLPRPPTLAPPPTVPGSTATPASNGAPSMGSSAMYQANPMAPSPSGGYDNYNANAQAPEGNH >OIW14208 pep chromosome:LupAngTanjil_v1.0:LG04:2489670:2494529:1 gene:TanjilG_21348 transcript:OIW14208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYDGNVMRIDLILDCVIPYINDPKDRDAVSQVCRRWYELDSLTRKHVTIALCYTTTPERLRRRFPHLESLKLKGKPRAAMFNLIPEDWGGHVSPWVREISQHFDCIRSLHFRRMIVRDSDLELLARTRGHVLLTLKLDKCSGFSTHGLSFIGRFCRNLRVLVLEESSIVEHDGQWLHELALNNTVLETLNFYLTDIAEVIIQDLELLAKNCPNLVAVRITDCEILDLKIFFRYASALEEFCGGAYNEEPERYSAVSLPAKLCRLGLTYIGKNELPIVLMYAAVLKKLDLLYAMLDTEDHCVLIQRCPNLEVLETDIFSDGQTRNVIGDRGLEVLARYCRKLKRLRIERGDDDQGMEDEEGVVSQRGLIALSQGCPKLEYLAVYVSDITNAALEQIGTHLRYLNDFRLVLLDHVEEINDLPLDNGVRALLTGCDKLERFALYLRPGGLTDTGLGYIGKYSQRVRWMLLGFVGESDAGLLEFSRGCPNLQKLEMRGCSFFSERALAVAVTQLTSLRYFWVQGYGASRYAGRDLLAMARPFWNIELIPSRHVTVNNNPDEPVTVEHPAHILAYYSLVGQRSDFPASVIPLDPATYVDM >OIW14136 pep chromosome:LupAngTanjil_v1.0:LG04:3782463:3789530:-1 gene:TanjilG_21276 transcript:OIW14136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMGEDSLAPLTFDRTAEQAIVSIKKGAYLLKCGRRGKPKLCPFRLSPDERNLIWYSGQDEKHLRLSAVTEIIQRQGNIRSHGHEEPGKDDLSFSLIYANGGRSLDLICKDKAQAALWFVGLKAVIPTCEHPRPFSGLRSCKGVQSCVSSPAGIFRRKKNLGLLDESSQLTQVHSVCASPTLSLSERCFSDGLSYTSENVYSRTQGVIDNSVPSSSYIDAGILSKVEPLHLDREYKKILSYRSLMPPASPRMRNSDVLRDVMVWGGGIGGRLGIVNERFVNQHGIYSLVPKLLESTMMLDVQNIALGSNHAALVTKQGEVFCWGQGKWGRLGQKIDIDICSPKLVDSLNGMHVKHVACGEYHTCALTDSGEVYTWGNDACNADSGDEWRTKSQWIPHKLSGPLDGISISSIACGEWHTAIVSSCGRLFTYGEGTFGVLGHGNLRSFSQPKEVESLSGVRVKSVACGSWHTVAIVEVIADRFRYNTASGKLFTWGDGDYGRLGHADNGRKLIPTCASQLVDYDFVQVSCGRMFTVALTTMGKVFAMGSTKYGQLGNPHARDKAIMVEGLLKQEFVKVISCGSYHVSVLTSAGSVYTWGKGQNGQLGLGDTEDRNTPSFVETLRDRHVDTITCGPSFTAAICLHKPISISDQSACSGCRLPFGFTRKKHNCYNCGLLFCHACSSKKAINASLAPSNSKAFRVCDQCFDKQQGSAYSGMASKSRSHNTQQVLKHQNKIPYLTEDRGETTVTQGPLLSLSQSCYRKSMPSGRKDWKNQQESQQHLEDSSSTFNGVSRWGQVPCPDLFNVNCAKNSAMHVSSWKHKSATVSPLNIESAVCNLPVAETYTTKSDKMLIEEVQRLRTEARRLEEKCELKNQKIQEYQQKVEESWLVAKEEAAQSKAAKEVIKALALRLHTLSEKDNGVQEERVIHECPPTLAHIQTDIKSPKVGNIDSLSNSPIVFSDTLKSKFERNMLLKNDKLSENSNFNSAEPQESSADGLKAEWVEQYEPGVYITLTTLSSRRKGLKRVRFSRKRFSEKEAERWWEENQATVYHKYDIERYTNTRQIQLKG >OIW13413 pep chromosome:LupAngTanjil_v1.0:LG04:12701367:12709265:-1 gene:TanjilG_19765 transcript:OIW13413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLCLKDMDSFEPDGNGNGNGNGNGSEESLPPPPPIVPPDVVPVKAEDVLPVPAEPVKKKASRFPIARRGLGSKGMKISLQTNHFKVNVNTNDGYFFHYSVAFSYEDGRPVEGKGVGRKIMDRVQETYDSELNGKDFAYDGEKSLFTIGSLPRNKLEFEVVLEDVTSNRNNNGNCSPDGHGDNDSDKKRIRRPYRAKTFKVELSYAAKIPMQAIANALRGQESENFQEAIRVLDIVLRQHAAKQGCLLVRQSFFHNDPNNFADVGGGVLGCRGFHSSFRTTQSGLSLNIDVSTTMIIQPGPVVDFLIANQNVRDPFQIDWAKAKRTLKNLRIKVSPSNQEYKINGLSELPCREQTFTLKNRGGRDGEESTEEVTVYDYFVNNRNINLRYSAELPCLNVGKPKRPTYLPIELCELVSLQRYTKALSTLQRASLVEKSRQKPHERMKILSAALKTSNYGSEPLLRNCGVSISTGFTEVEGRVLPAPRLKFGNGEDLNPRNGRWNVSTKKFVQPTKIERWAVANFSARCDVRGLVRDLIRIGEMKGIAIDAPFDVFEENAQFRRAPPMVRVEKMFESIQSKLPGAPQFLLCLLPDRKNCEIYGPWKKKNLADYGIVNQCMCPTRVNDQFLTNVMLKINAKLGGLNSLLCVEHTPSLPIVSKAPTLILGMDVSHGSPGQSDIPSIAAVVSSRQWPLISKYRACVRTQSAKAEMIDNLFKKVSEKEDEGIMRELLLDFYVTSGKRKPDNIIIFRDGVSESQFNQVLNIELDQIIEACKFLDDKWNPKFLVIVAQKNHHTRFFQPGSPDNVPPGTVIDNKICHPRNYDFYLCAHAGMIGTSRPTHYHVLLDEIGFSPDELQELVHSLSYVYQRSTTAISVVAPICYAHLAATQLGQFMKFEDKSETSSSHGGLTAAGAVPVPQLPKLQENVANSMFFC >OIW13189 pep chromosome:LupAngTanjil_v1.0:LG04:19194376:19194837:1 gene:TanjilG_17545 transcript:OIW13189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVNVDQQQLDPERPNQNLPVLDAVHEVAVYIHRFHNLDLFEQGWYKIKVTMRWEEGEDSHPGIPARVVQYEGDICS >OIW13151 pep chromosome:LupAngTanjil_v1.0:LG04:19649339:19659855:1 gene:TanjilG_09185 transcript:OIW13151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSGSLFHSRKNSWPAQEYISKSTLHLLDFDDAAPPEQAWRRKLNSHANLLKEFSVTFMEAIKMASVKLGVRMWSYVREEASHGRKAPIDPFNRESCKPSATQGVPLGGMGSGSISRGFRGEFRQWQIIPGVCEASPVMANQFSIFISRDGGNKSFASVLAPGQHDCLGSRKGADDPGISSWGWNLNGQHSTYHALFPRAWTIYDGEPDPELKVSCRQISPFIPHNYRESSLPAAVFVYTLVNTGKERAKVSLLFTWANSIGGNSHLAGDHVNEPFMTAKGNPPVTFAIAASETQNVSVSVLPCFGLSEGSSVTAKDMWHKMVQDGQFDRENFSSGPSMPSSPGETLCAAVSASAWVEPQGKCTVAFSLAWSSPKVKFSKGSTYHRRYTKFYGASEGAAADLAHDALTHYKRWEEEIEKWQSPVLKDEKLPEWYKFTLFNELYFLVAGGTIWIDSPLLSLNMGNDHGQPKDLECSTVKVTEARVDCPQGADNSYDSTATNGQYHVDEQDVGDVSDENESVETFREGNSTTALQSLIMTDQEYDNDVGRFLYLEGVEYVMWCTYDVHFYASFALLELFPRIELNIQRDFAKAVLSEDGRKVKFLAEGNWGIRKVRGAVPHDLGMHDPWHEMNAYNIHDTSQWKDLNPKFVLQVYRDFAATGDMSFGVDVWPAVRTAMEYMDQFDRDNDGLIENDGFPDQTYDTWTVHGVSAYCGCLWLAALQAAAAMALQLGDQDFAETMKRKYLKAKPAFEEKLWNGSYFNYDSGSSGNSKSIQADQLAGQWYTASSGLPPLFDDFKIKSALRKVYDFNVMKVKGGRMGAVNGMNPNGKVDDTCMQSREIWTGVTYGVAATMILAGMEEEAFTTAEGIFLAGWSEEGYGYWFQTPEGWTMDGHYRSLIYMRPLSIWGMQYALTLPKAILEAPRVNIMDRIHLSPVNGGLSHNEKGN >OIW13860 pep chromosome:LupAngTanjil_v1.0:LG04:7878885:7879034:1 gene:TanjilG_31749 transcript:OIW13860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQKRSCRKWGLNGMGWEREIEVKKENFIRRGEKGNEERERIYEKGLVV >OIW12486 pep chromosome:LupAngTanjil_v1.0:LG04:27231745:27233697:-1 gene:TanjilG_04650 transcript:OIW12486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDETHRKMWSVLMCLMMSCFIGRIESLSVTVNDVECVYDYVFAEGDTVSGNFVVVDHDIFWSSDHPGIDFTVTSAAGNTVHSVKGTSGEKFQFKAPTQGMHKFCFHNPYSTPETVSFYIHIGHIPSQSDLAKDEHLDPINVKIAELRESLESVTAEQKYLRARDARHRYTNESTRKRVVFYTVGEYILLAAVSALQVVYIKHLFGKSVAFNRV >OIW14001 pep chromosome:LupAngTanjil_v1.0:LG04:6387861:6389012:-1 gene:TanjilG_09352 transcript:OIW14001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVQELNRSIDTIQLDNYNVLKTQTHDVLYKPNVSSVTVQLYAILDAVADRVEIHSNVCEHRNNWNTLLLNSINMITLTATTMVGVAAVNNEVGAPLLALKLSSALLFSAATGMLLIMNKIQPSQLAEEQRNATRLFKQLQTQIQTTIALGNVTEKDVKGAMEKVLALDKAYPLPLLGAMLEKYPAKFEPAVWWPSTQFQKKNEGKAKSKKMGEKNGWSKELEMEMKQVVEVVKRKDIEDYERLGNIAMKVNKSLAIAGPLMTGIAAIGCGFVGNNGFSLAALVPLMAGSMAAAINTFEHGGQVGMVFEMYRNNAGFFNMVETSIESTLEENDLEKRENGELFEMKMALQLGRSVSQLREFASKSSAYGMEGIDIDEFASKIF >OIW13460 pep chromosome:LupAngTanjil_v1.0:LG04:11062656:11065840:1 gene:TanjilG_22251 transcript:OIW13460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLQTSSLVFKVKRNPPELVTPANPTPKELKLLSDIDDQTSLRCHIPLVTIYRHNPSMEGKDPVEAIRQALSKTLVFYYPFAGRLREGPNGKLMVDCNGEGVIFIEADADVTLDQFGVDLYPPFPCFDQLLYDVPGSDGVINSPLLLIQVTRLQCGGFIFAVRLNHAMSDAIGMSQFMKGLAEIARGEPKPLILPVWHRELLFARDPPRITCIHNEYEKPQLHDNNNNNFILQHSSFFFGPKELASIHRLLPNHHSKSSTSDILTAFLWRCRTIALQPENPNHEFRLLNVLNARYGRSSFNPPLPEGFYGNAYVTPAAITTAEKLCNNPVEYALGLMKKAKNEGTEEYVHSVADLMVIKGRPSYFYNDIGYLEVSDLTKARFRDVDFGWGKAAYGGVCQGYLSSILYVPYTNSKGVEGIMVLTCLPTKAMERFGKKLDDLFKIKGKSQILRSHI >OIW13930 pep chromosome:LupAngTanjil_v1.0:LG04:5720584:5723649:-1 gene:TanjilG_09281 transcript:OIW13930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENTNMLSPPPVLHALPLFIFQGNGDSFGGNFTTSVRFSYFDNQTDGKEVPCGFFKKFPISDSDGNAMEKCDNAVVVSAIFNDHDKIRQPKGLGSKTLENVCFFMFVDDVTLKGLEHHGLISSNSKEYKIGVWRLVKVAKEDLYENAAMNGIIPKYLVHRLFPNSKFSIWIDAKLQLVVDPLLLIHSLVISVNVDMAISNHPYYVHTMEEAMATARWKKWLDVNALKVQMETYCEHGLQPWSPSKKPYVSDVPDSALILRKHELGSNLFSCLMFNELDAFNPRDQLPFAFVRDKMKPNLKINMFDVEVFEQVTVEYRHNLKHIEGTTSSTAKKVSPPGRTKRAHPDLFYVNGSCCGRCQNYLSVMWGEEESND >OIW14022 pep chromosome:LupAngTanjil_v1.0:LG04:6636802:6637362:-1 gene:TanjilG_09373 transcript:OIW14022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNVIRKKGESSKTRELKQNGRIVRLVDLKDQNLEVIGRNQVLDIYSRRSVIALGGGALYVDLTISSEYLNANDCNMGIRDSGKDIQSQSGSSVGQGDPNLTPPSRVSSNMCGQKKGKPKYKRQGSRLRRNSNVHKNVGKHTVLCWMIDMGTIQPNDRVYYIEESKFVLLDGIITEEEFVVNVAMQ >OIW12839 pep chromosome:LupAngTanjil_v1.0:LG04:24453201:24458216:-1 gene:TanjilG_24772 transcript:OIW12839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISDITKTRLVTIIISTLMLTTSASIFNNVTCISNKTFTPNSTFHLNLNTLLSTLSSKLLSGNNIRFFKTFSGENDHSNTVYGLFMCRGDVPFPLCKECVGFATQTIASTCIASKEAIIWYNECLLRYSYRFFFSIMEEWPRHQIKIPLGDPLLLQSNEFYTALGSIFSELANEAALSLQGSSSEQFAVKQANASATTTLYGLAQCTPDLSITNCRRCVTDAAAEFSKSCCGGSIGESVLFPSCIVRYETYPFYQHSGTSLPTIINAGPEIEICSVESLEFDLATIEVATNKFSDERRIGKGGYGQVYKGILRDGEEIAVKRLSKKSGQGGEEYKNEVLLIAKLQHRNLVRLIGFCHEQHEKILIYEYVPNKSLDHFLFDSLKERQLTWPERYKIIKGIARGILYLHEDSRLMIIHRDLKPSNVLIDSDMNPKISDFGMARMVAIDQIQGATNRVVGTFGYMSPEYAMHGQFSVKSDVFSFGVMVLEIISGKKNSCSFDSRRVDDLLSYAWNKWKDELLLDLLDPILQESYTQNEVERCVQIGLLCVQEHPDDRPTMGMVASYLNNVSVEMPNPVEPAFFICNDVYGSGVLVLQVISGKKNKPLHVSGYTEE >OIW13163 pep chromosome:LupAngTanjil_v1.0:LG04:19445066:19448393:1 gene:TanjilG_07769 transcript:OIW13163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVAGEETKRGKAVKLDSVGVVTSSEKKMLTDITNHLKSPLNQQPKQHSAPIAATSEVSMNGLLKENAMLMQLLANRNAIIESCKAELQKSQTNFQKLQKQNSELALTNSRMLTELNSSRQRFRELQHELGSKNGILKAMKLEAKEHKQKMKHENHTNQAGASQCKKPDQKFQDGKGDNVCHAKRQRVSKSQSSAPVVVKQVKPIGKVDSQRYSLKRQSKAEKPRRPEDDFFEVDEIKYDVLHLQENLANKSEETSLGSKVHEEAREDAESSGPTNTEQVLAKKNIEKKRLSSRRQSARFKPENLEPAIDSFEIDDAKFAISLLCDDMSEKSVPTSSSLNSGQENVENDGCKFDPREIRRSSVGRPLRQSVVKIQSYKEVPLNFKMRRPT >OIW14106 pep chromosome:LupAngTanjil_v1.0:LG04:4308300:4309235:1 gene:TanjilG_19485 transcript:OIW14106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVRSILLALFSLPLLLSIQFSSSQLLPDSALSSSDLDAILQNYAFKALFNPKTGVPYDAKLPKNLSGISVSAMRLKSGSLRKRGVQSYKEFKIPIGVVEQPYVERLVWVYHNFGNWSEVFYPLPGYSYLAPVLGLLAYSGTNLSASELPALDIRASDKPILIKFSNVKSAPSGSVAKCVYFDLHGSVQFDILSHENSCSTLQQGHFSIVVESNAPSSPAPAPEPVKENGGNNKYKVWIIVAYVVGGLLLVVMLSLIAARVIRIKKDMEIQQLEWVADSYENLKITSIGSTKAPLAMGTRTKPILENDYIP >OIW13045 pep chromosome:LupAngTanjil_v1.0:LG04:21697511:21710567:-1 gene:TanjilG_17605 transcript:OIW13045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPARKSRSVNKRFSASNEVSPDNDGVNSIKNKLRKKKLTDKLGSQWSKEELEQFYQAYRKYDKDWKKVAAVVRNRSIEMVEALYNMNRAYLSLPEGTASVVGLIAMMTDHYNVLVGSDSERESNDSPGSRKPLKRKIEKVQLSVSKDSVQSQSIASSDGCLSLLKKRRFDGIQPRAVGKRTPRVPVHYSFKKDDRENYVSPNKRSLGSTVAANDDEIAHVAALALAEAAQRGGSPQVSQTPHIRAEHKSPHVQNLGKMKHQQLRVKEQLQGDSRSEMEQIHKIQGKCCWKRTNQSKCQTLETASAKFHHASVDEEFLEGSMESRGAENGEYVRDSSSLMDMEGKDSFVLQKGKMIYRKKERVVNIGNHQLDDGGEACSGTEEGVSFSSLKEKVDIEVNNAKLEQSSLKSKRKRSKKLFFGDEIPALDALQTLADVSLMMPASMVESELSGQVKGDIVTVDKGDKSALPEAASTSHTRDKIKLSGSKQKVVHVVSGIEVSTPTKPKLGKESANDAKALYESKEQLSPADRTWKRKRNSVVSKVASTKLNPYPNDPLKSEDVDEENKPLFKEKNTGQVFTQPRQLKTVGSSESSPNSDQKELKVSTAEIPLLSEVSLPIKGRRRWKMIPRRTFIPKEKPSENIILKTQHNMYSTLKDKLSSCMSSYLFRRWCTFEWFYSAIDYPWFAKREFVEYLNHVGLGNIPRLTRDEWSVIKSSLGKPRRFSEHFLREERQKLEQYRESVRKHYTDLRTGISDGLPTDLAKPLYVGQRVIALHPKTREIHDGSVLTVDHDKCRIQFDRPELGVEFVLDIDCMPSNPLDNMPEALRRQVSARKVSLMSKEPQVNGNSSFGGCTTRASPVKGDVNHCVSQAKAATIDNFCVQKTVCAQPCTLTHHQAKEADIHALSELKRALDKKETLLMELKSANTDILENQNDIECLKDSEAFKKHYATVSDAMVHLRQRNTYTGNSLTPWMKPQVSFNDHDNLPGMLDSSLPQELGSTVNEIIKGSRLSAKVMVDAAFQALPSTTEDEDAFTRIGQALDSIDYQQLASNTRLPLIRSQEQVNGSSYNLNQSTLLNDASSPKLHNDSNKVYTEIPLELITSCVATLIMIQTCTERQYPPADVVQMLDSAVTSLEPCSPQNIPIFREIQMCIGRIKTQILALVPT >OIW14302 pep chromosome:LupAngTanjil_v1.0:LG04:772328:774263:1 gene:TanjilG_21442 transcript:OIW14302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDDGSNPLRRMSSRTRKVASKMVAALSSSDNRTQAALARLDALENDNAGFEVADANNDDDEASLDDEDQVYMQKKQSKGTKRKTRQAKALEARKAPRTFLELLHEANLESLPANVPTYWKAAVGPPSSTSRRHFCTVCGFSANYTCVRCEAVEKQVPFSTSPPPQHEKPHPEI >OIW12781 pep chromosome:LupAngTanjil_v1.0:LG04:24979459:24981081:1 gene:TanjilG_24714 transcript:OIW12781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAYECFKPPEDTKLDTDSAAKIVLRNKNLGLEEYRFLLKTIVSSGIGENTYCPRNVLEGREEFPSLSDAYDEIDEIMFDTLDNLFKRTGFSPSEIDILVVNVSLFSPSPSLTSRIINRYKMREDIKSFNLAGMGCSASVVAIDVVQQLFKIYKNSIGVVVSTEDLGAHWYCGKDRMMMLSNCLFRSGGCSMLFTNKPKLKNRAILKLKHMERTQYGADDEAYNCCIQVEDDQGYSGFRLTKSLVKSAAQALTVNLQVMSPKILPLWELLRFFTASVRNSMKKRELMLFITSSLVGNGKKKRNTFNMLGGEGLNFKSGIEHFCVHPGGRAVIDGVGKGLNLNDYDLEPARMALHRWGNTSAGGLWYVLGYMEAKKRLKKGDRILMISLGAGFKCNNCVWEVMRDLSDANVWKDCIESYPPNTLNNPFKEKYSWINEEYLSFVRLDFSKMVF >OIW14267 pep chromosome:LupAngTanjil_v1.0:LG04:1298693:1302578:-1 gene:TanjilG_21407 transcript:OIW14267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPRMRVSMFSLVLVFFFCFSLISSVHSYESILDNEGTLRVLLEVKSSFVSDPENVLSDWLEKNEDYCSWRGVTCEEFLHDSVHAVVGLNLSDSSLTGSISPSLGRLQNLLTLDLSLNNLNDPIPTTLSNLTSLQSLILNSNQLTGNIPTELGSLLSLRVMKLGDNELTGVIPVSLGNLVNLVTLGLAKCKLTGLIPTQLGQLSELETLNLQDNHLVGPIPAELGNCSSIISLSAAHNTLNGSIPSELSQLSNLQILNLANNSISGEIPSQLSKLSELIYLNLMGNKLVGHIPKFLAQMGKLQFLDLSMNMLSGSVPEEFGDMSWLQSLILSYNPLYGKIPSKLCANATSLEQLMIAGNGLHGEIPSELGQCKSLKQLVLSDNSLNGSIPVEIYGLHELTDLLLYNNTLVGSISPFIKNLTNLQTLALYFNNFNGVIPREVGMLGNLEKLNLYRNQLSGSIPVEIGNCSRLQMLDLYGNHLSGGIPITIGRLKELNFLHLKNNELVGHIPATLGNCHKLTVLDLEGNQLSGGIPATFGFLRSLEQFILFNNSLEGNLPRQLANLANLVFVNIGKNRLSGSLAPLSSSLSIQSFDVTDNAFSSEIPYLFGNSPSLQRLRLGNNKFSGEIPKTLGNITELSLLDLSGNSLTGPLPVELSLCNKLSTIDLNNNLLSGSIPSWLGSLPHLEELKLSFNQFSGPIPPSIFKCSKLLVLSLNDNSLNGSIPGEIGDLASLNVLKLDHNGFSGKIPPSIGKLSKLYDLKLAKNRFDGQIPFEIGNLQNLQSLLDLSYNNLSGYIPSSLGLLSKLESLDLSHNQLSGEVPPILVDEMSSLEKLNISYNNFQGELDKQFSRWPYEAFAGNQHLCGASLGRCNHDDAHNLSASRESSVAIVSVISTLAVIALIVLVVRLSLKNKQLFFRKSSEVNCVYSSSSSQAKKRSLFLLNAGGRRDFRWEDIMAATNNLSDDFIIGSGGSGTVYRAELHTGETVAVKKISRKDDYLLNKSFIREIKTLGKIRHRHLVKLIGCCRNRNKGTGCNLLIYEYMENGSVWDWLHGKPIAKGSLDWDTRFKIAVGLAHGVEYLHHDCVPKIIHRDIKSSNLLLDSKMDAHLGDFGLAKALIENSDSNTESNSCFAGSYGYIAPEFAYSMKATEKSDVYSMGIVFMELVCGKMPTDAAFVGDMDMMRWAETHIHMHGAARDELIDPELKPLLPAEEFAAFQVLEIAMQCTKTIPQERPSSRQVCDLLQHVLKNKRVDFEKMKLDHN >OIW14245 pep chromosome:LupAngTanjil_v1.0:LG04:1738141:1740707:1 gene:TanjilG_21385 transcript:OIW14245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYIRNNSFKRFFSFGRRRYLSEENDKRLVNLQYEEHPPRPSWKCFSYEELFHATNGFTSENMVGKGGYAEVYKGTLYSGEEIAVKRLTRTSKGERNEKEFLNEIGTIGHVCHSNVLPLLGCCIDYDLYLVFELSTVGSVASLIHDENLPPMDWKTRHKIVLGTARGLNYLHKGCQRRIIHRDIKASNILLTADFEPQISDFGLAKWLPSQWTHHSIVPIEGTFGHLAPEYYMHGVVDEKTDVFAFGVFLLEVISGREPVDGSYQSLHSWAKPILNNGEIENLVDPRLEGAYDLTQFRRLAFAASLCIRASSTWRPTMSEVLEVMEEGEMDKEKWKMAEEEEHQEQNYWEFEDLEYDYDSSLSISIHDSTRST >OIW13814 pep chromosome:LupAngTanjil_v1.0:LG04:7417923:7420364:1 gene:TanjilG_31703 transcript:OIW13814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGREITRIHVVDKKPNGVVAAAKVQVKDCDVKECYEKKEVVSAEVTNGSAGLIEEEIEKSEVQKTVDSEKLCSQIVDTEAIAIDLKSPPNAKNTDSLNKSKNSKPKSPFSSSKPLKLDGKKHREDEDNSSIASSAISMRTTRSKVTLGSAPTFRSSERAEKRREFYLKLEEKQHALEEEKRQYEARKKEEQETAIKQLRKNLVIKAKPVPSFYYEGPPPKTELKKLPLTCPKSPKLNRRMSLGDAVNKSHEVCNRARHSIGSHIKGGSNSPSAPKTQCRSNSPLTPKPRFGFGSYCPLTPEPKDRVIRRRSTGILKTKEQPNVDKEIKTSLKIAGSANVDISVQS >OIW13576 pep chromosome:LupAngTanjil_v1.0:LG04:10700071:10705391:1 gene:TanjilG_29317 transcript:OIW13576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEEEEETELSEKQKIEIAKWFLLNSPPGEIYYVAKDVKSILHDDDLYNKAASEAFPVYNKSHFISLPMPDRSGDVLVTSFGELEGYAYLDPRTAQVAIVDHVKQVCTEVRPATDEELPSPYIEEFRCALDAEILKYVEESYPKGVCSVYCANGKDIEGPGSDFEFAVVISAARHSPQNFCNGSWCSVWNIEFKDDQQTVEVKGKIEVDAHYFEEGNVQLDAKHECKDSTLFQAPDDCAVSISSIIRHHETEYLASLEASYLNLPDSTFKDLRRKLPVTRTLFPWHNTLQFSLTRDISKELGIGKRVRAWLDSVFSPGRVGGEDWQSSSFSGGVFIEKRASPNEWQILMESRS >OIW13316 pep chromosome:LupAngTanjil_v1.0:LG04:14390675:14391259:-1 gene:TanjilG_02836 transcript:OIW13316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSNNLLVTDLSDSGSAGTNNKTYKCIECFKPFSNRAMLIQHQREDEPCRSSQKIIQQYKPQPSLEQPLILLPPSPIVEANTSSLGPLPSVVQHSNEAEIRSGYSSPDTTFSITNLRLDTMQIDGDDYYDDHFASPDDRTLDLISQLDPTRNLLYLIDKQSAGPSGNAGNDVDAAPGQSGYMGTVDLNLKLSMV >OIW13353 pep chromosome:LupAngTanjil_v1.0:LG04:15285175:15285483:-1 gene:TanjilG_02873 transcript:OIW13353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRVRDLASKKAAVIFTKSSCCMCHSIKQLFYELGASPAVHELDNDPYGREMEWALRSLGCNPSVPAVFIGGRFVGTSKDVISLHVDGSLKQMLKDAKAIWF >OIW12667 pep chromosome:LupAngTanjil_v1.0:LG04:25815195:25816346:1 gene:TanjilG_24600 transcript:OIW12667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGENTSSVASRTSYPSKNVHWHNYTIPVQPMNLSFRPSATSDTVGDNGENFSDKKQQQQASKGGVEVVPSQAFAISFPAFNGTNAPSNLNISSIMQNPVIFQSLSDVAWQGYHAAGTSHSKQKTNSITEDKGGGNSSHHDDEKKNTHEKSSTNGPITLVFDNSSKNLNFMLSPTNGNWPSQYIASTAITSVPLSSNASNSHQTPQPLQLQKQHGMQQQQHAMATRYKASSTSNTATKFVNNAPIFSQIVAQCKSSNQGNYMNSLSAQGQQLLNSNQPLYSTAAGTRVNEGNLKPSFDGRKVGQRTNHECIQQRTLLLGMAKIIGQFVGEMSRQS >OIW13710 pep chromosome:LupAngTanjil_v1.0:LG04:9160257:9162001:-1 gene:TanjilG_08052 transcript:OIW13710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSNAEHSACGCENGANICSSGLKKQLHKRPRVPKRGPGVAELEKILREQETIGISDMRKLEAFTPHNHHSNNPPSSSNMHMHNHVPSASKCDHLGPTTPPSMTSIYGNFAGHNTLLPRNSGSGLVLPEQELFPMNLTSSKSKFNLNERFDVMQSDSANSSSRNLSYPAMIQKKTNQYPPHMMNQFLGPGNTSSSPSVPTRLHNHVEQPSSQSSHYNSISRLPEQHKIVSMKPPQAPSSENSMIPPSNFQASPMFCQFNRPLQTSTSESQGANFIPFATIGVPPPPMHLFQGELSKGNMTPFQVTQDRMGHAYQHSESRSDHRPFFNFLHVKDDRVNGTNDPNHGGHDASGGIDLSLKL >OIW12998 pep chromosome:LupAngTanjil_v1.0:LG04:22578888:22581201:-1 gene:TanjilG_15447 transcript:OIW12998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLGSTPLFDGLGNATRRKRSQTSRRPRPDSQPVSDGRDLSPLSLTPPSDDVSKVSSEENAEVDKSHKSKRDGSFHAFYNNEPGRNGPYDKRSSEGVLAPANWKGSGKLKHSLESDSGNAEMYSGNNLEMPSLGQLGVSQDGLGNENRVKKFKLKLGGVTHTIQTNTTSNNASDKKSGLQGLPWKDFSRGGFGLGKEESSMGRLSGKNKFGKQGDKTESVWKSKRVPKRRVLDGELSDDDDEIRYLEKLKTSRVSAMYRDEEKLSKKHKKLSSVSYMENASSSRSGRDGKTRLRSDRVHEDNDYEEEEESGSDGELEDRKKKKQRKESVDVMMDTKREKNLMTRQRALQSSKDASASLIEFPNGLPPPPPRKQKEKLTEVEQQLKKAEAAQRRRMQAEKAARESEAEAIRKILGQDSNRKKQEDKMKKRREELAQEKAANALKLAPNTIRYVMGPSGTTVTFPEEMGLPSIFNSKPCSYPPPREICAGPSCTNPYKYRHSKSKLPLCSLQCYKAVQGKVVAETV >OIW13425 pep chromosome:LupAngTanjil_v1.0:LG04:12148941:12149426:-1 gene:TanjilG_33074 transcript:OIW13425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSSKVLFLVFLGTLFCTIGARKLGTEKGNSFGDEKTFFHRPGFGGGAGGGGGFGGGSGGGLGGGSGGGFGGGAGAGGGAGGGLGGGGGFGGGGGAGGGVGGGSGFGGGAGFGGGSGAGGGLGGGGGGGFGGGGGGGLGGGAGAGAGGGFGGGAGTGGGLP >OIW13340 pep chromosome:LupAngTanjil_v1.0:LG04:14927717:14928764:1 gene:TanjilG_02860 transcript:OIW13340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVQGGSDWVSYPHGGHCSKVGIHLSPFSTVVSLGEGKLSLRMVHDHIQVVSGYISTPPEHKGTR >OIW14183 pep chromosome:LupAngTanjil_v1.0:LG04:2959073:2962940:1 gene:TanjilG_21323 transcript:OIW14183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPESSTRSSRWSLKGTTALVTGGTRGIGHAVVEELAEFGATVYTCSRNEEELNLRLKEWKDKGFSVIGSVCDASSSTEREKLIEQVASAFNGKLNILVNNVGTNRRKPTIEYTAEEYSTVMSTNLDSPYHLCQLAYSLLKASGNGSIVFISSVAGLTHVGSGSIYAITKAAINQLTRNLACEWAKDNIRSNTVAPWYTKTPLVETLLAKKEFVDEVLSRTPIKRIAEVHEVSSLVAFLCLPVASYITGQIISVDGGFTVNGFQPTMRLS >OIW13346 pep chromosome:LupAngTanjil_v1.0:LG04:15114336:15120026:1 gene:TanjilG_02866 transcript:OIW13346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEMKEENKSNEESVKLFVGQVPKHMTEDELVTMFKEFVLVEQVNIIKDKATRASRGCCFLICPSREEADKAVDAYHNKKTLPGASSPLQVKYADGELERLEHKLFIGMLPKNVSEVEVTALFSNYGTIKDLQILRGSQQTIGCAFLKYETKEQALAALEAINGKHKMEGSSVPLVVKWADTEKERQARRAQKAQSEASNLPHADSQHPSLFGAMPMGYVPPYNGYGYQAPGGYGIMPYRFPPVQNQPGFHNMIPHTNQRPDLGPNMNPRNYPLHPASYVGSYPAVPGVQHPMAYPGGMSSPRPMNSSPGFISPAGGNSNSFTSSGTGKHSGGGQVEGPPGANLFIYHIPQEFGDEELATAFQPFGRVVSAKVFVDKATGVSKCFGFVSYDSPEAAESAISVMNGYQLGGKKLKVQLKRNTNQSKEGSHQPPSLVEPVSQLAAA >OIW12856 pep chromosome:LupAngTanjil_v1.0:LG04:24282107:24284321:-1 gene:TanjilG_24789 transcript:OIW12856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRDSDSKRRHSRHDREAASPKRYKRDEKEERDERKHVPRHSNQQAEHVNKDVAAADKKSNDPPRHSTQSTRSPRSRSYHQHGERANTGHVGRGAGRREAGENVFTQNKEHNDRVETGQRELRDEKSQAKVDDSFQRRDGFRERKDDPPPTTRKRPAFREKKIPVESGDANPAATNAVKTSHADHAPERNERKEERSSNPRHPDRLERKFAEGRAPYKNDDRRDGFSSRVRYGSGGGNSNYRGRDKFNVKQGYHPIKTQTEKWTHDLYQEVNKDPIPKSEDDQIAKLEALLAS >OIW13537 pep chromosome:LupAngTanjil_v1.0:LG04:10369270:10373861:1 gene:TanjilG_29278 transcript:OIW13537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTKTEESQLNNLENQVDNGGGGAWEYLTLLRKLKLRRSDKVLKHGLSILNNPKIRSTLGADEWTLYEQVAVAAMDCQCLDVAKDCTKALQKRFPESKRVGRLEAMLLEAKGSWELAEKAYTSLLEDSPLDQVIHKRRVAMAKAQGNISGAIEWLNKYLEIFMADHDAWRELAEIYVSLQMYKQAAFCYEELILSQPTVPLYHLAYADVLYTLGGLENLQTAKKYYASTIDLTGGKNTRALFGVCLCTSAIAQLVKGKNKEDKEGSQVQSLAAKVLEKEYKQKAPDKLPQLTTALKSLTLAS >OIW13156 pep chromosome:LupAngTanjil_v1.0:LG04:19321628:19323021:1 gene:TanjilG_07762 transcript:OIW13156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLEEVVSGDDSEGEIDEETKDIEHRKLVEDCEGVTKEGKEIMFMWSSFVRRQRCWRMLMIKLWKQNLINGEVMNDCSTILEEYLRQNSHSPN >OIW13119 pep chromosome:LupAngTanjil_v1.0:LG04:20445910:20446225:-1 gene:TanjilG_08152 transcript:OIW13119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKDKSPGLKILWIWTFGTAAILVANVMRNSIRNMETTLGNAEPQQHNAAGTPDSSLLSSSDHIIREDKD >OIW14080 pep chromosome:LupAngTanjil_v1.0:LG04:4961424:4962761:1 gene:TanjilG_11425 transcript:OIW14080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENKQKTILMHRYELGRLLGQGNFAKVYHARNLKTGQSVAIKVFNKEMVTRVGMMEQIKREISIMRRLRHPNVVQLYEVMASKTKIYFVMECVKGGELFDKVSRGKLKEDVARKYFQQLIDAVDYCHSKGVFHRDLKPENVLLDENGDLKISDFGLSALLESRKIDGLLHTTCGTPAYVAPEVIKKKGYDGDKADIWSCGVILYVLLAGYLPFNDRNLMEMYRKIAKGDFKCPPWIAADSKKLLRRILDPNPKTRTSITRIMDNSWFRKGYKQIEASKCPPLSNRDGEDFIDIEAASASPSSESSTNSEEYAMKPYYFNAFDLISISSGFDLSGLFERDMISQREEARFITTKPPSMIVSKLEEIAYKDSIFKVMKRNGTVRLEGKKTGRQSQLIIDAEIFEVTSLFHIVEVKKIAGDSLEYWKLWEQDFKPSLNEIVWAWQVCE >OIW13708 pep chromosome:LupAngTanjil_v1.0:LG04:9193361:9195765:1 gene:TanjilG_08050 transcript:OIW13708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSNRHWPSMFKSKPKPCNPHHHHWQHDITSSLISTACNRSPYPSAAGFEERSPEPKPRWNPKPEQIRILETIFNSGMVNPPRDEIRKIRAQLQEYGQVGDANVFYWFQNRKSRSKHKLRQQLQNSKNNYQNINPPEQQQQQQQQENTVSQLTAPSSSSSTSEKSPPKEIRPTKVFSSASDVVMVPNFPTTSVNHNYLPAPPPISEAFFFPMQHHGRGVLPNNNSTIPSSSQGFCFSDLSSVVQSQQPHGQHNVGPCTSLLLSEIMNHGAASSKNGQDQVQDKYVKLMHPYSHLSFCVTPTTEVNIATVMPPPITTTTTVTVPSPINFTQVQGIEDESVTPKSTVLINDVAFEVSVGPFNVRDAFGNDAMLIHSTGHPVPTNHFGVTLHSLHHGASYYLII >OIW13165 pep chromosome:LupAngTanjil_v1.0:LG04:18709668:18710294:-1 gene:TanjilG_17521 transcript:OIW13165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSKVLGAVFIVLLIVELAFAARVTEKLLGGKGGGGGGGEGGGGGGGGGLGRGSGYGSGYGSGGGEGYGGALGSGGGGGGGRGGGGGGGSGNGSSGYGSGYGSGYGSGYGSGGGKGEGGGGGGGGGKGGGGGGGSGGSGYGSGYGEGGGYGEGGGRGGKGGGGGGGGGGGGGGGGGGGANGGSAYGSGSGYGSGYGYGGGENGDEFP >OIW13846 pep chromosome:LupAngTanjil_v1.0:LG04:7794451:7795392:1 gene:TanjilG_31735 transcript:OIW13846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVEVAQQVPTTVQENETTEVAKTQEPIPEQPSTEVPASEQPEAEVAAQEQPATEEPKVETIEEPKVEATAATTEAPAAPETEDQVENKDVTIETTEEAKPEAENPAPELTEATEEKTEEVKEEAKVEEAKATSETESAPETAVEENKPTEPAVEATVEVPAEKTEA >OIW14212 pep chromosome:LupAngTanjil_v1.0:LG04:2397389:2398768:-1 gene:TanjilG_21352 transcript:OIW14212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSTTNAVTILEKRIVFPEQKSTLSDLKLSISDLNMICCHYIQKGCLFTNPSIPSHTLISLLTNSLSRALSIFPPLAGRFLTDSDGYIYITSNDAGVDFVHATATDRRIADLLSPTDVPHLFKELFPYDKKINYDAHFSPILAVQVTELADGVFIGCAVSHAVVDGTSLWNFFNTFAEVTGGATSASRLPDFRRESILVSNAVLRLPEGEIKVAFNADERKRERIFSFSYVSLQKLKALVNYRSLPENVDVEWIGKESNDTNVKPVTRNETVEISSFQSLCALMWRAVTRARNLPETKTTTFRMAVNIRHRLEPKLSAYYFGNAIQSIATRASARDVLSNDLRWCAEQLNKNVNAHDSTTVRREVEDWEREPKCFALGNKDGATMQMGSSPRFPMYDNDFGWGRPVAVRSGAANKFDGKMSAFPGREGGGSVDLEVVLAPETMAGIENDPEFLLYASG >OIW14040 pep chromosome:LupAngTanjil_v1.0:LG04:5419208:5421592:-1 gene:TanjilG_11385 transcript:OIW14040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRVLAYRILTHLSCSARYFAHSSYSTTDFRVQHEGNCNIRNVNDKSDGFRKVGSGFQGELSFGASVNYNVEDEGDDDDEVGEEEGSSDDESLELIGSFRGNHKQRENIARVEIDENEFRHPLVSAWNPKLEGQLRHLLRSLKPPHVCAILRSQFDERVALNFFYWADRQWRYKHNTIVYYTLLDVLSKTKLCQGARRILRLMTRRGIECPPEVFGYVMVSYSRAGKLRNALRILTLMQKAGVEPSLSICNTAIYVLVKGNKLEKALRFLERMRITGIEPDIVTYNCLIKGYCDLHRSEDGLKLIAEMPSKGCLPDKVSYYTVMVYFCKEKKIEEVMQLMKSMVSDSTLIPDQVTYNTLIHTLSKHGHADDALNFLREAEDKGFHIDKIGYSAIVHSFCKEGRMEEAKSLVNNMYSRGCIPDVVTYTAIINGFCRTRKIDEAKKMLQQMYKHGCKPNTVSYTALLNGLCHNEKSLEAREMINVSEEHWWTPNAITYSVVMHGLRREGKLSEACELVREMVEKGFFPTPVEINLLIQSLCRNKEVVEAKLFLQECLNKGCAVNVVNFTTVIHGFCQTGDMEAALSMLDDMYLSNKHPDAVTYTALADALGKKGRLDMASELIVKMLSKGLDPTPVTYRTVVHRHCQWGRVDDMLKLLKKMLARQPFGTVYNQVIEKLCAFGNLEEADKLLGEVLRTASKVDANTCHVLMESHLTKGAAMSAYKVACRMFSRNLIPDLKLCEKVGKKLMLEGKLVEADNLMIRLVERGIQQNEMHSEVSCYSKLKS >OIW13854 pep chromosome:LupAngTanjil_v1.0:LG04:7841707:7842141:1 gene:TanjilG_31743 transcript:OIW13854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRESHDSTSVQSSIALLQERFRQLERVKEMREERELLKMYTEPKQFSSNMRSAYESIRLFSKPELIMPSRSRPQHVSLSLWPTTSEEDHHKSTVQNTQVSQVSMNLFPTDYTHNQSMQGSWKKNAYDWDCGSDHSDVDTSLHL >OIW13094 pep chromosome:LupAngTanjil_v1.0:LG04:21210252:21210512:1 gene:TanjilG_08127 transcript:OIW13094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNLINSYPSISDHGRFKHGVVADKGVADIGEDVADAEEVAIADIGEDVADAEGVAALAMVQCIPCIPVESIHQVLDALCYDIPYT >OIW14217 pep chromosome:LupAngTanjil_v1.0:LG04:2313760:2315088:1 gene:TanjilG_21357 transcript:OIW14217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTTKDTSSKPPKISLSNNPSLPSLQTFCTSDISAASPPLCSAYEHYLCLPELINLWTSRDFPNWNNEPVLKPALQALEITFRFISTVFSDPRPYANCRELNRRVESLAMSQIEIIAMLCEDEEHYAKTRGTAPTLDLANQNLRRCYSETSLLPRLATWQKTKPAAQRILLSIERAMMRCPYTLGLGEPNLAMKPSLQYDAVCKPNEVHLHALNTKTTPSQESLTVHATHQIVESWIQVLRKLLERITESLDNRKFNLATSDCYTVERIWKILTEIEDIHMVTDPDDFLKIKKELISMKGEAAAFCFRSKGLVEVTNMCRELKQKVPCILEVEVDPMGGPGVMEAAMKVYVEKESGFEKVHVLQAMQVIEVAMKRFYYCYKQVMVVVMGSAVVSGNRVCEGGDSLSQIFLEPTYFPSLDAAKTFLGYFWENDKRGDNRCIRF >OIW14033 pep chromosome:LupAngTanjil_v1.0:LG04:5501407:5504881:1 gene:TanjilG_11378 transcript:OIW14033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVMALGSKPEAFRREGQTWVCTAGLPSDLAIEVGEISFFVHKFPMLSRSGLLKKLIAESSNEDGSSCVLQLHDIPGGAKTFKLITKFCYDVKIEVTALDIVSLRCAAEYLQMTENNGDGNLIAQTDAFLNEVFSNWSDSIKALETCEEVQTFAEDLHIVSRCIDSLAMKVCSDPNIFNWPVAGHYGMNNQVDHISWNGISPEKKPKFQGGGWWYYDVSLLSLPLYKRLILSIESKGMKSESVAASLIYYLKRFLPLMNRQSSFNDRHKSTIPTTSEADQRALLEEIVELIPNKRGVTSSKHLLRLLRTAMILHASPSCRENLEKRVGAQLDQAALVDLLIPNMGYSVETLYDIDCIQRILDHFMSIYLPASVSASPCIIEYGTLMAGADTLTPMTMVANLVDGYLAEVASDTNLNLPKFQALAVAIPDYARPLDDGIYHAVDVYLKAHPWLTDSEREQLCRLMNCQKLSLEASTHAAQNERLPLRVIVQVLFFEQLRLRTSISGWFFVSDNIASSHNASGNLGLPRNGGTHQLDSSEGSVNMRERLSELEKECSGIRSELQKLKKTKKTWSIFPRGFGFRKRSECCNPKESSSSATKSASSTMHGKPNFESS >OIW14211 pep chromosome:LupAngTanjil_v1.0:LG04:2408078:2421269:1 gene:TanjilG_21351 transcript:OIW14211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHHPAPPDLSQPKPKKGDLEEDIWPRIEEARHDPLQMTNRGLAPSPKTMEFKTRHMIYVRRIKGALTKQSYHTLILAVASDKMIEHLQDVLNVGWKFEKDRTTSYADRPSVKVLDSEGSLETCTNRNISRFELKNEASARLKKNISSSLLAYDLLRSLAKERATTLVPEANQLTCTCQSPPYFLLGLLWLLILSSLFLASGLASSCSPSLFKTLGPWPGLDSPWLSTFNLAG >OIW13351 pep chromosome:LupAngTanjil_v1.0:LG04:15248849:15250978:-1 gene:TanjilG_02871 transcript:OIW13351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASSFHNRRCDYCAKTKALLYCRADSAKLCFSCDREVHSTNQLFSKHTRFLLCDTCDDSPATILCSTESSVLCQNCDWEKHNNSSSSVHERRPLEGFNGCPCVNELLAIVGFEDVGKKYLLSSDLESGDGFLEYEIEGISDLFVWDAPSVVSMDDLISTSGSSHNYQAMEVPPLPKNRKVACGKHKEEVLNQLSKLAKSEPLELDNEQYVPLENLFTGFEDNLEADLFPSFEWHGESSEHINQVVPLQPDTSVRAYTEEVQVKHSTSVWETHTFAENGGTPSHSLVTETLPTTPKSVPCELTSQERDSALLRYKQKKKTRRYYY >OIW13704 pep chromosome:LupAngTanjil_v1.0:LG04:9215534:9218699:-1 gene:TanjilG_08046 transcript:OIW13704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLPFLKLGTLALKTLSKPVASRIKKQAGTHPRFREFIVNMAQANHQISTKMQRRIYGHATDVKIHPLNEEKAIQAAVDLIGEIFVFSVLTVVITGLDASYKRGNKERIGSLEFLEKVGVVLLILEVQRSSRSEARKEELRRQELGAVKQVSEDLAKEVEILKEKIQEVEQLARGRGLSGVLNFRQSNTEIGKAGKAA >OIW14341 pep chromosome:LupAngTanjil_v1.0:LG04:205436:206305:-1 gene:TanjilG_25127 transcript:OIW14341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATYSDAIYALTNLLSENGDLEGVAAAKINELTAELEAASLEEFNPDERIRTGFTNFKTEKFE >OIW12725 pep chromosome:LupAngTanjil_v1.0:LG04:25383286:25386723:1 gene:TanjilG_24658 transcript:OIW12725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPVAKFLHLRRFFAVAFLFATTSLSLMLLFRDADSSYSFPSIFPSPSNDSATTVNEFPLEKVLNEAAMGDRTVILTTLNEAWAAPNSVIDLFLESFRIGDHTRSLLNHLVIIALDHKAFARCQVIHTHCFSLVSEEADFHEEAYFMTPRYLNMMWKRIDFLRSVLEMGYNFVFTDADIMWFRDPFPQFYLEADFQIACDHFTGRFDDVQNRPNGGFNYVKSNNRSIEFYKFWYSSRETYPGYHDQDVLNFIKVDPFVTDIGLKMRFLDTANFGGLCEPSRDLNNVCTMHANCCFGLDSKLHDLRVILQDWKHYLSLPPSLKKLSVVSWRVPQKCSLESLKHHVSPEKRVED >OIW13258 pep chromosome:LupAngTanjil_v1.0:LG04:17227227:17229433:1 gene:TanjilG_14191 transcript:OIW13258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCLRTPERLTAEIVPQDGAAMYPAVRLHGSPESVMTAYIRFMLLHETAPCDSVPAAGRSQVPEITTAPARGGGAESLHRFRHARFGSGNGGRGKEGITLETLIRDSPSPAHGGGSGFKTPVPGSEDALLQFIDARFPNLPAAVASTSEERTTSLVAGVTVLQHKSMTWHVERMVRWSEDLMTRGGKKGVDPKMGNWKMEMTKFRKSYSQLWEVMMEHAQMEERVLFPIFDRADRGLTKAAKEEHARDLPLMNGIKEIIKSVEVLDSGSPNYRETLQNLSRRFKSLQEQCKQHFITEDVDLLSLMEAVELTEDQENSALEQCFDVMQGTHSRLLKFLLEGLQSNDAMKYLDLISKCRDKERMDSMLRMIVE >OIW14337 pep chromosome:LupAngTanjil_v1.0:LG04:241101:245355:-1 gene:TanjilG_25123 transcript:OIW14337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKQKNKYLDTVTRRVTSITNHLSPSYHYHYSYIQNEHVGLSNTSSTRNDSYQRVHGDVPSHDVVWNKVAFVDDYPGKEFTDIITINRPERRNAFRPHTVKELIRAFNDARDDSSVGVIILTGMGTKAFCSGGDQALRTEDGYSDHENIGRLNVLDLQVQIRRLPKPVIAMVAGYAVGGGHVLHMVCDLTIAADNAIFGQTGPKVGSFDAGYGSSIMSRLVGPKKAREIWFLTRFYNAVEAEKMGLVNTVVPVENLEKETVQWCREILRNSPTAIRVLKSALNAVDDGHAGLQGGEES >OIW13438 pep chromosome:LupAngTanjil_v1.0:LG04:11710722:11727044:-1 gene:TanjilG_05328 transcript:OIW13438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRLKVFRPTNDKIVKIQLHPTHPWMVTADDSDRVSVWNWQHRQVIYELKAGGVDERRLVGAKLEKLAEGETESRGKPTEAIRGGSVKQVTFYDDDVRFWQLWHNRSSAAEAPTAVHTSSFSSPAPSTKGRHFLVICCLNKAIFLDLVTMRGRDVPKQELDNKSLLCMEFLYRSGVADGPLVAFGASDGVIRVLSMITWKLVRRYTGGHKGSISCLMSFMAASGEALLVSGASDGLLILWSADHGQDSRELVPKLSLKAHDGGVVAVELSRVMGGAPQLITIGADKTLAIWDTVSFKELRRIKPVPKLACHSVASWCHPRAPNLDILTCVKDSHIWAIEHPTYSALTRPLCELTSVIPPQALAPNKKLRVYCMVAHSLQPHLVATGTNIGVIICEFDPRSLPPVAPLPTPSDSREHSAIFVIERELKLLNFQLNNSVNPSLGNNSSLSETGRSKGDFFEPLPVKQGKKHISTPVPHDSYSVLSVSSSGKYLAIVWPDIPYFSVYKVSDWSIVDSGSARLLAWDTCRDRFAILESSAPPRIPLVPKGSSSKRAKEAAAAQAAAAAAAAASSASVQVRILLDDGTSNILMRSVGARSEPVIGLHGGALLGVAYRTSRRVSPIAATAISTIQSMPLSSHGGSGLSSFTTYDDGFSSHRASEAAPQNFQLYSLPNKESVASLRVEYSHVLRVLEGANKPSVYNSLGGAYVNPRPEIPIRILSKSWDGSLLCCGYVIWETFQPVGGLLPQPEWTAWDQTVEYCAFAYHQYIVLSCLRPQYRYLGDVAIPYATSAVWHRRQLFVVTPTTVEIVFVDAGVAQIDIETKKMKEEQKMKEAQARAVAEHGELALIAVDGPQTTTKEERMSLRPPMLQVVRLASFQHAPSVPPFLTLSKQSRVDGDDSWMAAEERKASEVAVGGGGVSVAVTRFPTEQKRPVGPLVVVGVRDGVLWLIDRYMCAHALSLSHPGIRCRCLAAYGDAVSAVKWASRLGREHHDDLAQFMLGMGYANEALHLPGISKRLEFDLAMKGTDLKRALQCLITMSNSRDIGHDNTPGLGLNDILNLQHQEKKQDLVDGADGVEGIVKFAKEFLDLIDAADATAQGEIAREALKRLAAAGSVKGALQGHELRGLALRLANHGELTRLSGLVNNLVTLGLGREAAFAAAVLGDNVLMEKAWQDTGMLAEAVLHAHAQGRPTLRNLVQSWNQMLQREIEPTPSQKTDATAAFLASLEEPKLTSLADAGKKPPIEILPPGMASLNAPPITIQKKPGSAAQNSQQPGKPLAIEAPPTATTVPESATQTQQPEATGAPVPDPSLPEATSDSTSVPEDAPPKPESGETVVDNGHPTPASASDGTPTVNGETVQAEPASNPSPPEVPSPVVEAPEANAPNTTTVPATNAPSPSIVPTTNDPSPTTAQGRPTLRNLVQSWNQMLQREIEPTPSQKTDATAAFLASLEEPKLTSLADAGKKPPIEILPPGMASLNAPPITIQKKPGSAAQNSQQPGKPLAIEAPPTATTVPESATQTQQPEATGAPVPDPSLPEATSDSTSVPEDAPPKPESGETVVDNGHPTPASASDGTPTVNGETVQAEPASNPSPPEVPSPVVEAPEANAPNTTTVPATNAPSPSIVPTTNDPSPTTVPPAIDPFFL >OIW13907 pep chromosome:LupAngTanjil_v1.0:LG04:8193840:8203958:1 gene:TanjilG_31796 transcript:OIW13907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSDGVLNFDFEGTLDAAPLPSITTTVPSSSAPLIHHDASSVASSIPNGNAVAITPHSASDHPSANVQGRRSFRQTVCRHWLRSLCMKGDACGFLHQYDKARMPVCRFFRLYGECREQDCVYKHTNEDIKECNMYKLGFCPNGPDCRYRHAKSPGPPPPVEEVLQKIQHLYNYNGPNKFFQQRGASYNQQVERSQFPQGVNSTNQGVAAKPLVAEPGNAQQQLQVQQSQQQVNQSQMQSPANGQTNQANRTATPLPQGISRYFIVKSCNRENLELSVQQGVWATQRSNESKLNEAFDSVENVILIFSVNRTRHFQGCAKMTSRIGGSVSGGNWKYAHGTAHYGRNFSVKWLKLCELSFHKTRHLRNPYNENLPVKISRDCQELEPSIGEQLASLLYLEPDSELMAMSIAAESKREEEKAKGVNPDNGGENPDIVPFEDNEEEEEEESDEEEESFGQGVGPPSQGRGRGRGMMWPPHMPLGRGARPMPGMPGFNPGMMGDGLPYGPDGFGMPDLFGMGPRAFAPFGPRFSGDFGGPPAAMMFRGRPTQPGMFPGGGFGMMMNPGRPPFMGGMGVGGGNPSRGGRPVNMPTMFPPPPPPPQNANRVPKRDQRTNDRNDRHGSGPEQGRSQDMQSQTGGPDDDMQYQQGYKANQDDQNEDSESEDEAPRRSRHGEGKKKRDA >OIW13430 pep chromosome:LupAngTanjil_v1.0:LG04:11365421:11365740:-1 gene:TanjilG_05320 transcript:OIW13430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARMVAWKTYFGTSSNFNDTDEARRCRCQGHERPMKTTTKELDVILEGWLEEHPKHRVKGEVKADGVQDFINLMLSLQEEG >OIW13058 pep chromosome:LupAngTanjil_v1.0:LG04:21912042:21918625:-1 gene:TanjilG_17618 transcript:OIW13058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIAATATLSFIHFRQLSQTSLSSSSSSRIRYRFTSSRWLKKLNHPCHSFACFSSRCSITNTDIHVDHVNTDEAKGASSVVEPECPVPIVKLNSDILKTESLNLLTEATFVDTLLTALPVLSAEEQHALAATPAHPDGLHAFYVSCIAANIVEQLWNFAWPSAIALIHPSLLPVAVMGFFTKVAIIIGGPLIGKVMDHAPRLSGYNCLTIIQATAQLLSAAMIIHAYSVPHTSVSALLLCPWFAILVSAGSVERLCGVALGVANERDWVVLLAGVNRPVALAQANAFLNRIDLLSEIVGTSLFGVLLSKFHPVTCLKVAAGLILGLLPVTIVFTLLANKLSTGVLDRHKSPQTCFTTFSEDSAPDTDSIVVKGLEAIKLGWKEYLGQPVLPASLACVLLYFNIVLAPGSLMTAFLTQRGLSPSIVGGFSTMCAFMGVAATFVSSTLVKKFGILKAGAVGLVFQALLLSMAVAVYWSGSIFHHNPLLIFLSLIILSRLGHMSYYVVGAQIIQTGIPSSKANIIGTTEVAVASFAECIMLGVAIIANDPSHFGVLAILSLLSVVGAAWMFCRWLLNPTDEQKVLFSYDPQF >OIW12670 pep chromosome:LupAngTanjil_v1.0:LG04:25791101:25792003:-1 gene:TanjilG_24603 transcript:OIW12670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSSSSSSSVLQGFQSSLEPLLMEPRVLRLKLSPTEGSNPDSDVKEKPFNNTITNNEHSMNSDKHSWSFIQPLSNICNKTEDPEGENVYVHPTVKFSSSMLSAKSLEMCTENLGCETGSNDSDSSDEMSLFSSEHSSGFIGDSDTHIPVVEVNRNFNYMSKKLNKSNNFPPPLTSLTDFGGVQVRPYREDGRLILEAVTSSSPQPYFQAERSNGRLRLRLFDSVNDEDDDDDDDEEDEVDYDGEEEACDEEESGSEEEYIENGEDITKFGRPRRCKESGNRDIFGDGYFELHSLSLCL >OIW13924 pep chromosome:LupAngTanjil_v1.0:LG04:8340472:8343098:-1 gene:TanjilG_31813 transcript:OIW13924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAEVVAEVKAQEGTQKVEVAVAAKVEEPQKVVAQEENVVVVVEGDVKEPKDEEESKPETVEKSSPFKEESNFLSDLKEFERKALNEFRTKLEEAILGNTLFEIQEPKKKETKKEEKKESANAAESDEKKPEKEEEKKEEGADAAKSDEKKPKKEEEKKEEGANAVESDEKKPEKEEEKKEEVANAATSDEKKLKKEEEKKKEGANAAESDDKKPKKEEEKKEEGANAVESDEKKPKKEEEGANVAESDDKKPEKEEKKKEEGAIAADSDEKKPEKEEEKKEEGANAVESDEKKPEKEEEEKKVEVDKDVSLWGVPLLPSKGAEGTDVVLLKYLMAKEFKVNDAFEMLKKTLQWRKESNIDSIVDEEFDSDLASTAYMLGSDREGHPVCYNIFGVFESEELYQKTFGTEESRFEYLRWRCQLLEKSIQKLNFKPGSVSSLLQINDLKNSPGPYKILNAANQAVAILQDNYPEMVAKNIIINAPYWYYALNALSSPFLTQRAKSKFVVSHPAKVMETLIKYIPIEEIPVQYGGFKRENDFEFSAQDGGAVSELTLKAGSTATIEIPASEVGYTLCWDFSVLGWEVSYKEEFVPTDESSYTIIVQKEKKIVSQEEPIRNTFRNNEAGKVIITLENTSNKKKKVLYRYKTNIKSSI >OIW14305 pep chromosome:LupAngTanjil_v1.0:LG04:716703:720147:1 gene:TanjilG_21445 transcript:OIW14305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSCSSPSSELNPVIKSDVSFSVGTYLIPHPKKVDKGGEDAFFVSNYNGGVVAVADGVSGWAEEDVDPSLFPRELMTNASNFVGDEEVNYDPQILIRKAHAATSSTGSATVIVAMLEKNGILKIANVGDCGLRVIRNGNVILSTSPQEHYFDCPFQLSSERVGQTYLDAAVSNVELIEGDTIVVGSDGLFDNVFDHEIVSTIVGHRNVAEAAKALANLASNHALDSNFDSPYSLEARSRGFEPPLWKKILGMKLTGGKLDDITVIVGEVSGSCLKYKPLRNDAV >OIW14229 pep chromosome:LupAngTanjil_v1.0:LG04:1973362:1976995:1 gene:TanjilG_21369 transcript:OIW14229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFHIVLERNMQLHPRYFGRNLRDNLVSKLMKDVEGTCSGRHGFVVAVTGIENIGKGLIRDGTGFVTFPVKYQCVVFRPFKGEILEAVVTMVNKMGFFAEAGPVQIFVSNHLIPDDMEFQSGDIPNYTTSDGSVKIQKDSEVRLKIIGTRVDATEIFCIGTIKDDFLGVINDPTTV >OIW13570 pep chromosome:LupAngTanjil_v1.0:LG04:10655920:10657686:1 gene:TanjilG_29311 transcript:OIW13570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDDGYEEYVPVAKRRAMEAQKILQRKGKAAVVTDADLEKQIVVETKPSLLEKASQLKRDQPEITVTEQIVQQEKEMIENLSDRKTLMSVRELAKGITYTEPLPTGWKPPLQIRRMSKKECVLIRKQWHIIVDGENIPPPIKNFKEMRFPEPVLSKLKAKGIVQPTPIQVQGLPVILSGRDMIGIAFTGSGKTLVFVLPMIMMAMQEEIMMPIVPGEGPFGLIICPSRELARQTYEVIEDFLIPLKEAGYPELRPLLCIGGVDMRSQLEIVKKGVHLVVATPGRLKDMLAKKKMNLDNCRYLTLDEADRLVDLGFEDDIREVFDHFKAQRQTLLFSATMPTKIQNFARSALVKPIIVNVGRAGAANLDVIQEVEYVKQEAKIVYLLECLQKTPPPVLIFCENKADVDDIHEYLLLKGVEAVAIHGGKDQEEREYAISSFKAGKKDVLVATDVASKGLDFPDIQHVINYDMPAEIENYVHRIGRTGRCGKTGIATTFINKNQSETTLLDLKHLLQEAKQRIPPVLAELNDPMEDNEAITDISGVKGCAFCGGLGHRIRDCPKLEHQKSVVIANNRKDYFGSGGYRGEI >OIW13431 pep chromosome:LupAngTanjil_v1.0:LG04:11471126:11473458:-1 gene:TanjilG_05321 transcript:OIW13431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGKGRVCVTGGGGFIASMIIRRLLLEGYSVNTTVRPGKSKKDLSFLTNLPGASRTLQVFNADLNNPESFIPAIEGCIGVFHTATPYDMQKDEDEKILTKRAIGGALGILNASISSKTVKRVVYTASAAAIINSGKEVEELDESHWSDIDFMYKTKPFAWTIAISQTLTEKAVLEFAAQHENELDVVTLILPYVIGPFICSKLPDSVQFAFPWLFGDYQLGIFLRFPLVHVDDVARAHIFLLEHPNPKGRFNCSLSGTVTFEEIADILRSKYPEFQMPTLESLKEIAGWTIPSINSKKLKDAGFKYNYGTKEIIEETIQCCKENGYL >OIW12518 pep chromosome:LupAngTanjil_v1.0:LG04:27040860:27045825:-1 gene:TanjilG_04682 transcript:OIW12518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFDNILHRPRFPKFLDIVSRKLDDECVELLDGLLRNGRLTLQQMADRASEGKGQSVVCFGSGNHQGYTVTGMVQENPVAIDVVRETLRKLLAARYVERCPAPEPVLFPVVEETTTRKRGARAAKIFQPPLTIEERVLEASVPGESIRFSLTADTGFNADGETNSDDCPMTSAEDVVKEELTPWRANFEEFMRHLRHKALIENVRTRLDEGAATILSAILDATRTAEKKVKVQNSVPLSLEAIFTEVVKSENGRTMTIDRVKASLVQLGCPSRMPDDSYTIDLKNIIELARNDEVESIVLKRYGPYAYRMFRLLSKANCFLETDKIAESTLVEKKEAPKLLYGLWKDNYLHMEKLQVMASKQSRFVMWKVNKPLLWDYVLNEMYHAALNLNLRLGLEQEKDGELLSVPLDKINESKSLQKKFERRRRVRLLLGSSLMKLDDALMLFHDF >OIW13657 pep chromosome:LupAngTanjil_v1.0:LG04:9523378:9524391:1 gene:TanjilG_07999 transcript:OIW13657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYYNSAYSGSEYGEYNFNSYAVNYEYYHSPSFMTYNGYDYNQPNYGYDPNMYYPTTSTNYPLQSYQTIAYSATTFSDPKSIEYDPNYGMSQLVISYNTLGFNEPAFDEYDPTPYDGGYDIAKTYGKPLPPSDKICYPRSGSTSIISTPFDSAPVESIVPLPKVEERDDEKAMIPQKVAEEEKPKEVEDKSYDDTHDMKPYVGEDSEEKQHEEDNGYSGGECDEKQVALPQYVPSGYGLEAMDICESMFGYWPCLSRMKREQELCDKGNNNMYDNMWKGSADYIFGNVDPYGGVIRREDGNSSSYGGSELVVYGYEYESHYLTQEQYRQIDYNDQSW >OIW14108 pep chromosome:LupAngTanjil_v1.0:LG04:4261601:4274321:1 gene:TanjilG_19487 transcript:OIW14108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFEEEIEQTERRRSTRIIALEERKQVERDRKLALARKNNSTNQENRNKGKEKVHANLDYSNDVEEHGPGKKGRKSNELEHVTSSTKEEQHPGSGGSSRKNESSQNSIPEKHVLESILDALQRKDREELFAMPVISPNVVEEGHDGITKQPMDFGTMRAKLHEGMYTNLEQFKHDIYSICFSAMNGNPGTSRYHKVAEAIYSHARRLLEGLSADPQEFGLTLSLNKRCPNRNPQDGQPRTSRHARLPPKPAGRKKATHSMVHETQRRDMYWPPSNDTLVSDFVNANKFNIQLIADASNYRASLLRFVEDLGPVAKRVAAQKLQSLNLIDNVPGTEILHPTASPHSPTKLDTPNNTEGLPLALSLANNTPKILHASADHENRNDNNTIAVGVNINDGADNSWSARAAAILGNIFINNDKGKSLYGYENSNAVGTMGNWGPSKGKMVSTHENMFSFQEGTIHNRNGNNTGHSNTSAGSSRTVFTRVWPTQVIPPKPLESITGNNSRPVLSFMQQPRAEDYYSMPNTSNLLELSLMPQQLMPTYSMPLINESVLSLASHHRPEDYISIKPTINLTGQSHVPEHSMYSGINSHSGLSLMPHLELGGSSNPRNSVSGKSVFSSQDAMLSNWDQPDTLFGLGTPYEHGDFDALMSGSSSTQYFNNTPYPIEASYEQVLQHAPSSYTPPPTFFDSPMHLIREPNQQSLFHQPEPEISLDHGMPLGSALNYNEEGAALVDQPQELIADASNYRASLLRFVEDLGPVAKRVAAQKLQSLNLIDNVPGTEILHPTASPHSPTKLDTPNNTEGLPLALSLANNTPKILHASADHENRNDNNTIAVGVNINDGADNSWSARAAAILGNIFINNDKGKSLYGYENSNAVGTMGNWGPSKGKMVSTHENMFSFQEGTIHNRNGNNTGHSNTSAGSSRTVFTRVWPTQVIPPKPLESITGNNSRPVLSFMQQPRAEDYYSMPNTSNLLELSLMPQQLMPTYSMPLINESVLSLASHHRPEDYISIKPTINLTGQSHVPEHSMYSGINSHSGLSLMPHLELGGSSNPRNSVSGKSVFSSQDAMLSNWDQPDTLFGLGTPYEHGDFDALMSGSSSTQYFNNTPYPIEASYEQVLQHAPSSYTPPPTFFDSPMHLIREPNQQSLFHQPEPEISLDHGMPLGSALNYNEEGAALVDQPQEVTRKLLPD >OIW13604 pep chromosome:LupAngTanjil_v1.0:LG04:9775177:9776958:1 gene:TanjilG_07946 transcript:OIW13604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSKSSSSIRNLMYSGKHALLPPKIPFPSVSQAYADYMPNPAIGSKVVQKPREGKTYHHRTSSESIVMEEQPSWLDDLLNEPDTPVRKAGHRRSSSDSFAYLDSAVVSNIGYADQNEYGFRNMVSIPSWSSQDFDHCKDVHHMPMHTEMKSTKPKSRAWDSCLNVPSGKDNVAIQSSGSSCPPHEADGVPSTANEKHDLVESVAQDAKSLSERKDGTNTKSAASESDTKRAKQQFAQRSRVRKLQYIAELERNVCGLQAEGSEVSAELEFLNQQNLILSMENKALKHRLESLAQEQLIKYLEQEVLEREIGRLRALYQQQQQPPQQPSAGHGHRRSNSLESQLANLSLKHKETPFP >OIW13444 pep chromosome:LupAngTanjil_v1.0:LG04:11788348:11792701:-1 gene:TanjilG_05334 transcript:OIW13444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNEVKTKNPSSKQKSGGGGGKKKEVKKETGLGLTNKKAENFGEWYSEVVVNSEMIEYYDISGCYILRPWAMSIWEIMQAFFDPEIKKLKIKNCYFPLFVSPGVLEKEKEHVEGFAPEVAWVTKSGQTDLEVPIAIRPTSETVMYPYFSKWIRGHRDLPLKLNQWCNVVRWEFSNPTPFIRSREFLWQEGHTAFAKKEEADQEVLDILELYRRIYEEYLAIPVIKGKKSELEKFAGGLYTTSVEAFIPNTGRGVQGATSHCLGQNFSKMFNIEFEDENREKARVWQNSWAYSTRTIGVMVMVHGDDKGLVLPPKVASVQVIVIPVPYKDADTQGILDACSATVNTLVEAGIRAESDLRDNYSPGWKYSHWEMKGVPLRIEIGPKDLANQQVRAVRRDNGAKIDIKSADSVVEIKKLLDHIQQNLFEVAKQKRDECIQVIHTWDEFVQALSQRKMILAPWCDEEEVEVDVKARTKGETGAAKTLCSPFEQPELPEGTKCFASGKPAKKWSYWGRSY >OIW13405 pep chromosome:LupAngTanjil_v1.0:LG04:12392698:12404363:-1 gene:TanjilG_19757 transcript:OIW13405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSESKKNSVPEIAEKVVRNLKEIVNGTEQEIYAVLKDCDMDPNRAVEKLLSQDTFHEVRSKRERRKEMKEALDSRTRSNNVGLCCGRKIGAGNDRNVVQSGLTHVTYNELGKAASNGDVGSVFPSVTSYTTDVLGKITRSESFVADNGRRSLGTGDSISASTQVSPRLQPSLLGVRNGHLSMADIVRMGRASEDVVSHNHYNASAVSASGNPESSLSLQCQNNSEKQFFHEKWPVIEQPITDNLQELNLSSSSNVNGSPEHPSRHDTAVSLHRNFELDATQQIISEKIESGSTSSKHTSMSSNAGLGSLSNSNIKSTRSSDFCNSYKHHEATSDIQQLSISETKQEVSSSEDNPIVVLPNHLQALAAECSHLSFGTYNSGCNLTSMILASNISRSGIEEKSAAASGSSAEFLDASSVYHGDKQLGFDQTQRGTTDGKNQDFLSSPHQELVKNIVPVETLGYECNTMASVLDRSLQKSHRATPSLPLKQPASQWENYSTFPREKHADSDLISSDVLAFLISQSQPARFSNAVSSISNPATTLSEVMEPGTCALPNRSASLQDISLQSAIQFQQLLDRKGHCSLPQNQSYMATINSQQAFSGSTAYNQSQADMKYNLPQNRKEILMNRLAVATARDAFSYGIRGSSFCSSGSFPSNSSLGYSMASSNFNEILPPQYSGGRNLSSTQHQGYFSQWDYGAESRSSSLPARTQYDFLGQSSAALSQYVNPRYSDLYLSQAPVVDEVQQPDGFRDLASKQLHQFWHQSH >OIW13866 pep chromosome:LupAngTanjil_v1.0:LG04:7895298:7897346:1 gene:TanjilG_31755 transcript:OIW13866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHAYNLCTSPSLIFHDHRSFFISISSTSRNLKLPNYKVLSQHASLTEHAHFSEDANLQDPDAKSSSLSKTNIWVNPKRIRAKEVHENSRLSLLKVAKSLDSCNPSTQHVSEILNLLGDKILVRDAVFILDNMVNPETALLALEYFQHKIEPARRVVLYNVTLKLFRESKDFESAEKLFDEMLQRGVKPNVITFSTLISCAAMCSLSLKAVALFEKMPRLGIEPDENLSSAMIYAYARTGNSDVALKLYDRAKRENWHIETVAFSALIKMFGMSANYDGCLSVYKDMKLHGVKPNMVTYNTLLYAMGRAKWSWESKAIYEDMINNGFSPNWATYAALLQAYSRARFGEDALGIYNEMKDKGMDLNAFLYNMLLDMCADVGYIDEAVEIFEDMKNCRTCQPDIISFSSMINMYSRAVKVSEAEAMLNEMIAHGFEPNIFVMTSLIHCYGKAKRTDDVVKIFNQLMVSGFIPDDRFCGCLLYVMTQTPKEGLGKITNCVQKANPRLGSVVRFLVEKRKGAGYLRKEASQLLNSVDADVKKSLCNSLIDLCVNLNMSDRACDLLHLGLTLRIYRTIQSRSERQWSLYIKRLSHGAARIALHVWINDLSKAVESGEELPPLVGINTGIGNHKSDKGLATVFELHLKELNAPFHEASDNAGWLVTTDVEAKSWLQSWGSTQKLKLML >OIW13715 pep chromosome:LupAngTanjil_v1.0:LG04:9118408:9123656:1 gene:TanjilG_08057 transcript:OIW13715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGIRGRKTIVSGCNLFIGKWVIDPSYPLYDSSSCPFIDAEFNCQKYGRPDKQYLKYAWKPDSCALPRFDGLDFLKRWKGKKIMFVGDSLSLNMWESLSCMIHASVPDTKTSFSRNQPLSSVTFQDYGVTIQLYRTPYLVDIVRENVGRVLDLDSIVAANAWKGMDMLIFNSWHWWTHTGISQGWDYIRDGPNLVKNMDRLEAYNKGLTTWSRWVDLNVDPTKTKLFFQGISPTHYQGKEWNQPRKSCSGELGPLSGSTYPTGLPPSTSIVNNVLKKMKNPVYLLDITLLSQLRKDAHPSSYSGDHGGNDCSHWCLPGLPDTWNQLLYAALTN >OIW13595 pep chromosome:LupAngTanjil_v1.0:LG04:9814455:9816983:-1 gene:TanjilG_07937 transcript:OIW13595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEEAGSMLVVYDDTSSTEESTHQTSLSLETTNDAIPYIGQRFPTHDAAYEFYTEFAKSCGFSIRRHRTEGKDGVGKGLTRRYFVCHRAGNTPVKTSTESKPQRNRKSSRCGCQAYMRISKTTESGAPEWRVTGFANHHNHELLEPNQVRFLPAYRTISDADKNRILIFAKTGISVHQMIRLMELEKCVEPGYLPFTEKDVRNLLQSFRKIDPEEESLDLLRMCRNIKDKDPNFKFEYTLDINNRLENIAWSYASSIQLYDIFGDAVVFDTTHRLTAFDMPLGIWVGMNNYGMPCFFGCVLLRDETMRSFSWALKVFLGFMNGKAPQTILTDQNICLKEALSMEMPTTKHAFCIWMVVAKFPSWFNAVLGERYNEWKAEFYRIYNLESVEDFELGWREMVCSFGLHSNRHMVTLYSSRSFWALPFLRSHFLAGMTTTGQSKSINAFIQRFLSAQTRLAHFVEQVAVAVDFKDQTGEQQTMQQNLQNVCLKTGAPMESHAATILTPFAFSKLQEQLVLAAHYASFPIEDGFLVRHHTKAEGGRKVYWAPQEGIISCSCHQFDFSGTLCRHSLRVLSTGNCFQIPDRYLPIRWRRISMPSSKLLQSAPNDHAERVQLLQNMVSSLITESAKSKERLDIATEQVSNLLSRIREQPISLQGVKDVSSINRNV >OIW13049 pep chromosome:LupAngTanjil_v1.0:LG04:21800284:21802904:1 gene:TanjilG_17609 transcript:OIW13049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSVALRISKHLRISCFHAASVMRSGTSCYSTLFESNGADDKPNSGALDEEFDDFLGGKPELQLQGVDPKKGWGFRGVHKAIICGKVGQAPVQKILRNGKNVTIFTVGTGGMYDQRLVGAKDMPKPAQWHRIAVHNDVLGAYAVQQLFKNSSVYVEGDIETRVYNDSINGDVKSIPEICIRRDGKLRLIKNGESVDQTSLDELRKYS >OIW13313 pep chromosome:LupAngTanjil_v1.0:LG04:14333087:14334270:-1 gene:TanjilG_02833 transcript:OIW13313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLVLKPINGEQCGKQASGTKCPNNLCCSQYGYCGDSDDYCSPSKNCQSNCKGGGGGGGGGGESATNVRATYHYYQPEQHGWDLNAVSAYCSTWDAGKPYSWRSKYGWTAFCGPVGPHGRDSCGKCLRVTNTGTGAEETVRIVDQCSNGGLDLDVGVFNRIDTDGRGYQQGHLIVNYQFVDCGNELLTNPLLSITHAK >OIW13382 pep chromosome:LupAngTanjil_v1.0:LG04:13672771:13674515:-1 gene:TanjilG_16491 transcript:OIW13382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLSIANNYAASIVSPQTICNYTLYPSYCQTVLANQNGTTFDYCNISIRKSLSQSRNFLNLVYSYYKGFSSFSQITVRALEDCQFLSELNFEYLSNTYDVIEKSSNVLTTSQVEDFHTFLSATLTNQQTCLDSLETSTSDPRVKNDLYSSLYNDMKLNSVSLALFKEAWVPENKNSTSSENHTSQSNFQNQVRAIYDSSKGNGRKLLQTMDDNESIVVKDIVVVSKDGSGNFTTINDAIDAAPNNTVASDGYFIIFITEGVYQEYVSIAKNKKFLMLIGDGINQTIITGDHNVVDGFTTFNSATFAVVAQGFVAVNITFRNTAGPSKHQAVAVRNGADMSTFYSCSFEGYQDTLYTHSLRQFYRECDIYGTVDFIFGNAAVVFQSCNFYPRLPMSQQFNAITAQGRSDPNQNTGISIQNGIIKADNDLAPMVSSVKTYLGRPWKEYSRTVYMECFMDNLIAPEGWHEWNGDFALNTSYYAEYNNMGPGSNTQNRVTWLGYHVINANDALNFTVSTFLDGDFWLPQTSVPFQVSI >OIW12944 pep chromosome:LupAngTanjil_v1.0:LG04:23256484:23258328:-1 gene:TanjilG_15864 transcript:OIW12944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVIGGASSIGVHGWGDIKSLSTQVITGRWFVVFASFFIMAAAGATYMFGLYSPEIKQTLGYDQSTLNLLSFFKDFGSNVGVLSGLINELTPPWVVLAIGAVMNFVGYFMIWLSVTERVAKPQVWLMCLYICIGANSQSFANTGSLVTCVKNFPESRGVVLGILKGYVGLSGAIITQLYSAIYYDDTKALILFIGWLPAAISFVFLRTIRYMKPVRQSNEVKVFYNFLYISLGLAGFLLVMIVIQKTVHFTQSEFGVTAAIVVFLLFLPVAVVIIEEYKTWQSKKFALVDPSPVKIVTEEVATEANGITVLEPKVNETVLTKDTRWWENVFSPPNRGDDYTILQALFSIDMLILFISCICGVGGTLTAIDNLGQIGTSLRYPKRSTSTFVSLVSIWNYLGRVFSGFASEYFLKKYRFPRPLMLTLTLLLSCVGHLLIAFDVKDGLYVASVIIGFCFGAQWPLLFAIISELFGLKYYATLYNFGSVASPLGLYVLNVKITGHLYDKEAKEQLAALGIQRKEGQELNCVGVNCFRLSFIIITAATLFGAIVSLILVARTRKFYKGDIYKRFREEAKVAENEMKGVEKRGKTVEDGKVVGWGSHVPIHVPLGVPSQS >OIW13958 pep chromosome:LupAngTanjil_v1.0:LG04:5968960:5970988:-1 gene:TanjilG_09309 transcript:OIW13958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRAIRRKFRNLYSRIWWLLWKRPRSKVVIKRFRKLNHKGHSRKPESCNNSGHLVESESERPIRIATFNVAMFSLAPAVSEVDDLVVSNTDKKNSSKVDFPKSILKQSPLHASSRSNLKVSINLPDNEISLANSRLLSTSETIVGNVSGRSNVPARSPICFPFLMNYLYDESNEKFTCSRSILEVLREIDADVLALQDVKAEEEKCMKPLSDLAGALGMKYVFAESWAPEYGNAILSKWPIKKWKVQKIADDDDFRNVLKATIDVPWAGEINLHSTQLDHLDENWRMKQVNAIIHSNDSPHILAGGLNSLYGADYSSERWTDIVNYYEKLGKPKPRTEVMNLMKSKDYVDAKDYGGECEPIVIIAKGQNVQGTCKYGTRVDYILGSPNSPYKFVPGSYSVISSKGTSDHHIVKVEIVKVNASAKKNVIRQCRKVKRRVVRITPPCSVTGVWESTSLPKLLVP >OIW13362 pep chromosome:LupAngTanjil_v1.0:LG04:15430757:15433116:-1 gene:TanjilG_02882 transcript:OIW13362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGHDSEDPKQSTADMTTFVQNLLQQMQSRFQTMSDSIVTKIDEMGNRINELEQSINDLRAEIGVEGPPSPAPPVKPTEEEASNKEEGSA >OIW13398 pep chromosome:LupAngTanjil_v1.0:LG04:12768203:12772510:-1 gene:TanjilG_20293 transcript:OIW13398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCLGKCEDSDSEPEDIGQRYDGSGVHNHKTHETFANNSRPQPNNQQPYQLPEKPYVHVPSPKPIQKPDTILGKPFEDVKQFYTLGKELGSGQFGVTHLCTENSTGLLYACKSISKRKLVSKSDKEDIKREIQIMQHLSGQPNIVQFKGAYEDKSFVRVVMELCAGGELFDRIIAKGHYSERAAASICRQIVNVVHICHFMGVMHRDLKPENFLLSSKDENALIKATDFGLSVFIEEGKLYRDIVGSAYYVAPEVLRRRCGKEIDIWSAGVILYILLSGVPPFWAETEKGIFDAILEGDIDFESQPWPKISGSAKDLVRKMLIQDPKKRITSAQVLEHPWIKDGNASDKPIDSAVLSRMKQFRAMNKLKKLALKVIAENMSAEDIQGLKAMFTNMDTDKSGSITYEELKAGLQRLGSKLTEAEVKQLMEAADVDGNGTIDYIEFITATMHRHKLEKDDNLYKAFQYFDKDNSGFITGDELQTAMKEYGMGDDATINEIISEVDTIISEVDTDNDGRINFEEFCAMMRSGNQQHAKLF >OIW12477 pep chromosome:LupAngTanjil_v1.0:LG04:27324145:27324900:1 gene:TanjilG_04641 transcript:OIW12477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLDVEPIQGNLARSTEAPSSPRISFSAEFLDENSFISISPESHNEKDKDKESERARNAADFEFLSNNNNASNSEKVLTADELFFEGKLLPFWQMQHLEKLNKISLKAKDGEQEEEEAEKRSNNKEDEESRSVNWFVDDDPSPRPPKCTVLWKELLRLKKQRASSSLSPSSSSSSSSSASSLGDVVAVKEGTSSRKKEQEQQVKRTKKVLERTRSATIRIRPMINVPICTQVKSSTLPPLFPLKKGRLER >OIW12479 pep chromosome:LupAngTanjil_v1.0:LG04:27291681:27293183:1 gene:TanjilG_04643 transcript:OIW12479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGAFTSTGGETHYEAKITFTVIISCIMAATGGLMFGYDIGISGGVTSMPSFLQKFFPEVYKSTQEHGIESNYCKYDNQYLQMFTSSLYLAALVATMFASSVTRKLGRKQTMLTAGLFFIIGTVLNAVGNTLIVLIVGRIILGCGVGFANQAVPVFLSEIAPTRIRGALNIMFQLNITIGILIANLVNYFTAKIEGGYGWRISIALSGVPAAMLTIGALAVYDTPNSLIERGQEAKGLAVLKKIRGVDNVDAEFEDILKACKVAKQVKNPFRNLLKRHNRPPLIIAICMQVFQQFTGINAIMFYAPVLFNTLGFKSDAALYSAVITGAVNVLSTLVSVYFVDKLGRRMLLLEACVQMFVSQMIIAVVLGLKVQDYSQDLSKGFAMLVVIMVCTFVASFAWSWGPLGWLIPSETFPLEARSAGQSVTVFVNMLFTFIIAQAFLSMMCHMKYGIFLFFSAWVLVMGLFTIFLIPETKNIPIEDMNEKVWGQHWFWKSYMDD >OIW13355 pep chromosome:LupAngTanjil_v1.0:LG04:15344060:15344977:-1 gene:TanjilG_02875 transcript:OIW13355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFRIPLPFTGLIRQFEQEMETVVKVLQPGPLGIIEHKFSADEILKANATVSKAVANWKTNAILENKNHILKDYIQK >OIW12940 pep chromosome:LupAngTanjil_v1.0:LG04:23314391:23320671:-1 gene:TanjilG_15860 transcript:OIW12940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEPLYPIAVLIEELKNDDIQLRLNSIRRLSTIARALGEERTRRELIPFLIENNDDEDEVLLAMAEELGVFVPYVGGVEHASVLLPPLENLCTVEETCVRDKAVESLCRIGSQMRESDLVEYFIPLVKRLAAGEWFTARVSACGLFHIVYASAPETSKTELRSIYSQLCQDDMPMVRRSAASNLGKFAATVEYAHLKADIMSIFDDLTQDDQDSVRLLAVEGCAALGKLLEPQDCAAHILPVIVNFSQDKSWRVRYMVANQLYELCEAVGPEPTRTELVPAYVRLLRDNEAEVRIAAAGKVTKFCRILNPDLAIQHILPCVKELSSDSSQHVRSALASVIMGMAPVLGKEATIEQLLPIFLSLLKDEFPDVRLNIISKLDQVNQVIGIDLLSQSLLPAIVELAEDRHWRVRLAIIEYIPLLASQLGVGFFDDKLGALCMQWLQDKVHSIREAAANNLKRLAEEFGPEWAMQHIIPQVLEMINNPHYLYRMTILRATSMLAPVMGSEITCSTLLPVVVAASKDRVPNIKFNVAKVLESIFPIVDQSVVEKTIRPCLVELSEDPDVDVRFFSNQALQAIDHVMMSS >OIW13895 pep chromosome:LupAngTanjil_v1.0:LG04:8106676:8107161:1 gene:TanjilG_31784 transcript:OIW13895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVNDDCKLRFMELKTKRTHRFIVYKIEEKQKQVIVEKLGEPTEGYEDFTASLPADECRYAVYDFEYLTEGNVPKSRIFFIAWSPDTSRVRSKMIYASSKDRFKRELDGIQIELQATDPTEMGLDVFKSRAN >OIW12616 pep chromosome:LupAngTanjil_v1.0:LG04:26338220:26344195:-1 gene:TanjilG_04780 transcript:OIW12616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSPYSLSSKPFLTAFSSPLHSHRSLFSVVYLQSRVSNKLQICAAGSTYGNHFRVTTYGESHGGGVGCVIDGCPPRIPLSEADMQLDLDRRRPGQSRITTPRKETDTCKIFSGVSEGLTTGTPIHVFVPNTDQRGNDYSEMSIAYRPSHADATYDMKYGVRSVQGGGRSSARETIGRVASGAVAKKILKNFSGTEILAYVSQVHKVILPEDLVDNDALTLDQIESNIVRCPDPEYAEKMISAIDAVRVKGDSVGGVVTCIVRNCPRGLGSPVFDKLEAELAKACMSLPATKGFQFGSGFAGTFLTGSEHNDEFYIDEHGKVRTRTNRSGGIQGGISNGEIINMRIAFKPTSTISKKQSTVTREKEETDLIARGRHDPCVVPRAVPMVESMVALVLVDQLMAQFAQCNLFPVNSDFQEPLLPRLEPKQVLF >OIW13729 pep chromosome:LupAngTanjil_v1.0:LG04:8741099:8742123:1 gene:TanjilG_17908 transcript:OIW13729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVFSHEFATHSLVSPSRLYKAMASDFHNLLPKIVDAIHSVETIEGNGGAGTIFKVTLVEGLSETWEKITFKTIVLEGPNGGSIRNTRVKYLTKGDGEINEEVFKNDKIRADGLVKFLEGYLLENPDYK >OIW12860 pep chromosome:LupAngTanjil_v1.0:LG04:24262230:24263630:1 gene:TanjilG_24793 transcript:OIW12860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTQMISEEWCSLSGLNIAEEADFMTQLLGGNSTLGPDHESPDTNNTNSYFPSNVANTNFFYFSQGGSSSSADSGNIFSTTSSGTCSFDPTSNFDSMPMDICLGDSNFSPHILQWNDNLSQQINALSSDEEPGLDQGKPVLNDYNFHAEEDKNRNLVNSEKRSRRSIEEVSENMMNAKSRKIPKSASMSSFNEEDRISIGLQRQGSRSCVSEGDSDPSLEINGGESPSLSPKDPTPPNCNRKSRSTTSPATDPQTLYARKRRERINERLRILQSLVPNGTKVDISTMLEEAVQYVKFLQHQIKLLSSDDLWMYAPIAYNGVNIGLDFITTKGL >OIW13268 pep chromosome:LupAngTanjil_v1.0:LG04:16291459:16294513:1 gene:TanjilG_25747 transcript:OIW13268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAINDRPFKRAKKTVSTDLHDFFTFPAAIHDGAAVNEPFRCNVHRFLNNHARLTFPPSFFPSLMTWQILFRVIGPDLSVETVALDIVEEDVTRSRGSVYCDQCRVVGWSGHPVCRKRYHFIIRADSSDAIEPYQRPCSRCTNLVQLSEPRCKWCNYAITVDDLEDWLYLQIEDNTHLLHGVVHSNGYGHLLTLNGREGGSKLLSGTHIMGFWDRLCAKLAVRKVSVMDLSKKFGLEFRLLHAITNGCSWYGNWGYEFGTGSYALTQDAYQNAVNALSSITLSSFLFEGRGPRGRLQSVISLYQSLADVELLTIKDLFSFLLKLISEVRKRPTTITSNVVCAWTNNDVQDVQQALIKVLLASGTYNKTKWVSRRALKGAVCRRIASPELLDYSLKHLRGKIASNGMVVCSQCNPISSVVEFRLEPLSFVGNGLVAMPSYPSVEQMISDLRFLFDQIIHPNKMESKGLQIMKKLISNSARKLLDCKQFMKDYKPGKYAEELPSAIRLWCHVELSDQPKDDPSPPPELIVLPLNATVATLKSKATNVFQEVYVMYKRFQADKLLGCGSTNDSFTVKFLVGTSGSIRIQGKCATKHGLSRFRMERGTEAWKVDCQCGAKDDDGERMLACDTCGVWQHTRCAGIDNSNDIPSKFMCMKCISSHQKVSGKPRNSDDDSNNNNACKSNTTCRGEVVGTDNPIVACNMTVNFDVR >OIW12955 pep chromosome:LupAngTanjil_v1.0:LG04:23160290:23169933:-1 gene:TanjilG_15404 transcript:OIW12955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAKENHVAQIFDRTKRIIDQARQQCHLWEYHLFPKLILNGITPPPWLCNSPSKELNKDVPVSDVLFSQPQFTVPFSGRHCSLYNNLGVVSDDVQYPIGLHDEVHASKKDCNAGDRILNLPECSVNNDGCASSGPSELDFGAAISPQNQIEPRVSDSYHDPSLLSLAKLQRSKQRQKALQLRSSAKGKKGQSGSDNNGSWRRSVKDDETFTGSASTTVQEVHKVESELVKDFNSNIQSCSMEEEMRIGDRVTQNCDKSNHFVRITRSKTLAPKLNPSNVASSTAVKEVGPPFNNLSEPLKPLSRLLFTSESCEVKEANKGEYKGKEDGCSAYRKGSTKSRGSIQERYNSELLKLDTTLGRVKGVEVHDFIRSFAQSELTDLSNASDHNNGSRRNSVKDGDFCNKKQESNSHDQIGLLRSSCPSPGDDNLTTDGSVKSIRKSVQSPEPLIPQHSQDPAVSVVGSFRSEKDPDFCSAKAKDCSSKSDSGAVYITRDSRSQNHCKEISKSFSSNLCGQGAACSGYVTEKSQNVPLIELYARRLSSRKKDSKLDAEVTMNFSEQENIAPIEVSRNSRAVTMCPTEASMKPVSSSNLDGGSLPGKSLYFETAVTDKVLYAQENLLSGANPIDNIDRTATTVSKAVADSVEKGHSCLGSRFTSVSPDVGADVSIMRLPSDFVMSVIPKQLAFDDAEGSSMNGISSPDLKEGQQCMPPEEPIILLEPVDLLENETSLVCQGNRNSLGEMNLMEMQEALTVEERRQIEHCASHLEEVDVAWKALNAVSPNKELAVVQKELAILTSNFVNHSSTLQVASENSPGSLSKEVMASKFVSLHSTLENGESGTKLADAFAAADSANCLHKYTDKFVTNLTVEFPSTALMDEVNVGRLSDGKNTGFKTDLQSFKSSIESFTYDVEHLWPQSKRRKTEIETEKIVPVSSSFVEKPLDSINQRCAGRNLSIEEKNQEAVLEVQHLTSNEDDDTGLQYVSNCRTEEVQDTKECQTMEGFPLKVRKEEKHIMDGRDISVDNLLLPDTNMPRLSVDSTMRCTMDGKVASCHQVNCVQECVAHVSGLERSTSSRRICPGGNAKLSGGSYVSPGIQCLDLMGSDDTVPEFEGFIMQTDNVQPCTAGDQLELEKMSLLSNSIDYTSLCKSMFMHSPLCYSATPYKLHNIPDLYQSLSNGLLEGMGLRTSLPLNDGRADSLSDPLSNCKGTDTPSVQTIWDRINSNFSSSGKRQSLKLELPCINEENENMDENSDTFKNGIGSEGMARSITREPPAEIVDNDPSTSVLQNDMPTDGHIEFVSTEFNVSGTHKKVKKKLDKQDDNGKRFTIKGRENQCTSLGKNGAKRTTESLYNRSSRPKLSGKDSMKRPGPTYSESKSKPNNIVCGITSFIPLVQKKQEAAVITGKRDINVKALQTGEVAKRMLEKKENDRKMRREALMRERLQKKKEEEERKKVAEMAAKKRQREEEEEEKKDKERKKKRVNDVKKQQQGHGKTHSKKEHIEIQSRTTGEKEGNKLMDERGNHKNLQLEDHREDSVEKISENEPSIFRDSTNNKAKESCPEYSEAVNNCADNGKVMVNLTKATEDGDLFIKNSIQEQSYEISPYKGSDDEFEDEDDVPNQKYIPWWASKQHLFQVVSSQKMDPEIIFPPHSFRNIVEGCPTHGNSGGHLIPGSFSCRPLKLPT >OIW14036 pep chromosome:LupAngTanjil_v1.0:LG04:5463578:5467943:1 gene:TanjilG_11381 transcript:OIW14036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSDSNHRTTTESALIFMGTGCSSMVPNLMCLLHPSDPPCPVCLQSLSIPPHQNPNYRCNTSLLIDYCETNGNHNYILIDVGKSFREAVLRWFVPHRIPRIDSIILTHEHADAVLGLDDVRAVQPFSPVNDIDPTPIYLSQHSMNSIAEKFPYLVEKKLKEGQEIRRVAQMAWNIIADDFNQPFFASGLKFTPLPVMHGEDYICLGFLFGDKSRVAYISDVSRIPASTEYVISKSGAGQLDLLILDCLYKDGSHNVHLCFPQTLETVKRLCPKQTLLIGLTHEFDHHKDNEFLTEWSRREGIPVQLAHDGLRVPMKL >OIW13742 pep chromosome:LupAngTanjil_v1.0:LG04:8663452:8664210:1 gene:TanjilG_17921 transcript:OIW13742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFNNQTLFSTILLCFVLLGKCVDGTPKLLTPLFSSSPTPSASSPLSSESKKTKDSDSYSPKGNVQFSDTPSTANPPFNAPTPTKSDTFSYYKGKFRENSKIKYNPDLEQICGKTHHPGVCLATISPLLKNKQVDVVNVLQSAIAVATQNVKMIISKIDKQPRVSSEVSVSLTDCKDHYNKALRNLQKAMKAIQVRDFGSVTAMLSGALADVSSAESKIQDVKTSGFNAYNFYSLVSITASNCLSIASLIHN >OIW14298 pep chromosome:LupAngTanjil_v1.0:LG04:830054:832512:-1 gene:TanjilG_21438 transcript:OIW14298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLRTFAEFGMIIHFFRLGVEINPKQLFKIGKEAFIIGLTSHLSAIVVSTVVFSFLSKWTPIGPGGGAFYSIVVTSGLTSFVVVSGFLNEMNILNSEIGRLALSSAMVSDACMWIMYFFFIYGGRALQQKSFAPVVELVFSMSYLSILLILLRPLVIWISNQNPKGKPMTEGYFVSLMFILLFVGLSAQFVGQPAFFATFIFGVILPDGPPLGSVLTEKLDIVGSTLLVPAYITISGLNTGSVPILAGSRSAGIEITILAGYIGKFVGTIIPSIHFEIQLWDSITLALIMSCRGILDLIIYYLLYNAKATDELIFSLQIYTMVLITGFTNMVVYHIYDPSRRYKSYIRRSIRDSQHDSNLKVLVCVHNEENVYPIINLLQVSNPTKATPISVFVLHLMELSGRASSILTKNNIANRSFDDDTDSSEPISNVFDQFEQHNKGCITLQFFTAITPYSSMHDDICYMAMDTKTNIIIVPFHMQWTIDGKAHIFNASLRTLNQNVLKNAPCSVGVLIDKCQTSGKLLVIHDSSYYEVAMIFLGGADDQEGLAYSLRIAQHPNVKLTVFLVRAEMHIKQYNMKNPYIDLMKNIRYSNKNNDQVKFKEEIVEDGLGTTQFIRTMEGYFNLVIVGRHHLADSPCILGLTEWCELPELGPLGNLLASTDFTFSVLVVQQQQLNTGFKYVR >OIW12561 pep chromosome:LupAngTanjil_v1.0:LG04:26751387:26756976:-1 gene:TanjilG_04725 transcript:OIW12561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPSKVIHVRNVGQEITENDLLQLFQSFGVITKLVMLRAKNQALIQMQDVATAVSALQFYENVQPNIRGRNVYVQFSSHQELAPMDQNQGRGDEPNRILLVTIHHVLYPMTVDVLHRVFSPHGSVEKIVTFQKSAGCQALIQYQSRQSAVTAKNALQGRNVYDGCCQLDIQFSNLDELQVNYNNDRSRDFTNPNLPTEQKGRPSQQPDAGNMYGSGARGGGLSHMANADAIAAAFGGNLPPGITGGNDRCTILVSNLNPDRIDEDKLFNLFSIYGNIVRIKLLRNKPDHALVQMGDGFQSELAVHFLKGALLFGKPIELNFSKHATILQGADTREYGNSNLNRFNRNAAKNYRYCCSPTWMIHLSTLPQDITEEDIVSLVEDHGVILNCKVFEMNGKKQALVQFENEEQATEALVCKHATSLSGSVVRISFSQLQNI >OIW12595 pep chromosome:LupAngTanjil_v1.0:LG04:26514138:26517340:1 gene:TanjilG_04759 transcript:OIW12595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMESCVPPGFRFHPTEEELVGYYLKRKINSLKIDLDVIVEIDLYKIEPWDIQELLLDCGSALEGWVVCRAFRKPTPSHRPGFEPWCSSSQQQQQQHYFRDQSYARPLTIADILNETHVLHPAEDTSSFSSIIPFNLEQQEFLPNQTFMDQKQLIELPQLDSPTVSLSAIEECNQLQHHNGITNEEYSSDIERCNNNNEQDGAVDWKSLDNLFASDSSAYFTHQNLPFMMPHSHELQPRNQASHILGCFPDS >OIW14242 pep chromosome:LupAngTanjil_v1.0:LG04:1788344:1792526:1 gene:TanjilG_21382 transcript:OIW14242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVASLSFLAVEKLSDLSPLFFIALFKLLVASFFMNIFHCGFNQLCDIEIDKINKPYLPLASGEWSYTKSVIIVASSLLLCFGLAWIEGSWPLFWGFLIGAILTAVYSLNLPLLRWKNSALLAATNIFANAGVVRPLGYYLHMQTCVFKRPATFPRPLIFCTAILSLYFLVVALSKDIPDTEGDEKFGVQSLSVRLGQKKVFWICISLLQMGYGATILAGATSPFLWSKLSTGLGHGILALALWYRAKSVDLKSKDSFQYFYVFIWKLLSIEYFLIPLFR >OIW14095 pep chromosome:LupAngTanjil_v1.0:LG04:4595640:4596039:-1 gene:TanjilG_19474 transcript:OIW14095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTAQLDVGALSALAMDFITHTQWPEGRPYDRQGESNCHAARAGDGATAGAEPGDGATDDTDDFMRTDDPEV >OIW13468 pep chromosome:LupAngTanjil_v1.0:LG04:11150179:11155005:-1 gene:TanjilG_22259 transcript:OIW13468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSFSSTSVSFSKSSFTRPIHLRNRINVASKSLNVGGDSLCQRFRVLKLWILERFNFEPIMQFKRSTNHVNNNLGSELSNEIGSLFSDEKVTSMESSSVLKTSSSSFFVDDSRGPSLSIIVIGATGELARSKIFPALFALYYSGFLPENVAIFGYSRKNMTDEDLRSIIASTLTCRVDHQQNCGDKIHAFLGRTYYINGGYDNISGISLLNAQMEQIEGRSKANRIFYLSVPQEALLDVASCLARGAQTQKGWNRIIIEKPFGFDALSSHRLTQSLLLKFEEKQIYRIDHLLGRNLIENLTVLRFANLVFEPLWSRNYIDNIQVILSEDLAVHPGRYFSGYEIIRDIIHSHVLQTIALLAMEPPISLDGEDIRNEKVKVLRSIRELKPKDVILGKYKGSSGQKVDVSLNGLAPTYFAAALYIDNARWDGVPFLVKTGLGLMNHKMEIRIQFRHVPGNVYHEKIGHNFDRATNELILRDVPDEAILVRVNNKVPGLGLKLDSSELNLLYKDKYNMEVPDSYEHLLLDVIDGDNHLFMRSDELAAAWNILTPILNEMDKDNISLELYELGSRGPVGAYYLSAKNGVRWVED >OIW13039 pep chromosome:LupAngTanjil_v1.0:LG04:21593237:21596999:-1 gene:TanjilG_17599 transcript:OIW13039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDTKTQFESLRKWVVEHKLRTVGSLWLSGIAGSIAYNWSRPNMKTSVKIIHARLHAQALTLAALAGAAVQFHTTTKEENEDPKPEEPAMGIDLKAGGKSKKTKRTAPKSNDIYLKLLVKLYRFLVRRTGSKFNAVILKRLFMSKINKPPLSLSRLIKYTNGKEGKIAVVVGTITDDIRTYEVPPLKVTALRFTETARARIEKAGGECLTFDQLALRAPLGQNTVLLRGPKNAREAVKHFGPAPGVPHSHTKPYVRSKGRKFEKARGKRNSRGFRV >OIW13320 pep chromosome:LupAngTanjil_v1.0:LG04:14512275:14518879:-1 gene:TanjilG_02840 transcript:OIW13320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGLAETNEQFSNGTSTSLSANGFWSKNRNDVSYNQLQKVLPLGSVHASILFWSELSPQARQELLRIDKQSFFEQARKNMYCSRCNGLLLEGFLQIVMYGKSSQQEGVGARFPCNRSAGLKKQNNDGSSIINGCLYEIQDPSVHPWGGLTTTRDSSLTLMNCYLYSKSLKGLQIVFDGARVRERERELLYPDACGGGGRGWISQGVVSYGRGHGARETCALHTARLSCDTLVNFWSALGEETRHSLLRMKEEDFIERLMYRFDSKRFCRDCRRNVIREFKELKELKRICREPRCTSWFCAADTAFQYEVSDDSIQADWHQTFADASGSYHHFEWAVGTSEGKSDILEFENVGMNGCVQVSGLDLGGFSACFITLRAWKLDGRCTELTVKAHSLKGQQCVHCRLIVGDGYITITKGESIKRFFEHAEEAEEDEDDDSMDKDGNELDGECSRPQKHAKSPELAREFLLDAATVEKAFREGTARQNAHSIFVCLALKLLEERVHVACKEIITLEKQIKLLEEEEKEKREEEERKERKRTKEREKKLRRKERLKGKEKDKEKGSSESNDVLVSPEVSKEELSAVVDTELNNPITCRNSVIETDEANVLGNDSPNIEDEEITSDCSTLRTQDHCYDDCAEESFNTKDGNSMLSHRRPRLRKEFQPDMSMKWSDRRRCAVGSENGVMVGRSDPRHYGESLVPSPRAINGLNRQSRMNVPTKPYGRNVGPKYNEKSYSSSNWTNDRYDSSSCSCNLNNEYRVRVEQQSSTTRVNGRSKSKIISGNYSTRDLFQSKKVWEPTDPQKKYPRSNSDSDVISRSTKVQEVQSDLVKPSVGEAICSGENDNGDCNVKRSNGKDEGFQNGIHVEAEGSCSSTDTASEEPVICPIDDSTLNNSSQINKSSTFSSDNCSSCPSEGDNNTSSSNLEHTESLTASDSEDANQQYEVRDSSACTEEISGNHEIGMENNQNADGSTKRSSSLYGSSFNGNGNAFKSCVVEIPQNFDNGFSTANVCSQPQSILPVVPSQNMHFPVFQAPSPMSYFHHNSVSWPAAHTNGLMPFSHPNQFLYAGPLGYGFNEDPHLCLQHGALQQPTPLYPTVPLYQTVARANVSNAEEQKIRISKPDSLQVHLNASVADKGLSAGTNSKQPAFSGGVRNDNSTKPLENNNGFSLFHSDGPFALSKGCRLNSAPSNDGTIGDFSSKVSAAHAEKVHSHNKKETTSMEEYNLFTATNSLSFSIF >OIW12966 pep chromosome:LupAngTanjil_v1.0:LG04:23068175:23073509:1 gene:TanjilG_15415 transcript:OIW12966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLTKPHLASSFFTLQFHLHNATKASNLILSPPFSSLFPLYPSISLSQPRHKNVLCASAAYDVNAVDSASLKSSGNPDTVPMPMVLIDQDSNFEATIVQLSFGDRLGALIDTMNALKDLGLDVLKGTVSTEGSVKQTKFFITESDTGHKVEDPDMLERIRLTIINNLLKYHPESSEKLAMGEVFGIKAPEKKLDVDIVTRIQVKADGPKRSLLYIETADRPGLLVEIIKVIADVNIHVESAEIETEGLIAKDKFHVSYGGSALNSSMSQIVFLDALEAKTN >OIW14139 pep chromosome:LupAngTanjil_v1.0:LG04:3750398:3756270:-1 gene:TanjilG_21279 transcript:OIW14139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLAPSFTWLQAILFFFLALVWFLSFGEVHGATPTVNIGNFSKVEDAVNFHVYYGQTFKVIKNAVDGQSYLLLQNNSRIASRSKYCTSRIKSYVIPLSSYSVDTDYFPGLLERLKGITSDSVASPCVLKLYEGGQIELVNKSDHQKLAQFSAHFFSDTNQLPACNFATFVPFIEDTPLQRAEWIKFMGAFANAEARANEVYNAVKENYLCLAKIAKSRTSFKPTVAWMKYDNGVWSFTKEKYQLKYLEDAGGESVDASITKNTYNVSNPDDLEELHAILCTVEVVIDETLTSDPANYVLSTFLQNLNVEDRSCFSFLSNTSLWRYDKRIQSYAALDFYNEAVSQPQLVLADLIEVLFPTGNYTMTYFRNLAKGEALTNIGSQMCDRDTSTPMEPTIVACG >OIW13244 pep chromosome:LupAngTanjil_v1.0:LG04:16808175:16818291:1 gene:TanjilG_14177 transcript:OIW13244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRVTRDLGDTMQKDAVPAVSADVIFASLRFPNYKIGANDQIMETKGDPKVLSMKEVVARETAQLLEQQKRMSVRDLASKFEKGLAAAAKLSEEARLREATSLEKHVLLKKLRDALQSLKGRVAGRNMDDVEEAIAMVEALAVQLTQREGELIQEKTEVKKLTNFLKQASADAKKLVDEERAFARSEIDNARAAVQRVEEALQEHERMSQASGKQDLEQLMKEVQEARRIKMLHKPSKVMDMEHELQALRAQLAEKSRHYLRLQKELSRMKKGDENVPQLYELEGTETLGSYLQIQPCSDNPPEVSKCSIQWYRVSPESEKKELISGATKSVYAPEPFDVGRTLQVDIISEGLQITLSTTGPIEPAAGLGTYVEALVRKHDTEFNVVLTQTNGSHHPAESIHVLHVGKMRVKLNKGKTTIAKEYYSSSMQLCGVRGGGNAAAQAIFWQPKQGLSFVLAFESERERNAAIMLARRFAFDCNIILAGPDPRAPLVK >OIW12660 pep chromosome:LupAngTanjil_v1.0:LG04:25864177:25864755:1 gene:TanjilG_24593 transcript:OIW12660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLVLVEQHKNHYYSSRSKPQGHARFGSSPSKEFRGINCRTFMTTTGILPNPLHSPSSPKSPSPTTPANNKTFGKTTPVTTPIPINAKAFRKEKTFPEDVLLSELWAGPTYSNSPPPSSLPIPKFSVTPRRTVSLELPGPSSPEIEICAEAKSVPPSPGREHCPSTRDLFVNADSATKTLRRILNLNINDE >OIW13105 pep chromosome:LupAngTanjil_v1.0:LG04:21034288:21036834:1 gene:TanjilG_08138 transcript:OIW13105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLPNFLLSVRLKYVKLGYHILISNAMYLLLIPLLGLASAHLSTISINDVVQLWENLKFNLLSVTLCSSLIVFLATLYFMSRPRGVYLVDFACYKPQENCICTREIFMERSELTETFSDENLAFQKKILERSGLGQKTYLPPAILRVPPNPNMAEARKEAEEVMFGAIDQLLEKTGVKAKDIGILVVNCSLFNPTPSLSAMIVNHYKLRGNIQSYNLGGMGCSAGLLSIDLAKQLLQVHPNSYALVVSMENITLNWYFGNNRSMLVSNCLFRMGGAAILLSNKSCDQRRAKYQLLNTVRTHKGADNKSYGCVFQEEDEKKTIGVALSKDLMAVAGEALKTNITTLGPLVLPMSEQLLFFATLVARKVFKMKIKPYIPDFKLAFEHFCIHAGGRAVLDELEKNLDLSDWHMEPSRMTLNRFGNTSSSSLWYELAYTEAKGRIRKGDRTWQIAFGSGFKCNSAVWRALRTIDPAKEKNPWMDEIHDFPVHVPKVATIS >OIW12482 pep chromosome:LupAngTanjil_v1.0:LG04:27278914:27279784:1 gene:TanjilG_04646 transcript:OIW12482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQEQPKRPQDQDPIKYGDVFDVSGDLAQKPVAPEDANMMQSAETRVLGQTVPGGVAASMQSAATLNERAGLVGHRDVTGVAGDRGVTVTETHAPGRRIVTESVAGQVVGQYVEPTPVESGRGGTGQESKITIGEALEATAHTVGDKAVEQSDAAAIQAAEVRATGNNVIKPGGVAATAQSAAAYNADCQREEDKIKMSDILSGATAKLAADKAATREDAGGVSGAEVRNNPGATVTPGGVAASVAAAARLNESVNI >OIW13408 pep chromosome:LupAngTanjil_v1.0:LG04:12533706:12554525:1 gene:TanjilG_19760 transcript:OIW13408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSTLQTPRSLSRFSLLLPSAATTTSSHFSSSSLPHASVSDQSSTVPPQSQILSIRHSLLSRQVTAVDLAQSYLTRLRLTEPQLHSFLHVPDDSAVLAEARELDRRIDGGEEIGPLAGVFVAVKDNICTADMPSTGGSRILQGYRPPFDATAVKRVKELGGIVVGKTNLDEFGMGSTTEGSAFQVTSNPWDVSRVPGGSSGGSAAAVSARQCVVSLGSDTGGSVRQPASFCGVVGLKPTYGRVSRFGLMAYASSLDAIGCFGSSVADAGILLHAIAGHDKFDATSSKQDVPNFLSHFVSESSLGSKPLKGLRVGLIHETIDDGVDSGVISAIREAALHFEELGCSVNEVSLPSFSLGLPAYYILASSESSSNLSRYDGIRYGNQVFADELDSLYGNSRAQGFGSEVKMRILMGTYALSAGYYDAYYKRAQQVRTIIRNSFKEALNQNDILISPAAPSAAYKIGEKKNDPLAMYAGDIMTVNVNLAGLPALVLPCGFVDGGPAGLPVGLQMIGAAFDEGELLRVGHVFEQTLKKFRFVPPIVADSTA >OIW13731 pep chromosome:LupAngTanjil_v1.0:LG04:8724795:8728069:-1 gene:TanjilG_17910 transcript:OIW13731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLSNGKGTTTMSTPTSTSSFKSSDAVSDKFPAGLRVLVVDDDPTCLMILDRMLRACLYEVTKCTRAEVALSILRENKNGFDIVLSDVHMPDMDGFKLLEHIGLEMDLPVIMMSADDGKQVVMKGVTHGACDYLIKPVRIEALKNIWQHVVRRRKNGWKDLEQSGSVDEGDRQIKASDDADYSSSANEGKSSKKRRDEEEDPDERDDSSTLKKPRVVWSVELHQQFMAAVNQLGLDKAVPKKILESMNVPGLTRENVASHLQKYRLYLRRLSGVSPQQNNFNNPFISPQEASFGATSVNGIDLQTLQAAGHLSAQSLAGLQAAGLGRPTAKVGVSMPLVEQRNLFSFENPKIRFGEGQLQHLSNSKPMNLLHGIPTNMEPKQLANLHQPNQSIGNLNLRVNSSTVQSKPLVMHMAQSQPRGQMISENTGSHVNRLPSSLVHPKLPNGTSNGVLEYGISGTSNITPIYSPVHQNSSMLSFPMNQSTEMAASSFPLGSTPRISSMATKGMFHEEVSSGIKGSGGFVPSYDIFDELNQHKSHDWDLTNAGLTFDASQHANTLQRTVDVSPSVLVRQSFSSIHQTGQNRDATSIGKGMFSIGEGTSQRNLQNFGQHLNTHLVDNSVKAERVHDPSSQINLFSAQYGQEDLMSELLKQQGSSGPAENEFDFDGYSLDNIQA >OIW13287 pep chromosome:LupAngTanjil_v1.0:LG04:15814373:15819788:1 gene:TanjilG_25766 transcript:OIW13287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLPLSIFILLFLFAPLLLAQTPSPTTSSSSSYNDCKSTLYPKLCRSILSAIRSSPSDPYGYGKFSIKQSLKQAKKLSKVFDDFINHHSNSSSSSSTLNHAEVGALGDCKDLNQLNVGYLESISEELKDADSSDTELVEKIESYLSAVATNHYTCFDGLVVTKSSIVNALAVPLKDVTQLYSVSLGLVSEALNRNLKKHKTRKHGLPTKTFKVRQPLEKLIKLLHTKYNCNKSSNCTRAERILEESKNQGILLNQFVIVSPYETDNYTSIGQAIDVAPNNTKPEDGYFLIYVREGYYEEYVIVPKHKKNILLIGDGINKTVITGNHSVIDGWTTFNSATFAVSGERFIAVDVTFSNTAGPEKHQAVALRNNADLSAFYHCSFEGYQDTLYAHSLRQFYRECDIYGTVDFIFGNSAVVFQNCNIYARKPMPNQKNAVTAQGRTDPNQNTGISIQNCKIDAAPDLAADLNSTSNYLGRPWKEYSRTVYLQSYIGDLIHPTGWLEWNGTVGLDTLFYGEFNNYGPGAKTNNRVQWPGFSLLNDTQACNFTVLNFTLGNTWLPDTDIPYSGGLLN >OIW13219 pep chromosome:LupAngTanjil_v1.0:LG04:17888380:17893969:-1 gene:TanjilG_03548 transcript:OIW13219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSAAQVGSYFVGQYYQVLPQQPSLVHQFYSDNSSMIRVDGDSTLTAHDLLQIHELVMTLNFVSIEIKTINSLDSWDGGLVIMVSGFVKIKDISRRRKFFQTFFLAPQEKGYFVLNDIFHLIDDEVTYTNLGSVASEKFDTQPHLSNSVAEPPALDYGFEEEASEYVNSVHIEDDPVDKYSVPELQQLQQDHETEVVVEETPAEVASPVIPSVSHTIHEPPVAHVEEPLEEPPKKTWASILQKGQSVSSPALQSTYKSAPAPSVFNHVAQPAEQQSSSPSTFVPDYGVDASEDGYGVVDEGEVKSVYVRNLPADVTEAEIEQEFKNFGRIKPDGIFVRVRQEIGVCYAFVEFEDIVGVHNSLQVNSRLPTPTWHVTLAHRGDLWLFMSALHLYGNIV >OIW13057 pep chromosome:LupAngTanjil_v1.0:LG04:21902964:21907154:-1 gene:TanjilG_17617 transcript:OIW13057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLEAKTLKKKAMWLYPKVLGLNPSERWGHSTCFSNGFMYVFGGCCGGMHFSDIQCLDFEKMAWSKVATTGEKPGPRDSHSAVLVDHKMIIFGGTNGFKKVNDTHILDLVTKEWISPICEGTPPSPRESHTATLVGDERLVIFGGSGEGDANYLNDLYILDLRTMRWSFPEVKGDLPVPRDSHITVVIGNKLFVYGGDCGDKYHGDVDMLDMETMTWSRLKIQGSSPGVRAGHAAANIGTKASSLLVYIIGGVGDKRYYNDIWVFDICTCSWTQLQIRGQQPQGRFSHTAVVADKNIAIYGGCGEDERSLNELLVLQLGTEHPNGRYNISMCKVFGAYWKQEKNTIPRIVDTNGQKTPHVGNNVDVLGKWGYEVLPEKIHPYHHGSGTLQQKRRRIATAKVWDVESEQEHCLSLSQHSSPSQSDQEQTPGQKANAFVMDSQQYHFLKHINKTPSNWHHGNGSSNKRVSKNIAQTSPHDLHLLEHQPKQEQFLHIHEERKGHKQNLVGAEFKGKVDGAFDSGLLMTASVNGRVFRGVLFAPGEGAVSTNVEPNCPILCSLPSTQPLMNSNHVDSLRASQKVCTSLHAESCHGSQGDLQGLVLTLGGPACGNHV >OIW13862 pep chromosome:LupAngTanjil_v1.0:LG04:7883898:7884167:-1 gene:TanjilG_31751 transcript:OIW13862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVSQKLLKYRYYIIIAIAVSLTLSLVLYAAPRILTILAYFWPLFASTTVFLVAIIAFGGVSIFSTEEVHDEKVGEGLLDYVAGKPEQY >OIW14077 pep chromosome:LupAngTanjil_v1.0:LG04:4992737:4996246:1 gene:TanjilG_11422 transcript:OIW14077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPKTEEISHIPMDQLQGLEYCIDSNPSWVESIALGFQHYILALGTAVMIPSFLVPLMGGSDDDKVRVVQTLLFVEGINTLLQTLFGTRLPTVIGGSYAFMVPIISIIHDSKLARIEDSHLRFLSTMRAVQGAMIVASSIQIILGFSQAWAICSRFFSPLGMVPVIALVGFGLFDRGFPVVGHCVEIGIPMLVLFVVLSQYLKKFQTRQLPILERFALLVSATVIWAYAHLLTASGAYKHRPELTQHNCRTDKANLISSAPWIKIPYPLEWGAPTFDAGHAFGMMAAVLVSLIESTGAYKAASRLASATPPPAHVLSRGVGWQGIGILLNGLFGTLTGSTVSVENVGLLGSTRVGSRRVVQISAGFMIFFSMLGKFGALFASIPFPIFAAAYCVLFGLVASVGLSFLQFTNMNSMRNLFITGVAFFLGLSIPEYFREFTSKALHGPTHTRAGWFNDFLNTIFFSSPTVALIVAVFLDNTLDYKDSAKDRGMPWWAKFRTFKGDSRNEEFYTLPFNLNRYFPPS >OIW13654 pep chromosome:LupAngTanjil_v1.0:LG04:9532782:9533228:1 gene:TanjilG_07996 transcript:OIW13654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGDVAYGQDSIEDYLNAHNAARSEVNVSELFWDENVAAYAQNYADKRKGDCNLVHSDGGGGIYGENIAMSSGELSGTYAVKMWVEEKEYYDYNSNTCGDGQQCGHYTQVVWNNTINIGCAKVTCDNGGTFITCNYDPPGNYVGEKPY >OIW12918 pep chromosome:LupAngTanjil_v1.0:LG04:23650869:23663579:-1 gene:TanjilG_15838 transcript:OIW12918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKKTKILLTIFYSHSYIFIFSLLTITTLCSVDPKFEACQPKTCGNNQSISYPFYIDGIQEPFCGYPGFALSCGNNGFPILNLSNTSYFVDQILYDNQTLRVSNTMFSRSSNNKGCLPLTQNLTLPNNNQFVLVPNQKGVILFFGCNLLLGPTWMQEHRIGCYEENETSSVVALYKDDKNVSFVSGNCKGGVVDLMVENGDGDEKGNIEEVLRKGFLLNWTASDCSLCRNTGGRCGFDSDMYIFRCYCTDRVHAWKCDTLPASENEHGNKVECPPSFHCGHLGNITFPFTVTESPHCGLFVISNCDNINPSKPKNIQLENNGKLFWVIRLIHNPPTSANITIQIRDYSFYNLLESKSCEAFSNNYTLPTKFPFGSISISYSQTMFKCNRSLHVKPPKDVHSYTNCSDYDLYYKPSLNRNDRSLSSLGACTMVTLPIKDVADAEDPFTFITSDIITKVQLSHECANCYYLKRGQCHLDNSQKFRCNNATAKNGLRPKVKLAVGLGVGVLGILIIGLLLILILYKRKHATSGEDFHSRNSYFDSPSNLHLESGGVYFEVPIFSYKDLKEATNNFDHTKELGDGGFGTVYHGKLQDGREVAVKRLYEHNYRRVEQFMNEVQILTRLRHTNLVSLYGCTSKHSRQLLLVYEYISNGTIACHLHGGLAKPGLLPWSIRMKIAIETAAALAYLHASDIIHRDVKTNNILLTKNFCVKVADFGLSRLFPTDVTHVSTAPQGTPGYVDPEYHQCYQLTSKSDVYSFGVVLIELISSKPAVDINRSKEEINLSNLAIKKIQQSAISELVDPSLGFCSDNEVKRKIVSVAELAFQCLQRDKELRPSMDEVLNELRKIEAGEDEQEVVEGADAPVAGDSLSIVHTRSTSAEWDEVGLLKNMKQPSSPNTVTDKWESKCTTPNVSG >OIW14266 pep chromosome:LupAngTanjil_v1.0:LG04:1339573:1341270:1 gene:TanjilG_21406 transcript:OIW14266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSTLFLYSFLFFIVLITLKLLFKTKRFKNLPPGPPPLPIIGNLNLLEKPLHRFFQTMSKKHGDVFSLWFGSRLAVVISSPTAFQECFTKHDVILANRPKNLSGKHIFYNYTTVGSCSYGEHWRNLRRIVATDVLSSQRILSFSGIRKDETHRLVQKLAKDSSSKFAQVELTSTFRDMTYNNMMRMIAGKRYYGEDSELKDVDEAKEFRETVTELLKLAGVSNKADYLPFLKWFDFEGLNKKLTTTHKRFDVFVSALIKEQRSKKQREDSMIDHLLTLQETQPDYYTDQVIKGLTLAMLFAGTDSSGATLEWAFCNLLNNPEVLKKAKDELDTHIGQDRLLTESDLPKLPYLKYIVQETLRLYPPAPLLIPHVSSEDITVEGFNIPSDTLVIVNGWGMQRDPKFWSDATSFKPERFEKEGEEKKLIAFGLGRRACPGEFMAMHGVSFTLGLLIQCFDWKRVSVEEIDMEEQTWFNLTKLLPLKAMCKTRPVVNKNLK >OIW14058 pep chromosome:LupAngTanjil_v1.0:LG04:5222974:5223465:1 gene:TanjilG_11403 transcript:OIW14058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRNTKIAYIVNDAKRNATYKKRKNSLIKKTMEISTLCGVDACAIIYRSNELQPEVWPSHSGVQSVLYKFLTFPPLEQSRKMFNQQSFLKQRIVKAQDKLRKKKIQNRKQIMSLLMFKCLSTGFVEGNINLQIVKDLLSVIDQNLYQIDRKIARDQHQEGTA >OIW12917 pep chromosome:LupAngTanjil_v1.0:LG04:23685600:23685857:1 gene:TanjilG_15837 transcript:OIW12917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRQWKETRFTGDEGSGGSSYGEELRRLVFVLWAVFVTLSFLVAIIFCADGMSKEKGVPKERASGADNYGHGSTCGAGCGGGCGG >OIW12864 pep chromosome:LupAngTanjil_v1.0:LG04:24210942:24214529:-1 gene:TanjilG_24797 transcript:OIW12864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFMKLGSKPDTFQTDGNNVSYDLDLAIVSTLVATGVTIMFGINERYKQRYVASELASDIIVSIGHVKFYLHKFPLLSKSAHLQKLISLSNEANMDEVQISDIPGGTSAFEICAKFCYGMTVTLNAYNVIAARCAAEYLGMNETVEKGNLIYKVDVFLSSSVYRSWKDSIILLQTSRSMLPVAEDLKVISHCIESIANKACVDVSKVDWSYTYNRKKLLEENGIDSNQNEVRTRLVQKDWWVEDLCELEVELYKSVITNIKTKAAHSNEVIGEALKAYAYRKLPNFSKSMIQTGGMSKHRLIVETIVSLLPAEKDSVSCRFLLKLLKAAIFVESGERTREKLIRKIGQQLEEASVTDILIPAPDGETKTYDISVVQKMVREFLKKDDSAEIVSVERGEVEGIRKPGILSGASKLLVAKLIDEYLAEIAKDHNLPLSDFIDLAELVSGISRPAHDGLYWAIDTYLKEHPGISKGDRKRICKQMDCRKLSVDACMHVVQNERLPLRVVVQVLYFEQVRTAASSGTSTPDIPKGIKDLTSGSNGSSRSGTTNPEDDMDAVVTAEELKALRKELASLRLSNGVGSSFKDEDIKPSGDKAATGKMKGLLKSKKSFLKLLSSKGGQGENSGSDSSESIGSANPEEAKFTPSRNCRHSVS >OIW13583 pep chromosome:LupAngTanjil_v1.0:LG04:9942594:9942938:-1 gene:TanjilG_25682 transcript:OIW13583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTPRAITILSFIILLSSSEAKVSISCPKVIQEIAPCSDFILKSNDPSQACCNGVKTLSDEAKSQKDRTDICQCLKQGLSGIGKYDPKRIPQLPKACGVSITLPPIDQNTDCSK >OIW12868 pep chromosome:LupAngTanjil_v1.0:LG04:24179224:24179867:1 gene:TanjilG_24801 transcript:OIW12868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGYGMIILGPTLHFWFNFVSKLFPRRDLLSTLKKMVMGQTLYGPAMTVVFFSFNAHMQGETSTEIVSRLKRDLFPTLLSGIMYWPVCDFITFRFIPVHLQPLVSNSFSYLWTVYLTYMASLEKAETAS >OIW13251 pep chromosome:LupAngTanjil_v1.0:LG04:17033486:17033674:1 gene:TanjilG_14184 transcript:OIW13251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVEHNNDDEDHLDGPEGDGNNLMREILGEDIGEDIDDVEENENEALLEDDYREFDVNDLLN >OIW14216 pep chromosome:LupAngTanjil_v1.0:LG04:2322874:2325217:1 gene:TanjilG_21356 transcript:OIW14216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKEQDVSLGANKFPETQPIGIAAQSQDDGKDYKEPPPAPLFEPYELISWSFYRAGIAEFVASFLFLYITVLTVIGVNRSPSKCQSVGIQGIAWAFGGMIFALVYCTAGISGGHINPAVTFGLFLARKLSLTRALFYIVMQVLGAICGAGVVKGFEGKTRYGQFHGGANFVAPGYTKGDGLGAEIVGTFVLVYTVFSATDAKRNARDSHVPILAPLPIGFAVFLVHLATIPITGTGINPARSLGAAIIYNKDLGWNDHWIFWVGPFIGAALAALYHQVVIRAIPFKSK >OIW14300 pep chromosome:LupAngTanjil_v1.0:LG04:789824:790225:1 gene:TanjilG_21440 transcript:OIW14300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSKGEECVKEKNTYLRVLVEEEGVLSVPMRRENGNSVLVNENQNNDSHKCTSAHFDCANSWAVQLLQQGPLDVDMQVEPHDLLHSPSFPLRSLIKFASNSALDPSKRVLFHLPTRHNYASSIQVETLATKQF >OIW14177 pep chromosome:LupAngTanjil_v1.0:LG04:3100483:3106768:1 gene:TanjilG_21317 transcript:OIW14177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFISKIQRQSDYNLFSSTTPISVLGETVTIVGDHDPALLKYFDRTRSRPPSAFDSNVVCQFETMEYILDFGFDRLGANGSRNDHHVLITECVCNPVQSHSKMGELLFETYGVPSIDGEPIYKGSCCINVGGYHKGAKEAEEKTRCWQLPWVPPPTDEPPSENEIARKAAIKEKQGQRLEKWLRRRGDRICPDDMLTPDQLIEKKKRLSLKSMFEGRERLKQKRHEEELERERKQHLEEEKHLYVSSVHALFYFKLFCCLFIRFFRCPEILFSPNWIAVDQLGLDEMAGVSLRRLPYMDKSLEERLTSSILVTGGSSLFPGIASKPELV >OIW12890 pep chromosome:LupAngTanjil_v1.0:LG04:23957179:23959083:1 gene:TanjilG_24823 transcript:OIW12890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKEEDFKLLKIQTCVLKVNIHCDGCKQKVKKLLQRIEGVYQVQIDAEQQKVTVSGCVDAATLIKKLARAGKYAQLWSQKSSNQNQKQNNNNNNCVKDDNKNKGQKQGLVKGLEDFKNQQKFPAFSSEEDDDFYDYDDDEDDDDEEMRFMRERVNQLQMLRQQAVDANNAAKNGVAVNNNGKINNNAGKKGGPNQNMVIKDNTGGLDQKTIAALKMNNGHLGGGGGEGLNIGDSKRANDIGVMMNLAGFNGNGANNAGSATVLGPNSNGLGGFSAQSNMIPGSSAVIPNGAFAATGQQYPSSLLMNMNGFNNHPSPSPLMMNNMNMQARHAMQQQPQMMYHRSPYIPPNTGYYYNHNLNNNHTPANYNYATMPSYPVGGGGSDNSATHIFSDDYTGSSCSVM >OIW12947 pep chromosome:LupAngTanjil_v1.0:LG04:23225158:23231016:-1 gene:TanjilG_15396 transcript:OIW12947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLGTTVRLPLSYQRRPHVQIQETIFSAFEVKDAYVFPPQTPLDFFLAVGLGIGRTDSPQVRCRKSISNERTFSTTEDGALLYKRLAELAEMLSMDMQKEGLCGRTLTLKLKTASFEVRTRAVTLQSYISSSEDILKHASKLLKAELPISVRLIGLRVSQFNGEKSGATLDPTQKTITNFINSGDTKGNYNSFADVTDHDFISDTETDLSIDSRQKFQHDWTYPFDDNHSLDVDQRSCSVRKNDGEEEVQTFGKDASISYCSGGTEVLGSTFSSSSGQFEDINVNDGSNLLEDERLNSSFQEKTMLWLNDYKCSLCGIEMPPSFVEERLEHSDYHLAEKLQKEESRTHQRTSVLSQRMSSVGVGVGRELSNPPLDGISNLRFSNHSDLLLVSSWDKSVRLYDATANILRSQFLHSGPVLDCCFHDDSSGFSASSDNTVRRLVFSSNKEDILGTHDAPVRCLDYSYAAGQLVTGSWDKTIKCWDPRGASGQHHTLVGTYPQPERVYSLSLVGHRLVVATAGRHVNVYDLRNMSQPEQRRESSLKYQTRCVRCYPNGTGYALSSVEGRVAMEFFDLSEPSQAKKYAFKCHRKSEAGRDIVYPVNAMAFHPIYGTFATGGCDGYVNVWDGSNKKRLYQYSKYPSSIAALSFSRDGRLLAVASSYTFEDGPKPHEQDAIYVRSVNEIEVKPKPKVYANPPA >OIW14146 pep chromosome:LupAngTanjil_v1.0:LG04:3589663:3597638:1 gene:TanjilG_21286 transcript:OIW14146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESSSSRRRNFSTMEASSSSEPPRPSSPSFSPPYSPPYSAPYSPPLLDSPPRDPNAPPRDPYRDPDSPCPPRIPYYQLVSEKRRKIECKRDQSILHSLDIQPTATSLLESNSVELLEIFINEMIQNPENKSLVQMFDSLAFHYPNSFSLKLARLLLLDPPLRIRNEVVLLLNETLAQTHRNDLHKISSGVLLKLKESILESFKIELEEVLLPLMSETVANLASRIYAYPLGGWLELLQYIVSCISLNSYDDDSVLMQRKGLMLLADLSSSNVQNGDFWKNHYRDLYENLLARFVVENPNENLQALTFNALHTMMGMAQSLGESEIGSAIFSMLLDCIGHHSNEDIVLKRVQDLGNFFPKDVVGVMNGKEERVFQVMLQIAENEVASEELRYAAVNALQELDGAKVGLMKKLIKELSYDDAQRVLKVSLYFLLGIEDDPLWFEFEMKRSVFAGLSARFKLGESLLNWLSFEGDGSMIVPMAIESLKTTYSASIDWRKRHAGMIVIAALADGQKYEVMMCFVEIEKLLIESLHDRHHRVLWAAINTLRRLTERSLLPQAHYKYHMKFLSELIAIIKSTSYPQIQVEAVLAIRLLVTNCHLESMASFGEELVIVMLELLKNEKEKIQEEAVDTLKSVAVLIPTNFRKHCNATTITLSAILFEDHSIPKKLLRAKSLECISSILLTNAFVDFNKQDAVDFMDSLMSLEGILHRRDYLVRTHILKVQLCLFEFNNRSFIMRTPPSLPTFSSTAITMQALDQFCQFLGQDIDSYIIKLMPMLVRSAQLDLDLKSNMPANDSSLFENERVQALNVLSNFAVRSSEVFSPHMPWVSKIFRRWLSCPSSETQKASVSALPNLLLSVNSGENDELKQCTLHNSIVQSLVEALHKETDDTMSISMLKTLLTCIQISAPAFTPELIKMIVDEINHILSMWSQKVIESVRAQEAGIPGTSEGESEYLPDEEIIQNAKLLITTMVEIFEDGLSQFVDDLFSTVAHLWGNDFPDRVKAIAVSIFNIIAPNFPDKLQLYHDIYTYELLKTRDNSLHAQLESARGIGICAMFGGHYFKTIVNVAISRLYSLIDNGLAITGEESRDGAMISDMAVSSLGKILEFHRENIDGPECPEVCSFLFFEKQVIQGWLNFFPLTTDLHEARNAHGLLCSMLKRKDADVLGPNKENLPQIVSILRAILLRSEELVTEETFAEIIEFLSSHDGGL >OIW13628 pep chromosome:LupAngTanjil_v1.0:LG04:9681732:9682906:-1 gene:TanjilG_07970 transcript:OIW13628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAATSGAVLGSSFLCGGKRSQSLLASAVTKVGAFVNPKRLNVVAAATATAPKKSWIPAVKGGGNLIDPEWLDGSLPGDYGFDPLGLGKDPAFLKWYREAELIHGRWAMAAVLGIFVGQAWSGVPWFEAGADPNAIAPFSFGTLLGTQLLLMGWVESKRWVDFFNPDSQSVEWATPWSKTAENFANATGDQGYPGGKFFDPLGLAGTIKDGVYIPDTDKLERLKLAEIKHARIAMLAMLTFYFEAGQGKTPLGVFGL >OIW13857 pep chromosome:LupAngTanjil_v1.0:LG04:7855725:7858297:-1 gene:TanjilG_31746 transcript:OIW13857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKASSRYSSYDTRSSTTSSHFSDPSSSSEFNHTSKSSRAVVKSSKPSNIAPSTMLKKLMLEKKPKSGTKLFIPCDFIAQDLKKDAKRVNAFSALHNKLFGNGTVKEKKEVMVVKKKNKALTEVKENTRTLAMVLRSERELLTMNKDQEVEISQLKLMLEEKNQEVEKLKDLCLKQREEIRSLKSAVLFPDVMSSQLQELLEKQGSELKQAKQVIPVLQHQVTSLTDQLQSLAEDLAEVKADKYSTKTGFQGYGSSPRTPTHACEDASNSWEFSSEDSSDDLLLKDLNPCLTPYKAKSRSRESEGMGSGSLHGVSLSGDDAKPYSEMDFSSYDSKFSRSSDCWENSNKRSVTAKAGRRSDESKLAYGGRRNHKLG >OIW13100 pep chromosome:LupAngTanjil_v1.0:LG04:21140719:21140886:-1 gene:TanjilG_08133 transcript:OIW13100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHRENGWVVVVKVVLMVDIGWWFDNGDGVVVGVVVVNNDNGWGGGSGRGDDGGC >OIW13598 pep chromosome:LupAngTanjil_v1.0:LG04:9799715:9808872:-1 gene:TanjilG_07940 transcript:OIW13598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGRTKVVLRHLPPSLSESSLFQQIDSLFSDRYNWFSFRPAKFSHKHSSYSRAYIDFNTPEDVIDFAHFFNGHIFVNEKGTQFKVIVEYAPSQRVPNHSSKKDGRDGTIYKDSDYLEFLEHLAKPVENLPSAEIQLEKRDAERSGAAKDIPIVTPLMDFVRQKRAAKGPRRSISNGKVSRRTGTSSNGSPSSAASRRGSGKKRVPTTMYVARNPGKNSTIKDKSTYTSVPRQGDQHLSNKTSTVASSGGTQTVDANARVSGSNDVGKKKILLLKGKEREIINISDFDSMSQHNSITSSAKTALDSTALKGSHRPEGTGRIIRSILSNKDLHQSQSSRPHSEQQIQTSNLEKGKQPPRSLHVPMILKSTNGTPENRIGMHDLHVSSEKQERRVRHRDRPDRGVWTNRSNGSDDSLSLSASSQADILEGGQAELKHDKPNARSGELKSLGSGRASHSSENGFSKHFGRRGPTHGVKDVDSYTLSSEGKPPRRTGGSAYGSHEHDGDDFDEIGNDTNNNNSNEDLMVGSSTSLHCLSREMLHMSLTDHHHHHHHNSDIIHGTEDEDVTYIPQHVLGTGSFGLVFQAKSTLTGEIVAIKKVLQDNRYKNRELQIMQMLHHPNIVALTHSFFSTTHKQQLYLNLVLEYVPQTLNRIARNYSRINHHMPLIYVKLYSYQICRALAYIHNCIGICHRDIKPQNLLVNPHTHQLKLCDFGSAKVLVKGEPNVSYICSRYYRAPELIFGATEYTTAIDIWSTGCVMAELFLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKPHPWHKVFQKRLPPEAVDLICRFFQYSPNLRCTALEACIHPFFDELRDPITRLPNGRPLPPLFNFKPEELSGIPPDVINQLIPQHARKHNLFMALHT >OIW12758 pep chromosome:LupAngTanjil_v1.0:LG04:25119835:25121119:-1 gene:TanjilG_24691 transcript:OIW12758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSKSGSGNVGIALERMSVEQLKAVKEQTDLEVNLLQDSLSNIRTATTRLEIATTALNDLSLRPQGKKMLVPLTASLYVPATLDDADNVLVDVGTGYFIEKTMAEGKDYCERKINLLKSNFDQLVEVASKKKNVADEVGIVLQAKLKQLSSST >OIW13755 pep chromosome:LupAngTanjil_v1.0:LG04:8585538:8589442:1 gene:TanjilG_17934 transcript:OIW13755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGFCETCMELEPYPSYPITSGVFLYSPPPFPVIHHSLPPPPPPPPPSRVLLSFPFNPSFFFAMDHQRSMSLLQFMNDEGLVPSQEEEDKRKKAIHKLKQIVSSWIKKVAMQHQLPKHQIAVTSATVLTYGSYGLGVHSPESDIDALCVAPFFATIAEDFFVVLYNMLKRRPEVSDIQCVKGAKVPLMRFKFDGISVDLPYARLRVLYVPENVDILNPFFLRNIDDTSWKSLSGVRANKRILQLVPNVEKFQSLLRILKFWAKRRGLYGALLGYLGGIHLAILAAYVCLRHPDATLNALTTNFFRTFAFWPWPKPVSLHEAMLLTSVDTIEIRPFSFMPILLPSSPYEFCHSNVTKSTCYRIRSEFIRGHNMTRDLLKPDFIWDNVFQPFPYSKRYSQFFKIYLSTSDQCELGNWVGWVKSRFPGLLVILEGIQGFCDPNPTEYVDNEKTEANVVFYWGLQPVDKNSLVDIESVDGEFMKIIRNGYEGSPGRMELSIIIASQLPNNAMFDDETIKGRKTCWRVIDYDKKRNQVYSQHVPHCLVGHVAPTCEAEYLSSGG >OIW13459 pep chromosome:LupAngTanjil_v1.0:LG04:11047067:11048980:1 gene:TanjilG_22250 transcript:OIW13459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQTPSLTFQVRRNPPELVTPANPTPKELKLLSDIDDQTSLRCHISLVAFYRHDPSMKGKDPVEVIRQALSKTLVFYYPFAGRLREGPNGKLMVDCNGEGVMFIEADADVTLDKFGVDFHPPIPCLDQLLYNVPGSDGVINCPILLIQVTRLQCGGFIFALRLNHSMSDAIGLSQFMNGMADIARGAQKPSILPVWHRELLCARDPPRITCIHHEYQKPQHDNNNDNFILQHRSFFFGPKEFAAIHRLLPNHHSKSSTSDILTAFLWRCRTIALQPENPNHEFRLLYVVNARYGRCSFNPPLPEGFYGNAFVSPAAITTVEKLCSNPLEYALGLMKKAKNEGTEEYVHSVADLMVIKGRPSYFYNDIGYLEVSDLTKAGFKDVDFGWGKALYSGGTQGFLSTFMYVPYTNSKGVEGILVLSCLPIKVMDRFEKELNDILKTKDESQILWSRM >OIW13449 pep chromosome:LupAngTanjil_v1.0:LG04:11842957:11844129:-1 gene:TanjilG_05339 transcript:OIW13449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKQRLEGKVALITGAASGIGEESARLFAKNGALVVAVDVQDELGEKVAASIGSNKVTYHHCDVRDEKQVEETMKFTLEKHGHIDILFSNAGILGSLSSIFDLDLNEFDNTMATNIRGAAAMIKHAARAMVEKKVQGSIICTTSVSASIGGTGPHGYTTSKHALLGLVRSACSELGAYGIRVNSISPYGVATPLACRAFNLEPHEVEANSCAEANLKGVVLKAKHIAEAALFLASDEAIYISGHNLVVDGGFTVVNRSTNCFAPVYT >OIW12956 pep chromosome:LupAngTanjil_v1.0:LG04:23146626:23154897:1 gene:TanjilG_15405 transcript:OIW12956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METHNSSFSTPRAYLRALSHTPSRFARRAISVSTSYEEMSRVRARSGSDMRKTLRWYDLVGFGIGGMVGAGVFVTTGRASRQYAGPAVVLSYAIAGLCALLSAFCYTEFAVDMPVAGGAFSYLRVTFGEFAAFLTGANLIMEYVMSNAAVARSFTAYFGTVIGVSSAKWRLTVPHLPNGFNQIDIVAVAVVLLVTFVICYSTRESSVLNMILTALHLIFIVFIIVIGFTRGSWKNFTEPSNLENASGFFPYGASGVFNEEVKNPVKDIPIGVSGSVIIVTVLYCLMAASMSKLLPYDMIDIEAPFSAAFSGKSEGWGWVSQVIGVGASFGILTSLLVSMLGQARYMCVIGRSNVVPTWFARCLGNVCCKRDGLVVVVIGFVGKTEGADLVIFGDSRLYAVILLDDYPLGLAGIFTAALALFTDLDVLLNLVSIGTLFVFYMVANAVIYRRYVAIGTTKQWPTLSFLCSFSFTSIMFTFIWKFVPNERVKAGLLSACAVIAIAILQLFHCMVPQARKPEFWGVPLMPWIPSISIFLNVFLLGSLDGPSYVCLLYCQIGLDQQDEYGSGLEHDERSNLKDSTKLGLDRLNQGGLDKKVGQTSQSRPNCPNKCLPMNFNDIRDELCLRQFDEQILINLIQRKLWLVYDALADSVQSHLVLFVWLLVYYF >OIW12489 pep chromosome:LupAngTanjil_v1.0:LG04:27199280:27206333:1 gene:TanjilG_04653 transcript:OIW12489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENDGSVLLLCASQHQKTKLFLSGMPTLLLLLLLLLSPFASPLTQQGQALMELKSSFTNIADVLLEWDDIHNNDFCSWHGVYCDNVTFTVQSLDLSENQLYGDIPFSISKLKQLEFLNLKNNQLTGPIPSILTQIPNLKILDLAQNRFTGEIPRLLYWSEVLQYLGLRGNMLTGTLSPDMCQLTGLGYFDVRGNNLTGTIPDSIGNCTSFEILDLSYNQITGEIPYNIGFLQVATLSLQGNRLTGKIPEVIGLMQALAILDLSENELVGPIPPILGNLTFTGKLYLHGNKLSGSIPPELGNMSKLSYLQLNGNQLVGSIPDEFGMLENLFELNLANNHLEGSIPHNISSCTALNQFNVHGNHLSGSIPLSFRSLQSLTYLNLSANNFKGNIPVELGHIVNLDTLDLSSNKFYGHVPASVGFLEHLLTLNLSHNHLDGPLPAEFGNLRSMQILDMSFNNLSNSLPPEFGQLQNIMSLILNNNNLCGEIPDQLTNCFSLMSLNFSYNNLSGVVPSTKNFSRFSADSFIGNPLLCGNWLGSICHPFILTSRVAFSKVAIACLLFGIVILLAMVTVAAYRYSQSKLLMNELSKRPPKLVILRMDMAIHTLEDIFRNTENLSEKYAVGYGASSTVYKCVLKNSRPIAVKRLFNQHAHNLKEFETELETVGSIRHRNLVTLHGYALTPFGNLLFYDYMPNGSLWDLLHGPSKVKLDWETRMKIAVGAAEGLAYLHHDCNPRIVHRDVKSSNILLDENFEAHLSDFGTAKCMSATKTHTSTYVLGTIGYIDPEYARTSRLNEKSDVYSFGIVLLELLTGKKAVDNDSNLHQLIMSKADNNTVMEAVDPEVSVTCISEGNVKKAFQLALLCTKRNPSERPTMYEVARVLVSLLPATPNTKIHAPPSKVFDYKHFVMEKGGQRQSQHDSNISSNAPWFFPFGDVISNNSL >OIW13973 pep chromosome:LupAngTanjil_v1.0:LG04:6172421:6173680:-1 gene:TanjilG_09324 transcript:OIW13973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSAFNLFLLSIAFFSLSCLSASLASSTKSQPFILPIKKDPSTNLFYTSLGIGTPRQNFNLVIDVAGENLWYDCDKNYNSSSYIPINCNTKTCPFIGCTGCNGPYKPGCTNNTCPANTFNTFAEYLFGGGLGEDFIYISQEKITGLLTACVETDSFSSFNPLTGLPKGTKGIIGLANSQLALPTQLASSNNLPPKFSLCLPSSNNLGFTNLVVKDVSKFVFQTTPLIVNPVATGPVSEEGVASKEYFIDVKSVKIDGHVLNLKPSLLSIDNKGNGGTKISTTTPFTELESTVYKTFIRDFFKKASDRRLKKVASVEPFEACFDSNTIGNSWPTIDLVLQGGVQWTIHGANSIIIAKKNVACLAFVDGGTEPRMSFVKASIVIGGYQLQDNLLEFDLASSKLSFSSSLLLQNETCSHS >OIW12624 pep chromosome:LupAngTanjil_v1.0:LG04:26175405:26179167:-1 gene:TanjilG_24557 transcript:OIW12624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFINSNRISSNPLSLASGSLCLKHPNFFVNSDMLDVLCHKGLHDSNLLIAYRTTRPLCLGQQSLTIQHSVSPEIGIHGIPMNNFSHSESRGVRLSKSSIGLDMHEPSSSNWSSTTSVYFKHIHFINDHGRSISRDLDGFPVTCSGNPFDNMIVIKQENRFEEANDHCFTHVSLQMEQGIPLQPNLLTFNRFKLFASKGFKFGPAFFSTWFTCGSIVGSIAPHQAFAIGGPNSVRGYGEGAVGSGQSCVVSKSELTFPLNKKVTGLIFLDCGSDMKSNHWVPGNPGVRKGKPGSGFGIGFTCGSIVGSIAPHQAFAIGGPNSVRGYGEGAVGSGQSCVVSKSELTFPLNKKVTGLIFLDCGSDMKSNHWVPGNPGVRKGKPGSGFGIGYGIRFKTQLAQIKVDYAMNAFQQRTLYFGISNLVL >OIW13678 pep chromosome:LupAngTanjil_v1.0:LG04:9378676:9388471:-1 gene:TanjilG_08020 transcript:OIW13678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVQGFEFMVDDVNVTDDDDDDKLVMDIESFLSVLDEDCDPLERCPDDSSLKNVSPSESDIHDNFQLQKRNSIADCEHENQGPSSQTCSSPNAFAGACRDSFYLVENDDICYTEIKGVSKCEIPAYSVGTSFPMANSNNIAIYGDNLNLNMWKSGNESQIKHVKDEIEYENALYSSIIDNVDLNFQEYETYLEEIIGQVSGQQENDSCASFETPFVDADRSSLIATSTDSTICQGSNVSSDYSDQFPSLNCYHGMSYRPVVANTLGCLPNGVFPQFWTTEEMVRSMKVENVESSADKSHVSGMQSSTTGGMSFQDCQFRLADSEYPLYFPSGVSFENRASVQLSACASYMSSEGQSFCFKDERDELVMPYPYSSQSDYNELNVGQEVKQLRGILPSVGCQIYDFRKCEDSDTIVKTERADYYQDTIDGTANKFPGNMGNLNFKSVDKSLSNAQASIATEKRFNCDMGEGEGKLIQHESVDSQLSKGSSERSRIEDDSDICIIEDTSHPEPTSRSAEHGNSLNMPQSSRCGYTQSCMGGGRRPKARDEQYILRVALQDLSQPKSEISLPDGLLTVSLLRHQRIALSWMVQKETSNVYCSGGILADDQGLGKTVSTIALILKERPPVLNTSNDGQKSELQTLNLDADDDLLPENGGVKKEFDTCQDMSRYPIKTMNLLVPKKGRPSGGTLIVCPTSVLRQWADELHNKVTCKAKLSVLLYHGSNRTKDPYELAKYDIVLTTYSIVSLEVPKQPLVDKDDEEKGTLEDHTVPSRKRKYPPNSSKSGKKVLNSMMLEAVARPLAKVAWFRVVLDEAQSIKNHRTQVARACWGLRAKRRWCLSGTPIQNAIDDLYSYFRFLRYEPYAVYTSFCSTIKIPISRSPSKGYRKLQAVLKTIMLRRTKGTLLDGEPIISLPPKSVELKKVVFSQEERNFYSRLEADSRAQFQEYADAGTVKQNYVNILLMLLRLRQACDHPLLVKRYNSNSLWKSSVETEMKLPQEEQLSLLKCLETSLALCGICNDPPEDAVVSICGHVFCNQCICEHLTGVDNQCPASKCKTRLSMSSVFSKATLNSFLSGQSSDNLPGSSGSEAGEFEPCSHTQPCDSSKIKAALEVLQSLSKPQCRTSKKNYAQHISGESIDCLRNSYGADSGKSFNDSPESQNLPGERSSDDSVGVAGEKAIVFSQWTRMLDLLEACLKNSSIQYRRLDGTMSVIARDKAVKDFNTLPGVSVMIMSLKAASLGLNMVAACHVLMLDLWWNPTTEDQAIDRAHRIGQTRPVTVLRLTVKDTVEDRILALQDPPEDAVVSICGHVFCNQCICEHLTGVDNQCPASKCKTRLSMSSVFSKATLNSFLSGQSSDNLPGSSGSEAGEFEPCSHTQPCDSSKIKAALEVLQSLSKPQCRTSKKNYAQHISGESIDCLRNSYGADSGKSFNDSPESQNLPGERSSDDSVGVAGEKAIVFSQWTRMLDLLEACLKNSSIQYRRLDGTMSVIARDKAVKDFNTLPGVSVMIMSLKAASLGLNMVAACHVLMLDLWWNPTTEDQAIDRAHRIGQTRPVTVLRLTVKDTVEDRILALQHKKRKMVASAFGEDGTGGQQSRLTVDDLKYLFMM >OIW13140 pep chromosome:LupAngTanjil_v1.0:LG04:20235005:20237035:1 gene:TanjilG_32121 transcript:OIW13140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKSFSSKKPSPFPPPTPKAIGTSCTKKKKSPRSPLQDLNRISSSSNSSYASSNVSIEPPKGCLKFLSSSSFKTPVSRPRNLSKTPNSVPDAPSLKQSKSKSSRENLSKANLGLQTKRLTSDRVPRTVRKNPMCLYQWQSGKKSGSRVSQKSKPCSALNEHGKTLPTLPSSSEELKWKEDRLEGINDSSVEHSQLKSCPSDATMTPLSKKVSWSDLDSTVYGDVEENLNRSTSRTPPIHSSLSPEIQGGSLVSTTTPACYGAGYVVSGVTDKRKCRPRGILTVEDNYSGFDKMGASIFDDDNEKKFTGVNKNLSPSLLPSPSEALVLWLSSPRNKGKKVLNRKSEIVLGECQGLVESISLGYSASPTSSSKTFWNISDSSDLSGAANGAGRKTSPSISPTGLSQCQAPFGSILSPSFPSILFSPNSTPNCSQHSSEKEKNDHHHLMDGNSPFSRNSLGSGNIIQTPQSDSSSDLHIGLSSVHADNQKEDNFNSDLTSLTDVLLSQDFLLNSSAQLEDSVHSSFQFDCSTVPYEPIDLRKLPNFSDDHDPWLSSSTIENVSQSRMRISWREGLMSQYEEDEFDCCRCLSDEEVLSNECCNKLSDPEVDVEDGNKNSDVGIVETLANKLGIYGPDKEVFPALLSSSCAESISTDGGGLVASTEGSDWTSCYKNKIFEL >OIW13638 pep chromosome:LupAngTanjil_v1.0:LG04:9626246:9630025:-1 gene:TanjilG_07980 transcript:OIW13638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPEIAKTQEDRKRMEQELASLTATSVVYDRDLYGGSDKDSYLTSIPANEDDDNVDAMDNEVARKLASYTAPKSLLKEMPGNTGSDADAEMGFRKPSRIYDREDEYRRRRLNQVISPERHDAFAAGEKTPDPTVRTYADIMREEALKREKEETLKLIAKKKKEEEEAEKSAPEKDKAQKRRNRWDMSQDNEGAAKKAKASDWDMPDNAATPGKWDATPTPGRVIDATPGRRNRWDETPTPGRIVDSDATPAGGATPGATPAGTWDATPKLPGMATPTPKRQRSRWDETPATMGSATPLPGATPAAGYTPGVTPVGGVELATPTPGALRGAITPEQYNLLRWERDIEERNRPLTDEELDAMFPQEGYKVLDPPASYVPIRTPARKLLATPTPLGTPLYQIPEENRGQQFDVPKEVPGGLPFMKPEDYQYFGALLNEEDEEELSPDEQKERKIMKLLLKVKNGTPPQRKTALRQLTDKAREFGAGPLFNRILPLLMQPTLEDQERHLLVKVIDRVLYKLDELVRPYVHKILVVIEPLLIDEDYYARVEGREIISNLSKAAGLATMIAAMRPDIDNIDEYVRNTTARAFSVVASALGIPALLPFLKAVCQSKKSWQARHTGIKIVQQIAILIGCAVLPHLRSLVEIIEHGLNDENQKVRTITALSLAALAEAAAPYGIESFDSVLKPLWKGIRQHRGKVLAAFLKAIGFIIPLMEALYASYYTKEVMIILIREFQSPDEEMKKIVLKVVKQCVSTEGVEADYIKSDILPEFFKNFWVRRMALDRRNYKQLVETTVEIANKVGVSDIVARIVEDLKDESEPYRRMVMETIEKVVTNLGASDIDSRLEELLIDGILYAFQEQTSDDANVMLNGFGAVVNSLGQRVKPYLPQICGTIKWRLNNKSAKVRQQAADLISRIAVVMKQCHEEQLMGHLGVVLYEYLGEEYPEVLGSILGALKSIVNVIGMTKMTPPIKDLLPRLTPILKNRHEKVQENCIDLVGRIADRGAEFVPAREWMRICFELLEMLKAHKKGIRRATVNTFGYIAKAIGPQDVLATLLNNLKVQERQNRVCTTVAIAIVAETCSPFTVLPALMNEYRVPELNVQNGVLKSLSFLFEYIGEMGKDYIYAVTPLLEDALMDRDLVHRQTAASAVKHMALGVAGLGCEDALVHLLNFVWPNIFETSPHVINAVMEAIEGMRVALGAAVVLNYCLQGLFHPARKVREVYWKIYNSLYIGAQDALVAAYPALEDEQSNVYSRPELMMFI >OIW12551 pep chromosome:LupAngTanjil_v1.0:LG04:26832314:26837509:1 gene:TanjilG_04715 transcript:OIW12551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEDEDLEIAIRMSMQQVDDVVTGDVSSDSGESMTRRRELMAAAAEKRMAAATVISPSPSPSPSPSPATVDKGKEVKSRELTVEEANELFVMVFGSDVSKGILARWCNQGIRFSSDPATSMGLVQHEGGPCGVLAAMQAFVLKYILFFSDELKEVPSVPNRGLGSSSKSQPVPSFDFASLSEGVKLRALVRSMGEILFSCSGNRNAVIATMTIPGNGIQHFEGISEDEAFVRSLEGLSIESALDLQKVLRVETYTSQTTALKRLEANIHLFQSGVGALLFLISALLSRGLDLVQSDRDDPSLPLVTAPFGHASQEIVNLLLCGEAVPNVFDGRMDLGGGMFLKGISRNVEVGFLTLLESLNFCKVGQFLKTPKWPIWVVGSESHYTVLFALDPSIQNENELEGRETQIRKAFDAQDQSGGGGFISGDGFHQVLRETNIKLPQQKVEQLSNTDFIVWSEFWQVILDLDKNLGGLKDSSGLMGKKVFELYHFNGIAKSDLNGSQANYGRETPLQKPRLTKLRVSVPPRWTPEEFMADVKVSSASGEGESSGSTAEVSKPEPSQHAPLVDCIKTRWARAVCTWSGDPPSIV >OIW12534 pep chromosome:LupAngTanjil_v1.0:LG04:26953728:26956331:-1 gene:TanjilG_04698 transcript:OIW12534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLKCETTTFLQPSTSDHNHNSAFQDESGLLSSPSFCAPDSRCYKFVPQNSHVKVPDDVNHLLHRSDEPYLPPCRYKGAVILGSQDESEWPVLSVLPSYGRGRDAPDGRFSSLIFGTNLTDVIITGNNGTIDGQGPYWWGKFKKNEMTLTRPYMIEIMYSTQIQISNLTLVNSPSWFVHPIYSSNIIIQGLTILAPVDSPNTDGVNPDSCTNTKIEDCYIVSGDDCIAVKSGWDQYGIKVGMPTQKLLIRKLTCISPDSAMIALGSEMSGGIKDVRVEDNTAINTQSAVRIKTAVGRGAYVKDIFVKGMTLKTMKYVFWMSGAYGSNADSGFDPKALPKITGINYRDITADNVTYSAKLDGITNDPFIGICISNVHIKVSEENKKLQWNCTDIAGVTSNVTPQPCSLLPEKKGHDCPYPKDKVPIDNVMLKTCSF >OIW13718 pep chromosome:LupAngTanjil_v1.0:LG04:9091877:9094698:-1 gene:TanjilG_08060 transcript:OIW13718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSKIVGVIILCFALACYKGQNVTKDALAPAEKIVNVMSFGAKPDGNFDSTQAFMNAWRIACKSPGQNKLLIPQGRFLVSSMYFAGPCMAPLPITVQVVGTVLATTDISDYENVEWLMFEDIDGLNLIGGGTFDGQGHNSWSRVVDCEKTPSTCIRNPSSLFFNKVTNGVIMDIKSLNSKGFHVFVTNCANIRLIGLHITAPSTSPNTDGIHVSHSTHVRIFRSVIGTGDDCVSIIQGVKNVTIERVDCGPGHGISIGSLGKYEHELEVREVRVLNCTFVGTDNGLRIKTWPDRYPGAASNITFHDITMQRVRNPIIIDQEYQCSPANCQKKVSLCLSPFFIL >OIW14114 pep chromosome:LupAngTanjil_v1.0:LG04:4144817:4146730:-1 gene:TanjilG_21254 transcript:OIW14114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLQIQMAAEYYDFGPHKIPQSSVFYTTDLSFAFVNLRPIVPVKRVADLTAEEITEMWIIAQKLGRKLESYHKASSLTFVIQDGPQAGQSVPHVHIHILPRKSGDYENNDDIYDEINEKEEELKQRLKVDIEGNERSIEEMAQEANEYRKFVF >OIW12711 pep chromosome:LupAngTanjil_v1.0:LG04:25494526:25506166:-1 gene:TanjilG_24644 transcript:OIW12711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVETRRSSSSSKRTLSSPSPSTSKRSKVSDDASPSALPGPGIVAPVNESGNGNDSREPELRSADLPDTASLKPVDVCDEDKPPSEPVEGEDMVSPQYPGETATDVDKSKTAGAAAGRSKKRVTKSTKSAPKAAWGKLISQCSQNPHLSMSEPIFTVGQGRHCNLWLKDPSVGNVLCKLSHIERGGSSIALLEITGGKGSVQVNGKTHRKNVRLILSGGDEVVFGSSGKHAYIFQQLTNNNLTTGIPSSMSILEAQSAPMNGIQVEARSGDSSAVARASILASLSNLHKDLSLLPPTAKTGKNVQQNTDISSPPSGHGDDIPDNEMKPSLNNDEPSAGVYADKTVLASSIVGNENPSLDTMEVDADLDADVGKITASFELRPLLRMLEGSCPELDLSGSISKILEERRELRELLKDVNTLTTLASTKHQAFKESLQQRILNADKIDVSFEDFPYYLSDTTKNVLTSSTYIHLKCGGFGKYASDLPSVSPRILLSGPAGSEIYQETLCKALAKHFGAKILIVDSLSLPGGAPSKEVDSAKESSKSERPSVFSKRSTQATTLQQKKPASSVDAEIIGGSTLSSQATLKQEVSTASSKGTILKTGDRVKFVGNFPSAVSSLQSNPSRGPSYGSRGKVLLAFEDNGSSKIGVRFDKPIPDGNDLGGLCEVDHGFFCSANHLLRVDGTGGDDIDKLAINDIFEVVCNQSKSGALVLFIKDIEKTMVGNSEILKSKFENLPQNVVVVGSHTQLDNRKEKTHPGGLLFTKFGSNQTALLDLAFPDNFSRLHDRSKETPKVTKQLNRLFPNRVTIQLPQDEALLSDWKQQLERDIETMKAQANILSIHSVLNRIGLNCSDLESLCIKDQTLTTESVEKIIGWAISYHFMHSTESSTKDSNFVISAESIKYGLSILHGIQNENKSSKKSLKDVVTENEFEKKLLGDVIPPTDIGVTFDDIGALENVKDTLKELVMLPLQRPELFCKGQLTKPCKGILLFGPPGTGKTMLAKAVATEAGANFINISMSSITSKWFGEGEKYVKAVFSLASKIAPSVIFVDEVDSMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKDKERVLVLAATNRPFDLDEAVIRRLPRRLMVNLPDAPNREKIMRVILAKEDLAPDVDLEAIANMTDGYSGSDLKNLCVTAAHCPIREILEKEKKERNSALAENKPLPVLHSSVDIRPLKMEDFRYAHEQVCASVSSESSNMNELLQWNDLYGEGGSRKMRSLSYFM >OIW13950 pep chromosome:LupAngTanjil_v1.0:LG04:5903886:5905193:1 gene:TanjilG_09301 transcript:OIW13950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAQVPSTRAFHPNSVSLSTKGRNFPSITLQPRAPRFQVSCAAKPETVEKVVNIVKKQLALPGDSSVTGESKFSALGADSLDTVEIVMGLEEEFGITVEEESAQTITTVQEAADLIDKLLESKA >OIW12953 pep chromosome:LupAngTanjil_v1.0:LG04:23182507:23188269:1 gene:TanjilG_15402 transcript:OIW12953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLPMSCILLMCLFLTSSFPFPIKASFNLSLPHQHSHPQSVVNELQRKVNISLSRRELLSKDQACLTGNPIDDCWRCDPNWAANRQRLADCGIGFGRDALGGKGGQIYTVTDSSDSNPSNPIPGTLRHAVIQDEPLWIIFSADMTINLRHELIFNSFKTIDGRGANVQITGHGCITLQYVSNIIIHNIHVHHCKPSGNTNIRSSPTHVGFRGISDGDGISIFGARKIWIDHCSLSYCTDGLIDAIMGSTGITISNSHFAHHDEVMLLGHDDKYMPDKGMQVTIAFNHFGEGLVQRMPRCRHGYIHVVNNDFTQWEMYAIGGSASPTINSQGNRYTAPSNPDSKEVTKRVETNENDWSDWNWKTDGDIMVNGAFFVPSGAGASAQYAEATSLQPKSAGQIDQLTMYSGAFGDPRDNGDLYPGFNGGGTVTGSSKGKNVPGSSSGGDDGNIFGMIFGGGSSHAPPPSPSSFIIHFN >OIW12892 pep chromosome:LupAngTanjil_v1.0:LG04:23930654:23931337:1 gene:TanjilG_24825 transcript:OIW12892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNPRNQEKEYRMLQLDKWAKMEKLVQDDFAIDFVKFSRCSDVNYYYEVSESRRQVLENIMEALITPNINMIGVCGKDDDNNIASLLQRVIRRGWRDNLFGMIVMISIREKPDIRRIQEEIAHEIGCSFQRQVKQEKKTRCCGFNNYFEFHSANTKKFATENAQQLYDKIRSVPKILFILRDVRSRIDLAKLGIPFGVDHQGCKLILISESNDILSNHMNAQCTFMF >OIW14283 pep chromosome:LupAngTanjil_v1.0:LG04:1015356:1027322:1 gene:TanjilG_21423 transcript:OIW14283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METTMAMFMHISSYRVLYGTACSSSRRTNSSGLFNSRGISSVKVLSLSNMSNYCTHSRDEISSSKRRSRGPVMAGKKAAEGTKQEDGKYKHTVDLPQTKFGMRANSSVREPEIQKMWEENQIFQKVVDKNSGGNFVLHDGPPYANGDLHIGHALNKILKDIINRYKSLDQEARKNLTPSKLRTKAAKFAKETVKTQMASFKRFGVWADWNNPYLTLDPEYEAAQIEVFGQMALKGYIYRGKKPVHWSPSSQTALAEAELEYPEGHVSRSIYVIFRVVSAPQVSSDLLQEFPDLWLAIWTTTPWTIPANGAVAVNTKLEYAVVEVEPLTEHASSSVETRKKRLGNVLKDEKKLFLIVASELVPKLEAKWGVKLVVKRRQLGSDLENYRYIHPVDNTERPVVIGGDYITTEAGTGLVHTAPGHGQEDYVTGQKYGLPIICPVDENGIFTEEARQFSGLDVLGEGNTAVVKYLDENLSLIMEESYEHKYPYDWRTKKPTIFRATEQWFASVEGFRDAAMDAIGHVKWVPPQGENRISAMTSSRSDWCISRQRTWGVPIPVFYHLPSREPLMNEETIDHVKSIISQKGSDAWWYMPVEELLPPKYRNKAVEYEKGTDTMDVWFDSGSSWAAVLGKRGPLSLPADLYLEGTDQHRGWFQSSLLTSIATRGKAPYSCVVTHGFVLDEKGLKMSKSLGNVVDPRSVIEGGKNQKEAPAYGADILRLWVSSVDYTGDVMIGPQVIRQMSEIYRKLRGTLRYLLGNLHDWKTDYTVPYNELPRIDQHALFQLENVVKNIQVNYESYQFFKIFQILQRFVIVDLSNFYFDVAKDRLYVGGSTSYTRRSCQTVLAAHLLSIVRVIAPILPHLAEDVWQNLPFQYTTEYGSVAEYVFESRWPTLNERWLALPAEEIDLWEKILELRTEVNKVLEVARTGKLIGASLDAKVHIYTSDASLASQLCELCAAETDADTLNRLFITSQVEILSSLEDEHNANIPYSGECLIQGNSKVWIGISSAGGSKCERCWNYSEHVGSFLDHPTLCTRCHDVVSVQMPPQVALVT >OIW13343 pep chromosome:LupAngTanjil_v1.0:LG04:15043386:15045831:-1 gene:TanjilG_02863 transcript:OIW13343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEARDRRVVPVDVAAVYVRRRDSVLLRSAIFTDSTEPAPIRDGGSRTPIRRGGGGGGGNENTTVGAVTGGRGRGSVRRGSTMRRSVLPSWNPRTPLRDITTILRPTERRRTRLGEDESQQTRNLFSVPASDSQLQHNEVSVKLRTPLGSKVPKLMLDIASPSLESSELTPQKQLLNSIDTVEKFVREEIQKLKGTPRAKKAEREKRVRTLLSMR >OIW13730 pep chromosome:LupAngTanjil_v1.0:LG04:8738262:8739697:1 gene:TanjilG_17909 transcript:OIW13730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSHSWFSALLSNSQSELYLGGHDDLDGRDDLSAEFICPFCAEDYDVVSLCCHIDEEHPLEAKNGRKRRLTKGGSSSTFSILRKELREGALQSLLADSSCTASATSEPDPLLSSLIFSPPAADESANAQPWPSVEATLVKESSKDGFLERNPQKLSEEDQVEKVRRCEFVQGLLMSTILDDNS >OIW13371 pep chromosome:LupAngTanjil_v1.0:LG04:13847518:13850616:-1 gene:TanjilG_16480 transcript:OIW13371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTQHRSSKSMYQQSFDSLLYDHTNNKSDSKHFDLQPSPFNFPTSSTTISTAAPPTHNSKPRHRRSVSAGAPLIYSGGASTISTPTPAGKTLKPGLPSRGSTRTDVLGSGTVNYGRGSIMRGGSKLSINSNSNSNSGDDNPVGACVSMVKQRAMIGCSDPEEVKRVGNELYKNGNFAAALVLYDRAVSMSPGNAAYRSNRAAAFTALGRLGEAVRECDEAVKLDHSYARAHMRLVSLYMRFGQVENSRRHLFLSGLQEDQSEEQKLRLLENHLNQCEDARKVGDWKRALRESEAAIAVGADFSPQLVACKAEAYLKLRRFDDAESCLSNIPKLEGCPPACTQTKFFGMIGDAYVPFVVAQIEMALGRFDNAVTAAEKASMLDYSNIEVAKIVNTVRMVARARSRGNDLFSSCKFSDACFAYGEGLKYDNANYVLFCNRAICWSKLGLWEQSVQDCNQALNIQPNYAKALFRRAASNTKLERWAEVVKDYEALKRELPHDNEVAESLGQARLALEKSRGVVYGTKFGVEVEEISVLDKFKAAIGSAGVSVVYFKEAWNKVDVEECLAIAKAESIRSVPTFKIYKNGEKVKEMICPTHQFLEDSLKKSISF >OIW14023 pep chromosome:LupAngTanjil_v1.0:LG04:5593449:5594684:-1 gene:TanjilG_11368 transcript:OIW14023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDDEIQAQQACKYPRIGSKRNESSKLGGGDGGSKNCFHSGSNWNNASRIVRVSRASGGKDRHSKVMTSKGLRDRRVRLSVTTAIQFYDLQDRLGYEQPSKAVEWLINAASDAISELPPLNTTFPDTPNQQSDEKRPCFDEVDGDTNNYQNQCQSQNLSLSKSACSSTSETSKGSGLSLSRSEIQVKAKEKAAKDKEKENESSQIAHHHHHHHHNVTQTASFTELLTGGFGNVATTATSPNGAAGDETNLFNKAKQWSHMAMDYFNSGLMVGPSSSSRNVSPFSAENQSEQLQHFPFITDHNFVPSSQSSGNDYNLNFTISPCLAGYNRGTLQSNSTSHIMPHMQFSGSTNLPFFIGGEASHSASAAPTIDATNHHQQQQLQFSPVFDGRLQICYGDGTRHPDQKGKAKN >OIW13476 pep chromosome:LupAngTanjil_v1.0:LG04:10927077:10938632:1 gene:TanjilG_01044 transcript:OIW13476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLSRELVFLILQFLEEEKFKESVHKLEKESGFFFNMKYFEEKVQAGEWDEVEKYLTGFTKVDDNRYSMKIFFEIRKQKYLEALDRQDRASAVEILVGDLKVFSTFNEELYKEITQLLTLNNFRENEQLSKYGDTKTARGIMLIELKKLIDANPLFRDKLVFPTLKSSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFTDHSCAPPNGPLAPTPVNLPVAAVAKPATYTSLGAHGPFPPAAATANANALAGWMANASASSSVQAAVVTASTIPVPQNQVSMLKRPRTPPPAPSMIDYQNADHEQLMKRLRPTPSVEEVSYPTARQVPWSLDDLPRTVAMTLHQGSSVTSMDFHPSHQTLLLVGSNNGEIALWELGLRDRLVSKPFKIWDKTNCLPFQAAAAKDAPISVSRVSWSPDGNFVGVAFTKHLIHLYAYTAPNELAQRIEVDAHIGGVNDLAFAHPNKQLCIVTCGDDKLIKVWDLTGRRLFNFEGHDTPVYSICPHHKESIQFIFSTAIDGKIKAWLYDNIGSRVDYDAPGHWCTTMLYSADGSRLFSCGTSKDGESFLVEWNESEGAIKRTYNGFRKKSAGVVQFDTTQNRFLAAGEDGQIKFWDMDNINILTSTDADGGLQGLPRLRFNKEGNLLAVTTLDNGFKILANAVGLRSLRTVETPAFEALRSPIESAAVKNGVEPMGRNVEKPRNAEDVIERPKPWQLSEIVDPVQCRLATMPDNTDSSSKFIFSTAIDGKIKAWLYDNIGSRVDYDAPGHWCTTMLYSADGSRLFSCGTSKDGESFLVEWNESEGAIKRTYNGFRKKSAGVVQFDTTQNRFLAAGEDGQIKFWDMDNINILTSTDADGGLQGLPRLRFNKEGNLLAVTTLDNGFKILANAVGLRSLRTVETPAFEALRSPIESAAVKVSGSSVNISPVNCKVERSSPARPSPILNGVEPMGRNVEKPRNAEDVIERPKPWQLSEIVDPVQCRLATMPDNTDSSSKVVRLLYTNSGAGLLALGSNGVQKLWKWSRNEQNPTGKATASVVPQHWQPNNGLLMTNDITGVNLDDAVPCIALSKNDSYVMSACGGKVSLFNMMTFKVMTTFMPPPPASTYLAFHPQDNNIIAIGMEDSTIHIYNVRVDEVKSKLRGHQKRISGLAFSTNLNILVSSGADAQLCVWSIDTWEKRKSVPLQLPAGKTPVGDTRVQFNSDQIRLLVAHETQLAIYDASKMDRIQQWVPQDVLPAPISNACYSCNSRLIYATFCDGNTGVFDADSLRLKCRIALSTYLSPTALNGSQGVYPVVAAAHPLDPNQFGVGLSDGSVKVIEPNESEGKWGTNPPLDNGIGRTASSSTTSNHTPDQAQR >OIW12542 pep chromosome:LupAngTanjil_v1.0:LG04:26910728:26911808:1 gene:TanjilG_04706 transcript:OIW12542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLKQVSLILFPLLLISLFNFSNAAGIAIYWGQNGGEGSLADACNTNNYQYVNIAFLSTFGNGQTPQLNLAGHSLDGLNGDIKGCQGQGIKVLLSLGGGAGSYSLNSADDATNLANYLWNNFLGGSSDSRPFGDAVLDGIDFDIEAGGAQHYDDLARALDAFSSQKKVYLAAAPQCPIPDAHLDAAINTGLFDYVWVQFYNNPQCQYASGNTNNLINSWNQWTSSQAKQVFLGLPASEAAAPSGGFIPSDVLISQVLPTIKTSPKYGGVMLWNRFNDIQTGYSDAIKGSV >OIW12658 pep chromosome:LupAngTanjil_v1.0:LG04:25872994:25875623:1 gene:TanjilG_24591 transcript:OIW12658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSISVIVYKNTSISFVMRLEGYSKMGWKAAEKLIRHWKILRGDNVMVIRGKDKGETGVIKRVIRSQNRVIVEGKNLVKKHIKQGQGHEGGIFTVEAPLHASNVQVVDPVTGYAHVLQIEVVITSSRDGTKVRVSRGIGASGSIIPRPEILKIRTTPRPTVPGPKDTPMDLVLEKTYDGKAGRGMPEL >OIW13234 pep chromosome:LupAngTanjil_v1.0:LG04:17377055:17377366:-1 gene:TanjilG_02368 transcript:OIW13234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >OIW12531 pep chromosome:LupAngTanjil_v1.0:LG04:26965487:26967671:-1 gene:TanjilG_04695 transcript:OIW12531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSDVLKLFCTQVIVGVISIIVILVSECGALQYQAMNCRKHSAVLTDFGAVGDGKTSNTKAFKTAITNLSQYAKDGGALLVVPPGKWLTGSFNLTSHFTLFLQKDAVILGSQDESEWPSLPILPSYGRGRDAPAGRFSSLIFGTNLTDVIITGNNGTIDGQGAYWWGKFKKKELTLTRPYMIEIMYSTQIQISNLTLVNSPSWFVHPIYSRFDSCTNTKIEDCYIVSGDDCIAVKSGWDQYGIKVGMPTQQLLIRRLTCISPDSAMIALGSEMSGGIKDVRAEDNTAINTESAVRIKTAIGRGGYVKDIFVKGMTLKTMKYVFWMTGAYGSHADSGFDPKALPEITRINYRDITADNVTKSARLDGITNDPFTGICISNVQIKVSAEKKKLQWNCTNIAGVTSNVTPQPCSLLPQKEGQDCPYPKDKLPIENVQLKTCSL >OIW13524 pep chromosome:LupAngTanjil_v1.0:LG04:10255015:10261954:1 gene:TanjilG_29265 transcript:OIW13524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFCLFFQVLNCEYAVRGEIVTLAQKLQQDLQANPGSHPFDEILYCNIGNPQSLGQYPITFFREVLALCDHPTLLDKSDSHGLFSSDSIERAWRILDQIPGRATGAYSHSQGIKGLRDTIASGIEARDGFPADPNDIFLTDGASPGVHMMMQLLIRSENDGILCPIPQYPLYSASITLHGGKLVPYYLNEETGWGLEIPELKKQLEDAKSKGINLRALVVINPGNPTGQVLPKENQQEIVKFCKEEGLVLLADEVYQENIYVPEKKFHSFKKVSRSMGYGENDISVVSFQSVSKGYYGECGKRGGYFEVTGFSSEVREQIYKMASVNLCSNVSGQILASLVMSPPEVGDDSYESYFAEKDEILTSMARRAKTLEEAFNKLEGVTCNKAEGAMYLFPRIQLPNKAIESAKAENSVPDAFYCKQLLQATGIVFVPGSGFGQVPGTWHFRCTILPQEEKIPAIITRLTKFHENFMDQFHD >OIW14328 pep chromosome:LupAngTanjil_v1.0:LG04:360781:363325:1 gene:TanjilG_21468 transcript:OIW14328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSSISIIDLKPYNDECKNGLVEDISKACKHLGMFQVINHGVPYDICQTLMVTLQEFFDLPYEERALFFTQDHSKLVKIFNYYFKGDDQRKVSMWSETFSHPWHPIEDFTHHLPNNPPQYREVFSAYAKEIGTLMNKVLRLMSKGLGLEEDTLVKRLEERPNFYSQANYYPPCPEPELTMGLNEHNDITALTILQQFDGVSGLQVKYDGKWIQVDPIPGALVIILADQMQVLSNGIYKSPIHRAVTNKLLPRLSLAMFYAPNDETLIGPIENLINEEYPPIYRSYKYKEYMEEFYRQVGKRRKVKEAFQI >OIW13295 pep chromosome:LupAngTanjil_v1.0:LG04:15521496:15524689:-1 gene:TanjilG_25401 transcript:OIW13295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFCKKYQEYMQSQKKKLPVVGFKKLKKIMKKCRRDYQPKEGLNGSLPIKTCPDHCPVCDGTFFPSLMNEMSEVVGCFNQQARKLLELHLASGFRKYVFWFKDKLKGNHNALIHEGKDLVTYALINSIAIRKILKKYDKIHYSKQGQLFKSKVQSMHMEILQSPWLCELMAFHINLRETKVKSSEAPTFFDGCSLTFKDGKPSLTCDFFDSIKVDIDLTCSICLDTVFDPVSLTCGHIFCYICACSAASVTIVDGLKTANPKEKCPLCREGRVYEDAVHLEELNILLGRSCQEYWEERRQTERVERVKQIKEHWESQCKAFVGF >OIW14324 pep chromosome:LupAngTanjil_v1.0:LG04:378143:396524:-1 gene:TanjilG_21464 transcript:OIW14324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IRRFAQLAREDYLQLRQEACELQEYSNAKIDRVTRYLGVLAEKTRKLDKAALEAEARISPLINEKRRLFNDLLTSKGNIRVFCRTRPLFEDEGPSVVEFPDDYTIRVNTGDESLSNPKKDFEFDRVYGPHVGQAELFSDVQPLVQSALDGYNVSIFAYGQANSGKTHTMEGSSYDRGLYARCFEELFDLVNSDTTFTSQYKFRITVCELYNEQLRGFHVLIFRIVTIHIFYNCMTTGESSHSKLYLVDLAGSEGLITEDDSGGNSKTLMIVNVCPKFSNLSQTLSSLSFSARARNSALSLGNRDTVKKWRDVANDARKELYEKEKEIHDLKQEGLGLKQALNDANDQCILLFNEVQKAWKHSSALQNDLKSEHILLSDKHKIEQEQNTQLKNQVSQLLQLEQDQKLQIQEQDLAIQSFQAKITTLETQLNEALSSRETKSPSVPDADSTTLSNSSQTGDGVDTSAVTKKLEEELKKRDALIERLHEENEKLFERLTEKASLAGSPQPTPFSKGSVNAQPRDMGRNGTSNNATRSTDVLPSPLGADKNDGTVAIVKSGSEIVKTTPAGEYLTTALNDFDPDQYEGHAAISDGTNKLLMLVLAAVIKAGASREHEILAEIKDAVFSFIRKMEPKRVMDTMLVSRVRILYIRSFLARSAELQSIKSRSSSRGSSPGRSPVQYVGEQIHGFKVNLKPEKKSKFSSVVLKIRGIDQDIWRQQVTGGKLREITEEAKSFAVGNKALAALFVHTPAGELQRQIRSWLAENFDFLSVSGNHGSEESTGQLELLSTAIMDGWMAGLGAALPPHTDALGQILYEYSKRVYTSQLQHLKDIAGTLATEEAEDTAQVSKLRSALESVDHKRKKIMQQIRSDIALLTLENGGSPIQNPSTAAEDSRLASLISLDGILKQIKVLFDQDITRLSSVNTLSKSKKRTIIASLDELTKQMPSLLEIDHPCAQRQIAEARHMVESIPEEDDHIQDLSHAPRQSTDPAFGSEIDVAQWNVLQFNTGANLPFIIKCGANSNSELVIKADARVQEPKGPEIVRVAPRPSILENMSLEEMKQVFSELPESLTLLALARTADGTRARYSRLYKTLASKVPSLKDLAGELEKGGAV >OIW14207 pep chromosome:LupAngTanjil_v1.0:LG04:2506135:2507547:-1 gene:TanjilG_21347 transcript:OIW14207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGVETMELETMYPSCFMLSSNGFVQESHNTEWTKEENKNFESALAIYDKDTPDRWLKVAATIPGKTVFDVIKQYRELEEDVSEIEEGHVPVPGYLESSFMFELVENHSYDACRKRAATTVKGSDQERKKGVPWTEEEHKRFLMGLLKYGKGDWRNISRNFVFTKTPTQVASHAQKYYIRQNVSGGKENKRRPSIHDITIVNLAETTSLDKDIPLVSNDSHLPSPQPKLSSMQKAQLEWINNQYDESNMVSNPNCEDMFMPSSSVITSKILKLQGQDIYDCAFHEVHAKQKIPGLRTDSRSINKEAIFGIHAL >OIW13522 pep chromosome:LupAngTanjil_v1.0:LG04:10237513:10238391:1 gene:TanjilG_29263 transcript:OIW13522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDTSEKLGESPATELTGKIMIVAIIILFLVVVFCFFLHLYAKFTLCTGSHRHRRRRHRFVFATSQEPPSHGALRKGLDPLVLRSLPIIVFQSEEFKDGLECAVCLCDVVDGEKTRLLPKCNHGFHVECIDMWFQSHSNCPICRNPLDSESCSSNDRQNASENIEPLEPENSPNFPTNVFIWGNQSQISSIGALLEDNSSNSSSSSSTIATTTCTSASCSSSRNHGMLVIDIPSESTSSSMSPSASRFAEDDLKSPISARLRSLKRLFSWDRRLNPSTPSPVDVEQGGEAQH >OIW13093 pep chromosome:LupAngTanjil_v1.0:LG04:21218572:21220859:-1 gene:TanjilG_08126 transcript:OIW13093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPLQQTSTLVPPPTKNIFLTPSSDNSYTNWSQINLLNSYYPIEFSPNNNAMEVSHEYLYNCKGILDFPQQIPTQYDAILQPHVGLSLAPPPHIYASMVVNPRLEDDISHITRAGNGHEDTNHKIVTNTIKSQWTPDEDRVLVESVRRFGLKKWSHIAKLLDGRVGKQCRERWFNHLRPNIRKDSWSEEEDLILIEAHKNVGNKWAEIAKRLIGRTENTVKNHWNATKRRLNTKKRINKRIIPNGELLFNYIKQVTTKALAKKEVKKSMSEMNLRSENHNDLNFHPVWYKNSENYYENDGVDWLLHSYAPMMVDAGEMASGSGMKDI >OIW13278 pep chromosome:LupAngTanjil_v1.0:LG04:16025025:16025369:-1 gene:TanjilG_25757 transcript:OIW13278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGAGVIRPSISPYSSPIILVKKKDGGWRFCVDYRALNKVTTPNKFPIPLVEELLDEIGGAQIFSKLDLKSVYHQIRMREEDVAKTTFRTHEGHYEFLVMPFDLTNAPLPSKLS >OIW13831 pep chromosome:LupAngTanjil_v1.0:LG04:7615013:7617145:1 gene:TanjilG_31720 transcript:OIW13831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSNNLEPSSPPLVFTVHRKEPELVAPATPTPHEIKQLSDIDAQSGIHVNIPVIQFYSNDPSNEGKDPVKTIRDALSRALVPYYPLAGRVREGSGGKLLVDCNEEGVMFIEADADVTLEQFGELKSPFPCFEELLYEAPGSEGVINSPILLIQVTRLKCGGFSFALSFNHTMTDGGGVILFMYTLAQIAAGHEPSLPPPVWRRELLIARDPPQVTQSHHEYEQLTDTSNVVPTDFTQHSFFFGPAQIDTIRRLLPNHISQSSSTFEVLTSYIWRCRTKALQLDPEEDVRMLCVTNTRDKFNPPLPVGYYGNCFALAAAVTTAGKLTTEPLEYALDLIKNAIGKINDEYMHSLADLMVTKGFPLFTIVNSILVLDTTEAGFRDVDFGWGKALYGGIAKAGAGAFPSVNFHVPYKNSEGVEGILVLVSLPSPIMKIFAKELDDMLN >OIW12651 pep chromosome:LupAngTanjil_v1.0:LG04:25934831:25936721:-1 gene:TanjilG_24584 transcript:OIW12651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWNNQGFGKIGADLENQRKPLYPMMAESPELRWSFVRKVYSIIAIQLIATIAVGYFVVAVPPVANFFSNSGVGVAVYILIAFVPFLTLCPLAYYYQSHPVNYILLAIFTISLAFTVGLSCAFTDEKIILQAVILTAVVVIALTLYTFWAASRGHDFNFLGPFLFGALFVLIVFGFIQILFPLGSLSTMIYGCIGAIIFCGYIVYDTDNLIKRYSYDEFIWASVSLYLDIINLFLTLLSILRTAEDG >OIW12541 pep chromosome:LupAngTanjil_v1.0:LG04:26912913:26921494:1 gene:TanjilG_04705 transcript:OIW12541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METEGLSVTCVGLGSIEEDDYGNRIGYANTEYCLDNLKDLLRFLRRDDPQSRTVFKQVCKWNIVSKNLIPIIEYCHHDRNLLLNAVKVLVFLTMPIEPSSTDIPQQLEYLWGLKAAVTNSDVAAVTVSILEKPLENLECNAFTEDDWKLVQLVLTLFRNILAVQEIPLQQQSGGFASQLLSLRDRFLELLFNENVTDIILAIAQYVGGSNVYLRQDNLLLLEIFHYIFMGQDPELIVKGHLNGLKADEGSQASLSSLQSIMEEEKKKINICRLNNMSRHSQFSGTFARLTMDGSKAVIKGNPNSSRNILHKSQNIIRGPTKKIAWDHPKLPSTKDKILELLHGFLNQFLSVGYNVLMRSIREDIEKEHPAIQRSDVVVFFEVAEFVTAFQFYKYSTSKTKEGGDAFETFGDKDADTSDFSGQLCGPIASSLNESMFQLVISKWRNAYDGLKETNDYKFLSAAGSLLKNMIRMLDLVLKLLPEDSKEPQTTRILLYKLFYDQTEEGMTHFLLNLMKMFDTYKQPKSDLADLVEIIHKVIKLMNNLQSRGTLRVSKKSRKVKKKKFPEETISGNKPTEDHSSIQKEADTPVGNQLTENQLLQKEYQLNPSSTGDEDSAVPNDNEHENDDDEGRNSHGCLEPMESKTSEHANEDMLDGIDDFSEDEQLNAFNEVDFNVSTLISAFANHSIIQNLCWLLKFYKSNSLATNHYIISMLRRISEDLELHPMLYQLSLLMTFYDILAEQKSCPSKDYADIVDFLTSLVRKMLKKMKRQPLLFLEVLFWKSRRECHYINAEYLLGELGHLKKETRSWNSNQRDGEIGSSPVKAWTHRSIADALGDDEADVVITHDLGYQSNREKVDGVVDELTSTSGNKIDEDDNNGERLMEDESGTGIAPRRKKKLILDGESERQIKDLYEKFKDNPHCSRHIAEVLDPDGNISPAQISNKLKKLGLKVARRKNIGDSDEPLTTSPIQLEAQGFTNNDAVNLEGESLLVQHLQKRKRVCAFNEDQEALIRVLYEQFKDHKRCSYMIANAVDLDGKFTPAQVSRKLKQLGLSLPQKRNFGGKMHPKSEDLMAGSKDKMDKSDDETLISLIGREKVGNRKVVSNERLHEKTGEDKLSKDDSDDEMLSSVLKNKMKNRKVLSEQLHEHTSEDTLSRDDSGDEMLSSVLKKTRRSLLKPKNVELETIQIQEKILDNDSFNEGIQNVSGSSENGEGMKNEQVDDELMDSVDDELMDSVDDELMDSKDDELMDSKDEVSVSTFSNNKVTRRKLRMVIDPEDDDD >OIW13849 pep chromosome:LupAngTanjil_v1.0:LG04:7810373:7812377:-1 gene:TanjilG_31738 transcript:OIW13849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMLRFVLAISILSSLLLTTSAQTCTQQTLTTNKVFTTCLDLPYLTSYLHWSYDQATGKLDIAFRHTGITSIDKWVAWAINPNNDLNSAMPGAQALVAILQSSGAPKAYTSSISDYGTNLVEGNISYPITGLTSTYQNNELTIYATLTLPSGTTSLVHLWQDGPVSGSTPQSHAMGNSNTQAKETLDLVSGASQGGGSSGNAVRRRRNTHGVLNAVSWGLLLPLGAIIARYMKVFQFADPAWFYLHVTCQTSAYIVGVAGWGTGLKLGSDSVGVKYDTHRTLGIIIFCFGTLQVFALLLRPNKDHKIRIYWNIYHYLIGYSTIIISIINIFKGFEALEVSVQDRYNGWKHAYIGIIASLGGIALILEAYTWVIVLRRRRSERKTAHGVNGTNGVNGYGSRTQQV >OIW14076 pep chromosome:LupAngTanjil_v1.0:LG04:4996430:4999860:-1 gene:TanjilG_11421 transcript:OIW14076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYDRLLNLASSSLAKKEFKQESSNLWVEPYQKASSWEPCEERKVQSNPGKSVKSNGYILVSANGGLNQQRVAENYFVSVLKDDISIVKELPPHMKSMEVEAIGNQITDADLVKEATSADYIKIVLPLLLRNGVVHFLGYGNRLGFDPLPSHIQKLRCKCNFHALKFVPKIQQVGSLLIKRIRKYSVPPSMLDTHMLGKFMENKEDHEEAARGSTKYLALHLRFEVDMVAYSLCEFGGGKNERKDLKSYRESHFPLLLDRLKNATYISPPDLRKLGRCPLTPEEAALVLAGLGFKHGTYIYLAGSHIYGGNSRMEPFTRLYPNVIIKENMLTPNELAPFRNFSSQQLAALDFIACTTADVFAMTDSGSQLSSLVSGLRTYYGRDHAPTLRPNKKRLATILTENGTIGWNSFEERVKKMIQQGQKAGIRSYGRSIYRNPTCPDCMCKHQ >OIW13041 pep chromosome:LupAngTanjil_v1.0:LG04:21622991:21623332:-1 gene:TanjilG_17601 transcript:OIW13041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSSSFVFLFICATLLVAITAVQGMGLEWVPTTVKPPCKGSIAECMEEVEEFELDSEINRRILATSSYISYGALQRNTVPCSRRGASYYNCKTGAQANPYNRGCSAITRCRS >OIW12802 pep chromosome:LupAngTanjil_v1.0:LG04:24757107:24761997:-1 gene:TanjilG_24735 transcript:OIW12802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENIEEQVAKVIEEAKEVEDSVSVHISKTISDEQTLRQRVLTLHSKIHSLRSSLYSLLPNNTTLVEKLDEDLERARCIVVDGDAATLLPAHAQGNFLRMFIGPINVRASRKDVQLKVKEEYNSYRDKTALLFLLFPALLLILRSWVWDGCLPAFPVQIYLAWLLFLYTGLALRENILRVNGSDIRPWWIYHHYCAMVMALVSLTCGIKGQPDCATKQRGVELFLQWAMMQGVAMLLQNRYQRQRLYTRIALGKAKRMDVVWGETAGVDGQLWLLCPMLFILQGFEAYVGILLLKTAFVGVVSEWQVIFCGVLLVLMAVGNFTNTVQTLMVKSRFKAKMRKSKSKQRLN >OIW14294 pep chromosome:LupAngTanjil_v1.0:LG04:860276:862280:-1 gene:TanjilG_21434 transcript:OIW14294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYLLPHLHSGWAVDQAILAEEERLVVIRFGHDWDETCMQMDEVLASVAETIKNFAVIYLVDITEVPDFNTMYELYDPSTVMFFFRNKHIMIDLGTGNNNKINWALKDKQEFIDIIETVYRGARKGRGLVIAPKDYSTKYRY >OIW13131 pep chromosome:LupAngTanjil_v1.0:LG04:20111772:20121258:1 gene:TanjilG_32112 transcript:OIW13131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLLKDEIISEDLTSRKSSSSSEVFEEANEQQIPNTSKKSVLNLSHDSTFISPELYEFFESCLPNIVKGRQWVLLYSTSKDGVSLRTLIRKSAELSCPCLLIAGDMKGAVFGGLLECPLKPTPKRKYQGTNQTFVFTTIYGQPTLFRPTGANRYYYMCLRDFIAIGGGGNYALCLDEDLLTGTSGPCDTFGNKCLAHSPEFELKNVEDEISIPISNQILDLCDSELFQEPHQNSEVTSSSNCCYDENSSYVTNISLALDNIDNKINSNSNNTVTTPTSTTTNNNTTNNSNLSIIFDSQEDIDNDISASIDFSSSKTFNIPQFLQEQFGNFSSMQQPNVQQQLAACNSGVEGFSQYPNDPVAPLIGAPLTSVFEEDCISSVPSYMPLNPSSLSCNFLGPAIGQYMPIGPLTTALSADRSGLFGESMLFGSDIQTQELEYQAENGGMFCTDSMPQVFSPSDLQALGVVENQKLVVGAGNSATLTTEISSLEDSTFKVGKLSVEQRKEKIHRYMKKRNERNFSKKIKYACRKTLADSRPRVRGRFAKNDEFGDTHNNHRSASSNHEEDDEEVVVKEEDDMVDSSDIFAHISGVNSFKCNYSIQSWI >OIW14289 pep chromosome:LupAngTanjil_v1.0:LG04:930836:932826:1 gene:TanjilG_21429 transcript:OIW14289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERIMMKVQRLRYSPYNFPSFSHSWRNPNCFIHSLSSSPSHHSSLTDHRVLHRVLQRCKVSMDFKTVVGECDIAKKLFDKMSVRDVVTWNTLIGGYVKNSRFLDALRIFKGMLNAKVEPDGFTFASVITGCARLGALGNAKWVHALMAEKRIELNYILSAALIDMYAKCGRIDVSKQVFKDVKRDHVTHRKKELGEIAIAKISHAESGDFVLLSNMYCSLNNWSSAERVRQMMKKGGVHKKRGKSWIELGDSIHQFKAADQSHAEMKAIYRVLEDDQTPKSYWSLAFNYMPLVLIMPLLWL >OIW14124 pep chromosome:LupAngTanjil_v1.0:LG04:4045755:4046312:1 gene:TanjilG_21264 transcript:OIW14124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYLTINHEFHCICTNLTLGACPNKKGKACSSTSSSKNASLANERNRGRNNDPEEREWGYSMELKLYDDPWKVKKVLTESDLGHLSRFLLPKEMAEDLVLSVLSPDAQRDAMTERGTIVMIWDIDTNSMHSLVFKKWLSSRSYVFLDKWNQAFVKRRRLKKGDEIGLQWDPSRDCFNFSVLQRYA >OIW13492 pep chromosome:LupAngTanjil_v1.0:LG04:10739224:10739523:1 gene:TanjilG_01060 transcript:OIW13492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIPSKRKREFVFPASMQKLPGPGKRAILGPTKPCHEQSTQQERAGDIETKTHSVYCSNALPPTDEIASLIPIPAKLAADSTSPRVYALFVLASAIERE >OIW13944 pep chromosome:LupAngTanjil_v1.0:LG04:5868356:5869082:1 gene:TanjilG_09295 transcript:OIW13944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPSCGFSPWPHHNIVESIEVVVSDGFVAPMFYRRHGIGGERLCLVGYEPGVELVRQFSPPSDRVVVIAVIASSEQTELVLFQGKPVLQPAKFKYSPAFMKENQ >OIW13279 pep chromosome:LupAngTanjil_v1.0:LG04:16019826:16021706:1 gene:TanjilG_25758 transcript:OIW13279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIGLAITSESVLQNGRVTLFVVLSCMMAAMGGVIFGYDIGISGGVTSMEPFLKKFFHKVYHKMKEDTKISNYCKFDSEPLTSFTSSLYVAGLVTSPFASYITRAFGRKPSIVAGGAAFLAGTAFGGAAFNLYMLIVGRLLLGVGVGFANQAVPLYLSEMAPPQIRGAINNGFQFSIGIGALSANLINYGTEKIEGGWGWRVSLAMAAVPASVLTLGAVFLPETPNSLIQRSHDHQKAKLMLQRIRGTEDVQAELDELTKAISNSKTTEQPFKIILKKRYRPQLVMSIAIPFFQQVTGINVIAFYAPLLFRTIGLGESASLLSAVMTGIVGTVSTFISMLIVDKLGRRTLFIVGGIQMLVSQCIVGGIMAAHLEDHGGLSRGYAYIVLVMICIYVAGFGWSWGPLGWLVPSEIFPLEIRSAGQSITVAVSFLFTFIVAQTFLSMLCHFKSGIFFFFGGWVVVMTMFVYYFLPETKNVPLEQMEKVWQEHWFWKSTVVEMSDDGDKGGI >OIW13490 pep chromosome:LupAngTanjil_v1.0:LG04:10775684:10779125:1 gene:TanjilG_01058 transcript:OIW13490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPLNFHYEDVSRQDPLLKLNHANVMEVPGSCQIRVVPKAPYDFIIKNGKLAMEIPRGQKFIQTKRGSTGKSFRSNPFLGSKKDKGYVSDLARQSTLRGHGMSNFSVRISTVMSLLDSPVEIRENSIQFSMETEFCEFSPELEDHFEIFEHIRGFNVTIVTSANTQDETLPPWSGFLQKDEGETHKMISPRVLERRESGKNPSDSVTEQSDDSSVTQDDPLLASFAVYPLHPSEIVTGVFLAMHYTPHVDLAFNSVEHVMRDVEGGWLLRYMHANGASMFLIVVHLHIFRGLYHASYSSPREFVRCLGVLIFLLMIVTAFTGYVPPWGQMSFWGATVITSLASAIPVVGDTIVTWLWGGFSVDNATLNRFFSLHHLLPFILVGASLLHLAALHQYGSNNPLGVHSEMDHISFYPYFYVKDLVGWVAFAIFFSIWIFYAPNVLGHPDNYIPANPMPTPPHIVPEWYFLPIHAILRSIPDKSGGVAAIAPVFICLLALPFFKSMYVRSSSFRPIHQGIFWLLLADRLLLGWIGCQPVEAPFVTIGQIPPFVFFLFFAITPIPGRVGRGIPNSYTDETDHT >OIW13284 pep chromosome:LupAngTanjil_v1.0:LG04:15856254:15861351:-1 gene:TanjilG_25763 transcript:OIW13284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTCTTSSVVALHTALKKALHSFSASCDLLGPNLPDVWVPPYNTSHSHILQTKPWSIGNNAAIFEGKAIAKHIKSKVADEISMMKSGIGKCPRLAVVLVGDRRDSHTFIQFKLKACDQVGIETMVAQLPDKCSENELLHVVAGFNDDPGVHGIIVQLPLPQHLDEEKIINIVSPKKDVDGFHPLNMGNLAKRGRKPFFIPCAPKACIALLLRNGVEIKGKRAVIIGRSKIAGLPTALLLQRHHATVSVLHAYTKKPEQITSEADIVVADVGIPNMVRHNWLKQGAVVIDMGTNQVKHLDEEKIINIVSPKKDVDGFHPLNMGNLAKRGRKPFFIPCAPKACIALLLRNGVEIKGKRAVIIGRSKIAGLPTALLLQRHHATVSVLHAYTKKPEQITSEADIVVADVGIPNMVRHNWLKQGAVVIDMGTNQVKDPISQSFHVTGDVCFKEAVKVASAITPVPGGVGPVTISMLLSNTLDSAKHAFGLI >OIW13037 pep chromosome:LupAngTanjil_v1.0:LG04:21582864:21586445:1 gene:TanjilG_17597 transcript:OIW13037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDINIFREEKGHDPEIIRNSQRCRFASVEVVNEVINLDKEWRKRQFEMENLQRDFNRINKEISKLKRAGEDASKFITESEETKKLIAEKEVEVRETLSLLNSKLETIGNLVHHSVPVSDDEANNKVVKSWGEKRVEPELKNHVDLVELLGIADTKKGADIAGGRGFYLIGDGVRLNQALINFGLDFLEKRGYSLLHTPFFMRKDIMSKCAQLAQFDEELYKVTGEGDDKYLIATSEQPLCAYHLDDWIHPTQLPIRYAGYSSCFRKEAGSHGRDTLGIFRVHQFEKVEQFCLTSPNDNDSWDMHEEMLKNSEEFYQALNIPYQVVSIVSGALNDAAAKKYDLEAWFPSSKAYRELVSCSNCTDYQARRLEVRYGQKKSNDQVKQYVHMLNSTLTATERTICCILENYQKEDGVEIPEVLGPFIGGKTFLPFKNQPVNEAKWKKSRA >OIW13148 pep chromosome:LupAngTanjil_v1.0:LG04:19743757:19746136:-1 gene:TanjilG_15052 transcript:OIW13148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDLRKENKRSVTQDNNHAPAIRRSKTESIGERRNVIVSHHVVGARAKKAERKSVNKGENLVEGGSKNNNLNMEGERRKKSGNRGDNDLKVEGKRESKSKDLAQAQVGKVVMRGGNKGKRESKRSDSRRQRNPYYFSSERFQTLYRNRNGQIRVLERFDQRTNRLENLQNYRIVEFQSKPNTLILPKHSDADYILVVLNGRATIMIVNPDKRQAYNLEYGDALRLPAGTTSYILNLDDNQNLRVVKLAIPINNPGNFYDFYPSSTKDQQSYFNGFSRNTLEATFNDEQEDDEQWHGQEQSHQDEGVIVRVSKEQIQELRKHAQSSSGKGKPSESGPFNLRSNVPIYSNKFGNFYEITPDRNPQAQDLDISLTFIEINEGGLLLPHYNSKAIFVVVVDKGEGNYELVGIRDQERQQDEQEQEEVRRYNAKLSEGDIFVIPAGHPISINASSNLRLLGFGINANENQRNFLASSEDNMIRQLDKEVKELTFPGSVEDVERLIKNQQQSYFANAQPQQQQQREKEGRHGRRGLIFSI >OIW13676 pep chromosome:LupAngTanjil_v1.0:LG04:9396792:9397094:-1 gene:TanjilG_08018 transcript:OIW13676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLFGNFEWVNAYGKKRCKSLFWRMRATVKKALKNGGKKKQLKFQYDPSSYALNFDDGCSHFDLRDAAKKFMGDARVQEVTNMSSKNNTTWVFVLLVITK >OIW13290 pep chromosome:LupAngTanjil_v1.0:LG04:15729213:15730517:-1 gene:TanjilG_25769 transcript:OIW13290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDSNASSGSPEETQNPIPDGNAPSESDLALDTLAQNVQESLSLEKRHKFWETQPVGQFKDIGDNSLPEGPIEPPTPLSEVKQDPYNLPNLYEWINCDISSEEMCNEVYSLLANNYVEDDENMFRFNYSKEFLRWALQPPGYFRSWHIGVRVKSSKKLVAFITGIPAKIRVRDEVVNMAEINFLCVHKKLRSKRLAPVMIKEVTRRVHLENIWQAAYTAGVVLPTPIASCQYWHRSLNPKKLIDVGFSRLGARMTMSRTIKLYKLPESTVTPGFRKMEIHDVPAVTRLLRNYLSQFVVAPDFDENDLEHWLLPEENVVDSYLVESSETHEITDFCSFYTLPSSILGNQNYSTLKAAYSFYNVSTKTPLLQLMNDALIVAKRRDYDVFNALDLMENESFLKELKFGPGDGKLHYYLYNYRVRQVLKPSELGLVLL >OIW13401 pep chromosome:LupAngTanjil_v1.0:LG04:12281758:12306703:-1 gene:TanjilG_19753 transcript:OIW13401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGINPVGFLVKRGITHKVFGQFLRERQGETYKALKDLKDEIFNRHINLKDMSTGYELLGMHRHPEHRADYMEWAPGARYCAIIGDFNGWSPTENCAREGRFGHDDYGYWFIILQDKLREGEKPDELYFQRYNYLDEYDKGDSGVTIEELFKKSNDEYWQPGEDRYLNNRFEGPAKLYEEIFGPNGPQTLEDLPDLPDAETRYKEWAAEHGPSRYAVIDSGNKEFDIFSLIVDPEWRERIRKLKPPLAYWNESRKGRKAWLKKYIPAIPHGSKYRVYFNTPNGPLERVPAWASYVQPEADGMQAYAIHWDPPPEQAYKRKNTSPKVPNSLRIYEAHVGISGSEPKISSFNDFTDKVLPHIKVAGYNAIQLIGVIEHKDYFTVGYRVTNFYAVSSRYGTPDDFKRLVDEAHGLGLLVFLEIVHSYAAADEMVGLSMFDGSNDCYFHSALCFRNRSYSEATFYPGLCEPISQGGLGFDYYVNLSVSEMWSTFLESVPDNEWSMTKIVNTLVSNREYADKMLTYAENYNQSISRRRSFAEILFGELDEHSHHYKESFMRGSSLHKMIRLITLTIGGRAYLNFMGNEFGHPKGVEFPASSNNFSYSLANRQWDLLAKEVNHDLFSFDKDMMKLDKKERVLSRGFPNVHHVNDNSMVISYIRGPLLFIFNFHPTDSYDSYAIGVEEAGEYQIILNTDEIKYGGQGSIKEDQYLQRTVSRRVDGLRTCLEVPLPSRTAQVDNFVEAITFQFIS >OIW13378 pep chromosome:LupAngTanjil_v1.0:LG04:13729476:13732429:1 gene:TanjilG_16487 transcript:OIW13378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSSKPPRRKVVPTNGEDSGDKLEQLLLSSVICNNEDLGPFIRKAFVSGKPETLHHHLRHFARTKESEIEEVCKEHYQDFIVAVDDLRSLLSDVDSLKSSLSDSNSKLQSVAIPLLSSLDAFVETRNVSKNVNLAIESVNTCIRLTEVCSRANRHLSSDNFYMALKCVDAIEREYLHKTPSSTLKRMLEKKIPEIRSHIERKVNKEFGDWLVEIRVVSRNLGQLAIGQASAARQREEDLRIKQRQAEEQSRLSLRDCIYALEEEDDDGIVAGGGGIGEDGYGGNNGGGGGGSGGGGILGFDLTPLYRAYHIHQTLGLEDRFKKYYFENRKLQLTSDFQVSSMTPFLESHQTFFAQIAGFFVVEDRVLRTGGGLISKMEVENLWEIAVSKMCSVLEDQFSRMQTANHLLLIKDYVSLLGVTLRRFGYPIDALLDVLSKHRDKYHELLLSDCRKQIAEALAADKFEQMLMKKEYEYSMNVLSFQIQTSDIVPAFPYLAPFSSTVPDCCRIVRSFIEDSVSFMSYGGQLEFYDVVKKYLDRLLSETLDEALLKLINTSVNGVSHAMQVAANMTVLERACDFFFRHAAQLSGVPLRLVERSRRQFPLRKARDVAEEMLSGLLKAKVDGFMTLIENVNWMADEPSQSGNEYVNEVIIYLEILVSTAQQILPGQVLKRVLQRVLSHISDKIVGTLVSDSVKRFNVNAITGIDVDIRLLESFAENQGALFPDGDIDQLRAALVESRQLINLLLSNHPENFLNPVIRERSYNTLDYRKVVAVSEKLKDPSDRLFGTFGSRGVRQNPKKKSLDALIKRLKDVS >OIW13152 pep chromosome:LupAngTanjil_v1.0:LG04:19629127:19630467:1 gene:TanjilG_09186 transcript:OIW13152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELNLHGFWYSPFTLRVVWTLKLKGIPYNNIEEDRYNKSLQLLEYNPVYKKTPVLVHGGKPICESMIIVEYIDEIWPHSPLVPSDPYERAQAHFWVKYADETFPAFSALFRSIGGEEREKAIVDIREHLRVVEEHYFHDENKFLKGDTISIVDLAFGSIINIIATLDDIIQVKVLEAEKFPHLLSWFNNFKNIPIINENLPNHEKMVDSIKSIRQKLIIS >OIW12642 pep chromosome:LupAngTanjil_v1.0:LG04:26042852:26044139:1 gene:TanjilG_24575 transcript:OIW12642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFPMKIQPIDFQLPAEGTRLELAKPVVKSRLKRLLERQFSGVLRNPAVEKVSGAIEEQNLCKDGINYSHDFEPSTVCLTNMVHNFIEENPEKHSVSVRCGRNRCNCFNRNSDDNSDNDSDDFSDSNYSPSEACEILKGMVACASVFERNLLADTTKIVDNNNKICKRKDSYCRKIVTECLLSLGYDASICKSCWEKSPSCPSGEYEYIDVILGKERVLIDIDFKSEFEIARSTKTYKTILQNLPYIFVGKCDRLQNIVAIVSEAVKQSLKKKGMHVPPWRRAEYVRAKWLSPYTRATPPKMENLQLLKGSNCVESQVCKSSAEEEKAEVKAVVEWKPPEVKAKGSLSGVKVVTGFAAVFDKDNE >OIW13776 pep chromosome:LupAngTanjil_v1.0:LG04:6769312:6773747:1 gene:TanjilG_31665 transcript:OIW13776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSMNWLGFSLAPNEEDNHSHSQTVPPSLDFNPDGTDISPSFAIFEDLNTNNTHAPTTPQDWNTKGLGNNSSEYNYYNNSSQNMLLGTSCNQQQHPKLENFLGQHSFGDNNLNHTTYGGSINNTTSSSSGDLMFQNCSLKPPLGSGDGGDGGGSPRNYTSIGLSMIKTWLRNQPPQSDSANMKSSTVSQSSSSVALPLLTSNVDNGDSSSSDNNKHNPKTSSAVVNDQSGDAVENAVPRKSVDTFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQTRKGRQGGYDKEEKAARAYDLAALKYWGTTTTTNFPISNYEKELEEMKHMTRQEYVASLRRKSSGFSRGASIYRGVTRVAVRFEQIKNGACRHHQHGRWQARIGRVAGNKDLYLGTFGTQEEAAEAYDVAAIKFRGLNAVTNFDMTRYDVKSILESTTLPIGAAAKRNLKDNNIDLTVTTLDHNINAPHIRSLSSSEENNMSSQLSYGATTLYHGWPSLAFQQPQQLYSQVPNNYTTYGHNSQKFWCKQEQDPDDHASHQNFQDMHYQLQLGSNNNNNNNTHNFFQSDSGLNSIMSIGGSASMDNNSPSSSSIIYGGGDGNNGYGNGRGYMIPMATTTFNIADDGNQNHISNGYGNDDNNEVKVVGYENGYGSSTNDPYNNARNLYYQQTSAEACNNWVPTAIPTLASRSNNVALCHGTQPFTLLHE >OIW13807 pep chromosome:LupAngTanjil_v1.0:LG04:7279291:7280454:-1 gene:TanjilG_31696 transcript:OIW13807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQEIVQFDKWIWLPSDLLYEIGKHLQSPKDYVRFSATCKSWNMKLPKTPDHIRGPLLVLPFNHETYDIKEEKDFYLRMPEMQNNILLRGSCFGWLISIRIDGVIQMLNPFTNASYDLPPLSTIPSIVDYHPEFKDQEYTVFFYNYDGSTYNTLLNRSAVQKLRLKKIVTSSSPCENMLAFGIYGEFNRLAWCKFGDTKWTDFSIPGMHKIMLKDAIFDAGKVYALNLDAELYVFDVTTSIGGITKVVPKSKDLCDITTNIHSKYLVRNVESDLLMVLRFFNCHEVNGIDYPEVCYNTEKFEVYKLDKTANKWRNISSLGDYVIVVGFNSSLCTLPFSDGGHDVGIFNLPYRTCYLNTLIVN >OIW13216 pep chromosome:LupAngTanjil_v1.0:LG04:17938690:17940657:1 gene:TanjilG_03545 transcript:OIW13216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKRGRGGSAGNKFRMSLGLPVAATVNCADNTGAKNLYIISVKGIKGRLNRLPSACVGDMVMATVKKGKPDLRKKVLPAVIVRQRKPWRRKDGVFMYFEDNAGVIVNPKGEMKGSAITGPIGKECADLWPRIASAANAIV >OIW13240 pep chromosome:LupAngTanjil_v1.0:LG04:16684445:16689465:1 gene:TanjilG_14173 transcript:OIW13240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSMASSHFLCINNVIPISPNPYRTIHFPQFTPFGSSNFHTKLHFQRPKIVKAMISDSNFSKKQVEVVYNPDERINKLADEVDKEAPLSRLTLFSPCKINVFLRITDKREDGYHDLASLFHVISLGDIIKFSLSPSKTTDRLSTNVSGVPLDDRNLIIKALNLYRKKTASEKFFWIHLDKRVPTGAGLGGGSSNAATALWAANQFSGGLATEKELQEWSSEIGSDIPFFFSQGAAYCTGRGEIVENIPPPISLDLPMVLIKPQQACSTAEVYKCLKLNKSSNIDPLTLLEKIKRDGISQDVCINDLEPPAFEVLPSLKQLKQRITAAGRGEYDAVFMSGSGSTIVGVGSPDPPQFVYDDAEYRDVFLSDAYFLTREENQWYKEPASTPSASDFDSESA >OIW12961 pep chromosome:LupAngTanjil_v1.0:LG04:23106681:23109335:-1 gene:TanjilG_15410 transcript:OIW12961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQKGLIYSFVAKGTVVLAEHTQYSGNFSTIAVQCLQKLPSNSSKYTYSCDGHTFNFVIDNGFVFLVVADESVGRSVPFVFLDRVKDDFKQRYGTSIKNNDAHPLVGDDDNDDLFEDRFSIAYHLDREFGPKLKEHMQYCMNHPEEMSKLSKLKAQITEVKGIMMDNIEKVLDRGEKIELLVDKTENLQFQLPEAGQAAEKEDVAAESPDEADDWRRNPYLDFKSVVNIIQKGNYIGKRYSCVDPSATGAKQPNYKEILAHITVLVSAREGGQGRSTISGHQARTSRNENGEGSNSAYLCPYSHARA >OIW13428 pep chromosome:LupAngTanjil_v1.0:LG04:11321965:11325477:-1 gene:TanjilG_05318 transcript:OIW13428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGLHRAVVLNNWKVAKECFTINDLAVSTRPRLVAVQQMSYNQAMFAFAPYSPYWREMRKIATLELLSNRRIELLSHVRVSEVETSIKELYKLWNDKRNHSDQVQVEMMQWFGELTLNVILRIIAGKRNYDNACEADQEEAQRWLKAMREFFHLMGLFVVGDSIPWLRWLDLGGHEKAMKENIKELDTILGEWLDEHRQKRVSGETVVDQDFIDVMLSILDGIKIIEYDTDTIIKSTSLILVAAAIDTTTVTLTWAICFLLNNPRVLKEAQNELEIQVGKERIVKESDISNLVYIQAIVKETLRLHPAAPLSGPREFTEDCIIDGYQIQKGTRLITNLWKIHTDPSIWSDPLEFKPERFLTTHKDVDVRGHDFELIPFGSGRRICPGISFALHTIHLALARFLQSFEISKTSDEPIDMTEIFGLTNMKATPLEVLIKPRLTSNLYG >OIW13338 pep chromosome:LupAngTanjil_v1.0:LG04:14895951:14906152:-1 gene:TanjilG_02858 transcript:OIW13338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESTEPATSSPSKTSPTQPPPWDLTTVVHPRREPFEHGLLPIPKLIFSDPTQTLIHLKKKLLEQSSNNRVDSDAIAESLQISIEHARLVIDTIASVLPSETEPLVNSVPGENDAVGVDVFDLVLFLYVQTYKKLLPRTHKDSAAVSDVWPSTSAFDGYLSALSPLQLVRSNSRRFMPSQVDEEAHQLSYLQKHLANILSLLAEPVEVEGEESLVLTMDRFEHLGFLLHFGNKGSGGNSLSQMSPFFENLDPEMPAVPVPAAHVHDWLLQSIASALEHISDHTSSKENGPASPSDRDVAMNDACTVKVSTRTRSTSFIEGVSKSSCVKHAPDIKGSSIKVLNCQESAIYILAPLRYATVYGCSDATIVLGAVGKAVRVEHCERVHVIVAAKRICIANCRECVFFLGVNQRPLVIGDNHKLQVAPYNTFYSQLEEHMNEVGILPTVNRWGEPLALGMVDHHDSLSHPAGVSDVQAESAAQMDPDQFTNFVIPNWLGGESTGSTENNPFTLPDAYMTSQLRNQKNLEEIRQLLREAPLEESRKKELSSGLHVYFKDWLYASGNIRQLYCLQGE >OIW14350 pep chromosome:LupAngTanjil_v1.0:LG04:92030:93978:-1 gene:TanjilG_31240 transcript:OIW14350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTTNITCKMAYIIALVFALGCYGASGQSPAPESATAPSSDGVGGCFTVLVNMSSCLTFVEDGSNLTKPEKGCCPELAGLVDSNPICLCELLGKPDFVGVKINFNKALNLPTLCHVSTPPVSTCSALGIPVPSPASEDSISPSPSSYEAAPKSSPNKNKGALGLQVSVITFIFGLATLFVSILF >OIW13855 pep chromosome:LupAngTanjil_v1.0:LG04:7846924:7848744:1 gene:TanjilG_31744 transcript:OIW13855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYLGVGISPGTVPVYHNTKVLDRRIRITELVLRCVILALGVLAAVLIGTDSQVREIFSFQKKAKFTDMKTLVFLVITNGLASVYSLIQGLRCVVSMVKGNVLFRKPLAWAIFCGDQIMAYITVSAVAAAGQSSVIAKLGQPELQWMKICDMYGKFCNQVGEGIACAFVVSISMVILSCISAFNLFRLHGANKNKSTSW >OIW13349 pep chromosome:LupAngTanjil_v1.0:LG04:15157647:15160961:-1 gene:TanjilG_02869 transcript:OIW13349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKQEQTVIVVGAGPSGLSAAACFTKQSIPYIILEREDCFASLWKKYSYDRLHLHLNKKFCELPYKPFPPSYPPYVPKKQFLQYLDDYVSHFRINPLYRRTVEVAEFDEGAQKWRVEARNGDSGEVEEYFGKFLVVATGETSDPFVPEVEGLSSFPGKVIHSTGFKSGKEFEDEHVLVVGSGNSGMEIALDLVNHGAKTSILVRSPVHFLSREMVNLGLFLLKYLSLSTVDSLMVMLSRIVYGNVTTYGIYRPKEGPFYMKVKYGKYPVIDIGTYTKIKSQELKVLPEEIESVRGKDILFKNNELHSFDSIVFCTGFKRSTHKWLKGDDYLLNDDGFPMPSYPIHWKGKNGLYCVGLSRRGFYGAVADAENIANDISSMVQNL >OIW12928 pep chromosome:LupAngTanjil_v1.0:LG04:23553320:23564486:-1 gene:TanjilG_15848 transcript:OIW12928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVKDENSSDKEKCLELFLKIGLDDRTAKNTVANNKVTSNLTSVINEAGVTDGCNRTVGNLLYTVATKYPANALPHRSTLLQYVVSAKVKTTAQLDAALSFLGATGSENLDLNQFEEACGVGVEVSIEDIKHAVNEVAEENKATILELRYRVNVGLLLGHVRKRLPWADAKVVKQLFDAKLYELLGDRTAADDEKPKKKKEKPAKVEDKAAPVATSEKPTEEDLNPFLIFPNPDDNFKVHTEVPFSNGSILRCCNTKALLEKHLKVTGGKVLTRFPPEPNGYLHIGHAKAMFIDFGLAKDRIGSCYLRYDDTNPEAEKKEYIDHIEEIVRWMGWEPFKITYTSNYFQELYEFAVELIRRGHAYVDHQTPDEIKEYREKKLNSPWRDRPISESLKLFEDMRCGLIEEGKATLRMKQDMQSDNYNMYDLIAYRIKFTPHPHAGDKWCIYPSYDYAHCIVDSIENITHSLCTLEFETRRASYYWLLHALGIYQPYVWEYSRLNVSNTVMSKRKLNRIVTEKWVDGWDDPRLMTLAGLRRRGMTPTAINAFVRGIGITRSDGTLISVERLEYHVREELNKTAPRAMVVLHPLKVVITNLEANSAIDVDAKKWPDAQADDASAFYKVPFSNVVYIERSDFRMQDSKDYYGLAPGKSAILRYAFPIKCTEVILADDNETILEIRAEYDPSKKTKPKGVLHWVAQPSPGVDPLKVEVRLFERLFLSENPAELDNWLGDLNPHSKVEISNAYGVSLLKDAKVGDRFQFERLGYFTVDQDSTPEKLVFNRTVTLKDNYGKGGNISIPQAPVPSQGEWFKTLNRIVTEKWVDGWDDPRLMTLAGLRRRGMTPTAINAFVRGIGITRSDGTLISVERLEYHVREELNKTAPRAMVVLHPLKVVITNLEANSAIDVDAKKWPDAQADDASAFYKVPFSNVVYIERSDFRMQDSKDYYGLAPGKSAILRYAFPIKCTEVILADDNETILEIRAEYDPSKKTKPKGVLHWVAQPSPGVDPLKVEVRLFERLFLSENPAELDNWLGDLNPHSKVEISNAYGVSLLKDAKVGDRFQFERLGYFTVDQDSTPEKLVFNRTVTLKDNYGKGGK >OIW12683 pep chromosome:LupAngTanjil_v1.0:LG04:25712734:25715623:1 gene:TanjilG_24616 transcript:OIW12683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLHRDLDLLPSPFIDPSKGDGTKSHGMSIEKKIEYLEGLAGKVTNRRSRRWLNDRLLMELVPRLNAEEIRGLFAPPPWGDEVPPSTFSMANVEDWDRFRNIDMDKEVNLIHDLENSLEKRKDRIDADKMAVLNGWHRVDCRTRDALRRTALSELIQRYEECIRAFITESSDGDVLELQIQDPFHRLLLHGVCEFYNLVSDTVSGCNGEESSKMTKVKKKKNKSGSPNLPNITLSHFLKMSKEGTW >OIW12569 pep chromosome:LupAngTanjil_v1.0:LG04:26691306:26693904:1 gene:TanjilG_04733 transcript:OIW12569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEVETASPTAASVPATPETPGGPLFTSLRVDSLPHEHNSFSMARCKCFPNQGQTCFTDFSVGVPIPNISLTRKIGAEFVGTFILIFAATAGPIVNNKYNGAEGLMGNAATAGLTVMFIILSIGHISGAHLNPSLTIAFATFRHFPWTHVPAYIAAQVSASICACFTLKGVYHPYLTGGVTVPSVTVGQAFATEFIITFILMFVVTAVATDTRAVGELAGIAVGATVLLNILISGPTSGGSMNPVRTLGPAVAAGNYKHIWLYLVAPTLGALAGAGVYTLVKLHDSKDDPPRQVRSFRR >OIW13215 pep chromosome:LupAngTanjil_v1.0:LG04:18000877:18005163:1 gene:TanjilG_03544 transcript:OIW13215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEARSTFSFERTSAKQLMGMSGPLSSSFPAPVEATCPKFPDSHLALVEKEHMPRPFSHSSHLNSCGVVGHIFSSSPGYSTDLHHSSLSPHEKHSTNAHFISQLPTNLDPLPLSYFKENSASCPTDSMPSFLNFPANTSIDNSQVEMNNCNIMASEEYCKRNDWQEWADQLISDDDPLTSSWNELFPEDIQDLDPKVPKPSSQFPEHQPQRYQQLSALSVENCNGAAPSSSANSASAKPRMRWTPELHEAFVEAVNQLGGSERATPKGVLKLMKVEGLTIYHVKSHLQKYRTARYRPESSEGGTEKNTSSIDDISSLDLKTGIEITEALRLQMEVQKRLHEQLEIQRNLQLRIEEQGRYLQMMFEKQCKPGIETFKAPSSTTENPSGVSLDCCRSGPDQANGTTAAEEGSLEMGAKHDSPKGQPSENPKQHVIGGDDSAQASKRKRTNE >OIW13417 pep chromosome:LupAngTanjil_v1.0:LG04:11982489:11985010:1 gene:TanjilG_33066 transcript:OIW13417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTTPSLSPSEKKHWWLTNRKIVVKYVKDARTLIATQEQSEIVSALNLLDAALAISPRLDQAIELRARSLLYLRRFKEVADMLQDYIPSLRMANDDSSYVSSDSSSQKLLSSSSSSESLEKDQIFKCFSVSDLKNKVMAGISKSCNKEGQWRYLVLGQACCHLGLMEDAMVLLQTGKRLASAAFRRESVCWSDDSFSLSTIPFAGDTTNRPPPPSTPPRAPFAESENINNLLAQIKFLLRRRTAALAALDAGLYSEAIRHFSKILDGRRGAPQGFLAECYMHRASAYRSSGRIAESIADCNRTLALDPTCIQALDTRASLFETIRCLPDSLHDLEHLKLLYNAILRDRKLPGPAWKRHNVRYREIPSKLCALNTKIQELKSRLASGESGNVDYYALIGVRRGCSRSELERAHVLLTLRHKPDKATNFIDRCELVNTRDLETVKERAKMSALLLYRLVQKGYTSLMSTIMDEEAAEKQRKKALQVAAQAIQVPKTNQHEMMNNKEDKLVTNRSSNNNVSVENKTTITSTTVNPAVFQGVFCRDLTVVGNLLSQVGFNRPIPMKYEALSC >OIW13934 pep chromosome:LupAngTanjil_v1.0:LG04:5775099:5777981:1 gene:TanjilG_09285 transcript:OIW13934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTHTSPGHIVTHDQAIGNKNIEGDSSITNSDGRLKKLWRRAPRLPPRIPPDTKVTNNGSDHVPGNLDVSSTWITRQQKVKEAFVHAWSGYKKFAMGYDELMPLSKHGTDGLGGLGATVVDALDTAMIMGIDEVVAEAGSWVEEHLSERISKKGQVNLFETTIRVLGGLLSAYHLSGGENGLNLTHAGPKPAVYLQTAKDLADRLLSAFTASPTPIPFSDVILHDKSAHPAPGGLSSTSEVSTLQLEFNYLSSVSGDPKYSLEAMKVLDHIKNLPKVEGLVPIYISPQSGQFSGENIRLGSRGDSYYEYLIKVWLQCRADSNTSYLHEMYTEAMKGVRHLLVRKSIPNGLVFVGELPYGPQSDFSPKMDHLVCFLPGTLALGATKGLTKKQAMKNSMLNFEDLENLKLAEDLAKTCFEMYSVTSTGLAPEIAYFHTKEFSEEGLDGGNKSSEFVNDIIIKPADRHNLLRPETVESLFVLYRITEDPKYREWGWQIFEAFEKHTKVDTGGYCSLGDVTSIPPHRRDKMETFFLGETLKYLYLLFGHNSLIPLDKFVFNTEAHPIPINLKK >OIW13337 pep chromosome:LupAngTanjil_v1.0:LG04:14892730:14894411:1 gene:TanjilG_02857 transcript:OIW13337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSERRYLEDDDSSLMKTIKGTTTGLVAGAIWGTVVATWNDVPRVERNVALPGLLRTFRMMGNHGLTFAAIGGVYIGVEQLVQNFRGKRDFVNGAVGGFVAGATVLGYKGRSIKTAISAGSALAFTSSILDINGQTIKHDSGKEYAAYTTKKRSSVDS >OIW12729 pep chromosome:LupAngTanjil_v1.0:LG04:25332637:25335024:-1 gene:TanjilG_24662 transcript:OIW12729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQPDAAAATEAIESLSSHTETAEPSNSNHELSLEQRFQIVRSIGEECIQDEELRNLLAKKPEPICYDGFEPSGRMHIAQGVMKTINVNKLISSGCRVKIWIADWFAKLNNKMGGDLKKIETVGRYLIEIWKAVGMDLEGGKVEFLWSSKEINARADEYWPLVLDIAQKNNLKRIIRCSQIMGRSETEELTAAQIFYPCMQCADIFFLKADICQLGMDQRKVNVLAREYCDDIKRKNKPIILSHHMLPGLQEGQEKMSKSDPLSSIFMEDEEAEVNLKIKKAFCPPKVVEKNPCLEYVKYLILPWFNEFKVERSAANGGDKTFKNFEELAADYESGELHPADLKSALSKSLNKILEVDSVLSLHSYNVSEGF >OIW12492 pep chromosome:LupAngTanjil_v1.0:LG04:27181403:27184239:1 gene:TanjilG_04656 transcript:OIW12492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRRFQRRQVGGSGLKGMLVKFSIVALISNAVLAARIMNATLVLPDLDANSFWHDDSGFHGIYDVEHFIQTLKYDVNIVETIPENLKNAKKKKIKPFQLRPPRDAPISWYTTEALNKMKEHGAIYLTPFSHRLAEEIDNPEYQRLRCRVNYHALRFKPHIMKLSQSIVDKLRAQGPFMSIHLRFEMDMLAFAGCFDIFTPEEQKILKKYREQNFAPKRLVYDERRAIGKCPLTPEEVGLILHALGFDNSTRIYLAAGELFGGDRFMKPFRSMFPRLENHSSVKHSEDLVQNTRGLAGSAVDYMVCLLSDIFMPTYDGPSNFANNLLGHRLYYGFRTTIRPDRKALAPIFIDREKGRVAGFEDTVRKVMLKTNFGEPHKRVSPESFYTNSWPECFCQTAAENPANKCPPNDILNGLNDELVKEATNETNSTIS >OIW13453 pep chromosome:LupAngTanjil_v1.0:LG04:10971968:10973494:1 gene:TanjilG_22244 transcript:OIW13453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRKAFLDLSFLLRSCVPHSAIFQAKQCHAQTILQGLLPNVTLENDLLLVYSRYSRCCYARKVFDRMLRRNMHSWNIMVASCVKNSMYNDVLTIFSEFKRCGLQPDHYTLPSLFKAAIGVCDAWFGKICHGWVIKLGYEGYVVVGGSVLEFYIKCGDIPLARSVFSNMLCRDHVVWNLMISGFGNAELYSEAINCFREMLVLNGVKVDYMIVPSILNACGREGDLMKGKELHGYVVKNFAFDADAPIGNALIDMYGKCGCLNDSEKVFRTLRHVNLVTWTTMISCYGIHGKGEESLSLFKKAIHDGFAPNSVTVTAILASCSHAGLVDQGKHIFSSIYSDYGLEPTVEHYACVVYLLSCCGYLVEALDFLKSMKAPVTGSIWGALLAGCVMHKNVEIGEIAAHHLFQLEPNNASNYIALCGIYQSHGMIDGISNIRAKMRNLGLVKTPGYSWINIGGRAHKFYQGDLSHPMAQMIYKIIYQISNVQLLNNYFLGVENSLHDDTLIMGL >OIW13205 pep chromosome:LupAngTanjil_v1.0:LG04:18413209:18416106:1 gene:TanjilG_17648 transcript:OIW13205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHEFGKLAAPMHEFAGTPCHPTPGHYAGCMELKVNVVATNTTTPCGGSPTTILSACHPTPGHYAGGMKLKVNVVATNPTNPSGGSPPTTVSARHLTPGHCAGSMKLKMNVVATNTNTPCGGSPPTSPSNPSPYTPFDSGTPPTTTSLMPSEAVSVSSGKPFHVCSSYCLWFLGLGNRQCDVFFLVC >OIW12946 pep chromosome:LupAngTanjil_v1.0:LG04:23234654:23240480:-1 gene:TanjilG_15866 transcript:OIW12946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YDLVCFGKKDFGLIVGMDKDDGYKILKEGPDGPLGVNVQRRDIKCGLVDLKLSAQDQHNKTILVNDSVRVLEGSSKGKEGIVRHIYRGIIFLYNESEEDNGGYFTSKSKMCEKVKLTVGDFSGKDSEPGPLVFEDMPTSPRSPLSPKKPWQARENNREFNRGDQDSLFTIGQTLRIRIGPLKGYLCRVIALRRTDVTVKLDSQQKVLTVKCEHLSEVQGKGTAVSTRGDPDSSSSKPFDLLGTDGNSGGWMDGAGTSTGGGGWNAGGTSTGGGSGWNAGGTSTGGGGWNAAGTSTEGSTWSNHSAPSTLVCCVEDPAWETKKTSNTSLSWGAVAGNKAADDLDGNQPSGWDSKSNLNTPKASEDESSGWNRKCDDQNKDVEQNGQGNGWKSGSSGGENNWNAPVSSSQVNSEWIKKSTEDDKEEKGKDQGGWSAGKASDDSATGSGWKGGSGDGVNTDSAWDTKKSSSTSSGWKSGSSDGAKEGSNWGNMKSGSVAEKQNSDWEKAGNSNSVSGDAGILGKGPVADSLEDSWKSGSADGMKQTSNWGALKSGSSSGNEKQKSNWISDLNQTSSWGKNNNPSSGAAANENNISNWSAVDTGAGNQDSSWGKKNQDSDQNNSNWNSGSASNSNQNSNWGKKENLSESAGNDNNSNWSSGCTDTGNQDSGWGKKSNWNSGNSGSQTSDLKNSKWNSGSDDSNQNSSLGKNSNWSSGNQDSSWGKKSTWNSGSDDTNLNTNWKNNSNWKTNNASSGENEGGLNDSSEDGAGGGNWRGGFRGRGFRGRGDRGGFGGRGDRGGFGGRGDRGGFGGRGDRGGFGGRGDRGGFGGRGRSDREGSSGRWGSEGGFGGRGRGRGGQSGSWNDRRDSDQDGSSDWKKGGENAAEGWKGSNGSGSWKQESGDNKGGQSWNQGNADKQPSSWSQGDGSNKPWQSWSSESAGGGGKWSSKGSEQSGSGVGNQDSGSKKGVQSWEQGNADKDPPSWNQGGGSNKQWQSGSSASGGASDWNSNISKQAAEGSGAQDGGWNKGSNSNQETGWKTAGSGGDTGDRAPAWGQSGAADNGQSSSWNASADEFFSPYVGAASSWGKKNDGSEKGGWQ >OIW14107 pep chromosome:LupAngTanjil_v1.0:LG04:4295792:4305754:1 gene:TanjilG_19486 transcript:OIW14107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYRRKQNKPSSSSSSSSSNTPNQIDTSSSSSLAAKAIRASSARRDSSLSSLYGHSNFSHNASTSYLPQPTPIPPKDSRSYEFTSMKSLNQPKNGFWDVLARKAKSIIEDDNVTQQSETPATTRSKLPGVASRDKFQDSNHLEGSNLRRDRPAFLKGLDAFASSLNHIGGTIGKSLEEGFTIVENRTSDIIQETRKHIRKKPGNSVGQNQETNHSTTLQQSQLRTQMSEMQTGQELQLKASRDVAMAMATKAKLLLRELKTVKADLAFAKDRCAQLEEENKILRENRERGDSQEDDDLFQDSNHLEGSNLRRDRPAFLKGLDAFASSLNHIGGTIGKSLEEGFTIVENRTSDIIQETRKHIRKKPGNSVGQNQETNHSTTLQQSQLRTQMSEMQTGQELQLKASRDVAMAMATKAKLLLRELKTVKADLAFAKDRCAQLEEENKILRENRERGDSQEDDDLIRLQLETLLAEKARLAHENSIYARENRFLREVVEYHQLTMQDVVYLDENNEEVTEVNPLNFPPVPTMSPRSTTPSIASLSSPPETNHCMDSELTRGTSSSISEKGAKTSEVTVKDSKSS >OIW12635 pep chromosome:LupAngTanjil_v1.0:LG04:26095939:26100779:-1 gene:TanjilG_24568 transcript:OIW12635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNSHSQPKKRGGYNCGRCGQPKKGHICNFNTPSHSVTVFSAATPSPLLRHPPSHLRRALSFDDVDVEPAECDEMADRDSVVEIADSVGLDLDSCGLPVSLVWEVMRRLPPAGLLSAAKVCKGWRKVARRVWKAAEELRLRVPASVHVGFVASMLQKCPGIVRLSLRMESDFDSTMLACIAFSCPNLEHIEVSTFDTAINRINGDELGRFVADKRSLKSLKMEGCSNLGGFVLCSSSLCTLWLSDLYCLSKMVFNCPQLREISLEFSRQENDDTDLTAMIDGLGRSCLRLQNIHIASMQLSHAVVLALSAAQLRELQMLSLVLGSEITDASVAAIASSYPNLELLDLSGSGISDSGIGIICNAFPETLSRLLLSLCPNVTSSGIQFATAQLPLLELMDCGMTICDPNSQDPTADENNGKLQKTTGTNLHLINQKLIIKHDHLKKLSLWGCSGLDALYLNCPQLNDLNLNSCRNLHPERLLLHCPALGNVHASGCQDMLIGAIQSQVCNAFTDMDNHSPSKHLPDGSKRIQVPHLLSGECHEPEKKLRRIEKRLCNVLVE >OIW14133 pep chromosome:LupAngTanjil_v1.0:LG04:3881441:3884641:1 gene:TanjilG_21273 transcript:OIW14133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADHRNGGNQPANGKASAAGTAYSIDLNAFQTRLSAFYSHWDEHKTDMWGSSDAIAIACPPPSEDLRYLKSTALNLWLLGFEFPETIMVFMKKQIHILCSQKKASILESIKKPARDAVEVDVVLHVKSRNDDGTALMDAIFRVIRAQSKIDGHDTPTIGYISREAPEGKLLEAWAEKLKSTDFNLSDVSNGLSTLFAVKTNDELTSIKRAAYLTTNVMKFFVVTKLETVIDEEKKISHSTLMEETEKVILEPSKVNCKLKAENVDICYPPIFQSGGEFDLRPSAVSNDDLLHYDPASVIICAVGARYKSYCSNLARTFLIDAEPLQSKAYEVLLKAHEAVVGSLKPGNKLSVAYQAAVTVVEKDAPELIPYLTKSAGTGIGIEFRESGLNLNAKNEQIVKEGMVFNVSLGFQNLQSEKSKSKNKNFSLLLADTVVINKDKTEVVTATSSKALKDVAYSFNEDEEDEKPRKKADANGAEPTMSKTTLRSDNHEISKEELRRQHQAELARQKNEETARRLAGGGSEAGQNRSSSRSSADLVAYKNINDLPPPREMMIQIDQKNEAVLLPINGSMVPFHVAFIRTVTSQQDTNRTCYIRIIFNVPGTPFSLPDPNSMKFQGSIYLKEASFRSKDPRHSSEVVQSIKALRRQVVARESERAERATLVTQEKLQLANNKFKPIRLPDLWIRPPFGGRGRKIPGTLEAHVNGFRYSTTRQDERVDIMFGNIKHAFFQPAENEMITLLHFHLHNHIMVGNKKTKDVQFYVEVMDMVQNVGGGKRSAYDPDELEEEQRERDRKNKINVEFQSIVNRINDLWGQPQFSGLDLEFDQPLRELGFPGVPHKSSVFIVPTSACLVELIETPFLVVTLSEIEIVNLERVGLGQKNFDMTIVFKDFKRDVLRIDSIPSTSLDGIKEWLDTTDIKYYESRLNLNWRQILKTIIDDPQSFIEGGGWEFLNLEATDSESDNSEESDKGYVPSDVEPESDSEDEASDSESLVESEDDEEEEDSEDSEDEKGKTWEELEREASNADREKGNEYDSDEDRQRRKAKAFGKSRAGVSSSMPKRSKLR >OIW13190 pep chromosome:LupAngTanjil_v1.0:LG04:19196488:19203095:1 gene:TanjilG_17546 transcript:OIW13190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDDTDNSFSTPPFQIKYARQDVFLSIMISFYLSFGECEGQPSAVILKFELMRSRAPMTEIGPELQGSLDAYAASVHEYKIPPKALLGLHSYCPVHFDAFHAVLVDTSVHVSLLKASYHTSRQKVPSGSKDSEGSHVKDYARSDKVMLIKSLMTAYDILLEDLRRISNGINQDIDLTEITFGSDYTCFNSTPPVHVKSNADKPLLQVSSEKAAHVNHLMKESSQPLDDNLLNYFQSLGNQLLHLWNIFLKFHRENKTKILEFLRDSWANDRRTEWSIWMVYSKVAVPHQYMSNGVDGISLHGSPLNTKKITSDPIQTAAMRAELHRRGIAQMRINNQSLQDMCIFEDPLRVPIIILECLTNVYRSPSVGSNLVTLEDEARCIQENGLGTRNKLLGNGPQKNENGSHLDLRLVRNQWLLIDPKIQCLMSDANENKTSEDFREMGFRLAQEVIAFLKKKMDKASRSGNLTDIKLSFVGHSIGNLIIRTALAEGIMEPYLRFLHTYVSVSGPHLGYMYCSNSLFNSGLWLLKKFKGLQCIHQLTFTDDPDLENTFIYNLSKEKTLVHFRNVILLSSPQDGYVPYHSARIELCPAASLDFSKRGKVFMEMLNNCLDQIGTDSNDRVIMRCDVNFDTSSYGRNLNRLVGRTAHIEFLESDIFAKNDKVGTRPSSTMDLKTLHCDQKSSEMPNCYVYKFRNFMTWYSSGTMLAVRRKWREARGTD >OIW12954 pep chromosome:LupAngTanjil_v1.0:LG04:23177293:23177997:1 gene:TanjilG_15403 transcript:OIW12954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKSLKLLSLFKTKEAPHKKQHHPWQFLPSCSHSKTLSFRAGDTIFKTVNSVFFDPSSETTIETPESWFTTSSESASFSTESEEYCHYDGESLEILVRGVRSERLFFEPGDTSSILEKAKVIGFPFKESVVLAIESDDPYEDFKRSMVEMVESHGVKSWEGLEELLSWYLRVNGQNNHGFIIGAFVDLLSSMVASNSCSESTTYSSAVSSFSSSPLCLTETQNEIIELEPVTS >OIW12974 pep chromosome:LupAngTanjil_v1.0:LG04:22988888:22989382:-1 gene:TanjilG_15423 transcript:OIW12974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLRQTSAAELRGKVLMDNVCQQTPHKDLCIQALSSDPTSAFGNLQDFALISLRVAAANATGILTQVKMLIDNDNLDPGVQQGLADCKENLLDAEGQLEDTVAAILTNAKHDAQIWLKAALSAIDTCDASIPGNDDILSVKSVEFRKLCNIAVALSKATLAKHN >OIW13675 pep chromosome:LupAngTanjil_v1.0:LG04:9408345:9410907:1 gene:TanjilG_08017 transcript:OIW13675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVLQQHHNLNTGFPWPPIGAPLNVQGQRDEQQQQHHHQHWSNTNFDTSVNALSFGFVATAILISMFLLMAIFERFIRPTSPTTRRRSRRGTHSQVALDGKLTHPSPKMSLYATWVSVMMPGDELPTFIAHPAPVPCCPERISWPSHQPSTLTSSTSSTIPIGNQV >OIW13555 pep chromosome:LupAngTanjil_v1.0:LG04:10500909:10503769:1 gene:TanjilG_29296 transcript:OIW13555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEQHLVPFLPHCHQHLISAILAMEPSHCLISHAKECGRGSITEQVDFYAPYLKSFLKKLITEIELDHGYVLDQLYELYAQYMTSFKDGSLGKRDARICKRISFLFPDGCSENRSCSDSRVLVFPLQCSLNMLEGDTGCSIWPSSLFLSELILSHPELFSNKSCFEIGSGVGLVGLCLAHVKASKVILSDGDLSTLANMKLNLELNNLNVETDMPQGNQDSSMVKCMHLPWESASESELQDIMPDIVLGADVIYDPLCLPHLVRLLTILLNRMEPHNGPSLNGRHENDEHGYREDASDRSNGRCKSIYNDGSNGLAKEAPVAYIACVIRNIETFNFFLSLGDQAKLDIVDLTDSLKPVKLIRYMQSYNEADVRLLRITCTRTITG >OIW13759 pep chromosome:LupAngTanjil_v1.0:LG04:8565023:8571096:-1 gene:TanjilG_17938 transcript:OIW13759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVASPTSIQNEKIQPFELPIIDLAEERSIVMKNIVKASEEFGFFNVINHGVSNDTITKMEEAGFDFFAKPVEQKKLAMPIGDSFGYGSKNIGINGDMGEIEYLLFNASTQLFRTISNDPSNLRSRASEYTDAVMNLACEILELMAEGMGVPDTLCFSRFIREVESDSILRLNHYPHDHNNNILNINKDCDIGTHNSPSYNYTKVGFGEHSDPQILTILRSNDVDGLQISLQDGQWSPVTPDPSAFCVNVGDLLEVMTNGRFVSVRHRVVTNSYNNKSRMSIAYFGAPPLNACIVAPPLMVTPERPSLFRPFTWAEYKKATYSLRLGDTRIHLFRN >OIW14113 pep chromosome:LupAngTanjil_v1.0:LG04:4147939:4150318:-1 gene:TanjilG_21253 transcript:OIW14113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEYYDFGPHKIPQSSVFYTTDLSFAFVNLRPIVPVKRVADLTAEEITEMWIIAQKLGRKLESYHKASSLTFVIQKIQKYLRMVIFMLPFRKVVYYENSDEIYEMDKNEKELKQSLTWTMRRKIEALKRCLKSR >OIW13623 pep chromosome:LupAngTanjil_v1.0:LG04:9703339:9704114:1 gene:TanjilG_07965 transcript:OIW13623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDNNNVNLPPGFRFCPTDEELVVHFLHRKASLLPCHPHVIPDLHLSPYDPWELHGTALAEGNQWYYYSRRTENRVTGNGYWKPTGMEEAVVTSSSNKRVGMKKYFVFHVGEDPTGGIKTNWIMQEYSLSDSASSTRLSKTKSHQKTDYSKWVICKVYELNEDDEGTELSSLDEVFLSLDDLDEISLPN >OIW12789 pep chromosome:LupAngTanjil_v1.0:LG04:24935418:24935906:1 gene:TanjilG_24722 transcript:OIW12789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANYLMLFSRGGEFETTYFNTSNNNNNRVFECKTCNRQFPSFQALGGHRASHKKLRLMGQEKDQMVHDSPTPKAKTHECSICGLEFAIGQALGGHMRRHRVPSSSNGDMQSSITSLSCSVDTKKKKGNSKKVLFLDLNLTPLENDIEILKIRETIPLVGCFN >OIW12919 pep chromosome:LupAngTanjil_v1.0:LG04:23633836:23636786:-1 gene:TanjilG_15839 transcript:OIW12919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGEGRRQRHHHDLVPLAVLLKREMKSERMGMGKPTLRYGHAAQSKKGEDYFLVKTDCQRVPGNSSSSFSIFAIFDGHNGNAAAIFTREHLLNHVLGALPRGLGRDEWLQALPRALVAGFVKTDKEFQSRGETSGTTATFVIVDRWTVTVASVGDSRCILDTQGGAVTTLTVDHRFEENIEERERVTSSGGAVGRLSIVGGVEIGPLRCWPGGLCLSRSIGDMDVGEFIVPIPYVKQVKLSNAGGRLIIASDGIWDALSSETAAKSCHGLPAELAAMQVVKEALRTRGLKDDTTCIVVDIIPPDNELPSAPAPKKQNKLRVLLSKMRFGGSTNKVSKNLSAVNIVEELFEEGSAMLAERLGNYDNSGKSTSGLFVCAVCQVDLAPSEGISVHAGSIFSTSSMPWQGPFLCLDCRDKKDAMEGKRPSGVKVS >OIW13963 pep chromosome:LupAngTanjil_v1.0:LG04:6021380:6022543:-1 gene:TanjilG_09314 transcript:OIW13963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSGFEGFEKRLELHFFGDDPSILKLGLRKLDFDFIQQTLEAVQCTVVSAVGNSYFDAYVLSESSLFVYPTKIIIKTCGTTQLLKSISPLTYFANQQLGLTLFSCRYTRGSFIFPNSQPFPHTSFNDEVTILEQTIPSNLSYRKSSIMPSKSSSHAWHVFTAVTNVNHRMNEFRSKWKTSDSSDHSHPNISSNTPNACNPYPTNKNKSSSSSYTIEICMTDLDPSLARNFFRQPYDGKTGDSAGKKMTELTGINEIKKEALICDFAFDPCGYSMNSMHGEWYSTIHVTPEDGFSYASFECIGSMDDDNVNIVHVLRKVVQIFRPGTMSVSTTTTSFSECNNETWTRVVAGAVESLGLKCRSCAMDHFPEAGSVVFQTFSALRRKSE >OIW14069 pep chromosome:LupAngTanjil_v1.0:LG04:5071298:5073138:1 gene:TanjilG_11414 transcript:OIW14069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSMSSPPPSFALSIRDEQEKESKSFGSVSDSGVESDYDVDDDEGCINDRGINGKSCADPDEVDRVCKVIDELYALDRNMEAVLDECRVRLSHDLVVDVLQRFKHARKPAFRFFCWAGKSAGFAHDSRTYNCMMHILGKTRQFETMVAVLEEMGEKDFLTMDTFSIAIKAFAAAKERKKAVGIFDLMKKYKFRVDVDAVNFLLGSLGEAKLGKEAQVVFDKLRDRFMHNLQTYTILLNGWCRVRNLLEAGRVWNEMVDEGFKPDIVAHNVMLEGLLRCRKKSDAIKLFEVMKAKGPLPNVRSYTIMIQDLCKQKMMREAVDYFNEMVDLGCQPDTALYTCVITGFGRQRRMDMVYDLLKEMKEKGFPPDGRTYNAVIKMMTSQHMPDDAVRIYKKMIQSGFEPTIHTYNMIMKSYFVIRNYEMGRVVWDEMHHRGCCPDDNSYTVFIGGLIRQSRSDEACKYLQEMIEKGMKAPQLDYNKFAADFSKAGNPGILEELAQRMEVAGKFEVSNVLARWADMMKKSTKRRDPPISGRQFTW >OIW12963 pep chromosome:LupAngTanjil_v1.0:LG04:23091102:23093191:-1 gene:TanjilG_15412 transcript:OIW12963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCFRKKEKLTAEFVPHDGAKVYPTVRLYGSPKSILAAYIRFVLIHKSVSLDFVPSDGTTSEIETGSNHDPVTIQVGPEVVSGSRETLLGFIDARFPSPCVGGGEDETTPLLARVTTLQHKSVLLQLERVVRWGEDLATRGGNKAVDPTMGSPLMEIRKFGRSYSEVLEVMMEHAKMEETVLFPIFDKADPGLSKAAKEEHARDLPIMNGIREYIKTVGVLNSGSPDYQEALHNLSDRLKSLQGHCEEHFREEESELLPFMEALELSKEQEESALEQCFIVMQETHGRLLKLFLEGLQPNDAMKYLDLISKCRDKERMESMLQFIMK >OIW13706 pep chromosome:LupAngTanjil_v1.0:LG04:9206519:9208904:-1 gene:TanjilG_08048 transcript:OIW13706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKFKSKPTGRRQFSTPEDMLAGTSNRPRSFRQKEAEHEEEENEEISGEESGEESEEETSKKKGTQGIIEIENPNLVKPKNLKARDLDVGKTTELSRREREEIEKQRAHERYMRLQEQGKTEQSRKDLDRLALIRQERAEAAKKREEEKTAKDQKKAEARK >OIW13539 pep chromosome:LupAngTanjil_v1.0:LG04:10380388:10382645:1 gene:TanjilG_29280 transcript:OIW13539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNGVTNVEEEDYSSEFVEVDPSGRYGRYNEILGKGAFKTVYRAFDEYQGTEVAWNQVKLYDFLQSPEYLERLYCEIHLLKTLKHKNIMKFYTSWVDTANRNINFVTELFTSGTLRQYRLKHKRVNIRAVKHWCRQILRGLHYLHSHDPPIIHRDLKCDNIFVNGNQGEVKIGDLGLAAILGKSHAAHCVGTPEFMAPEVYEEAYNELVDIYSFGMCVLEMVTFEYPYSECNHPAQIYKKVISGERPVSLYKVTDPEVRQFVEKCLATVSLRLSAKELLDDHFLQSDDYEEYDLGPVDGRALDYLGPLISQPFFDLHWSNSNVSAEYSNGFGLEGEWCSQTTEIGPSRIELLECHDNEEPYEDVDISIKGKRQDDNGDIVFRLRIADKEGRIHNISFRFDIDMDTAISVATEMVAELDIIDQDVTRIADMIYAEIASLAPELRPGPEVPETPDFSFLTFRP >OIW12688 pep chromosome:LupAngTanjil_v1.0:LG04:25684956:25685558:-1 gene:TanjilG_24621 transcript:OIW12688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKNNNSNSFMCRKIRQAFSNNLAVRAIRRISSYPHQEPKPVTTDTNSSASLSPINTAIQTNPPLPKHHNSNTEYSGASPINFDYTTMQNGNSVASSVHGYSEITTKDAAEGDDVFFKGEQKVKKSMDINDTFNEYIKRAKIKIRTVSNIGRGQNNNTSPEHETNHGTNKKENQKDQFSEFIHHAKKRFRTTTIVRKSGS >OIW13580 pep chromosome:LupAngTanjil_v1.0:LG04:9921656:9924274:-1 gene:TanjilG_25679 transcript:OIW13580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIITIYDAASAEEQVVILLMRFKNTQVYDDPNNFISNWSNSSSHCSWNGITCSRAGHVTSLNLTNAGLVGKLHLPTLTTLTTLQHILLHGNYFTKGNNFSVSSYCNLQSLDFSKNNFSGMFPFLDLVPCHNLSYLNLSQNLITGTDITEKTGFGASLLQLDLSRNQISETTLLSYTIRNCQNLIFANFSDNRISGQLNETETETLVSCKKLTTFDISYNLLYGEIPHGFVGDSVKLLDLSYNNFSGVFSRFDFSHCRSLVSLSLSHNALSGTEFPSSLINCQVLETLDLSYNELNSLVVLDISENNLSGELPLTFGNCSSLESLNLTKNHLFGDFLSSVVSKLSSLRYLYVPFNNITGIVPLSLANCTQLQVLDLSSNAFTGSIPSVFCFRNAANSPSALEKILLAGNYLSGEIPAELGSCKNLRTIDFSFNNLNGSIPLQIWSLPNLSDMIIWANNLTGEIPEGICGHGRGNLENLILNNNFISGSIPQSIGNCTNMIWLSLTNNHITGEIPASVGNLNQLAILQLGQNLLTGRIPPELGKCRSLVWLDLSSNKLIGTIPSELSNQSGLTSSVSFAGRQFALLRNMHETSCSSAEQIVEFQGIRAERLEGYLIVQHSCPSIRIYTGSTPNTFSKNGSMIYLDLSNNSLSGSIPQNIGSLTYLQVLNLGQNELTGNIPDSFGDLKMIGLLYLSHNKLQGSIPSSLGRLSFLTALDVSNNNLTGSIPYEGQFPSFPSSSYENNSGLCGIPLSPCATQNHSPDFMTDPEKKETSETGLFMLSVWFYISMVLGFIIAFWGVVLSLLVKDSWRHNYFRLLNNIADWVYVRAALLKARMR >OIW13511 pep chromosome:LupAngTanjil_v1.0:LG04:10136626:10138027:1 gene:TanjilG_29252 transcript:OIW13511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENHHLPPLPPTPPPQTQAPPLIYQHHLPPEKPMAKGKPSHYTKTSSTKRSICTFITILLLLAGITLLVLWLVYRPHKPRFTVVGAAVYSMNTTTLPLMSTTMQFTILIRNPNKRTSIYYDRNQAITPKIMLPPLYLEKHSTVSLSPVIGGTPVPVSVEVSNGLMVDEAYGVVGLKLVFLGRLRWKVGAIRTSHYGLYVKCDMLIGLKKGFVGQVPLLGAPPCKVDV >OIW12914 pep chromosome:LupAngTanjil_v1.0:LG04:23706559:23711339:1 gene:TanjilG_15834 transcript:OIW12914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTNDVPLLDSGHARLNQLGYKQELKRDLSVLSNFAFSFSIISVLTGITTLYNNGLNYGGPVSMVYGWLVACFFTMIVALSMAEICSSYPTSGGLYYWSAKLAGPSWAPFASWITGWFNIVGQWAVTTSVDYSLAQLVQVIILLSTGGKNGGGYVASKYEVIALHGGILVLHGILNSLPISLLSFLGQLGAAWNFLGVFLMILIPSVATERASASFVFTNFNTNNGAGISSRPYIFLIGLLMSQYTLTGYDSSAHMTEETKDADINGPRGIITAVGISIIVGWGYIIGISFAVTDIPYLLSKNNDAGGYAIAEIFYLAFKSRYGNGVGGIICLVIVAVAIFFCGMSSITSNSRMAYAFSRDGAMPLSSLWHKVNKQEVPINAVWLSVIISFCMALTSLGSKVAFDAMVSIATIGLYIAYALPIFFRVTLAQNRFVQGPFNLGRYGIIVGWIAVLWVMTISVLFSLPVSYPITLETLNYTPVAVATFITISQFDHENQEDMNPLSQYCPRSMDSPPIIQPFETTISFSLSRFSVEAESSPIDQDDSALSPIARVEPRGNVTVKFKKKKSQL >OIW12931 pep chromosome:LupAngTanjil_v1.0:LG04:23515476:23516276:-1 gene:TanjilG_15851 transcript:OIW12931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIPFIPMLNHLQNQNPLFLSHIQLIAFNSFKWSALVLALLATVRVIITFRRNTTSIALTSINDDDDYDFSDGDENSENDNKTMSSSELEDNEEDGTGKDIRRKGEYFRFGGETGAESFLRRRSIGHFLSLSEIDNIRLGFGFDDSEEKSVVSIYNQEQMIHPIRKTTTMAMSNTMPAVVVSAGENLSGVSIWDTRLRRRMPAVIADWGAAVPVELNPAEYTMFTSDDGRYCLTVGDISHVRLPFQNVTESYMNPWWPNSFIFKLQ >OIW13411 pep chromosome:LupAngTanjil_v1.0:LG04:12592879:12600030:1 gene:TanjilG_19763 transcript:OIW13411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEFQLCIQKCKREQRRHHLKSSSNSNMQWGIGNKRISIRGASHRGDHTMLETPSFKLSGIIFIISIGILLTVAKETEFEFWGFTLVMLAAVMSGFRWCMTQILLQFQMISGLKNPLTLMSYVSPIMAVVTALLSLALDPWDEFRENKYFDNLQHLTRSCLLMLFGGTLAFLMVLTEYVLVSVTSAVTVTIAGVVKEAVTILVAVLYFHDEFTWLKGFGLFTIMIGVGLFNWYKYQKLQKGHVGDNEHLATDSAAKYVILEEMDEHDDVI >OIW14256 pep chromosome:LupAngTanjil_v1.0:LG04:1518294:1518701:1 gene:TanjilG_21396 transcript:OIW14256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKFLKPNKAVILLQGRYAGKKAVIVRTFDDGTRDRPYGHCLVAGIKKYPSKVIKKDSAKKTAKKSRVKAFVKLVNYQHLMPTRYTLDVDLKDAVNADVLSAKDKKVTALKETKKRLEERFKTGKNRWFFTKLRF >OIW12941 pep chromosome:LupAngTanjil_v1.0:LG04:23312583:23313605:1 gene:TanjilG_15861 transcript:OIW12941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALTSSLRLSPQYNSKDYEQHHGFVVEEIEGLIKVHIDGHVERPQIVPCVTPALSPELNVISRDIVIDHVTNTWARFYVPICHKQKLPLLIYFHGGGFCVGSAAWSCYHEFLARLSTTVECMIISVNYRLAPENPLPAPYDDGLKALIWVKNQMIYNYEASKWWTKKCNFSSVFLAGDSAGANIAYNVATKVGAKLRPLSLKGLILIQPFFGGEVRTVSEKCMGQSHGSALNLTASDAYWRLALPSGADRDHPWCNPLAKGYMTLKELKLLPTLVCISETDILKDRNLEFCDALGKAGQRVEYVVYKGVGHAFQILSKSQVSKSRTNEMMARINSFMAL >OIW13900 pep chromosome:LupAngTanjil_v1.0:LG04:8146724:8148696:1 gene:TanjilG_31789 transcript:OIW13900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENTTTTTTLNPSHTTLLIFFFYILLCSSLFISTLAKSTIYNVVDFGAIPDGITDSTMAFLSAWNIACGSTKPVGIHVPKGHFLIGNATTFRGKCNNKAISITIHGTLVAPYDYRVIGDAGYWLTFDHVSGVAIHGGVLDGQGSSLWDCKNSNTKVNCPIGATSLAFNNSDDIVITGLKSVNSQLFHIIVIGSHNVKVHGVKLIAPGNSPNTDGIHIQFSSHVTILKPRIHTGDDCISIGPGTNNLWIEDVACGPGHGISIGSLGWYLNEPGVKNVTVRRATFSETQNGFRIKSWGRASNGFVNDIHFEHALMTHVQNPIVIDQNYCPFLNGCPSQASGIKISDVTYKDISGTSATQVAVKFDCSSRQPCKKIRLENVKLSYKNQNPRASCIHAGGTALGAVQPESCL >OIW13203 pep chromosome:LupAngTanjil_v1.0:LG04:18360010:18361907:-1 gene:TanjilG_17646 transcript:OIW13203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAESWFRSLWKTQQKDDSNSEKVVIGVLAFEVASLMSKLVNLWQSWSDKQVARLREEIMKPVAIKKLVSDDEYFIVCLISVEMLENMAHMAASVATLGKKCSDPSLKDFENAFNEFITLHLDPMVKRMENQWVSELLPSSVHPPEINVARFASGRTPWLKPPSESLGAASLALHYGSVIIAIEKLAASPYLIGFGAREDLYNMLPEHVSAVLRAKLKPCGKAMASADEWTEAMTDILEWLAPLAHHMIRWQSERSLEQHRFVSQANVLLVQILYFANQEKTDSIITELLVGLNYVWRYAMELKAKALVECGSSRVIDR >OIW12830 pep chromosome:LupAngTanjil_v1.0:LG04:24532732:24535350:-1 gene:TanjilG_24763 transcript:OIW12830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMQLDLDSLSEATSGAIGSLVSTTVLYPLDTCKTKYQAEVQAHSNRKYRRISDVLWEAISKNQVLSLYQGLGTKNVQSFVSSFIYFYGYSYFKKLYLKKTGKKTIGTTANLIAATAAGPLDTASSRMQTSEFGKSKGFWETLSEGTWSEAFDGLGISILLTSNPSIQYTAFDQLKHRLLKDKISSKSGTKSSPEALSAFSAFMLGAISKCAATCLTYPAIRCKVMIQAADSDNDKRTEAEKKAQKTISGALYTILKREGLLGFFNGLPAQILKTVLSSALLLMVKEKITKSTWLLMLMIGRYMSVNHPKLKAN >OIW13971 pep chromosome:LupAngTanjil_v1.0:LG04:6143121:6145103:1 gene:TanjilG_09322 transcript:OIW13971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKEEFLKIKKSTLKVNIHCEGCKQKVKKILHKIDGVFTTEIDAELGKVTVSGNVEHDVLIKKLAKSGKHAELWDAPKANNNNGNNINPNQLANQVKNMQIDNGKGGGNNKAQNQKGGNGNNHPKGGGGCGQQGPNPQQQQQLQQQQQQQQQLQQQQQQQLQQLQQMQQLQQLQQMKGFQDLKLPAQFKDMKMPPNQNPNMKTVNFNLPEEDDDFSDDDLDDLDDEDFDDEMDNPGHPGNNMKLPPMGNGAQMMMMNAQKGAGNGGAMLNGNHPQMMNAQKGENGGGCNGGGSQKKGGDGGSGGGGGGAIPAQVNDGKNGNGGKKGGGGGNNQAHGGGNKNNVKNGNGGGGGNPNNNGNGGKKGNGIMGDGVVQPMNNNGLPNMGGGGGGGMNGANVGPMGNMNIPMVPPMGNNMPMNHMGNNPAAQGLPTGAAPGVGVGSAGGGGYYPGGGPDVMMAGNPNYQQQYIAAMMNQQRAMGNGNQQQLMYARPPIPMNYMYPSPYIYPPHPAPESYNYFNDENTSSCTVM >OIW13847 pep chromosome:LupAngTanjil_v1.0:LG04:7797485:7800758:-1 gene:TanjilG_31736 transcript:OIW13847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVIETTNVDFFNQCNHSSKHIFDDCVPIIDDCAVDFQFRMKKDEPKAVNSTSNAAVMSTEVIDRVSNARSAPLNVCAASNSESTRYNSRLQFFVRMISNTVVMHACPQDSVRSVHERIEMITGIPITEQCFIYRGKHLRWEQTLYECAIESDASVQMVGRMRSTLHPRGWQIMEEMVSMILRLCRGEIIHYSLQIIKDLMTTYLNPTWKKIKDYTKFDSEYATSYFNIFLSSNAHGLLVMLYISSGRSYKQLADLAIKHFMSSCQTIINRALQCQRARLVLEFCKVLKRVGSDDPLYMFSRNTFISLLETAKVSYCSVNGKGNLLLQDISPFVRELADKLLRDLDLSKVVPLCLGPLINDVRDFSAFLVPMRKGINELQTSKDSSKIKELHTLKGSIIDDENLHEELLRASLEADNLHLIFIQLLRKMGECLHNMEGCLANKEIGAGDFVSPAWSQYLSILKELYQITKLYDGTEDMFWRVLMPRRRMVCLLIVKFAKRTDDHRWILDHKAVTDFESRRHLVLMLFPGVREDFVELHEMLIDRSKLFAESFNYIKQAEAASLRAGLFMEFKNEEATGPGVLREWFYLVCHAIFNPQNVLFLACPNDRRRFFPNPASKVDPMHLEYFKFSGRVIALALKHRVQVGIVLDRVFVVQLMGRRITLEDIQDADPYFYSSCKQILEMDADFIDSDALGLTFATEVEELGHRKVVELCRGGERRAVNSKNREKYVNLLIQSRFGMSVFQQVFYFAKGFADILSDSKLRQFFFQSLELKDLDWMLHGSEKTISVEDWKAHTEYNGYKESDHQISWFWEECLCLTWQKGFRGLDASWKASLSLLLMKYSISTKEIFSWLQLQTSIEIHFFPKP >OIW13682 pep chromosome:LupAngTanjil_v1.0:LG04:9362296:9363446:1 gene:TanjilG_08024 transcript:OIW13682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGIFKEKLVNAPKELKSPASLNSSNNSKQTHEILQDFISSNPSNAFYMSFGNDALLAHSPSNNSFIHHRLFSGVENIYCVFIGCLNNLNKLIKQYGLSKGTNEAMFIIEAYRTLRDRGPYPADQVLKELEGTFGFVIYDHKDGTVFVASGSNGQIELYWGIAADGSLAISDDMGLIKGSCAKSFASFPTGCMFHSEHGLMSFEHPTRKMKAMPRVDSEGVMCGANFNVDSQSTNQMMPRVGSEANWANWGSQA >OIW14083 pep chromosome:LupAngTanjil_v1.0:LG04:4946556:4947308:1 gene:TanjilG_11428 transcript:OIW14083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNINLVVENFSEFYDNWVLKLEEILHQLLQVSKKRSNDNTEQEVQELVSKVTSHIKEYYTVKWGAAHQHVLVFFSPPWLTPLESAYLWVTGWKPSTVFKLVESLNKTTTFNMTEEQENRVEELKMRIKMEEEKVESEMERQQVALADRKTVELAKMCSRTRNGSGGGSGGGGGDVVAEKVDVTMKGVLSGLEKIMKSSDCVRLKTLKGVLDLLTPMQCVYFLAANIAMQLRLRQWGKKTDITGSIINDN >OIW13465 pep chromosome:LupAngTanjil_v1.0:LG04:11131272:11131718:1 gene:TanjilG_22256 transcript:OIW13465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKLTEEIKSRAEIYHGDEVCQVKSKELLKEIALPNGLLPLKDIEECGYDRESGYVWLKQKKSYTHKFDKIGKLVSYANEVTALVEKGKIKKLSGVKTKELLLWISLNEIFVEDPSTGNITFKTPSGLYRSFPVSAFEIEDKSNVKEI >OIW13469 pep chromosome:LupAngTanjil_v1.0:LG04:11172472:11177486:-1 gene:TanjilG_22260 transcript:OIW13469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSMSGLLRESSDVDRLPEEMNDMKIRDDKEMEAIVIDGNGTETGHIIVTTIGGRNGEPKQHPHPSLCGEWALLLSPVRPNHNRDPFLLQTPPSVMASSSQTPPSQPPNPTISYMAERVVGHGSFGVVFQAKCLETGETVAIKKVLQDKRYKNRELQTMRLLDHPNVVSLKHCFFSTTEKDELYLNLVLEYVPETVHRVIKHYNKLNQRMPLIYVKLYTYQIFRALSYIHRCIGVCHRDIKPQNILVNPHTHQVKICDFGSAKVLIKGEPNISYICSRYYRAPELIFGATEYTTAIDVWSVGCVMAELLLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKAHPWHKIFHKRMPPEAVDLVSRLLQYSPNLRCTALDALTHPFFDELRDPDTRLLNGRLLPPLFNFKSHELKGVPIEILMKLVPEHARKQCLFLGL >OIW12783 pep chromosome:LupAngTanjil_v1.0:LG04:24960624:24964473:-1 gene:TanjilG_24716 transcript:OIW12783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLRSNMKRGMKLLASRWLPQNQSPKALVFMCHGYALECSITMSSTATRLAKAGYAVYGVDYEGHGKSDGLQGFVRNFDYVINDCSYYFTTISEKKVNHRKLRFLLGESMGGAVALLLHRKKPDYWDGAILAAPMCKIGADMSPNAMMVTILSGLSKVAPKWKIVPTEDLFEIGFKVPEVREQIRANPYCYKGKPRLKTARELLRVSTEIQQRLHEVSIPFLIVHGEEDRVTDASVSRELYNMASSKDKTLKMYPGMWHGVLYGEPPENLNIVFTDIIHWLEEKTQHTSKRIERAESIK >OIW13733 pep chromosome:LupAngTanjil_v1.0:LG04:8713704:8714301:1 gene:TanjilG_17912 transcript:OIW13733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGSRVQRPRVAEVQRWNVVQPQRRCLECGATSTPLWRSGPSGMNTLCNACGLRFKNKSKAKDPNKKINNNIIKKGKRRTKNGNGVNLEKKLMGLVNQVFSHRPPKERRWKRLTEEEQVAVLLMSLNA >OIW12877 pep chromosome:LupAngTanjil_v1.0:LG04:24069018:24074649:-1 gene:TanjilG_24810 transcript:OIW12877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRRWLVALAITVSILFGIIADSFDGGGGHPKQQLSMCAELILPAGYPCSEYMIETKDGFLLGLQRVSSSSLRLGSAPQRGPPILLQHGLFMAGDAWFLNNPEQSLGFILADHGFDVWVGNVRGTRWSHGHISLSEKKKKFWDWSWEELALYDLAEMINYINSVTNSKLFVVGHSQGTIMSLAAFTQPEIVEKVEAAALLSPISYLDHVSAPFVLRMVSMHIDQIILDMGVHQLNFKSEWGASLLVSICDTGLSCEDMLASITGQNCCFNDSRVAYYLEQEPHPSSSKNLCHLFQMIRKGTFSKYDYGILKNLKVYGTSNPPKFDLRSITKSLPLWMAYGGNDALADITDFQHTLKELQSTPEVVYLENYGHVDFILSLRAKQDLYDPMIRFFKSLGKSSSI >OIW14142 pep chromosome:LupAngTanjil_v1.0:LG04:3704405:3706456:1 gene:TanjilG_21282 transcript:OIW14142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLSFTFFLTFFTTVTATQFDFDTLTLTTLKLIGDAHLTKNNTLTLTGHATVPSSAAARAFYSQPVTFRQPGNPSPASFTTFFSFSVTNLNPSSIGGGLAFLISSDDNTLGDPNGALGLAGAGDNFFAVEFDTLMDVGFNDINGNHVGIDENSVVSDKVSDLGTIGIDLKSGDAINAWVEFNGITKVLQVWVSYSNVKPKDPILKTDIDLGRILNEIMYVGFSGSTQGSTEVHTVQWWSFTSTFDSLSPPPPTVTTFMNPTTTTATSAPPPEESDKSTCHSGLCKKGVGAVVAGVVTAAAFVLVLFAGAVLIWVKKLKRVKRFEFVSIGFGSEVIKMPKEFSFKEIKLATKCFSDNRILGHGAFGTVYKGILKESGDIVAVKRCSHSGDQGKSGFLSELSIIGTLRHRNLVPLQGWCHEKGEILLVYEFMINGSLDKALYEARIPLPWCHRRKILLGVASVLAYLHHECENKVIHRDIKTSNIMLDEGFNARLGDFGLARKMEHDKSPDATVAAGTMGYLAPEYVLTGRATEKTDVFSFGAVVLEVACGRRPIEKDVCGVHGVGGISNLVEWVWSLHNEGNLLMVADPRLEGEFEEGEMRKVLLVGLACSHPDSMARPTMRSVVQMLLGEAEVPIVPRTKPSTSYSTSHLLTSLQDSESDFNAVITISTSSSDNSFSGRDMV >OIW13387 pep chromosome:LupAngTanjil_v1.0:LG04:13590295:13597715:-1 gene:TanjilG_16496 transcript:OIW13387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAESALSFSVASVVEDVLQQHGTRLKDLDLEYRKTEEAASRRYEAAVWLRKMVGVVAAKDLPAEPSEEEFRFGLRSGIILCNVINKVQSGSVPKVVESPIDSALIPDGAPLSAYQYFENVRNFLVAVQEIGIPTFEASDLEQGGKSSRIVNCVLALKSYSEWKQTGGDGVWKFGGTLKPTISTKSFVRKNSEPFTNSLSRTTSINEKSLSALNSDIESNKMSGSHLSMLVRSILSNKKPEEVPMFVESVLNKVVEEFEHRIESQGEQTKITSRGPVSQSKGSVSNVLMADKKVENKIHMVTKEEDFIHENHIAAEESRNQILKKQMFFDQQQRDIQELKHTLHTAKAGMKFMQMKFHEEFSNLGIQIHDLAHAASGYHRVLEENRILYNQVQDLKGSIRVYCRVRPFFPGQQSPSSTVENIEGGTITVGIPSKNGKGRKSFNFNKVFGPSATQAEVFCDMQPLIRSVLDGYNVCIFAYGQTGSGKTYTMTGPKDIIEKSRGVNYRALSDLFLIADQRKDNFLYEVSVQMIEIYNEQVRDLLVTDRANRRYPFTEIRSSSHKGLSVPDASLVPVSSTIDVIELMNRGQRNRAVGATALNDRSSRSHSCLTVHVQGKDLTSGAILRGCMHLVDLAGSERVDKSEATGDRLKEAQHINKSLSALGDVIASLAQKNSHVPYRNSKLTQLLQDSLGGQAKTLMFVHISPESDSIGETISTLKFAERVATVELGAARVNKDGADVKELKEQITSLTAALARKEGESEHSFSRSSEKYRTTARELSPYRTTQQDADTGDHLGCRLPMVEVGNIESHVPYRNSKLTQLLQDSLGKVLNSLFGGQAKTLMFVHISPESDSIGETISTLKFAERVATVELGAARVNKDGADVKELKEQITSLTAALARKEGESEHSFSRSSEKYRTTARELSPYRTTQQDADTGDHLGCRLPMVEVGNIELQSNTPFRRKTQGFDFDEISTNSQPWPPVTIRRQNYREDDKETSSGEWVDKVMVNKQDGNKTEHLSGCWEADNGNLPEAFYQKYLQDSSKMYSEQSYNMFIGGNKYNISGSDDMDEIDAAISDSSEPDLLWQFNHSKLTTMTNGIGSKTRKYASKIVKSPEMSKNTVQPSLGSSPSFKRSNSVSHRTGKNSCSLEVKRKTGIRK >OIW13519 pep chromosome:LupAngTanjil_v1.0:LG04:10214109:10218547:-1 gene:TanjilG_29260 transcript:OIW13519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANHHEDDFKDDKNPRPLDEDDIALLKTYGLGPYSTSIKNAEKEIKDMAKKVNDLCGIKESDTGLAAPSQWDLVADKQMMQEEQPLQVARCTKIINPNSEDAKYVINVKQIAKFVVGLGDKVSPTDIEEGMRVGVDRNKYQIQIPLPPKIDPSVTMMTVEEKPDVTYNDVGGCKEQIEKMREVVELPMLHPEKFVKLGIDPPKGVLCYGPPGTGKTLLARAVANRTDACFIRVIGSELVQKYVGEGARMVRELFQMARSKKACIVFFDEVDAIGGARFDDGVGGDNEVQRTMLEIVNQLDGFDARGNIKVLMATNRPDTLDPALLRPGRLDRKVEFGLPDLESRTQIFKIHTRTMNCERDIRFELLARLCPNSTGADIRSVCTEAGMYAIRARRKTVTEKDFLDAVNKVIKGYQKFSATPKYMVYN >OIW12990 pep chromosome:LupAngTanjil_v1.0:LG04:22685327:22689132:-1 gene:TanjilG_15439 transcript:OIW12990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LYNLVMFQEYVVAVTQNGPGSIYLNHFKEKGFTLLIAMMVTIFIAMLSFLYLNVRATRREMQLCASLIKQIEATKQAERKNMNKSLAFASASHDVRTSLAGLTGLIEMSYELVTPHSELEANLKQMNNCIRDLLGLLNSILDTSKIEAGKMHLEEEDFDLSHLLEDVVDLYHPLGMKKGVDIVLDPCNGSLMRYSHVKGDRGKLKQVLCNLLSNAVKFTDEGHIAVRAWVRKSNMQNSIMDTNNYSFIKHVSSLLCKKNKAHCDIEAAMSSLQQENSIDVTFEVDDTGKGIPKEKYKSVFENYVQVKETSLGQGGTGLGLGIVQSLVRLMHGDIRIVDKDVGGKGTCFRFNVVLTVCGTMRNGSRRESTEYGLGDRNNAQGLNIGSNSSGSSIRNSLGPGLRICSYPRPQASHVVLLIVDKERKRISQRFMESLGIKVKVVNQWENLLETLDKIKYKGDYSCNQSSSVPSDLSFRSTSHTLFPRSIGIHLTSVSRTESMPSVFKKTDIIGTAPCFILIIIDTNAGPFSELCSMVSSFREGLLNPSKVVWLNKPLLHGNNFKTLDKDLLDPNDIVLSKPFHGSRLTQILELLPEYGGALKNGFSRSKRENAIDHTVGKTCTESSLSKHNSLLHDKSQVELNTNGSSFQCTEQIMKGTQEESLVHQGESLVHQGESLVHQGESLVHQGESPVHQGETEECEGSSHNKPLSGKKVLVVEDNALLRKLALATLVPLGATIEQCENGEEAVNLVKEGLSRKDFPNLPYDYILMDCEVMNYALRFQLSFYFLVLPYE >OIW12508 pep chromosome:LupAngTanjil_v1.0:LG04:27103669:27105128:1 gene:TanjilG_04672 transcript:OIW12508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSSSSSSAFSSVVEKETTSSGVWTDEKHGHFLNTIEASFVTTMLHRYRLHRHLPHTSVSKPNNINNNKHALSPSDSVVPRIRRRTRRRTTQPQNSSQEQVVPQLENAREGFGENDDKE >OIW12533 pep chromosome:LupAngTanjil_v1.0:LG04:26957147:26959063:-1 gene:TanjilG_04697 transcript:OIW12533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCRKHSAVLTDFGAVGDGKTSNTKAFKTAITNLSQHAKDGGAILIVPPGKWLTGSFNLTSHFTLFLQKGAVILGSQDESEWPVLSVLPSYGRGRDAPDGRFSSLIFGTNLTDVIITGNNGTIDGQGPYWWGKFKKNEMTLTRPYMIEIMYSTQIQISNLTLVNSPSWFVHPIYSSNIIIQGLTILAPVDSPNTDGVNPDSCTNTKIEDCYIVSGDDCIAVKSGWDQYGIKVGMPTQKLLIRKLTCISPDSAMIALGSEMSGGIKDVRVEDNTAINTQSAVRIKTAVGRGAYVKDIFVKGMTLKTMKYVFWMSGAYGSNADSGFDPKALPKITGINYRDITADNVTYSAKLDGITNDPFIGICISNVHIKVSEENKKLQWNCTDIAGVTSNVTPQPCSLLPEKKGHDCPYPKDKVPIDNVMLKTCSF >OIW14287 pep chromosome:LupAngTanjil_v1.0:LG04:937191:940050:-1 gene:TanjilG_21427 transcript:OIW14287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTSFDSSELIHRQTRKHIQHNEPHYSSPSPTSPPKQTTNKIITNIPFILIGLTISSLFFYTFPLTKPYPTTHYPESSSSSSSLFSLPTRRILLEEPTTNTGGSGSGGGGGGIMTRVPGGVRGKRKRVVVTGGAGFVGSHLVDRLIERGDSVIVVDNMFTGRKDNLLHHIGNPNFELIRHDVVQPILLEVDQIYHLACPASPVYYKFNPTNVMGTLNMLGLAKRVGARFLLTSTSEVYGDPLQHPQAETYWGHVNPIGVRSCYDEGKRTAETLAMDYHRGAGIEVRIARIFNTYGPRMCLDDGRVVSNFVAQALRKEPLTVYGDGKQTRSFQYVSDLVEGLMRLMEGEHIGPFNLGNPGEFTMLELAQVVQETIDPNAKIEYRPNTEDDPHKRKPDISKAKELLGWQPTVSLREGLPRMVADFRQRLSGESKGSVSAA >OIW12981 pep chromosome:LupAngTanjil_v1.0:LG04:22922421:22928428:1 gene:TanjilG_15430 transcript:OIW12981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALSFSVASVVEEVLHQHGTRLKDLDMESRKTEEAAFRRYDASGWLRKMVGVVAAKDLPEEPSGEEFRLGLRSGIILCNVLNKVRPDAVPKVVESPVDSALIPDGAPLSAFQYFENVRNFLVAIQEIGIPTFEASDLEQGGKPARIVNTVLALKSYSEWKQTGDNGVWKFGGTMKPATSTKTFVRKNSEPFTKSLSRNSYINEKSLADFNSDVESNKMSGSYSLSMLVRAILLDKNPEEVPSLVESVLNKVVEEFEHRIASQFEQTKISSRGAVSQSNGSISKFVMADKKVENNIPRLTKKEGFIHKNHVADEESQRQLLKKHMLFDQQQRDIQELKHTLHTTKAGMQFIQMKFHEEFSNLGMHIHGLAHAASGYRRVLEENRKLYNQVQDLKGSIRVYCRVRPFLSGQPNFVSSVDSIEDGTITISIPSKNGKGRRSFNFNKVFGQSSGQAEVFSDMQPLIRSVLDGFNVCIFAYGQTGSGKTYTMTGPKEITEKSQGVNYRALSDLFLTADQRKDTFHYDVSVQMIEIYNEQVRDLLVTDGTNKRYQIRSNSNKGLSVPDASLVPVSSTINVIELMNLGQRNRAVGATALNDRSSRSHSCLTVHVQGRNLTSGTLLRGCMHLVDLAGSERVDKSEATGDRLKEAQHINKSLSALGDVIASLAQKNQHVPYRNSKLTQLLQDSLGGQAKTLMFVHISPEADSIGETISTLKFAERVATVELGAARVNKDGADVKELKEQIVSLKAALARTEVKPGHALSASSGKYRTTEASELSSYHATQRNADIVGDLCRQPMVDVGNFELHSNTILRGKRQSFNFDDISANSPPWPAVKSPGPNYREDDRETVSGEWVDKVMVNKAESMLGGCWEADSGNLSDVFYQKYLQHSSETYSQQSYNNMLMGGNQFNIVGSDTMDDLDAATSDSSEPDLLWQFNHSKLTTLANENGSKASRKLVSKSSKSPGLRRNTINSSLSPSPSRKQANGVLHQSGRHPAPVDMKHKSRSRK >OIW13717 pep chromosome:LupAngTanjil_v1.0:LG04:9102448:9106042:1 gene:TanjilG_08059 transcript:OIW13717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTPSSSSSSSSSSSSIWVVSNIKLKFFARIRRFLHSKAARKRCCDPSDHIDKEKSTVEPKNKVENLETVQVMEKNVEEEEESEIVLQRSVKKLHFGSCEEKEVAAKEIARLAKEDVKVRKLITELGVVPVLVSMAVSEVASRRRLGLMALIHLADGTYTNKVLIVEAGILLKLPKKIDLLDESTISAFAELLLTLSSLANTQFSFPSLDFLPLLRNILDSSSCFDTKRSCLCALYNISTVLENARVLVSSGVVPILLELSTMKEISEKALATLGNLLVTLMGKKAIENSSMVPESFIKILLWEDKPKCQELCVYILMILAHQSSSQREKMAQAGIVPVLLEVVLLGSSLAQKRGMKLLQWFKDEGQVRMGPHSGPQSPRFAMGSPINQGDAKKGKKLMKGLVKQSLHRNLEIITQRANATGDSSKLKSLVISTSSKSLPY >OIW13746 pep chromosome:LupAngTanjil_v1.0:LG04:8644074:8644853:-1 gene:TanjilG_17925 transcript:OIW13746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKINNQTLFSIILICFALVDKSLSHDKPSTTETPSSSPTTTPSTSSPTTSETTTPSSSPSDQFSDTPSVDPPSDSPAPTPDGGASGYSSPSTVSISDYLKEKYGDQSKAEYNPDLEKICGHTHQPDVCLATISPLIKNKKKFDVVRILEASIKVSKENIKDIVEKIEKQAKKSGENDASLNECKENYSKALDNLHKALEAIRAKNYGKVTILLSGALADVSTAESKIVDMQLSNFKVEPFSFASVTASNCLSIASLVPN >OIW13374 pep chromosome:LupAngTanjil_v1.0:LG04:13784877:13787231:-1 gene:TanjilG_16483 transcript:OIW13374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLNDYLSKNVELLQGRSVIELGSGVGITGILCSGFCHKFVLTDHNDEVLKIINKNIKLHSCSEDTSPTSHGLVAEKLEWGNKDQINEILQKHPGGFDFILGADIYILIVFNSTFVILELCIRYSMDSMIITEASKHQMHLKEVLGTRTIVGNLEGVIYETTLE >OIW13356 pep chromosome:LupAngTanjil_v1.0:LG04:15362798:15392128:1 gene:TanjilG_02876 transcript:OIW13356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISAAGVGSRSNRDARKGLDLGLGLDKGEMVESELEEGEACSYQNHEDFDATIDPDVALSYIDEKLQDVLGHFQKDFEGGVSAENLGAKFGGYGSFLPTYQRSPFWSQPSTPQKFRGQNTLRSPNNVQQEGGQGDAAQFSNGTQSSRLGPGSATSSRLPSVKAPSVHEGIDRGKWMGTTSDEAFNSKSKPQKIKATTVSDQKTLKVRIKMGPDNLPTRKNASIYSGLGLDVSPSSSPDESPSESEGISCGPQGAPFESPISILETMIDHPMLLLSPLPNDFIELTAKETRARVSFPSPVRMGEPESSGVLLHESNTVKGDRKLSGGKKVKSSESHGSKKNTLNDIGVLSRKEQGRDAPTTEALLYSACSFSDDPAKSVDGTCDSLKEANKSMVREKTFSDQAQKEQLDSTYTEVNGFAERKKGGSVRKVMRDKVSLDDISFSTVKDNSQGDKTCDSIIAEYNVSKVRTAPNTGCIEPFEKAYERGSLSEQDNVTLPVKEHPFPGGKKKSKGSHGTIVAEREKENLSVGTSLIPKAKKSSEDSSTSNQETEDIKVQKGVEKARDTYRDIFGKLEEEDRKDSLDTPYEDKLKESEVEKKTPTINCGAKGRSGGKKVDKPLTAENVRCTGNAHVTDAVMGNGVPAMMPPVVIEENWVQCDRCHKWRLLPVGTNPDNLPEKWLCSMLNWLSDMNRCSFSEDETTKALIALYQGPPPESQRNLQNVSGNVTVGGTVATVQHSDQHQLNNDQHAVPGGKKKVVKDISNSANKDSFSPLPSAIKKNLQSSVKSKSLNDVNKSPAVSEGNVPGEKHKNKQRMLEKNSDEGDIKNMKVKSKRGLDQDCSRPSKKDRSDTVHSTDEEWYAEQRGSSRKAGHSSSNSFPTSSVSKDRPRHKERSSSRESKLGKERLRVSAENTKGKGQSSLDERSLDLGNYNSVGIKKRKLKDYQDAQTCSTGNPYQQDNRISVQEFSDSRKEKKVRNSKSEGRESSASKGSGRNDKKVRETRNQEFGRNPASTLSQHSMDGMDCLKRDLGSVQASVVATSSSSKVSGSHKTKASFQELKSSPVESVSSSPMRILSTEKFTNRELPGKDDFHDTAALDSPRSCSNGEDDGGSDRSGTAKDKYFTMAHRSNFHNNGVNMSDSKPKAQTASHCTNGGVDNIAEDGKYPGTEQQIKDLGEDRPDDVSRTRKSGIESGLKNNTVSSIQLQDQSPLREEKHKDGKVNLQEKFGFMPDQSDNIHVGKKDYTGKSESRKKENHLNGGQYFEEVSINAICKQEASHARSHHQLPDCDTERSSKRSLPERSDMEVLGKGKSLSLLPSGGAQAETFGRFPQPVVDFHKGSGDMEVDPSKVDDVSKLQKKPPKKADHQNGTQQVGSRNSALNGHRSKEIDAPSPMRRDSYSHAANNAVKEAKDLKHLADRLKNSGSTVESTSLYFQAALKFLHGASLLESGNNDNAKHDEMFRSRQMYSSTAKLCEFCAHEYEKSKDMALAALAYKCTEVAYMRVIYSSHPRASRDRHELQAALQMVPLGESPSSSASDVDNVNNSTAADKLSLAKTVNSPQVAGNHIIAARNHPNFVRLLTFAQEMNFAMDASRRSRNAFAAANSSSGVNKHADGVSSIKKALDFSFQDVEGLLRLEHNGRVNKHYRSKVRDSSSWLLPCAESDRFLTRGGVMILATWMSTAAVEEQTSVLLLILKVLCHLPLHKTPPAQISAILQSVNRLRFYRTSDISNRARVLLAKWSKLLARNQAMKKPNGVNSFRDSKRETMLSHSIGQIMGSESWHSNIDVPEDILGLSNECSDNLRKMEPLPVLKLLPPCSDDSNKKPGLGLSSSQSRERRKVQLVEQPGQKTASRSPQAATTRPVTQGRPVSVDDIQKAKMRALFMQSKYGKAGPAKESKGAKINGLTKPQTNQASISACSSKVPLPLKIEEDKKSPLLPSKITNRSEASYSNLKMDLKEPLWEKCKRVQIPWKTPAEMIIDGSWRIGAGQNSKEVDVEKNRNCRDKETIYHSIQEMPSNPKEPWDIEMDYDDTLTPEIPIEQLPDDDGDDAEIEVDPNHVEIHAVQEVATTSSNDNNNAVTAEPDLELLAVLLKNPELVFALTSGQAGSITNEDTVKLLDMIKSGGLNLGGLCENNAANTNGNYGISARAPETVEVSLPSPTPSSDPRTSGWSTEASSKNPFSRQSLPTDRIIHNSSTVVTTNLLSQIPAADNIVRQQPPTVALQSSKQITGAAVSRYPLPQATNIFPERQPPHALSSMHVQTPSPEIGLTTMKKNIISANTSSANLPGAHSPLAMRVDGTSNVRPVPKLSVQEGVSNSFPQYSMLTSQTPTPSLSATQQHRHTAHLMQQQQQAHFSEPSYHSNTVPSYPPQIEKPGPVSDAWRVRQNDMPSSYHQSERNQNNYNNTLVGRSMQSGSSWDRNNHGSSSSSREGFETWSPENSPTRNPTHVPGRNFNESRMNDNHGRNVRPEWSRQQRGSSGYWDPARQGNKKWNDQRR >OIW12876 pep chromosome:LupAngTanjil_v1.0:LG04:24077658:24079509:-1 gene:TanjilG_24809 transcript:OIW12876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEANQEFEVDHHLNTTTTTTTGTSFSQLLLGNDDNNEDENALGVDVDQNYYFNNNNNNNNNLTDYSPVFPIHIAPQMLCFGNYESEGLPQTNALTSQKSVITNSSDSSSASSCNHTTTTTNSLSKPNLKSLQKKRQEPATKVGVGSQRPPKKTKTDTPTSTGHAKRKEKLGERIAALQQLVSPFGKTDTASVLHEAMGYIKFLQDQVQVLCSPYLQRLPSNGHQIGNGDNDGEEEVTKDMRSMGLCLIPMECTVHVASSNGADFWSPSAIWNNISPSTTMQ >OIW13938 pep chromosome:LupAngTanjil_v1.0:LG04:5809427:5811060:-1 gene:TanjilG_09289 transcript:OIW13938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRKVREPKEETVTLGPAVRDGEHVFGVARIFASFNDTFIHVTDLSGRETLVRITGGMKVKADRDESSPYAAMLAAQDVATRCKELGITALHIKLRATGGNKTKTPGPGAQSALRALARSGMKIGRIEDVTPIPSDSTRRKSGRRGRRL >OIW13530 pep chromosome:LupAngTanjil_v1.0:LG04:10307626:10308735:-1 gene:TanjilG_29271 transcript:OIW13530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKEDENIEKTLSVILGKCKEICADNKKKSVGKKSLSFLLKKMFVCRSGFAPTPTLRDTLQESKMEKLLRTILHKKIYSQQNSSQPSSMNKCLEDKKIQKKMKDDEAKKKVINGCKWVKTDSEYIVLEI >OIW13558 pep chromosome:LupAngTanjil_v1.0:LG04:10520717:10521931:1 gene:TanjilG_29299 transcript:OIW13558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNGPNAQGGMSNEPNAQVGMSNGPNAQAGMSNGPNAQVGMSNGPNAQAGMSNGPNAQASMFNGPNAPHDMFNGPNAPPSMFNGPSNIFSGPAMNNYKGYGNGKGLDVTNIPMQMMDQCTCKGGNYNEIRSGNERGRKCNVDHASKNKEVDVNKRKKKSVGGLLGRYLGFNNRSSKNGKEWEKCRNDLDLFEFDLLRHNAKMSKRGINGTNGIVGQMGLQMHQNGPMGSLMHQNGSIGQMGQPMHQNGLMGQQMHQNGPMGQMGQPMHQNVRTGQMGQTMPQNGPMGQPMHQNGSIGQPMHQNVRIGQMGQTMPQNGSIGQMANVPAMDRDGQYYQGMQPPSNNHQQQQDMAMKPMIIDQQQQQQPNMNMYQPDMLPPNESHHIVDPFTYVFSDENTEASCIIM >OIW12700 pep chromosome:LupAngTanjil_v1.0:LG04:25597870:25599276:-1 gene:TanjilG_24633 transcript:OIW12700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRLVKPDSKEVELLFYKGQKCSSTFKLKNLMHTMSVAVSLTTSNPSIFSLNKPLSIIPPLSSSSYTLQLSRFSDQPPLTSPADVIVVRTTMLPTGKARHDDLRRMFSKPGPHVFRDAVLPISLVGPHVADFLISHHSNNPIPESCNLYKKVLSGCDKTHLNKLLKLAVELGNVDSVAVLIDAGADANFKDSNGKSLIPFAIRSEKFDVVKLLVASCCRIKNSVDLVLHEAAAINRIDFMKFLIESFGDNEIDINSVDSNGRNPIHVAAIQGHVEVIEFCVSIGGNPNYMDKKGWTPLHYASSQGHLKTVECLLECSNVKKVRNKDEKTAFLLAKENGHSHLFDLLHWGDELVRGVKLDDVHRVKRCIEEGASLNRQDQNGWTPLHWAAFKGRIKSLKVLLENGAEVDAIDGDGYTPLHSAAHCGHLQVVLLLIAYGSQVNVKSFEGSLDCVEKHVSLDYNTFYQEKA >OIW13369 pep chromosome:LupAngTanjil_v1.0:LG04:13906998:13909304:-1 gene:TanjilG_16478 transcript:OIW13369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSASFSDSHTHPLHAEEDQDYALVDSFLNYYYDPNAMDVEESLQSQPAMEVKEPQPESEHELVSALAPVTEHEPTLELELVPEQEQKYENVGNSCCDNSIEKVVLHINGESGNRADVSNEVVRDNQSLSYRDDEMEEVEVEEGEIIESDGIEDGEEDYSDDAGDCDNNDVITSLVPHVDITLEPHHQMVPVGVIFSIMSVKVIVKSTEKHNLLNEGSVLWLTERQTPLGLIDEIIGPMESPYYCVRYNSENEVPVGINVETSISYVPEFAKHLPNHEDLYKKGSDASGVNDEEMLNEVDFSDDEKEAEYNNPEVPLKRCVVLALPAPNAPPMFGGGRGHHSSCLGAGRGRGERTPSSGTEPGRGWNSLHSDTGRDRGAALLNPPNTAPDLPKAPSQPLPAVHHNPHLGTGGGHGAAQLIPQTNAAPQLPTTPSQSLPAVHHYPQPLPVVYHNPQPLPAMHHNPQALTIMPPNPQPQNAMHPYGFLANRALWFQQNFHTPHQFPRPGMPFQQQFIPGQPIYAQGFMGQNHLEIGVRPPLGQIPPPTIFQCNSQQGNQYPPNQFHPGSSNRGRTMFHRGRGWRGYRPTK >OIW13209 pep chromosome:LupAngTanjil_v1.0:LG04:18470046:18470387:1 gene:TanjilG_17652 transcript:OIW13209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNTTTTTTPSSDDTITMSPIINKLNEEETDNFENMVNNNSVTTGDESYRTPTSKESKIPEVLSCPPAPKKPKSLVSCKRKLMDEFQFFEDTNKEDMDEFFRSTFPKRSCSCK >OIW13529 pep chromosome:LupAngTanjil_v1.0:LG04:10303162:10305246:1 gene:TanjilG_29270 transcript:OIW13529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLIPGILLKLLQTMNSNVKIRGEYRSILLQVISIVPALSGSELWPNEGFFIKVSDSSHSTYVSLSKEDNELILNNKLQLGQFFYVDKIEAGTPVPILVGVRPVPGRHPFVGNPKDLMQMLDPSKGPMHSGKDVVNGSKSMDSTEAKENSGSRQKFVIKEEKAGVASRYMQGVLNPNSKANVSESNFGGKGNDLESVVDGKKMGSAKGKHQEIKGQGILRRKYLASQIVLEAQKEASAAATLVKCLSMFANICSSAASANPHVTLNKFFALQQLMDQPNGATQLKDKSLQINKNPSPTDKNISRKRAGPMPAKSKSISKSPKPFTELSDTEKQEWIKGDGMKGINDMREVLLNETRSWFLKYFEKTLDGGFSVGSQEKFKESKDIAGRQMEQDNLIALTLSNLKHGKEWLDKVRSNLNSENEGLVETVDRLKHKVYSCLLLHVDSAALALEKRN >OIW13720 pep chromosome:LupAngTanjil_v1.0:LG04:8954503:8958836:1 gene:TanjilG_08062 transcript:OIW13720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTSTDPTQDVSDEQQKRSEIYTYEAPWHIYAMNWSVRRDKKYRLAISSLLEQYSNRVEIVQLDDSTGEIRSDPNLSFEHPYPPTKAIFIPDKECTKPDLLATSSDFLRIWSLPEPDEAGSVQANRVELKSVLNGNKNSEYCGPITSFDWNEAEPKRIGTSSIDTTCTIWDIEKETVDTQLIAHDKEVYDIAWGGVGVFASVSADGSVRVFDLRDKEHSTIIYESSEPDTPLVRLGWNKQDPRYMATIIMDSAKVVVLDIRFPTLPVVELKRHQASVNAVAWAPHSSCHICTAGDDSQALIWDLSSMSEPAEGGLDPILAYTAGAEIEQLQWSSSQPDWVAIAFSTKLQILRV >OIW13228 pep chromosome:LupAngTanjil_v1.0:LG04:17495477:17499222:-1 gene:TanjilG_03557 transcript:OIW13228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGSYYKYVQVGCGGISVDFLAAVSAYPNPDDKIRTTNLKIQGGGNVGNALTCVARLGLKPRIISKVANDSQGRDILDELQTDGVDTSFLVVSKEGTSPFTYIIVDNQMKTRTCIHTPGYPPMIPDDLSQSSLLSALHGARIVYFDGRLYETALVVAQEAWTKASTIPQALVSMLLRLPNIKFVIVTLGKDGCIMIERSVDGPSTEIDIDSLVESLKTTKKETVTIPTCISSSVEKLKAKGIGTVSGRLYIGTAESISPSELIDTTGAGDAFIGAVLYAICAKFSPETMLCFSATVAAAKCRELGARSGLPYRTDLHLASFMQ >OIW12819 pep chromosome:LupAngTanjil_v1.0:LG04:24622659:24624610:1 gene:TanjilG_24752 transcript:OIW12819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKRTTHTYSSEDAAPEGPNSDLFVYYCKHCGSHVLITDTQLQKMPKRKTDKSYVLDKTKHLARFNINDAGNVLLKRGQGKLEKQFRMNCIGCGLFVCYRSQHDFESSSFIYVVDGSLSTVAAETNPQDAPVPPCISQLEGGLVQVAIEVEDRAQRVNADDVRVTVAAPAARGEANNELLEFMGKVLGLRLSQMTLQRGWNNKSKLLVVEDLTARQVYEKLLEAVQP >OIW13948 pep chromosome:LupAngTanjil_v1.0:LG04:5885712:5888510:1 gene:TanjilG_09299 transcript:OIW13948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLGKSDLVYRELGYCNLKNNFRILNKRVCFGSNMRWKKAGIQFTLKALKSEPVLEEKRSGFGTISKTVDGVKLFVGLPLDAVSYNCNSMNRARAIAAGLKALKLLGVEGVELPVWWGIVEKDAMGKYDWSSYLAIAQMVQKVGLKLHVTLCFHGSKIPHIPLPKWVSRIAESQPSIFFKDRSGQHYKQCLSLAVDNLPVLGGKTPVEVYQSFCESFKSSFSPFMGSTITGISMGLGPDGELRYPSHHQLTGDTKTQGIGEFQCYDENMLSFLKQHAEASGNPLWGLGGPHDAPTYDQPLHSNNFFKDEGSWESAYGDFFLSWYANQLLTHGDSLLSLAASTFGDSGVEVYGKMPLMHSWYGTRSHPSELTAGFYNTANQDGYEPVAKMFARNSCKMIIPGMDLSDANQSNETHSSPELFLAQIMKSCKNHGVKVSGQNISESGAPGVFEQIKKNLLRDKVLNLFTYHRMGAYFFSPEHFPSFTEFVRSLNQPELHSDDLPSEEEVLADSAIISQESSVSMQAA >OIW14010 pep chromosome:LupAngTanjil_v1.0:LG04:6475584:6476282:1 gene:TanjilG_09361 transcript:OIW14010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNKGELTYIEDDSKRKITCKKRKASLIKKVNELSTLCGIEACAIIFDPSASQPEIWPSHSEVERLINKFMEMPEMEQRKYMYNQESFLSKNFNKAQDQLKRLRDENKRMEMEIFMFQCLNIGCIVNTANMVDTNYLLFVINQTLKDIQWKQSRDQRQHGTVVAASKEEPLNEEVGSVDGPVHGVEQTNMGAMQIQDWSSDSTNDGGNMMLPSGDNNNLSNGFWPSTPSFP >OIW13908 pep chromosome:LupAngTanjil_v1.0:LG04:8205284:8216648:-1 gene:TanjilG_31797 transcript:OIW13908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSFPVTLEHFLLTHLKVETILDRENFTLDDLLDEDEIIQECKALNSRLINFLSGRPQVEQLIRYIVEEAPADAEKMRTFKFPFIACEIFTCEVDTILKTLIEDEELLNLLFSFLDPTHSHSNLLAGYFNKVVVCLLLRKTVPFMQYVQTHQEIVSKLVDLIGITSIMEVLIRLIGADEHMYVNHVEAMQWIEETDVLEMIVDKFSSSDCPEVHANAAETLCAITRFAPAGLSAKISSPSFIGRLFRHALENSRPKSVLVNSLCVCISLLDPKRHTSGAYHTYNCHMTIGSTVAANPETVEGMLESLGDLLKLLDVSSAENILLTTFGKLQPPLGKHRLKVIEFIWVLVTVGSEAAEKKLIDLAAVQRIIDLFFEYPYNNFLHHHVENIIMSCLESKNSSLVEHLLRDCDFVGKIIQAEKHFTLEADANKATTLAEGKSSHRIGNIGHITRISNKLVQLGNNNSVIQEHLQGNTEWKDWYADILSKRNAVENVYQWACGRPTALHDRNRDSDDDDYQDRDYDVAALANNLSQAFRYGIYNNDDIEEVHGSLERDDEDVYFDDESAEVVISSLRGSLFTNSNWFDFEDDRNEVANERSTGSVASPSPNAEEEGAMIASGDGDVVTGGEDEDLADTASSSPEAEPKLEQSGTDIPVEWVEWRESSDANDPSDVLLNRELETESEKNNPDTDEPSSPSPVALAKDVNIVAEPLASSSVALTKNEPVAAEPSASVNENPSIETSEPTHTGNENPTSFTPSSANEAVAQVGGDDNNDTTEVKKEVAN >OIW13670 pep chromosome:LupAngTanjil_v1.0:LG04:9440670:9443138:-1 gene:TanjilG_08012 transcript:OIW13670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEERPETELISIPATPRVSTPEILTPSGQRSPRPTSKEAKSSNAWTPTSFISPRFLSPIGTPMKRVLINMKGYLEDVGHLTKLNPQDAWLPITESRNGNAHYAAFHNLNAGVGFQALVLPVAFAYLGWSWGILSLTIAYVWQLYTLWILIQLHEAVPGKRYNRYVELAQAAFGERLGVWLALFPTVYLSAGTATALILIGGETMKLFFQIVCGPTCTSNPLTTVEWYLVFTSLSIVLSQLPNLNSIAGLSLIGAVTAITYSTMVWVLSVSQQRPLSISYEPLSLPSSSSSAFLVMNALGIVAFSFRGHNLALEIQATMPSTFKHPARVPMWRGAKVAYFFIAMCLFPIAIGGFWAYGNLMPSGGILGALYAFHSHDISRGLLALTFLLVVFSCLSSFQIYSMPAFDSFEAGYTSRTNRPCSVWVRSGFRVFFGFISFFIGVALPFLSSLAGLLGGLTLPVTFAYPCFMWVLIKQPTKYSINWYFNWTLGWLGVGFSLAFSIGGIWSMVNDGLKLKFFKPN >OIW13904 pep chromosome:LupAngTanjil_v1.0:LG04:8176258:8177475:1 gene:TanjilG_31793 transcript:OIW13904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEGMSGRKHGAVTPCAACKLLRRKCGQDCVFAPYFPANEPHKFANVHKVFGASNVNKMLQDLPMHQRGDAVSSMVYEANARVRDPVYGCVGTISSLQQHIHMLQTQLAQAQAEVVHLKARQTRCNSNLGLSPNSPTNSCSPSSKIMGSYAKPIFDMDMVVDHAIYSDSIWS >OIW14226 pep chromosome:LupAngTanjil_v1.0:LG04:2029027:2031218:-1 gene:TanjilG_21366 transcript:OIW14226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSEADHREEEDVPTGEDEDTGAQIAPIIKLEEVAVSTGEEDEDPILDLKAKLYRFDKDGNQWKERGAGTVKFLKHKVTGKVRLLLRQSKTLKICANHLILPSMTVQEHSGNEKSCVWHAGDFSDGELKDELFCIRFASIENAKKFIETFQEVAESQKQAENKDASAAAGLLENLSVEGKKDEEKSDNKTAEESSPVKESKTDTEKKADEPASSA >OIW13255 pep chromosome:LupAngTanjil_v1.0:LG04:17134539:17134736:1 gene:TanjilG_14188 transcript:OIW13255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKKTLAAFLICMVIAMAFVEKAETLSDCAKECMPVCLKEKGSTIDACSPACEEYCSQYASKNK >OIW14014 pep chromosome:LupAngTanjil_v1.0:LG04:6510141:6510740:1 gene:TanjilG_09365 transcript:OIW14014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQLGTRQRAEPTTILSLLTDQMDGVDGGTRRRRSLKERLGFIGMGCCGATWVFRSTNLTATNGEEQQQQQQHGLVGQDPELGRPECVDPVASSSSGMNLAAALAAERQLRGPHEGEREPGTPWRVSLMRLLEETQEKERRVTSVGNDSVCCVCMGRNKGAAFIPCGHTFCRVCSRELWLNRGSCPLCNRSILQILDIF >OIW13757 pep chromosome:LupAngTanjil_v1.0:LG04:8576492:8577016:-1 gene:TanjilG_17936 transcript:OIW13757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRISIFVCCFALNIILLASMTKAENGDLVDQICKKTPFYDLCNSTLHSNPLSPKIDLKGVALIMVNNILANATETLSYIERLIKQTSDRGLEQALAFCAESYIPIVKYTLPQAADAISQGRFGFASYCISDAMKEVSTCDKRFSGSTQSPLGDRNGFMQKLVDVASAIIKLLQG >OIW12718 pep chromosome:LupAngTanjil_v1.0:LG04:25446759:25447820:-1 gene:TanjilG_24651 transcript:OIW12718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDIADQPRINSRRRYCHWLLVAMYAVFVLLGHSAATLLGRLYYEKGGKSKWMVTLVQHVTFPILLLCYCIPTPKNPIGNSINSEKPSALVVAFIYVSLGLFEALNCYLFSMGLQYLPVSTYSLIGSSELAFNAFFSFFINSMKFTPYIINSLLLLTISSTLLVFQTKTEHSTEISKKNHAIGLICTLAASAGEGLLLSVRQFAFEKVLKKETFKVIMDMIICESLVATCVILVGLFASGEWDRLHEEMEEFELGKASYLLNLIFTAINWQLYCIGCVGLVFEVSSLFSNSISALGLPIVPISAVIIFHEKMQGIKAISMVLALWGFISYLYHHYLDDRNSNTESRNDGHVPN >OIW13009 pep chromosome:LupAngTanjil_v1.0:LG04:22442639:22445947:-1 gene:TanjilG_15458 transcript:OIW13009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVPSPMIRTKKTKAVGIPTIDLSMERSELREQVVKACEEYGFFKVVNHGVPKEVITRMEEEGAEFFAKPTSEKLQAGPATPFGYGCRNIGPNGDMGNLEYLLLHTNPVCISERSKTIANNPTKFSCALNDYIGAAKELVCEIVELVAEGLWVPDKFSLSNLIKDVQGDSLLRINHYPPLNPKINDNNNNNIGFGEHSDPQILTILWSNDVGGLQISTHDGLWIPVPPDPNEFFVMVGDVFQVLTNGRFRSVRHRALTNTMKTRMSMMYFAAPPLNWWIEPLPKMVTAQNPSVYKPFTWAQYKKAAYSLKLGDSRLDLFKVQHQQHDSS >OIW13350 pep chromosome:LupAngTanjil_v1.0:LG04:15239906:15242780:1 gene:TanjilG_02870 transcript:OIW13350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKMAYSFPEEVLEHVFSFIHCNKDRSSISTVCKSWYEIERWCRKKVFVGNCYSVSPTVVIKRFPEVRSIELKGKPHFADFNLVPEGWGGYVCPWIEAMACGYPWLEEIKLKRMVVTDESLELIAKSFKNFKALVLTSCEGFTNVGLAAIAANCRGLRILDLQESGDEDLNGNWLSHFPDSYTSLVSLNISCIGNEVSLSALERLLCRCPNLQTLRLNRSVPLDRISNLLRRCPQLVELGTGIYSTEMRPEVFVNLAEALSGCKKLKCLSGFWDVLPTYLAAIYPICSRLTSLNLSYAVLQSSDLIKLVSQCPSLTRLWVLDYIEDAGLDALAASCKDLNELRVFPSDPFGLEPNVALTERGLVSVSEGCPKLQSVLYFCRQMSNAALHTIAQNRPNMTRFRLCIIEPRTPDYLTLQPLDSGFGAIVEHCKDLQRLSLSGLLTDRVFEYIGTYAKKLEMLSVAFAGDSDLGLHHVLSGCDNLRKLEIRDCPFGDKALLANAAKLETMRSLWMSSCSVSYGACKLLGQRMPRLNVEVIDERGPPESRPSTCPVEKLYIYRTVAGPRSDIPGFVWTMKDDS >OIW12649 pep chromosome:LupAngTanjil_v1.0:LG04:25959028:25961602:-1 gene:TanjilG_24582 transcript:OIW12649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSMLEHMDAYYLRRILRSLFRTVIFNFNLGQDELLLKLGIGKTPMHAYKLHDNGQSEPAAAKVLHDLVSLVHNHVGANQDQQSLSASVNVNELRESDKCEKKVNFNWRDDPIAKILWDVESQTLQNVFLAMAHNFSRTLEAFVLHVLSPIGAEVISQKIDEMDQQILEEDRSRFYEVFFSAFDDQSAAMNLILKGKESFTQQAFKSVMGKFVGLRLESSKPGSDEMPS >OIW12873 pep chromosome:LupAngTanjil_v1.0:LG04:24109890:24111474:-1 gene:TanjilG_24806 transcript:OIW12873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKSKIEEVIEKQVLTVAQAVEEQIDDEIAALERLDADDIEALRERRLQQMKKMAEKRSRWISLGHGEYTEIPSEKEFFSVVKASERVVCHFYRENWPCKVMDKHLSILAKQHVETRFVKINAEKSPFLAEKLKIIVLPTLSLIKNAKVDDYVVGFDQLGGSDEFSTEELEERLAKAQVIVFEGESSLNHARSSTQTKRSVRQSTKADSSDSE >OIW13642 pep chromosome:LupAngTanjil_v1.0:LG04:9600797:9602443:1 gene:TanjilG_07984 transcript:OIW13642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGGKAEAERWLYTANKLLTARDLHGARSFAIRARESDPRFEATELLLAVIDTLLAGEARISEHLVDWYSILQVLRYTQSIEYIAAQYRRLAIILDPQRNPFAFATHAFQLVHDAWSVLSNPNKKIVYDNDLRLLTEPPQQPPPPPPAPAPAPPLVTGFQFSPPRQIQIQIQNQNQHHHNQNQHYQNQHQSQQQQQQQQVQIRKSPKSLSQARVVVVEEETHNQNRSLDNVTEPEPVWVDRTDDSTRTQSVTENVIESFWTACPYCYVIYEYPKVYEDCTLRCQSCRKGFTAVVVRSPLNLGENDDSYCSWGFMPLGFSGNLKELSGGSSDWNPFSPLFPCPLKGGSTRKGRKGPVAYYDQEACVAFVEKELSDSSDDSDDGDWRNTYTKRLRKRARGIGRRSGFASASGVVRRSKTGRPRKGVQNNVGDVNVANGEAVNGHGGGPAPAAAVAARPESSKKAAVVGARRRGAANLGKLDLNVEFSNEVDEHAPGASEGNGMNGNGNAPGTGNAEDNIEGIGFFEGLDEFLSSLPILNVVADDKVKGN >OIW12503 pep chromosome:LupAngTanjil_v1.0:LG04:27129446:27136703:-1 gene:TanjilG_04667 transcript:OIW12503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSTMMMKSTTFPIFTSNPFLISTKSLTLRPSTVSIKPPPPCFDYREEISKESKAAIAESHPELLDLAENGRLVLVQKKRFGPVPSWRTEFVEPETIWLVGTSHVSKESAVHVERVIRTLRPDNVVVELCRSRAGIMYASADEDTGKQLRSNMFSLSGAGFFGAVGRSLNLGGQTALALRLLLAAFSSKISSDINRPFGDEFRAARKASEEVGSQIVLGDRPIEITLQRAWKALKWTEKLNLVISVIRGITSSSHISTNKLQEAGLDDDTLQLYEQLSFSYPSLLPPLIHERDTYLAWSLKRSKAVNKCKSVVGVIGKGHMNGVIYALLSDTGNLRFRDLVGKMRPIFCGNLEHDARSSELERLFRRYGKIERVDMKSGFAFIYMEDDRDSEAAIRALDRAEFGRKGRRLRVEWTKQERGVRRPAPSSRSSGNGRPSKTLFVINFDAYQTRTRDLERHFEPYGKIVSVRIRRNFAFVQYESEDDASRALEATNMSKLLDRVISVEFSVKDDDRRNGYSPERGHGRQGYRSRDGRRSPSPYHRERGSPNYGRGPSPYKRERSSPDYGHGRSRSRSPLQRDRVSPAYVRRSPSPYRRERNGSDPNRGLSHSPYHKEQVRTGDRGISPPHNNSHEGREKTSPQNGRGSSRSPYDVDKVASPENRHGSRHSPEEEGAIISPYNGYGGSPTTMPESPGHERYHSQSPPAEE >OIW13334 pep chromosome:LupAngTanjil_v1.0:LG04:14826010:14828830:1 gene:TanjilG_02854 transcript:OIW13334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQNKIDYHGLIQHSHGLNRVLNSEIDKNYSCEYFDMKQAWNNQPLAMAGVGVGGAEQPPYIGTAKSSNTVIRGFESPASAFYAAERSMNFVEYDSQVGSNSHSFSFSPQFSKINDLEFPLYKSPRENIFMDSPNQIHSNTLQAMVKSQLNSDQCSTSPIERNQDHSVVYGTYNLPAAQMNLSSLNEKSTTISTGNGLTSSGNPASNGTSVASKTRIRWTQDLHDKFVECVNRLGGAEKATPKAILKMMDSDGLTIFHVKSHLQKYRIAKYMPDPAQGKSEKKFHTVNVHHLDVKTGLQIRETLQLQLDAQRHLHEQLEIQRRLQLRIEEQGRQLKKMFDQQQKTTNNLMNATQNTTNDVIAIGHKDVDVSTFEGS >OIW12926 pep chromosome:LupAngTanjil_v1.0:LG04:23578705:23579648:-1 gene:TanjilG_15846 transcript:OIW12926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMGPERSKSLHNFMLPCLKWGNQRHLRCMKVPTDNASNGGDHRSPAPSRKRELEPVTNNATVTVAKPGIDGDDDGGIDAVREKLMLDLKTEAHRMKDAILGKGVAEENGDGDVAVAAAAAEELVVSVGVRPWNLRTRRSACPEKGLKIEEKKTIFSSSSPLRTNNNNNNVGGVVMKSPKLRGTPEKIEKVKFSVPLSRKEIEDDFMKLLGHRPPRRPKKRARIVQKQLDTLFPGLWLSEVTADSYKVPEAPETGKVHIIMLCNFFGTRVKTE >OIW13120 pep chromosome:LupAngTanjil_v1.0:LG04:20393947:20399409:-1 gene:TanjilG_08153 transcript:OIW13120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLASNLGGKIDKQEVLSAVEQYEKYHVFHGGQEEERKANYTDMVNKYYDLATSFYEFGWGESFHFAPRWKGEDLRESIKRHEHFLAVQLGLKPGQKVLDVGCGIGGPLREISRFSFTSITGLNNNEYQISRGKELNRITGVDKTCDFVKADFMKMPFPDNSFDAVYAIEATCHAPDAYGCYKEIYRVLKPGQCFAAYEWCMTDSFDPSNQEHQKIKAEIEIGDGLPDIRLTTKCLEALKQAGFEVIWEKDLAVDSPLPWYLPLDTSHFSLSSFRLTAVGRFFTKNMVKALEFIGLAPKGSLRVQDFLEKAAEGLVEGGKREIFTPLYFFLARKPDSNSD >OIW13436 pep chromosome:LupAngTanjil_v1.0:LG04:11699703:11703867:1 gene:TanjilG_05326 transcript:OIW13436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIIAISSISHHLLLYKKPITTTTTSTTTTTSTPTTTCTSISLHTNSHFNNNKRLIFLPSAIASSSSSSSLLSEEAFKGILQNNEFAHDFETNALSSDNKVEDHELDISKLALPSRLVESLHKRAITHLFPIQRAVLIPALEGKDIIARAKTGTGKTLAFGIPIIKGLTEDEHGIPHRRSSRLPRALVLAPTRELAKQVEKEIKESAPYLNTVCVYGGVSYGIQQGALSRGVDVVVGTPGRIIDLINGNTLKLSEVQYLVLDEADQMLAVGFEEDVEVILEKLPSERQSMLFSATMPAWVKKLARKYLDKPLTIDLVGDEDEKLAEGIKLYAILATGSSKRTILSDLITVYAKGGKTIVFTRTKKDADEVSLSLTNSVTSEALHGDISQHQRERTLNGFRQGKFTVLVATDVAARGLDITNVDLIIHYELPNDPETFVHRSGRTGRAGKEGNAILLYTSSQRRTVRSLEHDVGCKFEFVSPPAMEEILESSAKHVVATLNGVHPESVTFFTPTAERLIEEQGASALAAALAQLSGFSRPPSSRSLITHEQGWVTLKLTRDSDISRRFFSARSVTGFLSDVYSPAADEVGKIHIIADERTQGAVFDLPEDIAKELLKKEIPPGSTISKITKLPPLQDDGPAGDSYGRFSDRDRSNRRGSRDQRGFKTSRGWGGSGGRGRGSDNDFGDPFKKGGRSSRPGGSSRSRTGKSNEDNRLIGGRQSSRSSSSDRL >OIW13010 pep chromosome:LupAngTanjil_v1.0:LG04:22437711:22438037:1 gene:TanjilG_15459 transcript:OIW13010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENKNREVIHDGDEMEDERKMEMFYSLLQSFRDARDRRRRELQELEKNESKRKKMKTNTEGCFEWQDFTSEIHFIKPSLIFSKPIPCDNKGKSKEQKQYEDYDLELKL >OIW12896 pep chromosome:LupAngTanjil_v1.0:LG04:23912145:23918311:1 gene:TanjilG_28271 transcript:OIW12896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGSLPILPLPAPPLDGNLGPLPESQVTDEEMIENSSNEDQNKSDSAPAVVATHTRTIGIIHPPPDIRTIVDKTSQFVAKNGPEFEKRIVANNTGNAKFNFLNASDPYHAYYQHRLAEFRAQNQSSGVQPTSQSADSPVPESDPSAPAPDSNGTAAAAAEKPDISAQFKPVRKVLEPPEAEQYTVRLPEGVTGEELDIIKLTAQFVARNGKSFLTGLTSREVNNPQFHFLKPTHSMFTFFTSLADAYSKVLMPPKGLTEKLKKSVPDMTTVLERCVNRLEWERSQEQARQKAEDEIEQERVQMAMIDWHDFVVVETIDFADDEDDELPPPMTIEEVIRRSKVTAMEEDNVEPGKEVEMEMDEEEAQLVEEGMRAATLEDNDDRVKNEVRVTEDPEPPMRIVKNWKRPEDRILAERDPTKFVVSPITGELVPISEMSEHMRISLIDPKYKEQKERMFAKIRETTLAQDDEISRNIVGLARTRPDIFGTTEEEVSNAVMAEIEKNDEQPKQVIWDGHSGSIGRTANQALSQNFGENQNDASNNEANNLPGPAALPPRPGMPSIRPLPPPPGLALNLPRGPLNVGQYSAPNSGGFQIPQPRPLVMPMMPSVRPPPMQMSSGQHMGGQPPPMPPSYPMNNQGIPIPPPPGSQFTPMPVPRPYAPLSGPPSGMPMMHLPPLPQGGPVRISVSVPNVDEGNLKGQVLEITVQSVSETVGSLKEKIAGEVQLPANKQKLSGKPGFLKDNMSLAYYNFGGGETLTLTLRERGGKEVEMEMDEEEAQLVEEGMRAATLEDNDDRVKNEVRVTEDPEPPMRIVKNWKRPEDRILAERDPTKFVVSPITGELVPISEMSEHMRISLIDPKYKEQKERMFAKIRETTLAQDDEISRNIVGLARTRPDIFGTTEEEVSNAVMAEIEKNDEQPKQVIWDGHSGSIGRTANQALSQNFGENQNDASNNEANNLPGPAALPPRPGMPSIRPLPPPPGLALNLPRGPLNVGQYSAPNSGGFQIPQPRPLVMPMMPSVRPPPMQMSSGQHMGGQPPPMPPSYPMNNQGIPIPPPPGSQFTPMPVPRPYAPLSGPPSGMPMMHLPPLPQGGPVRISVSVPNVDEGNLKGQVLEITVQSVSETVGSLKEKIAGEVQLPANKQKLSGKPGFLKDNMSLAYYNFGGGETLTLTLRERGGRKR >OIW13183 pep chromosome:LupAngTanjil_v1.0:LG04:19024712:19027148:1 gene:TanjilG_17539 transcript:OIW13183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEEPVDQKKYLEESCKPKCVKPLLEYQACVKRIEGDESGHKHCTGQYFDYWSCIDKCVATKLFSKLK >OIW12772 pep chromosome:LupAngTanjil_v1.0:LG04:25039076:25039453:1 gene:TanjilG_24705 transcript:OIW12772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLKEGLSINDILPPFHAPPNQGSSNLLHLRDDDNNKDVNNHSQNVTNECNFLSNDASYEEGNQPAEDSITLPTSNDNADANNPTLASLKARRIGKCKRGRRRRKIRLMIDILAEAKHSTLDYQY >OIW12930 pep chromosome:LupAngTanjil_v1.0:LG04:23520018:23520469:1 gene:TanjilG_15850 transcript:OIW12930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIIMTRVILLVIGAMVEIVVVMRVGGHGARGGKCGNNSDGHERVVAAVMLVIVIMRWWRQRSGWSSFDSGSGSHEMAVIVVIVVVVVIVIEVEEVMMVIIRKWLWWKCQGGGCDNRAGNDDDSGGFV >OIW12921 pep chromosome:LupAngTanjil_v1.0:LG04:23623861:23626796:-1 gene:TanjilG_15841 transcript:OIW12921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALPFHFTVPIIYIFFLSHFILLVQTSTTPDFNALISFKNASDTSKKLTMWNTTSDPCTWNGVSCINNRVSRLVLENFDLQGSILPLTSLTHLRVLSLKHNRFSGPIPSLSNFTSLKLLFLSHNAFSGDFPSTVTSLSSLYRLDLSYNNFSGEIPATVNHLTHLLTLRLENNQFSGHIPGVSIPNLQDFNVSGNHLSGEIPESFSGFPESSFHQNPSLCGAPVQKCNYTVADPNKPGSEGAIASPVSPLPTVSSSPSSLPSNEGPSSKTTHKNGNGGSKISHVGLIAIIVGDVLVLAIVCVLLYCYFWRSYSKKLREGKGSKLFESEKIIYSSSPYPAQAGFDRGRIVFFEGEKRFELEDLLRASAEMLGKGGFGTAYKAVLDDGNVVAVKRLKDAQIGGKREFEQHMEVLGKLRHPNIVSLRAYYFAREEKLLVYDFMPNATLFWLLHGNRGPGRTPLDWTTRLKIAAGAARGIAFIHNSCKSLRLTHGNIKSTNILLDKQGNAHVSDFGLSIFAVPGGSSNGYRAPEAIASDGRKQSQKSDVYSFGVLLLELLTGKCPSSMVDSHSGSGTIDLPRWVQSVVREEWTAEVFDIELMRYKDIEEEMVGLLQIAMACTAAPDQRPRMCHVVKMIDELRGVEVSPCNDMLDSASESPSLSEDACGASQ >OIW13792 pep chromosome:LupAngTanjil_v1.0:LG04:7061168:7063440:1 gene:TanjilG_31681 transcript:OIW13792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDLVLDTAIRDWVLIPLSVVMVLIGVLRYFVSKLMRSSQTPDAKIVKEGQVMLRARNLRAGANFIPLKAFRARKIYFCNEENGLLFVPKGQVTNPQAQMFSDPNMAMDMMKKNLSMIIPQTLTFAWVNFFFSGFVAAKIPFPLTQRFRSMLQNGIDLSTVDVSYVSSRSWYFLNLFGLRGFFSLILGEENAVDDTQRMMQMGGFGFDPSKGLSAEKDNLDITQHDWVLPNFEQHAEAVLRKFVS >OIW13869 pep chromosome:LupAngTanjil_v1.0:LG04:7910356:7910931:1 gene:TanjilG_31758 transcript:OIW13869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSLRKTILSHLNLRTCTERWFFAANKSVHRELRCWCSSTSASSDLILDQVIALAKKYDKVDASKVTETADFQKDLNLDSLDRVELIMALEEEFSVEIPDDKADKLTCCADVAKYIASETNQKNVEKP >OIW13597 pep chromosome:LupAngTanjil_v1.0:LG04:9810245:9810807:-1 gene:TanjilG_07939 transcript:OIW13597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSAIPRCRSLLFFLLCSSLHFIFGLCDDSPGSKDGSKSEADVNNTSSIIVIVLIVLVVLSLLSFLLFKLWRKKKREEQYARLLKLFEEDDELELELGLRD >OIW13400 pep chromosome:LupAngTanjil_v1.0:LG04:12258032:12263051:-1 gene:TanjilG_19752 transcript:OIW13400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLPQSLSMNAAFGVGSGLSGASSPPPPHGGSAGAVNQGNKDRKMASAEQLVLELSNPDLRENALLELSKKRELFQDLAPLLWNSFGTIAALLQEIVSVYPVLSPPNLTPAQSNRVCNALALLQCVASHPETRMLFLNAHIPLYLYPFLNTTSKSRPFEYLRLTSLGVIGALVKVDDTEVISFLLSTEIIPLCLRTMEMGSELSKTVATFIVQKILLDDVGLDYICTTAERFFAVGRVLGNMVAALAEQPSSRLLKHIIRCYLRLSENPRACDALRSCLPDMLRDATFNSCLREDQTTTRWLQQLLLNVAANRAPPMQAGGGFDHMM >OIW13840 pep chromosome:LupAngTanjil_v1.0:LG04:7740376:7742296:1 gene:TanjilG_31729 transcript:OIW13840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASKIQALWNHPAGPKTIHFWAPTFKWGISIANIADFAKPPEKLSYPQQIAVTATGLIWSRYSTVITPKNWNLFSVNVAMAGTGIYQLSRKLRHDYSSEAAVSKE >OIW12647 pep chromosome:LupAngTanjil_v1.0:LG04:25997249:26000160:-1 gene:TanjilG_24580 transcript:OIW12647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVMLSGTQNLTLFTNRTPKGLGFTSSNLHSKTHPNLRFIAPITRTTLNCRTIVPKCSISSSRPTSQPRFIQHKKEAFWFYRFLSIVYDHIINPGHWTEDMRDDALEPADLNDRNMLVVDVGGGTGFTTLGIVKHVDAKNVTILDQSPHQLAKAKQKEPLKDCKIVEGDAEDLPFRTDYADRYVSAGSIEYWPDPQRGIKEAYRVLKLGGKACLIGPVHPTFWLSRFFADVWMLFPKEEEYIEWFEKAGFKNVELKRIGPKWYRGVRRHGLIMGCSVTGVKPASGDSPLQLGPKEEDVEKPVKPLVFLTRFALGVLAAAWFVLVPIYMWLKDQVVPKGQPI >OIW13483 pep chromosome:LupAngTanjil_v1.0:LG04:10851876:10853282:1 gene:TanjilG_01051 transcript:OIW13483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEGIEHRTVEVNGIKMHVAEKGQGPVVLFLHGFPELWYSWRHQIQYISSKGYRAVAPDLRGYGETDAPSSITSYTCFDIVGDLIALIDSLGVEQVYLVAHDWGAQIGWYLCLFRPDRIKAYVALSVPYTPRNPKVKTVDGMRGLYGDDYYICRFQEPSEIETQIALVGTEYVLKNILTTRKPGPPILPKGEFGTGFNPDVPDTLPSWLTEEDLAYFVSKYEKSGFTGGLNYYRNINRNWELLAPWTGAQIKVPVKFITGDLDIVYTSYGAKDYIHGGGFKKYVPNLDEVIVQEGVAHFNNQEAAEEISHYIYDFITKF >OIW13466 pep chromosome:LupAngTanjil_v1.0:LG04:11135341:11137984:-1 gene:TanjilG_22257 transcript:OIW13466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFNQPVGENYANPKTCFFHVLFKAAALAFYILFALFIDNFVIIFVVTVLLAALDFWVVKNVSGRILVGLRWWNEINDEGESVWKFESLDQESLARINKKDSWLFWWTLYLTAIAWIILAIFSLIRLQADYLLVVGVCLTLSIANIVGFTRCQKDAKKQIQQYASQTIASRFSSTLQSAFSVV >OIW13330 pep chromosome:LupAngTanjil_v1.0:LG04:14715006:14716166:-1 gene:TanjilG_02850 transcript:OIW13330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGGRTSVLPPSRKSEDDSVKRVPFEKPPFSLSQVKKAIPPHCFQRSVLRSFSYVVYDLTIASILYYVATHYFHQLPSPFSSLAWPIYWAIQGCVLTGVWVIAHECGHHAFSDYQWLDDTVGFVLHSALLVPYFSWKYSHRRHHSNTGSLDRDEVFVPKKKSSIQWYSKYLNNNPLGRFITLTITLTLGWPLYLAFNVSGRPYERFACHFDPYGPIYSDRERLQIYLSDAGILAVCYGLFHLVMAKGLAWVVCVYGVPLLVVNGFLVLITFLQHTHPALPHYDSSEWDWFRGALATVDRDYGILNKVFHNITDTHVAHHLFSTMPHYHAMEATKAIKPILGEYYRFDGTPFFKAVWREARECVYVEPDQVTESKGVFWYNNKLDD >OIW14185 pep chromosome:LupAngTanjil_v1.0:LG04:2930401:2935454:1 gene:TanjilG_21325 transcript:OIW14185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRLKQHGINDAIEEEPEECDVTGTNSNNSTLACMINSEIGAVLAVMRRNVRWGGHYMSGDDQLEHSLIQSLKALRRQIFSWHHQWNAINPALYLQPFLDVIRSDEAGAAITSVALSSVYKILTLDVIDHNTVSVEDAMHLVVDSVTSCRFEVLNASSEEVVLTKILQVLLACMKNKASVMISNQHVCNIVNTCFRIVHQAGTKSELLQQIARYTLHELVRCIFSHLQDVDSTEHALVNGSSSLKLETGGPNNDHIFGGRQSDNGSLGSEYDNQPLPTYSSPNAASVVTATVMDENTAVAISGNESAPYDRHLTEPYGVPCMLEIFSFLCSLLNVVEHTGMGPRSNTIAFDEDMPLFALTLINSAIELGGPSIRRHPRLLSLIQDELFRNLMQFGLSISPLILSMVCSIVLNLYHHLRTELKLQVEAFFSCVILRLAQGRYGASYQQQEVAMESLVDFCRQKTFMVDMYANFDCDITCSNVFEELTSLLSRSAFPVNCPLSAMHILALDGLTAVIKGMAERISNESVSSEYSPVNLEEYSPFWMVKCENYSDPNHWVSFVRRRKYIKRRLMIGADHFNRDPKKGLEFLQGRHLLPDKLDPQSVACFFRYTAGLDKNLVGDFLGNHDEFCVQVLHEFARTFDFQDMNLDTALRLFLETFRLPGESQKIHRVLEAFSERYYEQSPLILANKDASLVLSYSMIMLNTDQHNVQVKKKMTEEDFIRNNRHINGGNDLPREFLSEIYHSICKNEIRTTPEQGSGFPEMTPSRWIDLMHKSKKTAPFIVSDSKAYLDHDMFAIMSGPTIAAISVVFDHAEHEEVYQTCIDGFLAIAKISACHHLGDVLDDLVVSLCKFTTLLNPSSVEEPLLAFGDDMKARMATVTVFTIVNTYGDYIRTGWRNILDCILRLHKLGLLPARVASDAADDSELSAETVQGKPITNSLSSAHMQSIGTPRRSSGLMGRFSQLLSLDTEEPRSQPTEQQLAAHQRTLQTIQKCHIDSIFTESKFLQAESLLQLAKALIWAAGRPQKGNSTPEDEDTAVFCLELLIAITLNNRDRIGILWQGVYEHISNIVQTTVMPCALVEKAVFGLLRICQRLLPYKESIADELLRSMQLVLKLDARVADAYCEQITQEVSRLVKANASHIRSQLGWRTITSLLSITARHPEASEAGFDALLFIMSDGAHLLPANYVFCIDTARQFAESRVGQAERSVRALDLMAGSVSCLAQWVAEAKEVMEEEQVSKFSQDMGEMWLRLVQGLRKVCLDQREEVRNHALSSLQRCLTGAGDIYLPHGLWLQCFDLVIFTVLDDLLEIAQGHSQKDYRNMEGTLIFAMKLLSKVFLQLLPDLSQLTTFCKLWLGVLSRMEKYMKVKVRGKRSDKLQENVPELLKNSLLVMKTRGILAQRSALGGDSLWELTWLHVNNISPSLHLEVFPEQDSEHSLHKQGESVGDSVPDEMVSIPSSETPSYEDTDTVG >OIW14045 pep chromosome:LupAngTanjil_v1.0:LG04:5331698:5337170:1 gene:TanjilG_11390 transcript:OIW14045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKNPTRFTLGKQSSLAPERHGEESGSHKDGESVEGVDSGVRLMYSANEGDLDGIREVLESGVSVNFRDIDYRTALHIAACQGLTDVVALLLEKGAEVDPKDRWGSTPLADAIYYKKNDVIKLLEKHGAKPLMAPMHVNHAREVPEYEIDPKELDFTNSVEITKGTFCSAFWRGTEVAVKKLKENVLTNEERVKSFRDELALFQKIRHPNVVQFLGAVTQSSPMMIVTEYLPKGDLRAFLKRKGALKPLTAVRFALDIARGVGYLHENKPSPIIHRDLEPSNILRDDTGHLKVADLGVSKLLAVKEDRPLTCQDTSCRYVAPEVFKQEEYDTKVDVFSFALILQEMIEGCPPFSAKKDEEVPKAYAAKERPPFRAPSKRYANGLKELIEECWNENPEKRPTFRQIITRLESINNTIGYKRRWKVRSFKCFQNLEALLKRDHSKLSSRGSSSRSASSRI >OIW13407 pep chromosome:LupAngTanjil_v1.0:LG04:12498321:12506836:-1 gene:TanjilG_19759 transcript:OIW13407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEYEEIYEEHGEEKDHHISHAHDLTESKSHSDILFVLCKRTSGFDMAPPASALLAGASAVTGQMIATNAAIPGVFQNMFPLATSQVQPFSALPVMPMQAMTQQATRHARRVYVGGLSPTANEQSVATFFSQVMANIGGNTAGPGDAVVNVYINHDKKFAFVEMRSVEEASNAMALDGIIFEGAPVKVRRPTDYNPSLAATLGPSQPNPNLNLGAVGLTPGSAGGLDGPDRIFVGGLPYYFTETQIRELLETFGPLRGFDLVKDRETGNSKGYAFCVYQDLAVTDIACAALNGIKMGDKTLTVRRANQGSSLVQPKPEQESILMHAQQQIALQKLMLQPALVATKVVCLTHAVAADELKDDEDYEEILDDMRQECSKFGTLVNVVIPRPRPDGEVAPGVGKVFLEYVDVDGATKARVGLNGRKFGGNQVIAVFYPENKFSQGDYEG >OIW12906 pep chromosome:LupAngTanjil_v1.0:LG04:23812007:23813100:-1 gene:TanjilG_15826 transcript:OIW12906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLVCISAILDSIQGLLTGMICTRVSNSLRSRSPQAVRVSVSAEMDLAASESIMVSSILFARTMF >OIW14343 pep chromosome:LupAngTanjil_v1.0:LG04:25913:30366:1 gene:TanjilG_31233 transcript:OIW14343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNWMRRIAIPNSTLLPKPLFSFNSTTPFLISFLTPPRLFLSTPLSSQCSSHFGDGDTFAISSNHSKVLLKGMSYPELQKWVQSHGYRPGQAMMLWKRIYGNSIWAQHTDDLEGLNKDFKKMLSENAEFKVFSLKEIRTAADGTRKILFALDDGMVIETVVIPCDRGRTTLCVSSQVGCAMNCQFCFTGRMGLRRHLTAAEIVEQAVFARRLLTNEVGSITNVVFMGMGEPLHNIDNVIKAADIMVDEQGLQFSPRKVTVSTSGLVPQLRRFLHESNCSLAVSLNATTDEVRNWIMPINRKYKLDLLLQTLREELRSKHNYIVLFEYVMLEGVNDSDEDAKRLIEIVKGIPCKINLISFNPHSGSLFKPTKDERMIEFRNMLAGGGCKVFLRLSRGDDQMAACGQLGKPGTIQAPLLRVPEQFRMALGTKT >OIW14213 pep chromosome:LupAngTanjil_v1.0:LG04:2379043:2382035:1 gene:TanjilG_21353 transcript:OIW14213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVIKTQQISSRAIEKVIVHPLVLLSIVDNYNRVAKDTRKRVVGVLLGSTVKGTVDVTNSYAVPFEEDDKDPSIWFLDHNYHESMFSMFKRINAKEHVVGWYSTGPKLRENDLDIHGLFNDYVPNPVLVIIDVEPKELGIPTKAYYAVEEVKENATQKSQKVFVHVQSEIAAHEVEEIGVEHLLRDVKDTTISTLATEVSGKLTALKGLDARLKEIRSYLDLVIDGKLPLNHEILYHLQDVFNLLPNLNVIDLIKAFAVKTNDMMLVIYLSSLIRSVIALHNLINNKMLNKEHERAEDSKSVAVPSAGSS >OIW12742 pep chromosome:LupAngTanjil_v1.0:LG04:25256920:25258118:1 gene:TanjilG_24675 transcript:OIW12742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEINDNNKNFLPIITNPNHLSLSPLSKDDAMGLVLSAAVGRGWTTGSGMEGPPVPVDKESGIGNISTFPWSLFTKSPRRRMLVAFTCTICSQRTTRAINPHAYTDGTVFVQCCGCNAYHKLVDHLNLFQETNCYLNSSFKYKGDGWDDLKLRFMDIDEEDDETFPIS >OIW13980 pep chromosome:LupAngTanjil_v1.0:LG04:6219834:6221036:-1 gene:TanjilG_09331 transcript:OIW13980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSILSRLRHSFLSRHRHRHFSTSILSPDSTTPLSSKQKTRTAISLIKTEKNPERILEICRAASLTPDSHLDRFAFSLAVSKLTAANHFDGIRRFIDELKTRPDLRNERFVSHAIVLFGQASMLDHAIRTFKETEDLNVNRSVKSLNSLLFAAIAAKNYKEVSRIYLEFPKIYSIEPDIDTYNLVIKSFCESGSCGAVYSVLDEMDRKSVRPNATTLSTFIGGCYKEEKFEEVGKVLKFMEERYGMYPGLSTYNVRIQSLCKLKRSKEAKALLEGMISRRRKPNSVSYSHLIHGFCKEGNFEEAKNLFSQMKKRGYLPDSDCYFTLAHYLSEGGDFESAFEVCKESMAKGWIPNFTTMRKVVNGLVSVEKFDDAKDLIKQIKGKFAANSDRWDEIEAAIPQ >OIW13144 pep chromosome:LupAngTanjil_v1.0:LG04:20291359:20291784:1 gene:TanjilG_32125 transcript:OIW13144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKEGPKGRGKEDSGDVRYRGVRRRPWGKFAAEIRDSARQGQRVWLGTFNTAEEAARAYDRAAYTMRGSFAILNFPNEYSMPGVGSGGSGSAHSSAASSSSSSSSSRHGNVEVREVFEFEYLDDKLLEEMLDYEEKKKKGH >OIW13613 pep chromosome:LupAngTanjil_v1.0:LG04:9738399:9740853:1 gene:TanjilG_07955 transcript:OIW13613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKSKRNKQVTLSKTKKKGRDHKEGIVNSIKDAAEEYNSVYVFSFENMRNQKLKEFRDQLKSNSRFFLGSNKVMQVALGRSPSHELKPNLHKLSKLLRGDAGMVFTNLPKEEVERLFNGFEEYDFARTGTVATEKVDLKEGPLEQFTHEMEPFLRKQGMPVRLNKGVVELVSDYVVCEEGKPLSPEAARILRLLGIKMATFRLHLICRWSSDDFELYIDGPDDSDVECA >OIW13523 pep chromosome:LupAngTanjil_v1.0:LG04:10241798:10247642:-1 gene:TanjilG_29264 transcript:OIW13523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSVGSKALPSRATRTHNLTNVNSMGEHEYESYNQGSSSKKGMRRKPKKGSAGFHSDDSNKTVASVQDSRTFEHENYSADQGSRIRKQVDPETSKYFSEIANLFESDEVEFEERLLICGNALEETKGKEFEIATDYILSHTLETILQGCDADHLCGFLQSCAKDFPSIAMDRSGSHVAEAALKSLAAHLQDEEVRPLVEETLTVICKVIGANSVDVMCNCHGSHVLRSLLCLCKGVQPQNSGYYFSKSTTVLAERLNLKEIPSKKDDGTNFQSGFPDLLKLLVSEMLKHARKWIKTLQVDQFSSLTTLRVLAGNDEEVLHVIPILLGCKDKNIDEGNFIETKVAPEILNLLNETEFSHLMEVVLEVSPEVLFNELFTKVFKNSLLDLSSYPCGNFVVQALISHTSSQPLLELIWEELGPNMEDLFKMGRPGVVAALIAASERLHVNEHKCCQVLAGTVCSVDESPKWIVPRLLFLDSYFASEDRSNWSWQSGAKMNVMGSLILQAIFRFKSEYIQPFITSIISMEATHVLEAVRDTKGSHVIEAFLTSGASGKHKFRLVKKLQTHFGEVALSSSGAFTIEKCFTACNLSLRETIVSELAAVGNELSRTKQGYYLLRRLDVEGYAASPDHWRSKQASKESTYKDFYATFGSSNTKSTKNDGFLADNSNTKSNPKNVKEMRKEIDQSLTSAAPFLSMHGMNKNPKDAKKKHKRNAQDGAEDDNSSKKKKKSKKEKNESGHDDKKTSKKRHRDGNISEASPKKLKA >OIW12898 pep chromosome:LupAngTanjil_v1.0:LG04:23879337:23880131:-1 gene:TanjilG_15818 transcript:OIW12898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIPYPHFIASEKAAMEAGLLSPSQPSIILTQDDLKKIAAYKAVEYVKSGMVIGLGTGSTAKHAVARIGELLRQGKLKDIVGIPTSKMTHEQALSVGIPLSDLDSHPVIDIAIDGADEVDPYLNLVKGRGGSLLREKMVEGVCKKFLVIVDESKLVNYIGDSGLAMPVEVIQFCWKFTASKLQKLFEESGCEAKLRTFGEKKEPFVTDNGNYIIDLYFKESIGDLKVASDSILNLAGVVEHGIFLDMATTVIIAGELGLTVKNK >OIW14295 pep chromosome:LupAngTanjil_v1.0:LG04:854452:858038:-1 gene:TanjilG_21435 transcript:OIW14295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGITLLLDLWRKNQSFSKGFYSARNYESSWFLSASATVASFAAGTSFASRDSFGTQIAYCDVGAAISEDYISNTRSVPERYFYHDSLKYSTKNYNIELKPLLSAFELKSFTMITLRSFLMFYLPLLDPHASMEQEDDDDFLRDNQDDLHGRLVVSFKKSILQIMREVTIVTTRRILERIAVHHVSRRMAWKLLKDAPTSAARKAVRKMPTLVYFFSVSRTTFRGYMLGVAASWLVQVGIKLCQFFTFNSKKRDDNIDNAERARILRQKIFVATVKCNASLICASIGAGIGATLFSPSLGQWIGCICGDLAGPAIVAVCADQVFHLNL >OIW13845 pep chromosome:LupAngTanjil_v1.0:LG04:7779491:7781978:-1 gene:TanjilG_31734 transcript:OIW13845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFDDEEGAAATEGSETHHAGKTATDEESGDEGGKGTLSRYKSESSIAATEDEDDDEDRKIELGPQCTLKEQLEKDKDDESLRRWKEQLLGSVDINAVGETLEPEVKILSLAIKSAGRDDIFLPIPEGGNPKGLWFTLKEGSRYRLMFTFQVSNNIVSGLKYTNNVWKTGIKVDSSKEMIGTFSPQAESYTHEMPEEVTPSGMFARGTYSARSKFVDDDNKCYLEINYTFDIRKDWQ >OIW13173 pep chromosome:LupAngTanjil_v1.0:LG04:18826860:18827552:-1 gene:TanjilG_17529 transcript:OIW13173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNPKRRLEKRSKVELEMGSDEIPDLTKKVPANVLSHILTFLPLDEAIRSGILSKKWKDLWRNTTHIELNEKKLIKPLSQLLISRKFVPTKDVTKGANRYALLVYRIMFHHYGDLPSFRILHLWKSLLLGEVQSWVEYVLKTREGVQKLSLECELDNGEMGEWFLFKDDIPKLNFSKGIFQSLGSLEMINYNINCSNAFVGCKNLKTLKLEKINLADRIINDILNNCVVL >OIW14280 pep chromosome:LupAngTanjil_v1.0:LG04:1060470:1060924:-1 gene:TanjilG_21420 transcript:OIW14280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQELSDLKKTLNVEVEQLRTEFQDLRTTLQQQQEDVTASLRNLGLQDVSDVKQDQSQETKIEEFVKEKQPVLPEEDDGKVAEN >OIW13489 pep chromosome:LupAngTanjil_v1.0:LG04:10795611:10806713:1 gene:TanjilG_01057 transcript:OIW13489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEEGNSCMDSPIPIQYENEMGLAFFHVGISPAVRYRSSPGFLYDSSVLSIIPSSRGRRRVKARKVGWEPNKTSQALSLVRPFTRVNTETDNSRCPQTDATNKVKDNRKYSWIGKTNSHSRKRTVDDSRPGRTDATNKAMKDLGLRSRKSVYSFSISSRERGRQTVRYGEITSPVTIVEPVRGIRYSDADNSITNQESNLMILVDDAGGEWPMIGHAPWNGCNLADFVMPFFLFIVGMTIPLALKRIPNKLVAVKKVLVRTIKLLFWGLLLQDTFDLSVDLYDEGGFSHAPDNLTYGVDMKHIRWCGILQRIALAYLVVALVEIFSKSSVPNDPEPTQLSVFKLYYWHWLVGACILTLYMALLYGIYVPDWQFTIHNPESVYNGTTFTVTCGVRGKLDPPCNAVGYIDREVLGINHMYKRPAWRRTEACTEKPPYEGPFKENAPSWCYAPYEPEGILSSISAILSTIIGLHFGHVLIHLQDHSSRLKHWLLMGSALLTSGIILHFTYAIPLNKQLYTLSYVCVTSGASALVFSAIYIMVDIWSLKVLFMPLKWIGMNAMFVYVMAAAGIFAGFINGWYYDDPQNTLVDIWSLKVLFMPLKWIGMNAMFVYVMAAAGIFAGFINGWYYDDPQNTLVYILLI >OIW12829 pep chromosome:LupAngTanjil_v1.0:LG04:24551480:24552607:-1 gene:TanjilG_24762 transcript:OIW12829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAIVCHGLQSHIESQIVESRTLRLRLPSSKPFPTHSQQPFDLAFKPCLWDSNIKTNNHEESKNNNKIETQTALSSNPNNTSSWSFLETLSNVSTNEISPQYVHPQHNRSSLILSPRSLELCTENLGSESGSDIVENEIDMDINMNMNMNMVSLSDMNTREQRQPCKVLAAKKGKTMNFPPPLTTITGSESLRVMPHREDGRLVIEVTKAPPMFVSSFHAERSHGRLRLHLLNNHIPCFDPEDDEEEEEEKDVDYDFDEEFENEMNGHIECVEEGVEEVDDDDDDVTCESSMLVENSVGLDPIIVSGFSEEFENGVNGQRRDAEKGEEEVHVDDGYECDMRMEKYERLRRCKEGGEHENIEFMLNWGEPRWVVTS >OIW13225 pep chromosome:LupAngTanjil_v1.0:LG04:17723257:17727321:-1 gene:TanjilG_03554 transcript:OIW13225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSSEVLGSKGLIKKHEFVRIIVQCLYSLGYSKTASCLESESGVSSKSPEFQLLESHVLNGNWDSCISFLDSIREALGESRDSASFLVLKQCILECLSRGEDTLALDVLRKRVSALKVDRSKVQSLAESVLSLKDADIGTIDSNVVHDLREKCLTDLEKLFPPPISVPERRLEHLVETTVTAWVDSCLYHGSSNPISLYVDHQCGRDQIPTVTTQILTGHRNEVWFVQFSNNGEYLASSSNDCTAIIWKVLEDGELTLKHTLCGHQRAISLVAWSPDDTKLLTIGNTEVLKLWDVEEGTCKHTFGNPDFVVSSCAWLPNSKQFVCGSSDPEKGICMWDCDGNEIKAWRGMRMPKVVDIAVTPNGEYLITIFMDKEIRILHTGTNAERVISEEHPITSLSVSGDSKFFIINLNSQEIHMWDVAGKWDKPLRFMGHKQCEYVIRSCFGGFNSTFIASGSENAQVYIWNSRNSRPIEVLSGHSLTVNCVSWNPKRPHMLASASDDYSVRIWGPSS >OIW12584 pep chromosome:LupAngTanjil_v1.0:LG04:26583643:26591540:-1 gene:TanjilG_04748 transcript:OIW12584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYQSHGQPGNINSNSNLLPLDDDLHKNERESFSFTGMEKTSYGLNVDDINDGIPSLSRALSTKSSRSKQAAVKVSEVSSLLGRAGTAGLGKAVEVLDTLGSSMTNLNLNSGFTSGVTIKGSKITILAFEVANTIVKGANLMQSLSKENIRHLKEVVLPSQGVQNLISRDMDELLRIAAADKREELKIFCGEVVRFGNRCKDPQWHNLDRYLEKLGSELTPQKQLKEEAEMVMQQLMTFVQYTAELYHELHALDRFDQDYRRKLQEEDNTNATQRGDTISILRAELKSQNKHVRNLKKKSLWSKILEEVMEKFVDIVYSLHLEIHEAFGSADTDKQVEDSQGSHKKLGSAGLALHYANIISQIDTLVSRSGSVPPNTRDALYHGLPPNVKSTLRSRLQSFQVKEELTIPQIRAEMEKTLQWLVPIATNTTKAHHGFGWVGEWANTGSEANRKPAGQTDLLRIETLHHADKDKTEGYILELVVWLHHLVSQVKVGNGGLRSPVKSPIRSPTQKTGPLFTQKGCSSSPLLTIEDQQMLRDVGKRKQLTPGISKSQEFDTAKTRLSKHIRLSKSSSHSPISESKNDIFFKRRPPSSIPVIDFDIDRMKALDVIDRVDTIARGFEGKP >OIW12746 pep chromosome:LupAngTanjil_v1.0:LG04:25213745:25217112:1 gene:TanjilG_24679 transcript:OIW12746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGGDHALKINDANPTVLYPSMWRESSYEFWNDDGTTTSSDELENKIQESFHFRHKAQLSPKKDPPSMLIGKFSHNQKASGDFSLDMDLEMHELQHNSSDVKFTPFVEFQRLLKHGRHGGVPSPSGDSEVLKCTSNASFERNLSKQRNSTLLKAKNVSRLLDPPEEPNKKSDRVPRSGQIFPAFVGKKGGDDEEDDPFLEEDLPDEYKKTRFSFWILLEWLSLILIITLLIATLSIPLLRNKNLWQLKLWKWEVMILVLICGRLVSNWVIRIAVFCIERNFILRKRVLYFVYGVKKAVRNCVWLGLILIVWNLLFDKRVQSETNSPFLEYVTKILVCFLVGTLVWLVKTLVVKVLASSFHVSTYFDRIQESLFNQFVIETLSGPPLIELQKAEEEEKRFADEVQKLQNAGVTTPPGLRATSFSTIKSGRFRSGVLQRSHMVSGSLPMKSDDGNVGITIDHLHKLNQKNVSAWNMKRLINIVRYGALTTLHEKILGSINEDENATHIRSEIEAKAAAKKIFLNVSRHGCRYIRMDDLMCFMHKDEVEKTLSLFEGASDSRKISKSALKNWVVNAFRERRALALTLNDTKTAVNNLHRMLNFLVLLIIVIIWLLILGIATTKFLLFVSSQVVLVAFIFGNTCKTVFESIIFLFILHPYDVGDRCEIDGVQMVVEEMNILTTTFLRYDFQKILIPNSVLATKAISNFYRSPDTRDSVEFYMHVSTPIEKISAVKHRIQSYIDNKKEHWYASPFIFLKDCEQLNMIRLAIWPRHKMNFQDMGERFLRRSLLIEEMIKIFQELDLQYRLLPLDISIRNMPTTSDRVPPSWATTTGRV >OIW13970 pep chromosome:LupAngTanjil_v1.0:LG04:6105925:6110006:1 gene:TanjilG_09321 transcript:OIW13970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFYCPSALRITLFFLLLVAVVVACFTLPIEKMMKDFLVWVDRDLGPWGPLVLAVAYIPLTVLAVPASVLTLGGGYLFGLPVGFIADSIGATVGAGAAFFLGRTIGRSFVVSKLRDYPQFRSVAIAIQRSGFKIVFLLRLVPLLPFNMLNYLLSVTPVSVGEYMLASWLGMMPITLALVYVGTTLKDLSDVTHGWGKFSKTRWAFIISGVIISVVLMICVTKVAKSALDKALAENEDIDGITSSSSALPIVAESSFDLNQPLIINIDSTEANNHEK >OIW13097 pep chromosome:LupAngTanjil_v1.0:LG04:21190910:21194980:1 gene:TanjilG_08130 transcript:OIW13097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYFSHPRPISWIVVSVGGLAVFLIYASLVLVSSTIGATFHDFLYGVGSSGKLDGSVVSSINKDTIDAILNKSLGLVDDKPSSDPQSSAVSAGVSSDSKVEPTDAKSSSQVELSGSSLVNSLITKEGSGIETSDAGASNSQESVTSFGNGTDTANSSLPAKSDPQIDLSLAGTNSSSAETTTSNEATPTAVPESREKPNNISSADAVNSDCDLYHGNWIYDPLGPLYTNNSCPIITQMQNCQGNGRPDKDYEDFRWKPSQCDIPRFDPKIFLERMRGKTLAFIGDSVARNQMESMLCILWQARRTLTLKLFKIKDRIPADIAVDMVEEPKNQGNRNMQRYYFSSTSVMIIRIWSSWLVKLTSEPFDFAPAGVDKLFLDVPEEKLMEHIPKFDVVVLSSGHWFVKQSVYILNNEIVGGQLWWPDKSKPMKINSVEAYRISVETILTALVTHPNYTGITIVRSYSPDHYEGGAWNTGGSCTGKVKPLAPGELVENVYTNDMHKQQVTGFNSAIKKATNRSKLKLMDITEVFQYRHDGHPGPYRSLDPNKITKRGRDGRPPPQDCLHWCMPGPVDTWNELVFEIIKRELD >OIW12787 pep chromosome:LupAngTanjil_v1.0:LG04:24945985:24948638:-1 gene:TanjilG_24720 transcript:OIW12787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLSNKKLKNKLRTESTILQNSLQTLSDSATKKTTLSKREKHRKLRSLNPVETSGNDESSKKENGSEDLTIKKKKINKKRKRKVTEENGDVADGDIIDSRNSSNSTKKKKKNKKVKSNEEKAVEATELTSKENGDVANEVSNNADKSKNKTKKKKKKKNNKKKTKQNGEEVAEKTEPATELTTAITTTVTTAATSQESVEVPTKVYVGGIPYYSTEDDIRSYFESCGTITEVDCMYFPETGKFRGIAIISFKTEAAVKRALAFDGADMGGLFLKVQPYKSTRASKVPDFAPQILEGYNRIYVGNLSWDITEEELKKFFSNCNITSVRFGMDKETGEFRGYAHVDFSDSESLKTSLTLDQNILFGRPVKISCAVPLKKKSGTNTSSVTTSNLKSGTNTSSVATSNPKSVTNTSAVATNNPKSVTNTGSVATSNPKSVTNTSSVATSYPESGINISSVATSNPTSLGLDAEKSTTVASGKLRRRKCYECGEKGHLLSECPKNKMVTPTAT >OIW13220 pep chromosome:LupAngTanjil_v1.0:LG04:17877293:17884636:1 gene:TanjilG_03549 transcript:OIW13220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCSYNGVSFAANDEASALLSVKEGLIDPLNNLHDWNFDAVHCNWTGVWCNSAGEVEKLDLSHMNLSGKVSNEIQRLKSLNSLNICCNGLSSSLSNSITNLTTLKSLDVSQNFFIGDFPLGLGKVSGLVTLNASSNSFSGFVPEDLGNVSSLETLDFRGSFFEGSVPKTLGNLHKLKFLGLSGNNLTGEIPGELGKLSSLETMIIGYNAFEGGIPAEFGNLTNLKYLDIADGNLGGEIPEELGRLKLLDTVFLYKNNFEGMIPKAISNMTSLVLLDLSDNMLSGNIPAEVSELKNLQLLNLMRNRLSGPVPSGLGNLPQLEVLELWNNSLSGTLPGNLGKNSPLQWLDVSSNSLSGEVPETLCTKGNLTKLILFNNAFLGPIPESLSKCPSLVRVRIHNNFLSGTIPVGLGKLGKLQRLELANNSLTGRIPDDVASSTSLSFIDFSKNNLHSSLPSTIVSIPNLQTFIVSNNNLEGEIPEQFQDCPSLGVLDLSSNHFFGSIPKSIASCQKLVSLNLKNNKLSGEIPKALASMPTLAILDLANNSLTGQIPQNFGISPALEIFNVSYNKLDGPVPENGVLRTINPNDIMGNAGLCGGVLPACGLTFAYPSRHRNPQTKPIITGWIIAILSILAIGIAILAARSLYTRWYNNGLCFSERFYKGSKGWPWRLMAFQRLDFTSTDILACIKETNVIGMGATGVVYKAEVPQSSTVVAVKKLWRSSGSDIEVGSSDDLVGEVNLLGRLRHRNIVRLLGFLYNNTDVMIVYEFMLNGNLGDALHGKQTAGTLLVDWVSRYNIALGVAQGLAYLHHDCHPPIIHRDIKSNNILLDANLEARIADFGLAKMMIRKNETVSMIAGSYGYIAPGELLVHTSYLNLSITTLFFRTFTASRILKSKANDEASALLSVKEGLIDPLNNLHDWNFDAVHCNWTGVWCNSAGEVEKLDLSHMNLSGKVSNEIQRLKSLNSLNICCNGLSSSLSNSITNLTTLKSLDVSQNFFIGDFPLGLGKVSGLVTLNASSNSFSGFVPEDLGNVSSLETLDFRGSFFEGSVPKTLGNLHKLKFLGLSGNNLTGEIPGELGKLSSLETMIIGYNAFEGGIPAEFGNLTNLKYLDIADGNLGGEIPEELGRLKLLDTVFLYKNNFEGMIPKAISNMTSLVLLDLSDNMLSGNIPAEVSELKNLQLLNLMRNRLSGPVPSGLGNLPQLEVLELWNNSLSGTLPGNLGKNSPLQWLDVSSNSLSGEVPETLCTKGNLTKLILFNNAFLGPIPESLSKCPSLVRVRIHNNFLSGTIPVGLGKLGKLQRLELANNSLTGRIPDDVASSTSLSFIDFSKNNLHSSLPSTIVSIPNLQTFIVSNNNLEGEIPEQFQDCPSLGVLDLSSNHFFGSIPKSIASCQKLVSLNLKNNKLSGEIPKALASMPTLAILDLANNSLTGQIPQNFGISPALEIFNVSYNKLDGPVPENGVLRTINPNDIMGNAGLCGGVLPACGLTFAYPSRHRNPQTKPIITGWIIAILSILAIGIAILAARSLYTRWYNNGLCFSERFYKGSKGWPWRLMAFQRLDFTSTDILACIKETNVIGMGATGVVYKAEVPQSSTVVAVKKLWRSSGSDIEVGSSDDLVGEVNLLGRLRHRNIVRLLGFLYNNTDVMIVYEFMLNGNLGDALHGKQTAGTLLVDWVSRYNIALGVAQGLAYLHHDCHPPIIHRDIKSNNILLDANLEARIADFGLAKMMIRKNETVSMIAGSYGYIAPEYGYSLKVDEKIDIYSYGVVLLELLTGKRPLDPEFEESIDIVGWIRRKMDKGCSEKALDPSVGNCMHVQEEMLLVLRIALLCTAKIPKDRPSMRDVIMMLGEAKPRRKSSVSTETLAPNKEMLVISTSPINGLV >OIW13512 pep chromosome:LupAngTanjil_v1.0:LG04:10139258:10141487:-1 gene:TanjilG_29253 transcript:OIW13512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKFVDSEVIYKESKELEDEEAFSYATQLGFSIVLSMSLQSAIELGVFDILQKAGPNAQLSAKQIASQISCNNPEAASMLDRVLGLFASHDILKCSVIPLDQKLGSFQRLYSMAPVAKFFASDSDGFSLGPLMALIQDNIFLKSWSQLKDAIMEGGVPFDRAHGTQVFEYQSLDSRYNDVFNNAMINQTTIVMKKVLEIYKGFEGIKKLVDVGGGLGISINLITSKYPHIKGINFDLPHVIEHCPSYHGVEHVAGDMFQSVPKGDAIFMKWILQCWSDDECLKLLKKCYEAIPDDGKVIVVEALLGVMPENNAAWKAISQTDILLMAQSPRGKERSDKEYMELAIRAGFSGIRYECYVRMFCVMEFFK >OIW14169 pep chromosome:LupAngTanjil_v1.0:LG04:3257980:3260973:1 gene:TanjilG_21309 transcript:OIW14169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLCTDSRDFSNVSSSTVVTDHYRDFNNKLKFRTFLRKMIWDFGFACIANPKRAKKSSERSHIGKKIATLEHNKAWLLAESGGCGAELTNSDPQSVHSSFRFSFCSQVELESLNISSSTAATVLMVNLDNGVSESRAKELKWRRIESLERSIYPVAHSLIRFTYAEILSATHNFSKGRVLGRGALSCVFRGRVGILRNAVAIKRLDKEDKESAKAFCRELMIASSLHSPNVVPLVGFCIDPEEGLFLVYKYVSGGSLERHLHGKKKYSSPLLWSARYKVAIGIAEAMAYLHNGTERCVVHRDIKPSNILLSSKKTPKLCDFGLATWTSAPSVPFLCKTVKGTFGYLAPEYFQHGKVSDKTDVYAFGVVLLELITGRKPIEAKRPPGEENLVLWAKPFLQKGKGAIEELLDPQLNCSLRFSNQIGQMIEAAAACVTNEESRRPGINEIIAILKGEEEPVYSKRKKSGFLGNGCVIDCYSQLQQTNNEMKNHLALAMLGVSEFEDDDYLYGS >OIW14071 pep chromosome:LupAngTanjil_v1.0:LG04:5036468:5036850:-1 gene:TanjilG_11416 transcript:OIW14071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREAQISAAPLLLLNSDQIVQYRQPSVSLSLFRSDSNSIKPDPIIEFNNMILVVIVAEVLEEYAELMARVVEQMLRSAPLPRRLRFLIPLFSPRSRTVQA >OIW13277 pep chromosome:LupAngTanjil_v1.0:LG04:16028045:16031766:-1 gene:TanjilG_25756 transcript:OIW13277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKSFIPHSKPSNAIPLFNFIFFLFSLPLVVGHETMEMEFEVKYPLVVSTWPFLEAVRAAWRVVDSGYSAVDSIVEVGPGGSPDENGETTIDALIMDGVTMEVGSVAAMRYVKDGIKAARLVMQHTEHTLLVGEKASAFAISMGLPGPTNLSSSESTEKWAKWKDNHCQPNFRKNVFPPNSCGPYHPSNHVEHLDETCSNAGHMQSISSRSLRVGLHSHDTISMAVIDRMGHIAVGTSTNGASFKIPGRVGDGPIAGSSTYAVDEVGACCATGDGDIMMRFLPCYQVVESMRLGMEPKLAAEDAIARIARKFPGFLGAVVALNKKGEHAGACHGWTFKYSVMSPGMKDVKVFTVLP >OIW13703 pep chromosome:LupAngTanjil_v1.0:LG04:9234155:9239665:1 gene:TanjilG_08045 transcript:OIW13703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLIDLNTTEDDETLSSSSSSSHSGISTCASALPPPSVCLELWHACAGPLISLPKKGSVVVYFPQGHFEQQAQDFPITAYNISPHVFCRVLDVKLHAEEGSDEVYCQLLLVPENEKVEQNLRAGELDADGEEEDAEAVVKSTTPHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLDYSQQRPSQELVAKDLHGLEWKFRHIYRGQPRRHLLTTGWSAFVNKKKLVSGDAVLFLRGEDGELRLGIRRAAQLKSGTFAVPTSQQLNPSTLMDVINALSARCAFSVCYNPRVSSSEFIIPLHKFSKSLDCSYSVGMRFRLRFETEDAAERRFTGLIAGISDVDPVRWPGSKWRCLLVRWDDIDAARHNRVSPWEIEPSGSASSSSNLMAAGFKRSRIGLSSAKLEFPVPNGIGASDFGESSRFRKVLQGQEMFGVNTPYDGISAQSPRLSEFGRCYPSSGKNARIPQVSSDFSSNGIGFSESFRLQKVLQGQEILPSQPYGRALSMEEAHRNGRFGLFDGYYPMLGSRNGWSRQMHDNSSHLHASVPSGQVSSPSSVLMFQQSVNPVLNTNYNNIHNQEGKIHYHGSYASEMKGRTSADFTSVQPIYSGHAQEATNSFGMFNMHNQLAVSRSHESVSALIDSQEMASSCKSSCRLFGFSLTEDRNIASKEADPSTITCQLNSRPPFARHVDDEFHPGRALRNKAVVGSNCTKGVLQY >OIW12556 pep chromosome:LupAngTanjil_v1.0:LG04:26776060:26778588:-1 gene:TanjilG_04720 transcript:OIW12556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLVANEDFQHILRVLNTNVDGKQKIMFALTSIKGIGRRLANIACKKADVDMNKRAGELTAAELDNIMTSLVANEDFQHILRVLNTNVDGKQKIMFALTSIKGIGRRLANIACKKADVDMNKRAGELTAAELDNIMTVIANPRQFKIPDWFLNRKKDYKDGKFSQVVSNALDMKLRDDLERLKKIRNHRGLRHYWGLRVRGQHTKTTGRRGKTVGVSKKR >OIW12523 pep chromosome:LupAngTanjil_v1.0:LG04:27013875:27016775:-1 gene:TanjilG_04687 transcript:OIW12523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWAFNRKSVSGFSSTSTAEYVTHNIDATGLTAIVTGASSGIGTETARVLALRGAHVIMGVRNLSAANDVKESILKEIPSAKVDAIELDLSSMDSVKKFASEFKSSGLSLNILINNAGIMACPFALSKDNIELQFATNHIGHFLLTHLLLDNLKKTASESKKQGRIVNVASEAHRFVYSEGIHFDKINDQSSYNNWRAYGQSKLANILHANELARRFKLCTYVHCDQEDGVDITANSVHPGVISTNLSRHNPVLSGAMKFLGRLLLKNVQQGAATTCYVALHPQAKGISGKYFADSNEYKTTPYGTDADLAKKLWDFSINLIK >OIW13551 pep chromosome:LupAngTanjil_v1.0:LG04:10464119:10464627:-1 gene:TanjilG_29292 transcript:OIW13551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKEGSTKGGRGKPKSSKSVSRSQKAGLQFPVGRIARFLKAGKYAERVGAGAPVYLSAVLEYLAAEVLELAGNAARDNKKNRIVPRHIQLAVRNDEELSKLLGSVTIANGGVLPNIHQTLLPKKVGKGKGEIGSASQEF >OIW14253 pep chromosome:LupAngTanjil_v1.0:LG04:1603402:1603695:1 gene:TanjilG_21393 transcript:OIW14253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYEGESLSSILHRFDESDFSISQFEGLRVDCSEVIDEDTQFAPTSSYSNTEATSNSVISCEPNNITNKNKPSRFLHGIVLPLGSRRKGAPHRAPFS >OIW14143 pep chromosome:LupAngTanjil_v1.0:LG04:3700916:3703211:1 gene:TanjilG_21283 transcript:OIW14143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNASNSATRWNNTNSKGMASPKSPPRENTKPQEFKLHTQERAVKRAMFNYAVTTKFYLMELQKKREEKLIKMIEEEETRLLRKEMVPRAQLMPYFDKPFSPQRSSKTVPKESCIHMMSSKCWRCTSSNEFYNLHNYGQQALNPIK >OIW12849 pep chromosome:LupAngTanjil_v1.0:LG04:24365771:24366440:1 gene:TanjilG_24782 transcript:OIW12849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNALTSESSSGCESGWTLYLEHSYRASQFISGSDRFYEEQKDKRTKEEDYGEEDLSMVSDASSGPPHLQYDEVHFNEQDKNGGFYSESNAVKLSKSGKKKQKVKENHHNLPSFLHDTASSCVSDFSNNEVAVNNQRSSTESMQDYSQGFSANYCKVICLFLHFTLFEYFILLELRRKS >OIW13232 pep chromosome:LupAngTanjil_v1.0:LG04:17344296:17344661:1 gene:TanjilG_02366 transcript:OIW13232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLWLSDFIRYLHTWPLLIYAATWVTLLTLTVAVASFSPEVAFIAAISPSSSFSQRCKTKSDGTFIRVPLDLPRDVICVSPHLFTNSYFDLIVPPIFAALIVAASAFVVQAMGLWEHDQTT >OIW12915 pep chromosome:LupAngTanjil_v1.0:LG04:23700960:23704216:1 gene:TanjilG_15835 transcript:OIW12915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTESRLLNTHTIKSHGVRVARTHMYDWLILLLLIIIDVVLNIIHPFYRYVGEGMMTDLRYPLKPNTIPFWGVPLIAIVLPLVIILVYYFIRNDVYDFHHAILGLLFSVLITAVITDAIKDAVGRPRPDFFWRCFPDGKGVFDPITRDVKCTGEMSVIKEGHKSFPSGHTSWSFAGLGFLAWYISGKFRVFDRRGHVAKLCLVFLPILLAALIAVSRVDDYWHHWTDVFAGGLIGITVASTCYLQFFPPPYEIDGWGPHAYFKMLSESGNVNDIPQSQSSELQTVSVHIPHLQQDENTQRSTEIQAHKKQNTLLP >OIW13826 pep chromosome:LupAngTanjil_v1.0:LG04:7518995:7524238:-1 gene:TanjilG_31715 transcript:OIW13826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMASPIHCPATSLSVTRGRDSVGFFVPTRSNRFTKTFNYRIRCSLDSNVSDMSINAPKGLFPPEPEHYRGPKLKVAIIGAGLAGMSTAVELLDQGHEVDIYESRSFIGGKVGSFVDKQGNHIEMGLHVFFGCYNNLFRLMKKVSANNNLLVKDHTHTFVNKGGQIGELDFRFLVGAPLHGIRAFLTTNQLKTYDKARNAVALALSPVVRALVDPDGALQDIRNLDSVSFSDWFLSKGGTRASIQRMWDPVAYALGFIDCDNISARCMLTIFALFATKTEASLLRMLKGSPDVYLSGPIRKYITDRGGRFHLRWGCREILYGNSADGSTYVTGLSMSKATDKKVVKADAYVAACDVPGIKRLLPSEWRKHQFFDNIYELVGVPVVTVQLRYNGWVTELQDLEVSRQLGKAIGLDNLLYTPDADFSCFADLALTSPEDYYIEGQGSLLQCVLTPGDPYMPLPNDEIVARVAKQVLSLFPSAQGLEVTWSSVVKIGQSLYREGPGKDPFRPDQKTPVKNFFLSGSYTKQDYIDSMEGATLSGRQASAYICDVGEELVALRKELDAEFKDNLKFSNTNDELSLV >OIW13012 pep chromosome:LupAngTanjil_v1.0:LG04:22407890:22409527:-1 gene:TanjilG_15461 transcript:OIW13012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLGTILSAYGCWKFLNKPHLQNGERAEFLGRGVEEGMSTNSIVYKVKETKGSIKLQSVYAEEEFKLGAGFWGHLMQIIYQTCAGAVVLTDIMFWCVIVPFLSDPDFKLNMLITSMHTLNAGFLILDTTLNNLPFPWFRLAHFVLWSSGYIIFQWVLHACGFKWWPYPFLGLDTPLAPIWYTGLVLIHIPCYGMYSLTVKAKNSFFSRLLPHAFLRSY >OIW12909 pep chromosome:LupAngTanjil_v1.0:LG04:23796484:23799782:1 gene:TanjilG_15829 transcript:OIW12909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMESNGHRRVKKHDYVKENGDFYLSNGDDEHDPWTAWAYKPHTITLLLIGACFLIWASGALDPERDASGDLVTTVKRGVWTMVAVFLAYCLLQAPSTVLIRPHPAIWRLVHGMAVVYLVALTFLLFQKRDDARQFMKFFDPDLGVELPERSYGADCRIYLADNPTSRFKNVYETIFDEFVLAHIIGWWGKAILIRNQPLLWVLSIGFEMMELTFRHMLPNFNECWWDSIILDILICNWFGIWAGMHTVRYFDGKTYKWVGLSRQPNIIGKMKRTLGQFTPAHWDKDEWNPLLESQ >OIW13281 pep chromosome:LupAngTanjil_v1.0:LG04:15939076:15942806:-1 gene:TanjilG_25760 transcript:OIW13281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKKSRILVFGGTGYIGKYIVKASITLGHPTLVYTRPLNSQTPHSKTQICNEFNSMGVILVQGELEHDQIVEVVKKVDIVICALAYPQLEEQLKIIDAIKVTRNIKRFLPSEFGVEEDRVNPLPPFQEFLNKKRIIRREIEASGIPYTFVSASCCGAYFVNILLRPYEKVHNISVYGNGETKAILNYEEDIAMYTIKVANDPRTCNRIVLYRPSKNIITQNELISLWEQKSGQNFHMDFVPEEEIIKLSKTLPPPQDIPISILHSIFVRGDLVNFEIGEEDLEASQLYPDYNYTSIDQLLDIFLVDPPTPAFAAFE >OIW14129 pep chromosome:LupAngTanjil_v1.0:LG04:3939935:3940839:-1 gene:TanjilG_21269 transcript:OIW14129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQQLNNNMMFVEHKKRSVHEYDFVGSFSSSCSSVSGVSSGSSLESDSFEEVTSFPSFSSSSSHDQVDVDPLSDMSSLLEHLPIKRGLSNFYQGKSQSFTSLASVGSLEDLIKPENPYNKRLKSCRSYGVALGECQGANYTPSSISRPSSKKMLHSKGSCTSLNARRVSDNFMGTRPPIPTTRSTSNTTIPNQTALFA >OIW13809 pep chromosome:LupAngTanjil_v1.0:LG04:7339715:7342607:-1 gene:TanjilG_31698 transcript:OIW13809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVHIPRVKLGSQGLEVSKLGFGCMGLTGVYNTPLAEEDGISIIKYAFNNGITFFDTADVYGANANEVLVGKAFKQLPREKIQIATKFGIEKVDLSNNLIKVNGTPEYVRSCCEGSLKRLGVEYIDLYYQHRVDQTVPIEETVSELKKLVEEGKVRYIGLSEASPDTIRRAHAVHPITAVQMEWSLWTRDIEEDIIPLCRELGIGIVPYGPLGGGFFGGRGVVEKLPATSFLSIHPRFQGENLEKNKNIYERIEILAKKHQCTPSQLALAWVLQQGNDVVPIPGTTKIKNLDQNIASVSVNLAEKDLIEIAEAVPIDDVAGSHQYNEAATKITWKFANTPPKDSRV >OIW13061 pep chromosome:LupAngTanjil_v1.0:LG04:21958222:21958467:-1 gene:TanjilG_17621 transcript:OIW13061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKTPGSGEALLRGQVNNFLQKVEEDSVFSNISFESRPFIQLINSPQISNLSGGDSVLVTPSTNVQEQLIPRVLNPMYDRR >OIW13756 pep chromosome:LupAngTanjil_v1.0:LG04:8579093:8580510:-1 gene:TanjilG_17935 transcript:OIW13756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIIDRFEFLEKVLRIADSKMKIIDELKEHVDDYEVLALKQEDEEGESEYEVEEDGEDDEDVDDDDDDVDEEDIGEDDDDDDVDAGADGEDDDDEEEHSAGAGGERGGDPDDDDDDDDDDDEEEEEEGDEEDDLGTEYLIRPVGTAEEDEASSDFEPEENVDEEDEDNDGEKTDVPSSKRKRTGKDDSDNDDDDDDDNDGGEDDERPSKR >OIW13662 pep chromosome:LupAngTanjil_v1.0:LG04:9481134:9482787:-1 gene:TanjilG_08004 transcript:OIW13662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFAHEFHRSTENLIALVDHVFNSHEGSVIQCFQLHMSQIGAEEMIEKWLKICIQKGVQELDFNFFQRGYVLVPELLQIPTLKILKLSNVQFGVQPVTNGWQNLHTVILREMELYEEQLENVMLYGKMIQCLDLGSCREIRRISIFASEHKNFRTLKITTCPNLEKIEIDAPTLQHIHYCGFVVKLEFTQVVPSLSEAKFIFFRSRNYLKIPILENLVNHLHNVRVLTTSAQFQEALSYRFRDGVFQVPQFYFSNIRELHIVMDGANFCNPYDIIVFLKNCPSIEMLFIDLNDYHFECGTYWEMHQKPILEAFNQQFDGLKVIKLIGFKFLESELQLLEILLKRSRILETLIFTTPKNARIKIYEPFIPKYKDLIQSWKASPSAKVGLFENSNDKSGIYPSHEKKWYY >OIW14179 pep chromosome:LupAngTanjil_v1.0:LG04:3013323:3016565:1 gene:TanjilG_21319 transcript:OIW14179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFIFGGTRADIENGFPGFIPQRRTLRVHAARPSNSNSLTFLVTVLLLFMILNSQQMSPNFMLWLVLGVFLMATMLRMYATFQQLQVQAQAHAATASGLLGHTELRLHMPPSIALTSRGRLQGLRLQLALLDREFDDLDYETLRALDSDNASSGSSMTEEEINALPVHKYKGSGPQSSSSSMQQASSSTSAEKKQDRSNATGSMKVSDDELTCSVCLEQVNVGDILRSLPCLHQFHANCIDPWLRQQGTCPVCKFRAGSGWNESGHDDIADMV >OIW12858 pep chromosome:LupAngTanjil_v1.0:LG04:24270292:24274986:-1 gene:TanjilG_24791 transcript:OIW12858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLRGPSFCLFLGFILLSFALSSMAKFMVEKNSLSVTSPEKIKGNYDSAIGNFGIPQYGGSMTGNVVFPKDNQRGCKEFDEYGISFKSKPGALPTIVLLDRGHCFFALKVWNAQKAGASAVLLADDRKEKLITMDTPKEDGSNAKYIENITIPSALIEKRFGEKLKSAINGGDMVNINLDWREAVPHPDDRVEYELWTNSNDECGVKCDMLMRFVKDFKSSAQVLEKGGYTQFTPHYITWYCPHAFTSSKQCKSQCINHGRYCAPDPEKDFSSGYDGKDVVIENLRQLCVFKVANETKKPSVWWDYVTDFQIRCPMKEKKYNKECADAVINSLGLDLKKIEKCMGDPNADSENPLLKEEQNAQVGKGARGDVTILPTLVVNNRQYRGKLEKGSVLKAICSGFEETTEPAVCLSSDVETNECLDNNGGCWQDKAANITACKDTFRGRVCKCPLIDGVQFKGDGYKTCEASGSGRCKINNGGCWHEARNGHAFTACLDNGKVKCQCPPGFKGDGVKSCEDIDECKEKKACQCPECSCKNTWGSYNCSCSRDLLYIKDHDTCISKTASPQGKSAWAAFWVLLVGLVMAAGGAYLVYNYRIRSYMDSEIRAIMAQYIPLDSQEGVVNQVNEERA >OIW12815 pep chromosome:LupAngTanjil_v1.0:LG04:24640443:24649828:-1 gene:TanjilG_24748 transcript:OIW12815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLPSLTLILQSALSPILHERKAAEQSLDQIQYAPQHLVRLLQIVVDNNCDMAVRQVASIHFKNFIAKNWSPHDPDAQQHKISPADKDIVRDHILMFVAQVPPLLRAQLGECLKTIIHSDYPEQCPRLLDWVKHNLQDQQVYAALFVLRILSRKYEFKSDEERTPIYHIVDETFPHLLNIFNSLVNVPNPSIEVADLVKLICKIFWSSIYLEIPKLLFDQNVFNAWMVLFLNVLERPVPSEGQPVDPELRKSWGWWKVKKWTVHILNRLYTRFGDLKLQNPENKVFAQMFQKHYATKILDCHLNMLNVIRVGGYLPDRVINLVLQYLSNSVSKNSMYTVLQPRLDVLLFEIIFPLMCFNDNDQKLWDEDPHEYVRKGYDIIEDLYSPKTASMDFVSELIRKRGKDNLHKFIQFIVEIFKRYDEAPAEYKPYRQKDGALLAIGTLCDKLKQTEPYKSELERMLVQHVFPEFSSPVGHLRAKAAWVAGQYVHINFSDQNNFRKALQCVVSGMRDPELPVRVDSVFGLRSFVEACKDLNEIRPMLPQLLDEFFKLMNEVENEDLVFTLETIVDKFGEEMAPYAFGLCQNLASAFWRCMNTAEAEADVDDPGALAAVGCLRAISTILESVSSLPQLFIQIEPTLLPIMRRMLTTDGQEVFEEILEIISYMTFFSPTISLDMWSLWPLMMEALADWAIDFFPNILVPLDNFISRGTAHFLASKEPDYQQSLWNMISYTLADRNLEDSDIDPAPKLIGVVFQNCRGHVDHWVEPYLRITIERLHRTERSYLKCLLIQVIADALYYNAPLTLSILQKLGVAENIFNLWFHMLQEVKKSGVRANFKREHGKKVCCLGLTSLLALPADQLPVEALGRVFRATLDLLVAYKDQVAENAKGEDAEDDGDIDGFLTDDDEDDDGSDKEMGVDAEDHDEADTSKFRKLAEQAKSFRPDDEYDDDDDDDDFSDDEEVQTPIDDVDPFIFFVDTMQVMQSSDPLRFQNLTSTLEISYQAIANGVAQHAVVRRGEIEKEKVEKASAAANS >OIW12579 pep chromosome:LupAngTanjil_v1.0:LG04:26625212:26631412:-1 gene:TanjilG_04743 transcript:OIW12579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKTISTFLSPFSLPNPKLPQFLFPNKSSLILSQLPRSNKPSRYDAAVELEGTGAAAPSPGERFYEHHHSIEAAKLILKDNKKKKKDKVKDKFLKASVTVASCYGCGAPLQTSEMEDPGYVDSETYELKKKHHQLRTILCRRCRLLSHGNMITAVGGHGGYSGGKLFITAEELREKLSHLRHEKALIVKLVDIVDFNGSFLSRVRDLAGANPIILVVTKVDLLPRDTDLNCVGDWVVEATTRKKLNVLSVHLTSSKSLVGITGVISEIQKEKKGRDVYILGSANVGKSAFINALLKTMAINDPVAASAQRYKPIQSAVPGTTLGPIQINAFLGGGKLYDTPGVHLYHRQTAVVQSEDLPVLAPKSRLRGLSFPSSQVVSDNVEEGSSKVNGLNSFSIFWGGLVRIDVLKVLPETCLTFYGPKRIPIHMVPTHQADEFYQKEVGVLLTPPSGREKIEDWKGLESERKVQLKFEDATRPASDIAISGLGWFSVEPIGRSLKTSEPKPIESGGELILAVHVPRSVEIFVRSPLPVGKAGAQWYQYRELTEKEEEVRPKWYF >OIW12753 pep chromosome:LupAngTanjil_v1.0:LG04:25141417:25142561:-1 gene:TanjilG_24686 transcript:OIW12753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLTNHVIVQDAWEDVDPDELSYEELLALGEVVGTESRGLSTDTIAGLPSVNYKTGGDQHGSNDSCVICRVDYEDGESLTVLSCKHLYHPECINNWLKINKVCPVCSTEVSTPGSSM >OIW13876 pep chromosome:LupAngTanjil_v1.0:LG04:7956381:7958655:1 gene:TanjilG_31765 transcript:OIW13876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFRIRDQPKDIISPREIGEIDTRAPFQSVKAAVSLFGEVAVSKEKRSNSIKRKSSENVLEKETQLLLAQRELNKIKKLLEKSETTKSKAHSELDKANVTLSELTKKLTSVRESKKSAMEAAEAVKSQGKELEMALSQRAIGYQSWKEELEHARKEYTTTVTELDASKQELNKIRQDFDAALEAKLAAFQAAGEAQRSAKMNLEKINELSKEIATMKASIEQMKLASEQAQEEQAKVMGDREAQLSYYQGAKEEAQMKLAALKTEYDPELTQSLEAKLAETSAEIQDLQEQMKQAHASEMNSVRAITLEIKEATKILQEVASEESSLRNLVDSLRTELEQVKIEQKEAMEKEKAAEALAAILTDELQSTKEGAGPEAESGFVEEAENLNEETNMKMEQLSLETENAKGEAEEMKTKAEELKQEAEKSRAVAEELEKKLELVLKQAEEAKAEEKRAIEEMKILNDMQGRVTNAETNGKIILTVQEFAALSEKIKESEDMIERAEAAAIIQVEAINTRKIEVDKKVEANLKAIEEIKAATDMALRNAEMADSAKLAVEGELKRWHHEEQNMESSLDHSENSPRPISLRI >OIW12817 pep chromosome:LupAngTanjil_v1.0:LG04:24630512:24633350:-1 gene:TanjilG_24750 transcript:OIW12817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGSICIFVALHRSMIQLNRFALAFYGSSSRPQLVALIAQDEVIQSGGQIEPPGMHMIYLPYSDDIRLIEERFSDSSGMVPKASGDQIKKAADLIKRIDLKDFSVCQFANPSLQRHYAVLQALALEEDEIPEMKDETLPDEEGLARPGVVRAIEEFKTSVYGENYDEESEQATGKPTETSRKRKAIAEFATKECGNYEWGDLADTGKLKDLTVVELKYYLAAHDLPVSGKKDALISRILTHMGK >OIW14316 pep chromosome:LupAngTanjil_v1.0:LG04:521015:521647:1 gene:TanjilG_21456 transcript:OIW14316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPIFFLLAFLISTINSHVAAIDFCVADLKGAQTSVGYPCKLPKDVTVEDFVFSNFTVGTPNQFNFSLTPAIVDNFPALNGLGLSMARVELEEGAIIPMHTHVDATEVVIAGSGLGKYTTGFITSDNVVYIKTLVEGDIFVIPKGLLHFGFNAGEGKASGMAVFSSEKPSVQIVDLALFGNDLDSAIVEKTTFLDAEQVKKLKDLFKGSG >OIW14193 pep chromosome:LupAngTanjil_v1.0:LG04:2806448:2813186:1 gene:TanjilG_21333 transcript:OIW14193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQEGDNGSSKSSPVTPYEEALDALSSLITRRTRVGDVNMGDRFNVLFEYVKMLDLEEAISKMKIIHVAGTKGKGSTCTFTESILRNCGFRTGLFTSPHLIDIRERFRIDGVEICEEKFLAYFWWCYDRLKFSSLVSHFDAAQEKTNDNVPMPTFFHFLALLAFKIFSAEQVDVSIMEVGLGGKYDATNVVQTPIVCGITSLGYDHMEILGNTLGEIAGEKAGIFKHHIPAFTVPQPDEAMHVLEEKASQLNVPIQVTPPLDVKLLNGLRLGLQGEHQYLNAGLAVALCSTWLKKTGHLEDTCLEQIHTLPQQFIKGLATASMQGRAQIVIDQQTNKETSNELVFYLDGAHSPESMEACARWFSIAIKDQDQTLFHQKPDNSKFSNEVVKMHHGEKAQQKSTQILLFNCMSVRDPQLLLPRLMKTCADNGVYFKKALFVPGLSVYHKVGSHALTQNDINIDLSWQLTLQRVWENLMQGNKGRSINASSEELKDDIEMSASNSEHSAVFSSLPVAIKWLRDRVQQNHSTRFEVLVTGSLHLVGDVLKLVKR >OIW13986 pep chromosome:LupAngTanjil_v1.0:LG04:6259130:6259948:-1 gene:TanjilG_09337 transcript:OIW13986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSHNEGLGSSTESNVPNKRKRGRPRKEKSGVRGSNVTATASSTSVSSSSETAQTADGYEGQMVGKVVYGVIEGTFDAGYLVKVQLEDTYLRGAVFLPERIVPVTAENCVALDLPMIKTKNMPIPALNPESCAHSSFHSSTQYSMKSFGPEPLRPVPIRSQLPPSELYSDISISLENQFASAVVLMADSPTIDSPISTGGHVIESISDGGATEESEITSEVFNLVPTVENTKKEHRIGLQSAHFADELVDELIIRELRTRLQSLPTMPGLNE >OIW13983 pep chromosome:LupAngTanjil_v1.0:LG04:6242181:6245116:-1 gene:TanjilG_09334 transcript:OIW13983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQAVEEWYKQMPVITRSYLTAAVVTTIGCSLDIISPYHLYLNPILVVKQYQFWRLVTNFLYFRKMDLDFLFHMFFLARYCKLLEENSFRGRTADFLYMLLFGATVLTGIVLLGGMIPYLSESFAKIIFLSNSLTFMMVYVWSKQNPFIHMSFLGLFTFTAAYLPWVLLGFSVLVGASAWVDLLGMIAGHAYYFLEDVYPRMTGRRPLKTPSFIKALFADDTVVVARPANVRFAPPPAEELHQD >OIW13073 pep chromosome:LupAngTanjil_v1.0:LG04:22104957:22105832:-1 gene:TanjilG_17633 transcript:OIW13073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPPPIKSTPPPPPPNPTTTATKGVCNNCNLKQRWFLHRVLYRGTDRSLCSSCVLRLHPSSFCPTCLEFFDHHLSSTSSSSTHRFISCIKCSSLTHLRCLPSTSPPPSSFLCHPCSNPDSKFLSPNNAHNVFDKKHALISLCAAKIACASVTRALAAARATADRNVRESAAARKRAREALVCVDSLQRLEGSIEVSGSRNMGSNNQKVQGQVNHSVVSKKEELNVQNNKARVSPVVLPSPMPLPQYGSSVAVNNNGVPHSVVRGNGNGNAIVKDNLASGEDLERVKSVRVE >OIW14035 pep chromosome:LupAngTanjil_v1.0:LG04:5469593:5471358:1 gene:TanjilG_11380 transcript:OIW14035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACSVPFDNKDLEISFFVFKPTVVIVDDLVHGLEEFSLSTEALGCVQSSIFRSIHGNMIIWYGAWKKRSKKEKVQLTSTLKSMLPNISSMAVLTEHSFLEAYAGESIEGSSTAKFSTGDIISMNSAIIASSNPDDLNDLCYAVLALFPCRFAKMEGITAGLCLKGQSIPRVVCIHVWKSLHSCYSWILSSDHRKWMMPYLERFSTHMKYDIFRVVYVNGDSVANLNYVPQSSSDVGK >OIW13167 pep chromosome:LupAngTanjil_v1.0:LG04:18737351:18739126:-1 gene:TanjilG_17523 transcript:OIW13167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNIAQNQVPNIEQQRFLGTKPTHNKLKIGGSSSSSSSRNVMMKMTLPDNVQQLAPIQVDNPPTMLGNIQQMSAIQVGNPLPLQPNDQQLTSNRVDNPPTLSNNGQQLSSSRNVRMKMTLPDNVGTIQIGDPNTFSNNNQQLASIEVGNQHHFPGNDQQLTPIGIGNPPVLQNSDQQLAPIQFGSFQNPSPQGNENPPPPPRCLQNSLYDPIYEQLGFPVDLTLRMYLASKRNRGGDS >OIW13062 pep chromosome:LupAngTanjil_v1.0:LG04:21962371:21970337:1 gene:TanjilG_17622 transcript:OIW13062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIHLVPGICKFRSQVLGFRSYCTDKVFVDIGQPTPASHPQLLKDGEITPGISSEEYILRRKKLLELLPDKSLAIIAAAPVKMMTDVVPYTFRQDADYSYITGCQQAGGVAVLGHDCGLCMFMPEADPYDVTWQGPTAGVDAALDAFKADKAYPLSKLHEILPDMISGSSKLFHNIQTATPAYMKLEAFQKQASCNNVRDISVYTHQLRWIKSPAELKLMKESASIACQGLLLTMMHSKTYPFEGMLAAKIEYECKMRGAQRMGFNPVVGGGNNASIIHYSRNDQKIKHGDLVLMDIGCELHGYVSDLTRTWPPCGSFSSAQEELYELILETSKQCIELCKPGTSIRQIHNHSVDMLQKGLKDIGILRGVGSSSYHKLNPTSIGHYLGMDVHDCSTISYDRPLKPGVTLAQDISRNP >OIW14059 pep chromosome:LupAngTanjil_v1.0:LG04:5211425:5211934:1 gene:TanjilG_11404 transcript:OIW14059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRNSKLAYIVNDAKRNATYKKRKNSLIKMTKEISTLCGVDACAIIYNRNEFQPEVWPSHSGVQSVLYKFLTFPPHEQSRKMFDQQSFLKQRIVKAQDQLKKKKICNRKEMMSLLMFKCLSTGFVEEDIDLQIAKDLLSVIDRNLNELDRKITTVQPEVGTFFATNRA >OIW12759 pep chromosome:LupAngTanjil_v1.0:LG04:25110533:25113428:-1 gene:TanjilG_24692 transcript:OIW12759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPGYLLHNSTIPKVKKKNIDGAMFRFNYYALQFQTSHLSFPCPLNPCFRSNSITGNHQNRMPSTYYCSATPSKSSGEIPLLFHSSSQQEDEHEITSDRIAAVAGGMVALGKFDALHIGHRELAIQASRAGPPFLLSFVGMAKVLGWEQRAPIVAKCDRKRVLSSWVPYCCNTIPEEFEVEFSSVRHLTPRQFVEKLSNELGVRGVVAGENYRFGYKAVGDALELEKLCEEYGMEAYIIKSVMDKSHSAANLGSSTNSKERGQVSSTRVREALAVGDMRYVSELLGRRHRLILMATDQERFSVGQYKVSAPKSCLLNLAPKEGLYEKCSLFLGQDNVQQCRVVIDTKFVYVETESEIFAAQNLQLLHIEFGDSST >OIW13354 pep chromosome:LupAngTanjil_v1.0:LG04:15325724:15326041:1 gene:TanjilG_02874 transcript:OIW13354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVLARFTADKPVVIFSKSTCCMSHTVKALICNFGANPTVIEVDKMANGYLIERALVHLGCHPSVPAVFIGQQFIGGADKVIGLKIQNKLSQLLLNAKAIFIWGR >OIW13890 pep chromosome:LupAngTanjil_v1.0:LG04:8073302:8076531:-1 gene:TanjilG_31779 transcript:OIW13890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQQNQPITVSQNPISETFVSVSPPPPPPPPLPPTPSNNRRPRVREVSSRFMSPAVSTVQRRRHQQSEEDENNKPVENSETPFPFGNSNSMCKPSVGPTNQRKQRSVKLFKENNGIGRVEHVPPPHPSKSCSGRIGIGVSNGFATPSCRPDTPTITVSSRYRITQQHRSSANMSGNASAAAKLLQASGMFSSSSNNHHLKLNASTPSGLSQLETNSDTGSVCSDDESRDSDISCSIQSLPELCSDGDVLPTVSTRSVVEKIRSNNSTSSGDMKFHTPLSRSINLPSHSGSGHLLVHSVKGSEKQQGCSLSKQCGNQPNHVKVGGLSLPPIAPCAKQVVDARKGKKGSSHQEDLHSMRLLYNRYLQWRFANAKAKATMEVQQSESEKALYSLAMKLSELRGSVNTKRLELGVLQRLQTLLKILEAQIPYLDEWSALEEDYSVSITEAIQALLNASVQLPTEENVRIDVREMDEALNSALKMMETIVSHIQIFMPKAEETDISISELARVAGGEKALIGECGDLLSKTHKSQVEECSLRGQIIQLHSICHKNTEQEITDNSSANPHKESVDDTISTHLDDAAHSL >OIW14085 pep chromosome:LupAngTanjil_v1.0:LG04:4906118:4907194:-1 gene:TanjilG_11430 transcript:OIW14085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDFPNSLTPPATNPRPLPVREDCWTEEASSTLVDAWGRRYLELNRGNLRQKDWQDVADAVNALHAHSKKTHRTDVQCKNRIDTIKKKYKIEKARVASSNGVVSSSWPFFERLDALIGPNFIAKKSTSSPSPSPPVALPLLPYRKTQSSVAAPFTAVALPQKRAAAAMDDGYFRRNYSAMAAAAAAAEADEEEEEEDEEDEEEEDMMEDEGRGSEVEEGERDKGREGMRRLAKAIERLGEVYERVEGQKLRYMVDLEKQRMQFTKDLEVQRMQMFMDTQVQLERIKRGKRSGSNGEWVDFRL >OIW13126 pep chromosome:LupAngTanjil_v1.0:LG04:20016232:20016873:1 gene:TanjilG_32107 transcript:OIW13126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIVNKIVDTARRASNNNTVVNVCLAASFGLLCMRSWSNEKTLQALEAEKESLVKSNKTIRKTLWDWKQQLFADAEMHSAVVPLARLKAIYGEAPPPQRPTHGHTVKEAAVSSGPTKIIV >OIW12867 pep chromosome:LupAngTanjil_v1.0:LG04:24181522:24182553:-1 gene:TanjilG_24800 transcript:OIW12867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKRSYARIDLVEIKAMIVRNVGHQRAGKYFDHLQRLFSSKISKAEFDKICIMTIGKENILLHNQLIRGILMNTCLAKTPPRRISARTGSTLSENVSKGDVSPPSPRRRGSLPVQNLKFNEGCQNDPGLQGKPQNLASEKLLSKTPEWQSATELNSLESRPPVSVEDGEEVEQMAGSPSFQSRSPVTAPLGISMNYSGSRKLRSNVSLCSKYYPETCHSCGDLPDSRSLGSRLEQKLEGEGLTVTVDCVNLLNNALDSYLKRVIESSMGLAESRFRNEGLRQPNGRLMTDSRALLPRRYMQTATQSAGISVLDFRVAMELNPQVLGPDWPIHLERICMHALEE >OIW12786 pep chromosome:LupAngTanjil_v1.0:LG04:24950055:24952419:1 gene:TanjilG_24719 transcript:OIW12786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLTPELVASTFFSFSHFTPKPFLHLSTRSSRFLTHSHSSSTLLSLNAPNPTRPYRARRFTVSATATASPQTDHASTVIPHDNRIPATIITGFLGSGKTTLLNHILTAEHGKKIAVIENEFGEVDIDGSLVATKAAGAEDIMMLNNGCLCCTVRGDLVRMISELVTKKKGKFDHIVIETTGLANPAPIIQTFYAENTIFNKVKLDGVVTLVDAKHATFHLDEVKPNGVVNEAVEQIAYADQHDHDHHAHVHTHDPGVSSVSIVCEGSIDLEKANVWLGTLLLDRSEDIYRMKGLLAIEGMNERFVFQGVHDIFQGSPERLWGPDEPRINNIVFIGKKLDAKELEKGFKACLL >OIW14017 pep chromosome:LupAngTanjil_v1.0:LG04:6531980:6532564:1 gene:TanjilG_09368 transcript:OIW14017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSFFSSLANDSASPPLPENSLVKSFHSSARWQLHFNELKDSSRLVVIDFSASWCGPCKFIEPAIHAMADKFTDVEFIKIDVDELSDVAREFQVQAMPTFVLVKRGKETDRVVGAKKDELERKIEKHRN >OIW12837 pep chromosome:LupAngTanjil_v1.0:LG04:24468733:24471439:-1 gene:TanjilG_24770 transcript:OIW12837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFHLFLLPLTFFYLYSHCSSSPVIEAALHQDPQAFYNCTRNTTFALNDNYHSNIQTLLHSLSSNGTGNPITYNTTVHSKNTADTVYGFAFCMRDVTPKECQECVIEAAKLISSSCTTAKEAIIWYHVCMVRYSDRYFFSMVEESPKLTFMNDQDYVGQASHFNNILWDMMNELRAMTASASNKYAYKSENISENEKLYGSGWCAQYLSTENCSWCLSDAIAEITKVCCRGKSGGRVAYPSCGVRFELYAFLNSDFDWLQKPLLPSSTRSSAQQGKQKQKILTIIVIVVPIVVSLVLLSLGCNCLLHRRERKKEDDILKESFGIDITTLESLRYDLATIEAATNRFATENMIGKGGFGEVYKGILSDGQEIAVKRLTRSSGQGAVEFKNEVLVIAKLQHRNLVRLLGFCLEAEEKILIYEYVPNKSLDYFLFDPEKRRLLTWSKRHMIITGVARGIVYLHEDSRLKIIHRDLKPSNVLLDSNMNPKISDFGIARIVAADQIEESTCRIIGTFGYMSPEYAMHGQFSVKSDVFSFGVMVLEIISGKRKGSSSESECVEDIRKHAWTKWTEQTPLELLDPNMVGSYSEEEVIKCIQIGLLCVQDDPDERPTMATIVFYLNSPSTNLPSPHGPTHFKGSRKDDNLTKKKFDNSVSINGITLTKFLPR >OIW12634 pep chromosome:LupAngTanjil_v1.0:LG04:26103796:26108461:-1 gene:TanjilG_24567 transcript:OIW12634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSLTTPKLSSFSSSATLTFNSLFFPKSLHLSRPSLLSLPTTLLSLSHPRRTFAVRAQSQNGADAPPHYDFDLFTIGAGSGGVRASRFAASYGANVAICELPFSTISSDTTGGVGGTCVIRGCVPKKLLVYASKYSHEFEESNGFGWKYDSEPKHNWSTLIANKNAELQRLTGLYKNTLKNAGVKLIEGRGKIIDPHTVDVDGRLYSAKHILVSVGGRPFIPDIPGREHAIDSDAALDLPSKPEKIAIVGGGYIALEFAGIFNGLKSDVHVFIRQKKVLRGFDEEVRDFVGEQMSLRGIEFHTEESPQAIIKSVDGSFSLKTNKGSLEGFSHIFFATGRRPNTKVDEYSQTSVPSIWAVGDVTNRINLTPVALMEGMALAKTLFQDDPTKPDFRAVPSAVFSQPPIGQVGLTEEQAVQQYGDIDIFTANFRPMKATLSGLPDRAFMKLIVSAKTNEVLGLHICGEDAAEIVQGFAVAIKAGLTKSAFDATIGVHPTAAEELVTMRTPTRKIRKSQPSDVSKDSQYCLQLISCADLVFYSGPQPEYFHGFFNS >OIW13829 pep chromosome:LupAngTanjil_v1.0:LG04:7595873:7600870:1 gene:TanjilG_31718 transcript:OIW13829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRFLLALERRTALSMIKDAEDKGLITSGESVLVEATSGNTGIGLAFIAALKGYKVIVAMPASAILQKAEEIITKTPGSIMLRQIDNLANPKVHYETTGPEIWRDTGGKVGALVAGIGTGGTITGAGRFLKERNQEIKIYGVEPAESAVLSGGQPGSHLIQGIGNGIIPNVLDVNLLDEVIQVSSEEAIETAKLLALKEGLLMGISSGAAAAAAIKLGKRPENAGKLIVVVFPSFGERYLSSPLFESIRHEAEQMTFD >OIW13752 pep chromosome:LupAngTanjil_v1.0:LG04:8597951:8603788:-1 gene:TanjilG_17931 transcript:OIW13752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGQLFSRTTQALFYNYKQLPVQRMLDFDFLCGRETPSVAGIINPGSEGFQKLFFGQEEIAIPVHATIEAASAAHPTADVFINFASFRSAAASSMDALKQPTIRVVAIIAEGVPESDTKQLIAYARSNNKVVIGPATVGGIQAGAFKIGDTAGTIDNIIQCKLYRPGSVGFVSKSGGMSNELYNTIARVTDGIYEGIAIGGDVFPGSTLSDHVLRFNNIPQVKMIVVLGELGGRDEYSLVEALKQGKVTKPVVAWVSGTCARLFKSEVQFGHAGAKSGGELESAQAKNQALKEAGAAVPTSYEAFEVAIKETFDKLVEEGKITSVKEFTPPPIPEDLNTAIKSGKVRAPTHIISTISDDRGEEPCYAGVPMSSIIEKGFGVGDVISLLWFKRSLPRYCTQFIEICIMLCADHGPCVSGAHNTIVTARAGKDLVSSLVSGLLTIGPRFGGAIDDAARYFKDARDRSLTPYEFVESMKKKGIRVPGIGHRIKNRDNKDKRVELLQKFARTHFPSVKYMEYAVEVETYTLTKANNLVLNVDGAIGSLFLDLLAGSGMFTKQEIDEIVGIGYLNGLFVLARSIGLIGHTFDQKRLKQPLYRHPWEDVLYTK >OIW12825 pep chromosome:LupAngTanjil_v1.0:LG04:24580116:24581093:-1 gene:TanjilG_24758 transcript:OIW12825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVTSPTTTTKEIVVDISPYIRVFNDGTVERPLQSAIEPVPPLLHDPQSGISSKDVVISCNPTISARLYLPKITNQTEKVPILVYFHGGAFFTGSALCQLFHNHFNNFVPQVNVMVVSVEYRLAPENPLPACYDDCWDALKWVSSHHDNNITNKEPWLIEHGDFKKVFIGGDSAGGNIVHNIAMRAGTEALPCGVKILGAFLSHPFFCGSQPIGSEPLGHGHMAWNLVYPNAPGGVDNPFIKPLAEGAPSLSKLGCSRILVCVAGKDQLRDRGILYYEAVKKSGWQGELELFEEKEEDHVYHVIQPQSENANKLFKRLALFMLQ >OIW13627 pep chromosome:LupAngTanjil_v1.0:LG04:9683843:9690773:1 gene:TanjilG_07969 transcript:OIW13627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVNSISPSSSSPNSDTEDFDVLSSTVCSDGSVVFRFGNATEIREKLAELDRQKLACEDVHEEDKAGVSALLSEGVETLNTEVDHNSGEGIESYSVAVVGDADQNLQRSVNESGSVVNDTESETNVINDSQKVDRHLKLNPDEDVQHGNLNEDVAAEGNDILSVSEADSENESVVIDNDSMTSIINDSEKNGGHLKLDSVKDGQHGVLSEGVAAEGKDILSVSEADSGNDNVVIDNDSMTTIINDSQKNEGHLKLDIVKDGQHGVLSEGVAVEGNDALSVSEENFVLDNDSATIVISVSQEIDGHLKLDSVDDGQQGVVSEDVAAEGNDVPSVCEEDSEKENFVPDDVSTTNVINDSQEIDRHLELGSVKDSKQGILSEDTAADGDDVPSASPENSEMESFVIDKDSVKTVVIDSQEIDRRLKLDKVEGSQIDISSENVAAEGKDVPSVSDGEHGNLSKDVAVEDNDVPSVSEEFCEGDRQEEDVVSTVASESNMFSDLISGASGEVEDKEADKGHGKHGVTSNLTGSVDAELMSVSTSLESEQVANEEEITFPIVDDLNGASRIRSLVVLHDLVPSSDLENKIDIDNDERSDYESLSCPPAPETYSVETASQEEKSSRTELFLITGAACLPHPSKEIGFVLLAVEACPNALYGKYTPPTNNNFQALIGREDAYFISRQNWLGVADGVGQWSLEGSNTGLYIRELMEKCENIVSNHENSSTIKPAEVLIRCAAETQSSGSSAVLVAHFDGQALHAANVGNTGFVVISDGSIFKKSTPMFHEFNFPLQIVKGEDPSEIIKGYKIDLNDGDVIIIATNGLFDNLYEQEIASIISKSVQAGLSPQEIAEILGMRAQEVGKSSLTRSPFSDAAQAVGATTHADANNFYNMLSLCPKSATMDDIKRSYRSMALRYHPDVCHDVSKKEESTKMFVKLNAAYNTLSNPRLRAEYDSELLLGLRSQTSVGDEYESWRCRWQQQVIQLKRRPQKKGSWGTKIRAQNMNIKW >OIW13098 pep chromosome:LupAngTanjil_v1.0:LG04:21166151:21166570:1 gene:TanjilG_08131 transcript:OIW13098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLVSNKQGESKATIPKFKGKSASLMQEDKTKMNEVTHAMHTSTMQTHATSNVTYLQADGVGVNSVMERGSSCNTSLMHTLTILNNTGMLGQDMHTLPTSSLVCDNGQEVVSNATGSNLKVIGDHGGSTINGEVSMDYQ >OIW12848 pep chromosome:LupAngTanjil_v1.0:LG04:24375078:24377282:-1 gene:TanjilG_24781 transcript:OIW12848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGNGVRVKGDEFWHMTKVLRLSDNDRVELFNGKGGLVEGYIQNIDRSGLDFVALSDPKLVPQQNTQWHVFAGFGTLKGGRSDWLVEKCTELGASSVTPLLTERSPSISESRADRLERVILAASKQCQRLHEMVLKPPVEIDELLHHIEQSKLSLLATAKATPVLSALMSLEKETSGLLIIGPEGDFTVKEASLMVDAGATSVSLGPHRLRVETATIALLSTVMLWSDSKQG >OIW13013 pep chromosome:LupAngTanjil_v1.0:LG04:22406711:22407181:1 gene:TanjilG_15462 transcript:OIW13013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGAKKRKKKTYTKPKKIKHKHKKVKLALLQFYKVDDSGKLQRLRKECPNAECGAGTFMASHFDRHYCGKCASTYVYQKAGGE >OIW14351 pep chromosome:LupAngTanjil_v1.0:LG04:100297:100768:-1 gene:TanjilG_31241 transcript:OIW14351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRIKGVVDKFVEELKEALDADIQDRIMKEREMQSYIEEREREVAERESAWKAELSRRQAEIARQEARLKMERENLEKEKSVLMGTASNQDNQDGALEITVSGEKYRCLRFAKAKK >OIW12678 pep chromosome:LupAngTanjil_v1.0:LG04:25736038:25737183:-1 gene:TanjilG_24611 transcript:OIW12678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGLSCSNCSIFNPPDPACNPNIFVCDGNPENPLGKGQSSIGGGLALIDTLALPTTTNNGSILGPLIPITNFTFSCTSYSPQLFKDLPKHITGLASFARSKLSLQTQFSTALSTPNIVSLCFPSSPKLDGPGLAFFGSNGPYYALSSSSSSSKIDLSKFLTYTPLIVNPVALGDTEINYRVGTPSSHHFIGLTSIQINGKPFPINSTLLTIDPESGFGGTQISTATPYTRLESSIFKAFTELFVKEAASSPFNLKVTAPVKPFNVCYHAPDLTITTIGPSVPTVDLVLHAKDVVWRIVGANSMVRVKNKNGVNLWCLGFVDGGVKNNLVNGKDNQKTPIVIGGKQLEDNLIQFHIESDRFGFTSSLLSRSLSCNNLALLKK >OIW13680 pep chromosome:LupAngTanjil_v1.0:LG04:9369639:9370478:1 gene:TanjilG_08022 transcript:OIW13680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSSKQVSNRGIDWNQTLLEAQNLELPQPHNSMRKQHEQNQQLELVKCPRCDSTNTKFCYYNNYNKSQPRHFCRSCKRHWTKGGILRNVPVGGGGRKNKIEKKSTSTSTSATNTTSIITTSVPSLEVDHDHDHDHDHKTMPSTLYQSLICPTTRESEVKDFGIGNGIFLSSTMPLTQNQGMIFPFSTTSSSFDTNPCSVSTSLHSSNVYNYGEEFKTMEESTINSMMPITSGTNTQQWEIPTTSTSMGMSNYWSWEDIDSLVSTDPNVPWDDDYDVKP >OIW13300 pep chromosome:LupAngTanjil_v1.0:LG04:14071125:14071307:-1 gene:TanjilG_02820 transcript:OIW13300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSQHSDISIVAESVFHRKSQFGINVSIWDGPSLQNKHGDTPDRGRRDFKVLIFQAFLAL >OIW13871 pep chromosome:LupAngTanjil_v1.0:LG04:7917029:7917571:1 gene:TanjilG_31760 transcript:OIW13871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSDNESGGGKVSGNNRSGSNELRGCREQDRYLPIANVSRIMKKSLPANAKISKEAKETVQECVSEFISFITGEASDKCQKEKRKTINGDDLLWAMTTLGFEGYVDPLKGYLQKYRDMEGDKSGLMGGSGREQSEGGGSYGQGQGSMISYPIMMTMGNHHQGHMYGSGTSGSPSSTRNR >OIW13632 pep chromosome:LupAngTanjil_v1.0:LG04:9653884:9658171:-1 gene:TanjilG_07974 transcript:OIW13632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIPNSLKLVNHTKTKLCILIGIGLAGAFLLAEARRRRRRRRRRVSAPKHDFGAFVERFELLPFPPQHHQSLSALTFAINDIFDVKGYVTGFGNPDWKKTHKESESTALVLTSLLMNGATCVGKTVMDELSFGISGENKHYGTPTNPLMLSCIPGGSSSGSAVAVAAGLVDFAVGTDTTGGVRIPAAFCGILACRPSHGVVSTIGVLPNAQSLDTVGWFACDSSVLHRVGHALLKLNSVEPKRTRHIMFADDLFQFSKVPAQKTVYVIGKAIENLSGYQSPKHMNLSQYIASNVPSIKGFCEPLTSQQSESQVLKALSSVMFSLQGYEFQTNHEEWFKSVKPRLGHDAYDRVIAAINTTHDNIKALYKVRNEMRGAFQSLLRDGGLLVIPTVADNPLKLNTGKAHSSEFHDRDFALSSIASISGCCQVAIPLRYHNDCCVSVSFISAHGADKFLLDTVLDMYTTIQEQVSVTSYALPVPDTNGDLDISELLKDNQ >OIW13527 pep chromosome:LupAngTanjil_v1.0:LG04:10287046:10289142:1 gene:TanjilG_29268 transcript:OIW13527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGGAIISDFISGGGAPARSRRLTADFLWPDLKSSNPWKSKRKPVIDFDDEFEADFRDFEEDSDIEGEFDEDDFVIDSKKKSFDFKATKPYNLVSRGYTASKPVVFEGQAEKCAKRKRKNQYRGIRQRPWGKWAAEIRDPRKGVRVWLGTFSTAEEAARAYDAEARRIRGTKARVNFPDEAPSASSKRSRPNPQEQLAKENLNTVQPYGNLNNVQPNGNLNSVQPNGNLNAVQPNDNLSHKFNVVSSNEDDIYASIDLLEQKPLVNQYANKGSFSSSRNEITSLASSDDFTLYFSSDQGSNSFDYSDLPEISSMLSAPLEGDTHFVQDANQQQNNLHSNSQDGSAKTLSEELADIESELNFFKMPYLEGSWDDASLESFLARDATQDDGNLTNFWSFDDISMGGSDVVF >OIW13575 pep chromosome:LupAngTanjil_v1.0:LG04:10694635:10698512:1 gene:TanjilG_29316 transcript:OIW13575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRLDRGDVWKSKARSLQLQFKYRFRVAVDRHWRRRRHHHLFVPADRGDGYFSETFHRWLIRFRDFRRDSLPSTSSFYRKRVTKDFSSEEDSTLLRMMQAVAGPVIGNVCHVFMNGLNHVQVYGLEKLHSALLERPKGKPLLTVSNHVASMDDPLVIASLLPPQVLMDAENLRWTICATDRCFKNPVTSAFFRSLKVLPVARGEGIYQKGMDMAILKLNHGGWVHIFPEGSRSRDGGKTMGSSKRGVGRLVLDGDSMPIVIPFVHTGMQEIMPIGASFPRIGKMVTVIIGDPINFDDVLNMEKGPDVPRKLLYDAVATRIGDRLHELKVQVDKLAIKQEMQDHHSSYCIERASGILQQVDWELFGMSSFLSSGDDSKQKQETVTIPDISISQPQESASDQSRRFGFSYRMRGYTNRMELMSFAARGLFMRNNETNNSAGYSRELGPLKAWKQFLEANLLRHWNYV >OIW13075 pep chromosome:LupAngTanjil_v1.0:LG04:22130383:22130634:1 gene:TanjilG_17635 transcript:OIW13075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSNKHRKSSSGFFSIFKIFSSKKSRAVQYDAYDSSRNVWPSDYDRDQWGAAEPDIDKKAEAFILKYKRRVSESERFQLDPAA >OIW13312 pep chromosome:LupAngTanjil_v1.0:LG04:14325423:14331662:1 gene:TanjilG_02832 transcript:OIW13312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAGDIVNNTAGAAENPVGASEIPLNDKASIPDNTGIDKAVGAENPASGKSDGALNHVNNQAGVAENPEEDQAVGAENHTKDQAGSADNLAKDQSDRAVVPANDTTGTTENSVNDKAEDTEDDLNDKSGDAKDPENDKADADETPVISVDSLPVEAKELLISLAGKWEDILDENALQVISLKGAMTNEVFQIKWPRTTGETSQKVILRIYGQGVDIFFDRDDEIQTFEFMSKSGYGPRLLGRFANGRVEEFIHARTLSAPDLRDPSISGLIAAKMKEFHDLDMPGEKKAYLWDRLRNWLKEAKQLSSPQEVEAFYLDTIDKEITILEKELPGAHQRIGFCHNDLQYGNIMLDEKTNSVTMIDYEYASYNPVAYDIANHFCEMAANYHTETPHILDYNKYPDVEERQRFVQAYLSSSGEQPNDSEVEQLLQEIEKYTLANHLFWGIWGIISAQVNKIDFDYKEYAKQRFQEYWARKPYLLISSEAPSPYNAPEGTGELASASAHKSSTKNIFKKMKRVLGLGLFKSKS >OIW13440 pep chromosome:LupAngTanjil_v1.0:LG04:11739120:11740544:-1 gene:TanjilG_05330 transcript:OIW13440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEYETMNSQSSLQNEELFDDNIHIDHHHHHNMSRLSVCTSSTSYDVDEEHDHDVFDDVDNAMSLYMSQLYIESFDADVEFSDTNDEITPKGLTLSSESENEVVSYYTSPLTPPTRRNMINHVGFGSNNEEAQKGCVLKTKNNDPLRSKSRRIRRDHKKWGIKNNPNSNNLEGSNKCKKKEEENLVQGFSGESDENGIGFRVITRPKGGKRCLCMDLEEVKACRDLGFELEHERMLDNFPSYVSFSNSTIDTSSGGNSPIPNWRISSPGDDPKDVKARLKVWAQVVALVSTSKYGT >OIW12720 pep chromosome:LupAngTanjil_v1.0:LG04:25425582:25426151:-1 gene:TanjilG_24653 transcript:OIW12720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIIFFLFIFISFLTNTSQLVFSKEQYNVSEVCKVTRYPNLCIHSLAPFSLSEGRSLSKWARVGVSVTISEVKSVQSYLARLKRQGHFKGRKKVALSDCIETFQYALDELHSSLNVLRRLSKSTFGTQMGDLNTWLSAALTDEDTCLDGFEDNKEKKIKLLRNQVLKAYYITSNALALVNKLATTGLDP >OIW13812 pep chromosome:LupAngTanjil_v1.0:LG04:7382889:7385144:1 gene:TanjilG_31701 transcript:OIW13812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANHDLILGQNHNRPLGHDQQLVLGHNHNLDLSQNHALELGSAHEHHLDLGQTHDHELDLGHDHEFGLGQNHGEEGDDIDTYGHEHDLVMDRKPEHDDGELSLPGHNHELVLSENNDLTVSENQEVDGNMDLAMVHHQEMGIDSADEMGLQQSHLVVCCTPPVIQVRTVSVSPSYELSVGQEFPDVKSCRRALRDTAIALHFEMQTIKSDKTRFTAKCASEGCPWRIHAAKLPGVPTFTIRTIHESHTCGGISHLGHQQASVQWVANSVEQRLKENPNCKPKEILEEIHRSHGITLSYKQAWRGKERIMAAMRGSFEEGYRLLPQYCEQVKRTNPGSIASVYGNPTDNCFQRLFISFQACIYGFLNACRPLLGLDRTYLKSKYLGTLLLATGFDGDGALFPLAFGVVDEESDDNWMWFLSELRNLLEINTENMPRLTILSDRQKGIIDGVEANFPTAFHGFCMRHLSDSFRKEFNNTMLVNFLWDAASALTVIEFEAKVLEIEEISQDAAYWIRRIPPRLWATAYFEGQRFGHLTANIVESLNTWILEASGLPIIQMMECIRRQLMTWYNERRETSMQWTSILVPSAERRVAEALERARTFQVLRANEAEFEVISHEGNNIVDIRNRCCLCRGWQLYGLPCAHAVAALLSCRQNVHRFTESCFTVATYRKTYSQTIHPIPDKSLWKELSEGDANASKVIEVSINPPKSLRPPGRPRKKRVRAEDRGRIKRVVHCSRCNQTGHFRTTCAAPI >OIW13299 pep chromosome:LupAngTanjil_v1.0:LG04:14065823:14067775:-1 gene:TanjilG_02819 transcript:OIW13299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEERVGQNSVPPAGSIDKYKILDVKPMRSMIPVFSMSPQAPISGEYPSGFNPFFPFGGPQPAPPRVAATPAPLRAYRAGETSGFGSSGTKKHGASYSKSSKKKYVDRTPVVYTDMGFLVGITPPLREDGNRDVVNLILMTFDALRRRLCQLEDAKQLSTGIIKRADLKACNTLMSKGIRTNQRKRVGVVPGVEVGDIFFFRMELCIVGLHAQSMGGIDSLHIRGEFEEETLAISIVSSGEYDDEAEDNDVLIYTGQGENFNKKDKHLTDQKLQRGNLALDRSSRQHNEVRVIRGIKEGVNQTSKIYVYDGLYKIEDSWVDRAKSGGGGVFKYKLVRIPGQPSGFSVWKSIRKWKSGFPSRTGLILADLSSGAESIPVSLVNDVDNEKAPAYFTYFQTLRHPKSFNLMQPSHSCNCAKACAPGDLNCSCIRKTDGDFPYIGNGILVSRKQLVHECGPACQCSPNCKNRASQSGLKYHMEVFKTTDRGWGLRSLDPIRAGSFICEYAGEVIDRVKLSQLVRERDDDYVFDTTRIFDTFKWNYEPRILEEVSTNDSSEDYALPYPLIVNAKSVGNVARFINHSCSPNVFWQPVLYEENNQSFLHVAFFALRHIPPLTEFKYDYGISGAEGSSAQKGGRKKCFCGSPKCRGSFG >OIW12807 pep chromosome:LupAngTanjil_v1.0:LG04:24708729:24717857:-1 gene:TanjilG_24740 transcript:OIW12807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMKLEQIWTKKTFTGVVLGQFLSLLITSTGFTSSLLAKKGIDAPTSQSFLNYALLAIIYGSILLYRRKPLKAKWYYYIILGIIDVEANFLVVTSYQYTSVTSVMLLDCWSIPCVIFLTWIFLKTKYRFKKITGVAVCIAGLVLVVFSDVHAGDRSSGSNPVKGDLIIIAGATLYAVCNVSEEFLVKNADRVELMAMLGFFGAIVSAIQISILERKELKSIHWTAGAAIPFVGFSVAMFMFYSLVPVLLKIHGSTMLNLSLLTSDMWSVLIRIFAYHEKVDWMYYVAFVAVVVGLIIYSGGDKDENQEPSNVANRTRQDEEANSGIHSKGTEAGSPKTEVT >OIW13606 pep chromosome:LupAngTanjil_v1.0:LG04:9763222:9769240:1 gene:TanjilG_07948 transcript:OIW13606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGVDGRPTTGGIAIEFPLRDGELPTRLPKRLRRRLLDTEGKSSSRSTVEEIEAKLRHADHRRQMYYERLSSKARPKPRSPSRCSSQEEDLGQRLEARLQAAEQKRLSLLTKAQMRLARLDKLRQAAKTGVQMRYENERAKLGTKVESRVQQAEANRMLILKAHRQRRASLRERSSQSLMKRMARESKYKECVRAAIHQKRAAAETKRLRLLEAEKRKAHARVSQVKHVAKCVSHQREIERRIKKDQLEDRLQRARSQRAEYLRQRGRLHGYAHENWYRMANQAEYLSIKLARCWRQFLRQKGTTFALAKAYDMVGISEKSVKSMPFEQLALLIESAYTLQTVKALLDRIEIRLKVSAIVAPSNYLSSLDNIDHLLKRVATPKKRTTRRSSVRSRDTRKVDSVRESNKSLVRLSRYPVRIVLCAYMILGHPDAVFSGMGEREIALAKSAQEFVHMFEFLIKVILDVPISDEESDSAVIKRYTFRSQLAAFDKAWCLYLNSFVLWKVKDARSLEEDLVRAACQLEASMLQTCKLTPVGAGGNLTHDMKAIQNQVTEDQKLLREKVQHLSGDAGIERMESALSETRARYFRVGDNNESSVRSPMTQSMLPTTPLMTQSMLPTTPLMTQSVSPTPTPLPTVASLSERNILDESNHKPNRVVRSLFKEITTSPGGCSFSVSRTGSDSQLSSSSEKMVTENEVLVNEFIHEHHRSLSDGFDVDQIQSGIEGKIKQTMEKAFWDSIMESVKQEQPNYDQIVQLMREVRDEICEMAPQSWKEDVFAAIDLEILSQVLISGNLDVDYLGQILEFSLVSLQKLSSPANEEIMKATHQKLFSELSEICQSKDESNNACVIALVKGLQFILENIQILKKEISRARIRLMEPLVKGPAGLDYLRNAFAKRYGSPPYSSTSLPSTFRWLASVWNCKDQEWEEHVSFSSTLAGRSSQEWLPSTTLRTGGNIRLKATGSSQMALSPDGGNQQQSECKGEPIDLAVRLGLLKLVSGIAGFTQEVLPETLSLNFTRLRSVQAQFQKIIVISTSILICRQILLSEKAVTSLAEMDNMVSKCAEQLLDLVDRVEDTDIKDMVEVICNLAAVEGEDAMKVKSRKEVAGRMLGKNLQGGDAVFERVFSAVYSALRGAVLGGSGPRGRNLAEMALLRIGAGVLTERVMEAAGVLILAATISVSVHGPWYKYLTDNL >OIW13749 pep chromosome:LupAngTanjil_v1.0:LG04:8622294:8623493:1 gene:TanjilG_17928 transcript:OIW13749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSGRKLGVAMDFSPCSIKALKWTVDNVVKEGDLLILVIIRPSQHYEHGEMQLWEVTGSPLIPLSEFSDSTNMKKYGLNLQSEAVVPLMKIYWGDPREKLLEAIDHIPLNSIFIGNRGLGPLRRAIMGSVSNYVVNNASCPVTVVKSEHGHHH >OIW14122 pep chromosome:LupAngTanjil_v1.0:LG04:4064401:4067640:1 gene:TanjilG_21262 transcript:OIW14122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLGRSSSGAFTLLLLGLFFMYKLNLALASSGGVMGGGFFHSDDSSSSESFTSDSETGKVLYDSPPSRVIDDASGSHGGGLMLFVVFTFGVLLVGFCRDTNGNTVTVLKLQAAMLGGIGSSIQRDLAKIAEDADTSSKEGVINLLTGTFRSVLLGPYKYCPGFSYEFPTLVLHQHPTHCIAAGYSFVDLKQSREDGEKCYNQLSNEERAKFDEETLINLNKERKSTRSQGVNMLSNEYNVFDAKKSKEEDHDFEEEKLLNGLGNEYIVITILVATKGAYKLPNIHGAEDLKKALQKLRTSLSSKLLLAGEVLWTPQKEGEILSEREILEDYPQLAKGMESFLVKKQD >OIW12970 pep chromosome:LupAngTanjil_v1.0:LG04:23031654:23033320:1 gene:TanjilG_15419 transcript:OIW12970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDNLDSVPCSSLAVESSIRVSAAGAIWGLCLGPYQANQRGLKGMDKAFFVANATGRFGLKCGFIAGVFSATRCGLQKHRGRQDWVNGFIAGGITGAAVAAGTRNWSQVIGMAGIVSVLCGAADYVRPA >OIW14334 pep chromosome:LupAngTanjil_v1.0:LG04:289382:292150:-1 gene:TanjilG_25120 transcript:OIW14334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVMNQYGRTKSVVKRSKKYLEEALYLKLFKEGSSDLSVRQQLNQFIKSRKLVYKWEVGDTIKKLRHRNLYNPALKLSETMAKRNMIKTVSDQAIHLDLLAKARGIAAAENYFVNLPESAKNHLSYGALLNCYCKELNAIKAEGVMEKMKELDLPLTSMPYNSLMTLYTKEGQPEKIQSMIQEMKASNVVLDSYTYNVWMRALAAVNDISGVERVIAEMKRDGQVTGDWTTYSNLASIFIDAGQFEKAEAALKELEKINARKDLSAYQFLITLYGRTGNIHEVYRVWRSLRLAFPKTANVSYLNMVQVLVNLKDLPGAEKCFREWECSCPTYDIRVANVLIRAYTKLDMLEKAEELKERARRRGAKPNAKTLEIFSDYHLQKGEFKSAVDFIEKAISVGRGNGKKYVPSSETIGIVMRHFEQEKDVDGAEQFVEILKKSVDSPGAEVFESLIRTYAAAGRTSSAMQRRLKMENVNVNEATKKLLEAISVE >OIW12663 pep chromosome:LupAngTanjil_v1.0:LG04:25844587:25853232:1 gene:TanjilG_24596 transcript:OIW12663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREGLRSRTRLGKDSGAGGVVERGGLEKESFDLNVEGSSVPQEEALNSTTEKKDEVDCAVEKFDLNESPGGNADLTEDVNDKGCSSLGGRGSVREADVEAEVDKNEANEKGCCSGEGSFIKADVETEVNKKEGSNDSVLIGGRVLRSREFIIDFIFTVTGLRSRTRLGKDSGAGGVVERGGLEKESFDLNVEGSSVPQEEALNSTTEKKDEVDCAVEKFDLNESPGGNADLTEDVNDKGCSSLGGRGSVREADVEAEVDKNEANEKGCCSGEGSFIKADVETEVNKKEGSNDSVLIGGRVLRSRSKRADDNKSCNRENSGALHGETNESSVLERIESTKEYDEADEYVSDGHVNEKDKLKRKGHKRNLKRKRGRPPKIKLEEEAQFVDQLPCKRGRPPIIRLNEQGQSVDQLTHKRGRPPKAGIQNQFLKVVHSRKGKVVFRKGKKGLTARYSATVNAISGTHSGRASERELEKKGFSPVKKNKFVKVLNTENNGVASPVTSNAVKAPVGGKSLRNKAKQLVREQIMERLSAAGWTVDYRQRSGRDYRDAVYVSLDGKTHWSITLAYNRLKNHYEAGDGEGKVYGSGFKFTPIPEKDYQILTKVVNKERNDKNKPRPKGGNGEETVDRVNKKLKKERLGSGAGKGKIKRKRSLHEEGSTAPSRMSIMARDHKRHKTQNKKRCAPLVRNAEEEIDSETDGYVPYNGKRTVLAWMIDLGTIVQNQKVHYMQNRRGFSPTQGRITGDGIHCGCCNEIVTISDFEAHAGSKLSDPLKNIYIEGGISLLQCMLDSWDKQDEFERKGFHFVDTAGEDPNDDTCGVCGDGGDLICCDGCPSTFHQSCLDINKFPSGDWHCIYCCCKFCGLAGGSSNQKDINGGFTVSTLLTCHLCQEKYHRSCTEANVAMTDDSKDPIFCGSKCDQLSERLEMLLGVKHEIEDGFSWSFIRRSDVGFDASKIKPEIVQCNSKLAVALTIMDECFMPYIDHRSGTNLIHSILYNCGSNFKRLNCSGFVTAILERGDEVISAATIRIHGNQLAEMPFIGTRYMYRRQGMCRRLLNAIECALSSLNVDLLVIPAISELIETWTSVFGFEPLDLTSKKLINNKNLVVFPHVDMLQKKIHSHKLAGENLIPLEVSNIQKSHTIHKVANFCDGAGSSGSDLINFAGIPPSNACQINESGYQPPEGSLNEALDITSNTIDHDTSPDDVTCPAVCQAIDENMAVEAADAHDYASDTCYRAQDKSISYNCTRPYHDQKSIDLDSQSNKCCITCEEKQCLSVSHINTEAAEGHYVHTTESKDSADIILHGSHKMSDSCELKSKNDCVQPYFTGSEVQAVNDCASHCRPDTNNDCAASEAVLIKDVTKNFTEDLPAANCEKGLSMVSVSDVNEANICSVKAPNLQTNINPEYCQSIVVSSGFCEKIVDGVKERNEAPSVAEVNFLVADKGMVPDNKLGIAGSSELEEPDLQLDQTAQSNPPSLCSPNTASGMCPHWASTDSTSCGSTEAIVLSNQAM >OIW13040 pep chromosome:LupAngTanjil_v1.0:LG04:21615043:21619265:-1 gene:TanjilG_17600 transcript:OIW13040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLDDNDEDDNKVVDEGGGGGQDKSFGSVPCSICLEVVADNGDRSWAKLLCGHRFHLDCVGSAFNIKGAMQCPNCRKIEKGQWLYANGSRSYPEFTMDDWAHDEDFYDLSYTEMSFGVHWCPFGNLTRLPSSFEEGEFSSTAYHDIVGQHAMFAEQTAVSSAASHPCPYVAYFGPVHPSSSNSGGTVSEASNYNHWNGSSVPSDMTTSYAFPAVDLHYHNWEHHSPPFSTASSRVLAADQPSVSPGTQRPVRGGSDVPRYPFLVGHSSAARAGSSVVSPMIPPYPGSNARARDRVQALQAYYQPQQPPNSTTMWTPIASGTRRSSSHSGSAQIAPVATSPDQNGGFFFIPSSSSGRNFQEDSHLPNRVHAWERDSLPSLSLSHADTDSAWRAYHQAASRSDSSIRSNSFRLRPSDRMPPQNR >OIW13768 pep chromosome:LupAngTanjil_v1.0:LG04:8468648:8471744:-1 gene:TanjilG_17947 transcript:OIW13768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNYQGDLTDIVRASSGGAYSTSSTTSSSSSKAATNNQFSHHHWQHQHHFSSDPINFSSSVLEGGTNFGDPFSTLLRDPLSTMRVPFLHELDVMPNSVNNSSPYFNSTTTFTATTSSAENIISTSCGALEDAAPAAASFGASTITNTNSAASIFAHKILEDDNIMRSSRPSSKSIFSNMIQISPNAAKIPLLPYDPTSSVMGASAMVSGNMINATNTSKDCLVDNTGGVQISSPRNPGLKRRKNQAKKVVCIPAPAAANSRQTGEVVPSDLWAWRKYGQKPIKGSPYPRGYYRCSSSKGCSARKQVERSRTDPNMLVITYTSEHNHPWPTQRNALAGSSRSQPSKNNTNNNNASTSKNSETSHKGTTATTKPKEEEQESNNSEGNVSVKEEIEMEDIEKQLEMDDVEFSDGLSYKPSMLENSSNNSQSHEDFFAELGEIEADPLDLLFTQDFIDQRESKALDPFHLFHWSGGGTNNNNTNNSFEESSNSKRKL >OIW12650 pep chromosome:LupAngTanjil_v1.0:LG04:25945649:25949112:1 gene:TanjilG_24583 transcript:OIW12650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPAVMSNSTSISEEASVLSSGARIHQDLGGLNNLVSSISPQKQPQLKIKKKRSLPGNPDPDAEVIALSPKTLLATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLKQRSNKEVKKKAYVCPEASCVHHNPSRALGDLTGIKKHYCRKHGEKKWKCDKCSKIYAVQSDWKAHSKTCGTREYRCDCGTLFSRKDSFITHRAFCDALAEESARMSANQIANNTTNPLPQSLFCFPSQQQNFQNQFTTLNPWDPSHENPNPSNITTAFHNIKPEAQNFHIPHNNNSNNSPSFLHHSKGIITSSPFRNLYMHSQNSSNSVTSAYLSATALLQKAATVGASAITGPNASTTVSVGGDQQQHVTHHVTQLSMGEFGTMAQLNNSVIPDHHHYINMKGLSKNETRDFLGLANGGGGRGDSGGNAVEVSINVKDMLTFTGNVEYQNHHQPYEHHLHSSQFKSQQQGGFGFIGTTTAPESWGNC >OIW13700 pep chromosome:LupAngTanjil_v1.0:LG04:9263539:9266113:-1 gene:TanjilG_08042 transcript:OIW13700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVEYYEILEVERSGSDEDLKKSYRKLAMKWHPDKNPNNKREAESKFKQISEAYEVLSDPQKRAVYDQYGENGLKSGMSHPDAAGASFFHTGNGPTTFGFNPRNADHVFAEFFGSSSPFGGVGVGRGGCGGGCGGYGRGMKFGNSWVSRSFGGMFREDMFSSSGEGRPMNQGAPRKAPPIENTLPCSLEELYKGTTKKLKITREVVDARGKIVPVEEIITIEIKPGWKKGTKITFAEKGNEQPNIIAADLVFIIDEKPHNVFKRDGNDLVVTQKISLADAEALTGYTVRLTTLDDKDLTITINNVIYPNYEEVVLKEGMPIPKNPSQRGNLRIKFNIEIPNNVDPGAESQN >OIW13504 pep chromosome:LupAngTanjil_v1.0:LG04:10102307:10103769:1 gene:TanjilG_29245 transcript:OIW13504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLKTELCRFSGAKIYPGRGIRFIRGDSQVFLFVNSKCKRYFHNRLKPSKLTWTAMYRKQHKKDAAQEAVKKKRRATKKPYSRSIVGATLEVIQKRRTEKPEVRDAAREAALREIKERIKKTKDEKKAKKAEVVAKSQKSGKGNVSKGAASKGPKLGGGGGKR >OIW12827 pep chromosome:LupAngTanjil_v1.0:LG04:24569674:24573607:1 gene:TanjilG_24760 transcript:OIW12827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKNKRRDADAEPEPEPKPKPTVTNGHSDDSPKGKKKHKKNKNKDQNELPTVTIAVAASIIDNVPTLELATRLAGQIARAATIFRINEVVVFDNRSVVGKVSMVDNSDDESSAAFFIRVLQYLETPQYLRKALFPMHNNLRFVGLLPPLDAPHHLRKHEWGPYREGVTLKERDSNNGATLVDVGLAKHILVDQILEPGRRVTVAMGTNRNLDSDLPRQVVSSSKPREEGMYWGYQVRYAHNISSVFKDCAYKGGYDYIIGTSEHGQIIKSSDLEIPSFRHLLIVFGGLAGLEESIEEDENLKGKDAGDAFNIYLNTCPHQGSRTIRTEEAILISLQYFQEPISRTLQS >OIW13797 pep chromosome:LupAngTanjil_v1.0:LG04:7125934:7126588:-1 gene:TanjilG_31686 transcript:OIW13797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLVSKSTNPKFKIETTNTMTLTTTSTPIITTGTTTSSRYENQKRRDWNTFCQYLRNHRPPLSLALCSGSHVLEFLHYLDQFGKTKVHNQGCPYFGHPNPPAPCPCPLRQAWGSLDALIGRLRAAFEENGGVPESNPFGTRALRIYLRDVRDYQARARGVSYEKKRKRPKPKITTNASAQD >OIW13636 pep chromosome:LupAngTanjil_v1.0:LG04:9634622:9639379:1 gene:TanjilG_07978 transcript:OIW13636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATATNPQPAPPPPPPLQSLNLESLSAIDSTTLSQSEIQALSLCSLSTFDLRSTRHSVTPTIDPSFFNESSGSHRQTYSRRRRLPATNNVVTDSNTDNRRIIDYLKQLIREDPKFDQIELLQPCVHTEVVAPIRDLRVGTELGLVRKRKRGRKPKLKVNLDECYRGIHIVNKNGVAIDFLALAVAEDPYAEELRRRTEGLKSEEELFGFLRDLEGQWGSTRKKRKIVDAASFGDVLPRGWKLLLGLKRKDGRAWIYCRRYLSPSGQQFLSCKEVSSYLQSLSSHSDTQLQISLRSENMLQEHTMTMKEHTDTVTTENIVVANSDVPCLSVSNERLKEVALLGIDNLADVQIHDLFECHKCNLRFDEKDTYLQHLLSVHQRTTRRYRLGSSVGDGVIIKDGNFECQFCHKVFQERRRYNGHVGIHVRNYVRKVEDSPGLANVQRSDNSPVREDIHSRISKMDALIEIAQNSIMEPHISSELSLIPALEIAVDNLDQDINMESPIGEQQMKYCLTGKNVVQDLNQQDYPHLLGEGDVEEIDIDNQVIDAKMVTCLDNMGLFSVNEQNASVSDIYMGKDDVELTVEEFDQYGIDLERGSQSPLFHLSGNHMILESEKNENSGCTNAKWQFKLDGDSSNKSELNIGLDGCMDVPVSINVQSTMMPAALENVLHSRDSKQSISTKQYLDCFPLISSDKGGKQFCSVDHEHDNRKGFQELGLDEIGLQYNFASGQNSLSLLDVTTELANDTVMKGMHSSPVHFESQEVTINMGGRNQLTAACVWCGIDFNHDAVDSEIQPGSVGFMCPACKGKISGQ >OIW12723 pep chromosome:LupAngTanjil_v1.0:LG04:25403905:25408954:-1 gene:TanjilG_24656 transcript:OIW12723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLTRTEYDRGVNTFSPEGRLFQVEYAIEAIKLGSTAIGLKTKEGVVLAVEKRITSPLLEPSSVEKIMEIDDHIGCAMSGLIADARTLVEHARVETQVLFVNGVGYRRTEYDRGVNTFSPEGRLFQVEYAIEAIKLGSTAIGLKTKEGVVLAVEKRITSPLLEPSSVEKIMEIDDHIGCAMSGLIADARTLVEHARVETQNHRFSFGEPMTVESTTQALCDLALRFGEGDEESMSRPFGVSLLIAGHDENGPSLYYTDPSGTFWQCNAKAIGSGSEGADSSLQEQFNKELTLQEAETIALSILKQVMEEKVTPNNVDIAKVAPTYHLYTPSEVEAVISRL >OIW13018 pep chromosome:LupAngTanjil_v1.0:LG04:22353830:22359199:-1 gene:TanjilG_15467 transcript:OIW13018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASENGLDEATTTNNLPPADTNGEKSKQKEKLETVPFHKLFSFADSTDILLITVGTIGAIGNGLGLPLMTLLFGQMIDTFGSNQTTEHVVEEVSKVSLKFVYLAVGSGLAAFLQVSCWMVTGERQAARIRGLYLKTILRQDVAFFDKETNTGEVIGRMSGDTVLIQDAMGEKVGKFLQLIATFIGGFVIAFVKGWLLTLVMMSTLPLLVVSGATMAVIIGRMASRGQTAYAKAAHVVEQTIGSIRTVASFTGEKQAVSSYSKFLVDAYKSGVHEGSIAGAGLGTVMFVIFCGYALAVWFGAKMIMEKGYNGGTVINVIIAVLTASMSLGQASPSMSAFAAGQAAAFKMFQTIERKPEIDAYDPNGKTLEDIKGEIELREVYFRYPARPDELIFNGFSLHIPSGTTAALVGQSGSGKSTVISLVERFYDPQKGEVLIDGINLKEFQLRWIRGKIGLVSQEPVLFASSIKDNIAYGKEGAKIEEIRIATELANAANFIDKLPQGLDTMVGEHGTQLSGGQKQRIAIARAILKNPRILLLDEATSALDAESERVVQEALDRIMVNRTTIVVAHRLSTVRNADMIAVIHRGKMVEKGTHSELLGDPEGAYSQLIRLQEVNKETEETADHRNKSELSSESFRQSSQRRSLGRSISRGSSAGNSSHRSFSVSFGLPTGVNVADTEPESSQAEEKSPEVPLWRLASLNKPEIPVLLMGCAAAVANGVILPIFGLLISSVIKTFYEPFDELKKDSKFWSIMFMILGLASFVIIPARSYFFSVAGCKLIQRIRHICFEKVVNMEVGWFDESENSSGAIGARLSADAASVRALVGDALGLLIGNLATALAGLIIAFTASWELALIILVLIPLIGLNGYVQMKFMKGFSADAKMMYEEASQVANDAVGSIRTVASFCSEDKVMELYRKKCEGPMKTGIRQGLISGSGFGASFFLLFCVYATSFYAGARLVEAGKTKFSDVFRVFFALTMAAIGVSQSSSFAPDSSKAKSATASIFGIIDKKSKIDPSDESGCTLDNIKGEIELRHIRFKYPSRPDIQIFRDLNLTIHSGKTVALVGESGSGKSTVIALLQRFYDPDSGEITLDGVEIRQLQLKWLRQQMGLVSQEPVLFNETIRANIAYGKGGNATEAEIIAAAEMANAHRFISGLQQGYDTIVGERGTQLSGGQKQRVAIARAIIKSPKILLLDEATSALDAESERVVQDALDKVMVNRTTVVVAHRLSTIKNADVIAVVKNGVIVEKGRHETLINVKDGFYASLVQLHTSAATV >OIW13160 pep chromosome:LupAngTanjil_v1.0:LG04:19366139:19366624:-1 gene:TanjilG_07766 transcript:OIW13160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNTSNSENPSGESNLSHYSAALCVGFLLLITLMALTAHCCSHRNLQNTQSTRTIAMDRDISDINIQVLGEEEFEAIVKNYPVLLYSQAKLENSSSILSCTICLADYKDSEWLRFLPDCGHYFHKDCIDIWLRVNMSCPVCRKSLFTTPIAEVATLATGLD >OIW12884 pep chromosome:LupAngTanjil_v1.0:LG04:24001664:24006972:1 gene:TanjilG_24817 transcript:OIW12884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVFSIGTHLSPFQHLPSSRFSLHGLCTVESSLSPSSSSSSSSQSLFGSSSCRIRFRGSSFFRNNNVLTRAEDKARGSTPPPPSSSFQPQQNSQKQLQDSTPESGNCDPLCSLDETNSQYIEDNYQPKTDLLKAFAVFAAAATGTVAINHSWVAANQDLAMAILFVLGYVGIIFEESLAFNKSGVGLLMAVSLWVIRSIGAPSTDIAVSDLTHASAEVSGIVFFLLGAMTIVEIVDAHQGFKLVTDNITTRKPRLLMWVIGLVTFFLSSVLDNLTSTIVMVSLLRKLVPPSEYRKILGAVVVIAANAGGAWTPIGDVTTTMLWIHGQITTVQTMKDLFIPSAMSLAVPLALMSLTSEVNDKGQDTPDVMASEQMAPRGKLVFSVGLGALIFVPVFKALTGLPPFMGMLLGLGVLWIITDAIHYGESERQKLKVPQALSRIDTQGVLFFLGILLSVSSLEAGGVLREIANYLDSHVQSSELIASAIGVISAIIDNVPLVAATMGMYDLTSFPQDSEFWQLIAFCAGTGGSMLIIGSAAGVAFMGMEKVDFFWYFRKVSGFAFAGYAAGIAAYLAIHNLNISLPTTIADVPFLLGS >OIW12843 pep chromosome:LupAngTanjil_v1.0:LG04:24420866:24421692:-1 gene:TanjilG_24776 transcript:OIW12843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFAEGEDDTSSNATAGKETGNKSLSWHRHIKFIRTEYNYEIIELDRDQNTMVNTTVTDDVRNVQTEGLEQSDSGVSEKNLPGTNSTVSVKTVHGDAAIGESSNLGAGELEKTYKFVATNKTENKSGNSDGNESYDVFDSDKPEGNTETGQTNENQNTDATEDEMFKGDPQIDETDETLDSSSTNGTPESVDNDDSSDSHIHEDVTEAQTDLDTLPDIRNEDEVDNTDGTAAE >OIW13672 pep chromosome:LupAngTanjil_v1.0:LG04:9429490:9429960:-1 gene:TanjilG_08014 transcript:OIW13672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPFTTFLLFTLLLLIAASASVATRPVSTASSSPDPLKHNNNSNSNNNNSKNNNNSNNNGGYFFGPGGGFNIPGFGNGIIGGGYGSGYGSPNGGHSKNGVVRPTVVCKDKGPCYQKKVTCPAKCFTSSSSSGKGYGGGGGGGSCTIDCTNKCNAYC >OIW13748 pep chromosome:LupAngTanjil_v1.0:LG04:8626455:8627351:1 gene:TanjilG_17927 transcript:OIW13748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKINKQTLFSIILICFALVGKSMSHDKPSTTETPSSSPTTTPSASSPTTSETTTPPASSPTTSETTTPPASSPTTSETTTPPASSPTTSETTTPSSSPSDQFSDTPSVDPPSDSPAPTPDGGASGYSSPSTVSISDYLKEKYGDQSKAEYNPDLEKICGHTHQPDVCLATISPLIKNKKKFDVVRILEASIKVSKENIKDIVEKIEKQAKESGENDESLNECKENYSKALDNLHKALEAIRAKNYGKVTILLSGALADVSTAESKIVDMQLSNFKVEPFSFASVTASNCLSIASLVPN >OIW12496 pep chromosome:LupAngTanjil_v1.0:LG04:27164653:27166767:-1 gene:TanjilG_04660 transcript:OIW12496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEERVSEKPAAEPKWPSIKPKNNLQITRFRDFDLFTVQNLFSSPESKAFIKVAEAIGFTHQGSLGPAKGEAYRDNDRISVNDPDLANTVWESGLSKLFSDIKIRGKVAVGLNPNIRLYRYTVGQRFGRHIDESVHLGDGKRTHYTLLVYLSGGCGERKSKPKNNFSNLADSSVDPLVGGETVFYGPRNCTVAEVAPTEGMALLHIHGDKCLLHEARNVTSGVKYVFRSDVVFG >OIW14100 pep chromosome:LupAngTanjil_v1.0:LG04:4423931:4430782:1 gene:TanjilG_19479 transcript:OIW14100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSDPCVNAPCVHDWKMGWPLRSGGFAQLCIKCGFMEEWKRGILDPLSAYDMSVFCNKFHRQKTGWVECKFCNKPIHCGCIVSRSLFEYLDFDGIGCVSCVAFQLRTTRNTENLNGSISSTKNNSSDWRSAHIDETLFVDNSDEGKLMQLCRIVEASESSRWNNARRDSIMSCSGQNNQEVKCSLEEVDTGFSNMIKPLVQSLAFSKLENNRSTWEIKNIHESTAQPSLNMYLGNPSGNNTILPSSGEILEARLEGNGSPPFHQGQRSRPIWPKPLKTGISMNLEPDKGTLSHARIARPPADGRGKSQLLPRYWPRITDQELERLSGDLKSTVVPLFEKVLSASDAGRIGRLVLPKACAEAYFPPISNSEGLPLRVQDVKGNEWTFQFRFWPNNNSRMYVLEGVTPCIQAMQLCAGDTVTFSRIDPGGKLVMGFRKASNSIDTQDASTSAHSNGISTKGTTNSGGTENLPPGSSFADLLQMIKGNGEPHLNGHLEHLHLGAGAAGLLKAENCEKTNNHSPQQPIPVSEKRTRNIGPKSKRFCIDNEDAMELRLTWEEAQDLLRPPPSVQPSIVTIEDQVIEEYEVCTRMSYEYVFFFGRLAITRGKEQWAQCDDCSKWRRLPIDVLLPPKWTCFENVWDASRSSCSMPEEKSSRQFENLLKPNKDFKKRKTLENGKSIKEHEPCGLDALASAAVLGENLMDPAESSAGATTKHPRHRPGCSCIVCIQPPSGKGRHKPTCTCNVCMTVKRRFKTLMLRKKKRQSEREADAAAKKDNNHQRDESNANGASKDDTSHLEKERGLKPRVGESSAGRIDLNSHPNREDAQMDITGLSMSINHLEITNHQAREYMNPNGLKNSDVQVSQHSSLLTQSNGEG >OIW13712 pep chromosome:LupAngTanjil_v1.0:LG04:9151410:9155148:-1 gene:TanjilG_08054 transcript:OIW13712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIHILLIITFFTFGFLDNVVSNPHPFEAIFNFGDSLSDTGNFLASGATLFPVIGKLPYGETFFRHATGRCSDGRLVIDFIAEAYGLPYLPPYLKLTKGRNIHHGVNFAVAGATALDSEFFIKKGIGKIMWTNDSLNIQLEWFKKLKPSLCTTKQDCDSYFKRVLFVVGEIGGNDYNYVAFVGGNITQLFANVPLVVEEIIKATSALIDEGAVELVVPGNLPIGCSALYLTIFRSQNKDIYDNHGCLKAFNAFAQYHNKMLNLALETLRHKYPHARIVYADYYGAALFEFYNPNRRFIGSLRACCGGGGPFNFNNSARCGHIGSKTCANPSTHANWDGIHLTEAAYRHIAKGLIHGPFSNPPFKNTMKICVLLIITLCFFEKVASHKSCHPFDAIFNFGDSLSDTGNLLASGSDTFLAVQNPPYGQTFFKKPTGRFSDGRLMIDFIAEAYGLPHLPPYLNLTNWQEAHRGVNFAVAGATALDAEYFSEMGVKGLWTKDSLNIQLGWFKKLKPSLCTSKQDCDKYFKRSLFMVGEIGGNDHNYVAIGKRNISQLLHMVPLVIEAITDAASELIAEGAKKLVVPGNLPIGCSAMYLTAFSSHDEEDYDRHGCLKELNDFAEYYNAKLKLALQTLRHKNPHAKITYADYYGAALRFFHAPVHYGFTGQTLRACCGGGGPFNVNISAGCGNSGSKACANPSTYANWDGIHLTEAAYRVIVNGLIEGPFSSPPLSPPPFKIGYRP >OIW13516 pep chromosome:LupAngTanjil_v1.0:LG04:10196853:10198499:1 gene:TanjilG_29257 transcript:OIW13516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLIQQVKGYSNSREEDELDEEAPATKAEEIIRIPREEEINRLHQKDEGSNNLMNQRNQKLELMDLSLGRNNKNNESNFQQRVVIVGGCGSTTNTTPHDATYSSSISSTNNNEGSNNSNVEKEHMFDKVVTPSDVGKLNRLVIPKQHAEKYFPLDSSSNEKGLLLNFEDRNGKLWKFRYSYWNSSQSYVMTKGWSRFVKEKKLDSGDIVSFKRGVGDLYKHVLYIDWKRRLDHSHNHNNLLHDPSSTPLFLPNQYSSITWGGRLYSLPSPNASTSTMLPPHNNLNYNRPLYNTLHHQQLQYYQQYYDGRSGSGLGYLRSTPSMSMHQIGDQNLQEKGNNIAPMIIDSVPVTHHHHHHHQHQQDPHHGGIGTTTSNAGKTLRLFGVNMECASSEDSKCLSSSASMANSLSSSSSSLRAPYEDHSLSSPSTRGTSVLFDLDPSLQYKQ >OIW13344 pep chromosome:LupAngTanjil_v1.0:LG04:15056955:15060431:-1 gene:TanjilG_02864 transcript:OIW13344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNLYGDYNQKIDYVFKVVLIGDSAVGKTQLLARFARNAFNVDSKATIGVEFQTKTLIIDNKTVKAQIWDTAGQERYRAVTSAYYRGAVGAMLVYDMTKRQSFDHMARWLEELRGHADKNIVIMLIGNKCDLATLRAVPTEDAEEFAQRENLFFMETSALESTNVETCFLTILTEIYKINGKKILTTNDNADQNGSAGLMKGSRIIVPSQEMGAGGKKGGCCFAS >OIW13723 pep chromosome:LupAngTanjil_v1.0:LG04:8783639:8803656:1 gene:TanjilG_08065 transcript:OIW13723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMELGNHNSGECPPKIHRLSHSVVKRITAGDVIQHPLSAVKELIENSLDAHSTNINVIIQDGGFKLIQVNDNGHGVRYEDLAIMCGRHTTSKLLKFEELMRLKTMGFRGEALSSISHLGDVTVTTITQGSVHGYRVSYKDGAMEHEPKPCAAVKGTQVTVENLFCNMAASKKALQNSHGDYKKIVDLVSRFALHHTNVSFSCRKHKATRPDVHTNVRSSRLDVIRSVYGIVVAGSLMEIEVADSNPSTSVFEMHGFMSNATYAAKKTTMILFINDRLVEWSALKRAIEVVYTKKLHKASKPFVYISIVLPPGQIDVNMHATKKEVSILNQGAMIEQIMSMVESRLRSSNEAQSFDEQQTARQSSPSQINTSMKANLNSKAMESRSKKVPVHKLVRTDSLDLAGRLQACGQTKFDGHTEKGACSNAVRSSVSPSRNPKTAADLTSVQALLAVINNDCDPAMMDIVRHCSYIGMTDNVFVVLQHHTHLYLANIVNLSKELMYQQFLSQFAHHKAISISDPLPLKDLILLALKEDDIDLEVKDDDNLKEKIAEMKSKLLKEKAQMLDEFFGINIDEHGNISGLPLILDKHTPNMDHIHEFALCLGNDVDWKDEKNCIQGISVALGNLYAMHPPMFPNPFGDGLFNYKKVNQLERGTFDITGVDAINNKVEHGMPSQPKNEWTEYEWKIQHFVFPSFRDFLKPSVSMATNGTFVKRVL >OIW13820 pep chromosome:LupAngTanjil_v1.0:LG04:7472298:7478300:-1 gene:TanjilG_31709 transcript:OIW13820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRVFSVEEIAEHFWSPAIPSSLSSGAAANESSKMNRSASEWAFQRFLQESTSATLSPSSSSVADRNDDVVFVENNSHQPKLDRTQSVDATPLLKNGAVLANGPTVPPPAPFDSEEYQAFLKSKLDLACAAVAVVRGSLVKSQDEATFPDSGPQPLSNPSPVGPQPTFTGSGTSGNDPPKLQDKDANAPVGIPSIPAMQKKPAVVIRPSTSGSSRELTDDEEMEGETDMNDNMDPADVKRVRRMLSNRESARRSRRRKQAHLTDLEKQVNQLRGENSSLLKRLTDISHKHNESAVDNRVLKADIETLRAKVKMAEETVKRITGLNPMFHAMSDISTMNMPSFNRSSSDTSADAAVPVHDNNPNHHFYQQSTSNNPIPSNNGLRDIPSSIENVQRSAAAMVGGSKMGQPTSLCPVTSLEHLQMQIRGGVGSTGGSSNGEINRVTKPN >OIW14120 pep chromosome:LupAngTanjil_v1.0:LG04:4093634:4095324:-1 gene:TanjilG_21260 transcript:OIW14120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTRILKFLSKNPFRVSISIRSKTTSTQYVESRARDPTFEKLMDKYKELLKVISIQDLILANPKNPSLSIEFLSRLSQRLHLNRGATAFLRKYPHIFDIYYDPAKSQPFCRLTDAALDVSHQEAKAIGDSMPIVVDRLVRILAMSASRTVPLRAIFKVWRELGLPDDFEDTVIAARSNVFQLCDAHERNTHLLKLVDGALPSDHFKAAVEDWRVVECCKEDCNVDRTELQFCFKHGYPPGMRLSKNFRAKVKEWQSSPYVGPYEEVVGQKKKSKTGMLASEKRAVSIVHEFLSLTVEKMVEVEKISQFRKWFGIDLNIRDLFLDHPGIFYLSTKGKRHTVFLREAYERGCLIEPNPVYDARRKLLDLVLLGRRGLPAVNSKPHDNSSSNEVGKEDNQQRHESHDLFSS >OIW14310 pep chromosome:LupAngTanjil_v1.0:LG04:659365:665008:1 gene:TanjilG_21450 transcript:OIW14310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKVVCVTGASGAIGSWLVRLLLERGYTVHATIQDLKDEKETTHLEAMEGAKSRLHFFEMDLLDSDSIAAAIKGCAGVFHLACPNIIGQVKDPEKEILEPAIKGTVNVLKAAKEAGVERVVATSSISSIMPSPNWPADKIKGEDCWTDLEYCREKGLYYPIAKTLAEKAGWDFAKETGFDVVMINPGTALGPLIPPRINSSMAVLVKVLKGDKETYEDFFMGTAHFKDIALAHILAYEKKNAAGRHLCVEAIRHYGDLVAKVAELYPEYNVATLPKDTQPGLLRAKDPSKKLIDLGLEFTPIDQIIKDAVESLKSLGDKETYEDFFMGTAHFKDIALAHILAYEKKNAAGRHLCVEAIRHYGDLVAKVAELYPEYNVATLPKDTQPGLLRAKDPSKKLIDLGLEFTPIDEIIKDAVESLKSLGYV >OIW13505 pep chromosome:LupAngTanjil_v1.0:LG04:10105196:10108950:1 gene:TanjilG_29246 transcript:OIW13505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVATIFSHSIPKLVCPNGQNGLLISTKNLNKILKIDEKEKTIVVESGVTLREIISEAAKVGLALPYTPYWWGLTIGGIMGTGAHGSSLFGKGSAIHDYVLELRIVSPSCPKDGYVKVRILNESNRYHFDAAKVSLGVLGVISQVTLKLEPLFKRSITYFTKDDSDLGDELISFGRKHEFADVTWYPSQHKVIYRIDDRVSINTSGDGLYDFIPFRSTLSAELIALRTSEELLEATGNGNAKCINAKLITTTLSHLGYGLTNNGVVFYGYPVIGFSNRMQSSGTCLDSLNDGLITSCPWDPRIKGQFFHQTAFSIPLKVVKNFIQDVQNLVLMEPNALCGLELNNGILMRYVTYSSEYLGKTEDAVDFDITYYRSNDPFHPRLFEDIVEEIEQIGLFKYKGLPHWGKNRNLGFVGAIKKYPNAEKFLKVKDEYDSRGLFSSEWTDEVLGVKEGVTILKDGCALEGLCICSQDSHCAPNYGYFCRPGRIYKEARVCAKKETTNVEL >OIW13084 pep chromosome:LupAngTanjil_v1.0:LG04:21364786:21365754:-1 gene:TanjilG_08117 transcript:OIW13084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRSSSAIIKSLPLRQLQPRAPVAPLPEKTQFDRKLTVELNTFLSQGTSGGDIGLAQLLDTTITTQKITLDSLVKICYKDSIDRVAIDDYLENNIEILDSCNYFVEKIENIKKYVGSLRVVARLVDNSGSAKHNAMTSKHALKLLESCQSIEKRCKSNGNNGLRKILRQKLSLKTEFNEIIFGSKVMALMCCKFLEIGLSFDAKSRLPSMKKSHATTFTWLRLLEELVKQLAKGSAEKKVLKRRTRTSLLIDELQKTVNAAREMKEHLKGKKEKDVKSSVERLKRSCMELEDRFVIIEERVKGLYKSLIDVRMALLGIVSKA >OIW12608 pep chromosome:LupAngTanjil_v1.0:LG04:26399146:26400590:-1 gene:TanjilG_04772 transcript:OIW12608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKEKFHISIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYEEIVKEVSSYLKKVGYNPDKIPFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGIVKPGMVVTFGPTGLTTEVKSVEMHHEALQEALPGDNVGFNVKNVAVKDIKRGFVASNSKDDPAKEAANFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAELVTKIDRRSGKEIEKEPKFLKNGDAGLVKMIPTKPMVVETFAQYPPLGRFAVRDMRQTVAVGVIKSVEKKDPTGAKVTKAAAKKK >OIW13771 pep chromosome:LupAngTanjil_v1.0:LG04:6686467:6695007:-1 gene:TanjilG_31660 transcript:OIW13771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRICVKEDNDDFPSVPPGFESYTAFSLKRVEDNEKQNDKNMTSCSASTNAYDSLSTKVETDVHVSDTAKVPRTLRRRPWINYGRCENSSEEDSDSECRDQVVARWRPEDARRPNLEEAPVFYPTEEEFQDTLKYISSIRSRAEPYGICRIVPPSSWKPPCPLEEKSIWDGSKFATRVQRIDKLQNRGSIRNMSRIQSNMKRKRRRCTQIEMVNGTRNVGFSEAESFGFEPGPEFTLETFQRYSDDFKTKYFRKYGDVYQSEANTTVSNGNSEPSVENIEGEYWRMVESPTEEIEVLYGADLETGSFGSGFPRKPSHVYSASDEHYIKSGWNLNNFARLPGSLLSYESSDISGVLVPWLYIGMCFSSFCWHVEDHHLYSLNYMHWGASKMWYGVPGKDACKLEEAMRKHLPELFEQQPDLLHKLVTQLSPSILKSKGVPVYRCVQNPGEFVLTFPRAYHSGFNCGFNCAEAVNVAPIDWLPHGNIAIELYREQGRRTSISHDKLLLGAARDAVRAQWELNLLKKNTSDNIRWKDVSGKDGLLAKEFKARVEMERVRREFLCRSAKALKMESSFDATNERECIICLFDLHLSAAGCRCSPDRYACLDHAKQFCSCSWDSKFFLFHYDISELNILVEALEGKLSAVYRWAKLDLGLALTSHVSPGKATIHTELRSDSSNLSCSSWANVHKDLALHPSNKLIDDYSMSSNPGNNLFTREDKVAYIYPPQTTLGGSLMHWAATDVPRKNQAHAAKSEDQSYLQHRKSAEDVSSIHMKQLLTNNSSKPTCEMANHKNYVNIEGSLICESKLRTPGGQLSKKEPSSEKGSLYRHDNVILLSDDEGDEIKMPDSNRRKEISCVVTGSRNTASPCNNIENTNLTISVTDAAVIDEKNGPTLPHGDLSSCSSQLLHLKQERYENSGPVLAFAPVDLNYHIGHTTTESVRNIPASSTGEASHHCLESSESSSLNPQQSGTIKAKNEENRETFGGCPTSNVADNVRSVNVNLSCSLNNLERNSRQKGPRIAKVVRRINCNVEPIEFGIVLPGKSWCSSQAIFPRGFKSRVRYISVLDPSSAMCYYISEILDAGRDGPLFMVSTENCPSEVFIHVSPARCWELVRERVNQEIAKQHKLGRKGLPPLQPPGSLDGFEMFGFSSPEIVQVIEALDRKRVCNEYWDSRPYSRPQGQISQAHQTNINGRNGEGVLMNQHLPVEVVATLRSLFKKGNAEELNSLYYILSDNKPAVGRMQIAQLLNEEIQKSQPSS >OIW13567 pep chromosome:LupAngTanjil_v1.0:LG04:10613674:10625709:1 gene:TanjilG_29308 transcript:OIW13567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKWPSSLNEVSPEKDGINLNKNKQRKKILSDKLGPQWNKAELERFYEAYRKYGKDWKKVAAVVRNRSVEMVEALYNMNRAYLSLPEGTASVVGLIAMMTDHYNVLEESDSERDSNDAPGSRKPVKRKRGRVQLSVSKDSIQSRSIASDDGCLSLLKRRRTDGSQPRAVGKRTPRIPVYYSNKIDDGENYILPNKRNLKSAFDNTDDEVAHVAALALTEAAHRGGSPQISQTPYTRSQQKSSPVQSWERMHQQSKTVPTKFYDASMDEEFIEGSIESRGAENGECARDTSSLMDLGSIATVEVNQKWENKYRKKGSVENVGNHFLDDGGEACSGTEGLNLSLKGKVDIEVTNAKPEKFSEKGQRKRNKKLLFEDEGSALDALQTLADLSLMIPPSTMESDSPVQMKDERMYADKDEESVLPEATSTSQNRDGIKLPGQKAVRAVPGVEVSTSKKSKLGKESENDMQLPSADRTCKKKGKSMVSKVANAKPDSYPTGHLNNEDGDEENKPMIKGKCTDQTFTKAKQLKSVRSTESPLCSDPKDLAASAAEVPLASEGILPTKKKSRRKASLPRAFMAKEKCSENILTSQPINYSTPIQDKALFLKVANAKPDSYPTGHLNNEDGDEENKPMIKGKCTDQTFTKAKQLKSVRSTESPLCSDPKDLAASAAEVPLASEGILPTKKKSRRKASLPRAFMAKEKCSENILTSQPINYSTPIQDKALFLKEKLSSCLSSYMFRRWCTFEWFYSAIDYPWFSKQEFMEYLNHVGLGNIPRLTRLEWSVIKSSLGKPRRFSEHFLREERQKLEQYRESVRKHYTELRTGIRDGLPTDLAKPLYVGQLVIALHPKTREIHDGSVLTVDHDKCRVQFDRPELGVEFVRDIDCMPLNPLENMPEALRWQIGAGNIPFMSKEPQMKGNSSFGGCLPYDSSGPVEKQPASSISLTKQGMGGANNSVSQANAAITNYLCTQPAVSAQPCTMTDHQTKEADIHALSEFTRALDKKEKLVMELKVANDDMLENQNGVECFRDSEAFKKHYGMVSNALLQLRQHNSYIGNYLPPWMKPPVSFDVLDGLPSASALDSSLAQELGSTGIDIIKGSRLKAHAMVDAAFQALSSMKESEDGFMKIGKTLDSINHQPLATNSRLPVFRSQEQVNGSLSGPLPNDDAPGPKLHHDSVKVDTQIPSELITSCVATLIMIQNCTERQYPPADVAQIIDSAVTSLHPCCPQNLPIYREIQMCMGRIKTQILALIPT >OIW12891 pep chromosome:LupAngTanjil_v1.0:LG04:23940903:23947472:1 gene:TanjilG_24824 transcript:OIW12891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGALDFLSDYFSVSTPKQKRKPMQVFNIVPIPFNCPCVLMTVEIKVKMDCEGCERRVRSSVSNMKAQAYDKKAPSGYVRNIVQTIPNPNATDEKITTLFSDDNPNACSIM >OIW13223 pep chromosome:LupAngTanjil_v1.0:LG04:17775538:17777838:1 gene:TanjilG_03552 transcript:OIW13223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGSIFHAPMSFFDSTPAGRILNRVSFDQSVVDLDITFRLGGFASIIQLIGIVAVMLEVTWQVLLLVVPMAVACLWMQVRYKESLPLVLHGVSCTFPGGQKIGIVVRTGSGKSTLIQALFRLVEPSTGSILIDNINISGIGLHDLQSHLRIIPQDPALFKGTIRGNLDPLEEHSDKEIWEWQLVSLGRALLKQSKILVVDEATTSVDTATDNLIQKIIREEFRDCTVCTIAHHIPSVIDSDLVLVLNDGK >OIW13795 pep chromosome:LupAngTanjil_v1.0:LG04:7095964:7101825:-1 gene:TanjilG_31684 transcript:OIW13795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVITDAASFDAELLQLPEFSSSALKSNLNFVDKIFDQWLSLPESVRSVTSLLNEAKSGAPLNVPGNCSSPNAASNSLPSMFPAGTAPPLSPRSTSGSPRIVKQRAGLSNLSSPLKVVSEPVKEVIPQFYFQHGRPPPNDLKEQCLFKIDHLFHEHLDGLRIHELKSVTREVCKLPSFFSTSLFRKIDNGTGLVTRKAFIDYWINGNMLTMDIATQIYTILKQPQLKYLTQDDFKPMLRELLATHPGLEFLQNTPEFQERYAETVIYRIYYYVNRSGNGRLTLRELKRGNIIDAMQHADEEEDINKVLRYFSYEHFYVIYCKFWELDTDHDFLIDKENLIRYGNHALTYRIVDRVFSQVPRKFTSKVEGKMGYEDFVYFILSEEDKSSEPSLEYWFKCIDLDGNGVLTRNELQFFYEEQLHRMECMAQEPVLFEDILCQIIDMVGPENESFITLHDLKGGKLSGSIFNILFNLNKFMAFETRDPFLIRQERENPTLTDWDRFAHREYIRLSMEEDVEEASNGSAEVWDESLEAPF >OIW14285 pep chromosome:LupAngTanjil_v1.0:LG04:975646:983678:-1 gene:TanjilG_21425 transcript:OIW14285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWVLISINRYIVDTLENEKTRSTLKLRCTSKLRIQRQEFFELSEQSVLSNLYWGIDSIEAAIQAQQPEEKSFRLMNSEQMLQVPAMLDEEEVTATVSNRYLVCCSYFYLSVVRNLQGDEWQAALHFLQAVLVSPKLVRTEFASQICDSLFHNGSRSLEHVSAITSEDDIDESVREMARRYKECLLYYKVMLYGEIPWWRSYCSKQSANTMDASNTSCVSTTSVQNEPRSQPSNMYEKVHPLDPEDMMHKMEQESKRFMDAAKYKDHMESLSKEHQIISSIKSFRNTLKEAQSKTPTSIDSFRDEMDSENMDDREFHERTRITKADDLVHETYDWNLNQCIDLPQTPQYTMQEQINDRKMVNFGSSRFIRSIEDVTLSISNYIAKTRNPNLNYHAEELIEEAPKSIKFHLFDPYACKHRPSQKNYEECSYMKLPRSSSIIGNFDEVSSHSGRYSVHEFSELIERESSELIERESSELHYSKALGKCGEEYTVDTASIYECLTSSSGNTYASLKDVLLDELLIVISTSKEEREIRASVSTLTTIISRNKSVIEDIKKKGLRLCDLASALKQNVHEAAILIYLINPSPIDIKTLELLPVLVEIVCTSRSRESKQESLLMTPHAASLMIIEELVTSFDYATNNMHLAAISSPQVLNGLLEVARNDNLEHFFSLTTILIKCMQFDAQCRKYVSQFTPLAPFIHLLQTENTRAKCMALEFFHEILCIPRSSAISLLQRIQQEGSKNIMQILMFCAHQLQPDHQLLAANILLQLDTLNSPHKSLFREEAVQILLRALASEESSEQILSASILSNLAGTYSWSGEPYTAAWLLRKTGLTSPYHQNIIRNFNWLDQSLQDSGTDLWCSKIAKCILSVGDHVYHTLEMGLRSKTKKVSRDCLVTISWLGCQISKSPDSLRYSASEIILSGIEQFLHPGMDLEERLLACLCIYNYATGKGKQKLIHFSEGVKQSLRRLSNVTWMADELHRVADFLLPNISRISCVHTQILEAGCNFNIAVCSLIYYKGLLCSGYSDGSIKVWDIRGHSASLVWDIKKHNKSVTCFSLSELSDSLLSGSADKTIRVWKMIKRKLECVEVIVLKEPIYRLHAHDKTIFAITESQGVKLVNESRIVRDIFKGKHAKCIAGAQGKLYIGCTDSSIQEYSSTYNREVEIKLPTRCWRKQSKPIHSVVAYRDWLYCASKKVEGATIKEWKKTGKSKFSIVMDKGDNVVGMEVVEDFIYLISNSSANNIQIWLRGGLKKLGRVSAGSKITSLLAANDIILCGTETGLIKGWIPL >OIW13494 pep chromosome:LupAngTanjil_v1.0:LG04:10726214:10728805:1 gene:TanjilG_01062 transcript:OIW13494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEHFCECYSDLSGPILCPVLGSIIPLFIPNSRIRPIRLIGLCASLITFLYSPVPRIQFDPSTAKSQFVESLRWLPYENINFYLGIDGLSFFFVILTTFLIPICILVGWSGMRSYGKEYITASLIREFLMIAVFRMLDPLLFYGLCLFARMDSSSSQCGGCGHTCANSGNGYKGEIERKLYPTRDGRKLAALHLSSQRALFWIWKTGVAFGSKGMVFRTADLQISLTTEFSERRQIFLWIASFAAFAVKVPMVPVHIWLPEAHVEAPTAGSVILAGIPSKLGTHGFLRFSIPMFPEATLCSTPFIYTPSAIAIIYTSLTTSRQIDLKKIIAYSSVAHMNLVTIGMFSRAAAVRSPIFSYGHTRQGQNMCAGRATHQPTSNGGENIACRNKSLIQGVSKTLPSVPKTKAP >OIW13937 pep chromosome:LupAngTanjil_v1.0:LG04:5799028:5800569:-1 gene:TanjilG_09288 transcript:OIW13937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTLISSLFSSTPYFISFILFLILLEQISYLIKKRSIPGPTLVFPFLGNAIPLVTNPTKFWDLQSTLAKSTNLGISVNYIIGNFIVFIRDTELSHKVFANVRPNAFHLVGHPFGKKLFGEHNLIYMMGQDHKNLRRRIAPNFTPKALSTYTALQQIIILGHLKTWVNLSEQKKSPIPIRILARDMNLDTSQTVFVGPYLGLKARERFERDYFLFNVGLMKLPIDFPGTAFRNARLAVDRLAGTLATCAEMSKARMEKREEPSCLIDFWMQDTLREMSEAKLAGGESTPPFSSDAEIGGYLFDFLFAAQDASTSSLLWAVALLDSHPKVLSTVREEVAGIWSPESDTLITAEQLREMKYTQAVAREVVRYRPPATLVPHIAAEEFPLTESYKVPKGAIVFPSVLESSFQGFTEPDRFDPDRFSEERQEDQTFKRNFLAFGAGAHQCVGQRYALNHLVLFIAMFVSLIDFKRDVTDGCDEISYVPTICPKDDCRVFLSRRSARYPSFPAVEQIVK >OIW13803 pep chromosome:LupAngTanjil_v1.0:LG04:7188295:7217520:-1 gene:TanjilG_31692 transcript:OIW13803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKTEDEVVDIGSASSCSFSDDSEDEGSLSPEIDDKVDVEEPLTEKEIEDLISELLEVESKAAEAQEALEEESLTKIESEVRQELKQTLQGDDLETAVGDEMITLKEEWEDVLDNLETESAHLLEQLDGAGVDLSSLYKWIEKEAPNGCCTEAWKRRNHWVGSQATAEIAESVAVAEKYLQVNRPVRRKHGKLLEEGASGFLQKKLSDETQEPLKKETEGDWDLFNKVISDGSGADASFGSNHWASVYLASTPQQAAIMGLKFPGVNEVEEIDDVDASSSDPFIAAAIANERELDLSDEQRRQFKKVKEEDDAIVDKKLQIRLKRRRHRKKSKQHELCPPDLVMEDHISSVDHFSDEKKMVSDNEKAAFLNTKNDTIEGFDANSHIDQEKPLSTADLSDPLNSSLADVEEQRGTKRPNDSKIDTDNKKCRTITIDSDDEADVIEDKLDCNANTIKDQSEVKEGLCNRGADSLSDSLDEKFFCTICDNVALEVHSHPLLKVIICGDCSFLMKEKIHAKDLADDCSECNCAWCGGSSELVICKLCKILFCTSCIKKNLGVDFLDEEAQATGWDCCCCRPNVLQRLSLQLQRATGSADVLVSSSGSDSDNSDAGINATISSKRRRKKKIRRILDDTELGEETKRKIAIEKERQERLKSLRVQFSASSNETTSAGCNGNLSEGASIEVLGDVIAGYIVNVVREKGEEAVRIPPSISAKLKAHQVTGIRFMWENIIQSIRKVKSGDKGLGCILAHTMGLGKTFQVIAFLYTAMRSVDLGLRTALIVTPVNVLHNWRHEFNKWRPSELKPLKVFMLEDVPRDRRAELLAKWRAKGGVFLIGYSAFRNLSFGKHVKDRHVARDICHALQDGPDILVCDEAHMIKNTKADVTQALKQVKSQRRIALTGSPLQNNLMEYYCLKGFVQRMDMNVVKKDLPPKTVFVITVKLSPLQRTLYKKFLDVHGFTKDREPHEKLRKRSFFAGYQALARIWNHPGILQLTKEDKEYVKHEDPVENFLVDDSSSDDNSDCNLLAGEKIKHANESMQKKNNTGFFLKGWWNDLLHGKIYKEIDQSGKMVLLIEILTMSSDVGDKVLVFSQSIPTLDLIELYLSGMPRRGKRGKFWKKGKDWYRLDGRTESSERQKLVERFNEPSNRRVKCTLISTRAGSLGINLHSANRVVIVDGSWNPTYDLQAIYRAWRYGQRKPVFAYRLLAHGTMEEKIYKRQVTKEGLAARVVDRQQVHRTISKEEMLHLFEFGDDENPETLVELSEENGQTLKHTVPHSNGSSNSDKLMESLLGKHHPRWIANYHEHETLLQENEDEKLSKEEQDMAWEVYRKSLQSEWEEVQRVPLAESMAVQKPEIPKTEPFVVSDPLTIVKNKLRNRFFTRKCTKLVHILTLRSQSVKRGCSTICGECAQEIRWEEVLNKDGSVAR >OIW12866 pep chromosome:LupAngTanjil_v1.0:LG04:24187278:24188306:1 gene:TanjilG_24799 transcript:OIW12866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHSNVWNSHPKNYGPGSRTCRVCGNPHGLIRKYGLNCCRQCFRSNAKEIGFIKYR >OIW14011 pep chromosome:LupAngTanjil_v1.0:LG04:6484013:6490657:-1 gene:TanjilG_09362 transcript:OIW14011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAILQRLWRLRSFQTLTSHSSLSRTLPRSSNHNSALARFTASQVSSSRIVREAQGSFKHSMLPALLAGTFGFGLVETAYADDSANNTEDVEAIAVIERHRIEELLRSRGIRYGSYPRFTVAVKGQKVSIKFQIPPACEISQLIVNLTANLGLKAEGHGGGSDMSLRAWDSTVAWQLTLTNPSKQKHIQENDSSSTDINAHDGDLCVLIFHSLIGSDKAEIEFIKKGSLSPEELDAFVSVLQLASNKTAQTNTLEKKAREENEQVPSVDVSISSLEAMGVIIYGLNEPIGISNGEILWDNIAGYEHQKRVIEDTILLALHSPEVYDDIARETRHKFESNRPRAVLFEGPPGTGKTSCARVIANQAVCCFQIYYSCSLHGLIIALVSEYPAFPNPRNKSIGSSGGCLPAGIFGQEKGEGVDPQISAFGGGVPLLYVPLEIVMSEYYGKSERLLGKVFSLANSLPNGAIIFLDEVDSFAAARDSEMHEATRRILSVLLRQIDGFEQDKKVVVIAATNRKEDLDPALISRFDTIVAFGLPDHQNRQDIASKYAKHLSEPELDELASVTENMSGRDIRDVCLQAERSWASKIIRGQVSKDGERAKLPPLQEYIVCATNRQEALRRTAEDRKNRTSRQRTISD >OIW13464 pep chromosome:LupAngTanjil_v1.0:LG04:11101698:11102663:1 gene:TanjilG_22255 transcript:OIW13464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFCQEEQLLVEKVCELYEQISNLESLKPSKNVDMLFTQLVLTCMPPSPIDVSKLNKNVQEIRSKLIRLCGVAEGHLESHYSTILGSYDNPLDHLHIFPYYNNYLKLGLLEFNILSQHITNVPNKIAFVGSGPLPLTSIVLASNHLLSTTFHNYDIDHLANSYAQNLVIRDPDLSNRMVFHTNDILDVSNELEEYEVVYLAALVGMDKESKNCVIDHLAKYMAPGAILMLRSAHGARAFLYPVVEPCDLKGFEFLSVFHPMDEVINSVVIARKYPMPISSSIDQDIGSMILPNKCSEVEVFNNPLINHGNMIEELTVEEQHS >OIW13779 pep chromosome:LupAngTanjil_v1.0:LG04:6825544:6829031:1 gene:TanjilG_31668 transcript:OIW13779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEGNASHPDADMDIVQRRLMFDDECILVDQNDRVVGHDSKYNCHLMENIEAKNLLHRAFSVFLFNSKYELLLQQRSATKVTFPLVWTNTCCSHPLYRESELIEENALGVRNAAQRKLLDELGIPAKDVPVDQFVPLGRMLYKAPSDGKWGEHELDYLLFIVRDVNVTPNPDEVADVKYVNRDQLKELLEKADAGDEGLKLSPWFRLVVDNFLFKWWDHVEKGTLEKVADMKTIHSSFVISSTIGVQGRVEKRPWSKKRLVAAKSASVTITFYSHGQ >OIW12845 pep chromosome:LupAngTanjil_v1.0:LG04:24399051:24400591:-1 gene:TanjilG_24778 transcript:OIW12845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNNPQFRDLQLIRPPIGGSGDPQRSFAPPMHVQYHHVIPTQQPHQFIPMPSQHYQPVGHGVPMINVGMPPQNPQPQFSQPTQQLPPRHSQPMALPLPVARPNMHISSEPTMTQTDPQAPNGYTPGLGGPGIPLSSSYTFAPSSYGQMQTNFVSTGQYQPAPQIHAHTGSSSQSINSGTTIQSSGEQPSVTNAMASATSVQPPPANNGSTDWIEHTSANGRSPDSIDTSYISGGVSFCQICHIMLEWHKCRSCFLCFRTSGDTDVEGIGSQTATTG >OIW12487 pep chromosome:LupAngTanjil_v1.0:LG04:27220392:27229716:-1 gene:TanjilG_04651 transcript:OIW12487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEQSNCFECLKRSINCDFCDKVVFNYGFSNSPLPFGSSAIVHVSLSLSLSLSLSLSLNSQINLIIFVHFLFFFGQQICGGEASSAQFILQYMSTLHNNCFTNYVNEYILDSNEGTGSDDHPHNGGIQYTNVVNGGIDSEDSETTKASSRNSTCNHSGRFSCTRTITSLAAIARVGVSSSSTLQDIASAFLSGLIEDHVLDSLNLLIEGKPSGRDSINFLSLIGLPSFEEDAFPGSLRHPNIVPVLAILRTSDHVNMVLPKTPYNLEHILHFNPNALKSDWHRRFLIYQLLSALVYLHGLGVSHGNICPSNIMLTDSLWSLLRLWSETDSNLTLQKNESVNSGPAKIGCCNSACHSNGLYADLKLSPSIDWHSSFHQWWRGELSNFEYLLILNRLAGRRWGDHTFHPVMPWVIDFSSKPYDNCDAGWRDLTKSKWRLAKGDEQLDFTYSTSEIPHHVSDECLSELAVCSYKARRLPLSILLMAVRSVYEPNEYPSTMQRLYQWTPDECIPEFYSDAQIFRSIHDGMADLAVPSWAECPEDFIKLHRDALESNRVSFQLHHWIDIIFGYKMSGQAAVTAKNVMLPPSEPMMPRSTGRRQLFTRPHPVRHATARITRHGTNKYGKVWSQESEMQPETTLLSETAYLQELEQASAFSEHARHLNACYHYPLNQIERNISSLGDPATETSSENYWVPYKTNQISFLEHMKVEDEGSSGYPDLLLWRQKLASSRLGSEDVARDIFSVGCLLAELHICKPLFDSTSLAVYLEDGILPGLLQELPPHVRLLVEACIQKDWMRRPSAKILLESPYFPKTVKSSYLFLAPLQLVAKDETRLCYAANLAKQGALWEMGTFAAEMCAPYCLPLVLNAVNDTEAEWAYILLKEFMKCLTGHATTGYLHLKLSFLQDSFVREIWNRVGKQAYLETIHPLVLSNLYISPNKSSASSASVLLIGSSEELGIPITIHQTILPLVHCFGKGLCADGIDVLVRIGGIFGESFIVKQMLPLLKNVARSFIDVSFMNKPDPVQSWSALALIDCLMTLDGLVAFLTEEVIVKELLQDQVCVHVGVLMLKHMEIAVLQVAATTLFGICQRIGADLTALHILPKLNELFDDLAFSQEVSKGSTTVGRNLKAAKLKIGGDFQIESYMDLVLVLYTSFASLLGIEKLRQCCATWLLLEQFLLRHHNWKWEYAGESSKGGSEINITRKPSSSQGFTSEYNPAKLLLNGVGWSIPQSQGSRNAKNLIPQRRPFKAHRNPAGMQEGVAYQMNHEPWFWFPSQTTIWDGPEFPGRMGVQKDDLPWKIRASVIHSIRAHHGAVRSLAVDQDECTVFTAGIGQGYKGTVQKWELSQTNCLSGYYGHEEAVNDICILSSSGRVASCDGTIHIWNSQTGKQISVFAESQAESVHPTSHLSSASKINSDQANVLNLNTLSNGILSSAFDSSLYTCMHLLDSGENIVVGTGNGSLRFINVARGQKLHIWRGESNESSFPSLISAIYSYGSDKMQAGGISTSPSLIATGLSSGHCKLFDTKSRNVIASWRAHDGYVTKLAAPEEHLLISSSLDRTLRVWDLRMNLPSQPIIFRGHSDGISSFSIWGRDVISISRNRIGLLSLSKSANETVCFSLLWFMTCLLGVLFPLLVHVVLLPSNT >OIW13878 pep chromosome:LupAngTanjil_v1.0:LG04:7968218:7971784:-1 gene:TanjilG_31767 transcript:OIW13878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSQPLSAHNFKQLFHSVEAFLFDCDGVIWKGDELIEGVSQTLQMLRSNGKKLVFVTNNSSKSRAQYALKFQSLGIPVSQDEIFSSSFAAAMYLKVNNFPPQNKVYVIGGEGILEELQLAGFTGVGGPGDANKTIDFKQNKFFEHDKSVGAVVVGLDPNINYYKLQYGTLCIRENPGCLFIATNRDSVGNMTSLQEWPGAGCMVAAICGSTQKEPIVVGKPSTFMMDFLLKKFNVSCSKMCMVGDRLDTDILFGQNAGCKTLLVFSGVTTQSALEDPSNNIQPDYYTSKISDILDLTEA >OIW13985 pep chromosome:LupAngTanjil_v1.0:LG04:6250404:6254329:1 gene:TanjilG_09336 transcript:OIW13985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQQGGGIAPPNLGQQPYVMLPPQPQAPALWQQQQPSQSVAPPQQLPSQPQSADEVRTLWIGDLQYWMDENYLYQCFAHTGEVASVKVIRNKQTNQSEGYGFIEFNSRAGAERVLQSYNGAIMPNGGQPFRLNWATFSAGERRNDDSPDYTIFVGDLAADVTDYLLTETFRSRFNSVKGGKVVIDRLTGRTKGYGFVRFADESEQVRAMTEMQGVLCSTRPMRIGPAANKNIGTPQKASYQNPQGQGSQNENDPNNTTIFVGNLDPNITDDYLRQVFGQYGELVHVKIPSGKRCGFVQFADRSSAEEALRVLNGTVLGSQNVRLSWGRSPSNKQAQPDANQWNGAGAGGYYGYPQQGYENYGYAAPAPAAAAPQDPNLYGSYPAGYANYQPPQQQQQIGYS >OIW13238 pep chromosome:LupAngTanjil_v1.0:LG04:16593186:16594586:-1 gene:TanjilG_14171 transcript:OIW13238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKVKDDQEVSYDDGEPYEEELVKIERSSDDNNNKVVVAVTEAQKNSSGEVAMHECNICFKTFKNGKALGGHRRSHFQAAKVKSHFSNLSKTTRTNNKNNKKVVSDDDDDSDSDDNDGKHTCYLCKKDFPSSHSLYGHMRSHPERVWRGICPPIHSDHKYNSNNNNNNKHSSSSSSRIYDLEDDYDYGDDCDEVVAPAIDLSKSYSLPRWQQTGKRGRQSTSAYEAAEILVFMSLRYNKSFASDESMVDEPKSPESLIISYKRKNTGEASTSQTHAPKMIKFNVSDSLKLENQNDGCDSYKDERVSLNMDCKEEIEKDSGSISIKESTQDHSFGVRKKMMKKVNGLLLKKPKGQDSESEDKNFLSKESTQKKVDGYKCDICLKSFLTFQGLGGHRSIHNRKKNNVLNIAESKYSDPIADDDDDAMRGPVMEEANDKFHTEDASSSQFRVPKFLDFDLNMLPDDMHD >OIW13965 pep chromosome:LupAngTanjil_v1.0:LG04:6071884:6074534:1 gene:TanjilG_09316 transcript:OIW13965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPQCFENPPNLNSVIDLAGTTQQFGGLKSYVTGPSDSKSALILISDVFGYETPNLRKLADKVAAAGFLVVVPDLLYGDYADLENPQFDRDSWRKAHGPDKASEDTKPLIAALKSKGVTAIGAAGFCWGGVVVVKLALSSSSDIQAAVILHPGPVTDDELNEVSVPLAILGAEIDTHFPPTKLKQIEELLSAKSELKSFVKIYPGVSHGWTVRYNVDDEAAVKSAEEAHQDMLNWFIKHVK >OIW13671 pep chromosome:LupAngTanjil_v1.0:LG04:9432177:9435301:-1 gene:TanjilG_08013 transcript:OIW13671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEEEDKQKKFGLFCDPEEEYIKELDVAVRAVQMACSLCQRVQDTLISNTRTNHQVHSKDDNSPVTVADWSVQAVVSWVLSECFGSENVSIVAEEDVQTLSKANASGLLEAVVETVNECLAEAPRFGVQKPIPALGTSEVLEIISRCNSIGGPTGRFWVLDPVDGTLGFVRGDQYAVALALIEDGEVVLGVLGCPNYPMRKEWLSYHHRYHRIISKLTPPTSETWNKGCVLYAKRGSREAWMQPLLQANKMFVWPNHAKRVSVSSIDNPALATFCEPVEKANSSHSFTAGLAHSVGLRKQPLRVYSMVKYAAIARGDAELFMKFARAGYKEKIWDHAAGVIIIQEAGGVVTDAGGRPLDFSKGLYLKGLDRGIVACSGATLHGKIIDAVDASWGSSSL >OIW13397 pep chromosome:LupAngTanjil_v1.0:LG04:13278848:13282369:1 gene:TanjilG_19493 transcript:OIW13397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSAQDPFYVVKAEIQESIDKLQSTFRQWENALDAGERVHLSKEVLAGCESIEWQWSSLLQHYQYTNAEVCFLGRKVDELDKAIAVASQDPSWYDIDEVELQSRRRWTSSARTQVGTVKKAVEAGKGSGTGSHASVNAMHQELMRLPNSRETDTYNQYAAQDNDDFIQSESDRQMLLIKRQDEELDELSLSVQRIGGVGLTIHEELIGQERIIDELGSEMDSTSNRLDFVQKKVAMVMKKASAKGQIMMILGLFALFIFLFILVFFT >OIW12622 pep chromosome:LupAngTanjil_v1.0:LG04:26188624:26191949:-1 gene:TanjilG_24555 transcript:OIW12622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSVFNRFQWRRSGAHKVEDGKLKDEQEISFKSEVKKKVDDDDGTTKYSSDDEDPSDSKWKLEMAWLTKALEPALQLCRRALPAGDVIGNKPPPSSRSLMEIIACIQQSKIGIQDWSLTDLTIGLYLIYLRQASTHAFEDLKGIRISSESIVQDLIYHLELAKGAYKDNAAVLARNSMLRENSVLKFVKNSSIMRPAYYVGVDTRRKLVILGIRGTRTVYDLITDVLSSSDEVTFEGYSTHFGTAESARWFLWHEMETIRKCLKKHEGFRLRLVGHSLGGAIASLLAIMIHRKSSKELGFSPDIVSAVGYGTPPCVSRELAESCSGYVTTVVMQDDIIPRLSVASIARLRNEILQTDWMSVIEKEDWRSVIDFVTNAKQVVYLVQDVARKLADYANFGGDKSLTPGPIGKELPVATEASLSPKAAKKDSVVMKVEGAKPAVPEELFIPGSVYYLKRNWGSQNGTGKDFFTLLKREPGEHFQKIILSGNLITDHKCDSHYYALRDVLKDLPWCGEEGIFR >OIW13042 pep chromosome:LupAngTanjil_v1.0:LG04:21647124:21651418:1 gene:TanjilG_17602 transcript:OIW13042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCGCKVLSMIFIIMTLLMLLSLQRIHILNVDHDMFMEMEVKNEEGNENQVKKILGLDENEVKEAFIVEKFRALLGLKSFHIDSSQFLSPSPSPNIEPEAPAPAPSPLAPHFHTHSYHHPRNHSHWNPPLYNKTNEDRGKAKRVLVATVVSVGIATLVCVCGLILVCRNFRNNRKKPKRTMPLCSSKNKGTGSSKVSLNSGGLDLFYLNVLGDDIEQQGCTLKRTCESNIECYDNVSSSSTKEILPVHEDKEEEESVKNEYESDGNDKTIPEEEECHSSSGDESFHSFVDSQSNLRLSNASASDTLSMSPQNSSSLLPTHFPTSPQCTFSKTQSPKTFMPPPPTPTPPPLQMPLFKLHSLTTHNNLSSSRNSDTFSACNPSPEKELLSSSQLNSTKSPSTIPPSPCPPPFLKGNNNNSKTTPPPPSQLPHFKPLVKDAVPLPKLKALHWDKVRAAPNGTMVWDKLRSSSFELDEEMMESLFGYNIQNSMNNDETKSKSTFPSKHVLEPKRLQNITILSKALNATSEQICQALIQGKGLSLQQLEALVKMVPTKEEEGKLFNYKGDINELGSTEKFVRAMLSVPFAFQRVEGMLYKETFEDEVVHLKNSFSMLEEACKELRSSRLFLKLLEAVLKTGNRMNVGTIRGGARAFKLDALLKLSDIKGTDGRTTLLHFVVQEIVRAEGIRVSDSIMGKICQKSSKDNITEEEKEEGYRRMGLELVSGLSIELYNVKKTATIDLDVLASSVSNLRDGMVKLQCLVEKDLSNGEESENFVNSIKCFLSYADRKLRELQGDEDRVIVRVKEITEYFHGDVSKEDNPLRIFVIVRDFLGMLDNVCKELRRSKAPHTPNPLAPSETRV >OIW14132 pep chromosome:LupAngTanjil_v1.0:LG04:3921308:3923543:1 gene:TanjilG_21272 transcript:OIW14132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNLPRCQANNTSLTPMTFLMRAAACYANRTSVIHEGTRFTWGQTYDRCRRLAFSLRALNIAKNDVVSVLAPNIPAMYEMHFAVPMAGAVLNTINTRLDAKNIATILQHSEAKVFFVDYEYVSKARDALRLLMPNNNHQQGANESKEHQQLYSSLPLVIVIDDINSPTGIRLGELEYEQMVHNGDPNYVPEEIQDEWSPIALNYTSGTTSEPKGVVYSHRGAYLSTLSLILGWEMGSEPVYLWTLPMFHCNGWTFTWGVAARGGANVCIRNTAACDIYRSIAQHNVTHMCCAPIVFNIILEAKQSERCEIKSKVQILTGGSPPPASLLEQIESLGFHVTHAYGLTEATGPALVCEWQEKWNKLPKQEQSKLKARQGVSVLTLADVDVKNLDTMESVPRDGKTMGEIVLRGSGIMMGYFKDLDATSKAFKNGWFRTGDVGVIHSDGYLEIKDRSKDVIISGGENISSVEVESLLYRHPRILEAAVVAMPHPRWGESPCAFVSLKKNSSGKTNDVTETDIIGYCRKNMPHFMVPKVVKFMDELPKTSTGKIQKFELRAKAKVFVVSENVNNKSSQVNQNNGNYNEQVLAVSRL >OIW14165 pep chromosome:LupAngTanjil_v1.0:LG04:3311529:3311873:-1 gene:TanjilG_21305 transcript:OIW14165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METITVEEEGEDASSYPNWHDLPQDITTMILQKVGIIEILLNAQNVCHLWRKICNDPSIWRKIEITTAHISYYFLYDVVKMFHHAVHLSCGHLKSITIEFIAGSEFLLIYDPDR >OIW12901 pep chromosome:LupAngTanjil_v1.0:LG04:23851638:23854079:-1 gene:TanjilG_15821 transcript:OIW12901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFLDSAPLDRLNDFLNNLNLGERTIKGCLEAYSCKHAAEDKKLSISLNNEIIDYLGKSSDGDSSSPDEILIARSSRRTLIYLVLTLYHMYPDYDFSAVKAHQFFTEESWDSFKQIFYSYMLEASKEWDETVGECSLLDNLLKALDEVVNLADCEIYGYVPDSEADPLWERGAIWSFNFFFYNRKLKRIVTFRFSCFSNLIADGFLSDEMHYEDDGEIFADMDM >OIW12662 pep chromosome:LupAngTanjil_v1.0:LG04:25854904:25855470:-1 gene:TanjilG_24595 transcript:OIW12662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKHCFSKFNAAESESTTNPTATINTTSSHNDYDENPPCPTSPLMKNFNSLYEHEPEAMTADLATALASQRFFFSSPGHSNSLIEYTTSSSLVGENNNKNKNKKKKKVLLFKESVAVPTYSSDPYLDFRRSMQEMVEARPELMDVNTNWNVLHQLLLSYLALNPKTTHKFILTAFSDLLISLMSVQVS >OIW14244 pep chromosome:LupAngTanjil_v1.0:LG04:1742781:1749529:-1 gene:TanjilG_21384 transcript:OIW14244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQWDSDSDLSADEDDTASFIFNRDLNDVDGPLPIPFPLQTAPYAFVVTDAIDPDHPIIYVNTVFEMLTGYLAEEVLGRNCRFLQCRGPFAKRRHPLVDSTVISEIRRCLEEGIEFQGELLNFRKDGSPLMNRLRLTPIFGDDEITHVIGIQFFTEANIDLGPVPGSVIKESVKSSDRFRSVLSSLHPLPVGDRNVTRGVCGIFQMSDEVISLKILARLTPRDIASVGSVCRRLYELTKNEDLWRMVCQNAWGSETTRVLETVPGARRLGWGRLARELTTLEAAAWGKLTVGGAVEPSRCNFSACAVGNRVVLFGGEGVNMQPMNDTFVLDLNSSNPEWQHVQVSSPPPGRWGHTLSCVNGSHLVLFGGCGTQGLLNDVFVLDLDAQPATWREISGLAPPLPRSWHSSCTLDGTKLIVSGGCADSGVLLSDTFLLDLSMEKPIWREIPAAWTPPSRLGHTLSVYGGRKILMFGGLAKSGPLRFRSSDVFMMDLSEEEPCWRCVTGSGMPGAGNPGGTAPPPRLDHVAVSLPGGRILIFGGSVAGLHSASQLYILDPTDEKPTWRILNVPGCPPRFAWGHSTCIVGGTRAIVLGGQTGEEWVLGDLHELSLASSAI >OIW13314 pep chromosome:LupAngTanjil_v1.0:LG04:14349053:14357026:1 gene:TanjilG_02834 transcript:OIW13314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRKSSTSKPSIGLSGSGGLSHAYIQYPPLRCNVPGSRGLFYDDGNKLLLSPTADQIFSWKVVPYDPLAVPTTDPISEGPIIAVRYSLDTKVIAIQRSNHEIQFCDRETGESFSHKCRPESESILGFFWTDSQQCDIVLVKTSGLDLYAYNLESKSLQLVEAKKLNVTWYVYTHESRLVLLASGMQCKIFHGFQISSADIVRLPRFEMSMAKSEANSKPVLAAEDVYIVTVYGRIYCLQVDRVAMLLHSYRLYRDAVIQQGSLPIYSSRIAVSVVDNVLLTHQVDAKVVILYDLFADSRAPISAPLTLLLRGFPRSGSSSSQSSGRETESSDGNLVSNHEAVTYADTWTFLVPDLICDVANRLLWKSHLDLEAISASSSEATTVLEFLQRRKLEANKAKELCLGISRTLILEHRPVSVVAKAIHVLVTSYSHSIKVGSHPKGLKPEKTSASGVQNAGADVSAIGTDTHGKSIVHESTTGVDRGLLDEALTVSSLESEDESESVNPECNSKEALVMGKVSNENSLSVQSSLQSQQEESKLTSAAVSPDEMYSFVFSPIDEEMVGDPSYLVAIIIEFLHSANLEKIRVLPNLYVLIIQLLARNERYAELGLFVINKILEPSKEVALQLLESGRQNIQTRKLGMDMLRQLGLHHDYVLMLVQDGYYLEALRYARKYRVNTIRPSLFLEAAFVSNDSQHLAAVLRFFIDFLPGFRNTSEHNRYHRILNEMNSSLSVEILR >OIW12507 pep chromosome:LupAngTanjil_v1.0:LG04:27106523:27107908:1 gene:TanjilG_04671 transcript:OIW12507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLELLLFYKNPLFHTILSLILAFTLSFFNLPLFFLYALQTYIHPDSQPQSNATRAAIRRPSSTATDGPEIKRRNTKSKDKASDFDENNAQIFRLRLDQSHLRSRLYIDHYCVAFTVSFVTLCSLLLHKYLDSGKNRGFLESGVFVPILLSSLSLYMWVMLFVRVTFERSASRRSEKQFSAVFGVLGFLFGLLVLVPDLLVFLDFDFVPVDGFWRFFVASLIGCFSGFMFIPAGRGARSFWLGTDQIRCNLSMITCGWFTRLILYANQILVIFVALLWIKPLAEIFVNTNNHRSSKGASVINSGLGNGNADKLVGNMGLLPSEFSNFRQWCLLGSSLLQILALRPNLQMYLNEALLSWYQRLHASKVPDLDYSRAKMFLHNHFLCLVVLQFLGPPMLVLLFLGLSQIDGPSFGNFPLSNLLPSFAFINQVALFLAWWVCFLWALFSSVILTLHRHCILYVS >OIW13836 pep chromosome:LupAngTanjil_v1.0:LG04:7703931:7705333:1 gene:TanjilG_31725 transcript:OIW13836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVREVSESCLDSVVKEMVSCYCNVFYADKPDLAARRIEAIGYQLGFQLSERYTMERPPFNDNLEAIKFICKDFWSNLFKKQIDNLRTNHRGTFVLQDNKFRWLTRMSIDSSADNGSSVEDNSAPAAENKTSHAINMHLYFPCGILRGALSNLGIPCAVSADISNLPACAYL >OIW14291 pep chromosome:LupAngTanjil_v1.0:LG04:912350:913635:1 gene:TanjilG_21431 transcript:OIW14291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKMNKAFEKVKIMVGMEVEDEEQQVGALDDNNGNFAFMDDFNCNCTKHVLIFLSIFHVDLHINCVIFHILEASRLPNDFEKPEFVIVNIQRDLFYGYDTLMENVSDPSHIDFAHHKVTRRRDRAKPLTFKMGSRGPETLQELMMGIQRSVLNLLHLVIL >OIW13805 pep chromosome:LupAngTanjil_v1.0:LG04:7256076:7261630:1 gene:TanjilG_31694 transcript:OIW13805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSFTPFLCLLVFSLSIQFESSLGSKRTDVAYVTLLYGDEFLLGVRVLGKSIRDTGSNKDMVVLVSDGVSDFANNLLQADGWIVEKISLLENPNQVRPKRFWGVYTKLKIFNMTDYKKVVYLDADTIVVKNVEELFKCGKFCANLKHSERLNSGVMVLEPSEALFNDMMSKVKTLPSYTGGDQGFLNSYYAGFPNAHVFEPNMTPEMLNARPVPEMERLSTLYNADVGLYMLANKWMVDEKELRVIHYTLGPLKPWDWWTSWLVKPVDVWQNVREQLEESLPGTGGGQNPNDDFLLKFLLLLPFCAILFCCYRSFLKNQGYFGSFCRSSLCDHIRHLYYRIKSGGPLAYASISTSTINSSHQLSNGAQYKVPTYLGGISVSVCLMVALVSLGLALLIVPRQVTPWTGLFLTYEWTFTIFFILFGGYLHLISEWGKIMAHRAASSLAHPGSSDDDSGKRHQRPMSSCDAATWFYGLGMAFLAVAAPSLPYLFGATALFLRLGLMVVGGIILASFMTYASEHLAIRSFLRGLEEWDIAKRLTMQRFTTEHHTRWKKSAGETIGI >OIW13669 pep chromosome:LupAngTanjil_v1.0:LG04:9446323:9446763:1 gene:TanjilG_08011 transcript:OIW13669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFNPRVSSSRRKSRKAHFTAPSSVRRVLMSAPLSQDLRSKYNVRSLPVRKDDEVQVVRGTFKGREGKVVQVYRRKWVIHIERITREKVNGTTVNVGVNPSKVVITKIRLDKDRKSLLDRKAKGRATADKEKGTKFAPEDIMQNVD >OIW13315 pep chromosome:LupAngTanjil_v1.0:LG04:14361961:14377620:1 gene:TanjilG_02835 transcript:OIW13315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKQGVKSKGFGFNSSKAANSHSSSTTSSSKQFPDTSADGQSSPALSSAQSKPPQQHFYLESVPLDAQRAKENVTVTVRFRPLNPREIRQGEEIAWYADGETIVRSEYNPSIAYAYDHVFGPTTTTRHVYDVAAQDVVSGSMEGVNGTIFAYGVTSSGKTHTMHGNQRSPGIIPLAVKDVFSIIQETPNREFLLRVSYLEIYNEVVNDLLNPAGQNLRVREDAQGTFVEGIKEEVVLSPAHALSLIAAGEEHRHVGSTNFNLLSSRSHTIFTLTIESSPCGENCEGEAVTLSQLNLIDLAGSESSKAETTGMRRREGSYINKSLLTLGTVISKLTEENPSHIPYRDSKLTRLLQSSLSGHGRISLICTVTPSSSSTDETHNTLKFAHRAKHIEIQASQNKIIDEKSLIKKYQQEIQCLKEELEQLKRGIDTVQPKYTGEDDIVLLKQKLEDGQVKLQSRLEQEEEAKAALLGRIQRLTKLILVSTKASHSTRFPNRPGPRRRHSFGEEELAYLPYKRRDLILDEENIDLYVNVEGNSVTADDSFKEEKKAKKHGLLKWLKSRKRDSASSGISDQCSGAKSTSTPSTPQQEICNHAERSPSADPISEAREDKDIDEDSFLGQERHLTNIKSVDQIDLLREHHKILCEEVALHSSSLKRLSEETARNPQNGQIHVEIRRLKDEIKVEKDQIELLEKRISSSSIASDDMDQSGVSQKIVAEMMAQLHEKSFELEVKSADNRIIQQKLNQKICECESLQEIISSLEQQLADALDLRSVSPVVNHSQHFSLTKDYSGELNPEKGNVNFTNEGTLLQAQVSELEELKQKVAELTESKEHLELRNQKLAEESSYAKGLASAAAVELKALSEEVAKLMNHNERLAAELAASKNSPIQRRTSGIVQKGRRENHAKLRRNDQTGSNPNIKRELALSKEREISHEAALSEKDQKEAELQRKIEESKQREAYLENELANMRVFVAKLKKTQGTETDVCSFTRESLQLDGLEI >OIW12920 pep chromosome:LupAngTanjil_v1.0:LG04:23630224:23632483:-1 gene:TanjilG_15840 transcript:OIW12920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVYAFHFLLFSHLMLLLLASENEYGNKVECPPSFDCGHLGNITFPFTVTESPHCGLFVISNCDNINPSKPKNIQLENNGKLFWVIRLIHNPPTSANITIQIRDYSFYNLLESKSCEAFSNNYTLPTKFPFGSISIAYSQTMFKCNRSLHVEPAINVHNYTNCSDYDLYYKPSLNGNDKSLSSLLACTMVTLPIKDVADAEDPFTFITSDIITKVQLSYECANCYYVKRGQCHLDNSKKFCCNNGYAEKTGMKLLIVATAASLAGVAVLMILAYRFRTKIFLPTYLLFGKGNPTNLIIEKFLKEHGDLPTARYSYSEVKKMTNSFINKLGQGGFGCVYKGKLQDGRDVAVKVLTESKADGEEFINEVASISRTSHVNIVRLLGFSFNGSKRALIYEFMPNGSLEKFIYEEKKPSNVDLQIDCQTLYEIAIGVARGLEYLHRGCNTRILHFDIKPHNILLDEDFCPKISDFGLAKICTRKESIVSIFGARGTAGYIAPELFSRNFGGVSHKSDVYSYGMMVLEMVGQRKNINVAVDRSSELYFPHWIYKRLEVNELLGLQCIKNESDEEMVRKMSLVSLWCIQTNPSNRPAMSKVVEMLEGSSEVLQIPPKPFLSSPSTSPAHLNSETCSLSEY >OIW13571 pep chromosome:LupAngTanjil_v1.0:LG04:10659681:10665558:1 gene:TanjilG_29312 transcript:OIW13571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMIIGLQYPERFYAASSFVGFDGSNSPTKSNFSNSTALLFYTLYKQATIGPCNTPEPSTWKIVEHSKWASWKQLGNMSSAEAMRLFVKILEEEDPSWYSRASDSVIEPVIDVQMNHSSKVEPVIKNGNSYPETKTISSENKSQVGTQDKDVVVEGFGSVRVYDQWIAPPVSGQRPKGRYEHGAAVVQDKLYIYGGNRNGSYLSDLHVLDFGSSTWSKIEAKAGAESPVTLISCAGHSLIPWGNKLLAVAGHTKDPSESIRVKAFDLQTSTWSDLTIYGKAPVSRGGQSVNLVGSSLVVFGGQDAKRTLLNDLHILDLETMTWDEIDAVGVPPSPRSDHTAAVHVDRYLLIFGGGSHATCYNDLHVLDMQTMKWSPPTQKGDVPTPRAGHAGVTVGENWFIVGGGDNKSGVSDTVVLNMSTLSWSAVTSAQGHSPVASEGLSLVVSSFNGVDVLISFGGYNGCYNNEVYVLKPSCKSTVQSKTAENSIPDRVSAVQNAADTPHAEAEFGARHEGKVREIVVDNAGATKKSNGDPISAMKAEIEELESTLSTEKLQTLQLKQERAEAESRNSDLYKELQSVRGQLAVEQSRCFKFEVAVAELGQKLQSIGTLQRELELLRRQKSTSEQAALNAKQRQSSGGGVWDWLAGTPGQKEDHD >OIW13894 pep chromosome:LupAngTanjil_v1.0:LG04:8103671:8105032:-1 gene:TanjilG_31783 transcript:OIW13894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHLTTTESVCVTGANGFIGSWLVRTLLQNPRYTIHATIFPGTSSSHLFTLHPHATSRLTLFQADITDSDAVSTAIQGCSGVFHVASPCTLENPTDPHTDLILPAVQGTLNVLQAAKRFNVKRVVLTSSISAMVPNPTWPENTPVDESSWTDVEYCEARGKWYPVSKTAAEKAAWEFKRDLGGAEVVAILPATCIGSLLQPELNASSAVLQRLMMGSKETQEYHWLGAVHVKDVAKAHILLYESSNASGRYLCTNGIYQFSTFATIVSQLYPHFPIHRFPEETQPGLTPCEDAAKRLIDLGLVFTPVEDAVREAVESLMAKGFLQQTPATN >OIW13998 pep chromosome:LupAngTanjil_v1.0:LG04:6369105:6372093:1 gene:TanjilG_09349 transcript:OIW13998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAFTSSSSTVSSSSLFSRLGSSTDTKASQIGYLRNLDRSHGVVNLTQRRSFVRPINAGLQRKDSIVTLAATIVAPEVEEKEKEEDYAELARSLENASPLEIMDKALEKFGTDIAIAFSGAEDVALIEYAHLTGRPYRVFSLDTGRLNPETYKLFNAVEKHYGIHIEYMFPDAVEVQALVRTKGLFSFYEDGHQECCRVRKVRPLRRALKGLRAWITGQRKDQSPGTRSEVPVVQVDPVFEGMDGGVGSLVKWNPVANVKGNDIWNFLRTMNVPVNSLHSQGYVSIGCEPCTRPVLPGQHEREGRWWWEDAKAKECGLHKGNIKQEDAANLNGNGAAQANGNATVADIFNTENVVSLSRPGIENLAKLENRQEPWLVVLYAPWCRFCQAMEESYVDLADKLAGSGVKVGKFRADGEQKEFAKNELELGSFPTILFFPKHSSRPIKYPSEKRDVDSLMAFVNALR >OIW13389 pep chromosome:LupAngTanjil_v1.0:LG04:13575208:13578798:1 gene:TanjilG_16498 transcript:OIW13389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHMNMTTINDASNGERGLVSMIGGSGGEGGGGGGIGGGGVEEVALKKGPWTTTEDAILIDYVTKHGEGNWNAVQRNTGLARCGKSCRLRWANHLRPNLKKGAFSPEEEKLIVELHSQFGNKWARMAALLPGRTDNEIKNYWNTRVKRRQRQGLPLYSDDHDHPTTPTTPTTTTPSPFLNQTGSNTNTVTKFDFLHQNYHHHSPLSPTAQQSHHHHSPLSSPLNHRPPPSYSPHHFMDPAPSYSNQQLSSTGPSSLSFTFQRPTPMLGNPLRFKRYRSSPNFNLHHLPSITPYSTSAPPDQMADLGAFRFPQQHNSSFSPQYFQTELLDSNRMVSTHNSVFSTKLELPSNQYSQPQVQQEVKHDIDFNDPSLQTSSGLLGDLLFEAQAIASGQNSKKRNYLSLNEGNDVFDGYQNFDDWPLCSNYWSSTSGVKRKEESPDLSKSVNEDMSKLLTGMPSTMQGTDWNNNSAAEVSNNVQSSGVTTDDNFGLDNKPIASLFPLTNTINHNENQGYYSWDNLPGLC >OIW14303 pep chromosome:LupAngTanjil_v1.0:LG04:756903:759350:1 gene:TanjilG_21443 transcript:OIW14303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNSSIGIIAAYLGITAFPVLYNVLKEFNFLNSDVGRMALAMAIIGDAFGVFTVVVFEAGKQGEAGPENALWYMISLVVIIMIILFCIRPIMVWIDDNIPEGGSVNHSFVVAILLGVLVMGFITDFLGISIANGPLWLGLVIPDGPRLGATIIEKSETIMNDLLIPFSYLMVGSYTDVFAMSNVDWSSLVPLFTMVLTGYLTKFFSTWFAAIYWRIPFRDGLTLSFIMSLRGQIELILFVHMMDKKILKIPGFTLLVLITTIFSAIFTPLISILYDPTRPYIVSQRRNIQHNPPKNDLTMVVCIFDTRSITGLINLLDISNATLDAPLSVFALRVIELVGRANPLIIDHSKQEVPRIYRWSHAIKTLELFSDLREFVKIQFFTSVSPKKSIFQDICLLALEHEASLIILPFNKGGVHNHVIRLINLQVLDHAPCSIAILVDNGFLQSTNIGSSRHKSTKHKFAVLFLGGADARETLVYADRMVSNPEVSLTVIRFFTHTNVANNEVEKKLDDGIMTWFWVKNEKNSNVVYRELVVKSGEETIAGIRAINDGSYDLWIVGRKQGINPIFLTGLSEWSENEELGLIGDFVSSPDFPGSHSVLVIQQQILRA >OIW13046 pep chromosome:LupAngTanjil_v1.0:LG04:21719021:21724707:-1 gene:TanjilG_17606 transcript:OIW13046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRYIYNVVTRNNNNKINNNNVKGTMVLMKKNVLEFNDFGATILDDFNEFVGNRISLQLISAVKVDQGNGMRGELGKPAYVEDWITTMTPLKAGETAFKITFEWDEEIGIPGAFLIRNNHHSEFFLKSLTLENVPGHGVIHFICNSWVYPADKYEKDRIFFSNKTYLPSETPVPLIKYRKEELENLRGDGKKMLQEWDRVYDYAYYNDLGSPDSGPQYVRPILGGSSEYPYPRRGRTGRKPTKSDPNCETRLNLALSIDIYVPRDERFGHLKMEDFLAYALKSVVQVLKPELGALFDNTPNEFDNFEDVLKLYGGGVKLPEGILTDVKDNVHEEVMKKIVKTDGKSLLKFPLPHVIAEDKSAWRADEEFGREMLAGINPIMICRLEEFPPTSKLDRKIYGDHTSIIAKEHIERNLDGLRVEEAIRQRKLFILDHHDDLMPFLRRINSTSTKLYASRTILFLKNDGTLKPVAIELSLPHPEGDQYGASSEVYMPAEQGVGNSLWQLAKAYVGVADSGYHQLISHWLHTHATMEPLIIASNRQLSVCHPIHKLLHPHFRDTMNINALARQILINAGGALEYTVFPSRYSMELSSALYKEWNFTEQGLPADLLKRGVAVKDPSSPHGLRLLIEDYPFAVDGLEIWSAIKTWVQDYCSYYYKYDQTVKEDEELQSWWKEIREVGHGDKKNEPWWPKMETIEELIETCTTIIWIASALHAAINFGQYPYGGYPPNRPSMSRRFIPEQGTPEYDELVANPEKAYLKTITAQFQAVLGISLIEILSQHSTDEVYLGQRDSPYWTSDKEPLEAFEKFGKKLVEIEEKILRMNNDVTLKNRVGPVKMPYTLLCPSSETGITNMGIPNSVSI >OIW13262 pep chromosome:LupAngTanjil_v1.0:LG04:16481630:16485460:1 gene:TanjilG_25741 transcript:OIW13262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGIDDNVALAGDLGHSIPSPRTFFSRMLGEDTVTRSISDPPGSGRIGFRTHDQAESGKGEIKDSSQDGDSGDQLTETSFLNDQKSNLRGGLVERMAARAGFNAPRLNTECIRSTDLTLNAHIQSPYLTIPPGLSPTTLLDSPVFLANALAQPSPTTGKFPFISNGNILYSELSSDDPEKSKDNLDDLYASSFAFKPAIDSGSSFYYGTARNLNLTTVPQQSVPGIETSVQSEDSFQSLSVDAVNDQSKNDSGLHYQPDFVESPPQKDNGVKSFSGDKRARSTPPEEQANEEGDRRVNGDSTVAGVGGKPAEDGYNWRKYGQKQVKGSEYPRSYYKCTHPNCLVRKKVERSHEGHITEIIYKGAHNHPKTLNRRSGGGSVNPHTDVQLDNPEHFEPQNGGDMGWANVQKGIIVGSANWKHDNPEVTSSASVGPEYCNQSTDLQTRNGTHIDLGDAVDGSSTFSNEDEDDRGTHGSISLGHDGEEDESESKRRKLESYAAELSGATRAIREPRVVVQTTSEVDILDDGYRWRKYGQKVVKGNPNPRSYYKCTNAGCTVRKHVERASHDLKSVITTYEGKHDHDIPAARSSSHINVAASSTVPGQGHVHRPEPSQVHNGIGRLDRPSLSSYLPRSLQLGPSHSFSFGMNQPMLSNLAMPGFGSGHGKLPSMHVHPLLAQQCPNSANEMGFMLPKEESNVEPIPERGSSIYQEIMNRMALGPHM >OIW13026 pep chromosome:LupAngTanjil_v1.0:LG04:22240366:22240638:-1 gene:TanjilG_15475 transcript:OIW13026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLIMNPFFRTESFGFYPEQNYTMIEKRQLFLRSYQFSRKKSLTERIKGSIVRVKKVVWLRLRSVRRFVLSRFKCAFYYRRIRFSKLLN >OIW14234 pep chromosome:LupAngTanjil_v1.0:LG04:1903472:1907619:1 gene:TanjilG_21374 transcript:OIW14234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMEAANDNIKNTLVVRKPCFGLPTACPQSLSAYIYLKLSQLPFHLHFHLNYPDSDQIPYFEAGDSVVYNNEKEGIIECLKKDARVGDLDLDSGVSSLPEWIPTKVMLTTWLADALEYELWVGCDGSSAYNIYYSDLPWPIGKVLFWKKYHWVKQKHAITKNNVEVKEEEIYERANSAYDALSTLLGDANYLLGSRPSSLDAIFLAHALVVLQALPESSTLQIKFSEHVNLVRYVQQRKTELIEAGAFPSNDTPFHAGASSSASRGSKSKSKPKGDKTKEESKEEKTYRKRAKYFVVAQVVAVVLFVSIMSGYGNGDVEVDDADADYGYD >OIW12943 pep chromosome:LupAngTanjil_v1.0:LG04:23283791:23289595:-1 gene:TanjilG_15863 transcript:OIW12943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRALNSHFVLIDFNCSNYVPTSTLTYFKKNSNVISKLSSSFHRTRRSGKGIPSSNSSSAWIPSPEIRRPSDRFLSGNGSPANSQSTPSTSRPESATELEVFLELLPLKMRRELYRHDEIGGLIEVVMDLGRKPLARFPSGDWVISEHPIKLEDLSHAISKVGEFSDDNRSGINSSLHRISAIRNRKMQIIGLTCRVGRAVNGSAEILRDLVEDGGSILVIGPPGVGKTTLIREIARMLADEFKKRVVIVDTSNEIGGDGDVPHAGIGRARRMQVMIEAVENHMPETIIIDEIGTELEALAASTIAQRGVQLVGTAHGMTIENIMKNPSLQILVGGIESVTLGDEEARKRKVQKTILERKGPPTFTCAVELISKTECRVHHRLDATVDAILAGKPPLFEVRQWDDFANDLVKYAPMPEKSYGETPDLSKNNNTSSDIESGEEDKDEPPTLSKKRSTSESVIKRSSPVQVYTYKILEADLLQVAKVMGLEDLVDVTDDIGAADAILASSNEMRQHRWIRSVAKFHQLPVFVIKSNAMAQMVKALRMILGLESFGPTSKKPFNDSLDVEIDDDEPKRKPSLEEIDALEEVRLAIEYIVIPGGEAVELLPRRSEIIARQLELVESYHLAAEKSGTEQNPRLQILPLRLNSKKPSKSSSGSRKSPTSVSTAAGGNGCLLQLWASRAPGSKIVPSAAGGRGRGGLPFSSCPRFGGACGNGDRFSGERHQYGDNRYDGGCYGDGDRFDNQDYKYGGHDHYTSCRYPTSGDWFPSDRYGGGSNYPQNGYGIERAMTDTAVHE >OIW13321 pep chromosome:LupAngTanjil_v1.0:LG04:14524620:14527644:-1 gene:TanjilG_02841 transcript:OIW13321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCCGGAEEETSGPPASQYTAPSRGGGTYGGGGGNDRAEPRSNIVKSGGPQKVLPIEIPAMPLDELNRLTGSFGTKAFIGEGSYGRVFYAKLSDGTDAAIKKLDNSSPEPDDDFANQLSVVSRLKHENFVELFGYCLEANNRILVYQYASMGSLHDVLHGRKGVQGAEPGPVLSWNQRAKVAFGAAKGLEFLHEKAQPSIVHRDVRSSNVLLFNDYEAKIADFSLTNQSSDTAARLHSTRVLGTFGYHAPEYAMTGQITQKSDVYSFGVVLLELLTGRKPVDHTMPKGQQSLVTWATPRLSEDKVKQCVDPKLNNDYPPKAIAKLAAVAALCVQYEADFRPNMTIVVKALQPLLNAKPPGPADSNA >OIW12555 pep chromosome:LupAngTanjil_v1.0:LG04:26779978:26781183:-1 gene:TanjilG_04719 transcript:OIW12555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTTDFQGSSPSSLSHFGRSIFSLRHRVHQLHSMEGLSLELELESFQQHITERLLELSSVDHTDLLSLSWVGKLLDSFLYCHDEFRALLEIHRAQVLRPTMDRMISDYFERSVKALDVCNAIRDGIEQIRQWQKLLEIVVCALGPQSCIGEGQFRRAKKALIDLAVGMLDDKDSSASIANRNRSFGRNDHHQNHNNNQNNNNLNQHHRSLGQFRSLSWSVSRTWSAVKQLQAIGNNMCPPKANDLVATNGLAMPVFIMNSILLFVMWALVAAIPCQDRGLQIHFSIPRNFLWATPLLSLHERIMEESKKRDRKNTCGLLKEIHQIEKYARVMNELADSVHFPLTEEKEDEVRKKVQQIIEVYDTLKDGLDPLDRQVREVFHRIVRSRTEGLETLGKPNHAE >OIW13030 pep chromosome:LupAngTanjil_v1.0:LG04:22162148:22166431:-1 gene:TanjilG_15479 transcript:OIW13030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEIGASGHASATDEQIVKKEKSEAIAHMIASLANEDEVKHDKISDEDGHVTNPLSKTGNGTNDEVGAVEVQKSDDSPALAASAEDNLKHGKIEDAQTQEDDTKAEPDVVSVEKTDDLVSLEAHIEDNLKGEKEVIPALHATDDAAKSQPEELPFTEPTVEETPQQPEAEAEVNTRTEAEAELVKEAETFETSYQKEEKTETEPVATEVEEKPQEPEKELEAVVVKEAEILEISDRKEDKPEPEPVVLATEVEGKSQEPEKESEAEVVKEAKTLETSDKKEEKPEHEVVALIPEIQRKTEEPEKESQEKPQEAEQGTIVTVAEPFTEVITIEEKTRELSAEILKETNDSEAAPTETSKAEQEVEENPKEPEKESLEQKEEKQPNNVAIAEVSSETIEAIEEKTIEQEVLNETNNYETEPTEVERAELEVTKVDENSSEPEKKSIKQEEEELPKTVIPEKPTTGDIVKVQPPEESDIEVVKEIANSETEAVLGKEEKPGNGVVVHQPIELDIEVVKENGTNELEAVPVREEKSELVSSELEEKPRELVEVAEDVGETKKEVESKQEIVLETAKNEGNLADTIKEKISLKEEKTKKEDETNITENTALVSLNEEAQAAPENLVELAPEVSEKVVEEDGKNKSSFTDVIEGVPKDEVGIVKIPGQASIDQEAKSDIEEKGDSIPTSVEEKVAITVDDDKKEPKAPGSVQVSSREAEVEINKDEKQFEAKTATTEDDEPAVKNENGGHIDTKVDGIFSAVSEPVRETLASKFEEKETKTEVNNLEKEQSEEPVKTEVQVPKEPTQESDATKTSSKDLPKESKAKTAQKQSNSIISKVKQSLVKARKAIIGKSPSSKNHSSEAKDDIQVK >OIW12885 pep chromosome:LupAngTanjil_v1.0:LG04:23993537:23997545:-1 gene:TanjilG_24818 transcript:OIW12885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLSLLPFLCSLSLLSVVTASTAYPSIPGTDSGECSLAGVETINLPPPPRREVYDNGRIFDITHKYVPEMPVFGSSEGLGNFLWLPRSMKNGSLANNSAFKLDVHTGTHVDAPGHFYDNYYDAGFDVDTLDLELLNGLALLVDVPRDSNITAEVMKSLHIPKGVRRVLFRTLNTDRRLMFKKEFDSSYVGFKEDGAKWLVENTDIKLVGVDYLSVASYDHSVESHLVFLKSREIILVEGLKLDDVPSGIYSLRCLHLRNVPSALYCCCALSLFLLSQMLMQNGVTAACHKYS >OIW13967 pep chromosome:LupAngTanjil_v1.0:LG04:6080842:6084809:1 gene:TanjilG_09318 transcript:OIW13967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSSVDVDFFTEYGEGNRYRIEEVIGKGSYGVVCSAYDTHTGEKVAIKKINDIFEHVSDATRILREIKLLRLLRHPDIVEIKHILLPPSRREFKDIYVVFELMESDLHQVIKANDDLTPEHYQFFLYQLLRGLKYIHTANVFHRDLKPKNILANADCKLKICDFGLARVAFNDTPTAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAELLTGKPLFPGKNVVHQLDLMTDLLGTPSLEAIARVRNEKARRYLSSMRKKNPIPFSQKFPYADPRALRLLQRMLAFEAKDRPTAEEALADSYFKGLAKVEREPSAQPVTKMEFEFERRRITKEDVRELIYREILEYHPQMLKEFLEGAEPTGFMYPSAVDQFKKQFAYLEEHYGKGGTAAPPERQHASSLPRACVLYSDNKIQNTTEVTDDLSKCCIKEVGEPPIDRRTGVQAPHNVQGVAARPGKVVGSVTRYSNCGVAMTAEAEPRRGIRNPSVSAQNAASSYPRRNPSSKNEGGR >OIW13808 pep chromosome:LupAngTanjil_v1.0:LG04:7285137:7285346:-1 gene:TanjilG_31697 transcript:OIW13808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVVVTPVTDRSNRAIGLSSSFYGGHPEVAVGAGAPSSGYKKVTRSWTRFGDSRGAIRGSGGKARRMKP >OIW13614 pep chromosome:LupAngTanjil_v1.0:LG04:9736739:9737063:-1 gene:TanjilG_07956 transcript:OIW13614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQLLLSAYSTISIHDTALFLGMNEDDATNYVLQQGWTVDHASKMLTVKKQPIVTEQKLDPSKLQRLTEYVFHLEH >OIW14006 pep chromosome:LupAngTanjil_v1.0:LG04:6407714:6412990:1 gene:TanjilG_09357 transcript:OIW14006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWVGGCCFNPSEPWLVQESAINEVTVQALGVLYNALNSPTVLTGWKIGDGDPCGESWKGITCDGSSVVSIELSGLGLNGTLGYLLSDLMSLRKLDLSDNKIHDTIPYQLPPNLTSLNFARNNLSGNLPYSISAMTSLNYLNVSNNVLSMTIGDMFQTLSDLSTLDLSFNSFSGDLPPSFASLSNLSSLHLQNNQLTGSLVALVGLPLDTLNVANNNFSGWIPHELSSIPNFIYDGNSFANGPAPPSPPSTLPPPSGSHNTHHHSGSGSHNKTRGSDSHGNSDGHKVLTTGAIVGIVFGSVLAALIVLLAFVFCIQKQKKKEKGASTSSGRLPRGITNVTPQMQEQRVKSAAVVTDLKPPPAENVMIERVPTKSGSVRQMKSPITSTSYTVASLQSATNSFSQEFIVGEGSLGRVYKADFPNGKIDNSALSLQEEDNFLEAVSNMSRLRHPNIVTLAGYCAEHGQRLLVYEYIENGNLHDMLHFAEDSSKDLSWNARVRIALGTARALEYLHEVCLPSVVHRNFKSANILLDEELNPHLSDCGLAALTPNTERQVSTQMVGSFGYSAPEFALSGVYTVKSDVYSFGVVMLELLTGRKPLDSSRARPEQSLVRWATPQLHDIDALAKMVDPALNGMYPAKSLSRFADIIALCVQPEPEFRPPMSEVVQALVRLVQRASVVKRRPSEESGFGHKTPDHEAIDMSF >OIW13897 pep chromosome:LupAngTanjil_v1.0:LG04:8131943:8134951:1 gene:TanjilG_31786 transcript:OIW13897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYAENILGVSSFSSSSSFSSTFLTQISTKSHLGHLPLPNIRSHCFRLHCHSKTLTLPTKSSSYSYSIKGSSSSSSSNRKKKKNYGGLLPSILRSLELTDDVESALDSFDEKLGPKEMTIILKEQRKWERVVRVFEWFKSHEDYVPNVIHYNVVLRALGKAQQWDQLRLCWVEMAKNGVSPSNNTYSMLVDVYGKAGLVKESLLWIKHMRMRGYFPDEVTMSTVVKVLKDVGEFDRADKFFKDWCVGRVELDDLDLDSLTLDKNSRLMPISFKHFLSTELFKTGGRIPASDIMSLSNTENAPRKPRLTSTYNTMIDLYGKAGRLKDAADVFADMLKSGVAADTITFNTMIFVCGSHGNLEEAESLLVKMEEKSISPDTKTYNILLSLYADAGNIDAALSCYRRIREAGLFPDVVTHRALLGALCANNMVQAVENLLNEMEKSHVSVDEHSLPGIVKMYLNEEAFDKANDFLQKFQLNREPSSTICAAIIDVFAEKGLLAQAENIFYRERNTAGKTRDDVVEYNVMIKAYGKAKLYDEAVSLFKVMKNRGIWPDDCTYNSLIQMLSGAELLDQARALMVEMQEMGFKPHCQTFSAVIGCHAHLGQLSDAVSVYQEMLRAGVKPNEIVYGSLINGFAEHGSLDEALEYFHMMEESGLSANLVVLTSLLKSYCKVGNLDGAKATFQQMQNIEGGVDLIACNSMISLFADLGLVSEAKLAFDNLREKGWADGISYATMMFLYRGVGLIDEAMELAEEMKLSGLLRDCVSYNKVLVCYATSGQLYECAELIHQMISQKFLPNDGTFRVLFTVLKKGGFAIEAVEQLESSYKEGKPYARQAAFTALYSLVGMHALALESVRTFLESDVDLDSSAYNVAIYAYAAAGDINKALNIYMKMKDEHVEPDFVTDINLVFCYGKAGMVEGVKRMFSQLQYGEMEPSKSMFKAIIDAFRNCNRRDLCELVTKEMNITFNSEEHSENLSDTNSEAEGETYSEIESETEYDTDEAY >OIW14329 pep chromosome:LupAngTanjil_v1.0:LG04:345135:353252:1 gene:TanjilG_21469 transcript:OIW14329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSFHQPQPPPPPPNYIRPPPPPQPPLPPPPHTAVHQLRHPPFPPQAPWFSTQQFQYHHTPSPPPPPQWPPPHSSSSNPYSYNQNHFHAPNQFPQPYPQEWSNNPTWPTNQPYLAHKNEEDWAAKARAWADAKTAMESQHPNSHFSPAGRLQEQSHYHDQYQQSVDPRYAEIQNQPHPPSSYQQFSYMDASAHRLSGHSQEAAPVSLESSYASDGHSYGARDRASIGDPNVSFKHGNPPSNSSFHQQEVPSSYSSVAGNQIQQSYTMLPFPSSSSHEQQHFQPSMQAPFANGSNSVDPAISFADQPLDFAPRFSRESDLQMQSTYSLHDSGTSMNNWAAPVAPGIGYPPIPPTLASGPQHDPSITSPGHMAPPYGRFPGPGIPPTVPPSGAPFTISSGTTIQHTVTFPADAYGVSGIPERPKKASVPNWLREEIKKTVITAPSVEHRQGETTYMDDDIDKLYVKADEADSKSIDSSRSAEDEEDEEDLVRTAAINQEIKRVLTEVLLKVTDELFDEIATKVLSEDDATSDVGHHVATSNHKSSASPPAGPVYKASAKVLIPVKAKELENDSAGEKSNSSSPGDVLGLGNYGSDADDGDDEIKSSSVPVPSKDVAYHSGISSSLELEEHHKSQTSLVNNVVNTSSLQPNTSNGGAIGQLHADKVTKESDHPDSSKVFSKDNRDTDLNTFERSEDRFNGFSTTDASGIPKPELSGKNGVEKATNDHSVREGKRKSEKNDRHDRNYSDKDLFKEVQSSKTRTDEKGNENHRRKDERHSKKEKSNSTSEAKERVKEHNSRQGEKVKESESRKRSSHVDVKDDKKEAEKPNRGVIEDNSRKKEPTKDKGVHKSRQKDASNSDRHQRRRSSSVSSRGRTSKDRAVNHAGDSSGEGSDGSKRKLHSRKRDLSPSPVRSKRRSLPCDIDVMDSDPRNNLSACWVRLHTSTMTIQKPH >OIW13702 pep chromosome:LupAngTanjil_v1.0:LG04:9242601:9249053:1 gene:TanjilG_08044 transcript:OIW13702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAIDESSLDRLSLVTQMTKHIRVRASGGRSTASELGQFSPIFVWLLRDFYLNLAEDNRRITPRDYLEIALRPVEGSGRDIAAKNEIRDSVRALFPDRECFTLVRPLNNENDLQRLEQISLEKLRPEFRSGLDSLVKFVFERTRPKQVGATMMTGPVLVGITQSYLDALNHGAVPTISSSWQSVEEAECRRAYDSAAEVYMSSFDRSKPPEEVALREAHDEAARLSLGAFNTSAVGVGSTRSKYEGLLQKFLKKAFEDYKRSAFMEADLQCSSAIQSMEKRLRAACNVSDARIDNVSKVLDALLCEYEKTIQGPGKWHKLAVFLQQSFEGPILDLTRRLIDKVVSEKSSLTLKCQLIEDNLALLNKRMEASESEKSEYIKRYEDAMKDKKKLAEEYMNRITDLQANRHSLDERYSSLLKTLDSTKQESTDWKRKYQQVLSRHKTEEDHTSSELAALKSRSSAAEARLAAAREQYESSQQEADEWKRKYDIAVREAKAALEKAAIVQERTSKQTQLREDALREEFSGTLAEREDEIKEKASKFEHAEQCLTTLNLELKAAESKIRNYDAQTSSLVLEIEELSDRLKTENAKAQTFERDVMVFQQEKNHLEQKYRSEFQIFEEVQERCKNAEREAAKATEVADKARAEAGTAQKEKSEMERIAMDRLAHIERAQRKIESLEREKFNVVDELHRVRDSENDALTRVSELEEKVEQREKDIDALLEKDGTNRRNNTQILEQLLETEREAYAQANNRAEALSIQLQSAQAKIDSLHQELTKFRLNERVSDSKLKTASNGKRLRIEDEIGDESMSPGIRGTKRAKSTSHPVRYTPPEDGSSFFECDDNIHSLQTTEDEYKKFTVQKLKQELTKHNYGDQLLALKNPKKDALLALYEKCVLQKS >OIW12600 pep chromosome:LupAngTanjil_v1.0:LG04:26465890:26468092:-1 gene:TanjilG_04764 transcript:OIW12600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGNSNCTVYIGNLDERVTDRVLYDILIQAGQVVDLHIPKDKETDKPKGFAFAEYETEEVADYAVRLFSGLVTLYNRTLKFAISGKDKTTSNGSTAMTPTSNSSQRLRPYSISNSDNFPHSTGLSTPDRFSDYAVNHSKVPPLHVTDQSSGYRSHHNANNYENGRRVFGATLDSITQSRWKMALAECVCFKQSHCFGVPMYYFLIRRSGMHML >OIW12710 pep chromosome:LupAngTanjil_v1.0:LG04:25514063:25517092:-1 gene:TanjilG_24643 transcript:OIW12710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKLFGLAMSTNTTRAMICLHEKEVDFEFVPVNLFSGEHKQSPFLSKNPFGLIPVLEDGDLTLFESRAITTYVAEKFKETGPDLIRHNDTKEAALVKVWTEVESHYYDPTVSPIIYEYFVAPFQGKEPNQSVIDTNIEKLKNVLDVYEAKLSSTKYLAGDFYSLADLSHVSETHYFMQTPCASLINDLPYVKAWWEDISSRPAFKKVVEGMTFESRAITTYVAEKFKETGPDLIRHNDTKEAALVKVWTEVESHYYDPTVSPIIYEYFVAPFQGKEPNQSVIDTNIEKLKNVLDVYEAKLSSTKYLAGDFYSLADLSHVSETHYFMQTPCASLINDLPYVKAWWEDISSRPAFKKVVEGMTFGQK >OIW13503 pep chromosome:LupAngTanjil_v1.0:LG04:10092783:10099987:-1 gene:TanjilG_29244 transcript:OIW13503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQCYGKTNPNRETETATGPSGSRSPFPAASGGNGYQSVRNTPARSSSSQASPWPSPYPHGEGVSPSPARGTTPMRFFRRMFPPPSPAKHIKASLAKRLGRKVEKEGIIPEEGRAASAAAEGEEEVLDKRFGYGKNFGGKYELGKEVGRGHFGHTCYAKGKKGELKDQPVAVKIISKAKMTTAIAIEDVRREVKILKSLSGHTHLVRFHDACEDDNNVYIVMELCDGGELLDRILSRGGRYTEEDAKVIVFQILSVVAFCHLQGVVHRDLKPENFLFTSRSEDADMKLIDFGLSDFIRPDERLNDIVGSAYYVAPEVLHRSYSVEADIWSIGVITYILLCGSRPFWARTESGIFRSVLRADPNFDDLPWPSLSPEAKDFVKRLLNKDYRKRMSAAQALAHPWLRDDNHPIPLDIIIYKLVKSYLHATPFKRAAMKALSKALTENELVYLEAQFRLLEPSRDGYVSLENFKMVICCFPFSPTSLVMALAQNATDAMRESRVLDIVHMMEPLAYRKMDFEEFCAAATSTYQLEALDRWEDIANTAFEHFEREGNRVISIEELARELNLGPSAYSILKDWIRNDGKLNLLGYTKFLHGVTLRSSNSRHR >OIW12530 pep chromosome:LupAngTanjil_v1.0:LG04:26975261:26977315:1 gene:TanjilG_04694 transcript:OIW12530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAITLKPYSLLRASNPLLPKPSSSPTFLRTCFSYSTIMLKSPTRKINTLTVCVLMDDPKQDEQEEQEQESQVFVVSERVAEKLARKKSERFTYLVAAVMSSFGITSLAILAVYYRFSWQLEGGEIPWPEMFGTFAFSMGAAVGMEFWARWAHKALWHASLWHMHESHHRPREGAFELNDVFAIINAVPAIALLSYGFFHKGLVPGLCFGTGLGITVFGMAYMFVHDGLVHRRFPVGPIANVPYFRRVAAAHQLHHSEKFDGVPYGLFLGPKELEEVEGLEELEKEISRRIKSYNAS >OIW14075 pep chromosome:LupAngTanjil_v1.0:LG04:5004734:5007205:1 gene:TanjilG_11420 transcript:OIW14075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVMNHPNVVSLKHCFFSTTSTDELFLNLVMEYVPESMYRVIKHYSNANQRMPIIYVKLYMYQIFRGLAYIHTVPGVCHRDLKPQNILVDPLTHQVKLCDFGSAKMLVKGEANISYICSRFYRAPELIFGATEYTTSIDIWSAGCVLAELLLGQPLFPGESAVDQLVHIIKVLGTPTREEVRCMNPNYNDFRFPQIKAHPWHKIFHKKMPPEAIDLASRLLQYSPSLRCSALEACAHPFFDELREPNARLPNGRPLPPLFNFKQELSGASPELVNKLIPDHVKRQIGLQFPHQAAS >OIW13231 pep chromosome:LupAngTanjil_v1.0:LG04:17341121:17341363:-1 gene:TanjilG_02365 transcript:OIW13231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKISNLHLPFSSRGGVSGQGYRLVTVSDHAQETRSIALSGRRVQSLSPLTTGLIIVNIMVNGVVHKGMPHKFYHGRTRCQ >OIW12659 pep chromosome:LupAngTanjil_v1.0:LG04:25867769:25868952:-1 gene:TanjilG_24592 transcript:OIW12659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLRNRSRAVTKPALMADHSSHSSPKSNHIYSATMPFSSLFASPKFRDFTLKCFYGAEALRSPASILDATIALCPSGNPFSYENTIVSQTQKRSLWNNIDSKGIGLALVKDDEVVDVNSANKTNGKVLFGKQLRVKIPPLPPPSNFSPFQTCDSDFGTRSKDPQNSGICRNDSLHADAVIVSLSEMELSEEYTCIISHGPNPKTTHIFDNCILESYCSIPNKPHSTLVNFLSFCYTCKKHLEQTKDIFIYRGEKAFCSRECRYQEIALDDASQN >OIW12573 pep chromosome:LupAngTanjil_v1.0:LG04:26658164:26661368:1 gene:TanjilG_04737 transcript:OIW12573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAMGLMRRIPAKHTDTALSALLSLMPNHSSDLLSQIDQPLQVLCDVDCGKEFILCEYNRDADSYRSPWSNKYHPSLEDGSLPSLELRKLEVEANDIFAIYRDQYYEGGISSVYMWEDGNEGFVACFLIKKDGSKTGQGRRGYLEEGAWDAIHVIEVGPEEEENTNYRLTSTVMLTLTTNNESSGTFSLSGSIRRQMTMKLSVADGHLCNMGRMIEEMESKLRYSLDQVYFGKTREMVCTLRPPSEVLQIRIPES >OIW12747 pep chromosome:LupAngTanjil_v1.0:LG04:25202063:25208613:1 gene:TanjilG_24680 transcript:OIW12747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIQVNNHSIGTVMHHHATAAQSRRQRQKLEVYNEVLQRLKDSGNEEALQTGFDDLLWAHFNRLPTRYALDVNVERAEDVLMHKRLLHLAHDPANRPAIEVRLVQVHPISSGNSADSVLSDAPGNASGHSSSQFSNRQGLHPPPAFGSSHNLEALALEANKSEDMEEEQSVHDSANYSRPMHEITFSTDDKPKLLSQLTALLAEIELDIQEAHVFSTTDGYSLDVFVVEGWPYEETEKLRETLAKQVVMIERQARSSQQSESSAEKPDQAEVKSEPDQLTIPNDGMDVWEIDPKHLNYGTQVTSGSYSELQEVAIKVLKPEHVSSDLQREFAQEVYIMRKVRHKNVVQFIGACTKPPRLCIVTEFMSGGSVYDYLHKQKGCFKFPTLLRVANDVSKGMNYLHQHNIIHRDLKGANLLMDENSVIEHKPYDHKADVFSFGVVLWELLTGKLPYEYLTPLQAAIGVVQKGLRPTIPKNTHPKFVELLQRSWQQDPALRPDFSEIIDILQQLAKEVGDEGEERHRDKSGGFLSVLRRGHH >OIW13222 pep chromosome:LupAngTanjil_v1.0:LG04:17804635:17811688:1 gene:TanjilG_03551 transcript:OIW13222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSISKRLGHQSSSISSLYSISPISHHYYGPNHQQRHVSTIELKGAGNLVRKGTGGRSSVSGIVAAVFGATGFLGRYAVQQLAKMGSQVLVPFRGSEDCHRHLKLMGDLGQIVPMKYNPRDENSIKAVLAKANVVINLIGRDHETRNFSFEEVHHHMAEQLAVISKEHGGITRFIQVSSLGASPSSPSRLLRAKAAAEEAILRELPEATILRPAVVVGTEDRILNRWAHFAKKYNFLPLIGGGRTKIQPVYVVDVAAALTTVLKDNGTSLGKIYELGGPEVFTLHELADLMYDTIREWPRYVNVPFPIAKAIAAPREILLNKVPFPLPDPNIFNLDEIHSLTTDTVVSKDALTFDDLGIVPHKVAGYPIEFLISYRKGGPQFGSTVSEKVSPDDWP >OIW13421 pep chromosome:LupAngTanjil_v1.0:LG04:12052526:12052960:-1 gene:TanjilG_33070 transcript:OIW13421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNKEVVHEAWTHLRIESQWVRKVGVLKHHVVQKLKYLGHPTTPSMIHNYEHELSFDKTPRYCSSYFCFNISSINPKANFDQDFEYDNGRKSSLFGIVHEDEDYCYGYEEEEIDKRAEEFIAKFYQQMKFQRENLQCNEIHNRD >OIW14204 pep chromosome:LupAngTanjil_v1.0:LG04:2551288:2553260:-1 gene:TanjilG_21344 transcript:OIW14204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCHMTACSLFSYVAIAWLKVVPLQSIRSRVQFFKISALSLIFCVSVVFGNVSLRYLPVSFNQAIGATTPFYTAVFAYLMTFKREAWLTYLTLVPVVTGVVIASGGEPSFHLFGFMICVAATGARALKSVLQGILLSSEGEKLNSMNLLLYMAPMAVVFLLPASLIMEENVIGTTMALARDDVKIIWYLLFNSSLAYFVNIANFLVTKHTSALTLQVLGNAKGAVAVVVSILIFRNPVSVTGMMGYALTVCGVVLYSEAKKQSK >OIW12560 pep chromosome:LupAngTanjil_v1.0:LG04:26758530:26764082:-1 gene:TanjilG_04724 transcript:OIW12560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLFSFFFFFLPLLLFKPSHSTTLIVDGSSEWKNPTVSIGDSIIFKHKQHYNLYIFKNQKAFNLCNFNQATILTNPITTSYTWHPSRLGYFFFTFNNGSFKACQGSQKLAIKVRTSSSSSSSEEASPPAPQPQGSANAPMESALGPSSGGEVSSSPTFPWPFRPGQAPAQSPSGTVPLVPEKSGGSNGMPFINSNPAVPLPTGEVDSATIRPLPTSSALQGQIVMNGPFGFHIVVHSIVTLLLLLLLKFEHPRHGSLGFLPRKRAARHRGKVKAFPKDDPTQSPKLTAFLGYKAGMTHIVREVDKPGSKLHKKETCEPVTIIETPPMVVVGVVGYVKTPRGLRTLNTVWAQHLSEEIKRRFYKNWSKSKKKAFTKYSKQYESEDGKKNIESQLQKIKKYATVVRVLAHTQIRKLKGLKQKKAHIMEIQVNGGTIPQKVDFAYSFFEKQVPIDAVFQKDEMIDLIGVTKGKGYEGVVTRWGVTRLPRKTHRGLRKVACIGAWHPARVSFTVARAGQNGYHHRTELNKKIYRLGKAGQESHEAVTDFDRTEKDITPMGGFPHYGIVKDDYLMIKGGCVGPKKRVITLRQSLLKQTSRLALEDIKLKFIDTSSKFGHGRFQTTEEKQKFFGRLKA >OIW14049 pep chromosome:LupAngTanjil_v1.0:LG04:5308014:5318549:1 gene:TanjilG_11394 transcript:OIW14049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMDMENINKYLFRICMEGEWKKVIEMYKNDKTKRTHKARITRSGDTCIHVAVSNEKEDVVEQLVNLICLVGEQESLKIQNERGNTALHFAASMGSLKMCNHIARVDPSSLVSIRNVDGETPLFLAALHGRKQVFMSLHHLYHRDLPNIPVNYSDCTKIVTRNDGDTILHCAVAGNYFDLAFQIIHIYDEHLAKWKKKGGYDEHLANSKNKEGFSPLHLLASKPSAFRSSSRFGRYEKIVYEVAYTLPLRRFLSKKFARKDQSRTEILDLEAPEKNAKPNREETQSSGSTRLNQIRRKKEKHVWSIQIMNELVKRACMYEFDDYGSKLHPELWDKVDETASYSFEIFDEGEDITSPVASAIQEQQPSTTKGETQQQKKGDEKDKVETPTLIAAKNGVTEMVEKILELFPVAVHDIDSNKKNIVLLAVENRKSHLYEFLLKRKNLHMFNKVDNEGNSALHLAAKLGDYKPWLIPGAALQMHWEIKWYLFVKESMPPHFFRRNNKKKKTPRDIFCETHKDLIKDGGEWLNKISESCSMVSALIATVAFATSSTLPGGVQEGTGHPTLEKKPAFTVFAMTSLFALCCSVTSVVVFLSVLTSRYEARDFGKNLPRKLILGLTSLFMSITCMLFSFCAGHLFVLKDELVYAAFPVYGVTFVPMTLFALAQFPLYFDLIWATFKKVPTSSYKTTPF >OIW13211 pep chromosome:LupAngTanjil_v1.0:LG04:18550003:18566281:-1 gene:TanjilG_17654 transcript:OIW13211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSDEEDEAQPLSVLNYYFEDDKDAPVSFSVLPIQWNESVISVGKKKQLFLRGVADDGLRKIFLEVVAWRFDLSYVKPEISVLPKNKKWIKLEKPRKSYEDTIRTILITIHFLGHLKRNPDTSAKSLWDNLSRNKEFSSYEVMPSHNDLLNHKALMGEAAKRDAVLAKSKLLHMVLEDKFGSKKLSDEEAKDLARPGFIIDDIENDMTDEIAEESDEEDELFDSVCAICDNGGNLLCCDGKCMRSFHAVKEDGEESSCESLGFSKKKVDEIQNFYCENCKYNQHQCFACGKLGCSDKFSGAEVFKCASATCGLFYHPHCVAKLIQDVVEDAPKELQRNIAQGVPFTCPTHYCHVCKEMEDKKKRELQFAVCRRCPMSYHRKCLPRDITLDGIDDEDIITRAWEGLLPNNRILIYCLEHEIDDDLETPLRDHIKFPVFKATVGEINNEKTKPATKQRVMLKKNNVDLDNSFGRRTADKVSKLPRKMSSEKEGIMKYEKISASNIPRKPKINEASKRWSNESKRSISKGTERPVCDEKMPSLGEKLYGAFFQKDSEQINSGNVKIANAAKKKTCAAPALDADSERRLMALFKDATSTITLENVVKDHKFSSTHTSSLRSVVEKTITVGRLEGSVEAVRTALKMLENGRSIRDAEAVCGPDVLNQIFKWKDKLKVYLAPVLYGNRYTSYGRHFTQVEKLEGIVDKLHWYVQNGDTIVDFCCGANDFSILMKKRLEETGKSCLYRNYDLLPTKNDFNFEMRDWTTVQPKELPKGSQLIMGLNPPFGLKAALANKFIDKALEFKPKLLILIVPAETERLDKKRSPYNLVWEDNRFLSGKSFYLPGSVDTNDKQMEQWNVRPPPLSLWSRPDWTDAHKAIAQKHGHLLSQREALKMESLSNERLPASRAMDDVDNLSLGNDLKSKKDQASMNEGQKGSLSLGNVGRQSQERQECRMSKAESTSRKRKQTEERDGRGPGVTLPARRQVVNQMPEEVPNSPSNPINGRSSAEGFQPKSVMSLSYFEVCDHGHGHLGPISSSDMEFGAAYGETHNWPSVANPLSGIEEHHGSLRGDSTDSRGYRDGGGQYLSELETRQWTPHGGHEDHPHSMSAMRNNYLSGHGAAYGHMRPIYGGFDSVSDSYMMNAPAMQRYAPRLDELNHARMDSLGSGPPIVGRNGTFAGSVPQPGFRSGTFAPGPNHAYSRQNSAGWFNE >OIW13034 pep chromosome:LupAngTanjil_v1.0:LG04:21567017:21568773:-1 gene:TanjilG_17594 transcript:OIW13034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENRSQPYQSPTVLGLESTDPKTGMLNDDQCFLLHFIMGTYFGPDIKGETPKKSVLQRVAEGLPPYTSDQLTSSFMKVVELERTYYYILRKADKSLSIKLPLLRQFFQAQGEYGDGNYPKFSDLFPPELHPQSRFRYKVVENIVFINNPETFYIKQEDVERFKKLSGLEDFQVDKDAARLHNVVDGNVLFNMSVKQAEPNGNMMHSYMSARSGDDFSEPQDPLHHVHDVAPTSSVPYSGTNMMYSYMSPLPPEDETDSEKVCPAMLFLPSRPTKKEWSDIVAATKNGFGLTGSAATGQVGPNIGLMDIGECEDSYLFRVSLPGVKRDEKYFSCEVDTEGKVLIHGVTTTGEKTISRFSQVFEMQTHNLCPPGDFSITFQLPGPVDPHQFSGNFGTDGILEGMIMKRKRT >OIW14009 pep chromosome:LupAngTanjil_v1.0:LG04:6454580:6455269:1 gene:TanjilG_09360 transcript:OIW14009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRNKVKLTYIADDSKRKATYKKRKNGLVKKTNEISILCGIEACAIIYGPNETQPEVWPSHPEVQRVINKFKTMPEHEQNKYMLNQESFLTKSIMKTRDQLKKLRNENKKIEMELFMFQCLSTGSTNNMVDSNDLLCVINQTLKEIEWKKSRVQPQQGTVEASNGAKPLNEENTFVDAHNHIQGMMQTNMGPMQMQDWSFDSANGGGNVMLPFRDYNISNGFWHGPSFH >OIW13124 pep chromosome:LupAngTanjil_v1.0:LG04:19967683:19973282:-1 gene:TanjilG_32105 transcript:OIW13124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDVLAILPDTAIRKMLGTLGDPFTRFLEPEKLRSLRSGTQGALTGVGLSIGYPTKSDMPRGGLVVISASPGGPAYRAGVLSGDVILGIDDTTTENMGLYDAAERLQGPEGSSVSLTIRSGSDVKHLALTREKVSLNPVKSRLCKMPGSGNESPTIGYIKLTTFNKKASSAIKEAINTLRSNNVNAFVLDLRDNSGGLFPEGIEIAKFWLDKGVIVYICDSRGVRDILDTDGSSALATSEPLAVLVNKGTASASEILAGALKDNKRAIVFGEPTFGKGKIQSVFELSDGSGLVVTVARYETPAHTDIDKVGVIPDHPLPTSFPEDEDAFCKCLQDPASSCNVNRVQLFSKI >OIW12792 pep chromosome:LupAngTanjil_v1.0:LG04:24902105:24911635:-1 gene:TanjilG_24725 transcript:OIW12792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRINCSIQNTLSLLNPSLFSLHSRISHLKLKPQLRFSQNPSRGVAFFCTCATNNNNDQPFVLTTPLYYVNAPPHMGSAYTTIAADAIARFQRLLGKKVIFITGTDEHGEKIATAAMAQGSNPHDHCNLISQAYRTLWKDLDISYDKFIRTTDSKHEAIVKEFYLRVLANGDIYRADYEGLYCVNCEEYKDEKELLDNNKCPVHLKPCVSRKEDNYFFALSKYQKSLEETLNKNPNFVQPSYRLNEVQSWIKSGLRDFSISRASVDWGIPIPNDKTQTIYVWFDALLGYISALSDDQEQPGLQGAVSSGWPAALHLIGKDILRFHAVYWPAMLMSAGLSLPNMVFGHGFLTKDGMKMGKSLGNTLEPNDLVQKFGTDAVRYFFLREVEFGNDGDYSEERFINIVNAHLANTIGNLLNRTLGLLKKNCQSTLVVDSTTAAEGNELKDNVEKLVDKARIHYENLSLSSACETVMEIGNAGNLYMDEHAPWSLFKQGGTASEAAAKDLVIILETVRIIAIALFPVTPSLSWRMYEQLGYSRDQFDATTWRDTEWGGLKGGQVMAQPKPIFAKIENQTEVEDKVSAVGRNVKGEGILRRAEASFDRKSILIPFSVNKEEVEESSKAEEHRDGVAIQQPWAFPPSAIFQPSAGFSAIWAKENLCIYVGDDKVIHFTRRGQEVGTGTALDLLLISSGPARSREICPTCTTTQDENGVFSSCLNCFLAGGVLYRFEYAVSPALFLAKARGGTCTLAIADHDDIVVHRANYLLENGFGCYNIFKNNCEDFAIYCKTGLLVLDQGTIGQSGQAVSIIGGPLAAVLSTPLRFVTTNIYGMAATAVGVYCASRYAADIGMRRDVVTVQVEDLTRRLATGLLQVVEPQISVDLPHQSSQILAR >OIW13491 pep chromosome:LupAngTanjil_v1.0:LG04:10739739:10772586:-1 gene:TanjilG_01059 transcript:OIW13491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVPRSDKTLCFYADRGNWLAEYVFDDRMNEKKSNAEGHPKTEATSGAVKLAPCLLECFIERSHEKTYVSRWNWPITKGDVRSSLLYVDVIEATTIKAGPFIALLLSPRVGQAVELENYQCFSPSIPSGGILESIGLPKGCSSALPLSKGGGTLILPLSAIAMSQSWHLTYADFGGLCSTLVNSCGSDMPRGQRRIRSRSVGPTPFEAHPSPEPFALITLAQRLHTKSSLTEYSCLMTVPISTNRCFQIQFPVDISSNSSIREANCCVNESISRHKPRGRSCATPPGRYAIDGRLSSGSPLLAEINGSEGGAGFYFRAGGEPLTGTPGPANAHENPGRSSIHLTGGVDNEATFTTFSLLSLCCSYHEGLPMALYLPLSAGASFEKLQDQWLKLLLLQRFSCDLFSFRPCSCTHLKVQYLNAPSALGKGGPRLILMLSVFELKTELGRPVKL >OIW13769 pep chromosome:LupAngTanjil_v1.0:LG04:6669316:6673847:-1 gene:TanjilG_31658 transcript:OIW13769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSCGDSFPHVEKDGTKSVVTEQDLRHLCKLIVEKDGGPSWFQMMDRSTPTMSYQAWRRDPESGPPQYRSRTVFEDASPELVRDFFWDDEFRLRWDDMLIYATTVQECALTGAMLVHWVRKFPFFCSDREYVIGRRIWNSGQTYYCVTKGVPCSSLPRHRKPKRVDLYYSSWCIRAVKSRKDDYMTACEVLLFHHEDMGIPWEIAKHGVRQGMWGAVKKFDPGLRTYEKERTSGVPFSRCALAANINTKVTEDYIKSLENTTSNMLETENLGDSSVKPIGKNIPKLLVVGGAIVLACTLDRGLLTKTFLFGVARKFAKSGRSL >OIW13217 pep chromosome:LupAngTanjil_v1.0:LG04:17933813:17936065:-1 gene:TanjilG_03546 transcript:OIW13217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFSASPLSQHLLLSPATTLHRDFTFNHRRTLTPRPKMSLNNNNNNPNSTLLNSLTNLLWGKSLPPGLLITTVRTAWNSIWHLMMSQLAPSDPSGSYSRPASKFRLSGPSTTTATNLHLYVGLPCPWAHRTLIVRALKGLDDAVPVSISSPGPDGSWEFKRANGSGSGIVSPGLDKANECKTLKEVYRVRKNGYDGRSTVPMLWDNDSKDVVCNESYDIIEFFNSGLNALASNPDLDLSPPHLKEKIQQWYQLIYPNVNNGVYRCGFAQSQEAYDRAVNGLFGTLDKLEDHLANSRYLCGDTLTLVDVCLFTTLIRFDLVYNVLFKCTKKKLYEYPNLHAYTRDIYQIPKVAATCNFTEIMDGYYKILFPLNPGSIRPIMPSSSEHETLLRPHGRESISSAIPLLVK >OIW12749 pep chromosome:LupAngTanjil_v1.0:LG04:25160853:25172280:-1 gene:TanjilG_24682 transcript:OIW12749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSPFGFLQLQLLVSGVSRKLFSCFTDTYPEWKHADVAEACPVCRGNCNCKACMRSDAHIKKLKKDVADKRYEGNEANLSKYVLIRLIPYVRRLNEEQMIELGIEAKRQGLSLSELNIQKAEYSRRKHVSCDNCKTSIFDYHRSCRKCSFHLCLTCCHELRDGQLLGGADPVELGFIKCSLEYLHGGKEKEPEIHESPADAEPDIRGWSRSGWHAESDGSIPCPKINDECCHGFLELKSIFGQAFISELVYKAEELAKAYTLQNAIETPDNLCSCLKISRNTDVRNNIRKAASREVSSDNWLYCPRAVDLRPVDLKHFQCHWNKGEPVIVSNVLECTSGLSWEPLVMWRAFRQITNTKHGQHLDVKVLDCLDWCEKEINIHQFFVGYTNGRYDWLNWPQILKLKDWPPSNFIEERLPRHCAEFISSLPFKGYTDPLRGALNLAAINPTALYPKADMGPKTCIAYGVSQELGRGDSVTKLHCGMSDAVNVLTHIANVELCHKNLTAIDKLKLKHLEQDKRELLRDDQDGESNVPSSSSSTVDGLSYGSELKDDEKVKMKQENSMSVGEDASDGALWDIFRRQDVPKLQEYLKKHFREFRHVHCRPVKQVIHPIHDQTMYLTLEHKRKLKEEYGIEPWTFIQKLGDAVLIPAGCPYQVRNLKSCIMVALDFVSPENVGECFCLTEEFRTLPINHTSSKDKLEQGKKVQTPAAVVETFEQPVLSSKESKSFVTPSAFNHSSLNIEVAEAEAIQSIHAPEAELSRRDLINPVVEKSSASLVQTAIKKGRMVPPAPLLDMVYAAMDESLQLEPTIKALSDDAAGLAPHSPSERTENPIVPGYDPLAELDTILSGSQKHSPKSAKSSTCTGEDSRECKVIKLLEYLESTISCPLNQLATDKSLQERLYSLIGQLSKHSASLPSSLRQLPILMKTSLGDLAVQYPALRCTIESQEKRLKDKEEYSKLLVKSKTRRIDLDTNINKGSVEVSSLDKQIANYEQKLIEMKTQREKIHACLVKHEGEKKKLLETAGVWVEEARRVMLTINEATKDYDEALSTEAIYALMWEGFKKTLADVNSA >OIW13686 pep chromosome:LupAngTanjil_v1.0:LG04:9340358:9342125:-1 gene:TanjilG_08028 transcript:OIW13686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAVFAKAIGKPPEELSLPTIGSNSSKNPVEILDKFQSLWPHDSAVYNLPHGNFMALSHQDQSPIHPRSIVVLDDIFCIFVGTLENIADLRHYYGLQRQATEAMIVVEAYKALRDRAPYPPDQVVKELDGKFAFIIFDVKTNTLFLARDREGSVNFQWGVAKDGSLVCSDDPKIIRDGCGKSCAPFPPGCIFINGSGLTSFDHPLNKVKGIAEEDEGGNIMSVYFKVDLFTRIPSIPRTGSAANWADPTEVKGE >OIW13999 pep chromosome:LupAngTanjil_v1.0:LG04:6374836:6379145:1 gene:TanjilG_09350 transcript:OIW13999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMGDTLPLIHKVGLPPKQTLFEELKHNMKEIFFSDNPLSKFKNQSGSRKFVLGLQAVFPIFDWGRSYNLKTFRDDFIAGLTIASLCIPQDIAYASLANLDPQYGLYTSFVTPLVYAFMGSSRDIAVGPVSVVSLLLGTMLSEEISDYKSHEYLRLAFTATFFAGITQLTLGVLRLGFLIDFISHAAIMGFMSGAAITIALQQLKGLLGIQKFTKKTDIVSVMRSVWSAVHHGWNWQTMVIGLAFLVFLLITKYISKKNKKLFWVAAISPMISVILSTLFVYITRADKKGVAIVRHIKKGVNPSSVSEIFFSGKYLGPGIRIGVVAGMVALTEAAAIGRTFAVIKDYSLDGNKEMLAMGTMNVIGSFTSCYLATGSFSRTAVNFMAGCKTAVSNIVMSSVVLLTLILITPLFKYTPNAVLSSIIIAVVLGFVNIEVVLLLWKIDKFDFLACMGAFFGVIFKSVEIGLLIAVVISFAKILLQVTRPRTVVLGKVPGTNVYRNIQQYPKATQISGMLIIRVDSAIYFSNSNYIKERILRCLANEDAQRKGSALTRILYLIVEMSPVTDIDTSGIHAFQELYKSLQKREVQLVLANPGPTVMEKLHASNLTELIGEHKIFLSVTDAVATFGTKDEQL >OIW12536 pep chromosome:LupAngTanjil_v1.0:LG04:26947407:26947899:-1 gene:TanjilG_04700 transcript:OIW12536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHDDRMKQKAMKAVSGLSGVDSVTVDMKGKKLTLIGDIDPINMVAKLRKLCHTEIVSVGPAKEDKKEDPKKKDPTKDIVIDPLKFYPTYANYYQMKPPQYNDYYYATTSVEEDPNACVII >OIW13402 pep chromosome:LupAngTanjil_v1.0:LG04:12318883:12322576:1 gene:TanjilG_19754 transcript:OIW13402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPVAAEGAVVSAFRSVMMRVQHAAERSNSQLDRIRVVAVSKTKPVSMIRQLYDAGHRCFGENYVQEIVEKAPQLPQDIEWHFIGHLQSNKAKTLLGGVPHLAMVEGVDNQKVANHLDRVVSTLRRNPLKILVQVNTSGEASKSGIDPSNCVELAKHVKSCCPNLVFSGLMTIGRPDYTSTPENFQCLSKCRTDVCEALEMDEEQCELSMGMSRDFELALIKEELTTTIEMAMGFFDINGL >OIW13204 pep chromosome:LupAngTanjil_v1.0:LG04:18402269:18409047:1 gene:TanjilG_17647 transcript:OIW13204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKIVGTLGPKSRSVDTISRCLNAGMSVARFDFTWGDPEYHQETLENLRASVKSTKKLCAVMLDTAGPEVQVVNRTDRPISLQEDTLLVLTPDQNKEATSNLLPVNFTGLSKTVKKGDTIFIGKYLFTGSETTSVWLEVSEVNGDDVICLIKNSATLSGSLYTLHVSQIHIDLPSLTDEDKEVIRTWGVRNNIDFLALYTRHAEDVRHAREFISKLGGLKQTQIFAKIENFEGLEHFDEILREADGVIISRGNLGIDLPAEKVFLFQKAAIYKCNMAGKPVVVTRVVDTMTDNLRPTRAEATDVANAVLDGSDAILLGAETLRGLYPVETISTVGKICAEAEKVYNQDLYFKKAVKFVGEPMSHLESIASSAVRAAIKVKASVIICFTSSGRASRLLAKYRPTMPVISVVVPQLKTNQLRWTFTGAFEAKQSLIVRGLFPMLADPRHPAEFTGGTNESILKVALDHGKAFGIIKPHDRVVVFQKVGDSSVVKIIELED >OIW14271 pep chromosome:LupAngTanjil_v1.0:LG04:1271936:1274322:-1 gene:TanjilG_21411 transcript:OIW14271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKEREQKKFFVGLLGNYIAELKLLLTTLLLLCFVATFLQFINSPFTISTSDLRVCISRISQVVTTTLPPSPPPSRPLPPPQEQLLQNGIIRRVFNPYGTAAYNFITMGAYRGGLNTFAIVGISSKPLHLYSNPTYECHWEPNERTNLSTKPISTVGYKILPDWGYGRVYTVVVVNCTFSESVNTDNNGGKLILYASTSGGGDTNLNITDKFEVLTEKPSTLDVSIFTSKPKYDYFYCGSSLYGDLNPDRVREWIAYHVNFFGPRSHFVIHDAGGVHEKVLKVLKPWMDLGYVTLQDIRDQERFDGYYHNQFMVVNDCLHRYKFMAKWMFFFDVDEYIYVPPKSNIKTVMDSLEEYNQFTISQMTMNSKVCHSDDYGKTHRKWGFEKLIYKDVKKGIRRDRKYAVQPRSLFATGVHISQNLQGKTTHKTEAMIKYFHYHGTIAERKESCKMFVNSTQVTYEKTPYELDTTMRDIAGVIKKFEIKMIRSSVHKSQ >OIW12485 pep chromosome:LupAngTanjil_v1.0:LG04:27236032:27243437:-1 gene:TanjilG_04649 transcript:OIW12485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSAPSTPRKGTAFSPEYLIGSFVGDKSFSVDSDLWQQLLQIPINLHWPTHHVQQACLLLAKNNCHTRHLAKILFHLACCLQESMSTSGVSPLVYEKAISAVYICSVFLKHLIESVQGDNLDLYLSLNDCEDAPKDILGDQSVENLVMRNVLNFIVSVDVSPDTYLLHLELLNFMIIAMSTQLLCGPSPGPDDVNPFLDAAMAQDSSLVSSVVCRMLLNFITRPSIPFTRASYSIFYDGSQSSVLQRVGSAAANIVLSPFSYLVTSSGEGGPISPIADSSLHVLLVLIHYHKCVANEDHSAIQNNKSSASDSLLKEIPHFSDNPYCKALEHTIDCELDRVDVEGSAHSGRHVKLPFATLFDTLGICLADETAVLLLYSLLQGNSAFMEYVLVRTDLDTLLMPILEALYNAPSRTANQIYMLLIILLILSQDSSFNASIHKLILPGVPWYKERLLHQTSLGSLMVIILIRTVQYNLSKLRDVYLHTTCLATLANMAPHVHRLSAYASQRLVSLFDMLSRKYNKLADSRDDKLHIAKGSSFDENSLAEDMSTELHIYTDFLRLVLEIINAILTYALPRNPEVVYAVMHRQEVFHPFKNHPRFSELLENIYTVLDFFNSRMDAQRVDGDWSVNEVLQVIITNCRSWRGDGLKMFTQLRFTYEQESHPEEFFIPYVWQLVLSCCGITFNTGAINLFPVDLPTESIEDGVVESTLQNGDFDKPDYQLDP >OIW13843 pep chromosome:LupAngTanjil_v1.0:LG04:7767975:7773093:1 gene:TanjilG_31732 transcript:OIW13843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKSFIEVDDESHFPIQNLPYGVFKPQPSSSPRPGVAIGDFVLDLSQISSAGLFDGPLLKNSNVFNQPNLNKFISLGRPVWKEARATIQKLLSATEPTLRDNAALRQKSLLPVSSVELLLPVVVGDYTDFFSSLHHTKNCGLIFRGPQNPVLENWYHLPIAYHGRASSVVISGTDIIRPRGQAHPSGGSPPYFGPSLKLDFELEMATVVGPGNELGKPVDINNAEDHIFGLVLLNDWSARDIQAWEYIPLGPFLGKNFGTTISPWIVTLEALEPFACEAPKQDPPPLPYLTEKESKNYDISLEVHIKPAEHENSSVVTRSSLKHLYWTLTQQLAHHTINGCNLRPGDLLGTGTISGPEPEARGCLLELTWNGQNSLSLNGLDRKFLEDGDEVTLTGYCKGNGYTIGFGNCSGKIVPPAP >OIW13016 pep chromosome:LupAngTanjil_v1.0:LG04:22381793:22383830:-1 gene:TanjilG_15465 transcript:OIW13016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKGKVLISGVSIILVVGVVIGVVVVVKNNNGPTENPEVQAHQKQVKAICQDTEDPKLCFDTLNKVKPANGTDPKAYIAASVQSIAESVIKSFNMSDRLFVEHGKDDKKGLKMALEDCKDLMEFAMDSIEASINMVQNNNIQAVHDQTPDFRNWLSAVISYQESCMDGFDTGKDGEEKVKEQMHSESLDIMGRLTGIALDIVSDMAKILQEFDLKLDLKPASRRLLAEDIDDEGFPTWFSATDRHLLAKGKKGQGPKPNVVVAQDGSGQFKTIKEAIESYPKDLKGRYIIYVKAGVYDEYILIPKKSANILMYGDGPAKTIVTGRKCFRDGIKTMNTATFANTAPGFIAKSMAFENTAGADGHQAVALRNQGDMSAFFDCAMHGYQDTLYVQTNRQFYRNCEISGTIDFIFGSSATLIQSSRIIVRKPGPNQFNTVTADGTKQRNMATGIVIQNCEIVPEQELFPVRFDFKSYLGRPWKDYARTVIMESNIGDFLNPEGWTPWSGTIFLDTLYYAEYANTGPGSNLSGRVKWKGYHPNIPKDEAARFTAGQFLRAGESGRAEDWLDATGIPYAVGFTK >OIW12777 pep chromosome:LupAngTanjil_v1.0:LG04:25003478:25004038:1 gene:TanjilG_24710 transcript:OIW12777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKIASSSSSSPYLQLSIPFPLFSSHHTTHKPFFLFTTRATEPETPPSDSDPTRPETDDFDNRINQLRIRNRSGIGKKAEIRKGRKSKKTSSSSSGGVFLPSVPLKEPVSDGLKVELGFTSYSERLNGRIAILGLAALLLVELATGKGVIKYHTPSIILIQIYFVAAVSALYVKYEKEKNSVWPTS >OIW13136 pep chromosome:LupAngTanjil_v1.0:LG04:20160980:20167575:-1 gene:TanjilG_32117 transcript:OIW13136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLRGCLQCNMVIEQVTYPNMMEFFESLGVDMELSNMSFSVSLDKGQGVEWGSPNGLSSLFAQKSNALNPYFWQMIREIINYLDVLENNPDIDGKESLEQFIKTRGYSELFQKAYLIPICGSIWPCPSEGVMSFSAYSVLLFCRNHHLLQLFGRPQWLTVKWRSQNYVKKVKEELVSNGCQIIVNCEVNLVSTAENGCVVNCKDGSQEIYDGCIMAVHAPNALRILGDEATQDERRILGAFQYVYSNVFLHRDKNFMPKNPAAWSAWNFLGSNNKKVCVTYWLNVLQNIEETSLPFLVTLNPDRIPENTSLKWSTGHPFPSVAAMKASYELDHIQGKRKIWFSGAYQGWHDYSTWHSWKMLFPSDQPKTYGTFLEGTRSTPFCDSIPEFLYLYWLLEEGGTMFTFEGTEKKSILKSVLRVHNPQFYWKVMTQADLGLADAYINGDFTFVDKDAGLLNFFLILIANRDSNASNSKSKNRGWWTPVFFTAGLASAKFFIEHISRKNTLTQTRRNISRHYDLNKDEDLKESQMRKISLLIEKARIDKKHEILEIGCGWGSLAIEVVKRTGCKYTGITLSKEQLKLVERRVKDAGLQDHIKFLLCDYRQLPKTYKFDRIISCEMIEAVGHEYMDEFFGCCESLLANDGLLVLQFTSIPDERYDEYRRSSDFIKEYIFPGGCLPCLSRITSAMVATSRLCIEHIENIGIHYYQTLRCWRKNFLERQNEIMALGFNEKFIRTWEYYFDYCVAGFKSRTLGTYQVVFSRPGNVTAFIDPYQSWPSAC >OIW13066 pep chromosome:LupAngTanjil_v1.0:LG04:22033423:22036665:1 gene:TanjilG_17626 transcript:OIW13066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGINGKNSDVTVEIKDLKFTYPGIDGHPPPGSTPLIQDFSLTLHSSDRCLLVGSNGAGKTTILKILGGKHMVDPDMVRVLGRSAFHDTNLISSGDLCYLGGEWRRDVAFAGFEVPIQMDVSAEKMIFGVAGIDPERRAELIKVLDINLSWRLHKVSDGQRRRVQICMGLLKPFKVLLLDEITVDLDVLARADLLRFLKKECEERGATIIYATHIFDGLEDWPTNIVYVAHGKLQLALPMDKVKETSKLSLMRTVESWLRKERDEDRRKRKERKAAGVPEFVKPVDGSRVINNGWAAGRLNSTIAGEENFVLSSNRVLRQ >OIW14088 pep chromosome:LupAngTanjil_v1.0:LG04:4864397:4872160:-1 gene:TanjilG_11433 transcript:OIW14088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARNTYDLLSKLNPTQHFPIDSLLRYCSSNVSGFPHSPSHFTISQFGHGQSNPTYLIEVGDQGSVVKRYVLRKKPSGTLLASAHAVDREFQVLQALGTHTQVPVPKVFCFCNDSTVIGTPFYVMEFLEGRIYIDPKLPGVAPETRRAIYRETAKTLASLHSSNVDSIGLGNYGRRNDYCKRTIERWAKQYIASTSEGKPERNPKMFALIDWLRSQIPPEDSSGATAGLVHGDFRIDNLVFHPTEDRVIGILDWELSTLGNQMCDVAYSCLPYIADIGNDKVQNGLEHSGLPEGIPSLPEYLAEYCSLAGRKWPLAEWKFYVAFSLFRAASIFAGIYNRWVKGNASGGERARYTGRLANEIIDAAWQFIKRESVLPQHPPSVANGKDVLGLSSGGRFIPSQKVLTLRNKLIKFMEEHIYPMESEFYKLAQSEKRWTVHPAEEELKELAKKEGLWNLFIPLDSAAIAKKLLFDGSNNHSNDANDLLLGAGLTNLEYGYLCEIMGRSVWAPQVFNCGAPDTGNMEVLLRYGNKEQQQKWLIPLLEGKIRSGFAMTEPGVASSDATNIECSIKRQGDSYIINGRKWWTSGAMDPRCKVLVVMGKTDFNAEIHKQQSMILVDIQTPGVHIKRPLLVFGFDDAPHGHAEVIFENVRVPAKNILLGEGRGFEIAQGRLGPGRLHHCMRLVGAAERGMQLMAQRAINRKTFGKFIAQHGSFLSDMAKCRIDVEMTRLLVLEAADQLDKHGNKKARGILAMAKVATPNMALKVLDMAIQVHGAAGVSSDTVLAHLWATARTLRIADGPDEVHLGTIAKVELQRAKL >OIW13069 pep chromosome:LupAngTanjil_v1.0:LG04:22059800:22062831:-1 gene:TanjilG_17629 transcript:OIW13069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGDLKTELSQKTSIFGIKVWEIIGIAVSFSIIVILCVISLCLTSRKKSRKTRNKVPVTRIPTISKEIKEVQVEPVSSNGFVPGNGILLTIHDKSSGRESEKVMVHLGVGKRRHGDSGNHSDSFHQSEKNGGGSQSGEEGSYGSVKVYKPSSSYPLTAPSPLCGLPEFSHLGWGHWFTLRDLELATNRFSKDNVIGEGGYGVVYKGQLINGNQVAVKKILNNIGQAEKEFGVEVEAIGHVRHKNLVRLLGYCIEGTHRMLVYEFVNNGNLEQWLHGAMRHHGYLTWEARIKILLGTAKALAYLHEAIEPKVIHRDIKSSNILIDDEFNAKVSDFGLAKLLGAGKSHVTTRVMGTFGYVAPEYANSGLLNEKSDVYSFGVVLLEAISGRDPVDHGRPIQEVNLVDWLKMMVGNRRSEEVVDPNIEVKPSTRALKRVLLTALRCLDPDSEKRPKMGQVVRMLESEEYPLPREDRRQWRNPGGSTETESQKAYSDTDKSEIQCSKSETEG >OIW13863 pep chromosome:LupAngTanjil_v1.0:LG04:7886993:7887693:1 gene:TanjilG_31752 transcript:OIW13863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGFDNCYARALGRKRVVVSNNVEASNPMNSSLKRVCSGTFSSISERSSLEALPFDILIKVLCGVEHEDLEQLFHVSKIIKEAAEIAKRLHFEYSTPKKKTFAAFHIPIDVDSSNEFEEIKAPNAPLRKYRSRLNGRIMNMESICSNLFPSMDEDQ >OIW12706 pep chromosome:LupAngTanjil_v1.0:LG04:25561990:25564303:-1 gene:TanjilG_24639 transcript:OIW12706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFRKGFEVFGYGLRSKSQTQRVSSDVNCSLVVVDGLKQSCWANMPTELLRDVLIRIEASQVTWPSRKHVVACAGVCRSWRQIIKEIVKAPQLSTNLTFPISLKQPGPRGSLIQCYIKRNRSNQIYYLFLSLNQASTDDGKFLLAARKCRRATHTDYIISLNYDDVSRGSNTYIGKLRSNFLGTKFTVYDAHPPICGAKVAKSRSTRLVSSKQVSPRVPAENYPVARVSYDLNVLGSRGPRIMQCVMDAIPASAVEPGGVAPTQTQFLHSRIDTSPSIPFFRSKSTRMENLQSLPLTSQNEGTLVLRNKSPRWHEQLQCWCLNFNGRVTVASVKNFQLVASPKNGVSEQAQETVILQFGKVGKDVFTMDYQYPLSAFEAFAICLSSFDTKIACE >OIW13432 pep chromosome:LupAngTanjil_v1.0:LG04:11574876:11576576:1 gene:TanjilG_05322 transcript:OIW13432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGKGRVCVTGGGGFIASMIIKRLLLEGYSVNTTVRPGKSKKDVSFLTNLPGASRKLQVFNADLNNPESFIPAIEGCVGVFHTATPYDLQKDEDEHTLTKRAIGGALGILQASISSKTVKRVVYTASGAAIINSGKEVEDLDESYWSDIDFMYKTKPFAWTIAISQTLTEKAVLEFAAQHENELDVVTLILPYVIGPFICSKLPESVESAFAWLFGKYQFGVFLRFPLTHVDDVARAQIFLLEHPNPKGRFNCSLSGTVTFEEIGDILMAKYPEFQIPTRESLKEIKGWTIPSINSKKLRDAGFKFNYGTKEIIEETIQCCKENGYL >OIW12537 pep chromosome:LupAngTanjil_v1.0:LG04:26944237:26946747:1 gene:TanjilG_04701 transcript:OIW12537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIQDDLYLSNNLLSLYAKCFGVVTARHLFDEMPYRDVVSWTAILSAHTRNKQHFEALELFDMMLGSGQCPNEFTLSSALRSCSALGEIECGVKIHASVVKLGLDLNPVLRTNLIDLYTKCDCTVEAYKLLAFVDDGDIVSWTTMISSLVETRKWNEALQIYVKMIEAGVYPNEFTFVKLLGMSSYLGLGYGKLLHAQLIRYGIELNVVLKTAIVDMYSKYRQMENAIKVSNLTPEYDVFLWTTIIAGLSQNLLVREAVSAFIDMELSGIVPNNFTYASLLNSCSSVLSLNLGEQFHSRVIMVGLEGDIYVGNALIDMYMKCSRVTTNAAKIFRGIASPNIITWTSLIAGFSEHGFEEVSFQLFAEMQAAGVQPNSFTLSTILGACSKMNSISQTTKHHAYIIKTKADDDMVVANALVDAYAGGGMADEAWRVIGMMNHRDPITYTSLASRLNQRGDHEMALQVIIHMCDDGVKMDEFSLASFLSAAAGLGTMETGKQLHCYCVKSGFDECNSVSNSLVHLYSKCGSMQDAYRAFKDINEPDAVSWNGFISGLASNGYISYALSAFDDMRLAGVKPDSVTFLTLTFACSHGGLFDLGLDYFHSMEKTYNVAPKLDHYACLVDLLGRAGRLEEAMRIIETMPFRPNSLVYKTLLNACKLHGNVPLGEDMARRCLELDPSDPAIYLLLANLYDNAGLSDFGEKTRRLMRERGLRRNPGKCWMEVRNNIHLFSTGQTSHPIIDEINEKLELLITKLKNQGYGYQESHDKLYHSEQLAVAFGLLSVPTMAPININKNSHICLHCHDFIMHVTQVVGREIIVRDGKRFHVFNNGQCSCRGH >OIW13130 pep chromosome:LupAngTanjil_v1.0:LG04:20087925:20090778:1 gene:TanjilG_32111 transcript:OIW13130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHRNMANRYLKQLTLCSETIARVVHARILTSGFRPSSYILNRLIHVYCKSYNIRYARQLFDKIPKPDIVARTTLLVAYASTGNIKLAKQVFNATPSSMRDTVSYNAMITVYSHSRDGHAALQLFIEMKRKGFFPDSYSFSSVLSALSLIADEEKHCKQLHCEVIKSGVGYLSSVLNSLITCYVNCASSPLVSSSLVMGSARKLFDEMPERDELSWTTMIAGYVRNNDLDAAREILDGMTEKIVCAWNATISGYVQHGFHDKAFELFRKMHLMGIQQDEYTYTSMISAASNAGLFKIGRQVHAYMLRTEAQPSEHFILSVNNALITFYTKCGKVAQAKEIFNKMPVKDLISWNAILSAFVNARCIEEANSIFKEMPERNLLTWIVMVSGLAKNGFGEEGLKLFNQMRVEGLEPCDYAYAGAITSCSVLGSLDNGQQIHSQVVRLGHDASLSVSNAMITMYARCGLVESAYTVFLTMPYVDSSSLLLYQVIRLSQMVLASGAQFQRPRQKKHETHFHMRWNKIWHPVTAMLIDVQESPKPNTEPVKC >OIW12808 pep chromosome:LupAngTanjil_v1.0:LG04:24705168:24707976:1 gene:TanjilG_24741 transcript:OIW12808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMVMAMTLAMVLISSSVVSVAADGYYYYSPPPPYHYNSPPPPSSSPPPPYVYKSPPPPSPSPPPPYEYKSPPPPSPSPPPPYEYKSPPPPSPPPPPPYEYKSPPPPSPSPPPPYEYKSPPPPSPSPPPPYYYKSPPPPSPPPHPHHHHHSLIVKVVGKVYSFKCYDWEYPQKSHAKHHLKGAVVEVKCKVGKNIIKAYGKTKSDGKYSITVKDLDYVKYGAKVCKAKLYAPPKDSPFTVPTKLNEGTRLKVKSKDKYEVVLEAKPFAYASNKHFHECQKPKPSPTPYYYKSPPPPFVKPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPPYYKSPPPPSPSPPPPYYYKSPPPPPPSPPPPYYYKSPPPPSPSPPPPPYYKSPPPPSPSPPPPYYYKSPPPPPPSPPPPYYYKSPPPPSPSPPPPPYYKSPPPPSPSPPPPYYYKSPPPPPPSPPPPYYYKSPPPPSPSPPPPPYYKSPPPPSPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSLPPPYYYKSPPPPSPSPPPPPYYKSPPPPSPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSLPPPYYYKSPPPPSPSPPPPPYYKSPPPPSPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSLPPPYYYKSPPPPSPSPPPPPYYKSPPPPSPSPPPPYYYKSPPPPPPSPPPPYYYKSPPPPSPSPPPPPYYKSPPPPSPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYQSPPPPSPSYSPPYYYKSPPPPTTSPPPPYHYSSPPPPSSPPPPVYIYASPPPLIYK >OIW12510 pep chromosome:LupAngTanjil_v1.0:LG04:27086302:27094047:1 gene:TanjilG_04674 transcript:OIW12510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKQKQQFISRFFAPKSQTPPSPTASSNPNPPTPKKITATATFSPSKRALTSQLTPSPKPPKLPKLPSQPDPSLHQRFLHKLLEPSTDPPQTHHPSKPLKYTPLQQQVVELKRNYRDVLLMVEVGYRYRFFGEDAENAAKVLGIYSHMDHDFLTASIPTFRLNVHVRRLVNAGYKVGVVKQTETAAIKAHGSNRLGPFCRGLSALYTKATLEAALDTGGAEEGCGGESNYLLCVVEKSVLREKLDSAVESGFDVSIGFVAVEISTGDVVYGEFNDNFLRSALEAVVLSLSPAELLLGDPLSKQTEKLLLAFAGPASNVRVERASQDCFANGGALADVMALFENMDAGSPSDPMKSNDLAECRSQQLVVKDIMNMPDLAVQALALTIRHLKEFGFESTLCSGASLRPFSSNMEMTLSANALQQLEVLKNSNDGSESGSLLRIMNHTLTIFGSRLLRHWVSHPLCDRALISARLHAVSEIAESMGTSNGTKSIGYDEEEYNVTAVRPELAYLLSLVLTTLGRTPDIQRGITRIFHRTATPSEFVTVIQAILSAAKQLQQLSIGEDEDDNNTLHSNLLKKLILTASSASVIGNAAKMLSSLNTGFADQGDLTNLIIASEGQFPEVVRARKNFQMAVEQLDSLITLYRKQFGMRSLEFMNVSGTTHLIEFSTEVKVPLNWVKVNSTKKTIRYHPPEVVAALDKLSLAKEELTVACRAAWDRFLRDYSEHYPEFQAAVQALAALDCLHSLAILSTNKGYVRPVFVGDNEPVQIQICSGRHPVLETTLQDNFVPNDTYMHADREYCQIITGPNMGGKSCYIRQVALIAIMAQVGSFVPASSAKLHVLDGIYTRMGASDSIQQGRSTFLEELSETSHILHNCTGHSLVIIDELGRGTSTHDGMAIAYATLHYLLKQKRSMVLFVTHYPKIADLRTEFPGSVGAYYVSHLTAYDDTSKTSNLDNEDITYLYKLVPGVSERSFGFKVAQLAQLPPHCISRAIVMASKLEALVNSRIHSRSGRELQLDALTTDQEQEPHQLMSQRHDCPSQEFDSACKEFYSNLKAATLDDDDDDHAKSFQLLEHARSIAKKLIAG >OIW13367 pep chromosome:LupAngTanjil_v1.0:LG04:13931205:13935922:-1 gene:TanjilG_16476 transcript:OIW13367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNYESNPFAADDDVNPFANPGSVPTAKSKLSPLPPEPYDRGSVTVDIPLDTAKDIKEKEKALQAREAELKRREQELKRREDAIARAGIVIEEKNWPPFIPIIHHDIPNEIPLHLQRVQYVAFTTWLGLVICLLWNIVAVTVAWIKGDGVTNWFLAIIYFISGVPGSYVMWYRPLYRASRTDSALKFGMFFLTYGASLRYFLTTVTHSAVHIAFCVFAAVAPPIVFRGKSLTGILPALEVMIDSTLVGIFYFIGFGFFCLESLLSIWVIQQVYMYFRGSGKAATMKREAMMAAL >OIW13711 pep chromosome:LupAngTanjil_v1.0:LG04:9157345:9159268:1 gene:TanjilG_08053 transcript:OIW13711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMLGTSSIAFLNSTHFCSPSSKPSIHSLSLISGVKYGRKFYGGIGIHGIKEKSHFSVASVATEVNPVEQAQKIASKESQRPVYPFAAIVGQDEMKLCLLLNVIDPKIGGVMIMGDRGTGKSTTVRSLVDLLPEIAVVAGDPYNSDPQDPEFMGVEVRERVLKGEKLQVVLSKINMVDLPLGATEDRVCGTIDIEKALTEGVKAFEPGLLAKANRGILYVDEVNLLDDHLVDVLLDSAASGWNTVEREGISISHPARFILIGSGNPEEGELRPQLLDRFGMHAQVGTVRDAELRVKIVEERGRFDKNPKEFRDSYKADQEKLQEQITLARSVLSSVQIDQDLKVKISKVCAELNVDGLRGDIVTNRAAKALAALKGRDKVCTEDIATVIPNCLRHRLRKDPLESIDSGLLVTEKFYEVFS >OIW13674 pep chromosome:LupAngTanjil_v1.0:LG04:9412596:9413465:-1 gene:TanjilG_08016 transcript:OIW13674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCCVSTSDKSNRSSPLKVLEQSRAPPSLEEETVKEVLSETPKWKPTVAKFEGENHTVAKFEGENHSVAKFRGENYTVATFDAEKYTVAKFEAEKTLQKTAVAKFEGESKVEKVMMALESNRGEEEISEVCSLSESVSTTTLTEEEPRQRVHRSPAKINKNRSFSGEFGGSGRRGKSPARRAEQSPVRRNVRVVQSRECQMGNGVTRNQPRRDNSVRRSMSPATHADNVLSRPIVDRSPSARRTNQSPARVRTAASENGSRKRESPAMEQSGNESLENPLVSLECFIFL >OIW12899 pep chromosome:LupAngTanjil_v1.0:LG04:23874769:23876312:-1 gene:TanjilG_15819 transcript:OIW12899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTSSNIPIETLSHEEMHSIDAALASASASAASSPVTPPSIHSHTSVQAKSDNSIPVRAKRSLFNSAEPDIEDYGKMVMSKKKTRVAETLLHRFRSKRGLFVTDVTKTEWCEKQMEFSLFFEEWKSNNEPQRHDDDFEEWCNKKQKDLALVYGGGRKNNEAKKAGIARHTQLEKEVLKHVEVEVNSEEDYMALKLVNFISGVNQLMFGGLTRELPLVAFAFEEGIWMVGKIDEIRMPIFESDHNHNHNRNRNRNDNHNPILVETKTRAKDTIPAEAQKRNGRIQLMCYKYLWDNLVSHHNDFPTKQFFDYFGLNGKFTLSKDIQVACADSGFFARTLYDVVTCYKNTCKMLPLAHDQLVIRYEYQKNRSLIDEDKFSYDDGWIKNKIKNCLEFWLGGRDANYVADEEEWKCEFCDFVNDCPAYSDMSDCTESFTSDESYPNPRYYRC >OIW14134 pep chromosome:LupAngTanjil_v1.0:LG04:3855605:3866715:1 gene:TanjilG_21274 transcript:OIW14134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTTSDKISSLKSAVAGLNQISENEKNGFINLVSRYLSGEAQHVEWSKIQTPTDEVVVPYDSLAPLPDDSSEVKNLLDKLVVLKLNGGLGTTMGCTGPKSVIEVRDGLTFLDLIVIQIENLNNKYGSNVPLLLMNSFNTHDDTQKIVEKYTNSNVEIHTFNQSQYPRLVVDDFLPLPSKGQTGKDGWYPPGHGDVFPSLLNSGKLDALLSKGKEYVFVANSDNLGAIVDLKILNHLIQNKNEYCMEVTPKTLADVKGGTLISYEGRLLEIAQVPDEHVNEFKSIEKFKIFNTNNLWVNLKAVKRLVETDALKMEIIPNPKEVDGVKVLQLETAAGAAIRFFDKAIGNNVPRSRFLPVKATSDLLLVQSDLYTLQDGFVARNSARANPENPSIELGPEFKKVSNFLSRFKSIPSIIELDNLKVAGDVWFGAGITLKGKVSIVAKPGAKLEIPDGAVIANKEINGPEDL >OIW12878 pep chromosome:LupAngTanjil_v1.0:LG04:24065262:24066704:1 gene:TanjilG_24811 transcript:OIW12878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSENNNNKEEVHVLLVAFSAQGHINPLLRLGKSLRSRGLHVSLATTDTLYHRVFKYTTNGGDSSTVPTSITTNGIEVFFFNDGFQTDGERAADVDKYMEGIAKYGPINVSNIIKTHFLDSSKKLACIINNPFVPWVADVAAEFNIPCACFWIQPSALFAIYYSFYNNLNTFPTLTDPNISVKLPGLPLLETQDLPSFVLPTNPFGTFPKILSSVFKGLEKLKWVFINSFYELEKDVIDSMSQVFPIATVGPVVPPSLLGGDEDSDVGIEMWKPEDSCIEWLNQKPDSSVIYISFGSLTVLSSEQKDSIAEALKKSHHPFLWVIKEENKELPLPKEFLEETKDRGMVIPWCPQTKVLAHPAVACFLTHCGWNSMLEAITAGKPMIGYPKWTDQPTNAKLITDVFHTGVRLKQDSDGFVPCEEVEKAIEQVLERPSSEEFKKNAEELKRAGREAVTEGGSSDRNIQSFVDEILGNKPIDH >OIW13564 pep chromosome:LupAngTanjil_v1.0:LG04:10575344:10582825:-1 gene:TanjilG_29305 transcript:OIW13564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRLLHLSTTATTATTTTANHHFLFSFPPTPIFSNKFKPPHKFLPLSFSIHNSSSEIIKVKPSSQINKTLSTPKPDPKLNSLRNLFSKPGGVSIDAYIIPSHDPHQSEFIAECFTRREYISGFNGSAGTAVVTKDKAALWTDGRYFLQAEKQLNSNWILMRAGNPGVPTTSEWLNDVLAPGGRVGIDPTIFVVLVPDITLYSILLRRQFLFTSDAAEDLKEVISKKNHELVYLYNSNLVDEIWKDSRPKPPNKPVRVHNIKYAGFDVASKLSSLRSELADAGSSAIVISMLDEIAWLLNLRGSDIPHSPVVYAYLIVEIDGAKLFIDDSKITEEVSDHLKEAGIELRPYNSILSEIESLAARGAALWLDTSSVNAAIVKAYGAACDSYNQKHQSKLKTRTKGFDGSNEHFNGPIAVHKTSPISLAKAIKNESELEGMRNCHLRDAAALAQFWDWLETEITKGSILTEVEVSDKLLEFRSKQDGFLDTSFDTISGSGANGAIIHYKPEPESCSIVDGNKLFLLDSGAQYVDGTTDITRTVHFGKPTAREKECFTRVLQGHIALDLAVFPENTPGLVLDAFARSFLWKVGLDYRHGTGHGVGAALNVHEGPQSISHRFGNLTPLVNGMVVSNEPGYYEDHAFGIRIENLLYVRDVETPNRFGGIMYLGFEKLTYVPIQIKLVDLSLLSVIEIDWLNNYHSQVREKVSPLLDGSARQWLWDNTRPIIN >OIW13903 pep chromosome:LupAngTanjil_v1.0:LG04:8165621:8170051:-1 gene:TanjilG_31792 transcript:OIW13903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGTITQTLSVRFPFTLGFRRYFPTISHPFSSSPLLPPPLTNLHSNSSSPRSRFSAFCSLSTQHNHNHNGNSSRQLAILLEVEGVLFDAYRLGNRQSFNKAFEKLGLDCANWTEPIYSDLLRKSAGDEEKMVYLYFNRIGWPASLPTNEKEQFTKSVLKEKEKALEEFVMSKNLPLRPGVEQFIDDAYNEGIPVVILTAYSKSDDKIARTIMEKLGNDRNIKVIIVGNKEIEQSLYGQLVSGKVISSGLDEELAKEAKRAASAERQRLAKEVASVLKLSVDIDIGLSESLDKIVAALRAGAEYAGLPVCNCVLVAGSQSGVAGAQRVGMPCVVLRSSLTSRAEFPMANAVADGFGGADLTISKLRNLSKKNKPEN >OIW14105 pep chromosome:LupAngTanjil_v1.0:LG04:4320042:4322858:1 gene:TanjilG_19484 transcript:OIW14105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGLYNQISSPASQRGNSPNVNMRNNFDAESQYLTELLAEHQKLGPFIQVLPLCNRLLNQEIFKVSGKNGYFQNQGFSNFDRMQSPSYMASPEITPNFSGWNSLSHERLAGLQGLNSDWQTAPVVPNSHIVKKILRLDIPKDSYPNFNFVGRLLGPRGNSLKRVEATTGCRVFIRGKGSIKDLDKEELLRGRPGYEHLNEPLYILIEAELPANVVDLRLRQAQEIIEELLKPVDESQDFYKRQQLRELAMLNSKFREDSPQPQLSGSVSPFTSNEIKRAKTNH >OIW12905 pep chromosome:LupAngTanjil_v1.0:LG04:23813541:23816285:-1 gene:TanjilG_15825 transcript:OIW12905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMEPNQTEQKTEKYEDNNHHQQQRVTWGSLSEEMKMISVIAGPIVVVFSSQYLLQVVATMMVGHLGELYLSSAGLAISLASVTGFSFIMGMASGLETICGQAYGAKQFKRFGIQTYTAIFSLVLVSCVLSLVWINMENILLFVGQDPLIAHEAGKFIMWLIPSLFAYAVMHPLIRYFQMQSLFVPLFLSSCFTICIHIPLCWALVFKTGLSSVGGALAIDISNWSNAIFLGIYMKYSSTCAKTRTPISSELFQGIGEFFRYAIPSAVMICLEVWSYELLILLSGLLPNPQLETSILSVCLDTLTTLYSIPFAIGAAASTRVSNELGAGNPHVARVAVIASMSLAVVVTSVVSGTLFTCRHIYGYVFSNEQEVIDYVTLMAPLVCISVILDGVQGVVTGIARGCGWQHLGVYVNLGAFYLCGIPLAIALAFWVKLGGKGLWIGIQVGAFVQNVVLSIITSCINWEQQAVKARERLQDGESSTDNKLV >OIW13932 pep chromosome:LupAngTanjil_v1.0:LG04:5762195:5764294:-1 gene:TanjilG_09283 transcript:OIW13932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVRKIIKHLHSRHSILNLSFSSLSTRSPQPLNNQEHKHEQDPHSLLTQDPIETCTSLWVKTFSSPKHVPFPNLTGFLSKFDLWLLAYQRCCAHTTGTFPPRNAIHFHLLQNLLSLRNAVLRGRFEWNNKTHPYIRSPNDKTLTKPLSKRKLQAMLGSSEPCFQDRVVQEVLLMILEPVFEPRFSQKSHAFRPGRSPHTVIRTIRSNFAGYLWFLKGDLSEVFHNLDLNFVMGCLEKGTKDKKVLGLIKSALKQPIVGVSQNEEKEELRKHKKRKATRKKILNENEPKPDPYWLRTFFSFAPQEAIKVPNYGHCGILSPLIANVCLNELDNMMEGMVVEFFRPCSFDSIWKYSIDDGCHNPAWPEFVPSSGKEKTRKMDYIRYGGHFLIGIRGPREDAVEIRKKIVEFCESTFGIRLDISKLELEHITRGIQFLDHIICRRVIHPTLRYTGSGGNIVNEKGVGTLLSVTACLKQCIRQFRRLQLVKGDKDPEPLPCNPMLYSGQAHTNSQMNKFLETMADWYRYADNRKKVVGFCAYVIRSSLAKLYAARYRLKSRAKVYGIASRNLSRPLRESSNNAAPEYSDLLRMGLVDAIEGVQFSHMSSIPSCDYTPFPRNWVPDHERVLHEYIKLENPKFFCDLLRSIKQKGSSLPQDEISQMVWDYKTIGVHHFRSHGDKGIHFLQSDGDREIKTDQKEITG >OIW13919 pep chromosome:LupAngTanjil_v1.0:LG04:8306396:8308611:-1 gene:TanjilG_31808 transcript:OIW13919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREILHIQGGQCGNQIGAKFWEVICDEHGIDHTGKYNGDSELQLERINVYYNEASGGRYVPRAVLMDLEPGTMDSLRSGPFGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLATPTFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYSALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSSVCDIPPKGLKMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVAEYQQYQDATADEEEYEDEEEEAA >OIW13385 pep chromosome:LupAngTanjil_v1.0:LG04:13632200:13632613:-1 gene:TanjilG_16494 transcript:OIW13385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKALNKFWKRNSTGYGRLYGSRRRRRMDTVELGGGTCTTTNTRRRRWRIKISRKIKIPKIPSPKKMVLWLRDGYVNMMMSLANSKVVSMSSPATSHGGFGRGLPPKEYDDKMLVQMYKSLMAAQGVVVPRQEDCPR >OIW12996 pep chromosome:LupAngTanjil_v1.0:LG04:22615102:22624442:1 gene:TanjilG_15445 transcript:OIW12996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRTTEENRSIPLLSSRIDHPLCKVSGSSQGSGDNDHNNQDILATTSIKIPYIERLPPYTSWIFLDRNQRMAEDQSVVGRRRIYYDQHGSEALICSDSEEELIESEEEKHEFSEAEDRVLWMAFEEHGLNEEVLNIVSQFVGGTSLEIQERYKSIKEKNISRLDQHSEGSREYESPVGICLGGNLSAALDSFDNLFCRRCLDKKTTIMKETDQVMAPYSAEEPGNQSITHPPTEVNCRGELNLNVPVSESMGKHKVTDQSDRALCDSTLSSDDSQNSCKKLKRISNDAVTAINNSSENLSLGAFHESKLTIACVTLDKSVEHTPNKLTVSSSICHGDHGKSIGDRPKDVTNETELKLLSNSMELNLTHWKPLEKELYLKGVEMFGRNSCLIARNLLSGLKTCIEVASYMQAGGKSMPPGSIVAPSSIMGDKGKIDGVCTDQEIPSRSRMLRKKGKTRKFKYSWKSAGHPSIWKRVADGKNQSCQQYTPCGCQPMCGKECPCVNAGTCCEKYFVRRAAKTGSEGAIVPRVSAEVDNAHALLLDVNVTQMYVEIAGCGDGSLGEPPRRGEGQCGNMRLLLRQQQRNPVNKNDYLGEYTGELISHREADKRGKIYDRANSSFLFDLNDQYVLDAYRKGDKLKFANHSSNPNCYAKVMLVAGDHRVGIFAKEHIDASEELFYDYCYGPDQAPPWARKPEGSKRDESSVSQGRAKKHQSH >OIW12656 pep chromosome:LupAngTanjil_v1.0:LG04:25897545:25899588:1 gene:TanjilG_24589 transcript:OIW12656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRTMPWNDDDSSSESSSHSDSEHEGGIGEKNSKGKSGKQKSAAIDFDALKQYGYKGGPSVLKVPPPKEGDDTKDWSWSTGKEKRVDKKIEESYEQRQKTREAISLGEELPTAQTRNEKKNVSFSQKEKRKRDIGQASRGKNYVEEEKRMLRESGVYSGFDA >OIW12628 pep chromosome:LupAngTanjil_v1.0:LG04:26144070:26148755:1 gene:TanjilG_24561 transcript:OIW12628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGEVVFDEQDAGYVPENLDYPQNHSDEHDEGNVIDDESGFPQLQPDEHDAEILAEEEADPLENEFDDHGVEELPGNTDSLPQEHTVTEEEHQDGGDVTENFTSQEVQGPEGDSKPSEIKKWPGWPGENVFRMLVPVQKVGSIIGRKGEFIKKITEETKARIKILDGPPGTTERAVMVSAKEEPDRAIPPAVEGLLRVHKQVVNVDQDPADSASGAGRPVVTRLLVADTQAGSLIGKQGSTIKSFQDASGCNIRVLGSVEHLPVFALRDDSVVEIQGESAGVHKGVELIALHLRKFLVDRSIVGVFEAKMQRPDVRVNQNVPPHQQHHQPWGPPPPQGFSAPGSSGGPAFAPNPQLMPPPHNYDNYYPPADLPPMDKHLHQGPPPAYARDPSMGIHSSTAPPQQSVVTKVTQHMQIPLSFADAVIGASGSNISYIRRASGASITIQETRGVPGEMTVEISGTASQIQAAQQLVQNFMAEAASAASQQEHMGGSMNQGYNAYATNAPVYQSPPSNASGHTSHAPSADYGSVYGTNYGY >OIW12992 pep chromosome:LupAngTanjil_v1.0:LG04:22656528:22665275:1 gene:TanjilG_15441 transcript:OIW12992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAGEVPVDDKAKRMRDLLSSFYSPDPSISSNSITNPSKHDDINSDSFDPDHYMNILAHKSNLEGLLQRHVEMAAEIKNLDTDLQMLVYENYNKFISATDTIKRMKSNISGMETNMEQLLEKIVSVQSRSDSVNTSLFDKREHIEKLHRTCNLLRKVQFIYDLPDRLGKCIKSEAYADAVRFYTGAMPIFKAYGNSSFQDCKRASEEAIAIIIKNLQGKLFSDSESIQVRAEAAVLLKRLDFPVDNLKARLLEKLEQSLTDIKLKPEEINNPSVDLSPSVSAHKAAVHEFTEAVRAFRAIFPDSEEHLVKLARDLITKNFVITEEYVKTRICADDLLGVLRVIWDDVLLIDEVLQEAALSNNSFEAAKVVVTLYVRSAFSHLQQDISDSLLKILIKDGGEECSLEVVLDASKKGVLQGGMDVLLDFRKILDHDSGILVRLRESIIDWVQEGFQNFFRQLEDQFLQFSGKNKPSSIIDHGLAEGAQGDKAFAGLVLVEIAASFSGGSARGYDYGPAFVPGEICRKFRSAGEKFLHLYINTRNQRISLLLKKRFTTPNWVKHKEPREVHMFVDLFLQELEVIGNEVKQILPEGIRKHRRTDSDGSSASSRSNPLREDKLGRSNTRGRSQLLETHLAKLFKQKIEIFTKVEYTQGSVVTTIVKLCLKSLQEFARLHTFNRSGFQQIQLDIQFLRIPLRETVEDEAAIDFLLDEVIVATAERCLDPIPLEPPILDKLIQIKLAKTKEQTSIS >OIW13541 pep chromosome:LupAngTanjil_v1.0:LG04:10393411:10394682:1 gene:TanjilG_29282 transcript:OIW13541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSEQNDTVSAEQDMEDDDDESHDGLIEEEYEEEFDDDVLPNHDDNQPSTSSALAVTVAVPPPISPATTSVSDSKRYQIQEKKPIDDSRRLFQRLWTDEDEIELLQGFLDYTSQRGSSHHNDTALFYDQMKSKFQIDFNKNQLVEKIRRLKKKYRNVLNKISSGKDFSFKSAHDQATFEISRKIWRNIGQISGGVVVDDNALDEDEINLNPIQNPNNHYPNPNINLNLVHSVVMLGNSGEKKSTPSRKRSRPQSAMMRIDEKPRELLNDGLGLGSGSGLNLNLNKDHNYISNSAATATVAADVNNNRNHENNCNSGQQHSSSSNIPGLIEETVRSCLSPVLKELMGSSVMGRGAFGIEGFGSMNSIPMMPLSYLGLGSGGDAADEKWRKQQILELEVYARRLELVQNQIKAALDELRSAGGG >OIW13955 pep chromosome:LupAngTanjil_v1.0:LG04:5948432:5951088:-1 gene:TanjilG_09306 transcript:OIW13955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDEGESQPSPRNDDHKSEKKQVVKKESNSVRGRRLRTGFSDSFSTIDLGGLDIEEGHQSENYSSPRTLEPGNHSSRASTSHSEGHPDLTGAGNNQWHQGFFKLLKKGSQMPFHTFHPLKNVQKLTRRKSKRIREDLIPSLSSPALKSSLDSEFCCFKSSWKNFSLSEIQAATNDFTHDNLIGEGGYAEVYLGKLEDGNFVAIKKLTRGSQEEMTADFLSELGIIVHVDHPNIARLIGYGVEGGMFLVLQLSPHGSLSSILYGPREKLDWSLRYKIVLGTAEGLRYLHEECQRRIIHKDIKASNILLSEDFEPQISDFGLAKWLPDQWTHHTVSKVEGTFGYLPPEFFLHGIVDEKTDVYAYGVLLLELITGRQALDSSQKSLVMWAKPLLSANNIKELVDPVLADAYDEEQMKLVILTASLCIEQSSIHRPHMNQACSFIKSYS >OIW13305 pep chromosome:LupAngTanjil_v1.0:LG04:14248587:14260124:-1 gene:TanjilG_02825 transcript:OIW13305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKSVPNEQQEKIRRKGKQKAVTVEDSSDDEDDSLDEFEVDGFLVDDIEDEDNEENPKQTQKMAKRWRRSLKNLVLDSDDLELIRENASINQENLGDRKLKRLKKVGTYTSDDGGSLFDEYESMSNDDSDDDMEDFIVDEEVVYEKGDSLRQRKLEDPKHSFSHPKEAKNSFGKAGLPQKHSREFQMHSTVPDDHNKHDSFIPTSTRTAGEGNSVDGADIPERMQIIEDNVGLVPVDRMSIEEESFWILSQLASNINPLFSEAESCKLVETVKREDIVRFLELHHTEKYDIPFIAMYRKEHCLSLLEDTKQDESENTWDNNDGKPKLKYHKILWVIKELDIKWLLLQKRKNTLMRYYDKYFEEECQLSSLDEESSFRQQIFVSITNMLKKAETEREIDDIDMKFNLHFPQAEELFDSGYKRPMRKSFYSNCNKAGLWKLARKFGNPEKLDSLVTLEKVGIDNEEDPEESPEEIASMYKCETFENSEAVLKGARHMAAVMLSCGIHFKKHIRGIFMNKALVSTSPTAQGNISIDSFHEFAGVKWLKDKPILKFEDSQWLFIQKAEEEKLLQVTIKLPDDALNELTMTCNSAYLKHSEGTAAKLWNEQRKLILQDALSNFLLPSMEKEARTLLNAKAKNWLLMEYGWQLWNRVSVAPYQNSLATYENKGSSTAKEQGVMACCLGNGKPGTTFVMLGSRGEVFDVMHAGSLALRSQNINDQQRRKNDQERVLKFLTIHQPRVIVLGAANSSCIRLREDINEIISMMSEDNFEDGCQEMKGVPAVVLGDESLPRLYEESEISMNQLPRQLGIVKRAVALGRYLLNPLAMIATLCGVKKEVVSWKLYPLEKFLTNDEKLEIIEWVMTDITNQVGIDINLAIRHDWLMAPLQFISGLGPRKAGIFQRELLTGIDLRNRKDFTKLGLNTKKVFCNAVGFLQVSCDDPIFVDTVGNILDRTRIHPESYYLAEELARAVHKRILESPDANVAEVNAIEYIHNDPKLLETFDLNEYADRFKTEKGECRRPTLFDIRMELLHPFEDPRRPYNEPTQDEEYYMITGEIGNTVVEGKRVQAKVRHVQRQQAFCVLDSGMTGVLCKDDFADDAENIFLTDKLHEGDVVTCKIMLIDKSRCRVNLTCKASEMKNDDNQSLDMDPYYCQENITLSSQLETVQKKELGNKHFMPRMISHSHFENVTADQAKEFLADKDVGEYIFHPSSRGPCYLTLSLKFSCGIYVHKDIVEGGKNHDMKSLLGLGKTLKIGEETFEGIDKVIGDYVNPLVVYLKAMINFRKFKKGSKAEVDELLKQEKEEYPKRIPYGFGISHEHPGTFILSYIRSTKTHHEFIGIHPKGFKFRKQIFENLEQLVTYFQNHINDIVTAAKNQTTDGSNRESISGGYNDRGDGRSGGFGRGSRGRGDRGGRGDRGGRGRGDRGRRGGRGRGFGRSESRDDNDNDDGGFTSSKWGSGSNDVTDNSFAAGKSWGVGGSAEGNGSGEVGGWGTTGGEGKGKQNSSAGGWGSTGTGHNNDGDTGTGWANANSSLKDNTGKSWGEGGPGEGSVGDTGWDNANSSARKDNIGKSWGKGGPGEGSGGGTGWANTNSSGQKDNIGKSWGGGGTDWSNANSSGQKDNGGKSWGSAGTGWASANSSGSNDNIGKSWGGGGPGEGSDGGGGWHSGDGGPGQGRGRGRGRGRGRGRGRWSQGDDDQGRGNENDVNDSYNGKWGNGGNDNSGSGGWKDNSGKSWGEDSPKEGSGWGNGQGRGRGRGRGRGRRGNQGDDDQGRESESDMNRGSYSGKWGSNGDDHSGGGWANANSSGWKDDSSKSWGEGSGGGTGWTNTNSSGPKDNGGKSWGGGDTGSTGWANANSSGSKDNTGKSWGEGSGGGTGWTNANSSGSKDNTGKSWGEGNGGGTGWANANSSGSKDNTGKSWGEGSGGGTGWANANSSGSKDNTGKSWGEGSGGGTGWANANSSGSKDNTGKSWGEGSGGGSGWANKNSSGSEDNIGKSSGGGTSWDNANSLVQKDNSGKSLGQGGPGEGSGGGTDWANNAKSSSWKDNSGKSWGEAGSGGGSGGSGTGWASNPKSSGCKDNNSGKSWAEGGSGEGSGGGGTGWGSNPKSSGWKDNSGKSWGEGGSGEGSGGGTTGWANNAKSSDWKDNSGKSWGQGGSHGDASDSGWGQGRGRGRGRGGWRGSQGDGRGGGRWGGNSTNE >OIW12483 pep chromosome:LupAngTanjil_v1.0:LG04:27273982:27276884:1 gene:TanjilG_04647 transcript:OIW12483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVTCLRVNSDDPLLRGEKDLEVEEASSFSSSSSSGNRNGKMIIRHGMRLFGVELSPDNVAVAMVYFVQGVLGLARLAVSFYLKDDLHLDPAQTALISGFSTLPWLIKPLYGFISDSVPLFGYRRRSYLVLSGLLGALSWTLMATFVDNKYTAAFSILLGSLSVAFSDVVVDSMVVERARGESQSTSGSLQSLCWGSSAFGGIVSSYFSGSLVDAYGVRFVFGVTALLPLITSAVAVLVKEKPMLGIARGRNLPFATPEFWESSKQNIIQLWGTVGQPSVFLPTLFIFLWQATPQSDSAMFYFNTNSLGFTPEFLGRVKLVTSIASLIGVGLYNGFLKNVPLRKIFLATTILGSALGMTQVVLVTGLNRKLGINNEWFAIGDSLILTVLSQASFMPVLVLAAKLCPEGMEATLFATLMSVSNGGSLLGGLIGAGLTQLFGITRDKFDNLAVLIILCNLSSLLPLPLLGLLPADNPDVNPKDDSDIEMKSN >OIW13426 pep chromosome:LupAngTanjil_v1.0:LG04:12205108:12216696:-1 gene:TanjilG_33075 transcript:OIW13426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPHTSSFVSKARTALHSAAAKAERVINDFKSDRDSDKQSNDDFGGKQRHESPRNENESKLLSELKHIKWRPPHIGIKQDWQDKINNIRKGRKEVEETDKIRDTNMAAVPFYDENLYILNMKNDADIKASEAIPSVEGLIAATEDPIPPSSVMKQLAKAVEAGRKTNSMKDFAASSEGSSPAKERAGLTLSAMKALVLREKEDNLTSEFSSNEKVGLLINSLFDPVGEFLKRKVNSYPDEACMTSLPSDIHGAPPESLIVKLAEVIGNFKTLRKMALFWCRIVVELRKFWSEDQYLPGVPPDDIPDLKSCLLYQQFQVINCCISRKRRHIIATESLDSLMMEANSNIEESENNTKKTPENPLLYAKLSTGELVLRLGAECPSGDMTLLETGEPVYSPVTQEGPLLTEDLIKETEEFVLRTGSVGAGCSHLLSDMQAFKAANPGCILEDFVRWHSPPDWTESEAGTEDNDFFDSSELLSTRGQLSLRMQKEGNLWRELWETSKPVPAVKQAPLFDEDLAVEGILNAFEDIRPSELFQQLFISLLGVGLAIAEPMLSGESDFSKLFNDCKDYVVTTCQGNKLSEKVDELVQVYETVEKMLLKPEEVIKMMKKAEESSMTPGEPKRRFKRLLLMFGGKDKMLTSPVSKDQTNDEENPFRQSFSSFFDSKSSLFSKKPPKHGSLSPAENPPCLESDWKVV >OIW13363 pep chromosome:LupAngTanjil_v1.0:LG04:15450444:15451832:-1 gene:TanjilG_02883 transcript:OIW13363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLRCSKGSQCPQMRGFTVLVLMENIRTMDRAIEAKGISKVQNVHSGTAQGLLE >OIW12964 pep chromosome:LupAngTanjil_v1.0:LG04:23083183:23087810:1 gene:TanjilG_15413 transcript:OIW12964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSMPSGGDSRKMSKGRRSSLSVEEASEGLLMKGPWTAAEDAILEEYVRKHGEGNWNAVQKHSGLARCGKSCRLRWANHLRPDLRKGAFSAEEEDRIIELHARMGNKWARMAAELPGRTDNEIKNYWNTRIKRRLRSGLPIYPADICLRVLNNNQESLDVGTLKNESGQHDDASQTDNFDIPELEFQNYEIHRGLPYAPAIFDIPENLFQQSSDSSHSYNAMSSTHPRKRLRESDELYNNSFDGYISSTVPLFDQYGNYTSKIVSDHPRFSSPYDLLLDTGQFHGYNFPGSHAALNGNTSSSMPITEAMKLELPSLQYLEDQQGSWGMPASPLPSLESVDTFIQSPPTDPSWTDPLSPRSSGLLEAIIWESKKNLRDSNNNSLTQTPENCVSNEAFKNLTLNPRMTECDEQWELNSPLGQSAASVLTDYTPINLCSMDGPQSIETTQNHDTNHRSVPQFPPAYSSRNNKRLRKIDLTRPDALFEFGLFENSTEYSKDQNVLRNALDALLGDDFQG >OIW13271 pep chromosome:LupAngTanjil_v1.0:LG04:16151505:16152083:-1 gene:TanjilG_25750 transcript:OIW13271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARCFPTFLFLSIISIAAISNFTAATPSNFIKSSCSTTTYPSLCVESLSIYATTIQQDPHQLVQTALSVSLNNTQSTKTFVSKCYKLRGLKPTEYAALHDCAEEISDSVDRLSKSIKELKLCKVKGEDFRWHISNVETWVSSALTDETTCGDGFSGNAINGKIKDSIRARMVNVAHVTSNALSLINQYAEKY >OIW12623 pep chromosome:LupAngTanjil_v1.0:LG04:26182631:26184087:1 gene:TanjilG_24556 transcript:OIW12623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSVSGNGTGSSTGSPCGACKFLRRKCAPDCIFAPYFCSEQGADRFAAIHKVFGASNVSKLLFNIPAHDRCEAVVTIAYEAQARIRDPVYGCVSHIFALQQQVACLQAQLMQAKAQLAHQNLIENQWSGNVAAKPFNPFCTTSMMNPISPQSSLESSIDHSSTSDGMSMQDTQSIEDSSFQAWAKKISYNNDFGELQDLALRMMQRNYN >OIW12773 pep chromosome:LupAngTanjil_v1.0:LG04:25029633:25033753:-1 gene:TanjilG_24706 transcript:OIW12773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNATVNLIGGRFYTHILRHRRVSSSLSFSSLSTTESMASNSVRVAAAQMTSINDLAANFATCSRLVQEAASVGAKLICFPEAFSYVAAKDGESVTIAQPLDGPIMDQYCSLARESSIWLSLGGFQEKGSDDEHLSNTHVIVDETGKIRSSYRKIHLFDVDVPGGRVYKESRFTEAGKDVVAVDSPIGRLGLTVCYDLRFPEIYQLLRFQHGAQVLLVPSAFTKVTGEAHWEILLRARAIENQCYVIAAAQAGKHNEKRESYGDTVIIDPWGTIVGRLPDRSSTGIAVADIDLSLVDSVREKMPIAQQRKSIEFWKAASL >OIW12697 pep chromosome:LupAngTanjil_v1.0:LG04:25618629:25619684:-1 gene:TanjilG_24630 transcript:OIW12697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGSNFFSSWDFTSDPCTFSGVFCDSDKVIALNLGDPTAASPGLTGRINPAIGNLSALAEFTAVPGRIYGALPHSLANLNNLRFVGISRNFISGEIPSGLGDLPNLRTIDFSYNQLTGTIPQSIGTLPELTNILLCHNRLSGSIPRFKSKTLTRFDLKHNALSGSINPNSLPSSLQYLSLSSNQLSGPMDQLLNRLDQLNYLDLSMNQFTGPIPVRLFSFPLTSLQLQRNRFTGPVQPKDRVSIPTIDLSYNRLSGEISPMLGTVQNLYLNSNRFTGRVPSSLVNQLLESSIQILYLQHNYLTGIEISPTAVIPEKISLCLHYNCMVPPVDTPCPFNAGNHTTRPIAQCNG >OIW13760 pep chromosome:LupAngTanjil_v1.0:LG04:8553150:8554875:1 gene:TanjilG_17939 transcript:OIW13760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQSEEEDMDLRRGPWTVDEDLALINYIANHGEGRWNSLARSAGLKRTGKSCRLRWLNYLRPDVRRGNITLEEQLLILELHARWGNRWSKIAQYLPGRTDNEIKNYWRTRVQKHAKQLKCDVNSKQFKDAMRYLWMPRLVERIQAAAATTTTTTTDSSTPSAITRNVTNNNNNITYNNLNNNNFEVHNEGNMMLSPSIMNNNFGCSQVKQSYTTENSSAGTSSDSFGTYVSPVSDINHDYYNVLLGSSNNNPNLGYQQQQDHQVNFLDCITSPSGLCSQEMDFQAMEPNNQWIQNGDTFNNFWSFENMLQQFNDNM >OIW13960 pep chromosome:LupAngTanjil_v1.0:LG04:5993122:5994574:-1 gene:TanjilG_09311 transcript:OIW13960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASTPVQKTEEEWRLVLSPEQFRILRHKGTELKGTGEYNKFYEEGVYKCAGCGTPLYKSDTKFDSGCGWPAFFEGFPGAINRSPDPDGRRIEITCAACDGHLGHVFKGEGFKTPTDERHCVNSVSVKFIPANSAALL >OIW13029 pep chromosome:LupAngTanjil_v1.0:LG04:22180026:22181757:1 gene:TanjilG_15478 transcript:OIW13029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRHSCCYKQKLRKGLWSPEEDEKLLNYITNRGHGCWSSVPKLAGLQRCGKSCRLRWINYLRPDLKRGAFSQQEENLIIELHAVLGNRWSQIAAQLPGRTDNEIKNLWNSCLKKKLRQRGIDPNTHKPISEVENDKDKPTIADKSNHKLASVGSNEVSSFVEPSKPSKTYIPSERYSPLEVSTSSKINNSCNSNLTSTTATQELFLDSINSCRPSDIVGGGYFSFQNLNYGPNMNNTSLYMSDLNSSITSTMLNNSVSTSVFQTPIHVKPTVTLHSYNNFNKNPSISSGDIDGNSNSTMQFQINTNLLGNNSNITWGVEESVKADKDAHEHLPLQGAEQEEIKWSEYLNTPFLLGNTVQNQTSQSIYIDVVKPESGFITEELNTSWQQHNQQHQPAFQLSDIYNKDLQRFSVAFGQTL >OIW12937 pep chromosome:LupAngTanjil_v1.0:LG04:23397441:23400752:1 gene:TanjilG_15857 transcript:OIW12937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPAMPDFSNTLKLKYVKLGYQYLVNHIVTLTLVPIMAAIFIEVLRLGPDEILNLWNSLHFDLVQILCSSFLIIFIGTVYFMSKPRTIYLVDYACFKPPVTCRVPFATFMEHSRLILKNNPKSVEFQMRILERSGLGEETCLPPAIHYIPPKPTMENSRGEAELVIFSAMDSLLQKTGLKPKDIDILIVNCSLFSPTPSLSAMQLQNSHRCIPLCSSKKTKKKNMPPAMPDFSNTLKLKYVKLGYQYLVNHIVTLTLVPIMAAIFIEVLRLGPDEILNLWNSLHFDLVQILCSSFLIIFIGTVYFMSKPRTIYLVDYACFKPPVTCRVPFATFMEHSRLILKNNPKSVEFQMRILERSGLGEETCLPPAIHYIPPKPTMENSRGEAELVIFSAMDSLLQKTGLKPKDIDILIVNCSLFSPTPSLSAMVINKYKFRSNVKSFNLSGMGCSAGLISIDLARDLLQVHPNSNAVVVSTEIITPNYYQGNERAKLLPNCLFRMGGAAILLSNRRKEHKRAKYKLVHVVRTHKGADDKAYHCVFEEEDKEGKVGITLQKDLMAIAGEALKSNITTMGPLVLPASEQLLFLLTLIGRKIFNPKWKPYIPDFKQAFEHFCIHAGGRAVIDELQKNLQLSAEHVEASRMTLHRFGNTSSSSLWYELNYIESKGRMKKGDRVWQIAFGSGFKCNSAVWKCNRSIKTPLHGPWSDCIDRYPVYIPEIVKL >OIW12610 pep chromosome:LupAngTanjil_v1.0:LG04:26389126:26393514:-1 gene:TanjilG_04774 transcript:OIW12610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPATPNHFGVPWPQFNDGLSYHDTVRSSDSGSPLSLSSKNDFRVLLNVNIVKIPGLSLIEFYSTKYKSSAPLQGWLQRINSEQIIVDGSVVTDPNTLLRVGSKLVYHRLPWKEPDAPYMIEVLYEDGDIIALNKPSGLQVLPGGLFQQRTVLTQLQWQANKQGTFEADQRPHPVPVHRLGRGTSGILLCAKSKLAKTCLASYFADGTSQVGGKSDSSKEPRKIAKIYRALVSGIVDNDKVVIDQPIGVVKYPGVAKGLYVASQSGKPALSVVNILERDLQRNSTLVQVQIQSGRPHQIRIHLSFVGHPLLGDPLYAVGGQPKCLDCDFVDESFAEDGGYQRPSKPVPGDCGYHLHAHQLALTHPITNEVIEIIAPLPSILQTAKEAGEIGITQQTTA >OIW12836 pep chromosome:LupAngTanjil_v1.0:LG04:24479639:24481694:-1 gene:TanjilG_24769 transcript:OIW12836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCEKCEKKLGKVIVPDKWKEGASNTTEGGGRKINENKLLSKKNRWTPYGNTKCIICKQQVHQNGKYCHTCAYSKGVCAMCGKQVLDTKFYKQSNV >OIW12991 pep chromosome:LupAngTanjil_v1.0:LG04:22671838:22683839:1 gene:TanjilG_15440 transcript:OIW12991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLRKSKTSGSASSLSVAPMSVPIQVDEMARSPKDMRSVGHTVQTDVDLDIKEVYFLIMHFLSAGPCQKTFAQFRNELLEHQLLPRRYHAWFSRSGVPSEEDADDNDGISLPLDYRKLMDRYPHIAKDHLIKLLKQLMLSTVHPLHGKLGESSPNAADVPTLLGYGSFSLLGTDRKTSDKQGKSPPVYLRWPHMRANQVQGLSLREIGGGFTKHHRAPCIRSACYAIAKPSTMVQRMQNIKKLRGHRVEVYCAIFDQSGRYVISGSDDRLVKIWSMETALCLASCRGHKGDITDLAVSSNNALVASSSNDFVIRVWRLPDGMQISVLRGHTGAVNTIRFSPKPSAVYQLLSSSDDGTCRLWDARYSQRNPRIYLPKPPVATTGKNDAPPANQPSSSNGQQSCRILCCAYNATGTAFVTGSSDTFARVWSVFNFKPNCDESEQPVHEIDLLTGHENDVNHVQFSGCSVASKFLTSDSWKEENTMKFRNSWFSHDNIVTCSRDGSAIIWVPKSRRSHGKALHWTRAYHLKMPPPPLPPQPPRGGPRQRFLRTPRGVNMIVWSLDNRFVLAAIMVDGSLVHSLTGHTASSYVLDVHPFNPRIAMSAGYDGRTIVWDIWEGVPIRTYEIGRFKLIDGKFSPDGTSIVLSDDVGQIYFLNTGEGESQKDAKYDQFFLGDYRPLIQDSEGNVLDQETQLPPHRRNMNDPLCDSSMLPYPEPYQSQFQQRRLGALGIEWRPSVINYAVGPDFSVGQDYPVLPLSDLEGMLEPQLDFIDAMFWEPEYDIVASDDNDSEYNVNEDSSSAGEQGSASAISSSDSGCSEDDSNSRDVLHRSRRKKQNVESEATTSSARRVRKRNLKECDGNPSGSNRAKKKSKGISKPSKRKPSNAKTLRPQRIAARNARNMFSQISDTDKEDGISENESSDGLKDSDFLNEPERKTPNKHDELKEPFLEEFANVAKPPTHCESQVKVETKQRLVLKLYLRDSKKNVSLRDTRLACETHANMACQSSRSHKSDQETSAGVTNAKLPQSHNTDEHTHKSQAESDHWDTSIRVEGNTCRQKNQLSGPGDGFQIETEFNGHHEHNADRTDHISRKFDTVCRMIDTEPADIDNTLKVSSAETSLLGDHDGSLMSGYELNDGGKGQPGSNKCTEDLVENNDVVYSSHSRDLKMKAPMKARKIVIKKKQPLTDIEGPCKLNFFSSQAYSTGARGDLISGNSSFAGPNLVVEVPEEAEHDIKLSSPKLLHSYSGRKSYDHTKEWNKSLKGKVRPDGSGCDLEENTSIFSNQHGFGIGPSDVVSDPRRRTQSMRMETTSEEPNALNLRIKVRRGQDSHGTSSLEGSSINVPNHLHQRKRASRNWRDEYIANDPGILTRMSNLKEKKLSWLMLSEQEEGYRFIPQLGDEVVYMRQGHQEYIESFMLKESGPWKLFKGLSASEICKVEELEYAVLPGSGDSCCKLILRCVDPSSHVHGKTFKLTLLELINFADFIVEKTWYDTAINRNWSLRDKCLVWWRNEDGKSGNWWDGQITAVQPKSHDFPDSPWERYQIQYRTDLTETHLHSPWELYDPEIQWEHPRIDPGIRDTLLSYFTKIGHRGYDIQALDQLSEKSEFSNRFPVQFYPELIQTRLKNNYYRRVEAVKHDIKVMLSSAEEYFTMSKNTQHSTMVRRMSDWFRRKLDRL >OIW12980 pep chromosome:LupAngTanjil_v1.0:LG04:22930574:22932332:-1 gene:TanjilG_15429 transcript:OIW12980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLKLQKRLAASVLKCGRGKVWLDPNEGNEISMANSRQNIRKLVKDGFIIRKPTKIHSRSRARRIKEAKRKGRHSGYGKRKGTREARLPTKILWMRRLRVLRRLLRKYRESKKIDKHMYHDMYMKVKGNVFKNKRVLMESIHKSKAEKAREKTLSDQFEAKRAKNKASRERKHARREERLAQGPGEKPSAAPIAPAATATQTATAPKKSKK >OIW13667 pep chromosome:LupAngTanjil_v1.0:LG04:9457358:9458879:1 gene:TanjilG_08009 transcript:OIW13667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIKLITISMAFLLALLGLCATLPLSTTHLCNLLLSCFKPPYIYLILNAIIISILASSKYHYSNDTPHETPPSLLPSHHTVMMMKSPPEVIASEPPVMEVKAVLVNGSDAVDEEVVKEIDNGMNTVQESTSTLLKSINSTEIPPEYLLFNEKPLLSARFTHRKPHKSNQQGGRALKVAKEKRHETLENTWKAIMEASGGGGLQTKKSRDMWDRTHHHHHPPLPSKLRKEPSLSSDELNRRAEAFIRNFNHQMRLQKQESLNQYMQMINRGAN >OIW14121 pep chromosome:LupAngTanjil_v1.0:LG04:4088484:4091212:1 gene:TanjilG_21261 transcript:OIW14121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPEIAKQSGEANAAKNIKDPGLGWMIGFLFVVSFLGLFSVVPLRKIMIIDFKLTYPSGTATAHLINSFHTPEGAKLAKKQVKALGKFFCYSFAWSFFQWFFTAGDGCGFANFPTFGLKAYEQKFYFDFSGTYVGVGMICPYIINVSLLVGGILSWGIMWPLIDNRKGDWFSADLSKSSLHGLQGYQVFIAIAMILGDGLYNFVKVLGRTLIGLYNQCCKKDLDPVNSAGDDPSPSLSYDEKRRTEMFLKDQIPAWFAVVGYVAVAIISAATVPHIFHQLKWYYIVVIYIIAPILAFCNAYGCGLTDWSLASTYGKLAIFTIGAWAGAAHGGVLAGLAACGVMMNIVSTASDLMQDFKTGYMTLASPRSMFLSQFIGTSMGCIISPCVFWLFYKAFGNLGVPGSQYPAPYALVYRNMSILGVDGVSALPKYCLILCCVFFVGAIVIDLIRDLVGKKWSKYIPVPMAIAIPFYIGSYFAIDMCLGSLILFIWQKLNRANADAFGSAVASGLICGDGIWTLPSSVLALAGIRPPICMKFLSRATNTKVDGFLES >OIW13833 pep chromosome:LupAngTanjil_v1.0:LG04:7669945:7671120:1 gene:TanjilG_31722 transcript:OIW13833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGYGYTYLSISTYNTVRDETRGPVVKPFVPFVPNTNSNSEGQVTKKTIVPVATKPYVDDYPASDDGYGSPSKVDEFLTKVQNEASHPKRSTPVSSTDRRQLPQPVSFNDRANGYSDRGGNKEGHKLVGYDGRSGYGDYGSHKEGIKPVGVTFRNDNYDGYNNPNDYGAYNSKEGYKSNSNYDGYNGANDGYGGYINKEGHRPYGSTTKNDNYDGFHGGNGYGDYGNYGKKEGYKPFGSPILSGKVPNKIIPGKPNISTAWTVSPRKGTQLSDPTNDIDMAVQMLKEAANLSGHNNKGGHNYDEPTSKFGNIGTPHSRYSVPIEQSSPNKDNRDATGWSGSFNHLSQPHTPLTHVADYRDNIDGREYAERYGNASIIDSREAEKRYGGRRV >OIW13753 pep chromosome:LupAngTanjil_v1.0:LG04:8594879:8595163:-1 gene:TanjilG_17932 transcript:OIW13753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIALDSCIASYTKIVKVLLPEAISCINKGDNNGVKSGASAIANLAISCENKCMATTNSPLRDSNHYVQNLCAVAASIVNYLPQAHHQGLHRFL >OIW13824 pep chromosome:LupAngTanjil_v1.0:LG04:7503356:7505783:-1 gene:TanjilG_31713 transcript:OIW13824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNGVPDQLHQFITPMTSLPLHLPFPLHASSASAPTNIFPSFDPYNSSPHHQLPLQLQPNPLQQLHHQHQQPSTHKEHEAEKELSTVPMNFEIDRRDQSIDPWTNDEVLALLKIRSSMESWFPELTWEHVSRKLAELGYKRSAEICKEKFEEESRYFNNINYIKNNNNNYRFLSEFEEFYNQGDNHQVDETEKPTTIEEEQNKIMDLEEGSTKQKEQDDNEEEVVVEKTMEDMRHKRKRLNRFEMFKGFCESIVHKMMEQQEEMHNKLIEDMMKRDEEKFAREEAWKKQEMDRMNQELEIMAQEQAIAGDRHANIIEFLNKFSASTSSSPSSCQNKVTNVSNPNTCTTPSQPSQNPNPSNMVLNHLNNVTSEAENPSSIPCQESLLQNASSTTSPPILQNPSSSYLNKHNNTNSVSNKASSNVKVEKDGVGRRWPKDEVLALINLRCSSVNNNNNNEEKEGNKAPLWERISQGMLELGYERSAKRCKEKWENINKYFRKTKDFNKKRSVDSRTCPYFHQLSNLYNQGKLVLQSEKLENQLSPQANNPVGVSVTTPDQNQNQNQCQLAESSQVGSGGYSVDHNGGEKTLMQVPSLDFDQF >OIW13684 pep chromosome:LupAngTanjil_v1.0:LG04:9349368:9357606:-1 gene:TanjilG_08026 transcript:OIW13684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVRLFSSNEVSDLCLGKPAIRSISLSDTVSDAIFLLKKTPESYVSVWNCQHSLNHHHHCVCVGKVCMLHIICFISKPHNVKTLSLALQSPISLLLTETAALVRHLPPNASFLEAIDSMYEGVQNLVIPIEEQNNSNTRKRGGEKSPFLDSNKDYKTKYNYCWLTQEDVIRYLLNSIGLFSPAPATPINTLNVIDTENLLAINYDDPASSALELLSVALTNGSSVAVVDSQGKFVGEISPLVLNSSDESIIPPIATLSAGDLMAYIDAGGPPEDLVQLVKERLEEHNLGAALEMFVGDETGLSSWSSYSSSSSDEEFCSGKNWKQLGGNSAKVGRRSEAIVCYPWSSLLAVMIQALAHRVGYVWVLEEDGTLTGIVTFQDCNLAGALGLLRILIYKVYVDGTTTMSTQERKASIREFYAVIYPSLLQLQQGASDTEDKKQKTVCTERYRRRDDEEHRQASEIDIEREEECGICMEMNSKIVLPNCNHAMCLKCYREWRTRSQSCPFCRDSLKRVNSADLWVLTDNRDVVDMATVTRENLRRLFMYIDKLPLIIPGSLFDTYDSHLR >OIW14331 pep chromosome:LupAngTanjil_v1.0:LG04:326790:327845:-1 gene:TanjilG_25117 transcript:OIW14331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKQKSSSSLPPSRDETSSDQHQNHSPQSEDASSDDQFVQQPSKIPQSDSGSESDSDTTPPPHSTSKSIISKPIDVSSLKRPANENDSRRVKKKGAYESEEEAKKAVVDSRKMFQRLWSEEDEIAILKGMVEFTSKTGKDPYKYAEAFYEFVKKSLHVEASSNQLKEKIRRLKKKFENNAQRGKNGEDPNFSKQFDRETFELSKKVWGNAGSGGVENVVKGKSDKKVVETPKKELARSTDVAPSKPKPELKLESRLVDLNKDVKMDIDVVTDTSSYLMEVFRVNKGVGLGGLNEEVVKRGLELIGASERKELEEEWKELQAAEFELSVKRVELIANHARLILEAYKSSNH >OIW14091 pep chromosome:LupAngTanjil_v1.0:LG04:4846742:4847170:-1 gene:TanjilG_11436 transcript:OIW14091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHFKHQKPISNDYDSRNKVHNEFKKKQLWWKSALSFFFKLRRTHHHSHEDKNDDVHLARARAFRSSISGPVYLTESRIGSTTPYRTTRGPSSSPIAGSLTPISKGEVDIPYLSLRELTIEQQLQEHKQRMSTTALPIYLVT >OIW13828 pep chromosome:LupAngTanjil_v1.0:LG04:7589350:7590828:1 gene:TanjilG_31717 transcript:OIW13828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKAVTIRTRKFMTNRLLSRKQFIIDVLHPGRANVSKAELKEKLARIYDVKDPNSVFVFKFRTHFGGGKSTGFGLIYDSVENAKKYEPKYRLIRNGLDTKIEKSRKQLKERKNRAKKIRGVKKTKAADAAKAGKKK >OIW12694 pep chromosome:LupAngTanjil_v1.0:LG04:25634504:25636405:1 gene:TanjilG_24627 transcript:OIW12694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLFFFFNLLTLFFFTLPFHSLSLNATCPLNFTILTTLSGGGRPSSFDSTTRCQFIRQAFRLVQSDYLRRTTFFLPPLNSSDSCWQSFQSYVNSFEPTFNIRSFCGFETSFISQGCVNITTKSDFEALVTNSVLQDVNHNCNQSLENNSPCALCTTSVSTLPASGPSIGNLSDCTAYPSVYVAAFSNRFGPSDPGTAKCLFSLDFSSEGSSGGKKKVVIVVVSVVCVFVLFFVIVGFFGYWKLKRKRRGMGGNNTEMDLGSGLDSMDQSTTLIRFTIDDVKKSTKNFHRDNIIGRGGYGNVYRGVISDGSEVALKRFKNCSAAGDASFTHEVEVIASIRHVNLVALRGYCSATTKYEGYQRIIVTDLMKNGSLHDHLFGSMGIKLSWPTRQQIALGTARGLAYLHYGAQPSIIHRDIKASNILLDDRFEAKVADFGLAKFNPEGMTHMSTRVAGTMGYVAPEYALYGQLTERSDVFSFGVVLLELLSGRKALQTDNDGQPSALTDWAWSLVRTGRALDVVEDGMPEPGPQQVLEKYVLIAVLCSHPQLYARPTMDQVVKMMETADESVPSIPERPIPFVAGRLDIERTVSMSGSGQLSSPTGYQPYTLESDPHSSNSNSKEEGSSSPKILSTY >OIW14297 pep chromosome:LupAngTanjil_v1.0:LG04:837349:840199:-1 gene:TanjilG_21437 transcript:OIW14297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSENLDDLSSTGTIAGEQIVCQFVEKHLGRGLWFGDNPLHHTTSVIAIEILIVFVVGKIVHFLLRPCHQTTIVAQILAGIIMGPSFIGKINPKFEGLFPAASRVTLQTFAEFGMVVHFFKLGVQVDPKMLLRIRKEALIIGIGGHMSAIAVGTIVFSIVDTLSPMGPQTLGFYSVVISSGLTSFAVLSSFLNEMKILNSEIGRLALSTAMVSDCSMWIMYFVVINGVRAMKQSSYLPILELVTSLCYFAVLFFFLRPLVIWISNRKPKGKPITEGHFVSIMLILLFVAISAQAAGQPAFMATFMFGCILPDGPPLGSILTERLDIIGSTLLVPAYCTISGLNTGSVPSIVGTKSASMEVIILAGYIGKFLGTIIPSIHFDIQFLDSVALSLIMCCKGILDLMLYNILLDYQATDELTFTLQIYTMVGVTGFATLMVHHIYDPSRRYRSYIRRSIRDTEQGIDLRILVCIHNEENVYPIINLLQVSNPTKATPLSLYVLHLMELSGRATCVLTKNKICNQSSDKGASSEPIINVFDRFQKHNKGCVTLQLFTAIAPYVSMHDDICYMAMDTKSNIVILPFHKLWAIEENAYVLNTSIRILNQNVLKKAPCSVGVLIDRSQMGGKLLVIQDKSFCEVAMIFVGGADDQEALSYSLRIAQHPVVRLTVFWIRAEIRSKQYNLKNPYIDLMEHVRYSNKHKGQVTFKEEFVEDGAGTTQVIRTLEGKFNLVIVGRHHVADSPCTLGLTEWCELPELGPVGNLLATSDFSFSVLVVQQQHFNRGFRYNR >OIW13260 pep chromosome:LupAngTanjil_v1.0:LG04:17267559:17268287:-1 gene:TanjilG_14193 transcript:OIW13260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKEFNVPPVVFPSGGNPGPSATIQRRPTAPFQPNPSPNPIPFMSFDIGPSTTSFPAPQFGSSNSLTNFDDEPPLLEELGINTKQIWTKTTSILNPFRSSNPNLHDDADLSGPVLCLIMFGLFQLLAGKIHFGIILGWVTVSALFLYVVFNMLAGRNGNLDLYRCVSLIGYCMLPMVILSALSLFLPQGGVVISGVAAIFVIWSSRVCTRLLVQVARWDEHRGLVAYGCFLIYTLFSLLVVF >OIW12744 pep chromosome:LupAngTanjil_v1.0:LG04:25240663:25253321:1 gene:TanjilG_24677 transcript:OIW12744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKDAKAKLSSLVHDLKERIASSTTSSSSSSTLNTPDDVALAVRFQTVLPNLLHTYIHPSSANEREVIAVLKLISHTATNFPRVFYHSTASSSSSSSSSSSSSILPIIAHIFPFFLTPPFRSHHALFFQTLSSLLSLLRSLSRDSYIHFFLDSMLLIQDILHVASLPLHNAGVNGSSRLTLKCFCRLFSGVEDIPLANKPVDGCGLLLDLTGESRWQPFATWILRLISKCITEGTLSVKGLIDASFVHAACSLLCYGDADLQMACFDFVYILGTVTNYDIIPYHNLILTISTILNVDNEGFHVFRNMAYDSSMGICLNFLYSSCTEDVVKLTAADLVSVFLQSTWRTKSQELKVALFSAYARIAQVCPPHIWKPEYLISVLYHPEPLLPALIDCFQVALSTLGPNLVGGVHKNKTSTMSSEDKLIERTLGQKRPIQDMDNLKIKRQKINEEIIVADASVEVECKYTHFVTLQLVQDYANHMNKSLLASVQSLNAPTVTHSSLKPAIGLSALSKLCIAFSSYPETHLSLKIFQQMLAWLPWIVEQAKQGNSITVDISTYLEGLHSVLLSQGASFIENNLLQYQNNCADLTHVVLKLPWTHMLLAADNCCQWKTKCLSLQVASKLGRCLNNEIVLEVLDLGLYDEAEEVRIEAVISMPVMLLWSAHDVSPIFKTLEYLKRDDEKVKKFVPISLGLLSCLYGWRRSGAGLHVNECKLFLNMNSGKTCWTIDQLLQGFNCSKCDQKVIYNHDKEHPRITHRPDMHGADADLSLDCNFIQLQTLFFALLFDESSEDVQISCVRVIHRILAHATPDILLKTRFEWIKCLEFFLISRSKELREAFCSQISSFVDNHILSLIFSGGTDKSKEQKFLDAIKYAVAVAESPQILETLLECTAEIMVAVDIDSKLFLSSLILLVDQLDSVHVTVRMNASRLIQKSCCSHLKGGLELILAKDVHILIELYDYLSERLASRPVLVREFAEAVFGVETEELVKKMVPFVLPKLVVSQQDSSQTLDTLYELAKCLNTDMGSLIVDWMPKVLAFALHQADDQMLLSAVQFYHEQTGSNKQEIFSVALPALLDELVCFTDVGDSDEISRRLARVPEMIKGIAKVLTGGEDLAGFLRNHFVGLLNGINKKMLHADDFVLQRQALKRIEMLVRMMGSHLNTYVPKLMVLLLHAIDKEPLQKEGLSVLHFFIKQLAKVSPSSIKHIISQVFASLLPFLERDIKNPSIHLDKVVKILEELVLNNRIILKQHISEFPPLPSILALAEVNKAIEDARGSMTLKDQLRDVVDGLNHENLNVRYMVVCELRKLLKIKWKDVTALITAEAGSDLDVLSSLITSLFRGCAEESRNRVGQRLKLVCADCLGALGAVDPAKVKGFSCQRFKIQCSDDDLIFELIHKHLARAFRSAPDTFIQDSAALAIQELLKFAGCEASLDENASTYTSQAQKDGDDSCGVVSKIKSTNGMNNRGQRLWDRFSNYVKEIIAPCLTSRFQLPKVSDSTSAGPIYCPSMSFRRWIFFWIRKLTVHATGSRASIFNACRGIVRHDMQTATYLLPYLVLNAVCHGTQEARHSITEEILSVLDAAASENSGASVHGFNGGQSEVCIQAVFSLLDNLGQWMDDVEQDLALSLSQSLVSKQQKSKDQSSNSLTDQEQLLVQCKYVSELLSAVPKVTLARASFRCQAYARSLMYFESYVRGKSGAFNPAAERSGIFEEEDVSHLMEIYIYLDEPDGLSGLSCLSKSLRLQDQLLINKKAGNWADVLTFCEQSLQMEPTSVQRHSDVLKCLLNMCHLQAMVTHVDGLISRIPRYKKEWCMQGVQAAWRLGRWDLMDEYLSGAEEEGLVCSSSESNASFDLDVAKILQAMMKKDQFSVEERIALSKQSLIAPLAAAGMDSYMRAYPFVVKLHFLRELEEFHSLLGDDSFLEKPFHVGDLGFAKLVDNWDNRLRFTQSSLWAREPLLAFRRLVFGASGLGAQVGNCWLQYSKLCRSAGHYETANRAILEAQASGAPNVHIEKAKLLWSTRRSDGAISVLQQSLLNMPVEILGSAAITSITSLSLLPLNPPPIVCESQSLNENIDIAKTLLLYSRWTHYTGQKQKEEVISLYTRVRELQPKWEKGYFYVAKYCDELLGDARKRQEENFELGPRSVSSAIAAAGSSNLNGERRWWSYVPDVLLFYAKGLHRGHKNLFQALPRLLTLWFDFGSMYQTSGSSNKDLKNVHVKVMSIIRGCLKDLPTYHWLTVLPQLVSRICHQNEEIVRVVKLIITSVLRQYPQQSLWIMAAVSKSTVRSRREAAAEIIQAARKGFSPRSDENSLFVQFASLIDHLIKLCFHAGQSRSRTINLSTEFSALKRMMPLGIIMPIQQSLTVNLPTYDGNPGDSPMCNIFSATDLPTILGIADEAEILSSLQRPKKVILLGSDGHEYPFLCKPKDDLRKDARMMEFNAMINRLLSKYPESRRRKLYIRTFAVVPLTEDCGMVEWVPHTRGLRQILQDIYITCGKFDRQKTNPQIKRIYDQCQGKIPEVEMLKDKILPMFPPVFHKWFLTTFSEPAAWFRARVAYAHTTAVWSMVGHIVGLGDRHGENILFDSTSGDCVHVDFSCLFDKGLQLEKPELVPFRLTQNMIDGLGITGYEGIFLRVCEITLSVLRTHRETLMSVLETFIHDPLVEWTKSHKSSGVEVQNPHAQRAISNIEARLQGVVVGVGAAPSLPLAVEGQARRLIAEAVSHKNLGKMYIWWMPWF >OIW12765 pep chromosome:LupAngTanjil_v1.0:LG04:25090504:25091291:1 gene:TanjilG_24698 transcript:OIW12765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPSRIHELVIGTPIISETCEQLHKSVNRYLPNPNTGCQYSTTTSMQMRLGKKISETVRRKLSLGAKILQVGGLERFFKQFFSLREGERLLKVSQCYLSTTSGPLAGLLFISTDKVAFCSERSMKVFTREGQMCRIHYKVVIPLTKIGCINQSASVQKPKQKYIEIVTVDNFDFWFMGVSKYHKTFKYLQQAISQA >OIW13214 pep chromosome:LupAngTanjil_v1.0:LG04:18083780:18084406:-1 gene:TanjilG_03543 transcript:OIW13214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLSSKSFDRHTLPVVLKSCAGLSALWIGKQVHGAIIVNGYALDLANLNALISMYAKCGDLACARKVFDKMRERNEVTWSTMMAGYGMNGMFEEVFELFDKMVEEGGRPDGVTFTTVLNACSHGGFVEKGRACFKMMEVRFGVKPGLIHYTCMVDMLGRVGLVEEAEKLISRMEVEPDDALWRALLGACKTHGKFEVAERASERVCSL >OIW13325 pep chromosome:LupAngTanjil_v1.0:LG04:14630622:14631923:-1 gene:TanjilG_02845 transcript:OIW13325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDETSDAMNLDLNLGPGPEPPAGSTVNEAMNLDDWIDEPLRFSEALRLRSRWRWRQLPVTPPPAVHVHFPEVPRHLHFHIPPEARNISMELNQFLVNSGNGSLLQAGEGSVAAEERIEVEIPKACENNNGVMEDETTVKKDDVEKGSGNVGDFFDCNICLDLAKDPVVTCCGHLFCWPCLYRWLHLHSDAKECPVCKGEVTLKNVTPIYGRGNNIRVPEEDATLKIPLRPHARRVESLRQTLQGTAFPVEEMIRRLGSRIDITRDLVQSNEPDNARETAERTSSLLSRFLTSRGIRREQNVGAPPDDVVGLPQNNLPGAGDIRRESLLLRRTSSNRARYTSAAVSSSAERLVDAYFSSHPFGRNQDQPLPVDDRDSFSSIGAVINSESQVDTAVEIDSMVSLSSTSRRRNDASRVSDVDSGDSRAPRRRRLN >OIW13928 pep chromosome:LupAngTanjil_v1.0:LG04:5628494:5630043:-1 gene:TanjilG_09279 transcript:OIW13928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRNCNLELALFPSHASDHHYNNHSMMEEACGSLKLQHLHHQQQQQRQQEQHNTLTIFYEGKICVSDVTEFQAKSIILLANKKMEERLKTPNGSEPSTPTVVESHQPLYSPATPLSMKRSLQRFLQKRKNRKQEASPYNH >OIW13439 pep chromosome:LupAngTanjil_v1.0:LG04:11733698:11736220:1 gene:TanjilG_05329 transcript:OIW13439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSFSTQLLHCQNPLPHLQGNSSQVRSHMVCCSTRLSQFGYSKGLTSRSHYASRFSATQQSVAKSTKYRRMTCVNATDNVVELQAKVTTKCFFDVEVGGELVGRVVLGLFGEVVPKTVENFREKGYGYKGSSFHRIIKDFMIQGGDFTEGDGTGGTSIYGARFKDESFALKHIGPGVLSMANAGPNTNGSQFFICTVKTPWLDNRHVVFGHVIDGLDVVKTLESQERSRLDIPRKPCRIVNCGELPNDD >OIW12832 pep chromosome:LupAngTanjil_v1.0:LG04:24521570:24527288:-1 gene:TanjilG_24765 transcript:OIW12832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSQNLILTAENPRHSAAFQQPLPPATAKALMSNENIAQKPPPSILVGRFKALLKQRDDELRDFPGAPVPPPTTDEIVEIYEMLLSELTCNLKPIITDLTIIAEQQREHAKGIADAICARILEVPVDQKLPALYLLDSIVKNYGQEYVRYFSLRLPEVFCEAYRQVQPSLHPAMRHLFGTWSKVFPPSALRKIEAQLQFSQAVNNQSSTMNSLRASESPRPSHGIHVNPKYLRQLDRSTVDSVGGEKLDSSGKASNTNFGLVASKTQQYVSSRIGMSSSPSRIGIDRSLSASIDEYAVGNSAARIVERESPRPSVDYGITKALGRDEELSEWQLKQYSGDGLNRFQTSMTHSLINGHQRQSPRALIDAYGCDKSHETSSNKRLLVERLDRNGKDKVLSTSWQNTEEEEFDWEDMSPTLVDHSRISGLLPSTIGFPRERPGIIAGNATSPEQDIRKGWSSGSQLPPVDDSSVTAEDTFPSSAHGHVFVGQISGFQNQINQSLGSCQPREAWKISHHPSNSSQYLFNIRGQPRSLLMPPTDNVPSTNEIPFGIRPAVSRISGLASNMEIRPPVLPASFDIRPSVNLHATRPPTLNPIFPLPSHFRSQFEAMNTSNPIVNHGPSKSPNMTEQFLDSAENKDTGKANIHQLPNHFAGLISPNQQNHGQVSQLQFFPPRDPSVPPYSHGSSFRGRGAPLSTAMSNPMSVLQFPLPAQGVANNSLHFQPGSHPPLPPGRPCAPSQMMLHPNASPFMPNQQPTAAYSNLINSLMSQGMISLGNQLPPQDSVGTEFNPDILKLRHESAINALYGDLPRQCTTCGLRFKCQEEHSSHMDWHVTKNRMSKNRKQKPSRKWFVSDRMWLSGAEALGAESVPGFLPTEVVDEKKDDDELAVPAEEDQNTCALCGEPFDEFYSDEMEEWMYRGAVYLNAPTGTTPGMDRSQLGPIIHAKCRSESTVSPSEDFALDAGGANEEGSQRKRMRS >OIW14012 pep chromosome:LupAngTanjil_v1.0:LG04:6494506:6495444:-1 gene:TanjilG_09363 transcript:OIW14012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTTNTLNQEPLPNRRSNYCNSPEFEFWKFRNPSFPQPNLLSADELFVDGVLLPLHLLSVTNKPDPQPRTSQKPVQHSEPGSGPDSSSSSSATSSESKRWKDIFRKTEKNNSTEEKEKENDKGKKKERRSGSGASVAELNINIWPFSRSRSAGNSVTRPKLFTGAPVTRKVNSAPCSRSNSTGESKYRKLPSSPGRAGVHVGRSSPVWQVRRGATAAKKSEPEALNGEKGSKIRDTATSRWSKVSGSGKARVLNLNVPTCIGYRHNLSCRSNENGGVGASGATTTNGGDDRNGGNLFNLRSLFTKKSIVTSH >OIW13210 pep chromosome:LupAngTanjil_v1.0:LG04:18490885:18498670:-1 gene:TanjilG_17653 transcript:OIW13210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDFQHLQKKPEPEPAEARAEYECGLEEFMHGQLDDCISFASCSSSRAQDDEDEEGDQLIRRRRRSDLEGDDLAESSAARRRHSRILGRWAARQAQEMMTTIERRNRESELMALAGLHTVSMLDSSFLRGSQSPTSGREGAVERPSTQSSAILQMWRELEDEHLLNRARERMRERLRQQRSSESETNVSSTMSDSRGTVDQRSLGDASESENDYGTWSHDHVGPQNAHGDGNRSSREQSPDLGEVERERVRQIVRGWMESGISDHSSNVSQRNNNRRAEWLGETERERVRIARESVQMTSQQRGSRGSRRDAQVSDGGQVDQVRDVVADRNDGHPEHVRRDMLRLRGRQAIVDLLVRVERERQRELQGLLEHRAVSDFAHRNRIQALLRGRFLRNERPVEDERPPSVAASELVQLRQRHTVSGLREGFRSRLETIVHGQAVTDPDATPNSNISETRSDENQGNNLIDGQQVNYEQEQIRSLESDVRHLPNQTGALESNTNENISWFEARNERGNWQEQIAEDGGGNWQQRTDRPFNQSRDGSAVSDWPQDTMRNLAREDLHPQEAQRIWHEDSTRETVGNWSEGLPEASRNQHDIPFRRINRFHPPDDDNVYSMELRELLSRRSVSNLLRSGFRESLDHLIQSYVERQGHAPINWDFHRNLPTPIPASPERDSDQQGDELNQGERVAINRPSRVMPSPPVPPPQPLWHQDLHQTGWSRHSMNRSEMEWDMMNDLRSDMAKLHQGMNHMQRMLEACMDMQLELQRSVRQEVSAALNRSAGENGLGAETSDDGSKWGHVKKGTCCVCCDSHIDSLLYRCGHMCTCSKCANELVRGGGLGAETSDDGSKWGHVKKGTCCVCCDSHIDSLLYRCGHMCTCSKCANELVRGGGKCPLCRAPIVEVVRAYSIL >OIW12597 pep chromosome:LupAngTanjil_v1.0:LG04:26490281:26497303:-1 gene:TanjilG_04761 transcript:OIW12597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPAATVTRQQGIERFGVRVSGTHDHHNGSSDHVAVGIRAAAVTNKQHRLRRSARSSRVTNLSMLAIVLFLFLVLVLTFLAFSYISRQDISNNGEDTDDVKNDSDFLTNVPRIERKKVLDFGNTSGGHGRDSRYWDKDDRRRDDDYNEDTRSKDTEEDTPVKMNHDVRSIQDDSYVGLKRKGVGLYNEAGRHELKRYEAEYEASLKSVEHLTEDGRKVSHEADLQKKNVVDDIDDDYDDFFDFHDAQTDDSDDSRSMRGKPSNSNVRRSGNEVQKESLDSLDAGNNDDNSSEDAEGASSLKASQDGKAISRHESNGQSNRKSHPETKKKPKRRKFSASCEMKLLNSTSQLVEPLESRKFARFNLHYTDIEEKPLGGEQWMPRFAGHQSLEERESSFLARDQKISCGFVKGPEGSSSTGFDLSEDDENYISRCHIAVISCIFGNSDRLRTPATKTITRFSRKNVCFVMFTDEVTVQTLISEGHEPDSMGFIGFWKLVVVKNLPYDDMRRVGKIPKLLPHRLFPFARFDDNISLKEKVVIIIVDGILFGWIANYVFSWILYISWSISCGGRVMNLQYPITMTGIVCGKRLHKIRRDQKISCGFVKGPEGSSSTGFDLSEDDENYISRCHIAVISCIFGNSDRLRTPATKTITRFSRKNVCFVMFTDEVTVQTLISEGHEPDSMGFIGFWKLVVVKNLPYDDMRRVGKIPKLLPHRLFPFARYSIWLDSKLRLQLDPLHILEYFLWRKGYEFAISNHYDRHCVWEEVAQNKKLNKYNHTVIDQQFAFYQADGLKRFNASDPNKLLSSNVPEGSFIIRAHTPMSNLFSCLWFNEVDRFTPRDQLSFAYTYQKLRRMNPDKPFHLNMFKDCERRHIAKLFRHRTDEKRNSRQKATN >OIW12580 pep chromosome:LupAngTanjil_v1.0:LG04:26617260:26621967:-1 gene:TanjilG_04744 transcript:OIW12580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRKFWALVFIFSVLFVHPLCLISANMEGDALHSLRTNLQDPNNVLQSWDPTLVNPCTWFHVTCNNDNSVIRVDLGNAALSGQLVPQLGQLKNLQYLELYSNNITGPIPSDLGNLTNLVSLDLYLNTFTGPIPDSLGKLSKLRFFRLNNNSLSGPIPMSLTNISSLQVLDLSNNRLSGVVPDTGSFSLFTPISFANNLALCGPVTGHPCPGSPPFSPPPPFVPLPPISAPGGNSATGAIAGGVAAGAALLFAAPAIAFAWWRRRKPQEFFFDVPAEEDPEVHLGQLKRFSLRELQVATDTFSNKNILGRGGFGKVYKGRLADGSLVAVKRLKEERTPGGELQFQTEVEMISMAVHRNLLRLRGFCMTPTERLLVYPYMANGSVASCLRERPPHQEPLDWPTRKRIALGSARGLSYLHDHCDPKIIHRDVKAANILLDEEFEAVVGDFGLAKLMDYKDTHVTTAVRGTIGHIAPEYLSTGKSSEKTDVFGYGIMLLELITGQRAFDLARLANDDDVMLLDWVKGLLKEKKLEMLVDPDLHNNYIEAEVEQLIQVALLCTQGSPMDRPKMSEVVRMLEGDGLAERWDEWQKVEILRQEVELAPHHNSDWILDSTENLHAVELSGPR >OIW13884 pep chromosome:LupAngTanjil_v1.0:LG04:8016796:8017311:1 gene:TanjilG_31773 transcript:OIW13884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHEKKKKNKRAREEEGENKIVGSSFEENNNNNAKKRGFEISFMKDKNKVKEEEAEEGSWDCDSNLGFGVFDFPWLKDGVISKPEDLEDFEDNFMSCLQHQDTSSFKVSGIDNFCDEYGLCETISEASMSHIPDSKLVEDAWKQFDKNNGWKLEAEDADNSTWTSLFNHPL >OIW13747 pep chromosome:LupAngTanjil_v1.0:LG04:8633875:8634705:-1 gene:TanjilG_17926 transcript:OIW13747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHDKPSTTETPSSSPTTTPSASSPTTSETTTPSASSPTTSETTTPPASSPTTSETTTPPASSPTTSETTTPSSSPSDQFSDTPSMDPPSDSPAPTPDGGASGYSLPSTVSISDYLKEKYGDQSKVEYNPDLEKICAHTHQPDVCLATISPLVKNKKKFDVVRILEASIKVSKENIKDIVEKIEKQAKESGENDESLNECKENYSKALDNLHKALEAIRAKNYGKVTILLSGALADVSTAESKIVDMQLSNFKVEPFSFASVTASNCLSIASLVPN >OIW13646 pep chromosome:LupAngTanjil_v1.0:LG04:9567829:9571161:-1 gene:TanjilG_07988 transcript:OIW13646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAVLRSLRRRDLPSASFSVYRSLTGSTKPSYVANKWSSLSRPFSSRPTGNDVIGIDLGTTNSCVAVMEGKNPKVIENSEGARTTPSVVAFNQKGELLVGTPAKRQAVTNPTNTVFGTKRMIGRRFEDPQTQKEMKMVPYKIVKAPNGDAWVEANGQTYSPSQIGAFVLTKMKETAESYLGKTVSKAVITVPAYFNDAQRQATKDAGRIAGLDVQRIINEPTAAALSYGVNNKEGLIAVFDLGGGTFDVSILEISNGVFEVKATNGDTFLGGEDFDNALLDFLVNEFRRTESIDLSKDKLALQRLREAAEKAKIELSSTSQTEINLPFITADASGAKHLNITLTRSKFEALVNHLIERTKAPCKSCLKDANISIKDVDEVLLVGGMTRVPKVQEVVMEIFGKSPSKGVNPDEAVAMGAAIQGGILRGDVKELLLLDVTPLSLGIETLGGIFTRLINRNTTIPTKKGQVFSTAADNQTQVGIKVLQGEREMASDNKVLGEFDLVGIPPAPRGLPQIEVTFDIDANGIVTVSAKDKATNKEQQITIRSSGGLSDDEIEKMVKEAELHAQKDQERKALIDIRNSADTTIYSIEKSLGEYRDKIPSEVAKEIENAVSDLRKAMSEDNVDEIKAKLDAANKAVSKIGQHMSGGGSTGGGSSDGGPQAPEAEYEEVKK >OIW13454 pep chromosome:LupAngTanjil_v1.0:LG04:10983523:10985049:1 gene:TanjilG_22245 transcript:OIW13454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRKAFLDLSFLLRSCVPHSAIFQAKQCHAQTILQGLLPNVTLENDLLLVYSRYSRCCYARKVFDRMLRRNMHSWNIMVASCVKNSMYNDVLTIFSEFKRCGLQPDHYTLPSLFKAAIGVCDAWFGKICHGWVIKLGYEGYVVVGGSVLEFYIKCGDIPLARSVFSNMLCRDHVVWNLMISGFGNAELYSEAINCFREMLVLNGVKVDYMIVPSILNACGREGDLMKGKELHGYVVKNFAFDADAPIGNALIDMYGKCGCLNDSEKVFRTLRHVNLVTWTTMISCYGIHGKGEESLSLFKKAIHDGFAPNSVTVTAILASCSHAGLVDQGKHIFSSIYSDYGLEPTVEHYACVVYLLSCCGYLVEALDFLKSMKAPVTGSIWGALLAGCVMHKNVEIGEIAAHHLFQLEPNNASNYIALCGIYQSHGMIDGISNIRAKMRNLGLVKTPGYSWINIGGRAHKFYQGDLSHPMAQMIYKIIYQISNVQLLNNYFLGVENSLHDDTLIMGL >OIW13556 pep chromosome:LupAngTanjil_v1.0:LG04:10504627:10505873:-1 gene:TanjilG_29297 transcript:OIW13556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMPNKLLDSSIASSMVARPKRTRVKSRRNKEELENQRMIHITAERNRRKQMNEYLSVLHSLMPESYVQRVDQASIVGGAINFVKELEQRLQFLGAKKESVEGKSDAITSIPFSDFFTFPQYSTSASCSENSLAMNEQVNEVKCSIADIEVTMMETHANLKIRSKKRPKQLLKIISSLHGMHFTILHLNVHTSGEIVLYCLSVKVEDDCNLRSVDEIAAAVYQTVNRIQEEAMLNN >OIW12684 pep chromosome:LupAngTanjil_v1.0:LG04:25704482:25707417:1 gene:TanjilG_24617 transcript:OIW12684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKILQETSTPSSNSSFHSSSSAPATTLSTSITDTVRGSHQFKITGYSLSKGIGIGKYIASETFSVGGYDWAIYFYPDGKSVEDNATYVSLFIALASEGTDVRALFELTLLDQSGKERHKVHSHFERTLESGPYTLKYRGSMWGYKRFFKRTALETSDYLKDDCLSVNCSVGVVKSHTEGPKIYSVAVPPSNMGQHFGQLLESRKGSDVSFEVNGEIFNAHKLVLAARSPVFRAQLFGPMKDQNTKCIKVEDIEAPVFKALLHVIYWDSLPDMQELTGLNSKWATTLMAQHLLAAADRYGLERLRLMCETTLCEVVAINTVATTLALAEQHHCFQLKAVCLKFIARPENLRAVMQTDGFEYLKESCPAVLTELLEYVARVTEHSDFMCKHRNDAILDGSDINGRRVKQRL >OIW12481 pep chromosome:LupAngTanjil_v1.0:LG04:27281816:27284113:1 gene:TanjilG_04645 transcript:OIW12481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCYRAENKSIKLGSSIVAGTNSSWESGSGDFAFGFYPLVTGHYLVGIWFNKIPNKTLVWSANRDDPAEIGSTINLTLSGQFVIQHANNTSFPIYNGTTNNATSAMMQDNGNFILLNSLSTIWESFDSPTDTILPGQTLKMGHILYSNANITTQDYSTGQYKLEVQTDGNIVISAFRFDDPGYWYTSTDHNTNVTLVFNNTTAFLYAVNDTHNIFNMTTATQVPNPIQNYYHRATINDHGNFQQLIYLKESGNHWTTIWEAITQPCTVNAICGVYGFCTSPDNRTISCDCLPGYTPLDPSVPSRGCYPKVVMDFCSHNSSSSSNFTVEEIQDADIPNQIYSDLQRIDSSDLNSCRNEVINDCFCMAAVLIESVCYKKRTPLSNARKSIPATSNRVALIKVAQVHEDNENDSPSQVVYLVALSACSFFAIVFATIAIYHHPTFQNLVHKGSPPKPDPVDINLKSFSFQELRQATNWFRNTLGQGAFAIVYSGVLTLEGEEVEVAVKKLEKHEEKGEKEFVNEVQVIGMTHHKNLVRLLGFCNEQNHRLLVYEMMRNGTLSNFLLGKEDIPRWEDRAKIVVEIARGLMYLHEECDPQIIHCDIKPQNVLLDSNYRAKIADFGLAKLLMKDRTRTSTHVVRGTMGYMAPEWLKNVPITAKVDVYSFGVMMLEILFCRRHIDLHQIEDGGDDMILIDWVLHWAKVGNLRVIVSHDLEAVNDFKRFERMAMVGLWCLCPNPTLRPSMIRVLQMLEGNMEVGVPPLFDGEML >OIW12927 pep chromosome:LupAngTanjil_v1.0:LG04:23574754:23575563:1 gene:TanjilG_15847 transcript:OIW12927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIEVQSEISITGISPRISFSNDLKNKDNDSDSMEDQSKLCLLDSTSSDFVFCITNGLTQKISSADELFSNGKIVPMEIKPHSNAPDSPYQSQEPYIPSSQPSTTKLTEKKRLKEFLSSSSNETENETEKPSLKYFWQFKRSSSLNFDTTRANSLIRSLHFLSRSNSIGSAPKTKKQEVTGENQKQMFQKQSSISSRRSSISSSSSSSSTYYFYSSPSLNKNYGSSSSGNGVRISPVLNLPHAYIPKATTNFFGIGSLLCNGIIKKKKK >OIW13302 pep chromosome:LupAngTanjil_v1.0:LG04:14182631:14183341:-1 gene:TanjilG_02822 transcript:OIW13302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPLNPLTESLSNENYGMLSKAPIPPFGPIPEKGPSHKMPPPLNPLTESLSNENYGMLSKAPIPPFGPIPEKGPSHKMPPPLNPLTESLSNENYGMLSKAPIPPFGPIPEKGPSHKMPPPLNPNTESLSNENYGLLPKAPIPPSGPILEKGPSRKMPPPLNPDIEFLSNENYGMLPKAPIPPSGPIPEKGPSHKMLPPLNHDTQTSSNVITSIRLSHGEAKHHPSPPRAFSKDTV >OIW12904 pep chromosome:LupAngTanjil_v1.0:LG04:23823423:23826607:1 gene:TanjilG_15824 transcript:OIW12904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRKLVSSLIRSSLRRSSPKPSISSSSASRSRIPSSSSSRPSPYGYLLNRVHSTAAAASSTAPATPPASKEVGVGGKIVDEYTGKGAIGQVCQVIGAVVDVRFEEGLPPILTALEVLDHNTRLVLEVAQHLGEGVVRTIAMDATEGVVRGWRVLNTGSPITVPVGRATLGRIINVIGEVIDEKGPLETEHYLPIHREAPAFVEQATEQQILVTGIKVVDLLAPYQRGGKIGLFGGAGVGKTVLIMELINNVAKAHGGFSVFAGVGERTREGNDLYREMIESGVIKLGDKQSESKCALVYGQMNEPPGARARVGLTGLTVAEHFRDAEGQDVLLFVDNIFRFTQANSEVSALLGRIPSAVGYQPTLSTDLGGLQERITTTKKGSITSVQAIYVPADDLTDPAPATTFAHLDATTVLSRQISELGIYPAVDPLDSTSRMLSPLILGEDHYETARGVQKVLQNYKNLQDIIAILGMDELSEDDKLTVARARKIQRFLSQPFHVAEVFTGAPGKYVDLKESINSFQGVLDGKYDDLSEQSFYMVGGIDEVIAKAEKIAKESSS >OIW12716 pep chromosome:LupAngTanjil_v1.0:LG04:25454256:25455008:1 gene:TanjilG_24649 transcript:OIW12716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSETVFEILNDDIIFTIFAKLDDDPRHCARVACVSTRFFSLIRDFCWKTKSFPSLFSVLLSDDSPSGWFTLHKLSVCCPGLRHSGVLPTTITTTAAAAESQGERCSKNRKICFGYWKSHLATGNWNLSREQGSKLLARQFRDDCLYICDWPGCVHFEEKRKYNLFRGVFKNFKQTRVWRTINDGVGTERERSKIDLGCAFCHCTQIWDLHSAFCLRRGFGFHDDGEPVVRAYVCENGHVSGAWTDVPMYS >OIW13106 pep chromosome:LupAngTanjil_v1.0:LG04:20940865:20947992:1 gene:TanjilG_08139 transcript:OIW13106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMESEGEAGAKQMKVLGGKVCQICGDNVGNTVDGEPFIACDVCAFPVCRPCYEYERKDGNQSCPQCKTQYKRHKGSPAILGDREEDGGAYDGANDFNYNSENQNQKQKIAERMLSWQMAYGRAEDVGAPNYDKEVSHNHIPLLTSGQEVSGELSAASPERLSMASPAAARGKRVHNLTYSSDANQSPNIRVVDPGFGNVAWKERVDGWKMKQDKNAVPMSTGQATSERGVGDIDASTDVLGDDSLLNDEARQPLSRKVSLPSSRINPYRMVIVLRLVILCIFLHYRITNPVPNAYALWLISVICEIWFAFSWILDQFPKWLPVNRETYLDRLALRYDREGEPSQLAAVDIFVSTVDPLKEPPLVTANTVLSILAVDYPVDKVSCYVSDDGAAMLTFEALAETSEFARKWVPFCKKYSIEPRAPEWYFALKIDYLKDKVQPSFVKERRAMKREYEEFKIRINGLVSKAVKVPEEGWVMQDGTPWPGNNTRDHPGMIQVFLGQSGGLDTDGNELPRLVYVSREKRPGFQHHKKAGAMNALVRVAAVLTNGPFLLNLDCDHYINNSQALREAMCFMMDPNLGKNVCYVQFPQRFDGIDRNDRYANRNTVFFDINLRGLDGIQGPVYVGTGCVFNRTALYGYEPPIKPKHKKIGMLSSLCGGSRKKSSKSGKKGSDKKKSSKHADPTVPIFNLEDIEEGVEGSGFDDEKTLLMSQMSLEKRFGQSAVFVASTLMENGGVPQSATPETLLKEAIHVISCGYEDKTEWGSEIGWIYGSVTEDILTGFKMHARGWRSIYCMPKLAAFKGSAPINLSDRLNQVLRWALGSVEILVSRHCPIWYGYSGRLKWLERFAYINTTIYPITSIPLLMYCTLPAVCLLTNKFIIPQISNLASIWFILLFLSIFATGILEMRWSGVGIDEWWRNEQFWVIGGVSAHLFAVFQGLLKVLAGIDTNFTVTSKASDEDGDFAELYLFKWSTLLIPPTTLLIINLVGVVAGISYAVNSGYQSWGPLFGKLFFAFWVIIHLYPFLKGLMGRQNRTPTIVVVWSILLASIFSLLWVRIDPFTTRVTGPDVEQCGINC >OIW13542 pep chromosome:LupAngTanjil_v1.0:LG04:10396201:10396785:1 gene:TanjilG_29283 transcript:OIW13542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIPYTSSFHLSFPLSPLKPKHPHHFITLLPKPLSLPPKLTTTTTTTTINSIDISKEDTSPSPSQPEPDSISDSLSPETEPFDSRRLEEKFAVLNTGIYECRSCGYKYDESVGDPSYPIAPGFQFQKLPEDWRCPTCGAAKGFFQSKSVEIAGFAQNQQFGLGGNSLTSGQKTLLIYGALLSFFALFLSGYFLQ >OIW12888 pep chromosome:LupAngTanjil_v1.0:LG04:23972363:23975221:1 gene:TanjilG_24821 transcript:OIW12888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFQTLRFSLTLMLSLIFLSLAFALDMSIIDYDARVTDTHLRNMYETWLVKHGKAYNGLGEKERRFDIFKDNVQFVDEHNTATNRTYKLGLNKFADLTNEEYRKMYLGTRKRSSEGLLSGTKKSGRYAFKVGEELPDSVDWREKGAVSPVKDQGQCGSCWAFSTVAAVEGINQIVTGDMITLSEQELVDCDKNYNMGCNGGLMDYAFEFIVKNGGIDTEEDYPYSARDRSCDPSRRNTKVVSIDGYEDVPQNDEQSLKKAVSYQPVSVAIEAGGRAFQLYQSGVFTGICGTELDHGVAVVGYGTEDDKDYWLVRNSWGPGWGENGYIKLERNINSTNTGKCGVAMEPSYPTKNGVNPPKPAPSPPTPVAPSSNVCDEYYSCSTGTTCCCMFQYESFCFGWGCCPVEAATCCDDRSSCCPPEYPVCDVNGGTCLLSKENPFGIKALRRTPATSTLTQRKAGMKTKLV >OIW14290 pep chromosome:LupAngTanjil_v1.0:LG04:920109:921440:1 gene:TanjilG_21430 transcript:OIW14290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHMEGNNGGGGGRRVGVGEDDMSDGMQCINHPHRNNNYNNSGGICAFCLQEKLGKLVSSSSSLPIHPSSTSSPSSSSDSFRSNSVYSSTNPSASASIITTRTTSALAPTSLSSVQKNGANTNHIHHEHYMRSRLAFLLAKKNKNNKLSTMANSSSSSASASAATSDIIFKRSKSTAIPRRRGKFLDDDDGDIVIEDFNFSPKKRNWFWSFLYLSSKPSSSKKFDAKSIRENSNGGPRISAVNAASCTSREKCSYGASSMGRKSNMVVEEVVEEDGDSVASASFDRKVSRSRSVGCGSRSFSGDIFDKISTGLGDCTLRRVESQREGINKVGGVVNRHHHFMKERVMCGGLFSGFMMTSSSSNSSASSTYWVSSSTDDAMNNSSNGESAHGRGSSKSWGWAFASPMRAFGTKTSSSKDNKKDDSDKNVTPNLSAIPSLLTVSS >OIW12522 pep chromosome:LupAngTanjil_v1.0:LG04:27018002:27018754:-1 gene:TanjilG_04686 transcript:OIW12522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGVGPTCSDISLPKEQEVEHKEQQDQSLKNLNKPNITSTPKKATFLSFRQLNCLALVIVLSASGMVSFENFACVVFSSIYMFILSKVAFPTLHPSREPPIFNPKNKVLGFYVLIGAIIGLFAPIAYILEGILEGDKEGIKAAAPHVFLLASQVFFEGVAFSDRFSTPIRAFVPIFYNSVRIFTLMEWLRNEIYKVNEEHSGSDRRIYVGRVLAVANMAFWSFNLFGFLLPIYLPKVFKQYYSGYKEKI >OIW13560 pep chromosome:LupAngTanjil_v1.0:LG04:10533101:10537518:1 gene:TanjilG_29301 transcript:OIW13560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSFGYRANALLTFAITILALICAIASFTDSFNHPTPFAQVKVLNINWFQKQPNGNDEVFVFLAAEYETKKNALNQISLWDGIIQSKEHAKFWTHTSNKYRFIDQVFVFLAAEYETKKNALNQISLWDGIIQSKEHAKFWTHTSNKYRFIDQGSNLRGKKFNLTMHWHVMPKTGKMLADKIVIPGYRLPEEYR >OIW12989 pep chromosome:LupAngTanjil_v1.0:LG04:22717054:22720560:1 gene:TanjilG_15438 transcript:OIW12989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTSSNLNAPEKKQWWLTNRKIVEKYLKDARNLIATQEENEIASALNIVEAALAIYPRLEQALELRARSLLYLRRFKEVADMLQDYIPSLKMANDDSGSVSSDSSSQTLSREGVNLLSYSVERDQSFKCFSISELKKKVMAGLSKNYEKEGHWRYLVLGKACCHLGLMEDAMVLLQTGKRLASAAHRRESICWSDDSFSLSTININSQPSTPPLTPLTETESITHLLTHIKLLLRRRASALAALDAGLHSEAIRHFSKLLDGRRFAPQGFLAECYMHRANAYHSSGRIAESIGDCNRTLALDPTCIQALETRASILETIRCYTDSLHDLEHLKLLYNTILRDKKLPGPAWKRHNVSYREIPGKLCALTIKIQELKKRVGSGEVGNVDYYVLIGLRKGCSRSELQRAHLLLCLKHKPDKATNFIDRCELANEHDLESVKDRAKMSALLLYRLLQKGYANVMHTIMDEEAAEKQNNIKEALALQLQQEAEEVNEKKIVENKNKCLISSPSIVDSSVFQGVFCRDLSVVGDLLSQVGFNRPIPMKYEGLSC >OIW14333 pep chromosome:LupAngTanjil_v1.0:LG04:299332:305825:1 gene:TanjilG_25119 transcript:OIW14333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTQKKTTPCCSPGVINNNPTTPSSPPISAFISAVDSRSDPPPKTPSAKGITVMVRAQTCHPLDPLSAAEISVAVATVRAAGATPEVRDSMRFVEVALIEPDKQVVALADAYFFPPFQPSLLPRTKGGPVIPSKLPPRKARLIVYNKGSNETSIWIVELSEVHAATRGGHHRGKVISSKVVPDVQPPMDAVEYAECEAVVKDFPPFREAMKKRGIEDMDLVMVDPWCAGYHSEADSPSRRLAKPLIFCRTESDCPLENGYARPVEGIHVLVDMQNMVVLEFEDRRLVPLPPADPLRNYTSAETRGGVDRSDVKPLQIIQPEGPSFRVNGHFIQWQKWNFRIGFTPREGLVIYSVAYIDGSRGRRPVAHRLSFVEMVVPYGDPNDPHYRKNAFDAGEDGLGKNAHSLKKGCDCLGYIKYFDAHFTNFYGGVETIENCVCLHEEDHGILWKHQDWRTGLAEVRRSRRLTVSFICTDGKIEAEVKLTGVLSLGALQPGETRKYGTTIAPGLYAPVHQHFFVARMDMAVDCKPGEAFNQVVEMNVKVEEPGNNNVHNNAFYAEEKLLKSELEAMRDCDSSSARHWIVRNTRTVNRTGHLTGFKLVPGSNCLPFAGSEAKFLRRAAFLKHNLWVTAYAHDEMYPGGEFPNQNPRAGEGLATWVKQNRPLEEADIVLWYVFGVTHIPRLEDWPIMPVDRIGFMLMPHGFFNCSPAVDVPPSTSELDEKENSIHHNKPIIQNGFIAKL >OIW14230 pep chromosome:LupAngTanjil_v1.0:LG04:1957629:1966330:1 gene:TanjilG_21370 transcript:OIW14230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIKKIELLKWFASNEEMMEVLTAVATDLGDVIEDVNSLVVIPLKGAMTNEVFQINWPTKSDGHLRRVLVRLYGEGVEVFFNREVEIQTFECMSRHGHGPRLLGRFPTGRVEEFIHARTLSAVDLRDPAISALIATKMRDFHNLHMPGAKKAQLWQRMRNWVNHAKSLCSPKDAKNFGLDKLDAEINILEVLLSEGYEEIGFCHNDLQYGNIMIDEETRSITLIDYEYASYNPIAYDLANHFCEMTANYHSDNPHVLDYSKYPGKKPSNIEVEQLVTAAEKYTLANHLFWGLWGVISTLSAVDLRDPAISALIATKMRDFHNLHMPGAKKAQLWQRMRNWVNHAKSLCSPKDAKNFGLDKLDAEINILEVLLSEGYEEIGFCHNDLQYGNIMIDEETRSITLIDYEYASYNPIAYDLANHFCEMTANYHSDNPHVLDYSKYPGKKPSNIEVEQLVTAAEKYTLANHLFWGLWGVISSYVNTIDFDYKEYARQRFQQYWLKKPTLLDSSRIISQDGNVNNIS >OIW12493 pep chromosome:LupAngTanjil_v1.0:LG04:27175696:27176346:-1 gene:TanjilG_04657 transcript:OIW12493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQTEDFFEHQSSQQNLYEELLKLRAKHESLEKTQRNFEGEDLGPLSMKELQSLEKQIDRTLSQARQHHVRTY >OIW13043 pep chromosome:LupAngTanjil_v1.0:LG04:21652879:21655826:-1 gene:TanjilG_17603 transcript:OIW13043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFRCNILAFVGGGPDPLHPINKVMIWDDHQSRCIGELSFRSEVKGVRLRRDQIVVVLGHRIFVYNFVDLKVLHQIETIPNPKGICEVSHSGTMVLACPGLQKGQVRVEHYALKRTKFIMAHDSRIACLALTQDGRLLATASSKGTLVRVFNTLDGSLLQEVRRGADRAEIYSLAFSHTAQWLAVSSDKGTVHVFNLKVDSGLLGHDRLHSTSESNPASPKALSSLSIFKGSSLCAIAYCVLPKYFSSEWSVAQFRLPEGLQHAVAFGHQKNTIVILGLDGSFYRCQFDSAMGGEMTQLEYYNFLKPEETF >OIW12643 pep chromosome:LupAngTanjil_v1.0:LG04:26040173:26041460:1 gene:TanjilG_24576 transcript:OIW12643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFPMKIQPIDFQLPAEGTRLELAKPVVKSRLKRLLERQFSGVLRNPAVEKVSGAIEEQNLCKDGINYSHDFEPSTVCLTNMVHNFIEENPEKHSVSVRCGRNRCNCFNRNSDDNSDNDSDDFSDSNYSPSEACEILKGMVACASVFERNLLADTTKIVDNNNKICKRKDSYCRKIVTECLLSLGYDASICKSCWEKSPSCPSGEYEYIDVILGKERVLIDIDFKSEFEIARSTKTYKTILQNLPYIFVGKCDRLQNIVAIVSEAVKQSLKKKGMHVPPWRRAEYVRAKWLSPYTRATPPKMENLQLLKGSNCVESQVCKSSAEEEKAEVKAVVEWKPPEVKAKGSLSGVKVVTGFAAVFDKDNE >OIW14171 pep chromosome:LupAngTanjil_v1.0:LG04:3233426:3234911:-1 gene:TanjilG_21311 transcript:OIW14171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIITSLSLHDGVNEHHIKSVLDEEDGSQSTNGLSNDSPIPHSPPLCGSGNGYVYKGTNTNYHLEKEEESLINFNANNLMHPTESLLSFHLKDNIQNEYCVWENNLHQGYSQCDQISPRNTGELRMVQDFNCFQTASGYSSIMNCAKEKQYGESSYGWLYSEPTTLVDSLQEPGAQEQVIKKRPSKGENMKASKKQCTTESKTSKPKASLSKDPQSVAAKNRRERISERMKVLQELVPNGSKVDLVTMLEKAISYVKFLQLQVKVLATDEFWPVQGGKAPDISQVKEAIDAILSSQRERSSSTK >OIW12739 pep chromosome:LupAngTanjil_v1.0:LG04:25270148:25271836:1 gene:TanjilG_24672 transcript:OIW12739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSHKISPKTNSPKRNSKTLHDLFVQEKTLFPIQSSPTTSADEEILSAISYCTFVFSFTDPSESPTQRDSKRLQLTRLISILKSSKKPVHEKVLEPLVCMISNNLFRPFPPPNNHSSISELHDEEDHFSTFSPIWSHLQIVYEILLRLTVTTDHKILREYLDHSFLLKLLSLFQSEDPRERESLKSVYHKIYSKFISDRSFMRKSMTDVILNYVFETEKQPGMAELLEIWGTIINGFTLPLKEEHKLFLMRVLIPLHKAKGMQVYHRQLAYCVLQFVQKEPMLGGVVVKGILRYWPVTNCSKEILLIGELEELVENLDHDQYCKLALPLCTQITKCINSWNSQVAERALYVWNNEQFVKMASTVMVEVYPMIVEGIEKNLKWHWSKSVKQLTENVKMMLQEMDPVLYSKGLMDMETKESLAHQEDMKRKKRWERIELEAANNEFFNPPHYICVSH >OIW13264 pep chromosome:LupAngTanjil_v1.0:LG04:16408772:16415143:-1 gene:TanjilG_25743 transcript:OIW13264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWYSTGSDAQEPDMHIHKALMDINESPVYVLLNPSIIHSQKDLPVSILESELHVIDGIPQLIFVHSSYTVEAVEAERISVDHVSHLKPSDGGSAATQLAAHLTGIHSAIKMLHSRIKVLHHYLLAMQKGDVPFENSLLRQVSSLLRRLPAIESRKFRDDFLMEYNDTLLVSYLAMLTNCSSATNDLVDKFNTVYDRHSRRGGRTAFM >OIW12973 pep chromosome:LupAngTanjil_v1.0:LG04:22991142:22991621:1 gene:TanjilG_15422 transcript:OIW12973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSITAILLLISAILQPPPLTSSPATTAYELLAQYNFPEGILPKGITEYELDESSGKFRAYLNDTCSFSLEGSYQLSYEPIISGHISKNRLKDLTGVSVKVLFMWLNIVEVVRDADDLDFSVGIASASFPLDNFFVSPQCGCGLDCDDEFENTSLSLSSM >OIW14209 pep chromosome:LupAngTanjil_v1.0:LG04:2452440:2454838:1 gene:TanjilG_21349 transcript:OIW14209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSKAPKKANLLDHNSIKHIIDESVSEIVKNGGYVEDVRLSNVRLLIGTIIIVIALFAQFYKKKFPENKDFLIGSFSTTGLVVSSKLPRFSDMYTLTIASADPKSVSANKPVHLTKSVTEWFTKDGILVEGRIWKDVEALINQYAKEPKKNK >OIW13737 pep chromosome:LupAngTanjil_v1.0:LG04:8692200:8694093:1 gene:TanjilG_17916 transcript:OIW13737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFFDWFYGILASLGLWQKEAKILFLGLDNAGKTTLLHMLKDERLVQHQPTQHPTSEELSIGKIKFKAFDLGGHQVARRVWKDYYAKVDAVVYLVDAYDKERFAESKKELDGLLSDESLANVPFLVLGNKIDIPYAASEDELRYHLGLTNFSTGKGKVNLTDSNLRPLEVFMCSIVRKMGYGDGFKWLSQYIK >OIW12977 pep chromosome:LupAngTanjil_v1.0:LG04:22957362:22960616:-1 gene:TanjilG_15426 transcript:OIW12977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFSLSFTLLFSLFSTLLLLHSNAFPLHYHNHPLHHHHSRFATHNYRDALSKSILFFEGQRSGKLLSNQRISWRKDSALSDGSAMHVDLVGGYYDAGDNVKFGFPMAFTTTMLSWSVIEFGGLMKSELQNAREAIRWGTDYLLKATVHPNIIYVQVGDAKKDHACWERPEDMDTPRSVFKIDANNPGSEVAAETAAALAAASLVFRRSDPVYSKILVRRAIRVFQFADKYRGAYSNSLKHVVCPFYCDYSGYLDELLWGAAWLHKATKNPMYLNYIQVNGHILGAAQFDNTFGWDNKHVGARILLSKEFLIQKVQSLHDYKGHADNFICSLIPGASFSASQYTPGGLLFKMNDSNMQYVTSTSFLLLTYAKYLTMSHMVVNCGGTTVTPKRLRTIAKKQVDYLLGDNPVKMSYMVGYGPRYPRRIHHRGSSLPSIAVHPGKIQCSAGFSVMNSQSPNPNVLVGAVVGGPDLHDRFPDERSDYEQSEPATYINAPLVGSLAYLAHSFGQL >OIW14116 pep chromosome:LupAngTanjil_v1.0:LG04:4124113:4126999:1 gene:TanjilG_21256 transcript:OIW14116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWGRWVFAGAGFGSLRKRVSRIVNLSHDYVEKFHPFDPPLLGLSGFASVEYGERLRGISSLSVVFMNQMKKRGISSSTNSNNSSEDGNKVTEDSISFSEAKKLMRLVNVESLKMKLDMEGKEVISYSELLQACESMGVARNKDESAAFARILDDAGVILLFRDKVYLHPDKVVDLVRRAVPLALTSEDDPAREELKKLQEKKEEIDLLAHKQVRRILWSGLGFGVITVGLFFRLTFWEFSWDVMEPIAFFTTTTGLVIGYAYFLITSRDPTYQDFMKRLFLSRQKNICKRLNFDVERFKELQCKCKSPLDATTVLKKRVGVEVDLEDTLHRD >OIW14243 pep chromosome:LupAngTanjil_v1.0:LG04:1750566:1753052:-1 gene:TanjilG_21383 transcript:OIW14243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGTLFPTRPLLPLQPNRPQHQQQPQPQQQQQQQPLKYKSTLLPPTIHSPSPQPHSIQFDSILQHLSSSPITTKNNNNKNIVQHNRKQPTSASVPIIDEKHVGDEKIGFLSDRGKLILNSIVGSPLNSLNDFFNSAKIDLLQVDFPSLLKALGLSGNWERALLLFEWVLLNSGSENVRVEKEVIEIMVRILGKESQHSIASKLFDLIPVEEYSLDVRAYTTILHAHARSGKYKRAIYVFEKMKGTGLDPTLVTYNVMLDVYGKMGRSWDKILELLDEMRSKGLDFDEFTCSTVISACGKEGMLVEAKKFFAELKLNGYKPGTVTYNSLLQVFGKAGVHTEALSILKEMEDNNCPPDSVTYNELVAAYVRAGFHDEGAAVIDTMTSKGVMPNAVTYTTVMNAYGKAGKEDMALKLFSQMKEVGCVPNVYTYNSILAILGKKSRSEDMIEVLRDMKLNGCAPNRVTWNTMLALCGEEGKQNYVNHVLREMKNCGFEPDKDTFNTLISAYGRCGSEVDVAKMYGEMVKAGYTPCATTYNALLNALARRGDWKAAESVIMEMRNKGLKPNETSYSLLIHCYSKDRNIKGIEKVEKEIYDGHVFPSWILLKTLVIAYYKCRHLRGMEKAFQHLQKNGYKPDLVVINSMISMFVRFKMHTKAREMLHFIYESGLEPNLVTYNSLMDLYAREGECWKAEEMLKQIQNSGQKPDVVSYNTVIKGFCRQGLMQEAIRVLSEMTANGVRPCIITYNTLLGGYAGQELFSEIDEVIRYMIEHNIRPNELSYKIVVDGYCKVGNYTEAMDFVSKIKDIDISFDDQFVKRLVSCIRESLGS >OIW12857 pep chromosome:LupAngTanjil_v1.0:LG04:24280158:24280490:1 gene:TanjilG_24790 transcript:OIW12857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLIPYLIHVMKKQKPHQHRYRSFSHSESSNRSYHLLMESESLTGSSHRRTRSDYQPPTAEFLEQRNSVADFLASPRGPVTIAAVSTISSAKSSYAAKQSLNNFNNIRK >OIW13311 pep chromosome:LupAngTanjil_v1.0:LG04:14318979:14321590:-1 gene:TanjilG_02831 transcript:OIW13311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKQAIGRFFRSNVYACEGNRVLPWIYMPSCYFHNGQAHMAPRSFFGVEDFLDDDNSKPYTYQKGKKSKNPNKHISFKQRTIAYMEPFTLDVFISKRFVSSSLTHRVTSKQVSVAGTNSKDIKAVLRSRSDIPACIAIGRILAERAREADVYTASYTPRDRDKFEGKIRAVVQSLIDNGIDVKVYLD >OIW13521 pep chromosome:LupAngTanjil_v1.0:LG04:10228993:10232982:-1 gene:TanjilG_29262 transcript:OIW13521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYHGKGSSVSFAFCNEQCIPCPWKSLEKLKRENFNVRGVDFQRGSSRFLIKAVATFEPKSLTSAKENSFMVSKDLESARNPGLPGVMLEFSDDESGKVDEREKLRRMRISKANKGNTPWNKGRKHSPETLQKIKERTRIAMQNPKVKMKLVSLGHAQTTETRLKISVGVRMRWQRKRGKKLVQETCCFEWQNLIAEASRQGYIGQEELQWNSYKALDEQLKQEWLDSVEQRKQMVRTPGRKRAPKSPEQRRKIAAAISAKWADPEYRGRVVSALAKYHGSEAGAERKPRRPSVRTQPIRKKDTKTSTNNVKNSPKVVYPIQLKKSKSPAYKDPLVNSKLQMIKNIRAQRAAAETEHAQAVAQARLLIAEAEKAAKALEVAAMKSPMAQASLIETRKLIAEAIQSLECIDTQEIPDNNVPSVAFSEIDCENGSAPEVPNQSHMPQVNGHKTLSSSDNNFPEDFSEFLSEKLLNGGDHELYISSTNGRSSFPFSLNNSITELSPSNQRTETETETEEDLSSEYGTDTSSTVVAIQSIKDEETLSRTSPAVTRKWICGRLVEVVEGKHEEDG >OIW12607 pep chromosome:LupAngTanjil_v1.0:LG04:26403392:26406264:1 gene:TanjilG_04771 transcript:OIW12607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKGSWFSTVKKAFNGSDSRKKQKQKQKHKSSLGCFGYYEAPEPGFEEEARAPVAVVPSLPPRKDVVKPREGDSEQNNQALSLALVTAVAAGAAVAAAAAAEVARLNAVSHYDEKAGEEVAALKIQTAFRGYLARRSLRGMRGLARLKTLIQGQFVKRQAATALQCMQTFSRLQSQIHARRIRMSEENQALQRQLDQKREKELEKLQAAQVAEKWDARVQSKEQIEAKMLHRQVAAMRREKALAYSLSHQQTWRNSPKSANATFMDPHDPHWGWNWLERWMASRPWDGQSTIQHNDHASAKSAASSHATMSVREITKLYTLRDENHHESKNSSPATNQKPTRVHSHNNNSPSAPKANAKAKALSRQGGSWGGSADVSTSMFRIKNESNHRHSISVSLARDNESLANSPAFSTQISSPSIKVAKAKSLVPSPSRNKGTMLEKGAGVSAKNRLSFSPSPSGARRLSIPAKVAMVSNKSVAIATIPEEKVKVKVKNGGSR >OIW13736 pep chromosome:LupAngTanjil_v1.0:LG04:8695812:8697526:1 gene:TanjilG_17915 transcript:OIW13736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLFDWFYGILASLGLYQKEAKILFLGLDNAGKTTLLHMLKDERLVQHQPTQHPTSEELSIGKIKFKAFDLGGHQIARRVWRDYYSQVDAVVYLVDAFDKDRFSESKKELNALLADESLAKVPFLILGNKIDIPYAASEEELCYNLGLANFTTGKGKVNLADSNLRPLEVFMCSIVRKMGYGEGFKWISQYIN >OIW12925 pep chromosome:LupAngTanjil_v1.0:LG04:23581910:23582359:1 gene:TanjilG_15845 transcript:OIW12925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKRCSILHNVSNLLSISLFLHKLRKPLFSKLKKLRKHKELKLLRHYNYDFHGEYEFSPSTTPLIHYQRTQFKNKREQDMCSFFYLYWCLGNLNAEEEYSTREAITVAPVEDGLLESWDEASESVDERAEKFIERFYMEMRMQRRESL >OIW13617 pep chromosome:LupAngTanjil_v1.0:LG04:9724066:9726387:1 gene:TanjilG_07959 transcript:OIW13617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGIYNVLHGLKPVMLMVMVQVAFTAVNVLYKLAINDGMSVRVATAYRLAFGSAFTVPLALISERKNRPKLTWRVLFMAFLCGLFGGSLFQNLFYEALALTSATFVSAIYNLIPAITFILAISCGLEKLNLRAARGKAKVLGTVIGIGGTMFLTFYKGVEIDIWPFHINLLHSNGHKIPSHAGNKLVGVLCAIASCFSYALWLIIQAKMSIEYPSHYSSTALMSTAGAIQAIVYGLCVERDWNQWKLGWNIRLLTVAYSGMVGSGLVFIVIAWCIHMRGPLFASVFNPLMLVLVAVASSLMLNENLCLGSVVGAVLIVCGLYMVLWGKKKEMKNKSQLVPSEIIKETEVIEVVVVSIPIIDDAKCDYNNYQDQSSGTKNVDKNKEEVLS >OIW14039 pep chromosome:LupAngTanjil_v1.0:LG04:5438787:5444237:1 gene:TanjilG_11384 transcript:OIW14039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDEGHKANKVNEKVHFYKLFTFADSLDSTLMTIGVISAIGNGLSQPLMTLIFGKLINAFGTTDQSNIVKEVSKVLLFFVYLAVWAGIASFLQVSCWMVTGERQAARIRSLYLKTILKQDIAFFDTETNTGEVIGRMSGDTILIQDAMGEKVGKFLQLLSSFIGGFMIAFTKGWQLTIVLLACIPCLVIVGGIMSMMMAKMSSRGQTAYAEAGNVVEQTLGAIRTVASFTGEKKAIDKYHNKLKVAYATTVQQGLASGLGMGLLLLIVFSTYSLAMWYGSKLIIEKGYNGGDVFNIIVSVNSGGMALGQTTPCLNAFAAGQAAAYKMFETIKRKPTIDAYDTNGVVLEDIKGDIELKDVHFRYPARPDIQIFSGFSFYIPSGTTAALVGQSGSGKSTIISLLERFYDPDSGEVLIDGVNLKSFQVRWIREQIGLVGQEPVLFAASIKENIAYGKEGATDEEITTAITLANAKKFIDKLPQGIDTMVGGNGTSLSGGQKQRIAIARAILKNPRILLLDEATSALDAESERIVQEALEQVMSNRTTVVVAHRLTTIRNANTIAVVHQGKIVEKGTHDELIKDVDGAYSQLIRLQEGAKDNERQHKYEVDKTNNSFNLDSNTHMSRSSPQRTSFVRSISQSSSGRRQSLSLSFGLPYPIGALESTEGDNKDLESNELGNKKSQNVSIKRLAQLNKPEIPVLLLGSIAAAVHGVVLPIFGLLLSSAINTFYKPPEQLRKDSVFCGAVSARLATDASTVRSLVGDTLALIVQNIATITAGLVIAFSANWILALVILAVSPLLLIQGYIQTKFLKGFSADAKVKYEEASQVANDAVGSIRTIASFCAEPKVMDMYDAKCSGPAKQGVRLGLVSGVGLGVSFFVLYCTNALCFYVGSILVQHGKATFGEVFKVFFALTVTAIGVSQSSALAPDTNKAKDSAASIFEILDTKPAIDSSSNDGMTLEIVKGDIELEHVSFKYPTRPDIQIFKDLCLSIPAGKTVALVGESGSGKSTVISLLERFYNPDSGRVLIDGVDIKKFRLSWLRQQMGLVGQEPILFNESIRSNIAYGKEGGATEEEIIAAAQAANAHKFISSLPNGYETSVGERGTQLSGGQKQRIAIARAMLKDPKILLLDEATSALDAESERIVQEAIEKVSENRTTVVVAHRLGTIKGADIIAVVKNGVIAEKGRHEVLVKIDGGVYASLVALHMTAS >OIW13053 pep chromosome:LupAngTanjil_v1.0:LG04:21861242:21872360:1 gene:TanjilG_17613 transcript:OIW13053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTSRNRNRNKKEQDENMELEKARLLSLAIDFGFDHNSAVKCLDRLIDLYGDDGRDFITVEHCGDDFLTALAESMEAMEEWDDVEEMESQACGTLTDILDHSSVAKCGDDGQDNHDADDASIPCINIIDDSPRSPKRQKKVVELDSSDDDDMDCNVSMDCRSGITQGSASSTSKKLPPSSTSKDKSGTLTYDELQALDDIELANVVIFGNRTLRPLQHEACKVALAKKDSFILMPTGGGKSLCYQLPATLQPGVTVVVSPLLSLIQDQIITLNLKFGIPATFLNSQQTSSQAAAVLQELRKDKPSCKLLYVTPERIAGNQSFLEILKCMHRKGQLASFIVDEAHCVSQWGHDFRPDYRELGSLKRIFPDVPVMALTATATHSVREDILNALRIPRALVLERSFDRPNLKYEVIVKTKEALKQLGQLLMARFRNECGIVYCLSKSECVEVSKFLNEKCKIKTVYYHAGLAARQRVAVQKKWHEGEVHIVCATIAFGMGIDKPDVRFVIHNTMSKSIESYYQESGRAGRDNLPAVCIALYQKKDFSRVVCMIRNGQGYKKERFKRAMDQAKKMQQYCELKVECRRQTLLQHFGESFDRKACKYGSNPCDNCLKIAL >OIW12971 pep chromosome:LupAngTanjil_v1.0:LG04:23024068:23025042:-1 gene:TanjilG_15420 transcript:OIW12971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRAAGAAKGKKKGATFVIECAKPVEDKIMDIASLEKFLQERIKVGGKAGALGDSVTVTREKTKITVTSDSNFSKRYLKYLTKKYLKKHNVRDWLRVIASNKDRNVYELRYFNIAENEAEEED >OIW13664 pep chromosome:LupAngTanjil_v1.0:LG04:9466971:9473869:-1 gene:TanjilG_08006 transcript:OIW13664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASASSSSSKLLCFNPHCNEFKSERPNKGWRLRNGDLAELCDRCGSAFEEGRFCDIFHSNASGWRNCETCRKGIHCGCIVSCHAFVLLDHGEIECFACARKHILLQSNPKWPSSFSLQNRLSERLRDLPAKNWSQLAGSGHVSWKQAPNLFNSVSSSDLHPYVSSHERLPASSLENKNEDLCGMSVNWSANFGPQEMTLMNGFRNGDKSSSCLNICQQPLFLKEDSSPQPFAMSVPYATPNERNGQIGVAGSHPQQTTPLPGKQFSDTLHLALESSPEAQVHSGRPQADARERNQLLQQYWPRCTDLELQQITTLSASDAGRIGRLVLPKKCAEAYFPSISQPEGLPLKVLDAKGKEWTFQFRFWPNNNSRMYVLEGVTPCIQSMQLQAGDTVTFSRLEPEGRLVMGFRRATNDTPTDQDNETSKTGNGFSALGEVELADPSSWSKIDKSGYIAKEVLERKSSIRKRKSSMLGSKSKHLKIENQDLIELKITWQEAQGLLRPPPSHVASIVVIEGFEFEEYEDAPVLGKPTIFTTDNMG >OIW14262 pep chromosome:LupAngTanjil_v1.0:LG04:1405247:1407475:1 gene:TanjilG_21402 transcript:OIW14262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRSLLWSLLLGLLSCFIVVGTYGENLHHSRTISSGNYHEAMHRLKAFKTSLTRHESIASTPSYSPSPLPSQGVNNPHVYHVTAYGADPSGNSDSTEALLAAIADATKGPSERYLLEGINDLGGAQINLEGGNYMISQPLKLPVVGVGNLMIHGGTIRASNNFPADSYIIDLSKSSNKTPSPSYNFEYITLKDLLLDSNFRGGGISVINSLRINIDNCYITHFTTNGILVQSGHETYIRNSFLGQHITAGGDKNERNFSGTAINLQGNDNAVTDVVIFSAAVGIMVTGQANTFSGVHCYNKATGFGGTGIYLKLPGLTQTRIVNSYMDYTSIVAEDPVQLHISSSFFLGDANIVLKSMNGVVNGVSIVDNMFSGKNSGVEIVHLDQSNSPFHQVDQVFVDRNIARGMKLKTTTAKMSMQGNGTSWSFDFNNILLFPNLIKNVQYSLGSTCCTFPNHALRNVSENRVVIETKEAVNADVFVMVDQSVAS >OIW13101 pep chromosome:LupAngTanjil_v1.0:LG04:21133017:21134360:-1 gene:TanjilG_08134 transcript:OIW13101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPMKIQPIDIDPQNLKDSAVVRNDAVKPVLKSRLRRLFVFDRQFQKGTEKTIAGVEPPQSNKDVAAGTTTEFEPSSVCLAKMVQSFIEERHDKQQSTATVKCGRNCFNGNNNDSSDDDFDIFGSSFGESISSSSFGDASDALKSLIPCASVTERNLLADTSKIVEKNSKIFKRIDELRKIVTESLSSFGYDSSICKSKWDKTLTYPAGEYEYIDVIVEGERLIIDIDFRSEFEIARSTGTYKAILQSLPYIFVGKSDRLCQIVAVVSEAAKQSLKKKGMHVPPWRKAEYMMAKWLSKSCVRAMTVNGLTEKLNDVSAVESDCGELELIFGEKTLPEPEAVSGEKSLPMWQPPAVKVKSVERGARVVTGLASLLKDKP >OIW13396 pep chromosome:LupAngTanjil_v1.0:LG04:13210712:13214189:1 gene:TanjilG_19492 transcript:OIW13396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPRRMKKAITDNPKKLANLIDLVNLPSTLRDFVGQSQISRLGCFMRVWSYIKTNNLQVACYATCQECFN >OIW13901 pep chromosome:LupAngTanjil_v1.0:LG04:8150169:8151476:-1 gene:TanjilG_31790 transcript:OIW13901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISLNVVPSSSSVTFIKPNHQCLINASPTLKSNLLCKVQTNKNHLLCHRTTSQLYEPGFKSSKLSLIPTSQIPDSVTKLSSFNRFLSHPFDVSPKPNHQIVKAASDGANPEGETEIVADSSDPKSKKLKLAIVFGLWYFQNIVFNIYNKKALNIFPFPWLLASFQLFVGSLWMLVLWSLKLQPCPKISKPFIIALLGPALFHTIGHISACVSFSKVAVSFTHVIKSAEPVFTVIFASALGDRYPIQVWLSILPIVLGCSLAAVTEVSFNVQGLWGALISNVGFVLRNIYSKKSLQNFKEVNGLNMYGVITILSLFYLFPVAVFVEGSQWIPGYYKAIEAIGKPTTFYIWVLLSGVFYHLYNQSSYQALDEISPLTFSVGNTMKRVVVIVSSVIVFKNPVRLLNGVGSAIAILGTFLYSQATAKKKVEKIEGEKEE >OIW12646 pep chromosome:LupAngTanjil_v1.0:LG04:26003788:26005985:1 gene:TanjilG_24579 transcript:OIW12646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASETSSSADEETTTIVVADKRGKHRILAELKRLHQDSTFLQEELEELKKTDNVSTISMELLQSIESRPDPLLPQIPGPVNLLWNRWFEGPQDPACRCWIL >OIW14155 pep chromosome:LupAngTanjil_v1.0:LG04:3505776:3506345:1 gene:TanjilG_21295 transcript:OIW14155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSKFFFNINSHTERKKPKETNTDELALVKAAAWAWYQHGSGSEAKAMVNEFHVRRTQRENGPSRYKLEAMAKKSKEEGASIHTKNKPLLDTYEIQSISRQLDKLIIESGHGNNKVGSGKNSVNDGLDNSGRNMKKKKRISKGFWQIHGVVCGRRDDVVEGTGLRGDRLSSKHVPVVNLVKCLKVNGAF >OIW13547 pep chromosome:LupAngTanjil_v1.0:LG04:10439569:10448673:-1 gene:TanjilG_29288 transcript:OIW13547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAPKKSAVRVSEDTDELVRVPLQAILLADSFTTKFRPITLQRPKVLLPLLNVPMINYTLMWLESAGVEEVFVFCCAHSKQVISYLEKTQWLSQPNFTVTTIESHNCVSAGDALRIIYERNVIHGDFVLISGDTISNMSLTAALREHKERKKKDSNAVMTMVIKRSKPNPAIRQSRLGTDELFMAIDPDTKQLLYYEDKADNSKAILHLEKSLLADNPSLSLHHDKQLMGYKIFVHEIHSDYAARIDNFRSYDTVSKDIIHRWTYPLVPDVMNFGNTATKLERQGMYRASEISQSQSAVIGPFTSIGFGTKIGNNTKISSSVVGEGCKIGSNVYIEGCYIWDNVTIEDGCKLRNAVVCDGVTMKSGAVLEPGVILSFKVVVGQGFVVPCYSKVSLLQQPVEEDSDEELEYADSTSAITSKVDTSDEEVASQVLDTHLHSASQLGVGGVGYIWSKCEGGHEEEWRHSVAPIPADKISEAMKIMEDELELTYDGSILPPSGELKPDCNDSEDDDNEDSRDDSYFEKEVEATFLRAEQENIQEGHLILEVNSLKLSYNKVAADCAGAVFYALMKYALDTPHSSADSLMQNVKDILRKWKNVLRPYLTDIDDQIEVILKFEEMCSESAKAFAPLFTSILHFMYEEDIVEEDAILNWDDEKKDADDSDKVFVKQAQGLIQWLRNASEEDDDEEE >OIW13683 pep chromosome:LupAngTanjil_v1.0:LG04:9358829:9360790:1 gene:TanjilG_08025 transcript:OIW13683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAITTVPEPTCCLHLSAPPPTKIPRFTLPFFSIPSQGHVSLRITTSLSNNPNPQPPRAISTATPTPTAEPYISRFAPDEPRKGCDILVEALERQGVTDVFAYPGGASLEIHQALTRSTAIRNILPRHEQGGIFAAEGYARSSGRTGVCIATSGPGATNLISGLADALLDSVPLVAITGQVPRRMIGTDAFQETPIVEVTRSITKHNYLVLDVDDIPRVVNEAFFLASSGRPGPVLIDVPKDIQQQLAVPNWDQPISLPGYVSRLPNTPDDRLLEQIVRLISESKKPVLYVGGGSLNSSEELRRFVELTGIPVASTLMGLGSYPVGDDKSLQMLGMHGTVYANYAVDKSDLLLAFGVRFDDRVTGKLETFASRAKIVHIDIDSAEIGKNKQPHVSICADLKLALKGINRILESNKGIKDKVDFRGWREELNQQKLKFPLTFKTFKEDIPPQYAIQVLDELTKGNAIVSTGVGQHQMWAAQFYKYKRPRQWLTSGGLGAMGFGLPAAVGAAVANPDAIVVDIDGDGSFIMNVQELATIRVENLPVKILLLNNQHLGMVVQWEDRFYKANRAHTYLGNPSNEEEIFPNMLKFADACGIPAARVTKREEVRAAIQQMLDTPGPYLLEVIVPHQEHVLPMIPSNGSFEDVITDGDGRE >OIW12812 pep chromosome:LupAngTanjil_v1.0:LG04:24676954:24679219:-1 gene:TanjilG_24745 transcript:OIW12812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRRKEKIENNNNKKRKTISPIKSIKKNKQKNNKSNEIEKTSPTSASASEQLDFFVEQFQSANALQLSSLELESLKDTCILELPQDSHLDVNALGKDIKPAFGASWKEVLCEGKLVQGEIDAGSPAVLIISSSALRSIELLRGFRSFTKECHAVKLFSKHMKVEEQVSLLKNRVNIASGTPSRIKKLIDIEALSLSRLKVLVLDMQSDVKGYSLLTLPQVRDEFWDLFKNYFYQPMIQGDLRICLYGPYQLAVRLKGKKG >OIW13170 pep chromosome:LupAngTanjil_v1.0:LG04:18796609:18801992:1 gene:TanjilG_17526 transcript:OIW13170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAELNLKPTKTHLQSSQHSFLSRTLLRHGHCAFYPLHRTTQHARISCSVAPNQVQVPAVQTQDPKTKPDCYGVFCLTYDLKAEEETRSWKKLINIAVSGAAGMISNHLLFKLASGEVFGPNQPIALKLLGSERSIQALEGVAMELEDSLFPLLREVSISIDPYEVFQDAEWALLIGAKPRGPGVERAALLDINGQIFAEQGKALNAVASRNVKVIVVGNPCNTNALICLKNAPNIPARNFHALTRLDENRAKCQLALKAGVFYDKVSNVTIWGNHSTTQVPDFLNARIDGLPVKDVIKDHKWLEEEFTETVQKRGGVLIKKWGRSSAASTSVSIVDAIRSLVTPTPEGDWFSTGVYTNGNPYGIAEDIVFSMPCRSKGDGDYELVKDVIFDDYLRQRIAKTEAELLAEKKCVAHLTGEGIAVCDLPGDTMLPGEV >OIW12655 pep chromosome:LupAngTanjil_v1.0:LG04:25901502:25906613:-1 gene:TanjilG_24588 transcript:OIW12655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMMLLHRKLRISRSFNFHVITRSFSERIEKIVIANRGEIACRIMRTAKRLGIRTVAIYSDADKDSLHVHSADEAIRIGPPLPRFSYLNAPSIVDAAIRSGAQAIHPGYGFLSESADFAQLCEDKGLTFIGPPASAIRDMGDKSASKRIMGAAGVPLVPGYHGSEQDIDKMKFEADKIGYPVLIKPTHGGGGKGMRIVHTPDEFVESFLAAQREAAASFGVNTILLEKYITRPRHIEVQPNISTDFRAHLGQAAVSAAKAVNYYNAGTVEFIVDTVSGQFYFMEMNTRLQVEHPVTEMIVGQDLVEWQIHVANGEALPLNQSQVPISGHAFEARIYAENVPKGFLPATGVLHHYRVPVSPAVRVETGVKEGDTVSMHYDPMIAKLVVHGENRDAALIKLKDCLSKFQTQYLLPLASWVDLVVAGLPTNINFLQKLANHRAFENGNVETHFIDNHKEDLFVDTTNSVSAKEAYAAARLSASFVAACLIEKEHVTLARNTPGGSSLLPVWYASPPFRVHHQAKRTMELEWDNEYGSGSSNVLKLTIIYQPDGRYLIETEKNGSPISEVKATYVEDDHFRVEADGVSNSVNLACYTKEQIRHIHIWQGSSHHYFREKLGLELSEDEDSQYKPKFETSANTPGTAVAPMAGLVVKVLVKNKSKVEEGHPVLVLEAMKMEHVVKAPSSGYVHGLQAIVGEQVSDGSILFSVKVS >OIW13510 pep chromosome:LupAngTanjil_v1.0:LG04:10130651:10132033:-1 gene:TanjilG_29251 transcript:OIW13510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRQFLHYSKTLITFTSHHITSPLPTHHFQTQKLQSFHSPNHDTSISPIGSPTRVQKLIASQQDPLLAKQIFDYASTVPNFRHTYSTYLILVLKLGRSKNFTLLDQIIIRLKNDPSYKITHTLFTYLIRVYGEADLPEKALKMFYTMMQFNLKPLTKHLNCILEILVSHRNYVRPAFDLFRDAHKHGVVANTRSYNVLMRAFCLNGDVSIAYMLFNKMFKRDVLPDIESYRILMQALCRRNQVNGAVDLLEDMLNKGFVPDSLTYTTLLNSLCRKKKLREAYKLLCRMKVKGCNPDILHYNTVILGFCREGRAHDAGKVIDDMHVNGCLPNLVSYRTLVSGLCDMGMFDEAKKYMEDMLGKGFSPHFAVIHALVKGFCNVGRIEEACGVLTKSLEHGEAPHTDTWMNVIRMICEDEGQKISEAIDEILKIEIKGHTRIVDAGIGLENYLIRKIQAKSRAF >OIW13174 pep chromosome:LupAngTanjil_v1.0:LG04:18873540:18877511:1 gene:TanjilG_17530 transcript:OIW13174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSASKVGNSNCDYSFKVLLIGDSGVGKSSLLLSFISKYVHDLSPTIGVDFKIKLFTVGGKRVKLTIWDTAGQERFGTVISSYYRGAHGIILVYDVTRRETFTNLVDVWAKEVELYSSNHECIKILVGNKVDKESERAVTKEEGMALAQEHRCLFLECSAKTRENVQQCFSDLTLKILEVPSLRERGSVEVKRQKQKRIYETPPDSQGGCCSQ >OIW12854 pep chromosome:LupAngTanjil_v1.0:LG04:24313541:24321247:1 gene:TanjilG_24787 transcript:OIW12854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQCIAEPHRAQYGGGIIVNQGFDRNIEGWKVFGKGTIEERISKEGNRFIVAPWFQISEGSEFVSVIFKTSGSELIRGGHVIAKHGCWSLLKGGIVANFSSQAEILFESKNTRVEIWADSISLQPFTEKQWRQHQDENTERVHKSKVRFQVTDMNESALQGAKVFINQTSSSFPFGVGINHNILTSKDYQRWFVSRFKYATFTNQMKWYSTEIVRGVENYTIADAMLKFTKENGISVRGHNIFWDNPKQQPEWVKTLSPKELKEAAAKRIKSVVSRYRGELIAWDVMNENLHFHFYEDKLGKNASAEYYAQAYQLDPNTTMFLNEYNTLEYSTDELSSPWNYLKKLEEILSFPGTSGMSAAIGLQGHFGTGQPNLPYIRCALDLLSTAGVPIWLTEVSVDPNPNQAEFLELVLREAYSHPAVEGIIMFSGPATAGFNETPLTDKNFRNTAAGDVVDKLIEEWGTKPQKAIVDSTGFVEISLHHGDYDIIVTHPLTNSSKTLNLSVGKSFPQETIHVKFHT >OIW14345 pep chromosome:LupAngTanjil_v1.0:LG04:42463:47904:1 gene:TanjilG_31235 transcript:OIW14345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRPVYPPRAPGSVNVLPVPRPPVAGLPAVRPVIPPVIRPVIVPSVTPAEKPQITVYVGKIAPTVENDFMLNLFQICGPVKSWKRPQDLSNGAPKGFGFCEFESDEGVLRALRLLTKLSIDGQELTLNVNSTMKEYLKQYVEKKAENSKKNETQAVGAENDDGSAQPPSDANGDGKPDAELSKKEDNDSGNKESHDLATFGIITADDREADKEAIEKITNMIEERLKTRPLPIAPVQAAGDGSAIISEQPAKTRDGDAGVDMGGKETTEDKIEKDTNNDSKPTSDHDKAESPDRRHDRRSRERDRDRDLKREKERELDRYEREAERERIRKERELRRRIDEAERKYEACLKEWEYREREKEKERQYEKEKEKERERKRRKDILYDEEDEDDASRKRWRRSALEERRKKRLREKEDDLADKLKEEQEIAEAKKRAEDDHQQKQQRDALKILSDHVINGGEKAMAIEVITNEAKIIASEQDTVADYSGEGHIGDVNSLNGINDESAMAPVSETDVPSGGNAPSKKLGFGLVGSGKRTNVPSVFHEEEDDDAHKNKKLRPLVPIDYSTEELQAVQPTSSGPTPPNLAAAAEFAKRISSASFKEEKVDGERDRSKRSNERSSKRDRDKSDEDGTHNRDESREKIPDRDRERDHGSDKLKTSGNKRLLDAKQLIDMIPKTKMELFSYEINWAVYDKNQLHERMRPWISKKIQEFLGEEENTLIDYIVSSTQEHVKASQMLERLQMILDEEAEMFVLKMWRMLIFEIKKVETGLGLRSK >OIW12715 pep chromosome:LupAngTanjil_v1.0:LG04:25456861:25461022:1 gene:TanjilG_24648 transcript:OIW12715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFCKTYQEYMQNQQQQNLPRLGFKKLKKIMKTCRQDCHSQKTIHAIKTCSDQCPVCDGMFFPSLLNEMSDVLGCFNQRAQKLLELHLASSGFRKYLFWLKGKLHVDHTALVQDGRDLVAYALINAIAIRKILKKYDKIHYSKQGQLFKSQVQTMHKEILQSPWLIELMALYLNLRETCLNSMKAPALFDGCSLTFHDGKPSLTCELFDSIKIDIDLTCSICLDTVFDPVSLTCGHIFCYSCACSAASASIVDGLKAADPKEKCPLCREEGVYEDAVRLEELNILLARSCHEYWKQRLQTERAERVKQAKEHWELQCRTFMGV >OIW14279 pep chromosome:LupAngTanjil_v1.0:LG04:1066403:1067257:-1 gene:TanjilG_21419 transcript:OIW14279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYRTLEINVVSAKDIKNVNLLSKMKVYAVVSISGDPQNPQKTKTHVHRDGGTNPTWNFPVKFTVNESLAHQNRLYLEIKLISDRNLAGDTLIGTVNIPVKELMDNPGDGSFCHVSYHVRTQSGKDKGSLNLSYKFGEKFTAPAKAAPSRTPMAYPPTPGSKQEPVMAYPATVGSSVTPPYGVAPPHQYGYGYPPAQQSSYGYPPAQQPGYGYPSQHGYGYPPAQRKKNRFGMGMGAGLLGGALGGLLIGDMVSDAADYGAGYDGGYDDAGYDAGFDGGGGFDF >OIW12721 pep chromosome:LupAngTanjil_v1.0:LG04:25415094:25417927:-1 gene:TanjilG_24654 transcript:OIW12721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSELIFRGHETQPINDEDSPKPNKPWFTLTRPIHYLLHEQRLLFVLIGIAIATVFFVLLPSRSTPPPSQPLSITYFKPEPNQWAYKANPLIGVHSIGKVPLGIKRKGLRIVVTGGAGFVGSHLVDRLIERGDSVMVIDNFFTGRKENVMHHFGNPRFELIRHDVVEPILLEVDQIYHLACPASPVHYKFNPVKTIKTNVVGTLNMLGLAKRVGARFLLTSTSEVYGDPLEHPQKETYWGNVNPIGVRSCYDEGKRTAETLTMDYHRGAGVQVRIARIFNTYGPRMCLDDGRVVSNFVAQALRKEPLTVYGDGKQTRSFQYVSDLVEGLMRLMEGEHVVQETIDRDAKIEYRPNTEDDPHMRKPDITKAKDQLGWEPKVDLRKGLPLMVSDFRQRIFGDHKDATTTSA >OIW12988 pep chromosome:LupAngTanjil_v1.0:LG04:22733563:22736802:-1 gene:TanjilG_15437 transcript:OIW12988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METMCLKTGISAIVPSISTAASVDTRAATNPSHVSAKSRSPAANKPLHKSVFSRFSFKYPLESIWPRHRETGNSRYSRVALDDAVLEENAEGANNVVEEERNENWVLKILHVNSVWKGEETKNGVIGEAKSDGINEQEKKVCECDDCRVENDEEEKEEEVHFDRDSFSRMLRRVSLAEARLYAQMSHLGNLAYSIPNINTAKLLKHHGLRLVTSSIEKKELAAATVECNPQEVDTNEKEEEEERKEQTDNGYRISASAAYHIAATAASYLHAQTRRVLPFKSSDAVDGEGSLEGSNESLDINTEMASLMATTDSVTAVVAAKEEVKQAVADDLNSIRSSPCEWFVCDDDQNGTRFFVIQGSETLESWQANLLFEPTQFEGLDVLVHRGIYEAAKGMYQQMLPEVHAHLKAHGSHATFRFTGHSLGGSLALLVNLMLLIRQEVPISSLLPVITFGSPSIMCGGDSLLNKLGLPRSHVQAITMHRDIVPRAFSCNYPNQVVDLLKAVNRNFRNHPCLNSQKLLFAPMGELLILQPDKKFSPSHPLLPSGSGLYVLSCPLSESNSPDKLLRAAQLVFLNTPHPLEILSDRSAYGSGGTIQRDHDMNSYLKTVRTVIRQELNQIRKARRERRRKYPFSGMIQKGRESLKRFSRLVASQHMHLFVLVLFPARLLLLGTYNMINFR >OIW12557 pep chromosome:LupAngTanjil_v1.0:LG04:26775496:26775690:-1 gene:TanjilG_04721 transcript:OIW12557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSESFEAWISLPWLNLLKLGRPYKTSRLPQKAADIDGYDVVDRVMMVFSYFSLGNVNYKKPYKR >OIW12578 pep chromosome:LupAngTanjil_v1.0:LG04:26634660:26636861:-1 gene:TanjilG_04742 transcript:OIW12578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELHIFLLFLTLSLAIIRFFHNRHRHRNKNQPPGPTGLPIIGNLHQLGSKPHSTLSSLAQTYGPLISLRLGSVTVAVASSPATVQELLQKNDQSFTNRPIPDSVAAQPNVNHTLAWSPADMRWRNRRRICTTQIFSNHCLDLLQHLRNEKVEQLLHHLRKQASTETVVNVGEVAFATMLNLVSNTVFSEDMVDPEFESAGELKDLVWRIMEDAGKVNLSDYFPVLKRFDLQGVRKHVRVSYVRLHEIFDDMIKKRIRKRESLSGSTSRDGDFLDVLLDQCQQDDEASDFTVESIKPLILDLFIAGSDTSASTTEWAMAELVKNPEIMQKAREELIQVIGTNNEVKESDIPRLPYMQAIVKETLRLHPPAPLLLPYVAGNDVEASGYTINKGTQVLINAWSIGRNHEFWDDPLLFQPERFLSSNIDYSKGSKDFQYIPFGAGRRICPGLPLAHRMINLMLAAFIHSFEWKLPEGVTPHKLDMNEQYGITLKKLAPLYVIPLSLST >OIW12502 pep chromosome:LupAngTanjil_v1.0:LG04:27141890:27142564:1 gene:TanjilG_04666 transcript:OIW12502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPFNHFDFLDQHPSYPDTSSSSPVSEGGSAFHSAAISSEEVLLASNNPKKRSGRKNFKETRHPVYRGIRRRNTDKWVCEVREPNKKSRIWLGTFPTADMAARAHDVAAIALRGKSACLNFADSAWRLPVPASSEPSDIQKAAAEAAEAFRPGTEEGNLGNESEVTAVETMVEAQEEESSSVPEWLREMVFMSPTHYSYSMGSEYYTDDVDFDDVEISLWNYSI >OIW12774 pep chromosome:LupAngTanjil_v1.0:LG04:25025380:25028639:1 gene:TanjilG_24707 transcript:OIW12774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKLFFFKSSASSSGSNHTTPPKSTSKQVGWENLSDEGMNNQAHSKAEDYFRSPKGLFSKSRKQVSDSPRSSGGPSLTRGRSLSLSAIQFKDPNRSPSSSIASDPYHQFEYPSRCQALNSGKQNQDKPTQFAVSSIQNSNGYERPGSTSSSTCSSNTSSKTVDCYIDGEQQPEESRPRNNSRRNDTRHGSYGMKLPPKIQNTTPNSPTNRVQDKPRAHSFREPKVNRLRISSRDWTENGFGHESPRSLAKNVIERLSQSHDLDNPITIEDIYAGSVNGHNDSDLDDFLQKSYFTNEPYIMTDDYHDLDGNPEGLGCDESENDVDAELLRKSKEADERVIVLSKKFERDNFFPNDCYDIPTLIQTIRNLAEEKISLAVEVSTNLRSQIADRTSAREELRRGKTEQEFRTRRIENEKNEMQSALEKELDRRSSDWSCKLEKYQLEEQRLRERVRELAEQNVSLQREVSSLSEREMKSKSVMTYTDQQLKELTERTEEMKEEIVDLRQNLLELQEKCKITEENREHIRRNFEVKEKECKDLHKSLTRLLRTCSEQEKTITGLQDGFNENFQKNQSMERIDKQITKMQMEQMRLTVVELALRKELESFRYEADSLRHENIILLNRLKGDAKECVAATFKLDKELQARICCLQNQGLTMLNESTYLCSELLEFIKGKGRHLHHNVQLDIEFIENGLDGQFIVESETKIQGLKSGTGALSRSLQKMSSLLKEFQPEYIDGDKLPKLHDQSSEDVVRTELKVECLVTRLLREKLYSKELEVEQMQAELATAVRGNDILRSEVQNTMDNLSSVKHKLKDLELQMLKKDDSINRLQSDLQEAMKELTMMRGILPQVSEEKDLMWDQVKEYSEQNMLLKSETIELKKKIDTLDEDILVKEGQITILKDALSKKSYDILGYPDFMHDFLLS >OIW12969 pep chromosome:LupAngTanjil_v1.0:LG04:23038403:23044210:1 gene:TanjilG_15418 transcript:OIW12969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANESQNWVLMVTAQTPTNIAVIKYWGKRDETLILPVNDSISVTLDPNHLCTTTTVAVSPSFQQDRMWLNGKEISLSGGRFQSCLREIRSHACDVEDKQKGIKITKEDWGKLHLHIASYNNFPTAAGLASSAAGFACLVYALGKLMNVKDDESQLSAVARQGSGSACRSLYGGFVKWIMGKEENGSDSLAVQLADEKHWDDLVIVIAVVSSRQKETSSTSGMRETVETSLLLQHRAKEVVPKRILQMEEAIRNRDFTSFSRLTCADSNQFHAVCLDTSPPIFYMNDTSHRIISIVEKWNRSEEAPQVAYTFDAGPNAVLIARNRKVAALLIQRLLYYFPPSSDDLNSYVIGDKSIVKDAGINGIPDVEALPPPPEIKDNIPSQKYKGDVSYFICTRPGRGPVLLSDESQALLNGENGLPK >OIW13259 pep chromosome:LupAngTanjil_v1.0:LG04:17250591:17253587:1 gene:TanjilG_14192 transcript:OIW13259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEGVRRWVVNISKWDPLPHDFSSALSLLPSHQHSSITRFIKMEDRKRALVSRMLQYALVYDVMEIPFPQIIIKRTLEGKPYLEYDEFGCKFPNFNFNVSHHGDYVAIASEPLCLVGLDIVSFDIPKGETIAEFIRCFSSYFSSLEWNNIVNAGTPDDVLIEFYRYWSLKEAYVKAIGSGVTDELNKVDFSHTSWTDISAKVDGKVMTEWRFWLFELGERHWASIARGHPRSAVMSYKSTLKKVEFSEDEYRLGLNLPSVDFTNISVEQLVSVLQKALDFKDSH >OIW14274 pep chromosome:LupAngTanjil_v1.0:LG04:1186573:1187671:-1 gene:TanjilG_21414 transcript:OIW14274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYWKSKVLPKIKKVFEKDGTKKAAAAEISKSFDDSKEEHNKTFEENKTELQSKVVGIYEASSTEIKSLVKERDEAGLKKHPKLINKFLEELVKIDFPGSKPVYEASSKFGPALVSGPVFFVFEKVSTFIVTEEKVEAPAPTETKPEEETSTSKEREIVIEEEKKEEVKPQVVVETTEKTESSEPVKVEEKPSEPSKVEEKPVDVPASVGPAEPPKP >OIW13745 pep chromosome:LupAngTanjil_v1.0:LG04:8656425:8657000:1 gene:TanjilG_17924 transcript:OIW13745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPPSDSPAPTPDGGASGYSSPSTVSISDYLKEKYGDQSKAEYNPDLEKICGHTHQPDVCLATISPLIKNKKKFDVVRILEASIKVSKENIKDIVEKIEKQAKESGENDESLNECKENYSKALDNLHKALEAIRAKNYGKVTILLSGALADVSTAESKIVDMQLSNFKVEPFSFASVTASNCLSIASLVPN >OIW13593 pep chromosome:LupAngTanjil_v1.0:LG04:9821936:9826040:-1 gene:TanjilG_07935 transcript:OIW13593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVRQHALGGGGHNVLDYLKRMHADNPAFFYAVQDDNDHSVGNIFWVDATSRMNYPYFGDSVILDTAYRTNRYRVPFTSFTGFNHHGQPVLFGCALIPNESESSFVWLFRTWLQAMSGCHPVSITTDFDPFIQVAVAQVLPRTRHRFSTWGVFRETRNKLSHLFQSHPTFETEFRKCVNESETIDDFESYWQLLLERYYIMDNEWLQLMYNARQQWVPVYLRDTFFGDVSITEGNECLNFFFDGYMNASTTIQLLVKQYEKAVSTWHERELKADYDTINCSPVLKTPSPMEKQAASLYTRKIFMKFQEELVETLANPATKIDDSGTISTYRVAKFGEKTKSHTVTFNSSEIKASCSCQMFEYSGIICRHVLAVFRAKNVLTLPSQYVLKRWTRNAKIGALFIEHASEFPRSSQESVTIRYNNLRQEAIKYVEEGAKSIKIYHIAMNALQEAGKKVSAVKGQSNGTAETAIIASEGRGELLAADEDVPIHQSAVEKQKKIQQLTTELEITNQRCEVYRANLLAVLRDMEEQKLKLSVKVQNARLSLKE >OIW13128 pep chromosome:LupAngTanjil_v1.0:LG04:20059963:20063792:1 gene:TanjilG_32109 transcript:OIW13128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRFHSQNSEVEDEFQSTLHSAPSFAIYNNPLENDDTDPEQVLKKTTSAIIGSNSNSGGGAEFSFGLKIMDLIEENDVELEPHSSPPMYLAVSTEVGIDGTGFDDVVGSHELVSDDIVIPNLHEGEDLGEYYKKIVDEYPFHPLVLKKYAQLLLSRGDIQGAEEYFLRVTLVDPNDGEILMQYAKLVWEQHHDKDKAMEYFELAAQAAPHDSNVLAAYTSFLWEIGDDENEGEKHETQNEKEEQKNEHALPSKEERRTVRPFSLLADGKDIDTSSFSEDDFKKMVDENPNNPLFLKKYAQFLFQSKGDLQAAHDYYSRAIIADPIDGEMISEYARLEWKLHCDHEKASNLFEQAVQATPGNSNILAAYACFLWETEDEES >OIW13961 pep chromosome:LupAngTanjil_v1.0:LG04:5999842:6010151:1 gene:TanjilG_09312 transcript:OIW13961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLRSFESSGWGDGQKLPYRDLDTHTPQRSTPLTSLIASRDSTAGVTVRVPRSSNPERARSPISYADVVALGNSGQNAPTNNYSNSRIDNHSHFPPLSQPAPFVPGNHQFVRTFQGHSISVQQPALGARTLDSQAIPSANYAKFPDPQLQPSLSPYIGSQNPQHSFTKEPNGQVSKRTRSPPSLFANRQENFDVARKDFRRPSISPPRLGGSHSQIHQKSVPSNVSEAPVSRPISSIAPKRARSPPPSFSANETFEGNSISDVNSEQEREMLAKAKRLARFKVELSKSEQNSADVADQKASENRHEQSVLEQKHVAGHLMDSSGNFSNGHAVSDYEGSETSKVIIGLCPDMCPESERGERERKGDLDRHERLDGDRNVTSRLLAVKKYTRTAEREASLIRPMPILEKTMDYLLTLLDHPYDDEMFLGVYNFLWDRMRAIRMDLRMQHIFNQGAISMLEQMIRLHILAMHELCEYTKGEGFSEGFDAHLNIEQMNKTSVELFQLYDDHRKKGMYVPTEKEFRGYYALLKLDKHPGYKVEPAELSLDLAKMTPEIRQTPEVLFARNVARACRTSNFIAFFRLARKATYLQACLMHAHFAKLRTQALASLHSGLPNNQGIPVSHVAKWLAMEDECIDELLEYHGFVLKAFEEPYMVKEGQFINADTDYPTKRSKLVHTRRSGRIIEDVVLSIQPESLPVVSMNKMRKANKHEPKIVSSVENVSSVHKFDKEKRDSEAIFSPKDSKSGKALKEISAVQDSVKDENMVNPHSSPLTFPFPNIIPKPQLPSFPFPNIIPEPQVPRIDIFKSTNSDLAVKGSPRRNLHSNVDERPVEIIPKPAPPESSLANSLFMPPPASEAVSNYESMLIHQEYEDEVHENTEKCRDEEIVEAKLKLFLRLWRKRASKLRMLREQRQLASNAALGSLPLGPPIRNYIDLPGNFEKFDVDIAMRERYEKQEKSWARLNVSDIVAGTLGRRNSDAKCLCWKITLCSQINSKHKMGAAGSWLTSKLMPSSDNDVVVSSPGLAIWKKWVSSQSGIDSTCCFSVIRDAAFSSVDEVVSGTDAVLFLVSESISWEHQRVHLHNLLMSIPLGARLPLLILCGSYDKGFSSVIVNELNLTNIDKLRVSSFRLVFLVENHQTEHLRGFFSDRQLQEGLQWLASEAPLQPYVHCVKVRELVHTHLNSLSEVQNIASNPKLGPNDCISLFNQALDCSLQEIITAANSNPTGWPCPEIGSLDKSCDEDRVVKRYLPTLGWSSNVKIEPIIYALQNCKLPTFADDLSWLARGCQVDHEIENQKVQLENCLALYLTNASKMMGESLATKEAHVTVQTCAGLELCGSSYRIVPQWGMIFRRIFNWRLNGLSSREISTAYISESNHVALPSSDQGVGFEASLPSSYNLNTCLDEMISVSCNFPLHFHSQHRSQDLRPLPQRDSNHVFHETDNMRDDENNLHLQKLPYINAAFTNGLNNVQSRALVNEKSNKEADKLSKLLNQCNLLQDGIDKKLFLYF >OIW14281 pep chromosome:LupAngTanjil_v1.0:LG04:1057077:1058068:1 gene:TanjilG_21421 transcript:OIW14281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNEEGQITELYIPRKCSATNRLITSKDHASVQINVGHLDESGVYNGNFSTFALSGYIRAQGDADSALDRLWTKKKVEVKQQ >OIW13448 pep chromosome:LupAngTanjil_v1.0:LG04:11834552:11839733:-1 gene:TanjilG_05338 transcript:OIW13448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDCCAVCAEPMEWVAYGPCLHRDVCSTCVARLRFICDDRHCCICKTDCNVVFVTKALGDYTRMINDFSALPSTIREGKAGSYWYHEDTNAYFDDMDHYKMIKAMCRLSCSVCDNIEEQPHDASRRRERFRNIGQLKGHLFHRHKLHMCSLCLEGRKVFICEQKLYTRAQLNQHINTGDSLVDGSESERGGFMGHPMCEFCRTPFYGDNELYTHMSTEHYTCHICQRQHPGQYEYYKNYDDLEIHFRQQHFLCEDEACLAKKFIVFQSEGEMKIPTSFRYRHGNEQNQQRRGRARTFSRDISENQLSMAIEASLETANAEQMFHDSSTSNGGQPGFDNGNADVDSIVPPFESLATSSSEQSSRYLQALGHSSRGTPLEDSSFPPLATPSIDDQQGSKNELESSSINTMAARLRRHGNRNVSVINSGNAWPAAGRGLVQTSSIPTQSKLSTTVAPGVSANSGQVKTVVKNGASPSTYASSFQVTQRTAHGQWSAGSLQEKRDNGRTVHPTSAPNLTKSNPVEGSISEFPPISAAQVNKLPANSQSPLNVEDVHSANKSLVERIRGALDFDEDRYTLFKDISAQFRQSTIDTDTYLDYVQQFGLSHLVLEMARLCPDPQKQQELIESNNTSLQRNALQENSRVHGITSNHGKDSNISKKGKGKSVDARGINSTERLADSFLRTVHQLQSSYKPSEDNSEVLSRGDYRTNKGKLKTEQQINTNSGNQPITKLNGQGETSSHSLSSQNREDGGGGSKQRKKASKFLKVRLGDGSVSALLDRENSYTEPDPGITDGLDGDKDGSGGLPARGVWRKGGAQKLFS >OIW13495 pep chromosome:LupAngTanjil_v1.0:LG04:10025815:10026360:-1 gene:TanjilG_29236 transcript:OIW13495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHQPPTTTTTTTTQKSHTLKIGTRHPLYRGVRKRRWGKWVSEIREPRKKSRIWLGSFPLPEMAAKAYDVAAYCLKGRKAQLNFPDDVECLPLPATSAPRDIQAAAAKAANLINKEKSSDGDSGGDDFWGEIELPELVNGECCLSSSSSSSPSWASSGGGDITVWLSEVEILQQQPFMACL >OIW14344 pep chromosome:LupAngTanjil_v1.0:LG04:33483:38687:1 gene:TanjilG_31234 transcript:OIW14344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FIYKLCRLRDLKQLGLTHMVYPGAVHTRFEHSLGVYWLAGKAIDIIKKYQGVELCIEPFDVKTVKLAGLLHDVGHGPFSHMFERGFLPKVLNGDKWSHEEMSVKMIDHMVDEHHIDIDSELLKKVKVMITASDHSTQKAKEKMFLYDIVANGRTEIDVDKFDYIVRDSRACGLGCNFLPERLMESMKVVGDEICYRAKDYLTIHKLFSTRADLHRTVYTHAKVQAIELMVIEAMLKANPYLDIKSSIHQPSEFWKLDDSLLKKIETSSGQELKESRDLIRRIRRRDIYQFCNEFSVPKEKLEHFKDITSQDIVCSQLDGTSLKEDDIAVSIVKIDLTHGSKNPVSRINFFTDYESNDKFPISDEGISHLLPVFNKDIIVRVYSKKPELVDAVSAAFENYQLKIFGRTTQVHRTPEKKRRRTLSFANLSE >OIW14268 pep chromosome:LupAngTanjil_v1.0:LG04:1291554:1295882:-1 gene:TanjilG_21408 transcript:OIW14268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTVDIASSSPFGCVLRDRNHRDGCRESNVFKNRMKNFVIDHLNMSTDSTTDENNNNAFKASKNNNIGSFCFTGSNHNNNKEKDEYSLASLVSPRHSRLLDRWAAKHAREMVSTLENEAELLAMPNSNNNNDMLQRTYSNSSNDDVSSGTSALGASSLVQMWEMRLNKPNGSKSTTPNSTNALLKEQNRGGSEAEESSASSSTSSSDHEPPGNEEPFPDWEFDKMGMSNQCSPKSRFSSLESVESDRVSVADIIKRLTSTKQGQSPSHSTGEENDNEGWSSSLTCSPSRERDCGSAPDPLENKAFLPHITCLPRIRGRQAFHDLLMQLEQDRYGELKNLAERGAVSKFAQRGRIQAVLRLRLLQRGVAANNESPPKSTASEVNRQPQGSAIMQLRERFRTGADNRTTGQTEVAESRSPIQFDASPTTNHLSINTCSLTVQSTAVRSPESKQKSVSETTVEHNKEEARRNSDTTHPETCLKAKDNDSQEIADRSPEFKQKSVSETSVDHNKEEARPNSDTTHPKTCLAAQDNDSQEITESTPSTNESNVNKMEDKIETSNQQYTMAETGYDQTEEEEEETSNQHFSERCYDEIAEEVEGIGQNYDETSYDWISEISRPRSYWEERRQEWYREMLDTESHNEDIRKLLERRTVSSFLSSDFRDRMDRLMECHRGTQTHLVNRQDEEEDNQALIAILQEHLHLARSPREDEREQPEEKEEEEVRRNDEDEEEQKEEEHEGETLISNSYNEAGDYFSRSSSPTNMTTWSYIDNETGDDFDRVASTSSPPSQSQSFCQDSRQNSSSTNHHSIGMELIYDLRGQMEQLHHEMSELRKSMKTCTDMQMQAQQSKNQEVNTVKKEEKKSNNKAPIKGNCCICYNMKVDSVLYRCGHMCACLKCANELQWNSGKCPICRAKIVDVVRVYVDF >OIW13589 pep chromosome:LupAngTanjil_v1.0:LG04:9878637:9879943:-1 gene:TanjilG_07931 transcript:OIW13589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGEEQQRPNVESTTIPSSSSSSSIEELQHMPLTLTELKKRRRLTKQLSMTSMCETPQDIAWERRRRQIQKQERGRRNSIHDCGGHLTDEDLNELKGCIELGFGFNEEDGQTLCNTLPALDLYFAVNRQLSPSPVSTPHSLGGRTSSFGSPRSGDSDSWKILSPGDDPEHVKTKIRHWAQAVACCVMQSH >OIW13722 pep chromosome:LupAngTanjil_v1.0:LG04:8837417:8842638:1 gene:TanjilG_08064 transcript:OIW13722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGSAHHLGVLQHLCALGASLCVPHSACLETYTKESDMCESRRDRKLVRRMGDDWWDPLVGGTTYRPSSSMKGSSESIHVGTRKMSESGLEAMRVRIAHLPTSKRHIPLVSPIGWTSPTGCLEALFPIGRRVESFVDELNMRQGILVHPTRIKNPHLVWAMRRVGAKSMLRRHTVETKSLIMWSATSPLAMPHDALCVVHGFQWLGCFVHGVVPLCGLPYDTLTEVMDGKTFIKQGSQPYGRGTGGAPFGGCGVHHSVIVGVLGRAPVKRYGEVVNYAIVFHVELKVL >OIW13984 pep chromosome:LupAngTanjil_v1.0:LG04:6246817:6248718:-1 gene:TanjilG_09335 transcript:OIW13984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLHLKSLFGRFQEQFGSGPGLGPGSGTCLMKVDGIEPNFIKSLYKASAALYRTEPWKRLRPGHYFGVRVGKDLDWHGKKQPFPCVQFIGGDGGDVGFYMFRSENDAKKMTGPRETIHVPNVELLRVTYEVESLMFPCNRKMIKTLSLEASGTDRFPIIDVARCMPAGYLRFRNPTFEELRFVYASMKAISMVHPLLQQVDKEGGPKFSTMAYFEPFIETVDVQWSPEVTKRGYNLVAVTISHPPGQAYEEKGNMSAVSTPTKYKEPPRDGTFNNETKVYSSAAGLRQCAMCDKEVHGENSLCCGQCRAVIYCSSLCQKQHWNDTHKSMCGLYKAMMEREEELAMKVFMFPCSADQPCKWLESISIHQKGMWRRRCSCYSHCPFGLLPVKGGPQELWGRLDEFEYPHDSPFHNDYVSSPFLLSGWSEYYNLRSLPFTSPVADILSHPLTMYHILTTLNIISKNLILKGKEVIVHYLGPEGELDWMPAFAEVGHLLNGLGNVHIVMVGPEVPTNLSGTTSGIGSRVRVNLVRGVYQVEASYLPTPHVVIALNSGLERYSSWGGALDLIKSMAVPAFFTDQSEVSCLNAKQGLRNAGLHITQPVTPNPFRSPVKNLTLSCNLPSYSNGFVFGVNT >OIW13625 pep chromosome:LupAngTanjil_v1.0:LG04:9694166:9698574:-1 gene:TanjilG_07967 transcript:OIW13625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERVASSIIGSFLVWAILVLKISANAEGDALNALKSNLLDPNDVLQSWDATLVNPCTWFHVTCNSDNSVTRVDLGNADLSGQLVPQLGQISNLQYLELYSNNITGKIPDEIGNLTNLVSLDLYLNKLTGPIPNTLGKLGKLRFLRLNNNSLSGGIPVSLTTISSLQVLDLSNNQLKGLIPVTGSFSLFTPISYSNNPGLIAPKNTPSAPLSPPAATSSGNSATGAIAGGVAAGAALLFAAPAIALAYWRRRKPQDHFFDVPAEEDPEVHLGQLKRFSLRELQVATDNFTNKNVLGRGGFGKVYKGRLADGSLVAVKRLKEERTQGGELQFQTEVEMISMAVHRNLLRLNGFCMTPTERLLVYPFMANGSVASCLRERFDGRPPLDWPIRKRIALGSARGLGYLHEHCDPKIIHRDVKAANILLDEEFEAVVGDFGLARLMDYKDTHVTTAVRGTIGHIAPEYLSTGKSSEKTDVFGYGVMLLELITGQRAFDLARLANDDDVMLLDWVKGLLKDKKLETLVDADLQGNYDDDEVEQLIQVALLCTQGSPTERPKMSEVVRMLEGDGLAEKWEQWQKEEMFRQDFNHLHYSNANWIVDSTSDIQPDELSGPR >OIW13054 pep chromosome:LupAngTanjil_v1.0:LG04:21880430:21881533:1 gene:TanjilG_17614 transcript:OIW13054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLLQPTTTTTTTNNLEDEPTLSPYYQDFDSTCSTPYVSAPSSPAHAPPIPGYYYSAPASPMHFSINTSSSFSSSYQNTNTNTNTNTVPSSDFEFSARFGSTGSGGPGLMSSADELFLNGQIRPMKLSTHLEKPQLLSPLLDLEEGEEHEEEEQDNEIVVVRGRDLRLRDKSMRRRTRSMSPLRNNTHLEWIEKEDNKNNNDSYENERDKVVEERTIPCASASSSRSSSAGRSSKRWIFLKDFLRSKSEGRSNNKFWSTISFSPTTKEKKTNNQNVNNTLNSKEEYKESQKTKGNGKKMSGKPINGVRKRPSLHELHYKANRAQAEELRKKTFLPYRQGLLGCLGFSSKGYGAMNGFARALNPVSSR >OIW13877 pep chromosome:LupAngTanjil_v1.0:LG04:7960735:7963589:-1 gene:TanjilG_31766 transcript:OIW13877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSKTSARALPKQQQKTAGIEPLEAKGIRHPSVLVLKAGRPEPDACEDKSKTVQNSSRQNTVEIPDINKLEISAQKGSASSSVLDKEVVRTVIENTGSQEKSIDQDKKTLGYGSVKNSSVSAKVSDGASSLAKTSGSAKISDRADFVESGKSSICRGSTSSDVSDESTCSSFSSSINKPHKANDMRWEAIQVVRTRDGMLGLGHFRLLKRLGCGDIGSVYLSELSGTKCYFAIKVMDKGSLASRKKLLRAQTEREILQLLDHPFLPTLYTHFETEKFSCLVMEFCPGGDLHTLRQKQSGKHFPEQAVKFYVAEVLLAMEYLHMLGIVYRDLKPENVLVRDDGHIMLSDFDLSLRCAVSPTLVKSTAMDSETLRKNTAYCAQPACIQPPSCIQPSCVAPTTCFSPRLFSSKSKKDRKPKNEIGNQVSPLPELVAEPTDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVVGQPLRFPEAPVVSFAARDLIRGLLVKEPQHRLAYKRGATEIKQHPFFEGVNWALIRCATPPEIPKHVEFEKIPSAASPAVEKAVNPKSIAKNQKGSDNYLEFDFF >OIW12577 pep chromosome:LupAngTanjil_v1.0:LG04:26638678:26641992:-1 gene:TanjilG_04741 transcript:OIW12577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAASEFDSTECLSKTMSSMPGFRFHPTDEELVMYYLKRKICGKKLKLDVIHETDVYKCDPEELPGLSVLKTGDRQWFFFCHRDRKYPNGARSNRGTRHGYWKATGKDRNITSNNRAVGVKKTLVFYRGRAPNGERTDWVMHEYTMDEEELRRCQGVKDYYALYKVFKKSGPGPKNGEQYGAPFKEEEWVDDDVVDFSINTADCEVPIPDTVIDDNDQLQPLLDDEIVDFIYGMLDDEQHVNAGFPLVVDEQRQSTVVDQLSDAVIFPEPSGIFQSNGQHHDLLPSFDFDQSAVTSQLHVSDALEVTSAPNIEIEEFHFCEEDFLEINDLLIGTEPTQSNAENPVENLQFEDGLSEFDLYADMFLELGTTTQETVSCASMNSPEGIVVSQNYQWQSNPENANITGAEFWMQDERNIPSGADSFVDSFSLPTTGVVYESASFPTEGNDNQNSTGKDVATSRFSSALWAFVESIPTTPASAADNALVNRALNRMSSFSSRVKINNKHTNIAAAATSGRDKDTATVKRASRKGVSFLFFPIIIALCACLWVSIGTLRVY >OIW13134 pep chromosome:LupAngTanjil_v1.0:LG04:20148560:20148805:1 gene:TanjilG_32115 transcript:OIW13134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTESPKDVNGNMNKPMASLEASKVEGHANSEDDSDSKSLLPPRIGGMSRKSDNSKTHRKKVQWNDKNGKKLVEVLEYEPR >OIW13880 pep chromosome:LupAngTanjil_v1.0:LG04:7980206:7985045:1 gene:TanjilG_31769 transcript:OIW13880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVVVRVIEAKNLPPMDHNGFSDPYVRLQIGKQRFRTKVIKKCLNPKWDEEFSFSVDDPNEELVVTVMDEDKLFDELVGQFEVPMSLVFDKETKSIGTAWYALQTKSKKFKNKESGEVSLSIYFLEKSGSVDSNGIGDQLLHPKKHTHTSNESLSWSSTRSGSSSPATEETPSSKDEKGGAQKRLTNRIAQIFNKGSDILSTPSRRGNDLDQSEINKEEISEVEIEDQSSNETFEEAIRKIQSADQGSEVPSNLPGGVLLDQHYLIAPEELNIFLFSPDSNFLKSLAEVQGTTELEIGPWKFENGGESLKRLITCTKAATKLIKALKAYEENTYVKADGKNFAILASVSTPDVIYGNTFRTEILFMITPGPELPSGEQCSHLVISWRMNFLQSTMMKGMIENGARQGMKDSFDQYATLLSESVKLVDTKDLNSTKEQALALLHAEPQSDWKLAVQYFANFTVVSTVFIGLYVLVHIWLAAPGSIRGLEFAGIDLPDSIGELVVCAVLVLQAERMLGLISRFFQARAKRGSDHGIKAQGDGWLLTVALIEGNNLASVNSTGFSDPYVVFTCNGKTRTSSIKFQKSDPLWNEIFEFDAMDDPPSVLDVEVYDYDGPFDEAVSLGHAEINFLKVNISDLADIWVPLEGKLAMACQTKLHLRIFLDNTRGVNVAKHYLSKMEKEVGKKINLRSPQTNSAFQKLFGLPSEEFLINDFTCHLKRKMPMQGRLFLSARIIGFHANLFGNKTHFFLLWEDIEDIQVVPPTFSSMGSPIIVITLRPGRGVDARHGAKTQDEQGRLKFHFQSFVSYNVAQRTIMALWKARSLSPEQKVQLVEEDSDESKSLITDDSGSFLGLDDVSMSEIYSYTLPIPANFFMEIFSGGELDRQVMEKSGCHNYSYTPWVSENSDISERAVYYIFEKHISQYRGEMTSTQQRSPLLNGKGWLLEEVMNLHGVPLGDYFNIHLRYQVEDLPPKAKGCKVQVFFGVEWLKSTKLQKRITKNILQKIQGRLKLTFSIAEKELLPK >OIW12799 pep chromosome:LupAngTanjil_v1.0:LG04:24825805:24843230:-1 gene:TanjilG_24732 transcript:OIW12799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQRRITRTQTAGNLGEAIFDSEIVPSSLVEIAPILRVANEVDKTHPRVSYLCRFYAFEKAHRLDPTSSGRGVRQFKTALLQRLERENDPTLKGRVKKSDAREMQSFYQHYYKKYIQALQNAADKADRAQLTKAYQTANVLFEVLKAVNMTQSVEVDREILETQHKVAEKTEILVPYNILPLDPDSANQAIMRFPEIQAAVFALRNTRGLTWPKDHKKKKDEDILDWLGLMFGFQKHNIANQREHLILLLANVHIRQFPKPDQQPKLDERALTAVMKKLFKNYKKWCKYLGRKSSLWLPTIQQEVQQRKLLYMGLYLLIWGEAANLRFMSECLCYIYHHMAFELYGMLAGNVSPMTGENIKPAYGGDEEAFLRKVVTPIYDVIAKEAEWSKKGSSKHSQWRNYDDLNEYFWSVDCFRLGWPMRADADFFCLPIEQLIFDKSNDNKPTNRNKWVGKVNFVEIRSFWHVFRSFDRMWRFFVLCLQAMMIVAWNGSGDPTAILNGDVFKKVMSVFITAAVLKFGQAIMDVILSWKAQRTMSMHVKLRYILKVVSAAAWVVVLSVTYSCTWPNPPKFAKSIQSWFGRSTSRSPSLFIMFVALYLSPNMLAALLFLFPFVRRFLERSNYKIVMLMMWWSQPRLYVGRGMHESTLSLFKYTIFWVFLIFTKLVFSYYIEIRPLVGPTKAIMSVKITAFQWHEFFPHARNNIGVVVALWTPIILVYFMDTQIWYAIFSTLVGGIYGAFRRLGEIRTLGMLRSRFESLPGAFNACLIPEEKIEPRKKGFKATFSHGFDQVPSNKGKEAARFAQLWNQIITSFREEDLISNREMDLLLVPYWADRELDLIQWPPFLLASKIPIALDMAKDSNGKDRELRKRIEMDSYMSCAVRECYASLKSIIKCLVQGDREIQVIEYIFSDVDRHVEAGDLIRQFRLSALPSLYAQFVELIKYLLDNKDEDRDRVVILFQDMLEVVTRDIMMEDHIFSSLVDPIYGGSVHEGMLRLEAQHQLFASEGAIRFPIEPVTEAWTEKIKRLYLLLTTKESAMDVPSNLEAKRRISFFSNSLFMDMPTAPKVRNMLSFSVLTPYYTEEVLFSLHELESPNEDGVSILFYLQKIFPDEWNNFLQRVNCSSEEELKGNESVELEEELRHWASYRGQTLTRTVRGMMYYRKALELQAFLDMAKDEDLMAGYKAIENSDDHSREESSLWTQCQAVADMKFSYVVSCQQYPSLRVAYIDEVEEPSKERPKKINKVYYSCLVKAMPKSTSSADTEPVQYLDQVIYKIKLPGPAILGEGKPENQNHAIMFTRGEGLQTIDMNQDNYMEEALKMRNLLQEFLRKHDGVRYPSILGLREHIFTGSVSSLAWFMSNQETSFVTIGQRLLANPLRVRFHYGHPDVFDRLFHLTRGGVSKASKVINLSEDIFAGFNSTLREGNVTHHEYIQVGKGRDVGLNQISMFEAKIANGNGEQTLSRDVYRLGHRFDFFRMLSCYFTTVGFYFSTLITVLTVYIFLYGRLYLVLSGIEEGLSTKKAIRDNKPLQVALASQSFVQIGFLMSLPMLMEIGLERGFRTALSEFILMQLQLAPVFFTFSLGTKTHYYGRTLLHGGAKYRPTGRGFVVFHAKFADNYRLYSRSHFVKGIELMILLIVYQIFCHTYRSGVGYLMITVSMWFMVGTWLYAPFLFNPSGFEWQKIVDDWTDWNKWISIQGGIGVPPEKSWESWWEEEQEHLQYSGMRGIIAEILLSLRFFIYQYGLVYHLNFVKKTKSFLVYGISWLVIFLILFVMKTVSVGRRRFSADFQLAFRVIKGLIFLIFFTVLAILIALPHMTIQDIVVCTLAFMPTGWGMLQIAQALRPIVRRAGLWGSVKTLARGYEIIMGLLLFIPVAFLAWFPFVSEFQTRMLFNQAFSRGLQISRILGGQRKGRYSRNKE >OIW13619 pep chromosome:LupAngTanjil_v1.0:LG04:9716567:9718089:1 gene:TanjilG_07961 transcript:OIW13619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAEDIQPLVVDNGTGMVKAGFAGDDAPRAVFPSIIGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTEYLVKILTERGYSFNTSAEKEIVRDVKEKLAYVALDFEQEMDTTKSSSAVEKSYELPDGQVITIGAERFRCPEVLFQPSLIGMEAPGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEISALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKGEYDESGPAIVHRKCF >OIW14175 pep chromosome:LupAngTanjil_v1.0:LG04:3170795:3172258:-1 gene:TanjilG_21315 transcript:OIW14175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVVRGVILDGSVLFAEGDDDTNVSFLRPGAESIIRTLFLSRIHLGICHGMDLPADKVSILKRIASSYSLDCFILNDPVSEVLPPWSNTDDSIVYLVSNKKEFLPKVSSYGWLIVVLKVGGGNSFDTPNMLQIENLEELPLTICCLNKKSIKNAVTVGYIMKSSRVEDFAKRGAFPLYCTQNGLMFVPLTSVLPLSSQLKDVDVVLHKATDEILSIEENKLTFTQNMQELQRYLEDHKDFCVIDPLSNIYPLLDRLEIQQVLLGLVELNAEGSYLIRGAHFLKVDNFDEFNLATRLAEARLSLPCIVKPKVACGVSDAHKMAIVFRVDDFKNLSVPLPAVIQEYVDHSSTLYKFYVLGEEIFYAVKKSIPNADILMKSSNGDDLKPLLFDSLKSLPTADSIQDYGANNSNTTSNESIDLKLVIDAAHWLRKKLQLTIFGFDVVIQEGTHDHVIVDVNYLPSFKEVPDDISIPAFWEAIRNKFDSRAG >OIW12509 pep chromosome:LupAngTanjil_v1.0:LG04:27098368:27099756:1 gene:TanjilG_04673 transcript:OIW12509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKVGIMENCDSNIRRWEDLDTDILVKIFQLLDIFDLTSGIAHVCSAWRLACCDPLLWKTLDLSMLRSNFIKIPLEPFVYVDGRSDRTLTRLLKISLSLGRQSIVTLIFHFNLYVSDEQLTYTAERCPKLKRLVMPAWNRIKLTGMCKAIRGWNELESLTMPCIANPPYILEEISMHCKNFSELKIMGPCDIYFASSLAAFLPKLKVLSLRCSTLYKDVLILILDSLQHLEVLNISHCILMEVAPPPNYNRIIKEIDQSIRQKASRLRQFLTCMDGTCIMCQRTSADEGLVRWYKYEEGLWKRDEVRSLSL >OIW13952 pep chromosome:LupAngTanjil_v1.0:LG04:5908919:5914975:-1 gene:TanjilG_09303 transcript:OIW13952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQYHIYEAIGRGKYSTVYKGRKKQTIEYFAIKSVDKSQKSKVLQEVRILHSLDHQNVLKFYSWYETSAHLWLVLEYCVGGDLLSILRQDTQLPEDSVHDLAFDLVKALQFLHSNGIIYCDLKPSNILLDENGHTKLCDFGLARKLRDISKAPSSSLPQAKRGTPSYMAPELFGDGGVHSYASDFWALGCVLYECYAGKPPFVGGEFTQLVKSIISDPTPPLPGNPSQPFVNLINSLLVKDPAERIQWPELCGHAFWRTKLSVMPLPAQPAFDDMIELQAKPCLSVRNGDKPSLDRTPLKYREKDVKGGIDTPTRAITNGHRTQTKGSGKTVEVKQKGPSKISKVVNLLRLSRIAKSNLQKENEKENYRRPLPNSSEIDSEVKIENTDMELDFNESIEDDAPEKTDGSEHATSVPDEKMDNHDQKQGKTDEFENDIHQLGTSPVVNTPVSNDPRSFDHESTPDYPDISAISPSVSPQVKKHRVKEEAGSGLDSDYSRLSNNLSEVLWHPSDLSVRPVMPSRKVDKVSDLIPSLPFQALQAFDFVKLPKEQLEEIQNRIIAILNGNTSIGEKQNLIRYLEMLSSNADAANILTNGPIMLILVKLLRQSKASALRVQLASLVGLLIRHSTFVDDSLANSGILGSLTDGLRDRQEKVRRFSMAALGELLFYISTQNADCRDNNPLESPLKDNRTSVGWQVSNSLISFVSSMLRKGEDDLTQLYALRTVENVCSQGGTWVGRLSSQDVISNLCYIYRAAGKQESMRLTAGSCLGRDDFQITLLRVLECLTEESLVVLGNPDIFIREILPSLTVLYKGNKDGDARFLCLKTLFDGMIIVLSEPVEEEQRLGDLKFISNACFLPLYPTLIEDEDPIPIYALKLLVMLLEFNFITIPDILHLKIISQCFEFLLGDLSNANVNNVKLCLALASAPEMESKLLSQLKVVRRIGSFLEFVYAKGMEDLLEPTLGLCRAFLARSFTCAKGFSYTTETTLLGDHPTEMSGAVDPQRSIRNIADFASNAGVLLELSASNETNVADIASECVVSLLKAAPREATTGLLTNLPKVSVILESWSRGIPHLFVQRMLHALDYACKQYLLHAMILSISIPEISRIKMIVSELKSSSVPGLATAAALTASELQRLPRCI >OIW12657 pep chromosome:LupAngTanjil_v1.0:LG04:25884065:25887624:-1 gene:TanjilG_24590 transcript:OIW12657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDDNSMKSKLSWTKTLVKKWFNIKTKAEDFQADDVILSDVDEEWRSNYSKKEECTIKKSKTERAKRRHSDRMRRGNIELDAAQVSDVNNYGIFVATWNVGGKSPPSYLSLEDWLHTSPPADIYVLGFQEIVPLNAGNVLGTEDNGPARKWLSLIRKTLNSLPGTNGECHNTSPVLNPIIELDGDVEGPMRQKTSSFFHRRSFQSLSRSMRMDDNDMPMSQACLDRRLSVCDRMILGHRPSDYDPNYRWGSSDDENEPGDSPVTTQFSPMSYRGCFSVEDRDRQTGQSRYRLVASKQMVGIFLTVWVKSHMKDDVHNLKVSCVGRGLMGYLGNKGSISISMSLHQTSFCFICSHLTSGQKEGDELRRNSDVMEILKKTRFPRVHDTGDQSSPQTILEHDRIIWLGDLNYRIALSYRVAKALVEMHNWKLHIERREGRVFEGWNEGRIYFPPTYKYSNNSDRYTGDERHTKQKRRTPAWCDRILWYGNGLYQLSYLRGESRFSDHRPVCSVFLAEVGIALRNASPNRDEDIRLLSTVPKKFVLCLISFYLLRNFSLRTSYIPLCCSCS >OIW13834 pep chromosome:LupAngTanjil_v1.0:LG04:7675838:7680383:1 gene:TanjilG_31723 transcript:OIW13834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVIGREVSGIVSEAKEEKNLSVKSNRKVEEGSTNGADGNVVKVTNGEKEKEEKGGESGDDATQTQRPQGERRRKLKPNPRLSNPPKHLQGEQVAAGWPSWLTAVCGEALSGWTPRKADTFEKIDKIGQGTYSNVYKAKDMLTGKIVALKKVRFDNLEPESVKFMAREILILRRLDHPNVIKLEGLVTSRMSMSLYLVFDYMVHDLAGLAASPEIKFSEPQVKCYMHQLLSGLEHCHKRHVLHRDIKGSNLLINEEGILKIADFGLASLFDPDRKHPMTNRVVTLWYRPPELLLGATEYGVGVDLWSAGCILGELFAGKPIMPGRTEVEQLHKIYKLCGSPSDEYWKKSKLPNSTLFKPREPYKRCIRETFKNFPPSSLPLIDTLLAIDPAERRSASVALRSEFFTTEPCACDPSSLPKYPPTKEMDAKRRDDEARRLRAAGKARGDGAKKHRTRDRAVKHVLAPGANAEIQYNIDLRRRLVSHANAKSKSEKFPPPHEDGQLGFPLGSSQHIDPDIVPHDVSLGSTSYIYSNEPFQAWSGPIGNSASNGVPKKKKKQTANDALDLSKPHKNSLKDKVKGKKVIA >OIW12875 pep chromosome:LupAngTanjil_v1.0:LG04:24081209:24101172:1 gene:TanjilG_24808 transcript:OIW12875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIWSWISALPNLVEWDESDSPPIFELANSAPSQENSTRSIHLKAERTSGSESEAVVTFSICLQGFHPFNAQKPLWVSDKCHLSSQNPFLPLLLQLIQEIISNSPTAHDSTCPRSQLQKLKPEPIAWIMDSHTPESLSTFFNLVFIMRLFWLCVCDAPSEAGSLYFQSLFAPAVETASLKLASVLRTFFVTVGIDTELCFMRTLGYIMAKWCIIRELGVGLQTLVAASPRKNPKFSYAAEAHGLWVLKGYAPVMTMKLARSNGQKSQFPSIDPKETILRYALAHQQLEAHVQLDYTVDFYDGFIHVRARVDNIRIHVARLGFNQNDDVEFVEEKHFPSRVRVWVGPEIGATYVGGLSLGRSTENNEKEVEIEKIVKGNFEKLQVAKVKARSLRRTRTKSWRMDQDAEGNAAIFDAVLHDNATGQEVVTWKPTGQTGDESVNGMRGRYVGANRPFTKNGSVVIAGDEYGEEVGWKLNRDMEGSVLKWRIGVAFPFAKEDVERVQFVQKQHRWKPSLLNAVDYDDDGESARPLKITFSGPAKNWTDAIPIGNGRLGAMVWGGVASEILQLNEDTLWTGTPGDYTNKNAPEALAEVRKLVDDSKYPEATAAAVKLSGEPSDVYQLLGDIKLEFGDSHLAYSKESYRRELDLDKATAKVKYSVGDVEFTREHFVSNPDQVIVTRISASKSGSLSFTVSLDSKLHHNFKVSGKNQVIMEGSCRGHRMQPRVDSSGHRIWLNVYSRDNLNGVSSSDNLKGLNSSDISNGADSSNFPKGIQFSAVLDIQISNDKGVIHVLDDNKLRIEGSDWAVLLLTASSSFDGPFTKPEDSKKDPTSESISKMKSVKQLSYADLYARHLNDYQNLFHRVSLHLSKSSKTVPEKSVLGNRKLISSQTNISHMGGVDSVPTSARVKSFQTDEDPSFVELMFQYGRYLLISSSRPGTQVSNLQGIWNKDVEPAWDGAPHLNINLQMNYWPSLTCNLHECQEPLLDYISSLSVTGGKTAKVNYGANGWVAHQVSDIWAKTSADRGEAVWALWPMGGAWLCTHLWEHYTYTMDKDFLKNKAYPLLEGCTSFLLDWLIEGRGGLLETNPSTSPEHMFTAPDQKPASVSYSSTMDISIVKEVFSSILSAAEVLGRRGDAIIKRVIESQAKLPPTRISSDGSIMEWAEDFMDPDIHHRHVSHLFGLFPGHTISLEQTPDLFKAVNSSLIKRGDEGPGWSTTWKASLWAHLHNSEHAYRMIKHLINLVDPDHESDYEGGVYSNLFTAHPPFQIDANFGFSAAIAEMLVQSTMKDLNLLPALPKDKWPYGCVKGLKARGGVTVNMCWKEGDLVETGLWSENQNIQLRLHYRGIMVLADLLPGRVYTYNNMLKCVNTYNLADVNP >OIW12957 pep chromosome:LupAngTanjil_v1.0:LG04:23126754:23128886:-1 gene:TanjilG_15406 transcript:OIW12957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFHDSTTMPTRWPTHPSRWTHHQDKLFERALVVVPENTPDRWEKIAEKVQGKSAAEVKEHYDDLVHDVFEIDSGRVAVPEYTDESSSAWNSSNQISFMSKRKYGDSERKKGTPWTEEEHRLFLDGLSKFGKGDWRSISRNVVVTRTPTQVASHAQKYFLRQKSIKKERKRSSIHDITTVDSNSVSVPMDQNRVPPPGRPMQHSQEMQFNPINNLHDQMGGFGYSNYDF >OIW13767 pep chromosome:LupAngTanjil_v1.0:LG04:8490164:8492984:1 gene:TanjilG_17946 transcript:OIW13767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNFGTSRTQPRATRSMSIGGGIDYLDSKTKGNFVGKVFLAAVLTTLCIVMIKRYPSLNSPSPFSVQEAGVTHVLVTGGAGYIGSHATLRLLKDSYRVTIVDNLSRGNLGAVKVLQNLFLEPGRLQFIYADLGDAKSVDKIFSENKFDAVMHFAAVAYVGESTLNPLKYYHNITSNTLLVLESMAKYGVKTLIYSSTCATYGEPEKMPITEVTDQKPINPYGKAKKMAEDIILDFSKNSEMAVMILRYFNVIGSDPEGRLGEAPRPELREHGRISGACFDAARGITPGLKVRGTDYKTSDGTCIRDYIDVTDLVDAHVKALEKAQPAKVGIYNVGTGKGRSVKEFVDACKKATGANIEVDFLPRRPGDYAEVYSDPTKIRLELNWTAQHTDLEKSLQVAWKWQKSHRDGYGVSSVI >OIW12585 pep chromosome:LupAngTanjil_v1.0:LG04:26563302:26566326:-1 gene:TanjilG_04749 transcript:OIW12585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPLSKNNTNNNLVKPSLNVETLDPIEPSPLRKIIVVASIAAGVQFGWALQLSLLTPYVQLLGIPHKWASFIWLCGPISGMLVQPIVGYHSDRCTSRFGRRRPFIAAGALAVAVAVFLIGYAADLGHATGDNLAKQSRPRAIAIFVVGFWILDVANNMLQGPCRALLADLSAGDHRKTRNANAFFSFFMAVGNVLGYAAGSFSGLHRMFPFTMTKACDIYCANLKSCFFLSIILLLTLTTAALIYVKERALVPDQKNPNVKEDGGIQCFGELFGAFHELKRPMWILLLVTCLNWIAWFPFLLFDTDWMGKEIYGGKVGNGKASKSYDMGVHAGALGLMLNSIVLGVTSLGVEFLARVVGGVKRLWGIVNFLLAISLAMTVLVTKMAEHSRQFPGGDVNADPLPPTGAIKAGALTLFSVLGIPLAITYSIPFALASIFSITSGAGQGLSLGVLNLAIVIPQMIVSVLSGPWDAAFGGGNLPAFVVGAVAAAASGILSIVLLPSPPPELAKAATATGGGFH >OIW13237 pep chromosome:LupAngTanjil_v1.0:LG04:16584169:16584387:-1 gene:TanjilG_14170 transcript:OIW13237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PPPEDDHMARSIKKVKTRNELDKAVLEMELADVDFVSSRDGITSYRDKLLNLLEDTEAVLVEEGDEDLVENH >OIW14252 pep chromosome:LupAngTanjil_v1.0:LG04:1625696:1631381:-1 gene:TanjilG_21392 transcript:OIW14252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTSVVPANRRRAALQPEDADFETTEGVKAIGSFEEMGIKDDLLRGIYQYGFEKPSAIQQRAVTPIIQGRDVIAQAQSGTGKSSMIALTVCQIVDTSVREVQALIVSPTRELASQTEKVILAIGDFINIQAHACVGGKSVGEDIRKLEYGVHVVSGTPGRVCDMIKRRTLRTRAIKLLVLDESDEMLSRGFKDQIYDVYRYLPPDLQVVLISATLPHEILEMTNKFMTDPVRILVKRDELTLEGIKQFFVAVEREEWKFDTLCDLYDTLTITQAVIFCNTKRKVDWLTEKMRNNNFTVSSMHGDMPQKERDAIMAEFRAGTTRVLITTDVWARGLDVQQVSLVINYDLPNNRELYIHRIGRSGRFGRKGVAINFVKSDDIKILRDIEQYYSTQIDEMPMNVADLI >OIW13456 pep chromosome:LupAngTanjil_v1.0:LG04:10996317:11000189:1 gene:TanjilG_22247 transcript:OIW13456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSNKPLLLQSPSTPNTQEILPTIHVFPELPKSKSSSSNIATFSRMDSSNKVEKSSNYEPTLNQKNSSRRSAMSVHSGSSSSSTKNSVKEVSFNHLGSKPVRYGSKGADSEGLSMSQKELRDEDARLVYINDPEKTNERFEFSGNWISTAKYSILTFIPRNLFEQFHRVAYVYFLIIAILNQLPQLAVFGGVVSVLPLAFVLIVSAVKDAYEDWRRHRADKVENNRLASVSVNGELVEKKWKDIRVGEVIKIKANETIPCDIVLLSTSDPTGVAYVQTTNLDGESNLKTRYAKQETHSEKGRFNGLIKCEKPNRNIYGFQANIEIDGEKLSLGSSNIVLRGCEVKNTNWALGVAVYCGGETKAMLNSSGAPSKRSRLETRMNSEIIMLSFFLVALCTVTSVCAAVWLKRHKDELNLLPYYRKLDVSEGKEDSYKYYGWALEVLFTFLMSVIVFQVMIPIALYISMELVRIGQAYFMIGDNRMYDEATKSRFQCRALNINEDLGQIKYVFSDKTGTLTENKMEFQCASISGVDYSSTKAILEDEQVEYSVQVDGKVLRTKMKVKVNLELLHLSRSGIENKDRKQIHDFFLALAACNTIVPLVVDTTDPDVKLIDYQGESPDEQALAYAAAAYGFTLIERTSSHITIDIHGERQRRVASLFNVLGMHEFDSDRKRMSVILGCPDNSVKLFVKGADTSMLDVKDKSFDMDIIRATETHLHSYSTLGLRTLVIGMRRLNTSEFEQWHAAYEAASSALFGRAATLRKVASNVESNLCILGATAIEDKLQQGVPEAIESLRTAGIKVWVLTGDKQETAVSIGYSSKLLTRNMTQIIINSINRDSSRRRLEEAIVMTQKLMSRTSSEGPSDTISTQVALIIDGTSLVHILDNDLEEQLFELASGCSVVLCCRVAPLQKAGIVSLVKKRTSDMTLAIGDGANDVSMIQMADVGVGISGQEGRQAVMASDFAMGQFRFLVPLLLIHGHWNYQRLGYMILYNFYRNAVLVLVLFW >OIW13941 pep chromosome:LupAngTanjil_v1.0:LG04:5833978:5834829:-1 gene:TanjilG_09292 transcript:OIW13941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSFFLQLNTSSPNERRGRRKQAEPGKFLGVRKRPWGRYAAEIRDPTTKERHWLGTFDTAEEAAIAYDRASISMKGSQARTNFIYSDNNNNNNNNTIFHNFITPMEEVQSLLPTPQFITNTQSQNAICDETVYGSKPILDDSFFFSNDSNNNSGYLECIVPDSCLRPVSMQSNVNALEAHSHFDNTAFSQGALNMQTTMQASNFGGFSYQSEPSQGFLDDKQSWNCNSSELSAIFNKPLRTEDGYCMAALCPISESSNYGTVSSCSPSLPPPFGDVDLGYSPF >OIW13047 pep chromosome:LupAngTanjil_v1.0:LG04:21776922:21779255:1 gene:TanjilG_17607 transcript:OIW13047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRFSSMFNGLAKSFSMKKAKRSGRCSGREAAEAMAKEAKKTDSLLCSSGTVHVDGSNNLASVFSKKGQKGVNQDCCIVWEEFGCQEDMIFCGIFDGHGSWGHYVAKRVRESMPPSLLCNWQETLSQDIDVETEKKQHRFNIWKHSFLKTCAAIDQELKENRKIDSFSSGTTALSIVRQGELIVIANVGDSRAVLATTSNEGTLIPVQLTLDFKPNLPQEAERIMECQGRVFCLEDEPGVHRVWMPDEESPGLAMSRAFGDYCIKEYGLISVPEVTHRNLTSNDQFVVLATDGVWDVISNQEAVDIVSSTPDRTKSAKRLVECAMHAWKRKRRGIAMDDISAICLFFHSSLSL >OIW14311 pep chromosome:LupAngTanjil_v1.0:LG04:623349:635373:1 gene:TanjilG_21451 transcript:OIW14311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHPLPPPKVVELLKSNKINKVKLFDANPYILEALYGSNIGVTVGIPNNLLRILYSSKKAANRWVHNNVNRYISYGGSNAGIEYVAVGDEPFLKSYGEQFHPFTIGAAVNIQAALKIVNLDRKVKIVVPCSFDNFESGSNLSSEVHFRPDLNKTMIELLTFLNKHGSPFFVTISPFITLQTKNMSLDFSLFKETARPHNFSHKTYKNSFDLSYDTVVTVLSKVGFPDIDIVVAKIGWPTDGAANATSNLAEIFMKGLLTHLHSNLGTPLRPRHPPLETYIFSLFDEDQRSIDSGNFERHWGLFTFDGQAKYHVDLGHGSESLVDAQNVEYLTSRWCVVNNNKDLSNASANALEACSNADCTALSPGGSCFNSSWPSNISYAFNSYYQEHNQSPKSCDFGGLGLITTVDPSTDRCSFNDTTLGFLESLLVSKDVESATEVRSTLTQLLRSESLSVIRSIAAKTVHQKLLILDFFVRAFAILGDVQSCLALRYEALQLRELKSATASCEWLQVSSVEWLNFVADAVHSGFHSVAEKACENALSCIGSGNNDVLKPATDTSSQILNAISEITRLKNCAMASVASGSVQVQAVEYLKRKTTGQQKSDPLYKEERCLASTSFRNGIKKQNIRKLYERQSLLQINEEN >OIW12528 pep chromosome:LupAngTanjil_v1.0:LG04:26982375:26987995:-1 gene:TanjilG_04692 transcript:OIW12528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLPCIRRERDALLNFKASLHDPTNRLSSWEGNFCCQWEGIGCNNVTAHVVKLDLFNPCWRLTKQGELIQCDSYGNYSILSAQNLDRSLSELEYLSYLDLSGNDFSGSPIPIFIGSMRSLTYLSLSMANFGGRIPNTLGNLTNLTHLDLSYNYGINANQSLNSNVVNWVFGLQSLQHLDLSGVELGGIHNLLQVLNMLPSLLRIYLRDCQLFNLLLPIVNHTNIAPQFLDISYNELTSPVLDAFQNMTSLVHVDLFSNFLDLVPSWLSYFQKLKYLDISENGLHGPFPDVLRNMTSLESLYLSKTNFTLVPSWLGELNKLVHLDLSKNELSGPIQEAIRNMTSIEFLDLSFNGLTSVPCWFVEFKKLVHLDLSQNHLTHMECSLPSILADLCQLRFLNFSSNKLRREQIGDSELSWCITFDLKELDLSHNDFRGRLPTWVGRLENLEYLNLASNFFYGLIPYSLGKQLELKKLDQYNNTFDGKLDGSFHDSIAKLVNLQRLDLSNNYLNGIIPQSIGELVNLQELDLSNNHLNGTIPQSIGELVHLKQLHLSNNHLSGIIPQSIVKNSNKLGMWHPRAATYWFMVNMFYSILIEIDSLVAMLLSAFLSDNYLLNCGSYTNASLFNRVFVADSTFLSAEKSISLTNQNPPPNSPTLYHTARVFTNTAGYRFSMKKNGIQLVRFHFFHFKAQGFDMKSAKFDILVNEILVLSGFQSTSDDVLVKEFILKIESNLLEILFRPVGNSGFGFVNAVEVFNAPPDFVLDYGTRLVGPNGVEVYNKLSSQVLETIHRINVGGLKITPFNDTLWRTWIPDEDFLVFKDAAKAAVSTHTPDYQKGGATREIAPDNVYMTAQQMNRDNSILASRFNITWNFTVAPPGGRVPHLVRLHFCDIVSPVLNLLYFDVYINGYVAYKDLDLSSLTVHTLASPVYVDFVADSDDSGVIQINVGPSDLSSALRMNAILNGAEIMKVVNVIDSSIVHRKKKLGVLVSSIVGGFVGFVVIIVFVLAMKCRKKKPKLRTVESAGWTPLRMFGGSSLSRMLSETGSHGYSGLKIPFADIESATNKFDRSLIIGSGGFGMVYKGVLKDNVKVAVKRGMPGSRQGLPEFQTEITILSKIRHRHLVSLVGFCEENSEMILVYEYVEKGPLKRHLYGSAALPPLSWKQRLEICIGAARGLHYLHTGFAQGIIHRDIKSTNILLDEDYVAKVADFGLSRSGPCMNETHVSTGVKGSFGYLDPEYYRRQQLTDKSDVYSFGVVLFEVLCGRPAVDPQLTREQVNLAEWALEWMKKGMLEHIVDPHLVGQIKDRSLKKFGETAEKCVAEYGVDRPTMGDVLWNLEYALQLQESGQQREPHTDSSTNETVNVTTRVVLGNSSSNRRTERDYDNGCSDICGSQVFSQLMTNEGR >OIW13966 pep chromosome:LupAngTanjil_v1.0:LG04:6077042:6078057:1 gene:TanjilG_09317 transcript:OIW13966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRVSVLNDALKSMYNAEKRGKRQVMIRPSSKVIIKFLIVMQKHGYIGEFEYVDDHRAGKIVVELNGRLNKCGVISPRFDVGVKEIEGWTARLLPSRQFGYIVLTTSAGIMDHEEARRKNVGGKVLGFFY >OIW13905 pep chromosome:LupAngTanjil_v1.0:LG04:8178224:8189911:-1 gene:TanjilG_31794 transcript:OIW13905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFTKNILLIVSISSFLLLQINATRVPSPLQDGVTKLCSTTTDPVLCVNTILPHLHGDFNPYKALELEIIAAKNQVVKSVAVMDNLIRNPSTTKETKESLIICKDQYGFMIDSINQAIAAVSIPNAREANAKFSEVSNQMLELYEQNKVSQFQGSEVEGSTGGGTQAAAKAPSADEEQTSARSAAKHTSAENQEVPLRGMENQINDGSAEMGSGITDHKVDLEIRDSQNPEQLPKDNKGEVTLRSNSATEQIGTGDQGHRECLLRYSPKDAIKMIDKDKVKAALKKRRKERGEKKLKKDVMDEDDLIERELEDGIELAVENEKNKEGRQSWSEPDDADNDKDHADAEDVKRVNMKGQLLTNVDADNEREGEMIDNASSPLNNRKRKKGSPLAAQPELKRLLDSSHYNNHAE >OIW13194 pep chromosome:LupAngTanjil_v1.0:LG04:18120382:18121415:-1 gene:TanjilG_17637 transcript:OIW13194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGVSCTDETTTTNDSLSISLLLKLYSPSPRNTLNGVGSGTSTVVDPNGIYEKHQRVWVGTFNDEDEAARAYDIAAVRFRGKDAVTNFKTFSCDVAEIEFLNSHSKSEIVNMLRKHTYHDEMLHSKNSTWWKQRRSLDNAATTSFGIGSVCEMKAYEILFEKTVTPSDIGKLNLLVIPKQHAEKNFPLCGGNSPWTAAAAAKEVVLNFEDVGGKVWRFRYSYWNSSQSYVLTKGWTRFVKEKSLKAGDTVRFYQSCGPDRQLYIDIKNRSGDVGANVCGLYIPAGPEVEPIQIVRLFGVNLLKQPGSDAVGGS >OIW12811 pep chromosome:LupAngTanjil_v1.0:LG04:24681201:24683476:1 gene:TanjilG_24744 transcript:OIW12811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVLHQCPFNSPRLVMNKVLSKSQHEGDGAVVRRGIGRSELPRLDPFLMLDYFSVTPPAGFPDHPHRGFETVTYMLQGGITHQDFAGRKGTIRTGDVQWMTAGRGIVHSEMPAGAGTHKGIQLWINLSAREKMIEPNYQELLSEDIPTAEEDGVEVKVIAGESMGLHSPVYTRTPTMFLDFTLNPGAELHQTIPHSWNAFVYVIDGEGVFGFQGCTPITSHHVLVLSLGDGVSVWNKSSNALRFVLIGGQPLNEPVAQYGPFVMNTQSEIEKTIQDYHYSKNGFENGKSWRSQ >OIW12916 pep chromosome:LupAngTanjil_v1.0:LG04:23689565:23695309:1 gene:TanjilG_15836 transcript:OIW12916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSDGVSGVEVKRVFIGAGCNRIVNNVSWGASGFVSFGANNSVAIFSPKSAQILTTLPGHKAVVNCTHWLPSTKFFFKAKQLEQHYLLSGDADGTIILWELTLVDGKWRQVLQLPQSHKKGVTCISGIIVSQTEAIFASASSDGSVCLWELVFPLRSGGECKVSCLDSLSVGSKSMVALSLVELPGNSEQIVIAMGGLDNKIHLYCGGRMGKFVHACELKGHTDWIRSLDFSLPICINGEANNIFLVSSSQDKGIRIWKMALCGSMSNGHGTYRKEEISLSSYIEGPVLLAGSSSYQVSLESLLIGHEDWVYSVKWQPPLKSVIGDEYYQPQSILSASMDKTMMIWQPEKTSGVWMNVVTVGELSHCALGFYGGHWSPNGDSILAHGYGGSFHLWKNVGNDNWLPQKVPSGHFSSVTDIAWARSGDYILSVSHDQTSRIYAPWKVEASLKDGEFWHELSRPQIHGHDINCVTVVPGKGNHRFVSGADEKVGRVFEAPLSFLRTLDNATLQKSGSGDNVLTDVQILGANMSALGLSQKPIYVQAARETPEKNGIDGLDTLETIPDAIPTVFTEPPIEDQLAWHTLWPESHKLYGHGNELFSLCCDHKGELVASSCKAQSATVAEVWLWQVGSWKAVGRLQSHSLTVTQMEFSHDDNYLLTVSRDRQFSIFTITRTDAGEVSYSLLARQEGHKRIIWSCSWNPHGHEFATGSRDKIVKIWAVEKGSSVRLLMTLPQFASSVTALSWAGLRDRRNDGLLAIGMENGQIELWRLSYNRADDGSIAAPGIAAALAVRIDPFICHATTVNRLAWRKNEEGHTSMQLASCGADNCVRVFDVTVSS >OIW13647 pep chromosome:LupAngTanjil_v1.0:LG04:9564240:9566320:1 gene:TanjilG_07989 transcript:OIW13647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAVLVNRNEPNWPQHRGGGAAFMGKLPKSNPNPNPKFAKKTQSDDGSSINRRPNGASHAPQYVTFNVASCTKNEIKEIKNSLVSELERIRRFQSRIESGELQSRQSFNKKPGSKKVSGTKRPLPLNSPVKDLKRNNSEIGNLLKGCAQVLQKLMKHKHSWIFNVPVDVAGMGLHDYYDIIKKPMDLGTVKSNLAKNVYSMPSDFADDVRLTFNNALTYNPKGHDVYAMAEQLLVRFEELYRPTHEKFEYCLRQDIDFDEELPASSWNHVEPERERVKKGNLTHSNSMLPQTKLRQEPPLPLPAAPNPRIVQSPSPRIVQSPSPRIVQSPSPRIVQSPSPPIVQSLCPPVVQSPSPQIVQSPSPPIVQSPSPPIVQSPVHTPSPMRAPLVKPVKQPKPKAKDPNKREMNLEEKHKLGLGLQSLPPEKMEQVVQIIRKRNGHLEQDGDEIELDIEAVDTETLWELDRLVTNYKKMVSKIKRQALMVNVSNNNVAASNKHIGELPASEKVDTLPVEAKKAKKVEAYAGDEDVDIGDEIPMSMFPPVEIEKDKDVVGGGHASSSSSSSSSSSSDSSSSSDSESGSSSGSGSEADNGHL >OIW13579 pep chromosome:LupAngTanjil_v1.0:LG04:9920002:9920637:1 gene:TanjilG_25678 transcript:OIW13579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNPVSLVSFFSGSVIKSVRKDNLPKELGMDPDSQLVDKDRLLLIKEKLRALVNVPRFSKGPSRRRVGDGTEDNDGREDEVDAEGEEEWSDTDNLIDGEFDDNDDDDDEWSDEDDDTPPDFDEDVGTPEIGRRKLSKQVDETTQNAEKDRVPVFPDGRPREQW >OIW13964 pep chromosome:LupAngTanjil_v1.0:LG04:6036526:6070963:1 gene:TanjilG_09315 transcript:OIW13964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLLIRHRSNSFLIFLRQPYSLPRFNPITQFRNFTSDNETPDAKDPNFVKEICRITRTVPRWENTLLYQYPNFQFSDPSFFLLYLNHQNNAFLSLRFFHWLCSTCGFSPDQSSCNALFTILVDAGACKAAKSLLDFPGFTPENESLECYISCLSSSGMVEDALMVFVELKKVGFCASVATWNEALLGCLKSGRTDLVWILYEHMMESGVVANIDVQTVGYLIKAFCAENNVSKGYELLREVLDNGLCPDNSVFNALIGGFGKERQYARVSELLHTMIAKNCKPDIFTYQEIINGLMKRKNPEGLRVFKDLKDRGYFPDRVVYTTMIKGLFKMGRFGEARKLWFEMIQKGILPNEYTYNVMIHGHCQIGDLVQARKFYKEMCDRGYKETAVSYSVMISGLCLCGKTSEALRLFEEMPQKGIVRDLVTYNCLIKGLCENAELVEAKKLFNELLAQGLEPSVFSFTPLIERLCKVGDTQEAIRLWKDMHDRHLKPVSSTNDHIIIGLCEEGNSAQAMEWLLNMLSWKLKPLERTFERLIHSLLLEDKKPHLNVGTIGHVDHGKTTLTAAITKVLADEGKAKAIAFEEIDKAPEEKKRGITIATAHVEYETTKRHYAHVDCPGHADYVKNMITGAAQMDGGILVVSAPDGPMPQTKEHILLARQVGVPSLVCFLNKVDAVDDPELLELVEMELRELLNFYKFPGDEIPIVRGSALSALQGTNEELGKKAILKLMDAVDEYISDPVRQLDKPFLMPVEDVFSIQGRGTVVTGRVEQGTIKVGEEVEVLGLTQGGPLKTTVTGVEMFKKILDHGQAGDNVGLLLRGLKRDDVQRGMVVTKPGALKTYKKFEAEIYVLSKDEGGRHTAFFSNYMPQFYLRTADITGKVQLPENVKMVMPGDNVTATFELMSAVPLETGQRFALREGGRTVGAGVVSKVIT >OIW13180 pep chromosome:LupAngTanjil_v1.0:LG04:18973741:18974436:1 gene:TanjilG_17536 transcript:OIW13180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLGARTNVTSSPNHVEIPIHANANNVVEPQPIQGVEESNIDYSQRAQWLRAAVLGANDGLISVASLMMGVGAVKEDITTMLIAGFAGLFAGACSMAIGEFVSVYTQYDIEMARIKRERKGNNNNNINEVNEEAQREKLPNPFQAALASALAFSVGALVPLLAALFIRNHKIRLAIVAVAVSLALLAFGIVGAVLGNTPVRRSCLRVVIGGWMAMAITFGLTKLIGSAGI >OIW12748 pep chromosome:LupAngTanjil_v1.0:LG04:25187893:25190775:1 gene:TanjilG_24681 transcript:OIW12748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSNSEMENVVVEEDVKLDELPSALLATIMTKLDIASICSLASTSSTFRSCAKQILSFISNFHLLEIAPSGELLRPLLPPNPCLKTLKVDCARLDDSAIPFLVKPSLHDLCLHNCADFSGKLLSQIGIQCKDLRSLYLGSVAEKRGRAIHISDLEDLLTGCSQLEALILMFDVSLFLRHNFAGVWASASENLTSLEIGYISSVTVTELLSPNLGSHQSLNPVQPSMLPSIQKLCLSVDYITDAMVGTISKGLVFLTHLDLRDAPLIEPRITFDLTNAGLQQINQHGRLKHLSLVRSQEFLITYFRRVNDLGLLLMEEKCADMESICLGGFCRVTDTGFKTILHSCSRLYKFKVTYGTQLTDLVFHDISATSLALTHVSLRWCNLLTNNAVFSLASNKELKVLDLRDCRSLGDEALRAIGTLPGLKMLLLDGSDITDAGLSYLRPCVINTLFALSLRGCKRLTDKCITALFDGCGVLELRELDLSNLPNLSDNGVLQLAKTRIPFFELRMRQCPLIGDTSIMALASMLVDEAIWHGSSLRLMDLYNCGGITQLAFRWLKRPYFPRLKWLGVTGSVNRDMVDALARSRPFLHVACHGEELGADPYDISDGLYTHDYDEVDEFEQWLLEADIDTEDEEMGDAEIEELIM >OIW13872 pep chromosome:LupAngTanjil_v1.0:LG04:7920941:7924605:-1 gene:TanjilG_31761 transcript:OIW13872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLRSLPKLSLLFSSTTKNPFHLPKTHRFISSWKTTIKAPPSPVKIGVKTRVPIRSISTSKFSQLSAEEMTAGDQVQQVKLLTSTNDDHGGVIVEMDQPMDSTTFVSILRASISHWKQLGKKGVWIKLPIHLVSLVEALVKEGFWYHHAEPKYLMLVYWIPETPNTIPANATHRVLVVQENSGHFQGTGVWKFPTGVVDPGEDICVAAVREVKEETGVDSEFLEVLAFRQSHISFFEKSDLFFVCMLQPLSSDIQLQKLEIEAAQWMPFEEYAAQPFAQKHGLLRYINDICLAKIGGGYSGYAPVSTSSNFSDQKSYLYLDVEALKRSKL >OIW13652 pep chromosome:LupAngTanjil_v1.0:LG04:9537954:9542130:-1 gene:TanjilG_07994 transcript:OIW13652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYQYIVAGGFIASTLVLLLVLLFDSAAKKKKAIGSLHAEEINNDCAKTSSENGTCPQEVVGTTDIIIVGAGVAGAALAYTLGKDGRRVHVIERDLTEPDRTVGELLQPGGYLKLTELGLEDCVEEIDAQEVYGYVLYKDGKNVKVSNPLDKFGSHVSGRSFHNGRFIQKMRHKALSLPNVKLEQGTVTSLLEEKGIIKGVHYKTKCGEELIAKAPLTIVCDGCFSNLRRSLCNPKVDVPSYFVSMLLENCNIPHANHGHIILGDPSLILFYSISSTEIRCFVDVPGPKLPSLANGDMANYFKTVVAPQVPPELQKSFIEAVEKGKMRSMPNRSMPASPNPKPGALLLGDAFNMRHPLTGGGMTVALSDIVILTNLLRPLHNLHDASSLCTYLQSFYTLRKPVASTINTLAGAVYKAFCASPDPGMKEMRQACFDYLSLGGVFSDGIIALFCGLNPRPLSLVLHFFAMAIYGVGHLFIPFPSPKRIWVGARLISGASGIIFPIIKAEGVRQMFFPATVPAYYRTPPTN >OIW12790 pep chromosome:LupAngTanjil_v1.0:LG04:24929815:24933278:1 gene:TanjilG_24723 transcript:OIW12790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHGENDGVSVMMVWVCETSEKEESGCVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTIELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDEASFNNIKNWIRNIEQHASDNVNKILVGNKADMDESKRAVPTSRGQALADEYGIKFFETSAKTNLNVEEVFFSIARDIKQRLADTDSRAEPQTIKINQPDQAANGGQAAQKSACCGS >OIW13125 pep chromosome:LupAngTanjil_v1.0:LG04:20008924:20013771:-1 gene:TanjilG_32106 transcript:OIW13125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKVVEELEKVKREWDETNVKTQEHIKAIEEHGKKSSIETNNNNNYNSLPRLNGIAQDSLQSLSSLCFRLDLLAPQLPTHHDVQSAQSLLQSWKTQTQSLRSSLRNANLQAKANMRKAAKEERELLLGGGEESTIRRRNLQTKAGMTSAAESITESLRRTRQIMVQEVERSTNTVMTLEESTGVLKKAESEYKGQRSLFTRTRNLLSTMQRQDVLDRLILGVGFFLFSLAVLYVVSKRIGILTLQKKVTAAIKAGVVGQAGLRPQAGAGDINLHEIRVDHGHNREAPPVPRMHDEL >OIW13050 pep chromosome:LupAngTanjil_v1.0:LG04:21804379:21806481:-1 gene:TanjilG_17610 transcript:OIW13050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNTELSRMKQPLVPLATLIGRDLRNLKIEKPIVKYGQAGLARKGEDYFLIKTDCQRIPGDSSTLFSVFAILDGHNGMSAAVFAKENILSNVTSAIPQDISRDEWLQALPRALVVGFVKTDIEFQKKGETSGTTATFVIIDGWTVTVASVGDSRCILDTQGGVVSLLTVDHRLEENVEERERVTASGGEVGRLNVYGANEVGPLRCWPGGLCLSRSIGDTDVGEYIVPIPHVKQVKLSNAGGRLIIASDGIWDALSSDVAAKSCRGLPAELAAKLVVKEALRSRGLKDDTTCLVVDIIPSDYPVLPIIPRKKYNMLISLFFRKKSQNSSNKASNKLSAVGAVEELFEEGSAVLTERLGKESPFNMNSGIFRCAVCQVDQPPGDGLAVNSGPYFPSASKSWEGPFLCTNCRKKKDAMEGKRLSIPIVDSIVI >OIW13850 pep chromosome:LupAngTanjil_v1.0:LG04:7817438:7818660:1 gene:TanjilG_31739 transcript:OIW13850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPSGSKIIAARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >OIW12874 pep chromosome:LupAngTanjil_v1.0:LG04:24107701:24108273:1 gene:TanjilG_24807 transcript:OIW12874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKKYEKTAITAMEQPSEVITGDKNNDGSEDDNQEEWLNLNLSLVGTSFSTARDTDSQTTPATTKLFSCNFCMRKFFSSQALGGHQNAHKRERGAFKRYQTQRTMSMMGFSMNNHMFRSLGVQTHALLHKPCRSGGTMRAPSFHDAYAMECRPFVPVEQTDTEWPGSFRLVPQQPESPQESLKLDLNLRL >OIW13318 pep chromosome:LupAngTanjil_v1.0:LG04:14461156:14461740:-1 gene:TanjilG_02838 transcript:OIW13318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSCRSSSSSQSSTGSKSSTEMQEQLGLWLSLSPPRNNNCSNSTRSFFSMEDNVSGNENANPKALPPTKLASETASVPVANNNPNQVDTILRLGFGPIDKVTPFYEFMPIMNGRERCCFVDAPFGSGVNYTYADNICSHPSPDAFGEIAQVPLNYGTYAGEFGDGDYGAGGFSEATSGAEVALLADPYGALMLI >OIW13982 pep chromosome:LupAngTanjil_v1.0:LG04:6225674:6239935:1 gene:TanjilG_09333 transcript:OIW13982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCRGGHSSKLYRGALKAKRPNSPLPPQPPNQLSFDTSTSRNTLQGDTTATAPMLSEESFSLVSGGDPKFSMIIKLAPGIVEEIKRLEAQGGRTRMKFASNPYDRDENIIDVGGKQFRFTWSQEFGDLCDIYEERQSGKDGNGLLVESGCAWRKLNVQRTLDESTKNHVKMRSEEAERKLKSRKTIVLEPGNPSVKALAAAEATLQKSYNKKKEAAPPKKSKVETLQVGGPPKSTYIPGFSTTTATMSTGRHSSSFSFPLDPSAASSSLLGVINISKGIDNVVPSQKIGKQTGGPDKEILTQRNNETRNAEESKGKNVAEPTDLRSTLVSLLMSRPGGMTLKALGKALNGIVPDLKKQMEPILKKIASYKSPGRYILLPGVDLQSFEKPSIKSGSSTGDNHPQQSSQQEIFDESPASQGGLKENNTDDGLEELMQLNSKLEQLTKISENINDQCYSPDIFNEKKGPDLCEGQEGNTSDRGIDSDSESGGRSHSGSGSMSTAERENGSGSDTENGAPSSSEEVSCEDVDAVTKYDEREPKNKTEASAERVFSLIPGKLPEGRSLQYETRQKQHNNESVAVQTEKNLPAEPEADMALTTATISMDSSRHENFGSHERLSGGKHERDSSTNFCEKVEFIKRSRAEYSGQAQSPLGTGVQMFETTRSFSPLHASGMVSKNPGDDSNRSKQSLSMDSHCLKQGEVVERLKDAQPGTHSAHCDSTPNEFLVTKLFEEKNSSETTSESRKPFSAPVSSGFCNNCDSTNKKITDILFEETTRSRATTVQPHPAKSHAIYSEGRRDSNHAPTNGSSCNSLKVVADRGQSSGDADKNKSSKDWIKYFSDENASYLKYEKAEAELKGPIMSFSQYQEYVHQFREKHDTYMYLNKACASYEDVFQELVNGLDDAKDDEDMYNSIFGKLMESYETYGMIIDVGGKQFRFTWSQEFGDVCDIYEERQSGEDGKSLLVEGPPKFPYKPGLSRTTATMNTDRHSSLSFPLDQSAASSSSLGAVKIFKGIEDDVPSQKIGKQDTNTCGSDREVLTRTNNAMRNIQESKGKNIVETLNLKSILISLLMNKPDGMTSKALDKAVKGVVPNLKRKINPIVRKVDCKLQVSGSYNLLPGVDLKRFKKPLTESGSCPNDNHPQLSDHQEFHNETQVPKGVIKDHITEDGKKGLDLSEGQEASTSDRGSDSDTKSGGRSHSESRSRSTVERGSGSDTENGAPSSSEEVLYEDVDAVTNYDEREPKNKTEAYAERVFSLIPGKLPDGRSLQVETRQKQHNNESVAVQTGKNLPAEPETDMALTTATISMDSSRHEQFGSHERLSGGKHERDSSTNFCEKVEFIKRSREEYSGQAQSPLGTCVQMFESTRSFSPLHASGMVSKNLGDVSNRSKQSLSMDSHCLKQGEVVERLKDAQPGTHYANCDSNPNEFLVTKLFEEKNSSETTSESRKPFSAPVSSGFCNNCDSTNKKITDIIFEETTRSRATTVQPHPAKSHAIYSEGRRDSNIRFMGSSIIMNLVQLRLKKTLMKGYLKEGKVVGRLKDARLEMSDGLQSNESGASWSIYLEGHKDNNNALAYASSPNSFKEEADRGQSSGVADNNNNDKNRRKYFSDKNASYLKYEKAEFELKGPIMSFSQVADSVEEIMKGLGSYFDIALALPLILLYKNECHRYQEACPNNITPSSIYGAEHLLLLLGE >OIW14247 pep chromosome:LupAngTanjil_v1.0:LG04:1722721:1725016:1 gene:TanjilG_21387 transcript:OIW14247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFCSSICLTTKVPVRVQSLHMNSTTVNDPSFIAAVPIPKWSQKTIVLPPLKRGCHLITSKIVKEIQPDLSGFQCGLAHLFLHHTSASLTINENYDYDVRDDTETFLNRIVPEGPSAPWKHTLEGPDDMPAHIKSSMFGCALTIPISTGKLNMGTWQGIWLCEHRDYPTARTLVVTLNGI >OIW13383 pep chromosome:LupAngTanjil_v1.0:LG04:13651009:13658498:-1 gene:TanjilG_16492 transcript:OIW13383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSPSMSVSLECVNICKLWRGDGSGRYDCSLLPCAWKAPRVLTGFLASTAYPDQCSSLLSGRNGRRNRYNFGCEAFSRIGSYPDEGLDVLLFEGLSRSILSQVTPRRWQLCCSSEFSLETANEVSAESLWEDLKPVISYLAPKELELVHDALILAFEAHDGQKRRSGEPFIIHPVEVARILGELELDWESIAAGLLHDTVEDTNLVTFERIEEEFGATVRHIVEGETKVSKLGKLKYKNDKESAQDVKAEDLRQMFLAMTEEVRIIIVKLADRLHNMRTLSHMPPHKQTSIAMETLQIFAPLAKLLGMYQIKAELENLSFMYTNAEDYAKVKRRVADLYKEHEKDLLEANKILVKKIEDDQLLDLLTIKTEVRAVCKEPYSVYKAVLKSKGSINEINQIAQLRIIIKPKPCIGVGPLCGPQQICYHVMGLVHGIWTPLPRSVKDYIATPKPNGYQSLQTTVIPFLYESMFRLEVQIRTEEMDLIAERGIAAHYSGKEFVTGLVGSAMPNSKSSRGKTVCLNNANIALRIGWLNAIREWQEEFVGNMSSREFVDTITRDLLGSRVFVFTPRGEIKNLPQGATVIDYAYMIHTEIGNKMVAAKVNGNLVSPSHLLANAEVVEIITYNALSSKSAFQRHKQWLQHAKTRSARHKIMKFLREQAGRSAADITTEAVNEFVTDSEGDSESEELSGGSNGFKPMRGKMFVNGVEISNPDKGEVILGSKNGSVWTPKINGKHNKHVRHESLKGEGDILLQRNHVAKMIQVNTPRYKEVLPGLESWQTHKIASWQHIEGHSIQWLCVVCIDRRGMMAEVTTALATAGVSICSCVAEIDGGRGMAVMLFHVEGNLENLVSACSRVDLILGVLGWSTGCSWP >OIW14314 pep chromosome:LupAngTanjil_v1.0:LG04:534264:541680:-1 gene:TanjilG_21454 transcript:OIW14314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRELGLGFIFSFPLIASCLFYRRFDLHVLYDRGVNYWFLIAIIVVVLLIMRFCSVFSSLLHYMLPRKRHCEGAVVEEKEEANNRGSGNQKKNRIAAFDSTDTADSTVNNQNQSLGGASSDKNSNSFGSLIMALGDSNSQDIDEDLHSRQLAVYGRETMRRLFGSSVLVSGMKGLGVEIAKNLILAGVKSVTLHDEGTVELWDLSSNFVFSENDVGKNRAAASVSKLQELNNAVVVLSLTTELTKDQLSNFQAVVFTDTSLEKAIELNDYCHSHQPPIAFIKTEVRGLFGSVFCDFGPAFTVVDVDGEEPHTGIIASISNDNPALVSFVDDERLEFQDGDLVVFSEVCGMKELNDGKPRKIKNARAYSFTLEEDTTNYGIYEKGGIVTQAKQPKVLNFKPLREALSDPGDFLLSDFSKFDRPPLLHVAFQALDKFISELGRFPVAGSEDDAQKLISVASNINDSLGDGKLEDINPKLLRQFAFGARAVLNPMAAMFGGIVGQEVVKACSGKFHPLHQFFYFDSVESLPTEPLDPNDLRPVNSRYDAQISVFGRKLQKKLEDSQVFVVGSGALGCEFLKNLALMGVSCGSQGKLTITDDDVIEKSNLSRQFLFRDWNIGQAKSTVAASAAASINPGFNVEALQNRVGTETENVFNDTFWENLSVVINALDNVNARLYVDQRCLYFQKPLLESGTLGAKCNTQMVIPHLTENYGASRDPPEKQAPMCTVHSFPHNIDHCLTWARSEFEGLLEKTPAEVNAYLSNPSEYTNGMRNAGDAQARDNLERVLECLDREKCETFEDCITWARLKFEDYFANRVKQLIYTFPEDASTSTGAPFWSAPKRFPHPLQFSASDQGHLLFTMATSILRSETFGIPIPEWVKNPQKMADAVDRVIVPDFQPQKDAKIVTDEKAVSLSTASIDDAAVINDLIIKLERCRSNLSPDFRMKPIQFEKDDDTNYHMDVIAGLANMRARNYSIPEVDKLKAKFIAGRIIPAIATSTAMATGLVCLELYKALDGLHKVESYRNTFANLALPLFSMAEPVPPKVIKHQDLSWTVWDRWILGDNPTLRELLEWLKAKGLNAYSISCGSCLLYNSMFPRHKERMDKKVVDLAREVAKMDIPSYRRHLDVVVACEDDDDNDIDIPQVSIYFR >OIW14019 pep chromosome:LupAngTanjil_v1.0:LG04:6557097:6559565:1 gene:TanjilG_09370 transcript:OIW14019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGSCFHGLYLRRSKNNKPLPAPSTSYKTKLKCEMENNNMARKRFDSLESWSMILDSENVETWEASKEDTEEWSADLSQLFIGNKFASGAHSRIYRGIYKQRAVAVKMVKIPTQDEERGVLLEQQFKSEVSLLSRLLHDNIVQFIAACKKPPVYCIITEYMSQGTLRMYLNKKEPYSLAIDTILRLALDISRGMEYLHSQGIIHRDLKSNNLLLNDEMRVKVADFGTSCLETRCRETKGNMGTYRWMAPEMIKEKPYARKVDVYSFGIVLWELTTCLLPFQGMTPVQAAFAVAEKNERPPLSASCEAALAHLIKRCWSSNPSKRPDFSEIVSTLEKYDECVKEGLPLTHHSGLVNGNAIIQHLKGCVSIPIHA >OIW13603 pep chromosome:LupAngTanjil_v1.0:LG04:9777759:9780698:1 gene:TanjilG_07945 transcript:OIW13603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQTMGKVWCSLLFLFLFFTLSLSSSSSSSPHFEGFEAEYDDDDDVFQDIDPSSLQPINTKLTPNPSSDQQPNSPPSDLPNPTPNTFTDVWDEDEFEGLPIQQQQHHSSNPDHIPTHPKSPNNSSHSDPQPPNSSRSFTVEILCGSFLIIFAINYFTGKKENENIALSWAAQFAAKDSIFEKNFSLLGIGDGGDDSPLLLKEGQTTFKFYASGRRYCQGLLATLELKSRHDLIARIYNMIVPTRDEISFEVYMNDDAMDHVVFAMSKKKAAKAMHKDVRDLQRFATLLTPPNAKKWVSDDLAVVSESKEVAADLITDAVIDQVFGDRAYEKVGKGLISLHFSDQHSGIHKKVLLFKFVLPAASNMADMTRLVALVPYYIDLIGRYKLSSQARSKTETARQKAAQEAQRELRYAQQEAMQRKKAEKKKMLEEAEAKLSAEAIRKKEAKERARQMKKSMPRMKMTRGA >OIW14259 pep chromosome:LupAngTanjil_v1.0:LG04:1449305:1452941:-1 gene:TanjilG_21399 transcript:OIW14259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTVNRLISATRILSLRHETPLHLILPSKTFSSSSSSSSNHGSYPKLTTPLDNDIGWDTPSSWSTGFATDLFNGQPTPPPPTVAAATTNSYSQPNKLLSDLQETEDKLRELEAENRKSKGFVDGWKGRMVETTLFLKQDPQVYTVEKLAKDYRIMRQGVLAILWLKDLEEEEEKKLGHPLDDSVELLLDNSLNRDEVHYEISKKENDMLYREFVEKLNFNKKKNNVFLVVHRWLERCHMYSRRRPSDGWTFTVEKIGPPGKHGGVGGWKFASMHDRSTRPLNETEKMYVRRETPR >OIW14238 pep chromosome:LupAngTanjil_v1.0:LG04:1831618:1833003:1 gene:TanjilG_21378 transcript:OIW14238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTKIEYSINLLATSIDSNKFTASGVDVCKHYQNKGLNNSHYSIGVNKLQDPMDRRVLEKNHIESIQKTMQMHEDIFKYQVRELHRVHSVQKMLMDEHKKEKGKNFWTSMNSISLCHPHFIQQQQTTQISSYGPDLHVQRLKDIYSKERSGNYSGETIKRRRSFDLERPAEVGYIFAATKHGCNEDEAGPSSFTAFQNCQISTNGSDEDMEMDLTLSIGGSHVKKKKKSLFLPLRCLDSPNGKTKELNSSVTFQSDRGRDCSDPTTPMSKFSVTFDQERKGAIGFLKV >OIW14221 pep chromosome:LupAngTanjil_v1.0:LG04:2253604:2256455:1 gene:TanjilG_21361 transcript:OIW14221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTATSAAATSCFFGTRLTNPASNSGKFHALFKFNFGTKKAPPAPKKKEVKVKPGSDRLVWFPGAQSPEWLDGSLVGDRGFDPLGFAKPAEYLQYDLDSLDQNLAKNLAGEIIGTRVETTEVKPTPFQPYTEVFGLQRFRECELIHGRWAMLGALGALSVEAFTGVAWQDAGKVELVEGSSYFGLPLPFSITTLIWIEVLVIGYIEFQRNAELDPEKRLYPGGKFFDPLGLANDPEEKARLQLAEIKHSRLAMVVFLIFAIQAAVTGKGPISFIATFNK >OIW13419 pep chromosome:LupAngTanjil_v1.0:LG04:12011737:12014938:1 gene:TanjilG_33068 transcript:OIW13419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAPCCEKVGLKKGRWTAEEDEILTKYIQANGEGSWRSLPKNAGLLRCGKSCRLRWINYLRTDLKRGNISVEEESLISKLHASFGNRWSLIAGHLPGRTDNEIKNYWNSHLSRKIFSYNYTTSTKHDATTMIEPQIIAFPPKRRGGRTSRWAMKKNKTYALKTKLNNHQQSPKQVQIHQNNDAVPLPPTPSLESENLTMIMDFMALDPEREEEEEEVGDGLGKSSSQQEENTRGTLVQEDQYFAVEEGERINEMFCIEGWKEKSDYEILGQYQEVINGCWELNFNEFMDTCFVEQESGVLSLTFGEEILESNNNSDNNSNNNNNEEEVVGNGATRNEPTCTTNQCSNGECRDLCSSMALSLDHGNNNNSDLDFESVIQFNGNMVSKSLEDKENLLSWLWEDDDGWGKDCDQFGDIDSQLDVMVAWFS >OIW12842 pep chromosome:LupAngTanjil_v1.0:LG04:24430310:24430669:-1 gene:TanjilG_24775 transcript:OIW12842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKRLPSRNQRSKGIKVKHVIQIILLLGVCLWLIYQVKHNHDKKKEFDENDAKLSVRTQTDEVLKLGTKDLHLVKDEVNRKGKHEEEEDEENVVEDEENKHEPDERENEGNMHDVEESE >OIW12948 pep chromosome:LupAngTanjil_v1.0:LG04:23222439:23223738:1 gene:TanjilG_15397 transcript:OIW12948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAASMSSSLGLFSIPTFHVTETHSPSLITLRPHAPPPSSVKLPVMRKVKTLATVSPPPPPPPPPGKTRGIMKPRRVSPEMQELIGLPEISRTQALKHIWAYIKQNNLQDPENKKVIICDEKLKKVFAGRDQVGMLEIAGLISPHFLK >OIW14309 pep chromosome:LupAngTanjil_v1.0:LG04:690074:694467:1 gene:TanjilG_21449 transcript:OIW14309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKVVCVTGASGAIGSWLVRLLLERGYTVHATIQDLKDEKETKHLEAMEGAKTRLRFFQMDLLDSDSIVAAVKGCAGVFHLACPIITSQVKDPEKEMLEPAIKGTINVLKAAKEAGVERVVATSSTSSIIPNPSWPADKIKDEECWTDLQYCREKGLHYPIAKTLAEKEGWDFAKETGLDVVMINPGTALGPLIPPRINSSMAVLVEVLKGDKETYPDFYMGTAHFKDIALAHILAYEKKNAAGRHLCVEAIRHYGDFVAKVAELYPQYNVATLPKDTQPGLLRAKDPSKKLIDLGLEFTPIDEIIKDAVESLKSLGYV >OIW13644 pep chromosome:LupAngTanjil_v1.0:LG04:9578110:9581545:1 gene:TanjilG_07986 transcript:OIW13644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSEVVTEECIGWAARDSTGVLSPYKFSRRAVGDDDVYIKITHCGVCYADVIWTRNYHHDSKYPVVPGHEIAGIVTKVGSNVDRFDVGDHVGVGTYVNSCRDCEYCNDRAEVNCVKGSVFTFNGVDVDGTITKGGYSSYIVVHERYCFVIPKNYPLASAAPLLCAGITVYSPMIRHKMNQPGKSLGVIGLGGLGHMAVKFGKAFGLNVTILSSSISKKEEALSLLGADKFVLSSDQEQMKASAKSLDFIIDTASGDHPFDPYMSLLKTYGVFVLVGFPSVIKFSPANLNIGMKTFSGSVTGGTKDIQEMIDFCAAKEIFPNIEVIPIEYANEAIERVFLHFSLTFMDFASTFKFLTHPTEVGCGFVLLGGYSPILGLLFIFLFSYKILRSRSSAHDLLEFRFGLEGSKFETLGNETLKSIYGVKKKGLDEGMEEKEKDENIEDEVLDVMMLRKLVKIERQRYHAACAEIEKERVAASSAAAETMAMILRLQSEKSSIGIQANQFRRMAEQKQDYDQEVIEELRWVIMQHESHKSLLEDQLGIYTEKLSEYMSDDEIDQLEGVDACRGFLNFSVECDTIDASLETDLQTL >OIW12588 pep chromosome:LupAngTanjil_v1.0:LG04:26546330:26550730:-1 gene:TanjilG_04752 transcript:OIW12588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAAKVVLIGNNVTLSFDDIEANFAPAAKGSGERGTLYLAEPLDACTELTNKAGNLSNVSSPFVLVVRGGCSFEEKVRSAQRAGYKAAIIYDNEDGGVLVAMAGNSAGIRIQAVFVSKTSGEMLKKYAGSTNVELWLIASFENSAWSIMAISFISLLAMSAVLATCFFVKRHRIRRERPQGSCVREFHGMSSRLVKAMPSLVFTAVLEDSYCTSRTCAICLEDYCVGEKLRVLPCCHNYNGYPVDNLFTSEEFHTVCVDSWLTSWRTFCPVCKRDARTGLTDPPPSESTPLLSSSLASVGSSVLSSGRSSLASSSAIQIARTPSQSSYVSRNHSLASTPYVQPSFSSYRQSPSFSIGRSSVDLRNGSQRSVASHLISPRSMGYPSLSSLNSRYMCPYIPSPSNASASYMGSSSHQQHPLRYSESATSFSPFASANSLPEV >OIW13933 pep chromosome:LupAngTanjil_v1.0:LG04:5770444:5770842:-1 gene:TanjilG_09284 transcript:OIW13933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNLNKPFIKFLCITLFFLYLISHNASSSSSNQEIQPQNPSSTTLSHYQQVFFLKNTHPKFLSKQERIKKRKNLTRNKKHRKKMTKDNLKTRPFSVMLPKGFVPPSGSSPCHNDLPNSVSFLHCHLSSTAQP >OIW13416 pep chromosome:LupAngTanjil_v1.0:LG04:11956596:11957177:1 gene:TanjilG_33065 transcript:OIW13416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNHISFRPSNATGKIVHYDGSVQQFNQPLTVAELMLEHPQQVVVEFHSTMNQNKPIPLPADKNLEMKKIYIMLPLKQGKPVGLHHILFIVNSSLNSKYLISSSGFLPWLARLCHNSTIFEDDKVLKRKERMENREERCDFSEYIEEMIEERPEYLSRQLSGKGWKPSLDTIKEKKMKKKLSHWFFSHKFLQV >OIW13199 pep chromosome:LupAngTanjil_v1.0:LG04:18312135:18312596:1 gene:TanjilG_17642 transcript:OIW13199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIDEDGYSIVLYVQMCNLPLRVPRPTCTTNPTQLWTLIPYDLHRLMAAAEFNLSRLPNIIVRISCEKQLMRPVFSPASRHNCSMESFETNHCHCMMTNDGLSSRTPDKNGVNTSEEDHFPTEDGVAVDRDNINCPSQGDLSKDGGKKHVEST >OIW13051 pep chromosome:LupAngTanjil_v1.0:LG04:21841679:21844485:1 gene:TanjilG_17611 transcript:OIW13051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRGRKVSSKHNSRNGARSKEDGVSDDSDEDYVVSDEGRDASDNSEYYCSSLDGCASEDSLDSFIVKGEEDEEEEFHGVGNSNRSKARNTRKVLRKGRRITREEEEEEEEIRFNRSRAGNGDCGQQKNGSKASRKRGRIAYAEQLEDEEEKVERVGHEEDEEKVETDGEKDGEGKQLQVKEEEDDEYKVEDDVDEDEYKVEEEEEDGDEDDEEDFDCEYDDEITPEEEDYSDEEEEIRVRKKKNNGMKMGKKVSLKRAPVVCARGRKRQSTRASKKPLRKQRRKNGGFRKRARYDSEDDFINNGSPIRTTSRKKRVRKRRKLVLADSGSDHVSSGSSDVEYTISEEEREQLREANELCGRSRNNLRSSSLLTKSEEVGVHDDLHQQHKPPGRKGKEKIEEPQGRKGKEKVVGSKSEVVKQVESRCPLCKQRFKTISKPARSTAGIDLREVVIQIPERDQVYQPSEEELRSYIDPYESVICSECHQGGDDGLMLLCDICDSPSHTYCVGLGREVPEGNWYCDGCRPVALGSSTYQVQECVADPRETVQPIRQLPPVLNVSESIDLNLESSPQAAFNLGFGQFSSSRFNGRSVQGVSPVSGGGAPTLSGRRWIHRHIHQILSMDRMTSTTGRTGVIPATSSTSNIYSSQIVQGRETTTQHIRTQDVGTSHDTFFDETLCNNNASQLMQNGALWPGLLGTPPIPDCEQVHQFSTINTIPDGNLSPFVREGNSNIAMEQLQSMVKRHLTSLCQNIDLGHDTLNDIGKSSMHTILAACGLEHNQSEVFNVPPPPVCQHIERMACPQTSLIKGCCSSCFDSFIGDVVKRILDTRISSQTQWLKLAL >OIW13229 pep chromosome:LupAngTanjil_v1.0:LG04:17298940:17300427:1 gene:TanjilG_02363 transcript:OIW13229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMHSPVNAVNDNYIRNLETTFCESLHIEDVQKSEHASAGYDNCNMGKGNLCGGVEQQGAKLNMNCLKKCSTLLYPDMVLPPSSSVEDDSSLVESLSDQSPLESNSCLVLPAPSTLVSAMKGSREKQGRPQLKLTVKWAPDVYDPVPTLLSRTVKGKKQHKSRHRSEKKYVKKGQKGYPSKGSSSKDKKHYRKPSSGTSDMWWLDSHHDKMLEASTELDDLNVVIHDSHCGTSFLKQSIAKVHYPVGEAL >OIW14047 pep chromosome:LupAngTanjil_v1.0:LG04:5323662:5326386:-1 gene:TanjilG_11392 transcript:OIW14047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSNEENKAIGIDLGTTNTCVAVWQHNHVEIIPNDQGHRTTPSIVSFTNAQRLVGDAARNQVTMNPHNTIFDVKRVIAGFNVLRIINEPTAAAIAYGLDKKSCRKGEQNVLVFDLGGGTFDVSLVTIDEGMFKVKATLGDTHLGGVDFVNKMVHHFVNVFNMKHKIDISGNARALGKLRSACEKAKRLLSSTSQAIIEIDSLAGDIDLHALVTRAAFEELNKDLFAKCMEIVEKCLVEANVHKSQVHEYVLVGGSTRIPKVQQLLKDFFNVNGKELCKSINPDEAVAYGAAVQAAILSGEGDKKLDDLLLLDVMPLSVGVESDGGFMSVVIPKNTIIPTKKKRVFSTSTDNQSSVLVKVYEGDQAMTEDNIFLGKFKLSGFPLAPRGVPEIDVSFDIDVDGILEVTAEDKTKKSKSKITITNKKGRLSPEEIRRMVRDGKMHKKEDEEFMKKVNAKNLLEKYAYEMRGKLKKLEEEVEETIEWIDTNQLAEADEFEYKKQELERDLQLL >OIW12902 pep chromosome:LupAngTanjil_v1.0:LG04:23845503:23845997:1 gene:TanjilG_15822 transcript:OIW12902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNTKAARVIFPTGEVKQFKEIVKAAELMLEYPNYFLANSRSLHIGRRFPALGADEVLEFGNVYIFFPMRRVNSLVTAADVAVFFLAANSAAKRITVAKARVGPDNGGGEQQQVEESSQENNNNNNTLGLSFEGVETGFHNRVSYCRSRKPVLETINEEPIRSR >OIW12863 pep chromosome:LupAngTanjil_v1.0:LG04:24232870:24233574:-1 gene:TanjilG_24796 transcript:OIW12863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALEALNSPTPFRGSSYKEEEQHEVDLHAWTKRKRSKRPRLENSTTEEEYFALCLIMLAQNGNTNTKNDNKTFLQQQQHESSPPMKVISHRCSVCNKAFSSYQALGGHKASHRKSLSENAATTVATVSAATTNDTATKKLHECSICHKSFPTGQALGGHKRCHYDGGNSNSYINTNNSSAVTFFESGSFSHSHRGFEFDLNLPAPLTEFWDLARFDDEKKVKFNETVSLGLVKN >OIW14093 pep chromosome:LupAngTanjil_v1.0:LG04:4699954:4702054:1 gene:TanjilG_14550 transcript:OIW14093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRKSTKRSESSRTLINSTSSSVIEEEDMQVQHQNRNDNEVSEGNGFFACYLLTSLNPSHKRSTYIGFTVNPRRRIRQHNGEIGAGAFRTKKKRPWEMVMCIYGFPTNVSALQFEWAWQHPAKSLAVRKAAAGFKSLSGLANNIKLAYTMLTLPSWQSMNITVNFFSTKYMNHCAGCPTLPGHMKVKIGSMDELPCYIVGADGLSENEDYSLDEAEFDNNTSNSDSVPDVCDDPIAHDSPKSRNQGDKISEFGWNQEPEPREPPSHSFTSEDPSQSFDCITSPMAKSSAATSSLKRVETIEYTDFTSIPGKSSIELSQPECERSGAISAANKNLEVRSTLTVPYEADIIDLCTPSPSCRNVVSKKRKVSSFLGADFIDLTRSPNFVQL >OIW12763 pep chromosome:LupAngTanjil_v1.0:LG04:25098598:25099659:1 gene:TanjilG_24696 transcript:OIW12763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTSLLHELVNGMPIISAYQAGKSPKRYLPDSSGKYNKSTNKSKQSKANSVLTRMNKFGRKADSFAHGIREHVRLGPKITDTVKGKLSLGARILQVGGVEKVFMRLFSINDGEKLLKASQCYLSTTSGPIAGLLFISTDKIAFCSERSIKISTPEGELIRVNYKVLIPLEKIKCVNQSQNVKKPSQKYIEIVTVDNFDFWFMGFLNFLKAFRCLQQAVSQA >OIW14152 pep chromosome:LupAngTanjil_v1.0:LG04:3544725:3545260:1 gene:TanjilG_21292 transcript:OIW14152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVENVVHIGGCHCKNVKWKVLAPSSVVAWNCNCSDCYMRANTHFIVPADSFELLGDSAKFLSTYTFGTHTAKHTFCKICGITSFYFPRSNPDGVAITFRCVDPGTLIHVEIKQFDGKNWDSSYIQTDNDKPGHS >OIW12546 pep chromosome:LupAngTanjil_v1.0:LG04:26875284:26882984:1 gene:TanjilG_04710 transcript:OIW12546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHCSYSLFLLWIIHFFLFTFLSSLSSSPSQNITLFGEAFFSDNNSITLTKQLPTCLSSSSSGVGRAFYVNQVRFLDPITNSTASFSCKFSFSILSSSSCPSSDGIAFLISSTTDFTTLSQGYIGLPSPPPLFTQDSSSSSSSSSSSFAVEFDTSVDNSLGDINDNHIGIDVNSVVSFATVDAISHGIDLKSGRVITAWIEYRDAIKMVRVWVSYSYSTRPSTPILASNVDLSDKFNEFMHVGFSASNGQGSSFHIVDHWQFKTFGYDSHSVSPMDMVEEGDCLLCYPGNSFTETQHNDSHSHGHRKKKNEEIALGLGGLAAFSVSAFAIVVVICVFLTKKKGVVGVRQIREGQSCRFQTNKVPTRLSLSEIRSATMGFNRDRLVGQGASAKVYKGSLPFGDVAVKRFERDDLKDSTLHNPFATEFATMVGCLRHKNLVQLKGWCCEGNELVLVYEFMPNGSLNEVLHGNVDNSLGDINDNHIGIDVNSVVSFATVDAISHGIDLKSGRVITAWIEYRDAIKMVRVWVSYSYSTRPSTPILASNVDLSDKFNEFMHVGFSASNGQGSSFHIVDHWQFKTFGYDSHSVSPMDMVEEGDCLLCYPGNSFTETQHNDSHSHGHRKKKNEEIALGLGGLAAFSVSAFAIVVVICVFLTKKKGVVGVRQIREGQSCRFQTNKVPTRLSLSEIRSATMGFNRDRLVGQGASAKVYKGSLPFGDVAVKRFERDDLKDSTLHNPFATEFATMVGCLRHKNLVQLKGWCCEGNELVLVYEFMPNGSLNEVLHGKYDDSSFALSWKQRVKIVLGVASALTYLHEECERQIIHRDVKTCNIMLDANFNSKLSDFGLAEETICLQCGDLGFPETLVFCNGCQACTLHRYCLDGPVIKIDDVTWFCEDCEPKQVVAVSPDQSTPLSSETSDSVSFTENEIQTRELKSCTKGVTKSNQQHQMPIKEKQAQRKVNSGPLSKTKDLLSDSVDPPQLEHTQCSNSCEEESMLKNECEPVQRDAANSNGASKSVQTTLETNFCAVVGQVVAQPIVHPIWRGPLNLCNETIGTVGLIAHVSTLACSKVYDESTRFPEVLHADLLPRYMVWPNHFKNAGPTDKSIALYFFPESERDENVFDKLVDDLIHLELAIKVVAENAELLIFPSTLLPNHIWGFQSKYYLWGVFRRKQTSQMTNGAVSREDGGVDKPQSYNHSLSNT >OIW12568 pep chromosome:LupAngTanjil_v1.0:LG04:26695058:26698129:-1 gene:TanjilG_04732 transcript:OIW12568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIKTIEMLKGCESKEDIIQVIVAVASELGDVIEDVNTLQVIPMKGALTNEVYQIIWPTKKGEEVRKALLRLYGEGVEIFFNREEEIRTFECISMNGQGPRLLASFTYGRVEEFIHARTLSAVDIRDPETSALIASKMREFHRLHMPGPRKVHLWQRIRNWLSEVKTLCFPKDIIFFGLDNLDEEINMLEKLSSEGYQDIGFCHNDLQYGNIMMDEETKSITLIDYEYASYNPVAYDLANHFCEMVADYHTDTPHVLDYTKYPELDERQWFISIYLSSEGKKPSNAKVDQLVNAAEKYTLANHLFWGLWGLISSYVNKIDFNYREYARQRFQQYWIKKVTLLDSTSIASQDDEIVNGSLPSFT >OIW13741 pep chromosome:LupAngTanjil_v1.0:LG04:8667826:8671060:-1 gene:TanjilG_17920 transcript:OIW13741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKYNLKNPAVKRILQEVKEMQSNPSHDFMSLPLEENIFEWQFAIRGPCETEFEGGIYHGRIQLPAEYPFKPPSFMLLTPNGRFETQTKICLSISNHHPEHWQPSWSVRTALVALIAFMPTNPNGALGSLDYKEEDRRALAIKSRETPPKFGTPERQRLIDEIHEYMLSKATSAPQDNPTEAPEEHSPNEEVEAQDNSGNLEALPAEGVLRQAGDRIVEEQEVPANANPSGVEAPREVQSTVSRTQVLQTSETRVQNPKPATRVQKPDDRLFTLAAVGLTVAILVLLLKKFIKSTEYGAVFFNGS >OIW13103 pep chromosome:LupAngTanjil_v1.0:LG04:21066381:21066784:1 gene:TanjilG_08136 transcript:OIW13103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVNEGPHTVRSLSPLLPPTPLSFFDGALVRAGSRPKGDATLICEDEGHGDAERLLHVIDDQCIEYFLSKRTHDAIESGSCTTSRT >OIW14150 pep chromosome:LupAngTanjil_v1.0:LG04:3561754:3562518:1 gene:TanjilG_21290 transcript:OIW14150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPMFDLEMEATEEYESEVSSQVASNIHETSSRAYSDSHTNSSNVTNPTAIRPFSDGISLELTLNFNNSEVGARDSIGLSFSSTSESRNEPASQATAATIPRVFPCNYCQRKFYSSQALGGHQNAHKRERTLAKRAMRMGLISERYASLASLPLHGSFRSLGIKAHSSLHHGFSTPIRPAEIKSNAEVEQGYLGLPIFLEDDEAELLWPGSFRPVRDDSHQNFILTAGSSNLSFTEMHPPVDIEKSAPELTLKL >OIW13796 pep chromosome:LupAngTanjil_v1.0:LG04:7113687:7115051:1 gene:TanjilG_31685 transcript:OIW13796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEILEKHGEPDVFAYNAVISGLCKADKFDEANKVLERMKNKGFSADVVTYNILIGNLCGRRKLGLALKVMDQLLKDKCNPTVITYTILIEATIIQGEIGEAMKLLDEMLLRGLQPDMYTYNAIVRGMCKNGLVDRAFEFVTSISTKGYAPDVISYNILLRGLLNEERWEASERLMSDMLVKGCEPNVVTYSTWITSLCRDGRVEEAVNVLKVMKEKGLTPDVYSYEPLISAFCKEGRVELAIEFLDTMISDGCLPDIVNYNTILATLCKNGKADEALNIFEKLWEVGCPPNASSYNTMLCALWTSGEKIRALEIILEMLSYGIGPDRITYNSLLSCLCRDGMVNEAIDLLKDMECSKCKPNVVTYNIVLLGLCKVQRIIEAIEILVSMVNKGYRPNETSYTLLVEGIGFAGWRNDAMELAKSLNSINAISEDSFKRLYKTFPMLDVYKELSLSD >OIW13517 pep chromosome:LupAngTanjil_v1.0:LG04:10203557:10205920:1 gene:TanjilG_29258 transcript:OIW13517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWNGRAATKISKNLGDLLLVASITKTLFESGTRTLNLSDCLQLTPSIIFQILSNPSLHPSKKLDFFNWSRSIQQNGVILHSPSTYSTLLRTLSRSGYLNEVPSILHSMAQDCVVLDSYSFKHLLDSSILSGDFILALEILDYMEKQRITLNPVMYNTFLVALVRKKQVPLALSIFFKLLETDFDDSASSSSSIACNELLVALRKEDMKLEFKQVFDKLREKKGFVFDTWGYNICIHAFGCWGELDTCLTLFKEMKDNNDNGSSVVAPDLCTYNSLITVLCKVGKVNDALIVWEELKGSGHEPDEFTYKIVIQGCCKSYRIDEAMRVFNEMQNNGFRVSTVVYNSLLDGLFKARKVSEACQVFEKMVEEGVKTSCWTYNILIDGLLKNERVEAAYTLFCDLKKKGQFVDGITYSIIVLQLCREGQLEEALKLMEEMESRGFVVDLVTITSLLINIHKRGRWDWADRLMKHVREGNLVPSVLKWKAGMEASLKNPPVQKKDYTSLFPSEGDFSEIMSFITSAQDTGLDSNDSEIKDEENDEWSPSPHMDKLANQVNSTSYSSHLFTPTRGQRVQEKGPDSFDIDMVNTFLAIFLSKGKLSLACKLFEIFSDAGVDPVNYTYNSMMSSFVKKGYYTEAWAILTEMGEKLCPADVATYNTIIQGLGKMGRADLASAVLDRLLKQGGYLDIVMYNTLINALGKAGRIDEVNKFFKQMKSSGINPDVVTYNTLIEVHSKAGRLKDAYKFLKMMIDAGCTPNHVTDTTLDYLGREIDKLRYQRATILNEKDEPS >OIW13875 pep chromosome:LupAngTanjil_v1.0:LG04:7953714:7955988:1 gene:TanjilG_31764 transcript:OIW13875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFRIRDQPKDIISPREIGEIDTRAPFQSVKAAVSLFGEVAVSKEKRSNSIKRKSSENVLEKETQLLLAQRELNKIKKLLEKSETTKSKAHSELDKANVTLSELTKKLTSVRESKKSAMEAAEAVKSQGKELEMALSQRAIGYQSWKEELEHARKEYTTTVTELDASKQELNKIRQDFDAALEAKLAAFQAAGEAQRSAKMNLEKINELSKEIATMKASIEQMKLASEQAQEEQAKVMGDREAQLSYYQGAKEEAQMKLAALKTEYDPELTQSLEAKLAETSAEIQDLQEQMKQAHASEMNSVRAITLEIKEATKILQEVASEESSLRNLVDSLRTELEQVKIEQKEAMEKEKAAEALAAILTDELQSTKEGAGPEAESGFVEEAENLNEETNMKMEQLSLETENAKGEAEEMKTKAEELKQEAEKSRAVAEELEKKLELVLKQAEEAKAEEKRAIEEMKILNDMQGRVTNAETNGKIILTVQEFAALSEKIKESEDMIERAEAAAIIQVEAINTRKIEVDKKVEANLKAIEEIKAATDMALRNAEMADSAKLAVEGELKRWHHEEQNMESSLDHSENSPRPISLRI >OIW13873 pep chromosome:LupAngTanjil_v1.0:LG04:7932461:7948178:1 gene:TanjilG_31762 transcript:OIW13873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQEPYLQLHELGSKLDTLPSSKDELISILTQATACLGELYQSPEASILTSMKPFFDTIVKPELVKHEDGDVKLLVATSLCEITRITAPEAPYSDDVLKDIFQLIVSTFSGLSDTGSPSFGQRVAILETLAKYRSCVVMLDLECDEMVNDMFHTFFTVARDDHPESVLSSMQTIMVVLLEEGDDVREDLLSILLSMLGREKRDVTAAARKLCMNVIHQCIGKIEPDIKQFLLSLMSGDSKPVDSQVIYHEVIYDLYSCAPQVLSGVLPYVTGELLADQLETRLKAVNLVGDIISLPGFSIPKVSQTIFSEFLKKFTDRDLQVRMSVLDHVKSGLLSNPFMAEAPQIISALCDRLLDSDENVRKQVVAVICDVACHSLNAVPLETVKNVAERLHDKSLLVKKYTMERLAEIYRAFCENSSDTVKPNEYDWIPRKILRCFYDKDFRPDIIESVLCGSLFPSEFSVNYMVKHWVDIFSGFDKVEFKALEKIMEQKQRLQQEMQKYMALRQMSQDKDNPEIQKKIAFCLRVMSRSFADPTKAEESFQILDQLKDDTIWKSLTNLVDPNTSFHETRLCRDDLLKTLGEKHQLYEFLNTFYVKCALLLFNKEHVKAVLSEIVVHKSAEDYQRLQSCMNILVIIARFCPFLLIGSEEELVNFLMDNNDMIKEGVLNVLAKAGSTIREQLSVTSSSVDLMLERFCLEGSRTHAKYAVHALAAITKDDGLKSLSVLYKRLVDMLEEKIHLPAVLQSLGCIAQTSMPVFETRESEIEGFIINKILKSDSKEDQTRASWDDKSDICMLKIYGIKTLVKSYMPIKDAHIRPSIDGLLDILRNMLSYGEISKDLQSSLVDKAHLRLASAKAVLRLSRLWDHKIPADIFHLALRTPEISFPQAKKVFLNKVHQYIKEGLLDAKYACAFVFNIFESKPEEFAEDKQNLTEIVQMHQQVKERHLSVRLDANSLTAYPEHILPYLVHALANISCPNIDECKDVKAYNDVYRQLHLILSLLLHKDEDANSEVDTTKEKEVLSTITIIFQSIKHSEDIVDASKTKNSHAICDFGLAITKRLVQKDIDLQGLSSSVSLPPILYKACEKDNDTVVREGKTWLADESVLAHLESLKLEMVPSQLAEDDALKDSENEMPLGKIIKNIKSQGTKGKKVKKNKSVPAETRQTENDIDILNMVREINMDNLGVSTNFESSNGHNHSLSKKLQKDPVCAANKNRRGGEETPSPVPKRKRSDVTRGKFRLSSTSKDSQRFSGEESSGVKSLVDAEINLDTDIKAMPRKIVRGNDHSLKPKAKVSEINRNDEADKSDEHDLQLQSPDNLNRTDNTQSGNFKSSTGSTKKAKRKSIGGLVKCTAKEGENDAEDLIGCRVKVWWPLDKQFYEGTVKSYDPVKRKHVIFYDDGEVETLRLEKERWELIDSSHKPTKKIKLTKTLSPREASTGKKQKGSSGSASKRTRKIVNGKKSPNKHIKNGPKGASKSIFHQEDVEESSGISDREEKETMISDAEMNSDGSEGEQGGEPDKIITKEKIYNKKAKSVSRGKGAKKKTSLRYRQESDEEKHDYGMSHSEESESVPQGVQSDSDDESGGSSRENVNGEESGSEGNQSNSDDESSPRKVKKYLKELTSPDSARIAEVSDDEPLVNNSTLKNYQMESSLREKKVSNLADVSQISEDFNISGAVAAF >OIW13630 pep chromosome:LupAngTanjil_v1.0:LG04:9665840:9674153:-1 gene:TanjilG_07972 transcript:OIW13630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSPVQILETAFLLAGKRASYIRVTSGSLFIFDRKVLRYFRKDGHNWRKKKDGKTVKEAHEKLKVGSIDALHCYYAHGEDNENFQRRSYWMLEPDMMHIVFVHYLEVKGNKTTIGGIREGDDVTSDSQRVTSPSSGFPSNYSSVPSPSTDSMSPTSSLTFSREDADSEDIHQGSSGLRPLHESQHKGNGILADKLNAGFNSSYLLHPISGDHGLSSTSGTDYIPFAPGDKFRGNDTTYIDGQKAHGMASWNNVLEQCTTELHTDPSLISFPSTPSGLVGNILDQEHTIFSDLLAGRSGLSEEAGSSRSLQSNWQIHFQDNSGNLPAIAQSLSLDFGSGYSIDLLGNETHVSSEIAPNLFSFHGTQKEQSVQQYYPEQHADRQSQLALKSNSVNKVPGEESINYAFTVKRTLLDRDESLKKVDSFSRWVSKELGEVDDLNMQSTPGISWSTDECGHVMDDASLSPSLSQDQLFSINDFSPKWAYAESETEVLIIGAFLKSQPEVTTCNWSCMFGEVEVPAEALANGILCCQAPSLKIGRVPFYVTCSNRLACSEVREFDYREGFSANVDFAGIYDSSSDMLLHLQLEELLSLKPVQPSNLTFEGYMEQRSIILKLISQREEEEYSSNEEPTLEMGISQQKVKEHLHRQVKEKLYSWLLHKATDSGKGPNILDKNGQGALHLASALGYDWGIKPILTAGVNINFRDVNGWTALHWAAFCGRERTVAVLVSMGADSGASTDPSPTFPSGRTPADLASSNGHKGISGFLAESLLTGHLESLTMDDQHKGGRQQLSGLNIVQTASERTATPVFYGDMPDALSLKDSLTAVRNAIQAADRIHQVFRMQSFQRKQLNQYEDEDELGLAEQQAVSLIASKSCKSGQGDGLANAAATQIQKKFRGWKKRQEFLFIRQRIVKIQAHVRGHQVRKKYGNIIWSVGILEKVILRWRRKGSGLRGFRQDALPKTPSQQSDPVKEDDYDFLKEGRKQSEEKFQKALSRVKSMVQYPEARAQYRRLLNVVEDVRQTKTCNMDLVNAEETVDSVEELIDIDMLLDDDNFIPIAFD >OIW13584 pep chromosome:LupAngTanjil_v1.0:LG04:9944624:9952227:-1 gene:TanjilG_25683 transcript:OIW13584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNQIYNLKRCNLHQQNDTLQKKQKINHFTDTTNSNSEDSPQPQLLRSSRGRRRILPSRFNDSVLHTLNFNSSLKIDDSNLEQGNRDECSSKNSTVLSYSDEKLKDDLDLVGFQKHASIKTTLNRNRLSLVKTDSSISGIGFQGLDQKGSNGNGKRSKEIYKLEDFALGDIVWAKCGKRYPAWPAVVIDPILQAPKSVLNCCVPGAICVMFFGYSKNGKQRDYAWAKQGMIFPFLEFMDRFQGQTQLHKCKPRDFHVAIEEAILTEDGILDLHFGAKQITNVDAHPSGLMEGTGSTVDQERYDQHQNTTSCASCGLMLPCKTIKRNKDSSCQPQPYCKYCAKLQKSKQYCGICKKIWHHSDGGDWVCCDGCNVWVHAECDNISSKLFKDMENIDYFCPDCKGKFNCKLSETQTSDLKIYKSIENSQMQMPMIPEKLAVVCNGMEGIYIPKLHLVMCKCEFCGSRKQKLTDWERHTGCKAKKWKYSVKVESTMQPLINWIREHNLQAGTCLQLDQQQVISFLQGGALKPTDIEMLWVHVTCAWFRPEVLFQNHEAMEPASGILIIPPDSFSKTCVICKQRHGSCISCCKCATYFHVMCASRMGYTMEMHSAENNGTQQSKMLMYCAFHRTPKPDSVLVVHTPLGVFSPKNLIQNHKGCFRGSRLVSSKNVELPESPTSQSLEVEPLSAARCCVNGKSLNKDAEEFSSSKERLCHLQKTEKRRLCFGKSGINGWGLFARRDIQEGEMVVEYRGEQVRRSVADLREAMYRSEGKACYLFKISDEVVIDATDKGNIARLINHSCMPNCYARIMCLGDQESRIVLIAKTNVSAGEELTYDYLFDPDEGDELKVPCLCRAPNCRKFMN >OIW12768 pep chromosome:LupAngTanjil_v1.0:LG04:25073388:25075511:1 gene:TanjilG_24701 transcript:OIW12768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKYGLNLRPAKQKKPTRPPLPTPFGFNDDDENDVEREIALQASKNKTLKEVEEQQKKALEEDPTIFDYDGVYDKMKEKVSRPRIQDREERKPKYIENLIKKAKEREQHRDIVYERKIAKERSKDDHLYADKDKFVTEAYRKKLAEQERQMELERLRELQEERDDVTKKKDFLLDFYGNLDKNVAYGAQDAQRRKQDRAEQRIQETHEVVDIDASNQRQHGDASDEVQHSLDNSSSPVKSSKKNVGDQGETSNPVNRSIEPSDVNPSAEASLETKSSAEQSPASQPKSDHHKRTQDALAAAKERFLARKKAKEQ >OIW12735 pep chromosome:LupAngTanjil_v1.0:LG04:25299738:25304480:1 gene:TanjilG_24668 transcript:OIW12735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFCYRFGKSKLKFACTQFRTFHVHISHASSFNSVHKPPRLFEIPRPCSFHHRPTSFFNSVRFFAVPIQFQAKLNKDDDYDSGPRLNDEIKSQYVRLVVDDGHSIVSRFEALDRARKLKLDLVEVQRNAKPPVCKIMDYHKETYKKQELEKERAKSKVTLRKGDCKEVRISEKTEIKDLKMKAEMVKKLMDKGYRVKCKASGTNENQDMTGPLIRLLALMEDVTVVESGPSNSKKEAFMIVRHVKYGPSKKGAAGAKKSEDVKAQEGNLDPSTANSAESEEVLSDGENHTAQKPFPPLKSNDSLSPDEPENRYKRADPPSANNVRPNNVTENRYSRRPEPRNRFQQTTFTNRDADRGPEQRNRFPQTTFNNAEADRRPDPRNRFQQPTFNNSDAGRSMPSNLNQTRHVPVDINANPRIENTNQGVTPGPRNPIQPRDISQPKSGYGIFSAKNGPEAQGVNAGNHRNREGKSFSQDS >OIW13254 pep chromosome:LupAngTanjil_v1.0:LG04:17131857:17132368:1 gene:TanjilG_14187 transcript:OIW13254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRNNTKNPTIGVLNAKMVTKLVYAIMGVVEKFITHTVLMRMNLYLKKEKDLGLWMTLLHHFFTLKELQRIKHKLAMTCGTKDQTLIHANDATNVAKIHMEKAMSHEKKTSSNNMVCSVTMESYQPSRGNMNMAESRLPSFSSFA >OIW12793 pep chromosome:LupAngTanjil_v1.0:LG04:24896462:24900635:1 gene:TanjilG_24726 transcript:OIW12793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTLNRIGGGCSLCSLAASLKMKGRKKSKNKRITLSRKTKVLKKVKQHITKKFKEASNPKSNHNNNKKKNKDPDAPVDWPIDEQQLKALESRRARLAEELQQKNDDRNASDRKRKLDVLEDDDMPQMTETDYLQERSFTQPMNTAPGKYLDNSDRAFYKELVKVIEASDVILEVLDARDPLGTRSVNMEKMVMSSGPDKHLVLLLNKIDLVPREAAEKWLKYLREELPTVAFKCSTQEQRSNLGWKSSKATKPSSLLQTSDCLGADTLIKILKNYTRSHEIKKAITVGVIGLPNVGKSSLINSLKRSRVANVGSTPGLTRSLQEVQLDKNIKLLDCPGVVMLKPQENNASIALRNCKRIEKLDDPISPVKEILKLCPERLMLTLYKIPSFTSVDDFLQKVAAARGRLKKGGIVDVEAAARMVLHDWNEGKIPYYTMPPIRNEGEVSEAKIVTEFAKEFNIDEVYDSESTFIGSLKSADDFNPVEVPPSCPLNFDEKMLEDETPPVLTNQVEPGPESMADDVPDSVVYEEDTNKIKGKSIANRQNEKLYAAEGMLNTRLRRAEKKRRKKANKAASNAMVMDDDDDFEVDDLQKGTAMQDDSNEHEDDGQIKIVVPMAGILDNE >OIW14032 pep chromosome:LupAngTanjil_v1.0:LG04:5508214:5508435:-1 gene:TanjilG_11377 transcript:OIW14032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEVVLNDRLGKKVRVKCNDDDTIGDLKKLVAAQTGTRADKIRIQKWYTIYKDHITLKDYEIHDGMGLELYYN >OIW13837 pep chromosome:LupAngTanjil_v1.0:LG04:7709070:7711127:1 gene:TanjilG_31726 transcript:OIW13837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECNKDEAMKAKQIAEIKMQCGDFVGALKFATKAKSMYADVENISKILTVCEVHSAAQNKLSGSGMDWYGILQAERFSDGAALKKQYRRLALLLHPDKNKFSGAEAAFKLIGEANRVLGDETNRSLYDMTCGVSVRTAAPKTSPHHSNGNVFAAAHDGNATNYQKKSSNPPFASSNAFQQAGQQAIQTFWTSCKHCNTKYQFYRIYVNASLRCPRCMKSFTALDLGNQGFPPGHTQKSFNNENKAPKHVPPKPPSKSKGVKPVGGGHADKFFQPPPVSMEKCAAGVGGHCKDEKSEAGHAARDVANGNVRKPKAMGSQTSANVGSKRVRQSAPHSGEGFNSSNGDGMKDADVQQNGFDPSRLNARRSSRQKKNVSYAEDDFESTSKKPRQNESFNNNQAEEMKEPASGGLSNSKNPASFATSVGDQNREMGNQASSAPEDTPLIKTDIQCLDADFSDFEKDKAESCFTVNQFWAIYDDTDTMPRFYALVKKVASPFKLQITWLEPDPDDEGGISWFNADLPFGCGKFKLGGTQKTADRAMFSHQMHGIKGSGKGSYMVCPKQGETWAIFRDWDIKWSSDPEKRLKYEFDYVEILSDFAVNIGIEVAYLGKVKGFVSLFQKTEKKGTNGFCVHPNELYRFSHRVPSYKMTGNEREGVPKGSFELDPAALPSNLFEVGDSGDVRMEN >OIW13658 pep chromosome:LupAngTanjil_v1.0:LG04:9519452:9520932:1 gene:TanjilG_08000 transcript:OIW13658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTTPFITLSLKTLTLTYHPKTVPFITSVRNHVRVGSNVIRSRSRSLVVRAAIDGEYSSKRSSSSEQRETIMLPGCDYNHWLIVMEFPKDPAPTRDQMIETYLNTLATVLGSLQEAKKNMYAFSTTTYTGFQCTVDEATSEKFKGLPGVLWVLPDSYIDVKNKDYGGDKYINGEIIPSKYPTYQPKRGGPKNESRRYERRRDGPPPERRRPKQETTASDSAST >OIW13471 pep chromosome:LupAngTanjil_v1.0:LG04:11199035:11203284:-1 gene:TanjilG_22262 transcript:OIW13471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGINVSDELSKKTSIFGLKIWEIIGIAVGLFTIIILSVLSICLTSRKKSRKVIKDNKFLVSQIPSFSKEIKEVRVEQVSSNAFAPSDGMLVTVRDKSNAKESDEVMVHLGVGKKRGNWDSGSRSDSFHRVEKDGGGSLQSGEEGSSGTITLYKHSSSSLPLTAPSPLSGLPEFSHLGWGHWFTLRDLQLATNRFSKDNVVGEGGYGIVYHGQLVNGTPVAVKKILNNVGQAEKEFRVEVEAIGHVRHKNLVRLLGYCIEGTDRMLVYEYVNNGNLEQWLHGAMRHEGYLTWEARIKILLGTAKALAYLHEAIEPKVVHRDIKSSNILIDSDFNAKVSDFGLAKLLEAGESHVTTRVMGTFGRSEEVLDENIEVKPSTRALKKVLLTALRCVDPDSEKRPKMSQVVRMLESEEYPLPREDRRHRKTKGGSAEIESLKEFSDIEKSES >OIW12494 pep chromosome:LupAngTanjil_v1.0:LG04:27170858:27173596:-1 gene:TanjilG_04658 transcript:OIW12494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANITPSGKSDNHTKEVSKETSALVVSFGELLIDFVPTVSGISLTEAPAFKKAPGGAPANVAVAISRLGGSSAFIGKVGADEFGYMLADILRQNNVDTSGMRFDSNARTALAFVTLRADGEREFLFFRNPSADMLLNESELDKNLIKQAKILHYGSISLIDEPCRSAHLAALKIAKDSGCILSYDPNLRLALWPSAESAREGIMSIWDQADVIKINEDEITFLTGGDDPYDDNVVLKKLFHPNLKLLIVTEGSEGCRYYTKDFRGRVAGIKVKPVDTTGAGDAFVGGFIYSIASDQNVLKEEKRLREALHFANVCGAITVTDRGAIPSLPTKEAVLQFMLEATTI >OIW13360 pep chromosome:LupAngTanjil_v1.0:LG04:15423544:15426914:1 gene:TanjilG_02880 transcript:OIW13360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVIDGKAVAQSIRSEIAAEVRVLSEKYGKVPGLAVIIVGNKKDSQSYVAMKRKACAELGIKSFDHDLPEQVSEADLIKQVHQLNLNPDVHGILVQLPLPKHINEEKVLTEISLEKDVDGFHPLNIGKLAMKGRDPLFLPCTPKACLELLSRSGVSIKGKRAVVVGRSNIVGLPASLLLLKADATVTIVHSHTSQPENIIREADIVIAAAGQPMMIKGSWIKPGAAVIDVGTNAVDDPTKKSGYRLVGDVDFEEVSKVAGWITPVPGGVGPMTVTMLLKNTLDGAKRYIEKNN >OIW12685 pep chromosome:LupAngTanjil_v1.0:LG04:25698713:25701648:1 gene:TanjilG_24618 transcript:OIW12685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKILQETSTPSSNSSFHSSSSAPATTLSTSITDTVRGSHQFKITGYSLSKGIGIGKYIASETFSVGGYDWAIYFYPDGKSVEDNATYVSLFIALASEGTDVRALFELTLLDQSGKERHKVHSHFERTLESGPYTLKYRGSMWGYKRFFKRTALETSDYLKDDCLSVNCSVGVVKSHTEGPKIYSVAVPPSNMGQHFGQLLESRKGSDVSFEVNGEIFNAHKLVLAARSPVFRAQLFGPMKDQNTKCIKVEDIEAPVFKALLHVIYWDSLPDMQELTGLNSKWATTLMAQHLLAAADRYGLERLRLMCETTLCEVVAINTVATTLALAEQHHCFQLKAVCLKFIARPENLRAVMQTDGFEYLKESCPAVLTELLEYVARVTEHSDFMCKHRNDAILDGSDINGRRVKQRL >OIW13622 pep chromosome:LupAngTanjil_v1.0:LG04:9705866:9706529:1 gene:TanjilG_07964 transcript:OIW13622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNVPKTRKTYCKNKECKKHTLHKVTQYKKGKDSTAAQGKRRYDRKQSGYGGQTKPVFHKKAKTTKKIVLRLQCQGCKHVSQRAIKRCKHFEIGGDKKGKGTSLF >OIW14233 pep chromosome:LupAngTanjil_v1.0:LG04:1909602:1909982:1 gene:TanjilG_21373 transcript:OIW14233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQMHIRQPRLEWSHQMHMCQSQSLHQMRMHMRQPRSEWLHQMHMCKSRSLHQTRMGNEHQLSLSRSGQGMALVGSVSVRTGLGALVGSASFRTGLGAPIDVSVRQGHGASSQPWQYLLKSDSSSQ >OIW13292 pep chromosome:LupAngTanjil_v1.0:LG04:15662875:15663099:-1 gene:TanjilG_25771 transcript:OIW13292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSVHEEDVPEENVFEGHIVEDLLYFGVEATDLADEQAHEVPASEALEIPASEAPEVPAYEVPQEVAEEATANN >OIW12538 pep chromosome:LupAngTanjil_v1.0:LG04:26938013:26942235:-1 gene:TanjilG_04702 transcript:OIW12538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAFGKKLKERQIQEWQGYYINYKLMKKRVKHYAQQIELGTQDRRHVLKDFSRTLDNQIEKIVLFLLEQQGILASRIAKLGEQSDAIQQGPEGPEFSKISELREAYRAVGQDLLRLLFFVEVNAIGLRKILKKFDKRFRYRFTDYYVKTRANHPYSQLQQVFRHVGVGAVVGALSRNLHELQDRQGSYLSIYDEPTLPLQDPVIDSIRAAVDRLTHSTNFLNFLGQHALIMQEELPAPADEHVDDERYHFISLLLNLANTFLYMVNTYIIVPTADDYSTNLGAAPTVCGIVIGAMAVAQVFSSVYFSAWSNRSYFRPLVFSSIVLFLGNTMYALAYDLNSIWILIIGRLCCGFGSARAVNRRYISDCVPLKIRMQASAGFVSASALGMACGPALAGLLQIKFTIYKLTFNQDTLPGWVMAIAWLIYLLWLSITFKEPSHDIEDNNIPHQSNAAENNELEKGLQQPLLISSEDKVDEDVDQDNDDSEEAPEESRLAANSIGSAYRLLTPSVKVQLLIYFMLKYAMEILLSESSVITTYYFHWTTSTVSIFLACLGLTVLPVNIVVGSYISNMFEDRQILLVSEIMVLLGILFSFHTIFPYSQPQYICSGLVMFVSAEVLEGVNLSLLSRVMSSRLSRGTYNGGLLSTEAGTIARVIADATITLAGYVGVSKLLNITLLPSLFICIASIVATCYTYNSLY >OIW13569 pep chromosome:LupAngTanjil_v1.0:LG04:10650220:10653261:1 gene:TanjilG_29310 transcript:OIW13569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELIQQNYNDNFVDYASNSPPSSPDICSIVGDPQLSPRLGCEYQVEVPSMIKQTARFQFQMNPVDSEVVHDNSLSFAIGLPISVMWIRSDVEDSGDNDGSTDNVIEPEKASSVKKSRISSQGDKSKSYIMAPGTLSTSWSDADARSFLLGLFIFGKNFIKIRRFLENKRMGEILSFYYGKFYKSDEYRRWSSCRKLKGRKCKTGEKLFTGLRQQELLSRLLPHVSEESRDNLLQVSKSYTEGSTFLEEYISSLKSAVGIGVLVEAVGIGKGKEDLTRLHVEPRKNNRMFPAPASKDWASLRPNDILNFLTGGFRLSKAKSNDLFWEAVWPRLLARGWHSEQPKNQGYVSTKDYLVFLTPGVKKFSRRKLVKGDQYFDCVTDVLSKVVSEPNLLELEEEAKAGSSNDEERDKVLNEDHQSDSRHHCYLKPRASTYNTDRTKFVVIDSSLVHGGKSSDLRKSKSLPGNSLDKVQADAAGITCKGVKHMNKASHKKDMSKSIEQKLTKFTVIDTSLLYKGKLLKVRKLRYLPVELEDASKMDGLSSKSKGSSFDDNSPCEVEAKMPIYGKKNISNTDCRKGISGRDATNKKEAFDNRDDHASKTAASNQNQKTQVVDDNKLIRNIKHQFSRRARSGHSNHPVPPIKRRRLTACVNDETNRVLENSSGCLGSEKLAFSRPLGFPDANKSVGDPFSHHQSESPISSLPDGSVGENNEESIFNEICRISRGKVEKCESQSPMPENGEMMAIVEEDAKPLKANDPCLTSNAQVVVEKPLRTSDDVGSTEQQPDINPRRQSTRNRPLTVRAMESLANEFFHEERKQQKRKTIKTPKDPFSPCRRARSKVKKMQQHYSSDHEAAVSVEEKHLKEDCSVSQSICMPLD >OIW14346 pep chromosome:LupAngTanjil_v1.0:LG04:66681:71915:-1 gene:TanjilG_31236 transcript:OIW14346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMILTRSSASFLQNPQIRFFNHSLLNPSSPSSLFKSLSFFSLSITQTRTNFSTTTNNVVPTSQDSNGKDTFFAEESVFWNSLGLSDTISRSLSNIGLNRPSLVQASSVPAVLSGKDVIIAAETGSGKTYSYLVPLIDKLLLQTVSDQEVSSTRKVLLVLCPNVQLCEQVVRMASSLCGDNGEPIVSVAAICGRQGWPHREPDIIVTTPAALLNYVDTDRDRRLEFTRGVKYVVFDEADMLLCGSFQNKVLRLINLLRFDEKLVSQAKGAATELPIELESSLSSHDALEVEEELENEAISEEDDDDDNEEIPDINNEVEPVKRRDWRRVRKTYERSKQYIFVAATLPVNGKKTAGGILKHMFPDAKWVSGNYLHCHNPRLEQRWIEVTVDTQVDELIRAVKWGFRAEDLDSAGGIHRTMVFANTVEAVEAVAKLLHHSGIECLRYHKGCTLEERAQTLVEFHEKGGVLVCTDAAARGVDIPNVLHVVQADFATCAVDFLHRVGRTARAGQFGVVTSMFTESNRDLVDAVRRAGKLGQPVETAFSRKRGFRNKLKKRGKV >OIW14000 pep chromosome:LupAngTanjil_v1.0:LG04:6381524:6383282:1 gene:TanjilG_09351 transcript:OIW14000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGGLWQLGQSITRRLANGDKKAAARRYFSAEAELKKTVLYDFHVAHGGKMVPFAGWSMPIQYKDSIMDSTLNCRENGSLFDVSHMCGLSLKGKDSVAFLEKLVIADVAGLAPGTGSLTVFTNEKGGAIDDSVITKVTDDHIYLVVNAGCRDKDLAHIEEHLKAFKAKGGDVSWYIHDERSLLALQGPLAAPVLQHLTKEDLSKLYFGEFRVLDINGSQCFLTRTGYTGEDGFEISVASEYALDLAKALLEKSEGKIRLTGLGARDSLRLEAGLCLYGNDMEQHTTPIEAGLTWAIGKRRRAEGGFLGAEVILKQLADGPTIRRVGFFSSGPPPRSHSEIQDEGGNIIGEVTSGGFSPCLKKNIAMGYVKSGLHKAGTKVKIIIRGKANEGVVTKMPFVPTKYYKP >OIW12547 pep chromosome:LupAngTanjil_v1.0:LG04:26863024:26870339:-1 gene:TanjilG_04711 transcript:OIW12547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLVSYGNVDRDIHQALIVLKRGAQLLKYGRKGKTKFCPFRLSNDESSLIWISSSGERSLKLSSVSRIISGQRTAVFQSYPRPEKDHLSFSLIYYNGKRSLDLICKDKVEADVWIAGLRALISSGQGGRSKIDGWSDGGLYFDDSRDLTLSRTSDSSANASLDISCPDFSVILPNTSPKPFQPKNTLNFETLHAPPNPSNMQVKGSSSDVFRVSVSSAPSTSSQGSAPDDYDALGDVYIWGDVICENIVKVGADKSVSYVSPRADVLLPRPLESNVILDVLQIACGVKHAALVTRQGEIFTWGEESGGCLGHGVGKNVIQPHLVEALASSTVDFVACGEFHTCAVTMAGELYTWGDGTHNAGLLGHGTDVSHWVPKRIAGPLERLQVALVTCGPWHTALITSTGQLFTFGDGTFGVLGHGDRENVSYPREVESLSGLRTIGVACGVWHTAAVVEVIVTQSSTSSGKLFTWGDGDKNRLGQGDKEARLEPTCVPSLIDYNFHKIACGHSLTVGLTTSGHVFTMGSTVYGQLGNPQSDGKLPCLVEDKLSGEYVEEIACGAYHVAVLTSKNEVYTWGKGANGRLGHGDVEDRKTPTLVEALKDRHVKYISCGSNYSAAICLHKWVSGAEQSQCSTCRQAFGFTRKRHNCYNCGLVHCHSCSSRKALRAALAPNLGKLYRVCDSCYAKLNKVADASNNNRRNALPRLSGEIKDRLDKSEIRPSKLPVPSNMDLIKQLDSKAAKQGKKADTFSLVRTTQITSLLQMKDVFSTPNNDLKRTLPRPVLPSSRVSSRSVSPFSRKSSPPRSATPIPTTQGLSFSKNVTDSLKKTNKILNQEVVKLRSQVETLRQRCERQELEIQRSTKKTQEAITLAAEESSKSRAAKEVIKSLAAQLKNLAERLPPGVYDAKNIRPAHQPNDLEPNTHYPDSNRERLVRAESISDSSLASMGLESSLMNRTKGNLPGSHGTNLYQQKPGLVTSNRRDDDSDAKLTNGSGMIQARDSGVSDTTVDGRDSGNFQDDESSLASRNSDSNQIEAEWIEQYEPGVYITLTALHDGTRDLKRVRFSRRRFGEHQAEIWWSENRDKVYKRYSVRITEKYSSQAAHNGSSAVSES >OIW14004 pep chromosome:LupAngTanjil_v1.0:LG04:6400553:6402679:1 gene:TanjilG_09355 transcript:OIW14004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNTHVPSDPYTYPSLFKACSFLNLFSIGRSIHQRVVVYGFSLDPYIGSSLINFYVKFGFSDIARKVFDFMPRRNVVPWTSIMGCYSKMGSVDEAFSLVNQMRSEGIQPSSVTVLSLLFGVSEVVHVRCLHGCAILYGFVSDITLSNSILNVYGKCGNIEDSRKLFDHMDQRDIVSWNSLISAYAQIGDICEVLKLLKTMRVEGLKPDKQTFGSVLSIAASRGELMVGRSVHGQILRAGFDLDAHVQTSLIVMYLKGGKIDIAFRLFEKNSEKDVVLWTAMISGLVQNDFADKALAVFHQMLKFVVKPSTATMASIITACAQLGSFNLGRSIHGYVVRQDLPLDIAAQNSLVTMYAKCGYLDKSSVVFDKMSKRDLVSWNAIVGGYAQNGYVCKALSLFNDMRIDHQTPDSITIVSLLQGCASTGQLYLGKWIHSFVIRNVIRPCIMVDTSLVDMYCKCGDLDTAERCFNRMPRKDLVSWSAIIAGYGYHGKGDTALRLYSEFLESGIKPNHVIFLSVLSSCSHNGLIDQGLNIYESMAKDFGMAPNLEHHACVVDLLSRAGRVEEAYDLYKKVFSDPTIDVLGILLDACRANGNDELGDTIANDILILRPMNAGNYVQLAHCYASINKWEEVSETWSHMRSLGLRKIPGWSFIDIQGNITTFFTDHNSHPQFQEIVLTLKFLRREMIKMEEVDINIESSYIHNNNIC >OIW14275 pep chromosome:LupAngTanjil_v1.0:LG04:1170749:1173427:-1 gene:TanjilG_21415 transcript:OIW14275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLENQVNDVEQFYHSIDVQVNNSKEKGREKHLSGTKKPLQGASCSGAVAAAAATAKRNNQELMRQFSTILNQITMHEWAWPFMDPVDVEGLGLHDYYEVIDKPMDFSTIRSKMAAKDGSGYKNVREIYTDVRLIFNNAMKYNDKKHNIHNMAKTLLKKFEEKWLELWPKVDKEEKRQLAEEAQLQLNMQLAQEATYANMARNLSVSLHEVDMQLESLKALVIQKCRKLSDYEKTMLGNALPMLSPENLVKALQIVSENNPAFQPNTLEVDLDIDAQSDYTMWRLNVFVKDALEAQGRTAEGTAVNHDNGADDHNNSKRRRIS >OIW12572 pep chromosome:LupAngTanjil_v1.0:LG04:26663596:26665270:1 gene:TanjilG_04736 transcript:OIW12572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSADPEHRYDDAPAAGDDEDTGAQIAPIVRLDEVAVTTGEEDEDAILDLKSKLYRFDKDGNQWKERGAGTVKFLKHKVTGKVRLLMRQSKTLKICANHLILPTMSVQEHAGNEKSCVWHAKDFADGDELKDELFCIRFPSVENCRSFMETFQEVAESQKQGENNDAASAASLIAELKVEDKADSVNKDEEKSEEKTVEKESASGEESKTDAEKKNEEPASSA >OIW13017 pep chromosome:LupAngTanjil_v1.0:LG04:22362168:22364708:-1 gene:TanjilG_15466 transcript:OIW13017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGKIIVSAVSLILVVGVVIGAVVINHNNSTTSTDVKANVKAADKICQSAEQKDLCVQTLGTVKDNSDPKEYVAAVLKASTESVIKAFNMSDRLIVEHGNKGQGVKMALDDCKDLLESALDSLQLSTDMVGGSDVKQVHDQTADFRNWLTAVISYQQACLEGFDDGNEGEKAVKELFKSDSLDHIGKITGVALDLVSDLSNILQQFGLQLDLKPSSRRLLGAEEIDEEGLPTWLSASDRKLLAKVGKKKGGKGKGKGKGKGKGKGGKAAAGAAAGAAASAAAGAGASGAAGAAGPGARTVVVAKDGSGQFRTVKEAIDAYPKDLQGRYVINVKAGVYDEYITVPKFAANILMVGDGPLKTIITGRKNFALAGIKTMMTATFANTAPGFIAKGIKFENTAGIEGHQAVALRNQGDMSAFFDCHIVGYQDSLYVQTNRQFYRNCEISGTIDFIFGTSATLIQNSRIIVRKPKASQFNTITADGTEHRTMNSGIVLQGCDIVAEAGLVPAQNPSYFGRPWKAYSTAVIMESNIDGCIHPEGWKEWEGAPGGFTGTLYFVEYANTGPGSNVAGRVKWNTLHTRVSPQEAAKYTAAQFLAAGPTSKAEDWLKTTGVPFTLGFSK >OIW12519 pep chromosome:LupAngTanjil_v1.0:LG04:27035198:27039834:1 gene:TanjilG_04683 transcript:OIW12519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIVVVILLYLVTVTPWLVCSQQHKLLVKMTIVNNAHETTALCLDGSLPAYHLDRGSGNGQNNWILQFEGGGWCNDLASCIERAKTYRGSTTYKSKLESFSGILSNHPSLNPDFYNWNRVKLRYCDGASFTGDTVFTNGTKTLYFKGQKIWEAIINDLIPKGLGKASKALLSGCSAGGLATFHKCDNLAARLPNANVKCLSDAGFFLDAEDISSKYTARSFFRGVVELHGVKKNLNTKCTSAQNSPDLCFFPQYALKYISPPYFILNTAYDVYQFHNILVPTSSDKQGKWTNCKKNPAACTPDEINTLQGFRSYMLDTLKPFTLNSERGGMFINSCFAHCQSESKDWFGPDSPRVNNKTIAKAVGDWYFDRKKSKEIDCEYPCDKTCHNLIPQTQGSANLSFLIMD >OIW14030 pep chromosome:LupAngTanjil_v1.0:LG04:5516854:5522631:1 gene:TanjilG_11375 transcript:OIW14030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDGTASSYLQRMATELNCPICWSLLDSAVSLTCNHVFCKSCILKSMKSASDCPVCKVPFTRREVRPAPQMDNMVSIYKSMENASGISTPVTQNIPITKLSALNYLTDGEKLHKGDADCEMKNAGETLKNSAQKKKLIRKKESKKKIMSSTKSIEIAKPYFPAKKRIQVPQDPLSETPLMDYKFGDTLSDVNKEGTKEGLILANERPLQNEKDDHVLKPFFWLREEEDGEKISQDSDGDQLIDCATPTPPSFSDLKDSDDESPFKKAPSDEAQNKACVNLFDSEMFEWTQRPCSPELFSSPVKMQVEDTCEIDENQEDFVALAQELEKNWPSADADNSKFENTKEGNGLDNKLPQTVASQIISSDDQNGIKESAKRGRKARGKARQGKTGEQRDPVNGMDASSNISSKVNLEKALDHKHDCSKLGKNNRTAKRVSFSTLTNQTACTVSNILVPSREVEMVNNSPCNEEIEKNGPHDIGEKIKRKRSGKRKSNNVQDLPEELSPVQNKTNEFADYVSSVLIPEMDDKGKASNNRQNKSNSTSNFMSCNRELRSTKKLKLSSDGIIVTTNVTEIQPNASHQQIPHAKALNDTSKEMQCPLMNQPVLQKCESHVKRCQCVFCFSSEETEVSGPMVHYYDGKPVTADYEGGYKVTHSHRNCTEWAPNVYFVDDNAINLEAEISRSRRIKCCFCGLKGAALGCYEKSCRKSFHVPCAKLTSLCRWDMENFVMLCPLHASSKLPSESSGSQERSNKSTARERKTLARKHDNTGQSQTAHGSYNKLVLCCSALSVQEMSVVSEFERASGVRVSKKWDSSVTHVIASADENGACKRTLKVLLSILEGKWVLNIEWIKACLKEMGHVDEERYELNVDIHGIRGGPRLGRLRVLNKRPKLFYGYNFYFMGDFEKSYKGYLQDLVIAAEGTILHRKPVSRYQKAISPDMHPNQTLIIYSLEFPDNCHPLRRDTILKQRRCDSEALASSTGSKVASNTWILNSIAACKLQSLAP >OIW12997 pep chromosome:LupAngTanjil_v1.0:LG04:22606347:22609959:-1 gene:TanjilG_15446 transcript:OIW12997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLKRGSKGCHCAYPIKLDILLLNVSQDPNWGIFLDEFSTQLGLKTSQVQIVNFYLPTISTLNISMDITPHKGISFSADEASRINSSLLQHKVKLDHSLVGDFRLLNITWFQPPPPSQAPTVDASPKTPVYHSPTATTSRTSDRGRHSDLLLILGIVIAILLISVTCVLILCLCTWRPKTNKTPTETEKPRVESPVAAVRAIPHPSSTRFIAYEELKEATKNFEPASVLGEGGFGRVFKGVLNDGTAVAIKRLTSGGQQGDKEFLVEVEMLSRLHHRNLVKLVGYYSNHDASQNLLCYELVSNGSLEAWLHGPLGINCPLDWDTRMKIALDAARGLAYLHEDSQPCVIHRDFKASNILLENNFHAKVADFGLAKKAPEGRANYLSTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDMSQPSGQENLVTWARPILRIKERLEELSDPRLEGRYPKEDFVRVCTIAAACVAPEAGQRPTMGEVVQSLKMVQRVTEYHDSIVPSSNTRPNLRQSSTTYESDGTSSMFSSGPYSGLSGFDYDNISRTAVFSEDLHEGR >OIW14282 pep chromosome:LupAngTanjil_v1.0:LG04:1029827:1036681:-1 gene:TanjilG_21422 transcript:OIW14282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDSVAQIKNHRDFTLASVTEISSPSFPSSPATARFSSDGSTAELRIQHESHQIPLHVDLQAAQLFKLGPVRSVCLLEGSDTGKQTSYSKGVAIQFRNEEESDAFHCVFQKGKKKFNVQGGDLPNGTDVITSTSKFDQKIESSSAKMYFHYYGQLLHQQNMLQDYVRTGSYYAAVMENRTDFVGRVVVDVGAGSGILSLFAAQAGAKHVYAVEASEMAGYAQKLIAGNPLLGQRITVIKGKVEDVELPEKADILISEPMGTLLVNERMLESYVIARDRIHMAPFSDEYLFVEMANKSMFWQQQNYYGVDLTPLHGTAFQGYFSQPVVDAFDPRLLIAPSMFHVIDFTKIKEEELYEIDIPLRFISSVGTRVHGLACWFDVLFNGSTVQRWLTTAPGSPTTHWYQLRCVLSQPIYVMAGQEITGRLHLIAHNAQSYTIYLTLSAKSWGPGAEQGGILQTSSCKLDLKEPYYRMSQPQAYPLTQDQPLLSTEDIHIQSDDLDELMQQQPSPNSCAPIDSQMKNA >OIW13253 pep chromosome:LupAngTanjil_v1.0:LG04:17102692:17102992:1 gene:TanjilG_14186 transcript:OIW13253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMHHGWSGLAPWLVKIGAPMLDVSDAWCLGQKVCAKACVLRSEYCVEAQADALMAIHCGAWVPHFISHKS >OIW12733 pep chromosome:LupAngTanjil_v1.0:LG04:25316943:25319226:1 gene:TanjilG_24666 transcript:OIW12733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKETKSSKTKKSTDYRRSVTDDELGKKMNGEKHTHSGTLSVRRRKKEGSKPELPVLDNIPASKIGAIYLSDASSPLSNYSEEKKVEAKGSEKIPVSGEIHIRGVKPVNNKVQPNKDGAEFVAVNTIGTKHEEDKNSSTKVVPITNVDNTGGLTTSAGGSIEYNFSSLPHDNEDSDGDETEYVVSESPRVLVGSYHVKQSFASILRSIFDKYGDIGASCHLESVIMRSYYIECVCFVVQELQSTSIVHITKSKVKELLAILKDVESAELNVAWLRSVLDKIAENIDLINQHRAAEVAKANSDHEIEQLRNKMDSELETLARKEQEVTNTKTRIEEINKRLSELELKTSYLDKNMLNIKSKVDNLGSKTMLDELS >OIW13758 pep chromosome:LupAngTanjil_v1.0:LG04:8574620:8575702:1 gene:TanjilG_17937 transcript:OIW13758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIQGDGIFPEEVVIQILARLPVKSLFRSKTVCKLWYMLSLDNYFIPLFNEVSRKNPMILVEISDSLDSKSSLISVNNLRGVSEFSLDFLNDRVKVRASCNGLLCCSSIPDKGVFYVCNPATRKFRLLPKSRERPVTRFYPDGEATLVGLACDSVSQKFNVVLAGSHRTFGHRPDGSFICLVFDSELNKWRKIISFQDDHFTHMNKNQVVFVNNALHWLTVSSTYILVLDLSCDVWRKKPLPYDLIYGMGNRIYLLDFDGCISIIQISEAWMNIWVLKDYRMDEWCIVDKVSLRCIRGMVPGIFPISQTSEYVFLATHKQVLVYHRKSQVWKEMYTVKHSSTLPLWFSAHAYRSTLLSCN >OIW13810 pep chromosome:LupAngTanjil_v1.0:LG04:7343975:7346705:-1 gene:TanjilG_31699 transcript:OIW13810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHQMMQMQPMMAAYYPNNVTTDHIQQYLDENKSLILKIVESQSSGKLSECAENQSRLQRNLMYLAAIADSQPQPPAMPGQYTPGGVMQQGAHYMQAQQAQQMTQQQQLMLARSSLLYSQQGYSALQQQQAMHSQLGMSSGASTGLHMLQGEATGVGGNATTIGSGGFPDFSGRGLGGKQDIGSSAEGRGGSSGGHVGEGGETLYLKSPDDRN >OIW12967 pep chromosome:LupAngTanjil_v1.0:LG04:23049750:23060525:-1 gene:TanjilG_15416 transcript:OIW12967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNTRSELCAASPKELAFPNGQRGSLINGSLDRSSNFCKGNEGQMLIPSAAMPWGNSTSTWDLASLAQCLMLDPIVMGDKNTTRPSANLEPLPPVAKEELKRFKASVQEVSVRASYYRSKKLDESLHKLNKCVEAFNNSKEQLRNEKLPNERLGGSHFSKMRSQTQRSPSKLVNQRVEDIPENVILNKRIRAPVADIRGEGRSNSFLKQSLAIGKYKDSIKDGGKGCNVKEKIRKSPADGETWDRKMIRKRSMDTVCARLIDGEGELIRVVRPKLANGSLQSSDAQDSRSGYSGSNRKLDVASMPASSDACAIFKDEQGKVFRNSMDGSNKDRAVLKGNMLNVDDDNCTSSNYSSMKGKASRAPKTGPLVASNSSSVFHSYETVEVWEQPSNMNKPHSVSGTINRKCSLPAGPSSSFMAQWVGQRPQKITRTRRMNVVSPLSSCDEVQISLKGCSSSDVGTRVTSTTASGSLISKGGVNSTQLGTVKHENISSQTRLSEGEGLGAGEKGESKLKEKRLGSNEVDERAINNLYNISSSSLANKKKKMSNKEEIEDLRKQGRNSRGSPVMKTDIMPMNANMDTSTLTQPIRRIMKPGSEKNGSKSRCPRLKKSCNRKATARLGHPSTSNSPDIAGELDDDQEELFAAANFARNASYIGCCSSFWKKLEPTFAPVSLEDVAYLKQLVKLTKEDRRCLSQLLGLGNNSLDGLDQKDNALSQNPLHRERERSIEQTNSKQIFSMVDMVDQHLDVSFLCRQMGSEGNKVASLYQRVLAALIIEDQTDEETVEGDKLSFLCESDDSLGVNCFPQDIENRSRIGTENDFNSDLFSCNGNATRDQERDDILLLHQRPLHSETERLPNVSENCNGGLPATNKFSSCSSTFNRHFEQMSMEDKLLLELQSVGLYPEPVPDLADGDCEAINQDIIQLQKGLHQQVTKKNEYFMKLIQAVEGGREEEQRALQKVALDKLVELAYKKKLATRRSSAARNGVPKVSRPIALAFMKRTLARCREFEETQRSCFLEPAFKDVLFAAHACDNYADSDVAVKLPLAQNSQQESPLPGLLPCRKRGVLENINHPSELDVARTGPILNRGKKKELLLDDVGSLKSASTTGNSFMGGATGKRSEREWDKDTSGRKPVAKASRSSADHPKGEHNTKPKAKQKAAQLSTSGNESVSKSMQNNNSKHRLACGSSEEKAGSSPHGHNTEDLSIQTGEPVDLTNLLELDPIELGVANELNEDQDLDSWFNVDELQDDDVMGLDIPMDDLSDLNMLL >OIW12576 pep chromosome:LupAngTanjil_v1.0:LG04:26645522:26646076:1 gene:TanjilG_04740 transcript:OIW12576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILQLTTTRNASGDVVQPSIPRQALQILIAMFVMDTWQYFVHRYMHQNKFLYRHVHSQHHRLVAPYAVGALYNHPIEGLLLDTVGGAISYLASGMTARTAVLFFCFAVVKTVDDHCGLWLPGNIFHLLFQNNTAYHDIHHQLKGLKYNYSQPFFPIWDKVLGTYMPFNLVTRPEGGLEARPVKD >OIW14020 pep chromosome:LupAngTanjil_v1.0:LG04:6563811:6564413:-1 gene:TanjilG_09371 transcript:OIW14020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKLYRRGTVHPSPPIITDHLSFLPTAILTLAAALSPQDREVLSYLISCSSNNNFSGNSHRNTANNSTATAGDHAPLFHCSCFRCYMSYWVRWDSSPNRELIHEIIDEFEDWLAQQSNNKKGKNGKKEKRNKKKRLNNKRETELVDSVSDVSSELESVENSSSSNNNSEGCGDKVEEEEKGPVRRFVSFIGQSIWGVWGQ >OIW12982 pep chromosome:LupAngTanjil_v1.0:LG04:22887997:22893331:-1 gene:TanjilG_15431 transcript:OIW12982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLAGLVGFSVDAQTGVKGHRTPQSNADPWFFLEDLWESFIESSAFGVEVPLIVNGSDSIQQYYVPYLSAIQLYSEERYAIYRIPVGSTLKSLDTSFLTFHSLSTHSRSTSISSFLTINNTLLLIDYRVCRSSILITSTLLVVARNGDD >OIW13380 pep chromosome:LupAngTanjil_v1.0:LG04:13716972:13718716:1 gene:TanjilG_16489 transcript:OIW13380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLSIANNYAASIVSPQTICNYTLYPSYCQTVLANQNGTTFDYCNISIRKSLSQSRNFLNLVYSYYKGFSSFSQITVRALEDCQFLSELNFEYLSNTYDVIEKSSNVLTTSQVEDFHTFLSATLTNQQTCLDSLETSTSDPRVKNDLYSSLYNDMKLNSVSLALFKEAWVPENKNSTSSENHTSQSNFQNQVRAIYDSSKGNGRKLLQTMDDNESIVVKDIVVVSKDGSGNFTTINDAIDAAPNNTVASDGYFIIFITEGVYQEYVSIAKNKKFLMLIGDGINQTIITGDHNVVDGFTTFNSATFAVVAQGFVAVNITFRNTAGPSKHQAVAVRNGADMSTFYSCSFEGYQDTLYTHSLRQFYRECDIYGTVDFIFGNAAVVFQSCNFYPRLPMSQQFNAITAQGRSDPNQNTGISIQNGIIKADNDLAPMVSSVKTYLGRPWKEYSRTVYMECFMDNLIAPEGWHEWNGDFALNTSYYAEYNNMGPGSNTQNRVTWLGYHVINANDALNFTVSTFLDGDFWLPQTSVPFQVSI >OIW13159 pep chromosome:LupAngTanjil_v1.0:LG04:19350022:19354237:-1 gene:TanjilG_07765 transcript:OIW13159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDTKQASEMVVGDPALLEKKIDSIRLAGPHKLQVIADFDATLTKFWVNGTRGLSSHGLLQQGNPEYDAKRQQLYDYYHPLEFSPTIGLEEKTKLMEEWWGKTHGLLVEGGLTYESIRKSVADANISFREGVVELFEFLEERDIPVLIFSAGLADIIEEVLRSKLNKTFKNVRIVSNRMVFDDDGHLVSFKGKLIHSLNKNEHALDMAAPVHEQSGDLNGPSDENDSVKKRTNVLLLGDHIGDLGMSDGLNYETRISVGFLNHNIENSISCYRDAFDVVFVNDAPMWGVIKLASQMCSSES >OIW13263 pep chromosome:LupAngTanjil_v1.0:LG04:16458631:16461522:1 gene:TanjilG_25742 transcript:OIW13263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNGFDGDSIKLLDLSNNNFSGVFSGFDLGHCRSLVSLNLSHNALSGTEFPSSLGNCQVLETLDISHNELKLKIPGVRLSGLKSLRELFLGHNQFYGEIPEELGNACSSLVVLDLSENKLYGELPLSFGKCSSLQSLNLAKNYLSGDFLNSVVSKLSSLRYLYAAFNNITGPIPLSLTNCTQLQVLDLSSNAFTGNVPSVLCSTSNSPPALKKILLAGNYLSGQVPVELGRCENLRTIDFSFNNLNGPIPSEIWSLPNLSDFIMWANNLTGEIPEGICVNGGNLETMILNNNFISGSIPQSIAKCTNMIWVSLSSNRITGGIPAGIGNLDKLAILQLGNNSLTGLIPPELGKCRSLIWLDLTSNNLTGTIPSELANQAGLVIPGSVSGKQFAFVRNEGGTSCRGAGGLVEFEDIRVERLEGFPMIHSCPLTRIYSGLTVYTFAYNGSMIFLDLSYNTLSGSIPENFGSMSYLQVLNMGHNRLTGAIPESFGGLKAIGVLDLSHNNLQGYIPGSLGTLSFLSDLDVSNNNLTGSIPSGGQLTTFPASRYLNNSNLCGVPLQPCGTSNHSASFYTSKKNQPIEAEIVVGIVSLLLFIVVLLFALYRVKRYRKEEQREKYIDSLPTSGSSSWKISSFPEPLSISVATFDKPLRKLKFAHLLEATNGFSAESLIGSGGFGEVYKAKLKDGCVVAIKKLIRVTSQGDREFMAEMETIGKIKHRNLVQLLGYCKIGDERLLVYEYMRWGSLETVLHDRAKGGGSKLDWAARKKIAIGSARGLAFLHHSCIPHIIHRDMKSSNILLDENFEARVSDFGMARLVDALDTHLSVSTLAGTPGYVPPEYYQSFRCTTKGDVYSYGVILLELLSGKRPIDSSEFGDDNNLVGWSKQLHREKRVNDILDPDLVMQTSSETELYQYLRIAFECLDERPNRRPTMIQVMAMFKEFQVDTDSDIFDGFSVKDNVIDEA >OIW14054 pep chromosome:LupAngTanjil_v1.0:LG04:5258531:5262330:-1 gene:TanjilG_11399 transcript:OIW14054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGTYLGCNNQVEVVENLSTRVSNFSLDDQISNSNSPLDHEEGGDMRNNVTKEYCDSFSNASIEGHNMTLSKLCPELPEESLEKTKEDMESLGDLQKRGKYFYYDTPHDEDTGVWIPVSVPPMLEDDHREWAKGFHTNGGYFPDDDLGWNQYLGEERELTMWDVLAEMLLVARGKVTSLAAGDIHSCTFKWVSSHLLEEAWREMAQTLAEANFGNVKEILEAEPPKWLADSAAFSCMLCGVRFHPIMCSRHHCRFCGGIFCGECSKGRSLLPSKFRVSDPQRVCDVCCVKLDSVQSYLMDHVSNAAQLPTHDLTDLSTLRSWVNFPWGQSMEYEIYKATNAVKAYNQIGFLKPEKSIPDAILRQAKGLAIITVAKVGVVVTYNIGTGLVVARRDDGSWSPPSAISTFGMGWGAQAGGELTDFIIVLRTNDAVKTFSSNTHLSLGAGLSAAVGILGRAAEADVRAGDGGYAACYTYSCSKGAFVGCSLEGSIVTTRTEENSRFYGSQSLTATDILLGSLPKPPAAAILYRALSDLYVKVDG >OIW13766 pep chromosome:LupAngTanjil_v1.0:LG04:8495255:8498878:-1 gene:TanjilG_17945 transcript:OIW13766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSLPSSSFSTTRLLLHSPTPPRTTTRFNFLTMVTRSCLDDSSALLRAAQFTVDTYVKSGMVVGLGSGHASGMAIQHLGRQLRTGNLKDIVGIPMSVASASEAAKAGIPLDTYQDSSQIDFAFDDADVIEEGTLVAIIGRRKLQSEESIVQEKSILNAANKLVFIIEENQYKDGLEGSVPVLVQSLNWMPTAEEIEDMFLGDAEVWRRSSIGLAGPLGGDYPLVTREGHNVLDVIFTSPIVSLAEVAKSLDKVYGVVDHGVISKIPCTVVIASQNGQRILDKLTADIVS >OIW12791 pep chromosome:LupAngTanjil_v1.0:LG04:24916623:24927627:1 gene:TanjilG_24724 transcript:OIW12791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLAYSAILEHTIAERERSPAVVTRCVALLKRYLLRYKPSEETLLQIDRFCSTIIAECDINLNRPWSQSLNQQSGATPLPVSSFASAAIVKSLCYVRSLVAQYIPKRLFQPASFAGPPSASGQSLPTLSSFMRKSFNSQLRPGSVPETVEKDSIASLVSKSLEIKIIDEKDELGFIAHDVLQWRWPVEQQSSSMPSENDRSASSQNMRAHNFLEVGAAALLVGDIEAGDSPMSTFRPRARQLFQYRHYSEQQPLRLNHAEVCEVIAAVSSETSSPNTNVATVSARLSNNSGKPSMDVAVSVLIKLVIDMYVFNSRTAAPLTLSMLEEMLSSSKTACRVRAFDLILNLGVHAHLLEPIIADYASTIEEEYSQESFYDSDTQLMPQGSRKGISHNKLDTHSPIDKFESWILNILYEILLFLVQTEEVEESVWASALSCLLYFVCDRGKIRRNRLQVLDIRVIKVLIETSRRNCWAELVHCKLISMLANMFYEVPHEVDEPLPSTPKFLVNQLDLIGGVQFLFREYSLANSREERNNLYSLFFDYVLHQINETCISTGVNEYSYDEIQPLAAMLAQTNAAEAFYISVKLGVEGIGGLLRRSIASALSRYPNSERLNALLEIVTEKLDAIISSFTHLDEEFSNMIQITKSRRSLEDMESFALGNDIGLHAWAALHSLLHSERISYRQNGYIWLGDLLIAEISGERGGTIWSNIEYFQQKIARVGKQDSSDASDTPLPISLMCGLLKSKRNYIRWGFLFVLERLLLRCKFLLDEYEMQQSRSSDLGREQKDWHLEKAHAVIDIMSSSLCLVFQINETDCINKYETDRINILKVCDILFSQLCIRVPPALAPPCGDDMQHDRNSNCLNRNRKIDGDNRVLKQDTFHLDECYEEEADRRPNSPSSYHLDHKTASMAALLLQGQAIVPMQLIARVPADLLYWPLMQLAGEATDDIALGVSVGSKGRGNVPGATSDIRATLLLLLIGKCMADPVAFQEVGEEQFFRQLLDDTDSRVAYFSSAFLLKA >OIW13294 pep chromosome:LupAngTanjil_v1.0:LG04:15543991:15546408:-1 gene:TanjilG_25400 transcript:OIW13294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGWGINGNKGRCYDFWIDFSECMSRCREPKDCSLLREDYFECLHHSKEFKRRNRIYKEEQRKLRAASRKHQDQDDGVGNEHH >OIW13395 pep chromosome:LupAngTanjil_v1.0:LG04:13076013:13078691:1 gene:TanjilG_19491 transcript:OIW13395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVKVYGPTYASPKRVLVLLIEKEIEFETVDVDIFKGENKDPEFLKLQPFGELPVIQDGDYTLYESRAIIRYYADKYKDQGTDLLGKTIEERGLVEQWLEVEANNFHPPIFNLVVNVLFAPLLGTPSDSKLIQESDEKLGKVLDIYEERLSKNKYLAGEFFSLADLSHLAFGHYLVNQTGRGHLVRDRKHVSAWWDDISSRPSWKKVLQLYKYPV >OIW13001 pep chromosome:LupAngTanjil_v1.0:LG04:22557248:22558911:-1 gene:TanjilG_15450 transcript:OIW13001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYNGGKWLIRRLSTEAKKISLYRKLSALEMTGGTVSQTLNQYVLEGTAIQKDELERCVRQLRKYHKFQHALEIMEWMELRKVNFASDNYAVYLDLVSKTKGLDAAENYFNNLPPEEKNKYTYGALLNCYCKNLVTDKALAHFEKMDELGFVTNLAFNNLMSLYMRLGQPEKVPLLVDDLKQRKIPMAAFTYHIWMNSYASLNDLDGVERVYEEMKRENGEEISWQTYNNLSAIYVKTKDFEKAELMLKKVEEEVKPQQRETYHFLLSQYAATSNLAEVHRVWDSLKSILPVTNMSYLAMLHSLRRLDDIEGITKCFKEWESKCVTYDIRLVSVVIGAYLRHNLHDEAELVFKEAIRRRNNGPFFKIREMFMLYYLKKSQLVSALSHLEAVFSDVGVEKWRPSPEVASAFLKYYEETDVDGVEELSKILKSHNYDYSWFKSSPVINTTLEEDSQVNHAV >OIW12752 pep chromosome:LupAngTanjil_v1.0:LG04:25147097:25148783:-1 gene:TanjilG_24685 transcript:OIW12752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENDNNVNNNKSNSEGEGVKSNNHIEEEHNSNLNIPEEHMIEQDDSIPIDDEGAAVNESGSGSGSGSAPRRTPFTNLSQVDADLALARTLQEQERAYMMLRMNNDGSDYGSWESGSYLHEDDFDDLHDANAVDEDEDEDEDEDDVDDDDDDEFEDEDAFDVLAHAASGEHEVSRIEFDPAVFTSDEAFARALQEAEEREMAARLLALAGINDREADDAEEHGANSQMLQHVHREAMFESLPIPSSKFFRTLLLRAF >OIW14061 pep chromosome:LupAngTanjil_v1.0:LG04:5175324:5175980:1 gene:TanjilG_11406 transcript:OIW14061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKKVKIAYIANDAQRKATYKTRKNGLIKKTKEISTLCGVEACAIIYYPNQSLPEVWPSHSDVQRILYKFMATPPLEQSKKMFNQEIFLMQRITKAREQLKKKKSENRKEEMSLLMFNCLSYTGSVAENVNVKNANDLLWVIDQNLKEVDQKITRDQSQEETLVASNSAYGLNGEMDPIDRNVQEMMQNNANSGGNEMAPFGCFNISNGFSHGPFFH >OIW12582 pep chromosome:LupAngTanjil_v1.0:LG04:26598593:26601170:-1 gene:TanjilG_04746 transcript:OIW12582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSGNPSESEFNFSPDFPPRISLTLDQLNYCTQALTFFNDKIRNPNSILQDFDHLQANRITPTQMRRRCNVAFDAVNLNKNRYTDVVPFDNNRVVLKSSTDYRPAALGYINASFIETSGNVSKFIATQGPLPHTYEDFWEMLIQYRCPAIVMLTRLVDHYKMVKCGDYFQAEDGPREFGNISIVSKWTNVTESALVLRHLEVARQDLEDTPLSVLHIQYPEWPDHGVPNDTSSVREILKRLYHLPPNLGPIVVHCSAGIGRTGTYCTIHNTIQRILAGDMSALDIANTVAGFRSQRIGMVQTQDQYIFCYKAIIDELEDLVSQQ >OIW12731 pep chromosome:LupAngTanjil_v1.0:LG04:25323612:25326587:-1 gene:TanjilG_24664 transcript:OIW12731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPVSIEVWNPNGKYRVVSTKPMPGTRWINLLVQQDFRVEICTEKKTILSVEDIIGLIGDKCDGVIGQLTEDWGEVLFSALSRAGGKAFSNMAVGYNNVDVNAANKYGVAVGNTPGVLTETTAELAASLSLAAARRIVEADEFMRAGLYDGWLPHLFVGNLLKGQTVGVIGAGRIGSAYARMMVEGFKMNLIYFDLYQATRLEKFVTAYAEFLKANGEQPVTWKRAASMDEVLQEADLISLHPILDKTTYHLVNKERLSKMKKEAILINCSRGPVVDEVALVEHLRQNPMFRVGLDVFEDEPYMKPGLAELKNAIVVPHIASASKWTREGMATLAALNVLGKIKGYPVWFDANKVEPFLNENAPPPPASPSIVNAKALGLPVSKL >OIW13272 pep chromosome:LupAngTanjil_v1.0:LG04:16132310:16133719:1 gene:TanjilG_25751 transcript:OIW13272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSGEKMNVLMVSTALQGHINPILKLAKRFIQKGLHVTLITTEIVRDRMLNYTNNYGTINQQEIQFEFFSDGLTLDFDRNKDKDKFVSTLRTIGSKNMSKLIENLIRINGHKFYSCMIVDPLLPWAIDIAIDHNIPSALLWIQPCALYLISYHYHNNIDLFNDLEDPNEIVQLPGLPLLEVKDLPSYTLSYGAPRTKQVMMELYHAAKNTKWVFATSNYDLEKEIIDYMASGIPIYPIGPLVSQFMLGENETIGINMNMWEAEDSCIGWLDNKSCSSVIYISFGSVIVLSQEQVNNIAMALKNSEKSFLWVIKPAEIGSEDEVPELPQGFLEETKEKGLVVKWCNQEKVLMHHAVACFMTHCGWNSLLETIVAGVPVIGYPKWGDQPTNAKVILKKFQNGVVMNFGEDGVASVEEIMRSIKEVMEGSSAEEMKKRALEMKEEARKALEEGGSSNKNIDQFINDLKNLEH >OIW13532 pep chromosome:LupAngTanjil_v1.0:LG04:10321648:10338249:1 gene:TanjilG_29273 transcript:OIW13532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGCEILTIAFLMLLMFRNIHLQSQLNKLQGEIVSLNLRLYVCHKLDPLNITSATIQDPNTFASGNFKRNLALLSSFTLLFIPLTIFKYIDYVSKSRLSDNISEQISLKKQIAYWVDVFLSVHPYAKPLVLLVATLLLIFLGGLALFGVTSEDLAHCLWLSWTYVADSGNHASSEGIGPRLVAVSISFGGMLIFAMMLGLVSDAISEKFDSLRKGKSEVVEQNHTLILGWSDKLGSLLNQLAIANENLCGGTVVVMAERDKEEMELDIAKMEFDFKGTSVICRSGSPVILADLKKLLISCDIQVSVSKARAIIVLAEDGNADQSDARALRTVLSLTGVKDGLRGHIVVELSDLDNEVLVKLVGGDLVETVVAHDVIGRLMIQCARQPGLAQIVMGMLWQIWEDILGFENCEFYIKRWPQLDGMQFEDVLISFPDAIPCGVKVAAHGGKIILNPDDSYVLQAGDEVLVIAEDDDTYAPVSFPKVWRGSLPKDFVCPKSPERILFCGWRRDMEDMIMVLDASLAEDSELWMFNDVPEKEREKKLTDGGLDINRLENISLVNREGNAVIRRHLESLPLESFDSILILADESVEDSAIQADSRSLATLLLIRDIQAKRLPYSQADGGSFSKGSWMGEMKQASDKTVIISEILDPRTKNLLSMSKISDYVLSNELVSMALAMVAEDRQINHVLEELFAEEGNEMHIRQADLYLCEGEELSFYEIMLRARQRRDIVIGYRLANAERAVINPPAKTDRRKWSVKDVFVVSKLGYGCMGLHGVYNAPVAEDVAISIIKYAFSNGITFFDTSDVYGAHANEVLVGKAIKDLPRDKIQIATKFGIVGIESNNVIVNGTPEYVRSCCEGSLERLGVDYIDLYYQHRVDTTVPIEDTMGELKKLVQEGKIKYIGLSEASPDTIKRAHAVHPITAVQMEWSLWTREIEEDIVPLCRQLGIGIVPYSPLGRGFFGGKAVIESIPAGSFLALQPRIRGENLDKNKILYYRIEKLAEKHGCKPSQLALSWLLHQGDDVVPIPGTTKIQNLDTNIGSFEVNLSKDELREITDVIPISEIVGDRTTEAFVKCSWKFANTPEKSS >OIW12501 pep chromosome:LupAngTanjil_v1.0:LG04:27145921:27148226:-1 gene:TanjilG_04665 transcript:OIW12501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAKSNSGTDPSTSYNCYKVATLTETILDSTQISNLKDQYILGEQLGWGQFGVIRACSDKLTGEVLACKSIAKDRLVTSDDLKSVKLEIEIMARLSGHPDVVDLKAVYEEENFVHLVMELCAGGELFHRLEKHGRFSEHEGRVLFRHLMQVVLYCHENGIVHRDLKPENILLATTFSSSSIKLADFGLATYIKPGQSLHGVVGSPFYIAPEVLAGAYNQAADVWSAGVIIYILLSGMPPFSGKTKSQIFESVTAAGLKFPSEPWDHISESAKDLIRGMLCKEPSQRLTAQEVLDHCWMESSQISHEQLGEHKLGSCVGWDMGGNSFSSSFMSRNQDISFGAGSPACEAQSPRFTCRASFSSYLVEPVTPCSVAGVFSFQSSVDSIGSEFSSPVPSMPSFAFLSHDSVTEPRSRILEFSTNKSDVKEIAGDSPLCIGDDVIEMGRKHGTNGHRALGFHSKMNRTIGLGECEQLDLVVTESVMRWSSCTQLPTTLGSSLVY >OIW12794 pep chromosome:LupAngTanjil_v1.0:LG04:24880617:24882374:-1 gene:TanjilG_24727 transcript:OIW12794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLWIWVFNIFLLISFLSEFSESVEPPQVPICSEEDRASLLSFKAGILQDTTETLSSWIGKDCCDGGWEGVQCNPTTGRVNVLQIQRPEGSGTYMRGTLSPSLGNLHFLEVMIISGMKHITGTIPSSFSNLTQLTQLVLEDNSLGGYIPPSLGRLSLLQTLSLNGNHLKGPIPPTLGSLRNLVQINLARNLLSGTIPLSFKTLQNLQNLDLSNNLLCGPIPDFVGEFKKLTYIDLSYNVLTGRIPNSLFSLVNLQDLSLSYNKLTGNIPDQIGSLKSLASLQLSGNKLTGYLPLSMSKLQNLWYLNVSKNGLSGTLPCIPSKGIPALLTIDLSYNNLSLISVPDWIRSKQLREVNLAGCKLKGNLPNFIRPGSLSSIDLSDNYLIGGISNFFTNMSSLQKVKLSNNQLKFDISQIKLPTGLSSLDLHANLLVGSLSTIINNSTSSSLEVIDVSNNFISGHIPEFGEDSSLKVLNLGSNNISGPIPVSVSNLVDLERLDISRNHILGTIPPSLSQLVKLQWLDISINTLTGQIPGSLSLIKNLRHANFRANKLCGEIPQCRPFNIFPAGAYAHNSCLCGKPLQPCK >OIW12690 pep chromosome:LupAngTanjil_v1.0:LG04:25662058:25663509:1 gene:TanjilG_24623 transcript:OIW12690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFFKTVFSDDPDPPESDSESNNLPHNKDQQHDSDPNSPPQQTPPTDNSGAGAWNFGGLIQTLTSKSESIIEIYRRDLQEFSTGLKKEIEVAHDSLGTVTHVIDEFGNTVVKGTAQIISQGKDAILAIDLDSDSDNTSNTPKHRLSNVSDKSFNSKRYSRFDAQVRSIQGDASTYTEEPGDLDEYNKWKSEFSLDGKSEETEGFLRENDAMESVYERIVPNNVDHETFWYRYYYKVYRLKKAEDVRARLVRRMSREDEDLSWDIDEDDDQDDIDNEESQEIGGESKGKSVDINSQIGSSGTSIEEGTEILNVEEVHNAAEEWSKVEKKDNLAQSEEIGDKTDRLVEESRVEKSGVVHEVGDDKKEAIEETDNALKSEVDSAVNKNDSASKSDEKEIAEKKTDDAISTNKNNESSKVESQHSAHDDEEEDLGWDEIEDLSSIDEKKASESGSRSDVDLRKRLSAAEAEEDLSWDIEDDDEPAKP >OIW14278 pep chromosome:LupAngTanjil_v1.0:LG04:1134175:1136137:-1 gene:TanjilG_21418 transcript:OIW14278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVPISLINVGHIDDVQELRKTKPKTIPQRFVRHMTERPTLVTSVSQHNSDMPVIDFSKLSKGNKEEVLTELFNLATACEEWGFFQVINHEVDLNLLESIEKISKDFFMLPLEEKQKYQMVPGTVQGYGQAFVFSEDQKLDWCNMFALGIEPNYMRSPNLWPKRPEKFSETVEVYSREVRKLCKNLLKYIGLGLELEGDAFEKIFGGAVQAMRMNYYPPCSRPDLVLGLSPHSDGSALTVLQQAKGSPVGLQILKDSKWVPVQPIPNALVINIGDTIEVLTNGKYKSVEHRAVANKEKDRLSIVTFYAPSYEVELGPMQEFIDENHPCKYRRYNHGEYSKHYVTNKLQGKKTLDFARIQTKNTK >OIW13212 pep chromosome:LupAngTanjil_v1.0:LG04:18665387:18674676:1 gene:TanjilG_17655 transcript:OIW13212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESPESSYESSPEGQRNHAPSPPISPTHHDSEKKPTYVRFLVSNSAAGSVIGKGGSTITDFQSQSGARIQLSRNHEFFPGTTDRIIMVSGTINEILSAVELILSKLLSELHIEDENDAEPKTKVRLIVPNGSCGGIIGKGGATVRSFIEDSQAGIKISPQDNNYYGLNDRLVTVTGTLDEQMRAIDLIVSKLAEDPHYSQTMNSPFSYPGVYFSGYQGVPYTYVLPSVGPPAYNAVNYRANGAGGKFQSSKEERSTSLTIGVADDHIGLVVGRGGRNIMDISQNSGAKIKISDRGDYISGTTDRKVTITGSQRAIHIAESMILQKVSNATERVIE >OIW12785 pep chromosome:LupAngTanjil_v1.0:LG04:24952900:24953469:-1 gene:TanjilG_24718 transcript:OIW12785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHMLNATQPSEKPATTKVTGEPPFKKPLGFFPPSEGIPIPQNIPTTSSSTQSLDLSSIGFSFPTRATLQELEFGSVTSIDEDLLESNGDEPHKLGKAQGVYVASSEGGNSHMVAMTASFVKGEYQNGLRFFGVHRSDVFESHVAVIGGTGKYYGANGYAAVKVVDKIGSSKEGKVTSSKFLLFDVYLS >OIW13498 pep chromosome:LupAngTanjil_v1.0:LG04:10055960:10057182:-1 gene:TanjilG_29239 transcript:OIW13498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTLSSSVSTFKVQPLTQTTNPEFYHFKKTPHAKVPPPHHVSSTSIKTKPTFSHKCSSTFVPLYSSSNTLSRIPSTPIFHKNPSTGYAAAIIDVAQNNNSLHSVQKDISRLLNFLQHMNFRSTMVDPSMVEEHKGPAIMRQVVEQGNFHRHVVALLKMLLKKNKMGILEEVLQEFERIYDELCGTQVVLVSSNAKMREDEVFGIAKKVQQLSGAMRIKVKNLVQDTLPSFAV >OIW12803 pep chromosome:LupAngTanjil_v1.0:LG04:24750955:24753755:-1 gene:TanjilG_24736 transcript:OIW12803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRWLCCTCQVEESYPSNENEHLKSPGNYGDGYHKGSKTSAPIKPETQKAPPPIEVPALSLDELKEKTDNFGSKALIGEGSYGRVYYATLNNGKVVAVKKLDVSSEPETNNEFLTQVSVVSRLKNDNFVELHGYCVEGNLRVLAYEFATMGSLHDILHGRKGVQGAQPGPTLDWIQRVRIAVDAARGLEYLHEKVQPPVIHRDIRSSNVLIFEDYKAKIADFNLSNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLTQKSDVYSFGVVLLELLTGRKPVDHTMPRGQQSLVTWATPRLSEDKVKQCVDPKLKGEYPPKGVAKLAAVAALCVQYEAEFRPNMSIVVKALQPLLKTPAPAAPES >OIW13535 pep chromosome:LupAngTanjil_v1.0:LG04:10354609:10356830:1 gene:TanjilG_29276 transcript:OIW13535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKAGKWIRNFLLGNKEEKYKKIDTFCSENMSASMMDSQIVSPNVKRRWSFGRLRGGSERKTSMLAGHKFSISFDSGDSARLQIQALLETQGSKSLPTALPQVSRYRRHKTAAAIKIQAGFRSYLARRALHALRGLVKLQALVRGHLVRKQTTATLRGMHALMAIQVRTRIHRIQMVEEANLHGKQPLQHREVPRHKGLTRKNKHSKGMSMDEMLEALKSRGSPVHHSHAKSMEHDHMTFYSNRMSVSKRKHQYKENTLNKAANSQENYRPIMSESNPTTIALSTSERHEMSHNQSWSPSYMSKTQSSRTKARSLSEPKQRPKKGMRHKNKSIESTDDLSTSLNGPRHSVSSESSHFNRRSFDQWITNLYGSTKDGRHESLGSITVNSDSYY >OIW12702 pep chromosome:LupAngTanjil_v1.0:LG04:25579227:25588582:-1 gene:TanjilG_24635 transcript:OIW12702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIPLWSCTTSSLSSALWSTVKLRYSQVSYRPIVSLHYKNARATSNPHPPSSKSNTQQKKKKKQLKDEGGNLVKPQPASSYKGFGIPRKDKDSVFDSKDQQVEPSNIQSAAFLNAVVKVYCTHTAPDYSLPWQKQRQFTSHNLCSAFMIGGRKLLTNAHCVEHNTQVKVKRRGDDKKYVAKVLARGVDCDIALLSVESDEFWREVEPLRFGHLPHLQVFNYSFLLRNMLAFCFVLLPQFLVIFFEEMDSVTVVGYPLGGDTISVTKGVVSRIEVTSYAHGSSDLLGIQIDAAINPGNSGGPAFNAQGECIGVAFQVLRSEDAENIGYVIPTTVVSHFLSDYEKNGRYTGFACLGVLIQKLENPVLRAYLKVKSNEGVLVRRVEPTSDANNVLKEGDVIVRFDDVRVGCEGTVPFRSNERIAFHYLISQKFPGDTAELGIIRAGTSMKVKVVLNPRVHLVPYHIDGGQPSYIIIAGLVFTPLSEPLIEEECEDTIGLKLLAKARYSLAKFEGEQIVILSQVLKFNGTRIKNIQHLAHLVDSCKDRYLCFEFEDSYVAVLERKAAATASSRILTDYGIPSERSPDLFKPNVNSLQGDPSTEKEFGDIPVSNYEIGVDGLFWA >OIW13250 pep chromosome:LupAngTanjil_v1.0:LG04:17027225:17030598:1 gene:TanjilG_14183 transcript:OIW13250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTLNLVFSMHHPITTTTSPFLNTSSPLFHAPFTLTPNKPLSLTTTRAIDANDFLGDFGARDPFPAELESKFGEKVLGNKDTEHKILIPNISALSLSQQQCSPISPLQTPMSHDDAHKLIRKVVGWRLLQEGGLKLQCLWKLRDYKSGVELINRIFKIVEAEGHFPNIHLEQPNQVRAELWTASIGGLSMNDFIVAAKIDQIKTSDLVPRKRVWA >OIW12529 pep chromosome:LupAngTanjil_v1.0:LG04:26980830:26981396:1 gene:TanjilG_04693 transcript:OIW12529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMAFNKGQHVGKAKKKQVKDELNRLKQAEKKKRRLEKALATSAAIISELEKKKQIKKEEQQRLDEEGAAIAEAVALHVLLGEDSDDSCKVVIDDNGHKTWNCNHNLDVFMAGKRGSFPHLDGGTWSVTAENGEWSFSSGSFEKNMYEPLYEEAGWDTAGFSADLIAAQAARSLQIAEDEDENRILF >OIW13782 pep chromosome:LupAngTanjil_v1.0:LG04:6939866:6940597:1 gene:TanjilG_31671 transcript:OIW13782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSPDVDIGPELKKLKDFTKSFPPELKGLVINNSEAIRTAHNSFARPEPFVPEEQKVAGKDDNVYHFISYLPVDGVLYELDGLKEGPISLDQCTGEQGDMEWLKMVQPVIQERIERYSQSEIRFNVLAIIKNRKEIYTIELKELQKKRERILHQLNVPKSEGLEDNSNVEALNISLSEVNAGIEAATEKISMEEEKFIRWKTENICRKHNYIPFLFNFLKILAEKKQLKPLIEKAKQKTSNPS >OIW13044 pep chromosome:LupAngTanjil_v1.0:LG04:21666269:21669715:-1 gene:TanjilG_17604 transcript:OIW13044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNITSCDSVSFSTENTREDAVKQQLEILGQFNSPNSTSHTSTTTTTTNNNNNYYSNVSNTSSQPLSSAKRKRSLPGNPDPSAEVIALSPNALMATNRFLCEICNKGFQRDQNLQLHRRGHNLPWKLKQRTSTEIRKRVYVCPEPSCVHHNPARALGDLTGIKKHFCRKHGEKKWKCDKCSKKYAVQSDWKAHSKICGTREYKCDCGTLFSRRDSFITHRAFCDALAEENNKGNEGQLSKMDSNLQCQSIPNLVPSLPINTNIIPIPQIGGTASEFNNKNNPLSSLQIPASSKAFNMAIRNSSPSLQLSSNILASHESSSLMSATALLQKAAQMGATVSNNNSNVSTTMAPPSYGLVQLQQPPPNNVYSFMNHYMQQQQHDHISSHYNSFNAHHEMSTGDNAEGIVVGMNGGDMFNVILDQSKALSKIIDQNSRSINNGVLHQTNGGSSGGALNIGGETKGSGDVMTLDLLGIGGGDGGSGENMHGNLNIYGGGAQQDETAAADDFWRNWSTKNAGFGSFSASSSM >OIW12936 pep chromosome:LupAngTanjil_v1.0:LG04:23424910:23425960:-1 gene:TanjilG_15856 transcript:OIW12936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVSCNGCRILRKGCGDNCVIRPCLEWINSPESQANATMFLAKFYGRTALVNLISAAPEHLRTDVFKSLLHEACGRLVNPTYGSMGLLWSGEWNRCEAAVDAVLTGSRILDVAGFDWHATRTHVGGAENIFPTCDIRHVPRARDMDNVGERGRSKRARRNFKATPQLGVVDSPENESMETVEQPLNQGVAETELNLELTLAFPC >OIW13335 pep chromosome:LupAngTanjil_v1.0:LG04:14876291:14878274:1 gene:TanjilG_02855 transcript:OIW13335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYQYSSLVGTQWEQLPKVVGSEQQSFELIKSPFNIDNNPLQTPPNFCSSSTKNLVNFQAQQYDEIKVPDWCFEFPNTTSETYTPMMFSQKACGDNFTNATKQDPPLSQITSSLHSVAESFLSSSVDSHSSQKDSDFGSYAEKYSDFQLENITFYENFPRENDKLLSDDGVADEKSIEISFQQNQLSSSTKPEKQPPTSSNYASRRATTSKGRIRWTNDLHESFMIIVNRLGGPESKFNHTINYTCKKDNASFK >OIW14140 pep chromosome:LupAngTanjil_v1.0:LG04:3720588:3721958:1 gene:TanjilG_21280 transcript:OIW14140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVKVYGTAIAACPQRVLACLIEKGVEFELVHVDLDHGEQKKPEFLLLQPFGQVPVVEDGDFRLFESRAIARHYAAKYAEHGPDLLGTTLEEKALVDQWLNVEAHNFNDLCFNIMLQLVILPKMGQVGDLALAHSCEQKLENVLDVYEKRLSESTYLAGEKFSLADLSHLSGIDHLIEDAKLGHLVTKRKNVNAWWEKISSRPAWKKVKDLVH >OIW13888 pep chromosome:LupAngTanjil_v1.0:LG04:8046967:8049845:1 gene:TanjilG_31777 transcript:OIW13888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYHPKSFPSQSLIRSNSLVHDHHIDCGGSTMDPGNAGNNLTNDPNLSSKQRLRWTHELHERFVDAVAQLGGPDRATPKGVLRVMGVQGLTIYHVKSHLQKYRLAKYLPDSSPDEGKTADKKETGDRLSNLDSSSGMQITEALKLQMEVQKRLHEQLEVQRQLQLRIEAQGKYLKKIIEEQHRLSGVLSADEPGSGVAPSAQGGACHEHDNKTDPATPDPEKAAKDHAPAKSLSNESFSSHHEPLTPDSDCHIGSPAESPKGERSTKKQRVSMEEAAYSKSDMVLPHQILESSMSSYQQPNTVFLCQEQFDPSLRGISSRNGEELEK >OIW12707 pep chromosome:LupAngTanjil_v1.0:LG04:25545915:25552291:-1 gene:TanjilG_24640 transcript:OIW12707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFRFSNLNPIYRNYITTLSSFSHHKTTNSDSSFIFHQIPAFKISRRWHFGHSHNDHDQNHLYQKEGENIFRLGLAADIGLAAGKAFTGYLSGSTAIIADAAHSVSDVVLSGIALWSFKVANAPRDKEHPYGHGKFETLGALGISCMLLATGGGIAWHAVDILMGLYSSSPEMVSQALAHDHVHSHQHGGHHHGIDMDHPILALNMTIVSICTKEGLYWITKGVGEKQGSGLMKANAWHHRADAISSVVALIGVGGSILGVKFLDPLAGLLVSGMILKAGAESGYLSIMELVDAAIPAQHLDPIKQTILQADGVEGCHRLRGRRAGSSLYLDVHIEVDPFSSVSAAHDIGENVRRHIHKSHPNVAEVFIHIDPAMSHGSSCTTDQQDSWSRNMDRDSVVPAGDSNIKGIVSKIISTNFQQMSVERITRHVFQGKIVLQIEVSMPPDIQIRNAEEMAQQAEKEVLKAVSNVSHVGIQLRLGQPFPQNSQT >OIW12677 pep chromosome:LupAngTanjil_v1.0:LG04:25745739:25746090:1 gene:TanjilG_24610 transcript:OIW12677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTHLKTVKLTLKGKNPVTLDHLSVRGNNIRYYILPDSLNLETLLVEETPRVKPKKPIAGKSLGRGRGRGRGRGRGRGR >OIW12728 pep chromosome:LupAngTanjil_v1.0:LG04:25338333:25339697:-1 gene:TanjilG_24661 transcript:OIW12728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAHHSRRDHILPTRFFKFILNTNLQKIKIPNKFTKIYGGGISNPVFLKPPDGTEWKVHWTKKNGEFWFEKGWKEFTENYSLYHGVLVMFKYEGTSHFDVNILDHSSLEIDYPSYHTCKEEQNLDHSVHESVRSPVPLASAQPSKKRKGEEATPTSLSMNWPRDPRAQVLAKKFVSKSHNPFFTKLIKPVNVVESSMSLPNMDGYFEKKNMNVTLEHGERSWKVKLLGSNNSACRRFSAGWCLFASESELQPGDVCIFELINREDVVFKVHVFKG >OIW13761 pep chromosome:LupAngTanjil_v1.0:LG04:8520623:8536721:-1 gene:TanjilG_17940 transcript:OIW13761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFSGDPSNRKRVDLGGRSSKERDRKNLLEQTRLERNRRTCLRQQNSAALKIQKCFRGRKVVRTEQSKLREKFYTLYGKNHLNLDRNAFGPDSDFLCQFLFFFNAKNNDDFLVLVQICRLLQQFAQESGDVVRLFAGVDYSSTHALVSYRVKQFVYTCIRAVHQNRNQLKDQLLSTPNDSAASAIPLLEVLVLLLDLKLPWSCKIVGYLCQNNAFGLLREIILTGKDNADNYIDSGKGSSLERMLTIVICHIGQKPCICSQIDPRYSFSIQILTVPFLWHVFPNLIQVFAAQGLSELYFHQMAMFVPNLIDFLPKDVSDEFPTYACLLGNILQTAGITLSHSDCSFDMAVDLAAVTAFLLEAHPSLKTSDSGENSLISEDDMAGDDEVMEVALDRKLEQQICGSIDTRFLLQLTNILFRDSSSINGENGPDDREVAAVGAVCGFLYVTFNKLPLERIMTVLAYRTDLVPMLWKFMKRCNENKTWSSLSERLSHLSGDAPGWLLPLAVFCPVYKHMLMIVDNEEYYEQEKPLSLKDIRSLVIMLRQALWQILWVNHTSSSNSVKSFPYSTASKRQSVEAIQQRVSVVVTELLSQLQDWNNRRQFTSPTDFNADGVNDFFTSQAAIENSQANEILKKAAFLIPFTSRVKIFTSQLAAVRQRDGSHSVFTRNRFRIRRDHILEDAYNQMSRLSEDDLRGLIRVTFFNEFGVEEAGIDGGGIFKDFMENITRAAFDVQYGLFKETSDHLLYPNPGSGMIHEQHLQFFHFLGTLLAKAMFEGILVDIPFATFFLSKLKQKHNYLNDLPSLDPELYRHLIFLKHYKGDLSDLELYFVILNNEYGEQTEEELIPGGKNLRVTNDNVITFIHLVANHRLNFQIRQQSSHFLRGFQQLIQKDWIDMFNEHELQLLISGSLDSLDVDDLRLHTNYAGGYHSDHYVIEMLWEVLKSFSLENKKKFLKFVTGCSRGPLLGFKYLEPLFCIQRAGGTASDEALDRLPTAATCMNLLKLPPYISKEQMETKLLYAINADAGFDLS >OIW13543 pep chromosome:LupAngTanjil_v1.0:LG04:10404937:10408081:1 gene:TanjilG_29284 transcript:OIW13543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQVKLTIANDVTELIGKTPLVYLNHVVDGCVARIAAKLEMMEPCSSVKDRSFGTMEPVFRHRNRVIDAHASNPSQAIKFVGFIWIGYSMIADAEEKGLIKPGESILIEPTSGNTGIGLAFMAAAKGYKLIITMPASMSLERRTILRAFGADLVLTDPAKGMKGAVAKAEEIRDKTPNSYVLQQFENPANPKVHYETTGPEIWNSSNGKVDALVSGIGTGGTITGAGKYLKEQNPDIKLLGVEPVESPVLSGGKPGPHKIQGIGAGFIPGVLDVDLLDEVIQISSEEAIETAKILALKEGLLVGISSGAAAAAAIKIAKRPENAGKLIVVVFPSFGERYLSSVLFESVKREAESMVFEP >OIW13568 pep chromosome:LupAngTanjil_v1.0:LG04:10627864:10636910:-1 gene:TanjilG_29309 transcript:OIW13568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGSVENTGYEYDVSGLSGPIMIPKRFVWPYGGRRVFLSGSFTRWSENIPMSPMEGCPSVFQVVCSLTPGYHQFKFNVDGEWRHDEHEPYVSGNYGVVNTIYLVRQPDISPTILSAETPGRSHMDVDNDVFGHVEANPRIPESDLEISRHRISTFLSTHTAYELLPESGKIIALDINLPVKQAFHILYEQGVSMAPLWDFDRSQFVGVLSAMDFILILKELGNHGSNLTEEQLETHAISAWKEGKFQQNSVLESNGGSHPWRFVHAGPHECLKDVALKALQNKVSTVPIVHSDSEDGSFPQLLHLASLSGILKCICRHFKYSSGSLPILQLPIGSIPLGTWVPKVGEPNGQPLAMLRLNASLGAALSMFVQAKVSSIPIVDDNDSLLDIYSRSDITALAKDKAYARISLDDISIHQALLLGQDANSPYGLYSGQRCHMCLRSDSLHKVMEQLAKPEVRRLVIVEAGSKRVEGIISLSDVFKFLFGIG >OIW13208 pep chromosome:LupAngTanjil_v1.0:LG04:18438429:18446442:1 gene:TanjilG_17651 transcript:OIW13208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFLRNSSSQPEIDDATAGNDVGFHSTRGRFPFKRNPNHHRHRSFSDRQLPRTNTTTNTNTNRSHLHNRFTRKGFLWLFPFFFFKGKFQFYVMIITVVFLFALASMVMQSSIASVWQRNEHGRDLRKELKFGNTLKFVPGRVSKRFLSGYGLDEVRSQPRIGVRAPRIALILGHMKIDPQSLTLVTVVQNLQKLGYIFRIFAVGNGRAHSIWENIDRGLSLLSTEQQGQIDWSIFECIIVDSLEAKEAISSLMQEPFCSVPLIWIIQEDNLSSRLPFYDKMGWEHLVSHWRSAFSRANVVVFPDFTYPMLYSELDTGNFFVIPGSPVDVWAAESYSKAHTKDQLRELSGFGKNDMVVLVVGSSIFYDDLSWDYAMAMHSIGPLLTKYARRNDAAESFKFVFLCGNATDGYDLALQEVTSRLGLPHGSIRHYGLNGDVNSVLLMADIVLYGSAQDVQGFPPLLIRAMTFEIPVITPDLPVLRKYIVDGVNGIFFTKHNPEALMNAFSLLLSNGKLSKFAQGIGSSGRQLAKNVLALECITGYARLLENVLSFPSDALLPSPVSQIQHGAWEWNLFQNEIELGVHLPKVDDDDSIGKVTIVHAIEKKLASLNYSTSVPENETEFTDKITKLDWDILSEIEISDENEMLEMEEVEERMEKDTGVWDEIYRNARKSEKLKFEANERDEGELERTGQPVCIYEIYDGSGVWPFLHHGSLYRGLSLSRRAQRQRSDDVDAVSRLPLLNDTYYMDILCEMGGMFAIANKVDSIHKRPWIGFQSWHAAGRKAALSTEAENVLEETMHGYFKGDVIYFWGRLDMDGGVIGSNNALTFWSMCDILNGGNCRTVFQDAFRQMYALPPHAEALPPMPEDGGYWSALHSWVMPTPSFLEFIMFSRMFVDSVDGLHRDSSELRICMLGSSEIEKKHCYCRVLELLINVWAYHSAQKMVYINPNTGSMEEQHPIEQRKGFMWAKYFDFSLLKSMDEDMAEAADDGDHPRDMWLWPMTGEVHWQGIYDREREERYRLKMDKKRKTKEKLYDRMKNGYKQKPVGR >OIW13823 pep chromosome:LupAngTanjil_v1.0:LG04:7491625:7495105:1 gene:TanjilG_31712 transcript:OIW13823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECSVDQVEQHGQLGNNTAQPTWDAWSHRQAGTKQKITSNFVVFTFLGSSSKPESQSNQHKARTFTVSYLINSCGLSPELALKLSKRVKLKNHDGPNAVLDLLKSYGFSKTQLSIFIKRLPKVLVAEPDKTLLPKLKFFESIGVSKTDLPKILIGNSSLLTIGLKNNIIPRYNVIRSLVRSDEEVVSTLKHGPRYFHGYEVINDSVQNVEVLRLLGLPQSSISLLVTNFPSVVFMKHSRFNEAAETVKEMGFDPTKTNFVIALQVFAKMDKAMWNAKLEVFERWGWSRDICLLAFKKYPQYMMVAEKKIMKTLGFLMENMDCSLEDIARCPAILNRNLEKTLIPRCAVVKVLKSCGLVKNDLLIGSFMLISEKTFVEKYVTRFQNNVPLLLDVYEGKKLD >OIW13023 pep chromosome:LupAngTanjil_v1.0:LG04:22256242:22262283:-1 gene:TanjilG_15472 transcript:OIW13023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNGTSRVVGCLVPFNGKGGVDLEFLEPLDEGLGHSFCYVRPSIFESPAITPSNSERYTLDSSTLDSETLSGSFRHDSMEHSSGLHKLGKNCPETTFKTISGASVSANVSTARTGNQSALFASDALEPAASFEGTASFAAIPLQPVPRGSGPLNGFMSGPLERGFASGPLDKGGGFMSGPIEKGVMSGPLDDNHKSNFSAPLARGRRRPRLQNLMRSVSGPMKNTFSRTFSKHSAGGGWMQRLLFHPVTQLAWHSKETKFRSEVARNCVEVGSSEMEYRNTHNLQWAQGKAGEDRVHVVLSEEQGWLFIGIYDGFSGPDAPDFLMSHLYRFIDKELEGLLWDYEDNPVDPHPCEPEVPKTVKPMPAPVSSREEHSDTHSNSNQGILESSSGSVMIKDQSSNSEIVEENAENRLPNCGSSSISCTIPTSVPPLGQLPSKGRKSVRLYELLQMESWDEQGLFSVSEQGKDSSVPRESTLEESVRPSSSNLNEESSKDQDEDPSTSGKNGGIRFGASNQEPVSAFSVSGQRQNSRKSFISSKIRKMYRKPKALCKKLFPWSYDWDRDETFVDEKLVEASGPIRKCKSGVDHNAVLRAMERALERTEEAYMEMVENNLDRNPELALMGSCVLVMLMKDQDVYVMNLGDSCVILAQERPNDRHPNPSFVKDDARHKNRSRESLVRMELDRISEESPIHNQNSHVNRMNKNREISMCRLKMRAVQLSTDHSTNTEEEVFRIKAEHPDDNQAILNDRVKGQLKVSRAFGAGFLKRLSFNEALLEMFQVDYVGTAPYLSCTPSVLHHRLSSSDRFLVLSSDGLYQYFSNEEVVAHVTWFMENVPEGDPAQYLIAELLLRAAKKNGMDFHELLDIPHGERRKYHDDVSVMVVSLEGRIWRSSG >OIW14163 pep chromosome:LupAngTanjil_v1.0:LG04:3322235:3322726:1 gene:TanjilG_21303 transcript:OIW14163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILKAIEALNEENGSNKSSISKYLESTWGGLPQGHKALLNVHLAKLRDNGELVFWKNNYTKRDPNAPTRRGRGRPPKSKDPLAPDTVVASPKPRGRPPKDPNEPPRPPKVKTPNGSSRPRGRPRKIARPTRGFGGTPIVSVAGSRRPRGRPPKMKAPFTEITV >OIW14123 pep chromosome:LupAngTanjil_v1.0:LG04:4056442:4058126:1 gene:TanjilG_21263 transcript:OIW14123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVEDSVPSTEIVGSVSDVNLFNRWSYAEINVADGSLVDYIGVSATKHAIYVPHTAGRYSAKRFRKAQCPIIERLTNSLMMHGRNNGKKLLAVRIIKHALEIIHLLTDQNPIQVVVDAVVNSGPREDATRIGSAGVVRRQAVDISPLRRVNQALYLLTTGAREAAFRNIKTIAECLADELINAAKGSSNSYAIKKKDEIERVAKANR >OIW13004 pep chromosome:LupAngTanjil_v1.0:LG04:22504076:22506494:-1 gene:TanjilG_15453 transcript:OIW13004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHTTPRKKDIDFDLESGGSTSEEDSSNDLCVSERDPKSALTWSLNGILNFDGSDKPESGVESCSNLAKSDDVVVVYDDENLELLVDKDLEHVQDQEHLSLLNNGNHGIHKTKLVNPRKPPKPPLPPKGPSLDAGDQKFVKELAELALRKRARIKKMKATRKMKAVKPSSSSSSYANLSAMVVTVFFFLVIIFHGIKSANSAAFGVMASPEASVASDDGLISVQYPKNFTNESDAAGSQYTSSAGKVMRKF >OIW13786 pep chromosome:LupAngTanjil_v1.0:LG04:6974547:6977037:-1 gene:TanjilG_31675 transcript:OIW13786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIEEGVVDFNNLPEGCIANIVSLTTPRDACRLCLVSSTFRSASESDAVWDKFLPSDYQTIISQSSSSSSSSFPSKKHLFLFLSQNPLLIDEGKMSFQLDKVNGKKCYMLSARMLYIVWGDTPRYWKWVSHPDARFSEVAELVSVCWFEIRGWINTMLLSPNTLYAAYFVFKPNPVGAYGFEYQSVDVSITIDGGEARDRTVFLDAERGRRLRYQIVPRRGGIFNRTRFVPVVEDNNNAADDLQCPKEKGDGWLEIELGEFFNEGGEDKEVEMGVYEVKGGDWKGGLVVQGIEIRPKLNH >OIW13488 pep chromosome:LupAngTanjil_v1.0:LG04:10810061:10814802:1 gene:TanjilG_01056 transcript:OIW13488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVDCEGRHEGHCIGSAFNVKGAMQCPNCRNIEKGQWLYANGCRSYPEFSVDDWTHDEDLYDLSYSEMSFGVHWCPFSNMTQLPSSLEDGEFSRTAYADHEMLGQNAIFAEHTALSSGSHPCPYIAYIGPIHPSTSNPGATVSEASNFNHWSGPPVPGDMLTSYTFPAADLHYPSWEHHSSHFSSATGRLGAPADQPSVSPGTQRPARGGSEVLRPGSLMHPFLVGHSSAARPGSSVTSSMIPPYPGSNARAHGRDQALQAYYQPQQPPNSTTMRTPVTRRSSTHSGPAQLAPVTLSTDHNGGFFFVPSGSSGHHFQEETHLPSHFHAWERDQLPSLPLNHIDRDPSRRAYHQMASMSDPGIRSNSFRLRHGPERMPSQNR >OIW12505 pep chromosome:LupAngTanjil_v1.0:LG04:27118715:27120653:1 gene:TanjilG_04669 transcript:OIW12505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKSLKITGIDDRRYWNYIPTEESRFKNVAYLQQMWWVEVVGELEFEFPVGSYSIFFRLQLGQAHKVSGRRVCNVDKVHGWNIKPVRFQLSTSNGQHSFSEFYLRGPEEEWVHYHVGDFVVEKPNEPTKVKFSLAQIDCTHTKGGLCLDYAIISPIEFRERLKQF >OIW14096 pep chromosome:LupAngTanjil_v1.0:LG04:4558973:4559668:-1 gene:TanjilG_19475 transcript:OIW14096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRKKLELTYIASDSKRNATLKKRRNGLWKKINEITTLCGIEACAIISTPDDLQPEVWPSHLGVQKVLYKFSRIPEMEKSKKMFNQENFLSQSIIKAKEQLRKLRNENRKKEMSLLMFQCLSSDNSSNNVNMTNLSDLSWLIDLTLKEIDQNITKNQPQQDALVTTNGGEAMKGENTSLDSHVQGMMQITMDAMQKQNLAMDSINGSGNLMIPTIEFGLSNGMVWNEHYLH >OIW14339 pep chromosome:LupAngTanjil_v1.0:LG04:231142:232767:1 gene:TanjilG_25125 transcript:OIW14339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANDVESLFSTTNRDFLISNNDHEVKIESLKGKKVGIYFSSSWCGPCRKFTPFLVEVYNELAPKGDFEVIFVSADEDDESFKAYFSKMPWLAIPFSDSDTRNHLDELFDVKGIPHLVLLDETGKIVTDCGTEVIREFGVEGYPFSSERIQELNDQEEEDKKNQTLTSILTSPSRNFVISSHGKKILVSDLEGKTIGLYFSLTPDKAYDDFTPRLVEDQSCEKLARYFELSAIPTLVIIGPNGRTLHSNVVEFIEEHGIDAYPFTPEKFDELIGIQKAEDASQTLESILVSGDEDLSLEKMEKGKGSKDDAKEEKKSKDEWVCDGEVCFKA >OIW13423 pep chromosome:LupAngTanjil_v1.0:LG04:12062299:12063842:1 gene:TanjilG_33072 transcript:OIW13423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLKLQKRLAASVLKCGRGKVWLDPNEGNEISMANSRQNIRKLVKDGFIIRKPTKIHSRSRARRIKEAKRKGRHSGYGKRKGTREARLPTKILWMRRLRVLRRLLRKYRESKKIDKHMYHDMYMKVKGNVFKNKRVLMESIHKSKAEKAREKTLSDQFEAKRAKNKASRERKHARREERLAQGPGEKPAAAASAPPVTASQPAQ >OIW13864 pep chromosome:LupAngTanjil_v1.0:LG04:7889141:7889482:-1 gene:TanjilG_31753 transcript:OIW13864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCICHSQQNIDGRVIEIDQREMDVDGSYIVISRKLHNGEVYNLEPPLLRQSVKATSDNRNLKTRSLKIVMTMEQLKLLLSGSNKLHIKTKVAHIRRKWLPSLPTILEEQND >OIW13787 pep chromosome:LupAngTanjil_v1.0:LG04:6980332:6983548:1 gene:TanjilG_31676 transcript:OIW13787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSMNCLPCFTSQKSKKSNSKREHGSTPPENAMAKAPDIKKPRSDEPNQVFDPANINAQNFTFRELATATKNFRQECLIGEEGFGKVYKGIIPATGKVVAVKQLDRNGMQDSKDFLVEVWALSLLHHENLVNLIGYCADGDQRLLVYEFIQSIPLEDRLFEKKDDEPPLDWYNRMKVAVGAARGVEYLHDSANPPVIYRDLKASNILLDENMNVRLIDFGTAKFSGGDNKMTPSPARVMGTYGHCAPEYVRTGQLTIKSDVYSFGVVLLELITGRRAVDTRRPNDEQNLVSWAQPLFRDPKRFPDMADPHLSKQFPEKDLNQAVAITAMCLQEEPEARPLMSDVVTALSFLSVVPPANAIPPSLPPAVLVSKHSEGASESESEYESGSESESGKESRKRYSSKKGSSKYQDGASSKYQESDVSDIEDTMGSKKFHSKSSRKSSARSRNGTITSESEDGSASSTNQSSRKSHKNLSQKSTRKSSTRELSQKSSKKTSAKDLRQKSSRKSSIKDISSKSSRKSSVKVLSHKSSMASNEDGSIFLTQNSTKSSMESDHGGYAFRRNSSRISQGNISSGLTSSGSFHSDNSNSKRSEEERGSMHYHASSMGSDEGSGHHFDE >OIW14068 pep chromosome:LupAngTanjil_v1.0:LG04:5073998:5074711:-1 gene:TanjilG_11413 transcript:OIW14068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAEGILKLFDSYWFGLQNLKEHLSLSKTTNSHENSDDHQIIAEPLEPKLSTIQSSHTRSMSDQLSSMTCFKDDSFSPDSVLFSPKLQTILSGKDVTDIVAENQAQVQIEVLLHNKKNTTFKGRKKRESKSLSDLEFEELKGFMDLGFVFSEEDKDSSLASIIPGLQRLGKNGEVEEQDSDVSSIPRPYLSEAWKVQERRKKENLFMNWKVPVLNNENDMKDNLRLWAHTVASTVKL >OIW13081 pep chromosome:LupAngTanjil_v1.0:LG04:21411306:21420668:1 gene:TanjilG_08114 transcript:OIW13081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLGIITLILIGFGLGVVAVIGAEAFGVLWIMKRLRHKANKEQSKFSKLAASSATDLDPNQSINFASKKQGFLWVLESEKVSKLGLETQLIEQKRKKELLEVSPVKIYGQIKSQSLILREPEGSHVTIELKGCTVQAVSASSLSSRKWAKKFPIKVESKTSVIYKGSKTLYIYLETSWEKEAWCKALNLASCDQQEKIQWFTQLREEFHSYLTSLNSVYHSIIKPSVGTGSSFEAIERASKPDGTSSKVRQFLKKLSKRTSRVSSENISTWTSLPGIEEKKNTEKLRACIDAVLATGHMKTASTAKQFKSSMVEDAPTLSSKSSHSASQNHHSNIPGSDFDEKFGIDEGTLCWNLLISRLFFDAKGNAQLKKFMVARIQRTLSNMRTPSYIGEIICTDINPGSVPPCIAGMRVLPMEMSEVWALEVDIEYSGGLILEIETRLEVRELELQKGTEDSNPESNNVGTVPSDLLEGVAYFGKELDIAERRNDFQEQNEDDDRITDESKSYKSTMPSSTHGSRLKSIYNSVAKQVSQVPLSLAIRMTSLRGTLRLHIKPPPSDQLWYGFTSMPDIDFNLESSIGEHKIGSGHFALFLVNKLKASIRETLVLPNCESVCIPWMLAEKDDWVPWNVAPFIWTNQESGNETSTSIDTSNQRSSGVEASASTSSNGPEHTHKKSKSAESSKEPARKSSDSPPVPSSSYGSLIPETSGSLEELAVPLLDKDRPQETRDLIELRTPSVQSDKGDETSEQKMGYNSEVQKQNHSIEQEDGVPKKMGRKERMLDLRKKMSEKLEEKRRNLEEKSRHIVEKMRGS >OIW13943 pep chromosome:LupAngTanjil_v1.0:LG04:5862549:5867007:1 gene:TanjilG_09294 transcript:OIW13943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGCFPCFGSSKNEDNNNGVKEVVAKKESFKDASIPQSQYPTRVSSDKSKSRSGSDPKKEIPVVKDGPTAHIAAQTFTFRELAVATKNFRPECLLGEGGFGRVYKGRLESTGQVVAVKQLDRNGLQGNREFLVEVLMLSLLHHPNLVNLIGYCADGDQRLLVYEFMPLGSLEDHLHDLPPDKEPLDWNTRMKIAAGAAKGLEYLHDKANPPVIYRDLKSSNILLDEGYHPKLSDFGLAKLGPVGDKTHVSTRVMGTYGYCAPEYAMTGQLTLKSDVYSFGVVFLELITGRKAIDNTRSHGEHNLVAWARPLFKDRRKFPKMADPLLQGHYPMRGLYQALAVAAMCLQEQAATRPLIGDVVTALTYLASQTYDPNAPNLSNRLGGPSTPRSKDDRRNMGDGVDSPDHGRLGSPSTHRNSPDYRKRDGRDPSSGTELGRSVSNGGSGRKWGLDDVERQESQRDSPVNTGRARETPRNRDLDRERAVAEARVWGENWREKKRANAMGSFDATN >OIW12575 pep chromosome:LupAngTanjil_v1.0:LG04:26647342:26651148:-1 gene:TanjilG_04739 transcript:OIW12575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALISSPLIQSPVAPVTVMSGGPIANSSLYVGDLEGNVNEGQLYDLFSHVAQIVSIRVCRDQVKRSSLGYAYVNFATAHDAANAMELLNFTPLNGKPIRIMFSQRDPSIRKSGYANVFIKNLDTSIDNKALHDTFNAFGMVLSCKVAVDSSGQSKGYGFVQFDSEDAAQNAIKELNGMLMNGKQVYVGLFVRRVERARANGSPKFTNVYVKNLSETHTDEDLQQLFGPYGTITSATVMKDANGKSRCFGFVNFQSPDSAAAAVERLNGATINDDRVLFAGRAQRKSEREAELRAKFEQERISRFEKLQGANLYLKNLDDSINDDKLKDIFSEFGIITSCKVVLDPHGNSKGSGFVAFSTPEEANKALNEMNGKLIGKKPLYVAVAQRKEERKARLQAQFSQIRAPSGMAPLPAGIPGYHPGAPRLAPQQLYFGQGTTGFIPPQPAGFGFQQQIMSGMRPGVAPNFIMPYQLQRQGQPGQRMGVRRGGNLQQVQQNQMLHGNSNQGFRYMANGRNDIDPSVVPQGLAGPMMAMPFDGSGVTATPIDNQPPGALSATLASALASATPENQRMMLGEHLYPLVERLTSNQHTAKVTGMLLEMDRSEVIHLIESPEDLKIKVSEAMQVLHEAASGSEMGDQLGSLALNN >OIW13055 pep chromosome:LupAngTanjil_v1.0:LG04:21885473:21893087:-1 gene:TanjilG_17615 transcript:OIW13055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRVTHPNLRTLVILSLLAIASAKVFFEEHFDDGWESRWVKSDWKADEKLAGEWNYTSGQWNGDANDKGIQTSEDYRFYAISAEYPEFSNKDKTLVFQFSVKHEQKLDCGGGYLKLLSGDVDQKKFGGDTPYSIMFGPDICGYTTKKVHAILTYNDTNHLIKKDVPCETDQLTHVYTFILRPDATYSILIDNVEKQTGSLYSDWDLLPAKQIKDPEAKKPEDWDDKEFIPDPEDKKPEGYDDIPKEIPDADAKKPEDWDDEEDGEWTIPTIPNPEYNGPWEAKKIKNPKYQGKWKAPLIDNPEFKDDPELYVFPKLKYVGIELWQVKSGTLFDNVLITDDAEYAKQLAENTWGKQKDAEKAAFEEFEKKTEQEEKKDDPAESDAEEDEEDADDATDSDADSKTEAGGDGEAKEEDAHKEAKILFLGLDNAGKTTLLHMLKDERLVQHQPTQYPTSEELSIGKIKFKAFDLGGHQIARRVWKDYYAKVDAVVYLVDAFDKERFAESKKELDALLSDEALATVPFLILGNKIDIPYAASEEELRYHLGLTNFTTGKGKVNLSDSNVRPMEVFMCSIVRKMGYGDGFKWLSQYIK >OIW12535 pep chromosome:LupAngTanjil_v1.0:LG04:26950162:26952912:-1 gene:TanjilG_04699 transcript:OIW12535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLKCETTTFLQPSTSDHNHKGSKTSYTIQFLLSLSKVDTILKLPTEFHLSVSCSAFQDESGLLSSPSFCAPDSRCYKFVPQNSHVKVPDDVNHLLHRSDEPYLPPCRYKALPPSSRDSNNVVNCTISGSSECRNQEKTEQEMWRKDTVRQPTEDKWHSSENTDSKECQEVLSMSSLAGNLKSLQSTSNSFTEQSDASTKLNMIQEAVTGDALQPTILPETTKNCATKCQPVQDLLTNESVNLWQKSVHHIDTSWHFLSVLDDGMHLMESEAYSNFDVEHPEGTSHVIESLNKSSPSSILSQESCRNTKNSHCEVSYEKDIMELQLSELSTISACDGYPGRSTIDGDISEASILFPIEDGKHLQGVKHATSVNMKQNPSSPGFQIQQDFELNSSSSNLNGKPYSSSELCLPDGDSLIAFDEPFLMADEPFDKALSSPARNPIEPDAFSPSSPGDMIVKLIESILKDDSPPPHLDDPKVNHVAGIEDFGHSLCAQKSRTQLHSNQFNPRGHHSFQLNNIDPAGFSFFSYHSFITNTMSQPFQMASCSSKLNATLNSTSGYHQSSYADFIRPKLDSCGESNIKQAAVVDSLADMKLRAGGFE >OIW13478 pep chromosome:LupAngTanjil_v1.0:LG04:10897843:10899557:-1 gene:TanjilG_01046 transcript:OIW13478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKLEKRSGKKSYILSARELSVAWSSDPMFWSWRSTPESRFAEVAELRTTSWLEIEGKIRTKILTPNTLYGAYLIMNISHRAYGLDFAPCEVSIVTEKKVEKGKTYLYHKEENQLKMETLVKEEDNEGIPYPSKREDGWMEIELGEFFSGEGNVDVKMSLREVGYRLKGGLVLEGIEVRPKQV >OIW12645 pep chromosome:LupAngTanjil_v1.0:LG04:26020046:26023408:1 gene:TanjilG_24578 transcript:OIW12645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTGIVRDQNLEKHIHKQMGCMSGFLHIFDRHQFLTGKRIQSPKRLPPSAPPALDSSPETEKHGDSPVVTTPSPERVKQSPAREPQSPSTPSKATLPLRVLEFKEGTRSSWKFSREAPRLSLDSRAVVDAKGSLHPREIPTENDVEKQRRSTSVIVRLMGLEPLPDSDPEPIKKVQLRRSASESRVSRDLPQYRFFDTSNNFQLKQSQQQNSQGIISSNNYSVIDNGRVGTEFCVRNGKVDGAKSSPRHNKGGVIMGQKKSFYDSTDFFPDPKHTGEIEKRLKLRGIDEPSKDLDTLKHILEALQLKGLLHSNSTNFTLSTRDSPIVVMKPTRSFSGPSFRVRRSASPVNEARRIERSEVDRNIRTQVRGKNSSSPSSSPNRRRVNDGGDSRRVSPVNSPRIMNSSRRNAQVASGSPRTRKVIHKEEKVVGEDELSTVSESSFSTSSRIDMERYRLEEYREGRNLLDRCDKLLNSIAEITAANELQPSPVSVLDSSFYRDDSCSPSPITKRCIDYKEFGMESEDEMWSAVLCSSETKSEEASSEDCDFAYVSEILRACSYMPEDIDIFLLLEKQQSLKGKDTSKASKLQRRLIFDTINEILNRTQQLPPWKAVSLGEKRHRIWLEFRRIREREESEELFEVICGVLKKDMAEEMSGWGEWTMEMGDVVLDMERLVFKDLIAETIQQIASFGDNNSNLVSALRRKLMF >OIW13276 pep chromosome:LupAngTanjil_v1.0:LG04:16046140:16057953:1 gene:TanjilG_25755 transcript:OIW13276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEREGNDCVVREKSHLTLDDDEAPNLSSTLWLERVGEVILTFNQDGLTWKLMESLGNDDSICFGIKYVSKVATEIRISDIYAVELTDHDLVHISNLPHANEHLFVGHEKKMYRFTVHGFTRSKNQPSQLILVEYTFGHKNLQMCQMWVNQLNASLNLEVGRPRNLLVFVHPRSGKGTGCRTWETVAPIFSRAKVETKVIVTERAGQAFEVMSSITNKELNSYDGVVAVGGDGFFNEILNGFLSPRLKAPNPPTPSDFVHLVKDTGDSLVRDENETIAETSNDSEDQFPLVSTLEQSGSRISYSCSEDMDPEFPIPNERFRFGIIPAGSTDAIVICTTGARDPITSALQIVLGKRVHLDIAQVVRWKTTPMSEVEPYVRYAASFSGYGFYGDVITESEKYRWMGPKRYDYAGTMVFLRHRSYEAEIAYLDVQSDETNLTSKRNRQGSLLRALGAPHKPERYICRVNCIVCNEKPDVATTGVSDLTPHLYSEKRRWVKSKGRFLSVGAAVISCRNEKAPDGLVADAHLSDGFLHLILIRDCPHASYLWHLTQLTRRGGSPLNFKFVEHHKTPAFTFTSSGTESVWNLDGEIFQAHKLSAQVFRGLVCMFASGPEV >OIW12545 pep chromosome:LupAngTanjil_v1.0:LG04:26889568:26895772:1 gene:TanjilG_04709 transcript:OIW12545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGFWNIFCGESSCSSGEGKQCSFDYKFLIDPFTCINHFFIICIDVLLLSMISFTVIKKSNHKPFQRLMRLQSYSKLHLLSAIANGSLGLIHLCSSIWILEENLRKTGTALPLDWWLLEFIQGLTWLLLCLTINLQLNQLQRAWLLLFSVLISLVSGILCVLYLFYANSSNGLSLKVALDVLSFPGALLLLLCIYKESKYGDTDRENHESLYAPLNDESNIIDSISDVTLFSRGGFFNRMSFWWLSPLMKRGREKTLQDEDIPKLREADRAESCYLMFLDQFNRQKQKELSSQPSVLRTIIMCHWKEILISGFFALLKVITLSCGPLLLNSFILVAEGHESFKYEGYVLTISLVFTKIIESLSQRQWYFRTRLIGLKVRSLLIAAIYKKQLRLSNSARLTHSGGEIMNYVNVDAYRIGEFPYWFHQTWTTSVQLCIALVVLYRAVGLATIATLVVIALTVLCNTPLAKLQHKFQRKLMEAQDERLKASSEALVNMKVLKLYAWETNFRNAIERLRNVELTRLSVVQLRRAYSNFLFWASPVLVSAASFGACYLLDVPLHANNVFTFVATLRLVQDPIRTIPDVLGVVIQAKVAFARIVKFLEAPELNSENVRKRYINDHNRGSILINSADFSWEDNVTKPTLRNMNLEVRPGQKVAICGEVGSGKSTLLASILREVPRTRGTIEVYGKFAYVSQTAWIQTGTIRENILFGSTMDSQRYQETLHRSSLVKDLELFPHGDLTEIGERGVNLSGGQKQRIQLARALYQNADIYLLDDPFSAVDAHTATNLFKEYIMEGLSEKTVLLVTHQVDFLPAFDTVLLMSDGEILQAAHYYNLLTSSQEFQDLVNAHKETAGSDRLVDVTPSRKLSNTAGEITKTYMEKQYEASSQGDQLIKKEEREKGDRGFEPYLQYLNQNKGYIYFSVAVLSHFTFVIGQILQNLWMATNVDNPHVSLLQLIVVYLLIGFISTIFLLIRSLVAVALGLQSSKSMFLQLLNSLFRAPVSFYDSTPLGRILSRVSSDLSIVDLDVPFSLVFAVGATATCYSNLAVLAVITWQVLFVSIPMIYIAIRLQRYYFATSKELMRLNGTTKSLVANHLAESVAGAITIRAFEEEDRFFAKNLDLIDVNASPFFHSFAANEWLMLRLETISAVVFAAVALSMVVLPPGTFTSGFIGMALSYGLSLNTSLVFSIQNQCTITNQIISVERLNQYMHIPSEAPEVIEGNRPCANWPVAGKVEIHDLKIRYRVDAPLVLRGITCTFEGGHKIGIVGRTGSGKSTLIGALFRLVEPAAGKIVVDGIDIASIGLHDLRSHFGIIPQDPTLFNGTVRYNMDPLSQHSDQEIWEVLGKCQLREVVHEKEDGLDSSVVEAGANWSMGQRQLFCLGRALLRKSRVLVLDEATASIDNATDLILQKTIRTEFADCTVITVAHRIPTVMDCTKVAAISDGKLVEYDEPVNLMKREGSLFGQLVKEYWSHFQSAQSH >OIW14322 pep chromosome:LupAngTanjil_v1.0:LG04:406497:409025:-1 gene:TanjilG_21462 transcript:OIW14322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKVYEELDEAKTEIEKLKAELRDKTDSFERLKKSHDVYVNQKQEAKCKAEKLDEELRQKADEIAEGKQMYEDVKVNLHRKESIIKDLSAAIDKLRADCDEKFRMWEHERGGLVSALEEANEKAEKQEQQIYVYRQEIESLRGCLSVSKKKCSKMEKDLKASIERSERDEMFHKLEEEKRKLEDQLKWKKEQFKHLEEAHEKLRDQFRSSKKEWEMDKSALLDEISSQQIKLDSQIRISEDLRHRLQSCNQALAHVESQKKRLEVEVSDFKAQFDNGSSEYRGARFQLDSSNSQHDEDIAELRYSLTTKEAKYKESKYRTKKLEQENQELKMSIKELQEAQIQAYSHSKLRSKLRNLEQVHQECAPALQAKEAEWKFQLEQLTGELNSCRSALETKITAIEGVEMDLKRSQKECASILKAKEAVWNIQLKQLAGELNSCQSELETKVAAVEELKMELERSHSLTIEMKLLNEEMSVMLLVLRQGISEAQLELKDAALISARNGINEEHERAACLTRQVESFEFNMELQHSLQIEIDRYKEMLDESTMCQQRLEEKVMKMEHDSKEQIEEVLDALDSANIELHERICERNEMELELQIWKSFVERSQSDLEESHVMRKELETALLAQVDDGERLKQNVNELTQQVTSLEYQFTNSFATFSSQIAKKEAEINLIHEACDKITACQTLAAFEIEEKKLMIAELEDDDVHDIQQKLKSKQLEVKELFNDQTETKLGKSNALIQKLKTENRNLLENAARLSLEKENLLSFVQGLDDKVCEFSTADTKLMDMLRSMVQSFENDACPGINLKKDDGFVVKENMLIHSPSRIKKLEDFSDIRSPFKELNS >OIW12564 pep chromosome:LupAngTanjil_v1.0:LG04:26736200:26738913:1 gene:TanjilG_04728 transcript:OIW12564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKRKSIATKLDEVDRTMYTSFCTAASSLSQLYTQATNHHKLSFQAGERHGLENLYQWILRQQEGGSRVATVDILNYIQNELDYCGEEPSMSPRTLPQPVMHAANSGSSGQTVVGQGLRSDQCDNQSKNSVFSNALSSPIRRSLQHYQIGEGGNYPSGLSMGNGNRNNEISFHHQQGRDSTALSSNDSAMDMHAD >OIW13071 pep chromosome:LupAngTanjil_v1.0:LG04:22078193:22081487:-1 gene:TanjilG_17631 transcript:OIW13071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNFEKTSSPSSLPLTSTSAVRDVDPLLKDLNEKKQSFRRNVVSLAAELKELRTRLATQEQSYSKETLTRQEAETKAKSMELEIGRLQKNLEERNEKLQASASSAEKYLKELDDLRIQYVATIATADASAASAKSAQLQCLDLIKELDEKNSSLRKHEDCINRLGEKLDNLQNDLKARESSQKQLKDEVLRIEHDIMEALAKAGESKDCELRKILDEVSLRNFEKMNKLLVVKDEQILKLKDEINIMSAHWKLKTKELESQLEKRRHTDQELKKRVLKLEFCLQEARSQTRKLQRMGERRDNDIKELRDQLAAKQGIVSAENQNQNFWDTSAFKIVISVSMMVMVVFSKR >OIW12682 pep chromosome:LupAngTanjil_v1.0:LG04:25717150:25723310:1 gene:TanjilG_24615 transcript:OIW12682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVSKDPFEAAFDEQDDSPPESPAKDSEAQNPDSVAAEVILVDLEDDFSGGNGKKAATQFTPASAAGTSSGPVITATKNKDDDEEEEEEENMEVELGKFPPGGDPDKMTKMQAILSQFTEEQMSRYESFRRAGFQKANMKRLLAGITGTQKISVPMTIVVSGIAKLFVGEIVETARIVMKERKESGPIRPCHLREAYRRLKLEGKVFKRSGGSRLFRWFTPVTEVNLCGHATLAASHTLFSSGLVDSDIIEFVTLSGVLTAKKVTPAIASNLHHGETQDGCYIKLDFPVDPITDFNIADTSQICDALGGASIIDIKRTQIGGDLLVVVTSGSTVTELQPQLDAIVKCPGRGIIVSAIAPPESGFDFYSRFFCPKGGINEDPVTGSAHCALALYWSKKLGKSDFNAYQASPRGGVLKVHLDEQNQRVLLRGKAVTVMEGCLLV >OIW14027 pep chromosome:LupAngTanjil_v1.0:LG04:5553644:5561664:1 gene:TanjilG_11372 transcript:OIW14027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLVSGYKGVVGFVFGSENSSNADSYVERLLDRISNGKLEEDRRNAITELQAVVSESQASQLSIGATGFPIMLSILKKERDDVEMVRGALETLVSALTPINHGKVSSNEVHPALMNADLLSREAESIPLLLSLLEEDDFYVRYYTLQLLTALVTNSPQSSESTLAENLFRLQEAILTVPRGITRLMDMLMDREVIRNEAILLLTHLTREAGEIQKIVVFEGAFEKIFSVIKEEGNSDGGVVVQDCLELLNNLLRNDASNQVLLRETIGLDPLISILMLRGSAYSFTQQKTFNLLSTLETIKLLIKGGPEANSGKDYKETNKTTLVQKKVLDHLLILGVESQWAPVAVRCAAMQCIGDLIAGDSKNLDLLASKFLGEEPQVEPALNSILRIILRTSSMQEFIAADYVFKSFCEKNVDGQSMLASTLIPQPYSMNHAPLEEDVSMSFGSMLLHGLTLGENDGDLEICCRAASVLSHILKDNLPCKEKVLRIEIEVPMQTLGAPEPLMHRMVKYLAVASSMKQKDRNSTTSGNSYVQVIILKLLVTWLEGCPSAVHCFLDARPHLTYLLELASNLSETSCVRGFAALVLGECVIYNKSNDSGKSAYAIVDAISQKVGLSSYFLKFDEMQKSFVFASVDSVRMSKSFSRFSAASMADIEDVADENESLEKNDLDHPIFSSILDSYFVNLVKRLEANIREQIVEVYSQPKIKVAVVPAELVQRSGESDGDYINRLKEFVEKQSSEIQDLLVRNSTLAEDLVKTGGGSNSQSEPSDRLQMERLRRDLQEASQRLEALKTEKAKIDLDATMYRDLATKTEADLRSLSDAYNSLEQANFQLENEVKALKRGVGGSSIFPDVEAIKAEAREEAQKESEGELNDLLVCLGQEQSKVERLSARLLELGEDVDKLLEGVGDDVGIGGDDGEDEEDDE >OIW14327 pep chromosome:LupAngTanjil_v1.0:LG04:364146:368019:-1 gene:TanjilG_21467 transcript:OIW14327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGTPLTLSLKFFEHKKIFFGDFESDSELDHNFQVSDESVEVMVQREGEHLPREDYLKNLRSGESNMSVVRKQALDWILKRGINWFTQLLATACFQIAAKMGETKVPQYARLHVGSPKFIFEALTIQRMELLVLNTLEWKMQAITPCSFIGYFISKITCEKHQMKSSLSKSMKLMLSISIDFLEFRASEIAAAVAISVTRELEAKEIHKALSSLAMVKKERVLKCLELMKDMSVVKVSGNLFTNESVF >OIW13927 pep chromosome:LupAngTanjil_v1.0:LG04:8400679:8402568:1 gene:TanjilG_31816 transcript:OIW13927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTITLSTVLPHMRPPPCPTQLNCQEATSSQLHIVYVSLLLTALGAGGIRPCVVPFSADQFDMTKKSVASRKWNIFNWYFFFMGLASLSALTIVVYIQDNLSWGWGLAIPTIAMLISIVVFVLGSPLYKHVKPGGSPLIRLVQVIVAAVKKRKEVLPEDPNLLYQNKELDATISLEGRLLHSDQFKWLDKAAIVTEEERRDPNASSPNLWKLVTVHRVEELKSMIRTLPIWSSGILLITATAHLNSFVIQQARTMDRHLSRSFQISPANMSVFSVLTMMTGVILYERLFVPIARRFTGNPVGITCLQRMGIGFMINIIATMISALVEIKRKAVAAEYNLLDDPKSIIPISVFWLVPQYFLHGMADVFASVGIFEFLIDQSPESMRSSATALYCVITAIGSYVATLMVTLVHKYSGKENNWLPDRNLNRGKLDYYYWFVSGIQVINLIYFVLCSWFYTCKPLEETCEGNNDIDLEEFNERISSANSNDGHKDNDDK >OIW14241 pep chromosome:LupAngTanjil_v1.0:LG04:1794717:1800467:1 gene:TanjilG_21381 transcript:OIW14241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPPDPSATSTATATATTGETLSKNALKRELKNKQREEERKRKEEEKAKKAAEVQQAKDTKKTASAADEEDMDPTQYHENRLKSLAAQKAEGNNPYPHKFFVSLSIEEYIKKYEGLSNGEHLEDVSVSLSGRIMHKRASGAKLVFYDLHGGGFKVQVMADASKSDLDEAEFSKFHSNVKRGDIVGITGFPGKSKKGELSIFPKTFVLLSHCLHMMPRQKSAAAADNANLLKQPWVPGSTRNPETYILKDQETRYRLRHLDLMLNPEVREIFKTRSKVISYIRRFLDDLDFLEVETPMMNMIAGGAAARPFVTHHNDLNMRLFMRIAPELYLKELVVGGIDRVYEIGKQFRNEGIDLTHNPEFTTCEFYMAYKDYNDLMEITEQMLSGMVQELTKGSYKIKYHADGIDKDPIEIDFTPPFRRIDMIDELEKIAGLSIPKDLSSEEANQYLKDACLKYEIKCPPPETTTRLLDKLVGHFLEETCVNPTFIINHPEIMSPLAKWHRSKPGLTERFELFVNKHELCNAYTELNDPVVQRQRFADQLKDRQSGDDEAMALDETFCTALEYGLPPTGGWGLGIDRLTMLLTDSQNIKEVLLFPAMKPQD >OIW14086 pep chromosome:LupAngTanjil_v1.0:LG04:4900719:4901795:-1 gene:TanjilG_11431 transcript:OIW14086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDFPNSLTPPATNPRPLPVREDCWTEEASSTLVDAWGRRYLELNRGNLRQKDWQDVADAVNALHAHSKKTHRTDVQCKNRIDTIKKKYKIEKARVASSNGVVSSSWPFFERLDALIGPNFIAKKSTSSPSPSPPVALPLLPYRKTQSSVAAPFTAVALPQKRAAAAMDDGYFRRNYSAMAAAAAAAEADEEEEEEDEEDEEEEDMMEDEGRGSEVEEGERDKGREGMRRLAKAIERLGEVYERVEGQKLRYMVDLEKQRMQFTKDLEVQRMQMFMDTQVQLERIKRGKRSGSNGEWVDFRL >OIW12852 pep chromosome:LupAngTanjil_v1.0:LG04:24347952:24349053:-1 gene:TanjilG_24785 transcript:OIW12852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSKIVLGYDLLLVKTFNKYDIVLSKIKTKTLPTPPNISQHLYTKMSYYNQQQPPVGVPPPQGYPQEYGKGAYPPPGYPPQGYPPPQYPAQGYPPPYAPQYAQPPPQQNQSNSGCMEGCLAALCCCCLLEACF >OIW14235 pep chromosome:LupAngTanjil_v1.0:LG04:1869669:1876850:-1 gene:TanjilG_21375 transcript:OIW14235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATESPIRISKAGGKWPSLKQAATYGPPSRNMATEDLGILLKGHRFHGSGKIVAPNRSGSAPPSMEGSFHAIENLLSQQSTTQNASLATLNKAMQKCETEEQLRADPAYLAYYSSHVNLNPRLPPPLISRENRHMGHHISNFSNNWGSSFADDSSRSSKRLSQWTLATHKEESEDESPQQPYDNELVKTSDIWRRPDAASSASQHKNVVDLIQEDFPRTVSPVYNNSLSIRHGLVDEPIDLDAGSTSSHDPSVTTADAAKPAGGADERSVLSTVDSHAPVASSSSIESTGSVGFSDIDFMTVESQLKALSVSNVPNLQSLSNEEKWKASYQNNLMQHQKYHQQNNKYETPNANSQSVNSAYVGREQFPRNSSKFSDVQPVLQSSGITPPLYATAAYMTSVNPYYTNLHASGMYTPQYVGGYTLNPTAIPSYITAFPPHGAVPLVVDGAASSSYTPLPPGVSTRGSISYRPSGLMQPSFGDPFYMQYHQQPFVEGYGIPGHFDPLAPRPSGGSQISPFDLQKSPSTGAYSDDKILHDQGTSANMKSRRGGLTIPSYHGHPPNMGFVMQYPSSPLPSPLLSGYPEGSPALQGGRSEVKPSPASGRNIGVLIGWQGQRYFDSTHDPKIVNFLEELKSGKGRRFELSDIIGHIVEFRQQKLECCGVEEKALVFKEVLPHSSKLMTDVFGNYVIQKFFEYGSPEQRRELANQLAGQILTLSLQMYGCRVIQKALEVIELEQKAQIVRELDGNVMKCVRDQNGNHVIQKCIESIPTKQIGFIISAFRGQVANLSIHPYGCRVIQRVLEHCTDEVECQFIVDEILESVCSLAQNQYGNYVTQHVLERGNRQERSQIISKLSEHVVQLSQHKFASNVIEKCLEYGDANERELLISEIVGQGEQNDNLLTMMKDQFANYVVQKVIDMCSENQRAKLLSYIRIHAHVLKKYTYGKHIVSRLEQQFGEEAKFGTTVRLLLSNHEVIGSNSENSLSKIKHLEPDGNADETHWIDAVACKPKHIFSAANHRKWLRY >OIW14038 pep chromosome:LupAngTanjil_v1.0:LG04:5451158:5455469:-1 gene:TanjilG_11383 transcript:OIW14038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQSNTAQVKPSTTFNTELQYLNEETNLVMLSQLPILPEILKNLNLMRRVLAYRILTHLSCSARYFAHSSYSTTDFRVQHEGNCNIRNVNDKSDGFRKVGSGFQGELSFGASVNYNVEDEGDDDDEVGEEEGSSDDESLELIGSFRGNHKQRENIARVEIDENEFRHPLVKEVCRLITLRSAWNPKLEGQLRHLLRSLKPPHVCAILRSQVDERVALNFFYWADRQWRYKHNTIVYYTLLDVLSKTKLCQGARRILRLMTRRGIECPPEVFGYVMVSYSRAGKLRNALRILTLMQKAGVEPNLSICNTAIYVLVKGNKLEKSLRFLERMRITGIEPDIVTYNCLIKGYCDLHRSEDGLKLIAEMPSKGCLPDKVSYYTVMVYFCKEKKIEEVMQLMKSMVSDSTLIPDQVTYNTLIHTLSKHGHADDALNFLREAEDKGFHIDKIGYSAIVHSFCKEGRMEEAKSLVNNMYSRGCIPDVVTYTAIINGFCRTRKIDEAKKMLQQMYKHGCKPNTVSYTALLNGLCHNEKSLEAREMINVSEEHWWTPNAITYSVVMHGLRREGKLSEACELVREMVEKGFFPTPVEINQLIQSLCRNKEVVEAKLFLQECLNKGCAVNVVNFTTVIHGFCQTDDLEAALSMLDDMYLSNKHPDAVTYTALADALGKKGRLDMASELIVKMLSKGLDPTPVTYRTVVHRHCQWGRVDDMLKLLKKMLARQPFGTVYNQVIEKLCAFGNLEEADKLLGEVLRTASKVDANTCHVLMESHLTKGAAMSAYKVACRMFSRNLIPDLKLCEKIIWYGAWKKRSKKEKVQLTSTLKSMLTNISSMAVLTEHSFLEAYAGESIEGSSTAKFSTGDIISMNSAIIASSNPDDLNDLCYAVLALFPCRFAKMEGITAGLCLKGQSIPRVVCIHVWKSLHSCYSWILSSDHRKWMMPYLERFSTHMKYDIFRVVYVNGDSVANLNYVPQSSSDVGK >OIW12965 pep chromosome:LupAngTanjil_v1.0:LG04:23076757:23079896:1 gene:TanjilG_15414 transcript:OIW12965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVGISFLIALKATILFLFFLYLKTLTYTFFSLPFLYASLVDFLVSIASLPSIDLPILLGKNPDGSFPIWSFLIFFPYLYFVRVFSALRRLRSGEPPYTEVDDGLYVGGWPASRHMMPPGEPAVVDCTAEFPRLREFSGLPYRCIPTWDTRSPQPSDIESAVKWAIGKRQLNRPVFVHCAYGHGRSVAVMCALLVALGITEDWKNAEKLIKQKRPYIRMNALHRKALEEWSRHRLSTPKKH >OIW13590 pep chromosome:LupAngTanjil_v1.0:LG04:9854589:9854834:-1 gene:TanjilG_07932 transcript:OIW13590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAILFVGGKGLLWLVAPSHHNELSRRISFYAQKVGLTLAGLAWLLLDWLVLMLSILLNFQSHIFEVQGIPSEPNNEKKMA >OIW14307 pep chromosome:LupAngTanjil_v1.0:LG04:711230:714919:1 gene:TanjilG_21447 transcript:OIW14307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSLTLPSISFHKLPSSFFSKNAPSRSFRFTNQRSRVSMSVSAESQASVNDALFSDYKPSNAFLFPGQGAQAIGMGKEAQNVPAAAILYKKANDILGFDLLDICINGPKEKLDSTVISQPAIYVTSLAAVELLRVREGGQQIIDSVDVTCGLSLGEYTALAFAGAFSFEDGLKLVKLRGEAMQDASDAAKSAMASVIGLDSEKVQQLCDAVNQEVPEAEKVQIANYLCPGNYAVSGGIKGIEVLESKAKSFKARMTMRLAVAGAFHTSFMEPAVSRLEAALAATQIITPKIPVISNVDAQPHADPATIKKILARQVTSPVQWETTVKTLLTKGLKKGYELGPGKVIAGIVKRVDKSADIENIGA >OIW12934 pep chromosome:LupAngTanjil_v1.0:LG04:23463966:23464340:-1 gene:TanjilG_15854 transcript:OIW12934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRQRGENVNPTKVEDMDANAIGMKKAKKEVEEKEVKVEEERIEIKREVEQWVGDNNGMVWNWEECMPWLGGVVDEQMSWGSTWFPWWDMDFDGEAFSSLYSDVWDDDIWNLNKEIPITLDRKM >OIW13695 pep chromosome:LupAngTanjil_v1.0:LG04:9289947:9291982:-1 gene:TanjilG_08037 transcript:OIW13695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGLSLALMLGLAFSSSDSPLMLHVTFLVLICLTLFSLLSWFLAQTGLVSVEHQMREMGLAQNDNPDETRKSK >OIW12516 pep chromosome:LupAngTanjil_v1.0:LG04:27052504:27056909:-1 gene:TanjilG_04680 transcript:OIW12516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKLWCKKNKIKAVFKLDFHATQVPKMKKSALMVSLVPDDVGKPRVKLEKTVVQDGTCLWENPVFESVKLVRDSKSGKIHEKIYHFIVSSGSSKSGFLGEASIDFADFVAEFEPLTVSLPLKFANSGIVLHVTIQNVEGYTAKRNGEDNESVGLYNDGSLKNQLSFGSVDESYYINENEPNSVTPQGPVGAIDKEAQVPKRSNTYWSTGSASDGSLGDWKNSLEDNLPIERLKEPSDDNSATEKLKSEIASLKRQVELSELELQSLRKQIEKESSRGHDLSRQINSLRDERDFLETKYEQLKSQQNHNNEIKASKTLKSEIDDTRLQLEAIKEELVYEKELNANLQLQLQKTQNSNSELLVAVMDFEAMLEQKNKEILDLSTLIKSRSINKEHNDATELDLLKHKIADQDHELDICYKQHEELNDEIKELSLDYELLKKENVDVSLRLKQGEAQQIMLQNEHSAALATIEQLESQVHRLEEKVKTQEDEISESSVCISELENEVKSLQMELKIQTENFECDLHAMQCAKVEQEERAIQVEEALRKARYNNDITSERFQEEYRILSIEMSSKVEVNEKMTMKAVEEADELRQKNRLIEEMLQKCNQELRFITDQNELKLQNLLDQIDSKGKTIEHMSQELEVKSKQLNEAERHSDEKDAAFSKQIQMLRSEIKKLMAEDYVLSKPKPTKNITKMERADSETTCEVETILSEVETFKIQHNEVKHSLHKEQVEKESMKKQISQLEEELKKNEAELSDMEKKLKNNKGRATISHMNLTSRDDECAAPPSTKAHIKKLKSEMHKNNSDWCYICNIKREWMHQAHELKTCWASEVNNYDSKYHTKELLNEVEALKERNKSMECELKEMEERYSEISLKFAEVEGERQQLVMALRNLKNDKRN >OIW13618 pep chromosome:LupAngTanjil_v1.0:LG04:9720321:9720663:1 gene:TanjilG_07960 transcript:OIW13618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCGGSPKTNEGEEIPVPAPVVVEEVLKVQDNNSLITQNGEATKVEESKSEEVKVEGKEEKKAEKEDAKPETKEQK >OIW13599 pep chromosome:LupAngTanjil_v1.0:LG04:9796046:9798854:-1 gene:TanjilG_07941 transcript:OIW13599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSSAQIYGLGTPSFLGQRIKSTPFPRSASFKINTNRFRPLRVVNEKVVGIDLGTTNSAVAAMEGGKPTIITNAEGQRTTPSVVAYTKNGDRLVGQIAKRQAVVNPENTFFSVKRFIGRKMSEVDEESKQVSYKVIRDDNGNVKLDCPAIGKQFAAEEISAQVLRKLVDDASKFLNDKVTKAVVTVPAYFNDSQRTATKDAGRIAGLDVLRIINEPTAASLAYGFERKNNETILVFDLGGGTFDVSVLEVGDGVFEVLSTSGDTHLGGDDFDKRIVDWLASSFKRDEGIDLLKDKQALQRLTETAEKAKMELSSLSQTNISLPFITATADGPKHIETTLTRAKFEELSSDLLDRLKTPVENSLKDAKLSFKDLDEVILVGGSTRIPAVQELVKKLTGKDPNVTVNPDEVVALGAAVQAGVLAGDVSNIVLLDVTPLSIGLETLGGVMTKIIPRNTTLPTSKSEVFSTAADGQTSVEINVLQGEREFVRDNKSLGSFRLDGIPPAPRGVPQIEVKFDIDANGILSVSAVQRMVNEAEKFAKEDKEKRDAIDTKNQADSVVYQTEKQLKELGEKVPGPVKEKVEVKLGELKDAISSDNTQTIKDAIAALNQEVMQLGQSLYNQPGTPGAGPAPPGADAGPDASQSSAKGPDGDVIDADFTDSK >OIW14312 pep chromosome:LupAngTanjil_v1.0:LG04:599184:599822:1 gene:TanjilG_21452 transcript:OIW14312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSASDAGPSSTTTAPQAEGSSSAPLSRYESQKRRDWNTFLQYLRNHKPPLTLARCSGAHVIEFMKYLDQFGKTKVHIVGCSYFGHPNPPAPCACPLKQAWGSLDALIGRLRAAYEENGGHPESNPFGARAVRIYLREVREGQAKARGIPYEKKKRKRSTVTVSAVSSSGGGEVSVANGNDDGGDYTAMGGEAGSSSLTSITTTTHVTTTNL >OIW14029 pep chromosome:LupAngTanjil_v1.0:LG04:5523446:5524442:-1 gene:TanjilG_11374 transcript:OIW14029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHHVHAHSSPLLSTSSDTGQPQDNCGVEGLATNIKLLLKLIQEHNGCSTKDNDERKYNRVNGMMFILDEARSRVQKIQSSTKRKAELRRCNSDLRPNISTPRDRRLHPDVPVDDKEKLRRELNTSLVARQSLQAMCSSLGKEKQIMASELARKAQELTEMEEYIGDLKARNDMLLERLHAASSELKERKNGGVDTESNIALQERNKALTEQLQKSIDGYKSLKRKLRDIQEENKEMHATMEQMEVEVQAGIGRIHQFKEEIVPGSEQIQEEISALEHLFESLNMKISKHMQKET >OIW13474 pep chromosome:LupAngTanjil_v1.0:LG04:11248464:11248930:-1 gene:TanjilG_22265 transcript:OIW13474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTATFVDIILAVILPPLGVFLRFGCEVEFWICLVLTFLGYIPGIIYAVYAITK >OIW14197 pep chromosome:LupAngTanjil_v1.0:LG04:2690923:2696162:-1 gene:TanjilG_21337 transcript:OIW14197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGIDIQCRSISGEEISVLILSGKCIAIALVDHNKLSHVWQEDDHVPGVFSNNPGYTGHYVLICGYDAEADMFEIRDPASSKKHNRVSSKSLEEARKAFGTDEDLLLIFLDDSKNHHQPSLQLSFNVNNTDS >OIW14098 pep chromosome:LupAngTanjil_v1.0:LG04:4507140:4510049:1 gene:TanjilG_19477 transcript:OIW14098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHPPSQSNHNPNAYFPQPPSSSPATAATPFAFIRGAADADGESHSRHRRSHSEMVFRLPDEMMDLSPSDPFNGGSSTASLEEIGSEDDLFSTYIDVEKLGGSTNGSGRAENGSDQSGYGNINSGSGACDGTSGYNEGENSPSAAARSRHRHSSSVDGSTSTSMLGEIMEAKKAMPPDKLAELWTIDPKRAKRILANRQSAARSKERKAHYIQELECKVQTLQTEATTLSAQLTLYQRDTTGLSSENTELKLRLQAMEQQAQLHNALNDALMKEVERLKISTGEAMNPSESFNMGMHQMPFAGSNFFSIPPHSGPSGHQNMQLPPFGHSPSGMPTHQLQQSNSQQLSDMLQNDQLGRLQGLDITLVAKDQTS >OIW13082 pep chromosome:LupAngTanjil_v1.0:LG04:21399545:21401995:1 gene:TanjilG_08115 transcript:OIW13082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDVNKQWLPSGKDKIEYFTLKDLWECYEEWSIFGAGTPVVLECGDTLTQYYTPYLSSIQIYTNKPVEVPTPRNPRDGDHGVEFESDCSYDCSGSDLSGSSSKSDSYSDGGGSADLSRSPSSSANNSSKEWDDISFDSTSDQVPKGDILGYLDFEYTETAQPYLRVPLAEKIVELAKSYPALMTLKSVDLSPASWMAVAWYPIYAIPCQPNETCFLTYHSLSSSFEDCANKYDEIDLQKDIRCPSGWGSIVGEKLEKKNSDCICLSPFGLATYRFQGDVWLSPSHDNEKLSDLFGAAESWLKQINASHHDFNFFRDNSTL >OIW13992 pep chromosome:LupAngTanjil_v1.0:LG04:6322428:6322913:-1 gene:TanjilG_09343 transcript:OIW13992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNKNHATDANIGNNGQQGVRRENPKVEFSEDEEDIIAKMFRLVGERWSLIAGRIPGRTAEEIEKYWTTKFGSTSDQCSSTDD >OIW13157 pep chromosome:LupAngTanjil_v1.0:LG04:19330864:19333674:-1 gene:TanjilG_07763 transcript:OIW13157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETTVSLVLNEMYKLLSAEVNLQTSVHNELAELKDELESIQAYLKDADTRAAAEVDNKEGVKVWVKKLREASFHIEDVIDEYLIYETKQTYDSGCIALLRIIIPLIETLIARHRIVYQIRGLKLYVHEIKARSERYNFHEEGSLRNGRGTQHFKWDDPRLGSLIMEEAEVVGFENPREELVHWLVNGETSRTVVSVFGMGGLGKTTLANNVFHNNTVKDYFHCRAFITVSQTYTVDSLLKDMMKQFHKENNDSLPLGINTMDGMSLVTEVRSYLQNKRYLIVFDDVWKEIFWDEIQNAMLENKGSRIMITTRNLDVANYCKKSSNVRVHNLQPLPTSKAWELFCKKTFRFSSNGNCPLELQNTSHEIVKKCEGLPLAIVAIGGLLSTKDKTVLEWKKLCQNLSSELQRNPHLASLTRILALSYDDLPDYLKSCILYFGIYPEDSSIRCTRIIRQWIAEGFVIHEENKTLEEVAKEYLTELVHRSLVHVSKVNYNGKPSNFRIHDLLHDMMVSKMKDFSFCHVVRKDDQDFYHDLMTRRLSIATSSIDVLMSIKQSKIRSMYMFEREKLSEDFLSRFFAKSILLKVLDLEGASLDYVPDHLGNIFHLRFLSLRKTKVKDLPKSIGKLQNLETLDLKETPVHDLPRDICKLTKLRHLLVCCRKSEESNTMNYEIGMRMNKGIGCLQLLQTLYHVDLDHGGLDLIKELKMLRKLQKLGLKNVKREYGKALCDSIQEMNHLESLSVSGKTEVEIIDLQHISSPPQLRRLDLFACLEKLPDWISRLSQLVRLSFRYSKLTSDPLKSLKHLPNLMRLTIAIDAYDGDTLHIEAGFPKLKRLKLSRLQNLNSIVVDNGALPAVKIIRIGNIPHLKDFPSGFHLLQSLETLYLNNMSPEFNRSIDPNDGSKYWEIKHVQLVSMREGVDGRYSYSTIRHPRNTT >OIW13637 pep chromosome:LupAngTanjil_v1.0:LG04:9630872:9633865:1 gene:TanjilG_07979 transcript:OIW13637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALASSSPIITFKPSLFAPPQHHRIRTIIVANSTHPSSSSSSSSSKSTSAATAPISTTWAIDSWKKKKALQLPEYPDQNSFHQVLQILESYPPIVFAGEARSLEEKLGQAAMGNAFLLQGGDCAESFKEFSANNIRDTFRVILQMGVVLMFGAQMPVIKVGRMAGQFAKPRSDSFEEKNGVKLPSYRGDNVNGDAFDAASRTPDPQRMIRAYCQSVATLNLLRAFATGGYAAMQRVNQWNLDFMENTMEVKEYRELAHRVDEALGFMSVAGLTADHPIMTTTDFWTSHECLLLPYEQALTREDSTSGLYYDCSAHMLWVGERTRQLDGAHVEFLRGVANPLGIKASDKMDPRELVNLIDILNPKNKPGRITVIVRMGAENMRVKLPHLIRAVRRAGQIVTWVSDPMHGNTIKAPSGLKTRSFDSIRGELRAFFDVHEQEGSYPGGVHLEMTGQNVTECVGGSKTITYDDLSSRYHTHCDPRLNASQSLELAFAISQRLRNRRLNMQSLRI >OIW13191 pep chromosome:LupAngTanjil_v1.0:LG04:19205174:19206349:-1 gene:TanjilG_17547 transcript:OIW13191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPTDNQSPSSSLSSFGRSFFGVRQEQVHSVEASYEANSCNLELGAFQKQVTDRFNELSGVSDDQLLSIEWMQKLLDAFICCQEEFRVILLNNKEQVSKSPLDRLISEFFERTVKALDICNASRDGVEKIHMCQKHLEIVLCALDSNKRALSEGHFRRARKALVDLTLSMLDEKDSGSVFSHRNRSFGRHNSSKDHHSPGHSRSNSWSVSRSWSASKQLQSIASNLVPPRGTEVAATSGFAVPVYTMNCILLFVLWTLVAAIPCQDRGLNIHFSVPRQFSWGTSVTSLYERIMDESKKRERRNSNGLLKEIYQVEICTRRLTDLVDSVQFPLTDEQKMEVEHDWKELMHVCEAFRGGLDPLERQVREVFRKIMACRTEGLDYLGASTYTEQ >OIW13179 pep chromosome:LupAngTanjil_v1.0:LG04:18964528:18968276:1 gene:TanjilG_17535 transcript:OIW13179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWYLCAFFHRLLDYRKPEVESLARLFGAFEDEHQNGDVSYHLQWKLPLHHHPDSPFHFVNLPSEQIARNIANRSILVKGMYELWGEGGSYEELRDSVLSYVGDGKLPCLESGSTFRITVDSFGKAISLKEQMELIQGFSYIPFKGQVKLKDPDHNFCLIVVDNNNDGANNGLPPVVQKRFFFGREVGAADRKLIPTYQLKSRTYLGPTAMDAEMAFLMANQALATPGKLVYDPFVGTGSILVAAAHFGAMTMGADIDIRVVRDGRGPDCNVWSNFKQYGLPMPVALLRADNNLPPWRPGLKEVFDAIICDPPYGVRAGGRKSGGRKLLKGTVAPYVVPDDKRTGHIPSTGAYCLVECVHDLLDLAARMLIMGGRLVFFYPVLREDDYSEIHFPEHPCFKLICSSEQILSSRYSRVLLTMVKTGPYTEEIAEAARVKHMEFKDNHLKWLEDGNLHSAVFSPSNAQLTEEAGESKFLKDPRPKYRGKYV >OIW13135 pep chromosome:LupAngTanjil_v1.0:LG04:20156026:20158418:1 gene:TanjilG_32116 transcript:OIW13135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDQGKLFIGGISWETNEDKLKEHFTNYGDVLNASVMRDKNTGKPRGFGFVVFSDPSILDRVLQDKHVIDGRTVDAKRAFSREDQQFSGNSRAGNPNSAIHSGNGGSTKTKKIFVGGLPPTLSEEQFRQYFETYGNVTDVVVMYDQSTGRPRGFGFISFDTEDAVDRVLHKTFHDLNGKQVEVKRALPKDANPGSGGRMMGGAGGGGYQGYGASGGSQNAYDGRADSSRYMQSQNAAGGFTPYGSSGYNAPGYGYGPANNGIGYGAYGSYGGATAGYGGPAGATYGNPNVPNAAYAGGPPGGPRSSWPAQAPSGYGSMGYGNTAPWGAQSGGVGSGGGGPGSAITGQSPSGAAGYGSQGYGYGGYDGSDSSYGNPGGYGAVGGRTGSASNTNASGPGGSELQGSGGSGSYMGSGYGDANGNSGYGNAAWRSEQSQASGNYGTPQGNGSHGGQVGYGGGYGGAQSRQGQQQ >OIW14181 pep chromosome:LupAngTanjil_v1.0:LG04:2978771:2979115:-1 gene:TanjilG_21321 transcript:OIW14181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKQDFSDPLSFDHVSNVDDWTGAKDLYEEEYSSNEMALDPASVNTMLLRPLNDEAEELGEGFDDHEIFSCMKDGEDESTRDKPVNH >OIW13113 pep chromosome:LupAngTanjil_v1.0:LG04:20710993:20720237:-1 gene:TanjilG_08146 transcript:OIW13113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFHVACPITWWFLGYTCCDACGRLFGKGNYCPVCLKVYRDSEPTPMVCCDSCQRWVHCQCDNISDERYHQFQVDGNLQYKCPTCRGECYQVRDLEDAVQELWRRRDIDDRDLIASLRAAAGLPTQEEIFSISPYSDDEDSGPGRSFKFSLKNFSDKSPKTTDYRKKSSSKKHSKRKGSQLFMNGKTDPHHNFEGHIDVKSLQSLDNVIQSHRNESHVYPSPAAGNLSQTEGSYPVSQPGISRHKPVDDVMVSDEERKSRVVRIKSNKAHALDSEDDSGKHSDKSQNVKGKKLVINFGARKINVASSPRSDTSSCQRDNQYLIAPNGNRTDSGQSKVLKASGREGNFIKLGKLKSEVSQLNSTSVRGNVLDGCEAVHSERPHIILGKKCTDGVINQVGAMDAMSRGERLYLGKLSEGRSDAHDDIDNNNNQKPSHSLPKDPKPSLKIKFKKPPIENENTIHGEEEKITIKGQRSKRKRPSPFMEKKPFNEDDDVAQSHQDMDVNLILMKLGKGAIGKRVEVHQSSDKSWHKGVVTDVVDGTSKLHVTLDDGRVNILELSKQAVRFVPQKEKKSKK >OIW13480 pep chromosome:LupAngTanjil_v1.0:LG04:10877758:10881198:-1 gene:TanjilG_01048 transcript:OIW13480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGGDDAQRKKRLIIIGVSTFLLVAMVIGVAVSVTFTKNVSIDEDPKDNNKSHLSSTVKAVKTLCHPTDYKKECEESLTKEAGNTTDARKLIEIAFQITIKRIGEGLEKANILHEVEKEPRAKEALVTCKQLMDLSIEEFKRSIERLGKFDLNNLDNILTSLKVWLSGAVTYQETCLDAFENTTSDAGNKMKEVLQTAMHMSSNGLAIINELSKTLTSFHITKPVARRLLQDADDLPILGHETDNDDEFPEWVKDHVGVRRLLKMNTRKFMANVIVAKDGSGNFTTITDALKNVPRKNQKPFIIWIKQGVYNEHVEVSRNMTHVVFVGDGSKTTRITGSKNFIDGVNTYNTATVAVQGDYFVAIGVGFENSAGAIKHQAVALRVQADKSIFYKCRMDGFQDTLYVHALRQFYRDCIISGTIDFVFGDAVAVFQNCTFVVRKPMQNQQCIVTAQGRKERYQPSGIVIQGGAIVSDPNYYPVRFDNKAYLARPWKNFSRTIFLDTYIGDLIQPEGYMPWQTDKGIPTNTETCFYAEVNNRGPGSDLAKRVKWQGVKNLTPQIATDFYPSKFFHGDDWIRVTNIPYSSGGKNSSSFKH >OIW12894 pep chromosome:LupAngTanjil_v1.0:LG04:23900283:23903259:1 gene:TanjilG_28269 transcript:OIW12894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANKSKEEPKNAPDFARWYDLSLGPSFKDESSSKYCTLRYEFKPASVDRTKPGSMRKTKENRVSVEFQNNQIGKPKVTFEGSSEEYRENDAVLFFDGTALRLERLHSAVKQLRHLRMPGESAATAAASVTAPSGPPLDQQSSPIGKSTKPAPLGRSSFQAVAKNLSLLIPKPMPYHSAILHTALFWTLEQTGIGGWEKQGLGNTFNDIYVDSTQVEVERIDIGEPENTGIKSSSKRPSDQLIESPIISVTSPVAKNDVEEHQDVNYLELFGSMTPEDDHNAEEKDNVGFDINVPHTDDEIADVDDSGDEVDKGPNAAEALRAQVIAAGRDAQTTTSSSNSATGSSDTDSSTASSSSSDSEASDEDVVNIM >OIW12968 pep chromosome:LupAngTanjil_v1.0:LG04:23047978:23048607:-1 gene:TanjilG_15417 transcript:OIW12968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNKVAFLLVLLHFIIITIASDPDPINDFCIPKSEDNSFSCKNSSTATVEDFIFSGIKLPGNFKKTGFSSMGVNSNVFSGLNTLGVSFVRADFDVGGVNVPHFHPRATEVAFVLEGKIYSGFVDTKNKVFAKVLEKGEVMVFPRGLVHFQMNVGDGPATIFGSFDSQNPGLMRIPNAVFGSEIKEELLEKAFGLSSKELSKLKKRFSLS >OIW14306 pep chromosome:LupAngTanjil_v1.0:LG04:715659:716268:-1 gene:TanjilG_21446 transcript:OIW14306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGTNSIDIVQENGNGSDSDTNSDESQEYYQPISAEDDGDGNSDGEDGVEFNQLPNGYCVHNGAENGISYLDLNDSVEQKSDDEEEGEDAEDEEERTRDASIRRAFREDENRRSAPLTAENATRVMEAMHGVSFCGEAPDWVAEFPEDRWIDQLHRLRQSPNT >OIW13434 pep chromosome:LupAngTanjil_v1.0:LG04:11674757:11676524:1 gene:TanjilG_05324 transcript:OIW13434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRHSCCYRQKLRKGLWSPEEDEKLLNFITNNGHGCWSSVPKLAGLMRCGKSCRLRWINYLRPDLKRGAFSQQEENLIIELHAVLGNRWSQIAAQLPGRTDNEIKNLWNSSMKKKLKQKGIDPNTHQPLHEVENNKPPSTNNKSNNDLKTSLGSNNEVMGLHIHKQSSNSKPKNPTSMPIEQYPLEVSSSYKINNHNNNTNQKLFLDNNTFGISTHDRTIISYKTSDIVEETFYSLYEPNMGFTTSNHNTSLCFMPNSTSSHVISELNSTKNASFISFGDIDGIQNWELSNSIGSINSSSIQLQTSTDFLNTTWGLAESATAKAYDNDVDNVHVHVVPSLQEDVKLCEYFNTAPFFVGNINTVQDQIQNYVYSDEVIKPENGFIIDEASTFWNNNYGMQLRF >OIW13020 pep chromosome:LupAngTanjil_v1.0:LG04:22293884:22295425:-1 gene:TanjilG_15469 transcript:OIW13020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRYSCCYKEKLRKGLWSPEEDVKLVNHITKHGHGCWSSVPKQAGLQRCGKSCRLRWLNYLRPDLKRGTFSQEEENLILELHAVLGNRWSQIAAQLPGRTDNEIKNLWNSCLKKRLRQSGIDPVTHKPLSEVENGEDNSSSLDKAPEVSNELNLLKSESSKSDAASISPKAYATEMESSSHSSKFYFKSDTNIITNNSSKDLFQDRFMTNCHSSDLMRNFPHQMSYASTDYIPNDSNSSHWFSQTSRPFDIYSEYPFNANSILTPTTSMFLPTSFNYNPSLVVSSDNISTPYGSHYWEASASNNSNSSNGSNSSTELSSSPLNIHNSTFSSWGMGDCSTPTKEAQVHMLENQTEEAKWNEYLHNPMSMLASMQNQYQAPETLCNEIKPTMNFMHETLGAILPHSHTKQQELSQSFSIFSKDIQKLTSAFGHM >OIW13990 pep chromosome:LupAngTanjil_v1.0:LG04:6290723:6291349:1 gene:TanjilG_09341 transcript:OIW13990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGRNLTTSRSELFLGTYANGHNHNFDTADVSELQEEDIWSTADDGGMNVTNGECEPNTENNGRTISIRSRHRISRDNYRQVGGLSLAFQDPSSDGTVVPAKRIVNQFRTENDGESSRRGHPVAFSAPVNVPDWSKILRVDSVGSFHNGDDDDFGEDESEMVPPHEYLARSRNAAANSLFEGVGRTLKGRDMSRVRDAVWSQTGFYG >OIW13574 pep chromosome:LupAngTanjil_v1.0:LG04:10690063:10693032:-1 gene:TanjilG_29315 transcript:OIW13574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDLPPATATTIAAEDRDDKFLAKAIEEAYRGTECGDGYPFGAVVVQNDGVVRLVYGAKAESAIVAGFTHKQHLEIKKAEDTIAMIAEQVFEKTKSMLPS >OIW13477 pep chromosome:LupAngTanjil_v1.0:LG04:10916928:10919540:1 gene:TanjilG_01045 transcript:OIW13477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHFSSMFNGLAQSFSMKKGRRSSKHDRREAAEAMVKDAKKNDLILCSSGTINVDGSNNFVSVFSKRGKKGVNQDCCIVWEEFGCQKDMIFCGIFDGHGAWGHFVAKRVRESMPSSLLCNWQETIAQTSLDPDSDIYDEKKQPRFNIWKHSYLKTCADIDQELEHYRKINSFYSGTTALSIVRQGELIVIANVGDSRAVLATISDDGNVVPIQLTIDFKPNLPQEEDRIIQCEGRVFCLDDEPGVHRVWLPDEESPGLAMSRAFGDYCIKEYGLISVPEVTYRNISTRDQFLVLATDGVWDVLSNQEAIDIVSSTPDKAKSAKNLVECAMHAWKSKRRGIAMDDISAICLFFHSFPSQQQLTLK >OIW14166 pep chromosome:LupAngTanjil_v1.0:LG04:3292215:3299965:1 gene:TanjilG_21306 transcript:OIW14166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSALGIQASACAMSTTVNHLLLRSNAVVSTNTFISRPLRTKKLPTLRVSMSSTPPSQPFEIIVKSSITTPNKLGDCPFCQRVLLTLEEKHLPYDPKFVDLANKPEWFLQINAEGKVPVIKIDDKWVPDSDFITQTLEEKYPSPPLGIPPEKATVGSKIFSTFVGFLKSKDPNDATEQALLSELSSFNDYLKENGPFVNGKDISAADLSLGPKLYHLEIALGHFKKWTVPDSLSFLKSYTKLPIKRKTLHSSNNNPTLSPNPNPNPNPNTNTNNHNSSSPFKFHRIWTEPDEIRFLQGLLDSASQGLVFPKHLDIFYHRFSTATCHPYTKSQLSEKLRRLRNKFRAVSSRILGGLDIAALSPHNRAMYDLSRKLWSAEFASVSPFVEVKSSHGKGIGDSGRVRVEEDDGRIRVDRENPNQSERCDGGGNEKVSSGFGGGKIDGVASKIVLDVFDECVEDAKKDVSLWHGVLCGDMNFRRRWQEQRSLELDVFVKRLRLVIEDSLNQHSAFQLMC >OIW13754 pep chromosome:LupAngTanjil_v1.0:LG04:8590793:8592164:-1 gene:TanjilG_17933 transcript:OIW13754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQRSALDKDTEVDLESGMPLIRDDSMKVSTPGTWKQGKTLLSKISGCFVRGSVNSEDRPSLHIEPNLSEVSVDEVELENKPLTGQDSVRRAEMSMVKEKRKKASNKKAAKPPRPPQAPTLDAADYKLIREISKLAMLKRARVERMKALKKMKAAKSPPSSNSSTWAMILTVVFVIVIIIQGLSSGKNPEATFQGSPLSTSGTESGLISVHYQLNPSASDSKAPGSESHNCGCVAAIMA >OIW13801 pep chromosome:LupAngTanjil_v1.0:LG04:7173586:7176180:-1 gene:TanjilG_31690 transcript:OIW13801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSSYPVIPTSPVKAASMNSNIRSAGHMLSNRAECRDGIPFSPVSEIHSPAFVSYPHESADILWDQYPFQDFIEFPDSIPVQNNQVEHNASYISGENAQTTYLEEWADVDQLLSVDDSLFPIWGQFLGDDNVAEPKPEETKVSQQQHAQSKEVNGLPNSVSTAPQTKSRMRWTPELHEAFVEAVNQLGGSEKATPKGVLKLMKVEGLTIYHVKSHLQKYRTARYKPEPSEGSSDKKLTEVEEMKSLDLKTNKGITETLRLQMELQKRLHEQLEIQRELQIQIESQGKRLQMMFEKQIEMEKPSSPISNTAAVLPSPIDHLETMNEDHEKVQIYRSTSTPETLPEEKSKDTSTKQTRDYAKEAGEHELGDDQFAAPLSKRVKSL >OIW14273 pep chromosome:LupAngTanjil_v1.0:LG04:1205668:1206092:-1 gene:TanjilG_21413 transcript:OIW14273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKWMLLNALVLFSILILIADQGRKFEANADSDSEKVVDLPKVEDKIAAVPSGLSIDSNMVKREVESISKKSFHNNAEKFEFQDEVSRPMDNFLNSLCSKKEIFLRELISNSIDG >OIW12609 pep chromosome:LupAngTanjil_v1.0:LG04:26395138:26396634:-1 gene:TanjilG_04773 transcript:OIW12609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFFTRDVNEDSLLRCPFLRNINEPTNFSFSSPVAFPTPMRVAEGPIFEDGPNFDLAFRIFHGSDGVVPLSGRSSQRSEKVQPPKSQFNPLAAKAATISLSSFGFGGPFGFDAFSEKWNNQKKKSKSSKKDSSSKDSSKHEAAGDEWLQSGNCPIAKSYRAVINVVPLVAKAIQLPSGMQYKCPPAIVAARAALARTAFAKNLRPQALPTKVLVIGMLGMAANVPLGIWREHTKKFSPSWFAAVHAAVPFIAILRKSVLMPKSAMAFTIAASVLGQVIGSRAERYRLKSLAARNSNAGSAQVQVAKTKERRCGADTTDWNAVSLQLTAPSSTDVFC >OIW14157 pep chromosome:LupAngTanjil_v1.0:LG04:3477668:3485856:1 gene:TanjilG_21297 transcript:OIW14157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLSDLINLNLSETTEKVIAEYIWIGGSGLDLRSKARTLPGPVSDPSKLPKWNYDGSSTGQAPGEDSEVILYPQAIFKDPFRRGNNILVMCDAYTPAGEPIPTNKRHGAAKIFSNSDVVKEEPWYGIEQEYTLLQKDIQWPLGWPVGGFPGPQGPYYCGIGADKAFGRDIVDSHYKACLYAGINVSGINGEVMPGQWEFQVGPSVGISAADEIWVARYILERITEIAGAVVSFDPKPIQGDWNGAGAHTNYSTKSMRNDGGYEIIKQAIEKLSKKHKEHIAAYGEGNERRLTGKHETADINTFLWGVANRGASIRVGRDTEKAGKGYFEDRRPASNMDPYVVTSMIAETTILWKP >OIW13506 pep chromosome:LupAngTanjil_v1.0:LG04:10110740:10116263:-1 gene:TanjilG_29247 transcript:OIW13506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKGGSSSWLSAVKRVFRSPTKDTNSNKKSSTRREVNDQEQQQEQEQDKKRDKGRWFFRKPTNHETMSTKQTLTKVVESEASTIIEQVPRLKHEAAIVIQTAFRGYLARRALGALKGLVKLQALVRGHNVRKQAKMTLRCMQALVRVQARLLDQQRTRFSHQVSSGASTFSDTNVQYLQDISDRKSLTREGSSNASDWDEHPHTVEEIKTMLQKRKEAAMNRNKSSSQAFPQQGTTKAWENKGRVSSNQRDLVKTVEIDTSQPYSYFGSNTYRRSHPNYQYNPQSHQHQRHSIAIQNGPLHQSPVTPSPGKSRTIQVRSASPRCGREDISYQTSQTPSLRSNNNYHCKGNVYSSSRAGTSNGTSGGVTYPNYMATTESAKARNRSKSAPRQRALTPEKDRVGSAKRRLSYPAPDPCYNVGIRYGNNYRHSLRSPSLRSVNDQEQQQEQEQDKKRDKGRWFFRKPTNHETMSTKQTLTKVVESEASTIIEQVPRLKHEAAIVIQTAFRGYLARRALGALKGLVKLQALVRGHNVRKQAKMTLRCMQALVRVQARLLDQQRTRFSHQVSSGASTFSDTNVQYLQDISDRKSLTREGSSNASDWDEHPHTVEEIKTMLQKRKEAAMNRNKSSSQAFPQQGTTKAWENKGRVSSNQRDLVKTVEIDTSQPYSYFGSNTYRRSHPNYQYNPQSHQHQRHSIAIQNGPLHQSPVTPSPGKSRTIQVRSASPRCGREDISYQTSQTPSLRSNNNYHCKGNVYSSSRAGTSNGTSGGVTYPNYMATTESAKARNRSKSAPRQRALTPEKDRVGSAKRRLSYPAPDPCYNVGIRYGNNYRHSLRSPSLRSVSRSHFGLEQYSNYSSCYTDSLGSEISPSSTSDLKWFK >OIW13868 pep chromosome:LupAngTanjil_v1.0:LG04:7905883:7908582:-1 gene:TanjilG_31757 transcript:OIW13868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNVNDREEDFNVTPPTQPQQNEEQEECESVVLSELMGQDPPPSPRATHSPFIFTPQVPVVPLQRPDEMHVPSPSWMQTSSGYEDMYNELGIPTMITWSYGGKEVAVEGSWDHWKTRILLQRSGKDFTIMKVLPSGVYQFRFIIDGQWRYAPDLPWAQDEAGNAYNILDLQDSVPEDIGSISSFEPPKSPESSYNNLQLSSEDYAKEPPLVPPYLQMTLLNVPSTNMEIQPPISRPQHVMLNHLYMQKEKGSPSVVALGTTHRFLAKYVTVVLYKSLQR >OIW13549 pep chromosome:LupAngTanjil_v1.0:LG04:10457124:10457453:1 gene:TanjilG_29290 transcript:OIW13549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVPVSMAKCTSGVDGYGKVETSKKENGGDPSINNADVHQSKSSFKEGHVLYTETAATCKANEKDKSFSKFEVSVRPQLKKDRVAVEALRYDFKTEKSEEKFKCDQIWW >OIW13145 pep chromosome:LupAngTanjil_v1.0:LG04:20314304:20314741:1 gene:TanjilG_32126 transcript:OIW13145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDHHQKGKQSKEEEVKYRGVRRRPWGKYAAEIRDPSKPGTRLWLGTFDTAEEAARAYDRAAFNLRGHLAILNFPNEYYSKIRGSPPYPPHLASPTSSPSSSFHASGSGNSSSAGSQHRHVFEFEYLDDKVLEDLLELEEQKKKK >OIW14250 pep chromosome:LupAngTanjil_v1.0:LG04:1667872:1672131:-1 gene:TanjilG_21390 transcript:OIW14250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTGKLKSSSSELDLDQPNIEDYLPTGAIIQQEPHGKLCLHDLLDISPTLSEAAGAIVDDSFTRCFKSNPPEPWNWNVYLFPLWCFGVVVRYLILFPARVLVLTIGWIIFLSSFIPVHFLLKTHDKLRRSIERSLVEMMCSFFVASWTGVVKYHGPRPSRRPKQVFVANHTSMIDFIVLEQMTAFAVIMQKHPGWVGLLQSTILESVGCIWFNRAEAKDREIVARKLRDHVQGADNNPLLIFPEGTCVNNHYTVMFKKGAFELDSTVCPVAIKYNKIFVDAFWNSRKQSFTKHLLQLMTSWAVVCDVWYLEPQNLKPGETAIEFAERVRDIISLRAGLKKVPWDGYLKYSRPSPKHREKKQQIFAESVLRRLEEK >OIW12676 pep chromosome:LupAngTanjil_v1.0:LG04:25749889:25751010:1 gene:TanjilG_24609 transcript:OIW12676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAASVERIASLSSDLFYDILRHLDGPTLASAACTCATFRSISKEERLWENVCSSVWPSTNMEDVKSLISSMGGFRKFYADCFPLIVNKQVSEYQQNNYLEYPDDWTEAEYYGDTNEFEVLSPSDFVSIIDTRFKGKPICSKVLWGVPNANGSDGWFYNCPFQIDLLSYADRDDNNDGVVTLSVSDGLPPITSMEKERKNGKLWHELCDGLQLSWIIVNRRMKQAANLASWSPLGGQRHWPTDKDFVIRFGSVLPAKDILPSQVVECILIMKFRVVHTEGEGIQTTIKLTQLSMQLEDMEGAHVNGRNSLLILKEALSCQRSKNYREVLESCHRYSKVQNELKVEKLRNESRSNTLCILCGIAGFMTFWYCFL >OIW14115 pep chromosome:LupAngTanjil_v1.0:LG04:4128654:4139003:-1 gene:TanjilG_21255 transcript:OIW14115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESMSSVLKKYFGFADYRPYQKDVIQKIIEKKDCLVVMATGSGKSLCYQVPPLVVKKTGIVVSPLISLMQDQVMALKQRGVRAEYIGSTQMDNTIQTRAEHGQFDILFMTPEKACTISSSFWSNLLKEGISLLAVDEAHCISEWGHDFRVEYKQLDKLRVFLPDVPFVGLTATATEKVRFDIITSLKMNNPYVSVGSFDRANLFYGVKLFNRGQSFVDELVQDISKQLSSGGSTIIYCITIKDVEQIFKSLAEAGIEAGMYHGQMNKKAREESHRLFVRDELQIMVATIAFGMGIDKPNVRQVIHYGCPKSIESYYQESGRCGRDGIASACWLYYTRRDFNLGEFYCQDLKSESQKKAVMESLRAAERYCVLTTCRRKFLLGYFGENFSADRCGNCDNCTVSKKERDMSREAFLLMACIHSCHGKWGLNMPIDILRGSRAKKIVEAQFDKLPLHGLGKTYPSTWWRALGNQLISHGYLKETVVDFYRFISVDAKGETFLASSTPDYQPRLVLSLTAEMLGEEENGSTQEEFKTLATSESEGFSEAERQLYQRLLEERLKLARSVGTAPYAICGDQTIRKITLTRPSTKARLANIDGVNQHLVTKYGDQFLQVIQKLSQELNLSSDKEATVHTNEVRKVSLVTNQSRKLPPAKLEAWRMWHEDGLSIQMIANFPGRSAPIKEQTVAEYLLEAAQDGLPFDWTRYSEMIGLTKEIILQIQGAISKVGSTEKLKPIKNELPEDISYQHIKTYLTMLNCGVSSEAIQPGDHYAGKDDELVNNEASISSKSLIEKCDLTTNDIPSGAVNGGYQLRKLPFTCERELTSKRQKVVEAEDINSIQLKATESSIVEWLKNLDEGATLIDILKHFKGSNEDSVVELLNCLEGDFSIYKKGSLYKIM >OIW14092 pep chromosome:LupAngTanjil_v1.0:LG04:4834327:4841257:1 gene:TanjilG_11437 transcript:OIW14092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVLTCILISLYALSFFSLSSSEFTTSDRSKFPLIAFSWWDDKGTFMAGETATIRVKVLENADKIDKKVFKPILTVNGKEGNSSYISTIFFDFDGDPNDWKIFFTPIRVGLFNVLINEDQYKVFDSSLHFQVEPGNMYPSVSVASWKGLKNEFEAGSKATIMVLLKDSFGNFISKTTEVSYLPDFKLSVLHENGSIASLPDISNMGWNEFDYIIIEFIVTKAGNFSVLVEGGNQTLNGCPLPLKVNPGPVEVSNCIAKWNIEPHAWQLASKMEIFIHQLDQYGNLVSGLYPFDAEVVERDTNLPIPIADLHFEEVDAGIQLFSFSNMEQGKFLLTIYDTKHNKSISNMPYVYTVFIGYCDGVKSVINGSGLNDSVAGVNAELSVYLNDMYQYPSPVEADILQVQILRENDSYRVLPLIYPILNQSGRSTTASELIDDDTNHMEVAPSPSIDPSNNSNSSSISVLASDFHVDYTPEKSEVNISMSRVVNFSSKVPKLSKNEIIVQLMDSFSNPVLSQQSRLKLEIASKNSSGFSTWTSMDNKDGSYICSYMAKEVGTYEICASFDGKRFLPCPFSINVYSSEYFPKANNDIISIWEDQSIAIDPLENDYFAGDNATIVEFSKSGHGSLLIQDRRIFRYTPYIDYYGNDSFSYTISDINGNLATASVYISVLNIPPQFASIPSNLQATEDLISPRFGGFSGFEITYSNPLENISVNLSAVSGSIFLAPMVMQFGQAMWSELSINTGNETAISLLLEGSVEVINFALQSIQYLGNENFYGNDTIQVFAKNKNGENSLGVPISVDPINDPPYIRAPYYIILRRSDEDKTLIFDKEKDNFNFSIGDPDLLNFPGGEGDFLMTFSMEVNDGLLVTNLPSHLINTTELKHKNSYQWQPLQTYVTISKHFMVKAIGIRFQGTVNDCNDVMQQLFLNGGEHGAVLTLTLNDMGNHGCYPDCAEGMSMPLYTEAMVNLMRNQPMSSFLAHTLGSIIVIEFVIMFSLGVLLLYFTCKCSILLVHERGKSDKKSKKLSTMRSSKRKTTSMNVSENATCFTGCCSSPSLLGFGTKSSNFCQR >OIW13345 pep chromosome:LupAngTanjil_v1.0:LG04:15088023:15093561:1 gene:TanjilG_02865 transcript:OIW13345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLNNQDSVIENAIELGGTTFVEDTVKNCVGTSQKSVEDNSGEPGFDANIDTDESHFDMDVDVDIGGSDKDNRVVDDIKRRPDFDGNGPCELEGIVPEEKDGSSVPVNEQRRATLRSQQQSKHERLSRRQSLAASGTSCKAGLRKSTRKRTRPLEYWKGERMVYGRVHDNKSNPSGCEAIVTNKYTKISDDGPELCLEVSEEKDGSSVPVNEQRRAKLLSQEQSKHERLSRRQSLAASGTSCNAGLRKSTRIRTRPLEYWKGERMVYGRVHDNLTICPSYLLYISDSPPLSRYSLSKRAIGFQVQDTVSTVWIIKHSICNCNDPIARAELYQLSNILRAKWNMHEGINLLVFSLAQLDHPGFELETSPVN >OIW13979 pep chromosome:LupAngTanjil_v1.0:LG04:6215093:6217144:-1 gene:TanjilG_09330 transcript:OIW13979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCLPCCKSAVESPSYTKVKGKTRKVSAGRQTFKSIAAAMSIKTGSSRNRHINAEILKYGAAQNDVRVFTYEELAAATDNFSADCRIGEGGFGNVYKGYLKSIDQTVAVKQLNREGTQGTREFFAEVLMLSLVKHPNLVRLLGYCAEEDNRTLVYEYMSNGSLEDHLLDTGKDKEALDWQTRMKIAEGAARGLEYLHTGADSSVIYRDFKASNILLDDDFNPKLSDFGLAKLGPVEGTRQTRVLGTLGYCAPEYASAGQLSTKIDIYSFGVVFLEIITGRRVIDTARSTEEKNLIDWATPMFKDRMKFTLMADPLLKGNFPVKGLFQALAVAAMCLQEEPDTRPHMDDVVTALTHLTAQKHGEKDIAAESMKSAGHVESFRAKTSFEEQKC >OIW12933 pep chromosome:LupAngTanjil_v1.0:LG04:23484372:23485340:-1 gene:TanjilG_15853 transcript:OIW12933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQFSIQQQQNVVVSSFGEDMTDSLICPKPRRIAIFNDHWSCSSGSNPLDTILTKGDCETEQLWSQVASPYPFFCSSPPSRVTNPLVQDARFGDDNFSPFCSSSWAVVPATSGLSLSPSSSSARKGGCVRSNFGNNPVVRVEGFDCLDRDRRNCSIPALA >OIW14063 pep chromosome:LupAngTanjil_v1.0:LG04:5141782:5141955:1 gene:TanjilG_11408 transcript:OIW14063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSNDEPLKVDYGAPKSHHSKGLSKKRKNGRKLIAPDIDMTYRLCNSVRFLKTHGDW >OIW13083 pep chromosome:LupAngTanjil_v1.0:LG04:21383619:21384238:-1 gene:TanjilG_08116 transcript:OIW13083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKVGMCFHPDQDRILTVLEYARSQAKVVSGGAMPKAKIVKLSVKKKMDAKPTKKTSLKSVKKTKSVKSLVEKSTVKKAKKLGLL >OIW13624 pep chromosome:LupAngTanjil_v1.0:LG04:9699771:9699956:1 gene:TanjilG_07966 transcript:OIW13624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIVGASLAIEADSLDRVNILLTGQQQVLVSEVANVSKGPVILVIINVFGGPIGDKILSNM >OIW12801 pep chromosome:LupAngTanjil_v1.0:LG04:24763533:24775619:-1 gene:TanjilG_24734 transcript:OIW12801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPSNDTENNVRRSKKKSTSGGGVKEHKEQLQKLQQKDPEFFEFLKEHDKELLEFGDDDIDDDVGTDMEDGEAVEHEIKEKEPKLFKKVITTSMVDLWCKSIHENGSLNAVRSLMKAFRIACHYGDDGDNDSMAKLSVISSTVFNKIMLTALNEMDGILRNLLKLPASGGKNETIKDLMTTKLWRNHNHIVKSYLGNALHVLNQMTDTEMISYTLRRLKYSSLFLAAFPSLLRRYIKVALHFWGTGGGALPVVSFLFIRDLCIRIGSGCLDECFRGIYKAYVLNCHFVNAVKLKHINFLGNCIIQLLGVDLPTAYQHAFTFIRQLAMILREALSTKTKEAFRKVYEWKFINCLELWTGAVCAYSSDSDFKQLAYPLTQIISGVARLVPTARYFPLRLRCVRMLNRIADSTHSFVPVSMLLLDMLEMKELNKPPTGGVGQAVDLRSLLKVSKPTLKTRAFQEACVFYVVEELAEHLAQWSYSVSFMELSFIPLVRLRNFCKLTKVERFRKEMKQLIREIEANLEFVNGKRMSVSFLPNDPAAASFLEDEKKSAGSALSKYVITLRQRAEQKNSSLMESSVLVGEESSIFGKEISESDEEDDRKNEKGSTAFSSSWMPGNDANEVKEPKEKKRKKRKQQKGAIDDDVVEDLVLSSEDDEPSSESPPAREKDNDTGKRAPPKQKRKQKHKAKSYHFRVLSLPMATVLLRNAPFTAPIHSSLTSTSHIFLHFPHTSFPKFTISCSSSRRNRPEYIPNHIPDPSYVRIFDTTLRDGEQSPGASMTAKEKLDIARQLTKLGVDIIEAGFPAASPADFDAVAMIAKEVGNAVNEDGYVPVICGLSRCSEKDIQRAWDAVKYAKRPRIHTFIATSSIHMEYKLRKTKEQVIEIARNMVKFARSLGCEDVEFSPEDAGRSEREFLYQILGEVIKAGATTLNIPDTVGINMPSEFGKLIADIKANTPGIENVIISTHCQNDLGLSTANTLEGARAGARQLEVTVNGIGERAGNASLEEVVMALKCGSHVFGNIYTGINSKHIYLTSRMVEEYSGLQLQPHKALVGLNAFAHESGIHQDGMLKHKGTYEIISPEDIGLERPEDQAGIVLGKLSGRHALRKRLEELGYELKEDDVEGLFQRFKAVAEKKKRVTDADLRALVSDEISQAESIWKLGDLQVACGTLGLSTATVKLIGIDNREHLACSVGTGPVDSAYKAIDLIVKEPVELLEYSMNAVTEGIDAIATTRVVIRGVHSHVSTNVLTGEAYHRTFSGIGSGMDVVVSSVKAYIAALNKMLGFKETSSS >OIW12567 pep chromosome:LupAngTanjil_v1.0:LG04:26700017:26710951:-1 gene:TanjilG_04731 transcript:OIW12567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHNILFSLFILLASTFSPPSLARVPFHTNAHSEDFKNTSYATRARHLLEDNESQAQKIVDFAQGYMTNADLETAVKEFGRSIGKSVNGVPLWVIEISDKPGEEEAEPAFKYIGNVHGDEPVGRELLIFLANWLCDNHLKDPLATLIVENVHLHILPSMNPDGFSSRRRHNANKIDLNRNFPDQFFPVNDDDDSRQPETRAIMNWLRDIRFTASATLHGGALVANYPWDGTEDKKTNYYGSPDDETFRLMASIYSHSHYNMSSSKEFPGGITNGAAWYPIYGGMQDWNYIHAGCFELTLEVSDDKWPNAAELPVIWRYNKMSMLNLVASLVKTGVHGRIYSSGDGRPLPGFITVSGINYTVRAGTVFGDYHRLLAPGDRYQVVATMPGYKSKNTTIWLDDGPMTLDFVLDPEGNAGALTNFEVLDFLRTKGASKDPTRVIAKVSQSEYKVYDYLVNTAACDQSRESINEFVKGVKRHNLAKAEVLNTINIRPAAPVEIFPIIENCEPRFPDEEVAEIVELVIKTLPPPPAKTEAEEITEGNEETTEHQKEEITKGNEESAEDQNEGGEQMDTS >OIW13472 pep chromosome:LupAngTanjil_v1.0:LG04:11223020:11224707:1 gene:TanjilG_22263 transcript:OIW13472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRILDDTNSTPTTPIITVPSTNSETVPSTTTSFPLSPTNPANSQVTAPTPVLGTPPSSSSSTTTTVPPPSSTTTTTPGQFWCVAKNGVPESTIQTALDYCCGMDSVACSQIQQGGNCYNPNSLQNHASVAFNSYYQKNPAPTSCDFGGVATLVNTNPSSGSCIYASSSGGAGISSSNGPNSGIGSQSPPPNGADTSHSVGLRPFISCMVLLISIIHTLAMP >OIW14172 pep chromosome:LupAngTanjil_v1.0:LG04:3202206:3205390:-1 gene:TanjilG_21312 transcript:OIW14172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSPHSPSSPSSSSSSSSERDPTFEPDEPRTPLAVRRALQFLNSDEPQMRIQAARDIRRLTKTSHRCRRQLSEAVGPLVSMLRFDSAESHEPALLALLNLAVKDEKNKINIVEAGALEPIIKFLKSEDLNLQESATAALLTLSASLTNKPIISACGAIPLLVEILKGGSPQAKADAVTALSNLSTHPDNLSIIMDTNPTRYIVDILKTCKKSSKTAEKCCALIESLVDHDEGRTTLTSEEGGVLAVVEVLESGTLQSREHAVGALLTMCNSDRCKYREPILREGVIPGLLELTVQGTPKSQTKARTLLQLLRESPYPRSEIQPDTLENIVCNIISQIDGDDQPGKAKKMLAEMVQVSMEQSLRHLQQRAIVCTPSDLPIAGCASEVPSK >OIW13361 pep chromosome:LupAngTanjil_v1.0:LG04:15428890:15429162:1 gene:TanjilG_02881 transcript:OIW13361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVALRDHDVRWVPTTISTTCQDSIEECIADGDSKSHRRILETSQYISYNALQPNTVPCSQRGSSYYNCKPEASVNPYTRGCNTITHCRNT >OIW13298 pep chromosome:LupAngTanjil_v1.0:LG04:15481748:15481930:-1 gene:TanjilG_25404 transcript:OIW13298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHNNDDEDHLDDPKGDENNLMREILGEEIGEDLGNVKENEDEVPLEDDYREFDVNDLLN >OIW13112 pep chromosome:LupAngTanjil_v1.0:LG04:20754752:20761692:1 gene:TanjilG_08145 transcript:OIW13112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSNYLPPWFSVAPMMDWTDHHYRTLARLISKHAWLYTEMLAAETIVYQKGNLDRFLAYTPDQHPIVLQIGGSNLENLAKATELADAYGYDEINFNCGCPSPRVAGRGCFGARLMLDPKFVAEAVSVIAANTSVPVSVKCRIGVDDHDSYNELCDFVYKVSSQSPAKHFIVHSRKALLNGISPAENRSIPPLKYEYFYGLLRDFPDLKFTINGGIASIDEINSARKAGAHGVMVGRAAYSNPWQILGHVDTAIYGAPSSGITRRQVIEKYQAYGESVLLQYGFRPTVRDIVKPLLGFFHSEPGNGLWKRKADAAFQTCKSIKSFFDETLVAIPDSVLDSPVAVEPPSGRGDLFANIDSLLPPPYRTREEDAIFA >OIW14013 pep chromosome:LupAngTanjil_v1.0:LG04:6500610:6505707:-1 gene:TanjilG_09364 transcript:OIW14013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSFVQNGEISAPRTAPISTTGRSASVYSDVQNSRVDHTLPLPSVLKKPFTIVDGPKSSASGNPDEIAKLFPHLFGQPSAALVPSDDSNAALPDQKLKIGVVLSGGQAPGGHNVISGIFDRAKGSTLYGFRGGPAGIMKNKYVELTSDYIYPYRNQGGFDMICSGRDKIETPEQFKQAQETAQKLDLDGLVVIGGDDSNTNACLLAENFRSNNLKTRVIGCPKTIDGDLKSKEVPTSFGFDTACKIYAEMIGNVMVDARSTGKYYHFVRLMGRAASHITLECALQTHPNITIIGEEVAKIETEKMLIQMAETELEKRKQEGKYNGEFKGQSHFFGYEGRCGLPTNFDATYCYALGYGAGALLHSGKTGLISSVANLSAPVEEWVVGGTALTSLMDVERRHGKFKPVIKKAMVELEGAPFKKFASLRDEWALKNSYISPGPIQFTGPGSDAISHTLLLELGAQA >OIW14265 pep chromosome:LupAngTanjil_v1.0:LG04:1351285:1353069:-1 gene:TanjilG_21405 transcript:OIW14265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHERVSFKTGDLSFYSLIQSYSNSLDFGSLDKVLTQMKFERRLFLEKNFILMFKAYGKAHLPDKAVDLFHRMGDEFQCRQTVKSLNSVLNVIIQEGFFNRALDFYSDVIASKGLSIQPNGLTFNLIIKALCKLGSVDHAVEVFRGMPLRNCAPDNYTYSTLMDGLCKEDRIDEAISLLDEMQIEGTFPNHVAFNVLINALCKKGDLGRASKLVDNMFLKGCVPNEVTYNALVHGLCLKGKLDKAVSLLNRMVLNKCMPNDVTYGTLIDGFVKKGRAADGASVLTSMEERGHHGNEYMYSSLISGLFKEGKPEHAMRLWKEMVEKGCKPNTVVYSTLIDGLCREGKLEEAREMLFEMKNKGYLPNAFTYSSLMKGFFSTGDSHKAILVWKEMADNNCSHNEVCYSILINGLCKDGKLTEALMVWKQMLSRGIKLDVVAYSSMIHGFCNANLVDQGLKLFHQMLYQEPQSQPDVITYNILLNALCMQNRISRAIDLLNMMLDQGCDPDFITCDIFLKTLREKVNPPQDGREFLDELVVRLVKRQKTIGASKIIEVMLHKFLLPKASTWAIVVQQLYKPKKIRLAINECWSRLYH >OIW13940 pep chromosome:LupAngTanjil_v1.0:LG04:5821117:5823737:1 gene:TanjilG_09291 transcript:OIW13940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERFARSTEGSHSDPSPEWTGPAAETGLEGSMWQLGLGGDGGGGGGEESYPLRPSEADCMYYLRTGVCGYGSRCRFNHPPDCVVVIEAARTGDFPERVGQPVCQYYMRTGSCKFGPSCKYHHPKEGGGTANPVSLNYYGYPLRPGEKECSYYVKTGQCKFGSTCKFHHPQPAGIQIPPLPPPVPQVSHLSMPVPSPLYPTVPPPSGHSPQQFGLLVARPPLLPGSYVQSPYGPVLLSPSMVPYSGWAPYQATATSPVLPSSTPSNVGSAQFYGITQLPSSAGAYAGPYQPSDSLIGPSSSSQKEHSLPERPDQPECQYYMRTGECKFGSSCRYHHPPDMSASKATVTLSPAGLPLRPERRSLYGKIFSHPIPLSEDGLLPVPFFSYMFC >OIW13181 pep chromosome:LupAngTanjil_v1.0:LG04:18978749:18979021:-1 gene:TanjilG_17537 transcript:OIW13181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDEAHGRTKLTVTTMMELTATTMTELTAMAMMELTAMAMMEREREAKEGEGESERERKRSEGGRVRVNERDRTTFNNLWSHQHNQNLVN >OIW13515 pep chromosome:LupAngTanjil_v1.0:LG04:10175789:10178082:1 gene:TanjilG_29256 transcript:OIW13515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDEKNGEEGQETRQAPRLNERILSSLSKRSVAAHPWHDLDIVNGVSACSMVSCIMQVVEISKGSKVKYELDKKTGLIKVDRILYSSVVYPHNYGFIPRTLCEDNDPLDVLVLMQEPILPGCFLRARAIGLMPMIDQGEKDDKIIAVCADDPEYKYYSDFKELAPHRMTEIRRFFEDYKKNENKEVAVNDFLPASSAEEAIQYSMLAYL >OIW12809 pep chromosome:LupAngTanjil_v1.0:LG04:24691288:24700687:1 gene:TanjilG_24742 transcript:OIW12809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQTAIAQEATAKVILESPSHPVDAVQRLQRRVRYLKWLWWSRCTVAIIVLQFLCAAYLIFKYADYFSNRCVLEMKLNSAWWMPKLLSVFIIMVFFVALVQCFTGSDVLRWRYFYETHDNAWKCHYMEVFDHGIRETLCCLGRVKSALEEDEVYSVARLLGDLVAYRASGTGHMELLAGLALLQSQSKSSESYEEYTEAPEMRIREAAALHKFAEAAYTGPLLDVGRNPFIFPCAWLYRQGILSPWTRNRRPALDGDNWWRGHAAAFLKYVNLPPEVLRHGRVSQVKCEAAYFIVVLHHLQTVVIAIRGTETPEDLITDGLCKECTLSEEDLSGLLSCSYIHSEVKNNVSLSFPHHGHSGIVGAARELYMQIEGNPEDNGKLQLLTTVAFRNGDADSESNGLLSKLLGVGYECFGYNLRIVGHSLGGAIAALLGLRLYNRYPNLHVYSYGPLPCVDFVVANACSEFVTSIIFGNEFSSRLSIGSVMRLRAAAITSLSQDPKADGAMIFKLARRCLTISKYQRNKVEAEDESHYYSGAIDREDLNHQISRSQHETDNKGSNKQEIQEVSLWSETAADTSGYAHSFSSEGNHDHEECSLWTDTRARDHVVEIDNAAFTNPFAPSIDDPVSQFIDTVPDSENQSDGDPPDMYLPGLVIHIIHEEKKSQTALTSWRLQERGKCYRAYIAKRENFKDIAVSPSMFLDHLPWRCHYALQKILKAQSAKD >OIW13573 pep chromosome:LupAngTanjil_v1.0:LG04:10681818:10688798:1 gene:TanjilG_29314 transcript:OIW13573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIQENHQQESSWETESMGSRSTRVGFSGPMSGPLVTNKTRNSSKKSARFKDEEELVEITLDVHDDSVSVQNIRGGDSETALLATRLEKRPSFGVRLRQVSQELKRMTSSKKFDRVDRAKSGAARALKGLKFMAVKNVGAEGWVQVENRFDELAVDDKLPKTRFGQCIGMQESRDFAGELFDALARRRGITSASITKQQLREFWEQITDQSFDSRLQTFFDMVDKNADGRITGEEVQEIIALSATANKLSKIQERAEEYAALIMEELDPDNLGFIELYNLEMLLLQAPAQSTHITTDSRVLSQMLSQKLVPTKEYNPIKRGFKALAYFVEDNWKRIWVIALWLSICIALFTWKFIQYKHRAVFHVMGYCVTTAKGAAETLKFNMALILLPVCRNTITWLRSKTKLGMAVPFDDNINFHKVIAFGIAIGVGLHAISHLACDFPRLLHATDSEYEPMKPFFGDERPNNYWWFVKGTEGWTGIVIVVLMAIAFTLAQPWFRRNRLNLPKALKKLTGFNAFWYSHHLFVIVYALFIVHGYYLYLSKKWYKKTTWMYVAIPVIIYACERLLRVFRSGYKSVKILKVAVYPGNVLALHVSKPQGFKYSSGQYIFVNCSDVSPFQWHPFSITSAPGDDYVSVHIRTLGDWTSQLKAVFAKACQPSSVDQSGLLRADMLQGNNIPRMPKLMIDGPYGAPAQDYKNYEVILLVGLGIGATPLISILKDVLNNIKQQKDIEEDVESGVTNNKRKPFATNRAYFYWVTREQGSFEWFKGVMDEVAEYDKDKVIELHNYCTSVYEEGDARSALITMLQSLHHAKNGVDIVSGTRVKTHFAKPNWRSVFKHAAVKHPGKTIGKCSFSS >OIW13065 pep chromosome:LupAngTanjil_v1.0:LG04:22006907:22009127:-1 gene:TanjilG_17625 transcript:OIW13065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACANKLLSPIHFRPIPFSVQNSLLFAKVSHTHLNNEPFPPKTLSALTTDLIKSYFDKDSIQEAHTLFDEMSHRDVVTWTAMITGNISCNRHSHAWTMFYEMLRHGVRPNAFTVSAVLKACKGLNLVALSCGKLVHGLAIKIGTRGSSIYVDNALMDMYATCCDSMHDARTVFEDIVMKNAVSWTTLITGYTHRGDAYGGLRVFRQMFLEEGELSPFSFSIAVRACASIGSGILGKQVHAAVINHGFEPNLPVMNSILDMYCRCRLASEAKQLFCEMTKKDTITWNTLIAGFETLDSEESLCIFSQMVSEGFSPNCFTFTSVVAACANLAVLHCGQQLHGEIFRRGLDNNLVLSNALIDMYAKCGNVADSLKIFSEMPSTNLVSWTSMMIGYGAHGHGKEAVELFNEMVRSGIKPDKIVFMAVLSACSHAGLVDEGLRYFRLMTSYYNVAPDRDIYGCVVDLLGRAGRLKEAFQLIENMPFKPDESIWVSLLGACKAHKEPSMGKLVASRVLEMKSNRAGTYVLLSNIYAAEGNWDDFASLRKLMRGMRNKKEAGMSWIELKNQVYSFVVGDKSVSSNELVSEVLELLIMHMKDVGYGPDLDCFVHDLEDDTLIKT >OIW12859 pep chromosome:LupAngTanjil_v1.0:LG04:24266957:24268814:1 gene:TanjilG_24792 transcript:OIW12859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPVEEWSSLSGLYTADEADFMSQLLDNYSVPGQIYENFNMEIPCASLHGHESTIVNVTGINKSSHFSENAANANVLHNSNILPSISGSSCYLNDPLSNTRYVPIGTSFVDDKLSPYSYQENENQYINENIEDLGVADKSLQAHKDCEEALVSEPAEEDITCNLENSRIRSRRSVEAHKNKRNVKPRKKQKTSSASNTEEDTNAGIQWLSLSNCCSEDDSNASQEINGEGSSSLSLSPKDLKHVKINGKSRCSRGSATDPQSIYARVDISTMLEEAVVYVKFLQLQIKLLSSDDLWMYAPIAYNGMNIGLDLNLTPTKQP >OIW13914 pep chromosome:LupAngTanjil_v1.0:LG04:8269673:8273798:1 gene:TanjilG_31803 transcript:OIW13914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLFSLLVLSLSFFSVTGQLHSRDILALLEFKKGVKHDPTGYVLNSWNEESVDFDGCPSSWNGVLCNGGNVAWVVLDNLGLSAEADLSVFSNLTKLVKLSMSNNSISGKLPDNIGDFKSLQFMDISNNLFSSSLPSGIGNLGSLQNLSLAGNSFSGLIPESISKMASIKSLDLSRNSFSGALLASLTKLKSLVSLNLSHNRLTGNIPKGFELMSALEKLDLHGNMLDGHLDAEFILLSSASYVDFSDNGLDSSDSERKKFLPRISESIKHLNLSHNLLTGTLVSGAEQPVFENLKVLDLSYNKLDGELPGFDFIYDLQVLKLSNNRFSGFIPNGLLKGDSLVLTELDLSANNLSGPLSIITSTTLHFLNLSSNGFTGDLPLLTGSCAVLDLSNNKFEGNLTRMVKWGNIEYLDLSVNHLTGIIPEATPQFLRLNYLNLSHNSLSSSLPRVLTQYPKLKVLDISSNQLDGLLLADLLAMPTLQELHLENNMISGSINLSSNVHSDLQILDLSHNRFNGYFPDQFGSITGMKVLNIAGNNFSGSLPTTISDMISLESLDISENHFTGPLPNNMPKGLNNFNASQNDLSGVVPEDLRKFPSSSFFPGNAKLHFPNGPSGSTTSPRENSKRKPMSTIIKVIIIVSCVVALFILILLVIFIHFIRISRSSPEYDTSKDIHGRTEPIISGPVPVRTSERGGALVVSAEDLMTSRKGSPSKAISPDEKMAAVTGFSPSKASHFSWSPESGDSFAAENLSRLDTRSPDRLIGELHFLDDTIALTPEELSRAPAEVLGRSSHGTSYKATLDSGLLLRVKWLREGVAKQRKEFVKEIKKFANIRHPNVVGLRGYYWGPTQHEKLILSDYISPGSLASSLYDRPGRKGPPLTWAQRLKIAVDVARGLNYLHFDRAVPHGNLKATNVLLDTADMNARVADYCLHRLMTQAGTIEQINDAGVLGYRAPELAASKKPTPSFKSDVYAFGVILLELLTGRCAGDVIFSDEGGVDLTDWIRLRVAEGRGSECFDTTLMPEISNTAVEKGMKEVLGIAIRCIRSVSERPGIKTIYEDLSSI >OIW13926 pep chromosome:LupAngTanjil_v1.0:LG04:8359953:8363421:1 gene:TanjilG_31815 transcript:OIW13926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAPKQKWTSEEEAALKAGVVKHGVGKWRTILKDPEFSGVLYLRSNVDLKDKWRNLSVMANGWTSREKSRLAVKRVHQTPRHDENSMAITLVVPSDEEIADDKPFQLSKDMMQLPGPKRLDNLIVEAITTLKENGGSNKTTIASFIEDQYWAPPDFKRLLSSKLKFLTASGTLIKVKRRYRIAPTPAYSDRRRNSPMLLTDGRQKASMKFDRDEVNVLTKSQIDLELAKIRSMTPQEAAAVAARAVSEAEAAIAEAEEAAREAEAAEADADAAEAFAEAAMKTMKGRDTPNMVKLVLLLLRYCKISVGHLEDSFT >OIW14018 pep chromosome:LupAngTanjil_v1.0:LG04:6542365:6546079:-1 gene:TanjilG_09369 transcript:OIW14018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTRIPTHQLSSGLYLSGRPEQLKERHPTLSSCSVPYTGGDPKKSGELAKMFEIPAVDSNPNPKPSRSSSTPHQNTGSVRSGPNSGQATRHSGSGPLSRKSQGSGPMPLPTGLFTSGPIGSGPIEVSGGDGRRSGNLDRPGSMGKPVYGSGVTSLSEEVKVGFRVSRAVVWVFLVVVAMTLLIGVFLMVAVKKAVILVALGGVIVPVVVLFVWNCACGRRGLLGFLRSYPDAELRGAIDGQYVKVTGVVTCGSIPLESSYQRVPRCVYVSTELYEYKGLGGKSANPKHRCFSWGSRYSEKYIADFYISDFQSGLRALVKAGYGAKVAPFVEPATVVDVTKDNKELSPNFLGWLADRKLPTDDGIMRLKEGYIKEGSTVSVMGVVRRHDNVLTIVPSSEPVSTGCQWFRCLLPTYVEGLVLTCEDNQNSDVIPV >OIW13727 pep chromosome:LupAngTanjil_v1.0:LG04:8756497:8758496:1 gene:TanjilG_17906 transcript:OIW13727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEISRNRAYLFAGGGSHGGEHRRRQPYCNQSSRCSKRCVFGKWPIGDLAFGINYFMRKQGNLAVASVYAGSECVQLKGDEIVVELYELLRLLTLCMIFSKKPFSVFLDSAGFSVGYVLSSFPFSISVLRCYTTSDPKWFSQTVVMKRAQSIAEAVVRTRSSLSSWSCMSTRRRNVASSPNSKAGDLIEAPLISETTTESFFLEEVVRDPRLRNEHNSSSGGSGHDDTDEDEEYPIPSYHEISASTIDDDITEGQLWKKRLQQQQQQQKRSLKKRISLLMLQNAVIQSQQHLTTSTAIDFIYQAESCIWSPYLLHLMIQTQVLRNMCTYMKHQESCTASSGFQKL >OIW12757 pep chromosome:LupAngTanjil_v1.0:LG04:25124819:25125556:-1 gene:TanjilG_24690 transcript:OIW12757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSDGTPNGVTTTTTSLSVKKPPSKDRHSKVDGRGRRIRMPIICAARVFQLTRELGHKSDGQTIEWLLRQAEPSIIAATGTGTTPATFSSLSVSLRGVSNSFSSPSSTSSHHHKTLLTTTPFILGKRIHTNDDDGSVTAKDDAVSAGSFVGSASPAGLWALPARPDFGQIWSFAAAAAPPPEMVSVSQQQQQASFFLHQQQQQQVAMGEASAARLGNYLPGHLNLLASLSGGHGNSGRREDEQH >OIW12699 pep chromosome:LupAngTanjil_v1.0:LG04:25605361:25606974:1 gene:TanjilG_24632 transcript:OIW12699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGSSEVNLNESKRVVPLNTWVLISNFKLAYNLLRRADGTFNRDLAEFLDRKVPANTIPVDGVFSFDHVDRNTGLFNRVYQPAPEIESRWGIIELEKPLSTTEIVPVIIFFHGGSFSHSSANSAIYDTFCRRLVSICKAVVVSVNYRRSPEHRYPSAYDDGWAALKWVKSRTWLQSGKDSKVYVYMAGDSSGGNIAHHVAVRAAEEDVEVLGNILLHPLFGGEKRTESEKKLDGKYFVRLQDRDWYWRAFLPEGEDRDHPACNPFGPRGRSLAGIEFPKSLVCVAGLDLVQDWQLEYVEGLKNSGHEVKLLNLKEATIGFYFLPNNDHFYILMEEMKNFVNSNY >OIW13626 pep chromosome:LupAngTanjil_v1.0:LG04:9691259:9692810:-1 gene:TanjilG_07968 transcript:OIW13626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLKTSNRKMRSGGFMCHSQASAAVCMSTLDPRSVVVPRTRRHNRSVFVDDTRFINYANYSKLVDKKCVSVSASKIKKKSHDQVSESRELQKTPTENVFQVVVMRVAIHCQGCADKVKKHLSKMEGVTSFSIDVESKRVTVMGHISPVVVLESISKSQNLQF >OIW12521 pep chromosome:LupAngTanjil_v1.0:LG04:27020748:27026571:-1 gene:TanjilG_04685 transcript:OIW12521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIGRFTDSGTPHVTETEDIDDSLDNVTRKDSSLSSPRAGDNPVQWVQFLKTFDEPEPSGRPFLYPGKIQMQKCDKCSLEFCSPINYRRHNRAYHRSKKHDKESKINRDLLGEYWDKLSVEEASEVVSFRNVELEEVPGSLILNALSTLIKNQTFSPLPQYYYYLRAGSAFLDIIDSRSSNFPISSQVLFHILDDSSEKTFLCGSAMSMQRYVFDGDARRICLEPKNIVACTSFLLEQKLAKAWLADKDAEALRCQNLLMEEEDAAQRRQTRILEKKHKKKLKKLKQKEQKATEQLEADTEIKENNRSTVEALSPAEVSLDTHDFEVHDPDTVVSHAPSPHVTFHCPETTEVVDRDPQSNNDCETHQNIEQQRSQGHNRQCLTVARRHGLQKSQRAVANGSGVSQNSQTSKLEVIQKCGTLHEQKADPIVEVSKVWTQKPKPEIGRVMSEARLQKEPEQGKKHEILIGSISIPLGNCSQSEGNLVASHADCMGVNLSEHNSAQEKPMKTDSSQSGNPVKLWRPVSQHGTKDQLPLQSGGTEADAVNRKNYQTLSGQSSLRLSDIDGNDIGSRNNISNPWAEVDPTNFRLSTHAAKAFLAQRWKEAISSDHVDLVVSLDSEPPGCQEVQDYELETGRSSDADKCSSLARAENPLPATAGVTKSIPRMNPGKGSKMKYIPKQKPAT >OIW13286 pep chromosome:LupAngTanjil_v1.0:LG04:15820256:15822218:-1 gene:TanjilG_25765 transcript:OIW13286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSANVPTIYEDVEVKYETKETPEAQLLIVHIPDGFAKEHIGAKVEFDHRKIRVFGEQPLRNDRTIRFNLVHQVPPTCDINGIKGKFDGGIVTITIQKKLISKEVPNQKPQQSATREAESSHANNKETIAPKSTIGDKAKDKSTTSEVKDQKFQDGISGQDHKAIVQSKEEVDNDTSKLPKVEEKTMPQKGQEEKKVEKERVVVKEVTKEDTNNTSESRKECKNDVVERVVKEVTKEGSSEIKEDRKESSKVEESFVDAKFTKEEEKEKEKKRKGSFGAVEESYGKSIPESRFKRIKEVVASASEAVTSVTKKFNDEDKQKLIYMSAAVVMVALGVYASYKFRSSPRL >OIW13594 pep chromosome:LupAngTanjil_v1.0:LG04:9818135:9820396:1 gene:TanjilG_07936 transcript:OIW13594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLYRLILRSLRRSSLLHHHSLPLTSQSRSFAFSSAEEAAAERRRRKRRLRIEPPLNAIRSPPHQSQSRDPNAPRLPDSTSALVGPRLSLHNRVQSLIRAADLDAASAVARHSVFSSTRPTVFTCNAIIAAMYRSKRYNEAIALFHFFFNQSNIVPNIVSYNNVINTHCDEGRVDVALDVYRHIIANAPFSPSPVTYRHLTKGLILANRIAEALDLLREMLNKGHGADSLVYNNLILGFLNLDNFDKANELFDELKERCLVYDGVVNATYMEWFFNMGMDKEAMESYKSLMDRQFRMTPATCNVLLEVLLKHGKKTEACALFDQMLDNHTPPNFQAVNSDTFNVMVNECFKLGKFAEALTTFRKAGTKPNSKPFAMDVGGYNNIIARFCENGMLSEAETLFEELCSKSLSPDVPTHKTLIDAYLKMERIGDALRVLNRMVDAGLRVVASFGNRVFDELIKSGKAIDCAQVLSKMGEKDPKPDPTCYEIVIKGLCAGDLLDKSQELLDEVMRYGIGVTPTLREFVIETFMKVGRGGEIERLLDMNRFGHSPLPSGPRPPYRPPPARFGHGSQIPGQQLPPSGPPPHVSGQQRPPLSSAPRTGMHQPSWGVPPQVSKPHMPASGPPTYTSGQHYHHPSELTPHIARTHQSTSGVSPQMTGLQPYGTPSGASLQMATHQPRGTPSGPQQMAGQHHPWTRPTPPMSGPSYGTPAQMSPPYYTAPGPSSQVTGPYHPSLGTPPQFEEPHQPQSDVPGK >OIW13201 pep chromosome:LupAngTanjil_v1.0:LG04:18336740:18339952:1 gene:TanjilG_17644 transcript:OIW13201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKTEIVVFLEKAKEQEPIKTFFTLFNNFKFDLQQLPFFNPKPKQNNKNKNKTLVVSDGNTVQQKKEEDDVSKPKLVWFPKTQVVASPPLEAQPEPLESSNNTSNPLILWQVGALGAFFISKWAWARWNERKGQGRSPNDGEGRPSDDAPQSSDNE >OIW14201 pep chromosome:LupAngTanjil_v1.0:LG04:2589632:2592564:-1 gene:TanjilG_21341 transcript:OIW14201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEDNNTENDSTKVYANKVYANKVAMDIEVPDTAHQISSDSWLQVAFVLTTGVNSAYVLGYSGSIMVPLGWVGGVVGLLFATAVSLYANSLIAKLHEHGGVRHIRYRDLAGKRAYSLTWAMQYVNLFMINTGYIILAGSALKATIRQPVVKNMMKALYFQFSVGLVPFFLVVFTGYWAYGSSTGTYLLNNVTGPVWVKGIANIAAFLQAVIALHIFASPMYEYLDTKFGIKGSSLKIKNLIFRIGVRGGYLAFNTLVAALLPFLGDFMSLTGAISTFPLTFILANHMYLMAKGDRLASSQKLSHWFHIVFFSIVSLAATVAAIRLIVVDSRTYHLFADV >OIW13611 pep chromosome:LupAngTanjil_v1.0:LG04:9746425:9748191:-1 gene:TanjilG_07953 transcript:OIW13611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFKEKLHYTRISSMRDCKFLIDFIITTYLGPDLKSHNPKCSILQTLISASSPYNLTHLGPSYLSISLLEKLYYYLLKDASSELILNLDMLHLYVKGKLFLQDSDFTHDSLQFTSFFPLDLHQQIWYPDSFRIVKGVVLIDDPLLTCFKDEDLNRFKSLTGVSTFKLNLNECLAFQIRHLLSNGVKKVPEAIPNGGQFQQLHKRKYNADDIPKVPDFPRVVPTKDKAMGDCSKTCNADGPTMMPLLSVPDIDTCEKDHSLVLTGTAKRGIFGPSVGVVDIGISEAAYLFRVSLPGVKKLYNHFSCEIESDGRVEIRGLLGGGRSIMKQSRVFEMKTRQLCSPGPFTLSFSLPGPVDPRLFTPNFRSDGIFEGVVIKH >OIW13688 pep chromosome:LupAngTanjil_v1.0:LG04:9334800:9336826:1 gene:TanjilG_08030 transcript:OIW13688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMMKLLRRIVFQTTRSYYSSRTNKPTLYSKISPLGNPTTSVVPELDDWIFKGNKLRVAELQRIVRDFRKRRRFTQALQVSEWMNKNGVCIFSPVEHAVHLDLIGKVQGYTSAESYFSSLKDQDKTDKTYGALLNCYVRQRQVDKALSHLQKMKELGFASSPLTYNDIMCLYTNIGKHEKVPDVLTEMKENKVLPDNFSYRICINSYGLRFDIDGMERLLKEMESQPHIVMDWNTYSVVANFYIKARLTSKAVDALRKSEERLDNKSGLGYNHLISLYARIRKKNEVLRLWDLEKSACKRCLNRDYTTLLESLVKLGEFDEAEKILEEWESSGNCYDFGIPNVVIIGYSGKGFPEKAEAMLEDLQKKGKVTTPNSWSVVASGFMNKGEMEKALKCLKTALSLYVENKGWKPNPKVISGILNWLGNKGSVEDAEVLVSSLRNVVPVDREMYHALIKTYVRGGKEVDGLLDRMKVDKVDENEETKEIVNMRKA >OIW12883 pep chromosome:LupAngTanjil_v1.0:LG04:24007813:24010621:-1 gene:TanjilG_24816 transcript:OIW12883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMHTAPSLRIPSSSLSLSSKLPLSSPLSLRPFPRRHRSLVFLVSASLDAKPTVLVAEKLGEAGLNLLKDFANVDCSYNLTPEELRTKISLCDALIVRSGTKVSRDVFESSEGRLKVVGRAGVGIDNVDLAAATEFGCLVVNAPTANTVAAAEHGIALLAAMARNVAQADASVKSGKWQRNKYVGVSLVGKTLAVMGFGKVGTEVARRAKGLGMHVIAHDPYAPADRARAIGVELVSFDEAISTADFISLHMPLTPATSKVLNDETFAKMKKGVRIVNVARGGVIDEDALVRALDAGIVAQAALDVFAEEPPSKDSKLILHEQVTATPHLGASTKEAQEGVAIEIAEAVVGALRGELAATAVNAPMVPAEVLTELKPFVDLAEKLGRLAVQLVAGGSGVKTVKVTYASSRGPDDLDTRLLRAMITKGLIEPISSVFVNLVNADFTAKQRGLRITEERVILDGSPENPLEYVQVKIANVESRFASAISDSGEITVEGRVKDGIPHLTKVGSFEVDVSLEGSIILCRQVDQPGMIGKVGSVLGQENVNVSFMSVGRIAPRKHAVMAIGVDEQPNKETLKKIGDIPAIEEFVSLKL >OIW14117 pep chromosome:LupAngTanjil_v1.0:LG04:4119628:4121621:1 gene:TanjilG_21257 transcript:OIW14117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGVLRVFLSQGNVFKNAVLQRIRMANPSLLQPAAFSRCESTAAPAARIEEHGFESTTIADILKGKGKGADGSWLWCTTNDTVYNAVQSMTQHNVGALVVVKSDEKSIAGIITERDYLRKIIVQGRSSKSTKVGDIMTEENKLITVTPDTKVLRAMQLMTDNRIRHIPVIDEKGMIGMVSIGDVVRAVVSEHRQELDRLNAFIQGGY >OIW12601 pep chromosome:LupAngTanjil_v1.0:LG04:26453109:26459852:-1 gene:TanjilG_04765 transcript:OIW12601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGPLGLRKVDSHCSISEMDDFDLSRLLDKPRLIIERKRSFDERSFSEMSASFARAGLDNYDTYSPGGRSGFDTPASSARNSFEPHPMVADAWESLRKSLVYFRGQPVGTIAAVDHQSEEVLNYDQVFVRDFVPSALAFLMNGEPEIVKHFLLKTLHLQGWEKRIDRFKLGEGVMPASFKVLHDPVRKTDTLVADFGESAIGRVAPVDSGFWWIILLRAYTKSTGDLSLAESPDCQKGMKLILTLCLSEGFDTFPTLLCADGCSMIDRRMGIYGYPIEIQALFFMALRCALAMLKQDDAEGKECVERIAKRLHALSYHMRSYFWLDFQQLNDIYRYKTEEYSHTAVNKFNVIPDSIPEWVFDFMPTRGGYFIGNVSPARMDFRWFALGNCVAILSSLATPEQSVAIMDLIEARWDELVGEMPIKIAYPAIESHEWRIVTGCDPKNTRWSYHNGGSWPVLLWLVTAACIKTGRPQIARRAIELAESRLLKDGWPEYYDGKLGRYVGKQARKYQTWSIAGYLVAKMMLEDPSHLGMISLEEDRQMKPVNQKGMKLILTLCLSEGFDTFPTLLCADGCSMIDRRMGIYGYPIEIQALFFMALRCALAMLKQDDAEGKECVERIAKRLHALSYHMRSYFWLDFQQLNDIYRYKTEEYSHTAVNKFNVIPDSIPEWVFDFMPTRGGYFIGNVSPARMDFRWFALGNCVAILSSLATPEQSVAIMDLIEARWDELVGEMPIKIAYPAIESHEWRIVTGCDPKNTRWSYHNGGSWPVLLWLVTAACIKTGRPQIARRAIELAESRLLKDGWPEYYDGKLGRYVGKQARKYQTWSIAGYLVAKMMLEDPSHLGMISLEEDRQMKPVIKRSSSWTC >OIW14319 pep chromosome:LupAngTanjil_v1.0:LG04:421311:424320:-1 gene:TanjilG_21459 transcript:OIW14319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEKKAKTNTEIVQMEWMMANYRKEYLPCDGDDVLSPTGVFEVPISDSSGSSNCSSISSPGKLSPTGMQPRSQWKDGYSQQWKAMIDGLRFKSVRRFSTIPLLAASYEISRKNMRKKLSRIRASNEDDYVDGIDLENFPTKPSWKNFTYDELATATDDFSSENLVGKGGNAEVYKGSLPDGQVVAVKRLMKNEKEAQDRAGDFLSELGIIAHVNHPNATHLMGFGIDRGLYFVLQFAPHGSLACLLFDSDCLEWKIRFKVAMGVAKGLQYLHQDCPRRIIHRDITASNILLNHNYEAEISDFGLAKWLPDKWAHHVVFPIEGTFGYLAPEYFMHGIVDEKTDVFAFGVLLLELLTGRRAVDSNSRQSLVIWAKPLLDAMEVKEIADPRLEGKYDLVEMNRAMATASMCVHHMSSKRPYMNQVLKLLNGEEVAVEFSKKSMNPRSLLLDACDLEDYTCSNYLNDLNRHKELIME >OIW13011 pep chromosome:LupAngTanjil_v1.0:LG04:22413349:22415411:1 gene:TanjilG_15460 transcript:OIW13011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVDVPVSVAYNCYLDREAIPNWMPFISTVKIYKIDDEISQIKFTNIEILPDKPDLSRWSLKYKAFGRDIEFSWLARNMQPIPNQKIHWRSLEGLPNKGAVRFFPKGPSTCLVELTVSYEVPQLLTPVASALKPFLEGLLERGLERFARFAKSYKS >OIW13738 pep chromosome:LupAngTanjil_v1.0:LG04:8686487:8691021:-1 gene:TanjilG_17917 transcript:OIW13738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSKNHTAHNQSYKAHKNGIKKPKRHRHTSTKGMDPKFLRNQRYARKHNKKNGETVTDEEYEYELIDTIVEDIIKKIHRLREGEKEKIICNVNEKHVTQYNDVEYLVIDSTPQKSLVEQFKEMSIAGILNSDSRKMMAAAALIQRRFRTWIVRRELSHMRGQAIKIQAAFRGYQVRKQYHKIVWSVGVLEKAILRWRLKRRGLCGFKHGNETEEDFFRAGRKQAEERVERSVACVKAMLSSKKAQEEYRRMKLAHSQAKRVVEVYRLNDDGKWFDQGIGHVSVDYLERLEGQGQVLFVCGEENNETLLLHHITSDDIYRKQQDTVISWRDPEYATELALRFQDPSECSYIW >OIW14338 pep chromosome:LupAngTanjil_v1.0:LG04:234384:236764:-1 gene:TanjilG_25124 transcript:OIW14338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLTFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIANKG >OIW14062 pep chromosome:LupAngTanjil_v1.0:LG04:5162530:5164677:1 gene:TanjilG_11407 transcript:OIW14062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGAIFSSLRRRRSPTLEAFLAPVDFTDVALVQTLVSVVNELVSCFSNHMFYFQRKNSRSLIRKVEVFQLLLEYLSDSSVFLPPTAVLCLKELYIMLYRSKILLDYCAQSSKLWLLLQNHSISGHFHDLNQEISTLLDVFPIKDVELSKDVREQFELLQKQSRRARLLVDMKDEVLRIRFFSFLDEFECGKIPDSAELKCFCVEKLQILDARTCRTEIEALEEQIVNHEGDIEPTISVLNGLVAMTRYCRFLLFRFDEDELHLENGSQKKIKKRLITKEIAETFLTVPKDFCCPISLDLMCDPVIISTGQTYDRSSISRWMEEGHTTCPKTGQMLGHTRLVPNRALRNLVVQWCIAHGVPLDPPELMDAMAETYASACPTKAAIEANKATATLLIQQLANGSQAGQTVAAREIRFLAKTGKQNRALIAEAGAIPYLRNLLLSPNHVAQENSVTALLNLSIFDKNKSRIMDEEGCLQSIVDVLRFGHTTEARENAAATLFSLSAVHDYKKRIADKMGAVEALAGLLQEGTTRGKKDAVTALFNLSTHTENCVRMIEAGAVTALVGALGNEGVAEEAAGALALIVRQPVGATAVVKDEAAVAGLMRMMRCGTARGKENAVVALLELCRSGGAVATERVVKAPALAGLLQTLLFTGTKRARRKAASLARVFQRCENSSLHYGGLGVGYAFASNSAPPRDTSFTGDVSVPMSISVHVL >OIW13175 pep chromosome:LupAngTanjil_v1.0:LG04:18895873:18896961:-1 gene:TanjilG_17531 transcript:OIW13175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEATEEVSLGGTKEQINIVKGKRTKRVRPQSPIPFSMTAIFSTGEGEKRYHNTSNLLGGVEPVATQFSVGNQFYNIKDNNDNNSSNTPTTSSGGLQDSTNEEEDMANCLILLAQGQSRESPKHAAADGREDLTTGGMNYNEEEFQFKTNNNRPISLQFNIRGNLYSTNNNKCKVHECSICGAEFTSGQALGGHMRRHRAPVGTNTTLSLTPMALEPEEDQQPRKRRNVLPLNLDLNLPAPEPQDCPTESKFALAPKQQQQGQTQQQLQQQQQQQLQQQQQQSSLVFSTPSLVDCHY >OIW13154 pep chromosome:LupAngTanjil_v1.0:LG04:19537713:19538943:1 gene:TanjilG_09188 transcript:OIW13154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRSASWNRFSDDYFKHVTSSTPSNSGRRSTSLFDGNNVPSYNDPIVELAKRERARVKFAENSVHIIPLVLIVCAIILWIFSNPDVGTLGDPIGARIEGLSLEGEIENDSDGTQTGFLPLVNSEDTSTKELEAEKVSINLKNF >OIW13655 pep chromosome:LupAngTanjil_v1.0:LG04:9529503:9532227:1 gene:TanjilG_07997 transcript:OIW13655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMTAMDESRTPRKHEGKHKAMAICFILGIGSLVSWNSMLTIGDYYYNLFPKYHPGRVLTLVYQPFAFGTTAILAYNESNINTRMRNLAGLIIFFSSTFLVLVLDLATSGKGGIGHYIGLCVLAACFGLADGLIQGGMVGDLSFMCPEFIQSYLAGLAASGALISILRVLTKVTFEKSHNGLHKGAILFFSISTFIEFLCIILYTLYFPKLPIVKYYHSKAALEGSKTSSADLADADIQAKTNDQGGYEYDTKQQDRFSNKQLFLQNLDYAVDLFLIYVVTLSIFPGFLYENTGSHQLGTWYPIVLITMYNVVDLISRYIPVVKWMKLESRKALLIAAIFRFLLIPAFYFTAKYGDQGWMIFLTSFLGLTNGYLTVCVLTVAPKGS >OIW12732 pep chromosome:LupAngTanjil_v1.0:LG04:25320369:25322460:1 gene:TanjilG_24665 transcript:OIW12732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGERDIHPDCRNASNPYHECSDYCFRVIAEAKIRIQKQHESVVAQASGGSSSKQAIPDQSYPDEDIHDERPKLDENSDSDPDQPAEQEVEVDYTKLTGRQKKWMELRAKMQEAKKRNQVEIAAEKKRMEAPTESRGISKQKWLEDRKKKIGKLLDANGLDMTKAYMLDTQEAAEVKYKKWEKDPAPSGWDVFNQKTLYNAYKKRSSKIEVDVEEYNRMKEADPEFYREATSLQYGKAPKISENKIDRMVQELKDRDEKRNSFSRRRRFHEEKDIDSINDRNEHFNKKIERAFGKYTLEIKNNLERGTALPD >OIW13997 pep chromosome:LupAngTanjil_v1.0:LG04:6357850:6359528:1 gene:TanjilG_09348 transcript:OIW13997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSSFKLQHPLERRQAEASRIREKYPDRIPVIVEKAERNDIPEIDKKKYLVPADLTVGQFVYVVRKRIKLSAEKAIFVFINNTLPPTAALMSAIYEENKDQDGFLYMTYSGENTFGSQ >OIW13088 pep chromosome:LupAngTanjil_v1.0:LG04:21308538:21316870:-1 gene:TanjilG_08121 transcript:OIW13088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRILSCTWKNKHKLHLPLSSHSHTYSASHHRYNSDRSGSDDRNSTTTNGTNFFNRNFPNYPLHYGVNHFDTSGLLYSNGDPPEDWNPPPGSSDGFVVHTPPCPPFAPGVNLIRATGPGGGGSGGGGGGDDEKSGAWGGSNLGRNLPTPKEICKGLDKFVIGQDRAKKVLSVAVYNHYKRIYHASLQQGSGEDSGISEGLNDDDQVELEKSNVLLMGPTGSGKTLLAKTLARFVNVPFVIADATTLTQASMCDIIICRAGYVGEDVESILYKLLAAAEFNVQAAQQGIVYIDEVDKITKKAESLNISRDVSGEGVQQALLKMLEGTIVNVPEKGARKHPRGDNIQIDTKNILFICGGAFVDLEKTISERRQDSSIGFGAPVRANMRTGGVTNASLTSSLLESVESSDLIAYGLIPEFIGRFPILISLAALTEDQLMQVLTEPKHALAKQYKKLFSMNNVKLHFTEKALRLIAKKAMAKNTGARGLRALLESILTEAMFEIPDIKEGNEQIDAVVVDEESVGSENAPGCGGKILRGDGTLERYLAKLESSVANGDVAESELQEGEPEISSRAMSM >OIW13427 pep chromosome:LupAngTanjil_v1.0:LG04:11265563:11268780:-1 gene:TanjilG_05317 transcript:OIW13427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADKYGPIFTIMLGLHRAVVLNNWKVAKECFTINDLAVSTRPRLVAVQQMSYNQAMFAFAPYSPYWREMRKIATLELLSNRRIELLSHVRVSEVETSIKELYKLWNDKRNHSDQVQVEMMQWFGELTLNVILRIIAGKRNYDNACEADQEEAQRWLKAMREFFHLMGLFVVGDSIPWLRWLDLGGHEKAMKENIKELDTILGEWLDEHRKKRASGETTVDQDFINIMLSVLDGIKIIEYNTDTIIKSTLLILVAAAIDTTTATLTWAICFLLNNPCVLKEAQNELEIQVGKERIVKESDISNLVYIQAIVKETLRLHPAAPLSGPREFTEDCIIDGYQIQKGTRLITNLWKIHTDPSIWSDPLEFKPERFLTTHKDVDVRGHDFELIPFGSGRRICPGISFALHTIHLALARFLQSFEISKTSDEPIDMTEIFGLTNMKATPLEVLIKPRLTSNLYG >OIW13923 pep chromosome:LupAngTanjil_v1.0:LG04:8328997:8337183:-1 gene:TanjilG_31812 transcript:OIW13923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQVWASPFQALYSTHIVENDPILVRDFIHSALYHPSHGYFSQRSRSVGVLPNTIKFNQLQGRKAYMKYLDNVYKQSDISWFTPVELFKPWYAHAIAEAIMRTANFSVPLKIYEIGGGSGTCAKGIMDYIMMNAPARVYNSMTYTSVEISPSLAEVQRETVGEVRSHIPKFRVECRDAADRTGWEHVEQQPCWVIMLEVLDNLPHDLVYSDNQISPWMEVWVERQHDLETLRELYKPLQDPLITRCVEILDLDKTKSTSAAVSTLKSIWSKLRPKPRRSWLPTGCLKLLEVLHEVLPKMSLIASDFSYLPDVKIPGERAPLVSTKKDGSSSDYESYMEAKGDADIFFPTDFRILERMDHYCSGWLKLHSDHSSKKGKKRRTILLETSSFMEEFGLPTKTITKDGYNPLLDDFKNTKFYLSVPTHNTK >OIW13562 pep chromosome:LupAngTanjil_v1.0:LG04:10547941:10549413:-1 gene:TanjilG_29303 transcript:OIW13562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRGYANSDKPRMSMVLYRQMVQNGYSPDHFTFPFVLKASSVLFYHDCGKCVHSCILKSGFEVDSYSATGLLQFYVSCGEMSSVLKVFDKIPKWNVVAWTCLIAGYVNNDQPYEALKVLKEMDHWGVEPNEITMVNALVACARSRDKGTGRWVHHRIRNAGYDPFMPASNCNVILAAAIIEMYAKCGSFKIARDLFNKMHKRNIVAWNSMINAYNQYERYQEALDLFFDMRASGFYPDKATFLSVLSVCANLCALALGQALHAYLLKSNIVIDIAIATALLNMYAKTGEIGSAWKIFNSLQTKDVVVWTNMINGLAMHGYGNEALSMFQIMQEESSVVPDHITYIGVLFACSHVGLVDEAKKHFNLMTKKYGIMPEKEHYGCMVDLLSRAGRFKEAGKLMETMSVEPNVAIWGALLNGCQIHENISVANKVKVRLKELEPGQSGVHVLLSNIYARAGKWEEVNVTRKVMKHKRITKTIGHSSVEMKLLSS >OIW12709 pep chromosome:LupAngTanjil_v1.0:LG04:25518515:25522364:-1 gene:TanjilG_24642 transcript:OIW12709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLTPRDPLSLLHLSFNQDHSCFSATTFYGFHVYNTNPFHQLFRRKFPPSALSSAEMLNQSNIIALVGSGSHPHFPINKVMLWDDQQGKCIGELSFRSTVRAVKLRRDRVIVVLEMKVFVYNLADFKFLLKMETVANPKGLCAVSQASDSIVIACPGLHRGQVRLDHCALKKTSFMTAHDSGIACLALTLDGKFLATASTKGTLIRVFHTANATLLQEVRRGSNAAQIYSLTFDCNAQWLAVSSDKGTVHVFGLKVNSAILEHENSGNSSNSDAGSTLSRASLSFTKFKGVLPKYLKSEWSVAQFHLHEASRYIVAFGHQKNTVIIIGLNGSFYRCEFDPMHGGEMTQLEHHNLLKSEVASEKMLDESLH >OIW13227 pep chromosome:LupAngTanjil_v1.0:LG04:17565245:17565535:1 gene:TanjilG_03556 transcript:OIW13227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPRPNQRGNLKSLNPLLLFFFIHRHRRSREATTVSLKVTMLLRLRWFATESETALIHDGGGGRQPRGPRSWSFKDTIPSSSSVVHDGVDSPFTTA >OIW14352 pep chromosome:LupAngTanjil_v1.0:LG04:110776:111247:-1 gene:TanjilG_31242 transcript:OIW14352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRIKGVVDKFVEELKEALDADIQDRIMKEREMQSYIEEREREVAERESAWKAELSRRQAEIARQEARLKMERENLEKEKSVLMGTASNQDNQDGALEITVSGEKYRCLRFAKAKK >OIW14240 pep chromosome:LupAngTanjil_v1.0:LG04:1818547:1819152:1 gene:TanjilG_21380 transcript:OIW14240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGQSAKRRRVYSLEPNNVEQAVFTTDYVNYLVPSLMKIKKCSFSGHNKQCNFQNIVKHEVDMAMVFSAQGFAWSGALKVKLLRSNDVNVYSSSTTFAENEASEKGSMVLLDLMSSNPSSKSQEGKILVKMSKYNDMPEKKKGLEGEDNEDEVMNNQFRCLRKLIPGGEKMCNEQMVMELESYISCLQMQVNILQCLTETS >OIW13935 pep chromosome:LupAngTanjil_v1.0:LG04:5779014:5781940:-1 gene:TanjilG_09286 transcript:OIW13935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSCAKNFFQRFQAFSFKLQVIYLVFQVICILKVYLFQGVSHDFLFQLVSEMEAEYFPPKEDVILQNDSSTDFYSMNDLDIIRYIDGHDQVLGKAHAVDALGEIRVLYHIPQPFTFRTTEISQILRLSRTSLMNVLQANPEDAQILMENLFMRLKGHKALDFLYPDTDPGLVLHEELDGSNTRRSSSHDCTTNHFHEHSLMQEEKYRNLRYSEASMLNVTNDDGLITKHNMIPQDGKRDLHATSHERNLDMVEILLEREANAKIPNGWTVDTKGYGRKAKEQEHFWTNIEL >OIW13393 pep chromosome:LupAngTanjil_v1.0:LG04:12865480:12877013:-1 gene:TanjilG_19489 transcript:OIW13393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKGLHGQNLPADVAQAIDQLERHCMAPDGSLISKPLFNDLQLAREEMCRERLRYLEAMAIYSEAVAMVEEYQQAISVSNLGAIRDTGGLYPQLGLRNSPQVYETLEHQMVVAEAAQRLRLPLISEDGEVHTDDIEKLSAVSRSSIDSTSTSATINSSMSSTNFTTANSSVSGANSSLASMDPVEPGVGGAPNRFLGITPAYLWQTQNQQTPLSVDMTEYRMCLSREVESRLKVKCDKLSDAFVLDDNDSSSGIQSSSSQLPERVKLLIEEIETEETALRDELYSADRKFAEYYNVLEQILGVLIKLVKDLKLEHQHKYDELQKTWLCKRCETMSAKLSVLEHVLLLETYTKDSIPALHKIRKYLVEATEEASIAYNKAVTRLREYQGVDPHFDNIARQYHDIVKKFENMQWTIHQVEMDLKRLPDNPSS >OIW13109 pep chromosome:LupAngTanjil_v1.0:LG04:20891780:20892034:-1 gene:TanjilG_08142 transcript:OIW13109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSRSDVEMQAPIVVNVMNDTTVTVKAHLRLGVSSHGWVDPSSRTHNSRREWLCSFLRNHHSDLVDPPSAKNSSSSSWSMRAQ >OIW12703 pep chromosome:LupAngTanjil_v1.0:LG04:25577148:25577618:1 gene:TanjilG_24636 transcript:OIW12703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRCRIVNTGKDAPLEAGAGAGIDTYGGKTAIDPLLASELGGLGLEGIVGNEDSDGEKATPLGVGNSGSISGDSVGPVEMVETCMFCREAEENEQLHAIKDMNIAENSKQKEAIKGGENQLLYDMKSNECVSIEKTELLLEKLRRFMIEAQILKRV >OIW13602 pep chromosome:LupAngTanjil_v1.0:LG04:9781776:9785413:-1 gene:TanjilG_07944 transcript:OIW13602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRKRGHKNKKGKTKGTTNPTIDTNEGFQNKEQGSPFHEFGYDKDNNSGMEAHTPSSTGTYHNYSPAPAVGRVKVKLRTPKMLDSQPTSSDALTHSDTDKSNHQHGFDKHADRLEDSVTSLCVPSKRPGSIKIKSSKMLGSNAGTPFSACSEISHQKQTKNPPYNKQELDASLLIIRKVMKMDAAEPFNVPVNPEALGIPDYFNIIDTPMDFGTICRRLENNEKYMNSEDVFKDVQYIWDNCYKYNNKGDYILDLMRRVKKNFMKYWASVGLYTEPSKGTKERTTSDDIALSGDGKVKKQKTKKRHGRHHKHDCLCAICVLKRRRREREENARIAKGNFGTGGDMHTKEFKQEEPMLVESPGEEDSSSNTDESMGTDGDGEADKGDMVKMDISEKQHSPSEGKHGNIDVDDDDDDIEDDRDQEEGEEEEDGEEDEEDIEMDSQKRETDESLKQSQHGLILVEKSKVGDANVLRDEYTTMQQGQATAVPQQKQKESQGKHQRAKLLKSLYIENPKLASLCGILFPKNSDSVWSGAHSLIQQHRDPARTSSIHAAITSFME >OIW13534 pep chromosome:LupAngTanjil_v1.0:LG04:10351544:10352389:1 gene:TanjilG_29275 transcript:OIW13534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLSLSPLSSTHYISSTKLLNLRTPNLLNLRTLSSKHSLTVNSLTLTQDDLKKLAADKAVDYVKSGMVLGLGTGSTAAFVVAKLGALIASGELTDIIGIPTSKRTEEQARSLGIPLSILDDNPRLDLAIDGADEVDPNLDLVKGRGGALLREKMVEAASEKFVVVVDDTKLVTGLGGSGLAMPVEVVQFCWKYNLIRLRELFKEEGVDAKLRIDENGKPYVTDNSNFIVDLYFKTPIKDGFGAGKEISNLEGVVEHGLFLNMATSVIIAAKDGVQVKDK >OIW13450 pep chromosome:LupAngTanjil_v1.0:LG04:11850234:11852958:1 gene:TanjilG_05340 transcript:OIW13450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAGVAPASGLTDMNASSVGVENLPDEMNDMKIRDDKEVEAIVVDGNGTETGHIIVTTIGGKNGQPKQTISYMAERVVGHGSFGVVFQAKCLETGETVAIKKVLQDKRYKNRELQTMRLLDHPNVVSLKHCFFSTTEKDELYLNLVLEYVPETVNRVIRHYNKMNQRMPLIYVKLYSYQICRALAYLHNTIGVCHRDIKPQNLLVNPHTHQLKICDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTTAIDIWSAGCVLGELLLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKAHPWHKIFYKRMPPEAVDLVSRLLQYSPNLRSTALEALIHPFFDELRDPNTRLPNGRFLPPLFNFKASGK >OIW13977 pep chromosome:LupAngTanjil_v1.0:LG04:6196299:6198762:-1 gene:TanjilG_09328 transcript:OIW13977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATFTSSSSSMSQIPVPPISAPSSSSSSSAFDDSYEDSCSICLEPFNINDPSTLTCCKHEYHLHCILEWSQRSKECPICSQFLALKDPSSQELLAAVEAEKHLRSRNIYSSSFTSSRLPLNRNDHHDDSSSDDSGFDEQIMQHLVAVAESRAHFVHRRERQRSSGVGSSEVLVFNSPVHFSGIQPVLSTSPSGGSSPISGVPSAVDIQPPTSVFSPVNEAATNTTLHSDAPFRPRVFYSQTAPENARRINTSEMFSVPESFKSKFSAASARYKESISKSTRGLKEKLLAQNASVKELSKGVQREMNAGIASVTRMIERLDLTSKRPTSPLIPVQNEGTSAFPVKGKSIEERGDMVHDVGLDAPSPVSRMVASHVEIPPHVQVSPTKSPSFVL >OIW13586 pep chromosome:LupAngTanjil_v1.0:LG04:9974217:9976589:1 gene:TanjilG_25685 transcript:OIW13586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSAGVKTLSPVPTEPFHTPSWPSCLHVKVQRRPLELSVIATELRDEKKIDVGKEGTITKYAAVKKVVPRKPPDRDYILEPVIVNPKIQLASSIWDMINSTYDGLDRGNYPIQSKEGTGGAYFMIDSTGQKYVSVFKPIDEEPMAVNNPRGLPLSLDGEGLKKGTIVGQGALREVAAYILDHPMSGRRALFGDGKGFAGVPPTLMVKCLHKGFNHPGDLTAKIGSMQMFMENNGSCEDMGPGSFKVKEVHKISVLDIRLANADRHAGNILLGAEEDNDQAVLIPIDHGYCLPTSFEDCTFEWLYWPQARQPYSAETIEYINSLDAEEDIALLKFHGWDLPVECACTLRISTMLLKKGAARGMTPFAIGSIMCRESLNKESLIEEIVQAAMDSILPGTSEATYMDSVSEIMNRRLDEIACSSSLL >OIW12722 pep chromosome:LupAngTanjil_v1.0:LG04:25413160:25414592:1 gene:TanjilG_24655 transcript:OIW12722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVECGEKLACARYAVFGMDYEGHGRSGGVRCYINKFEDIVNDCYHVFKSICELQEYKGKAKFLYGESMGGAVSLLLHKKDPTLWDGAVLVAPMCKISDKVKPHPVVINILTTMEDIIPKWKIVPSQDVIDSAFKDHAKRDKIRKNKLIYQDKPRLKTGLEMLRASMNLEARLHEVTLPFFVLHGEADTVTDPEVSKALYEQASSIDKTIKLYPGMWHGLTVGETDENIEIVFTDIIDWLDKHANKAKFESFQPNQTHNHGTDKMTTAEVNKWDAEQSGFLRDREVQQRRLLRLSSM >OIW12613 pep chromosome:LupAngTanjil_v1.0:LG04:26362790:26364269:-1 gene:TanjilG_04777 transcript:OIW12613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRFSNTVIGFLNLFTLLASIPIIGAGLWMARSSTTCENFLQTPLLVIGFVVLVISLAGFIGACFHVACALWVYLVVMLLLIASLIGLTIFGFGVTSKGGGVEVPGRVYKEYHIEDYSPWLRNRIQDPHYWNTIRSCILGSNNCAKLAYWTPLDYMQRDMSPIQSGCCKPPTACTYNMETLVNQDPDCYKWNNAPTLLCYECDSCKAGVLEEMRRNWHKLSVLNVVMLVFLIGIYSIGCCAFRNTRRAETDYPYGQNRMTKIRPRWDYHWWRWFHDRKEQLY >OIW14187 pep chromosome:LupAngTanjil_v1.0:LG04:2881340:2886628:1 gene:TanjilG_21327 transcript:OIW14187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAYNMLDIVMKDILQVVTPVQEDWEVRFAIINDLRRVVESVASLRGATVEPYGSFVSNLFTRWGDLDISVELLNGSHIPSTGRKQKQVLLGDLLKALRKKGGWNSLQFIFNARIPILKLKNNRQSISCDISMNNLEAQMKSKILFWINRIDGRFRDMVLLVKEWAKAHKINDSKAGTFNSYSLSLLVIFHFQTCVPAILPPLNVIYPGNMVDDLIGVKVDAENHIAETFDANINRFISDKSRPINRKSVPELFVEFVRKFAQMSSWASELGISPYNGQWEQIKNNMRWLPKTYAVFVILCSLEHHLVSLGVEDPFEQPQNTARSVNQGKLEKITNAFLDTYSLLTSKNLNQSSILTSLAPPEVAMIIAKPVIPDYNGGYYHHRTPPQVQRALLPHPHPHPHPRPYPQLRPQEQPPPSRRSQNGSRGTSSNGSTSRDPHSHPRPYPQLHPQEQPPPPRRSQNGSRATSTTGSTSKGPVQSQQGQQIWRQKTQ >OIW13348 pep chromosome:LupAngTanjil_v1.0:LG04:15127818:15136738:-1 gene:TanjilG_02868 transcript:OIW13348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEKMDCVIGEGNGDSNYGGACGGSSSANHHNQGVKNELKAELWRLCAGPCVYVPRVGEKIFYFPQGHLEQVVAFTQHQQDGHMDIPAYDLPSKILCRVMCVQLKAEANSDEVFAQITLVPELKQNEIISEDEEETYQIPQRTSSCSFIKILTASDTSPHGGLSIPKLHANECLPPLDMTLQTPTQELVAKDLHGFEWHFTHKYRGEPKRHLLTSGWSTFVNSKKLLAGDACIFLSGENGELHIGIRRAKRRHNKESASISLLSSHSMQLGILTTASHAVATGSMFTVYYHPWMNPFEFIVPVQHYMKSSVVDYSNGMRVQMQIEVEDSIRRYVGSIISIGDIDSIRWPGSTWRCLEVQWDAIPNKYMIPERVCPWWIEPLKSAMKKPIPILPLPKKARVPNLLCPGLSSFSKDDIVRNSAKPASQRGERVLQGKDYINIGSPQPSQKPPPSKDAWLGLENKLPFVMQDPPRQPLGISASFPHEDISTSSSNMNSTGSVSKGWPSESKEENYVSFGRPGLLKLFGVNLTNTQMELPSPQFAVFSQISSLISIPPMSQTSIYATIPTTKPYDSVAVLTSEKKRKKCHLVDRRSCTKVLKQGTALGRAIDLKHFAGYDELISKLDSMFDFGGSLVNGSSGWHLTNIDDDGFMTLLGDYPWQDFQSSMVQKIIICPKDGINKSQLEKIS >OIW13946 pep chromosome:LupAngTanjil_v1.0:LG04:5875399:5876646:-1 gene:TanjilG_09297 transcript:OIW13946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLCYSSNLITFHSAKHQSLAISPSSESSHFFKLFQVSTTPQKSYEPIKFSRERLKLYASLTPSQVVEPTPSTFRNKNPKDVNVLVVGSTGYIGKFVVKELVKRGFNVIAIARERSGIKGSSDKNETLAQLRGANVCFSDVSNLDDLENSLKSLGVSFDVVVSCLASRSGGVKDSWKIDYEATKNSLVAGRKLGASHFVLLSAICVQKPLLEFQRAKLKFEAELMKEAEKDGGFTYSIVRPTAFFKSLGGQVELVKDGKPYVMFGDGKLCACKPMSEPDLASFIVDCVLSEDKVNRILPIGGPGKALTPLEQGELLFKLLGKEPKFFKVPIEIMDFAIGVLDFLVKIFPSLEDAAEFGKIGRYYAAESMLLLDPETGEYSAEKTPSYGNDTLEEFFARVLREGMAGQELGEQTIF >OIW12903 pep chromosome:LupAngTanjil_v1.0:LG04:23832030:23832956:-1 gene:TanjilG_15823 transcript:OIW12903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSKSFIQMVEEKKKKFMERKEAPLKWEQKLEAAAKAKADGGARERKQKTAKHKKRSDSDNDSDYDSDDESKKTSKRSHRKHKKHARFDDHEKRRERSSKRRTKKRSLESSDSSSDESDSSSDERRRKKRQNKKLRDRGSRSDYSDSDSSGDQVSKRKRQHKLQQPLKLCGSDFSSDEGDSPIQDRSHEKHQKRHRQSEGDESDLSSYESDDAHSKKSHRRHHKHHRRSHNVALRSSDSDYHSHGRQIKSLGKSSDEHSGEESKRSIHKKPGHHHHRHHYHHHKHPYLDEESNHSLQQSQKVNGKLEE >OIW13224 pep chromosome:LupAngTanjil_v1.0:LG04:17743578:17748064:-1 gene:TanjilG_03553 transcript:OIW13224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMLFYGSRRLSISRSFYFYRTISSSSPSTPFPPPPTVSSRRVVVTGLGMVTPLGCGVDKTWKNLIEGKCGVRGLCLEDLKMSAFDKETQLSTFDQLSSKVAAIVPTGTNPGEFNQDIWLNSKDNRSIARFIAYALCAADEALKDSNWFPTEQEDKERTGVSIGGGTGSISDILDSAQLICEKRLRRLSPFFIPRILINMASGHVSMKYGLQGPNHAAVTACATGAHSIGDAVRMIQFGDADVMVAGGTESSIDALSIAGFCRSRALSTKYNSTPQEASRPFDTGRDGFVIGEGSGVLVLEEFEHAKNRGAKVYAEVRGYGMSGDAYHITQPPSDGRGAILAMTHAIRQSGLHPSEVDYINAHATSTSLGKIHFTGDAIEATAIKTMFFDPAISSALAFSSTKGAVGHLLGAAGAVEAIFAVLAIQHGIAPLTLNLTKPDPLFSDGFMPLTASKEMPIRVAMSNSFGFGGTNASLLFSSTGSD >OIW13146 pep chromosome:LupAngTanjil_v1.0:LG04:19686893:19687240:1 gene:TanjilG_15050 transcript:OIW13146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNVLAAFLTFIAILLVIVEPGHSFNFDDGATQLLPCTTYNIGTSGDTPSTECCNGARTLQSSTPTTDDKREACEFLKAVASSIPLIKEDKASSLFKKCGVNVPYSFSKDGNCET >OIW13775 pep chromosome:LupAngTanjil_v1.0:LG04:6718326:6725247:-1 gene:TanjilG_31664 transcript:OIW13775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSQKEHETQHALDYSHVEDSAKVTETGWYVLGENQQEIGPYVFSELREHFVNGYILKDTFVWSEGRTEWQPLSSIPELWEQINNHHQEPHSSTAAGSANDIDEFERWQNEIKEAEAQVEDSQVGSFSGNVGEADPERPTTPPEGEEEFTDDDGTKYKWDKNLRVWVPQEDTPGSNLPYGLEEMTFLHEEEVFTTVTDLEASEKFEDSLKLSVSTVPLKEEDNNTDMAEDKKRKLSDKPVEKKEANKPPDSWFELKINTHVYVTGLPEDVTTDEVVEVFSKCGIIKEDPETKRPRVKLYVDKQTGKKKGDALVTYLKEPSVALATQLLDGAPFRPGGKTLMSVSQAKFEQKGETFKAKQVDNKKKKKLKRVEEKMLGWGGRDDSKVSIPTTVVLRYMFTLAEIRADENLRSELEADVKEECTKLGPVDSVKVCENHPQGVVLVKFKDRKDAQQCIELMNGRWFGGRQIHASVDDGLVNHALVRDLEEDAKRLEQFGAELEGE >OIW13178 pep chromosome:LupAngTanjil_v1.0:LG04:18950766:18951668:-1 gene:TanjilG_17534 transcript:OIW13178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDVEEYRCFIGGLAWSTSDRKLKDAFDKFGKLIEAKVVVDKLSGRSRGFGFVTFDEKKAMEDAIDAMNGVDLDGRTITVDKAQPQQGSARDDGDRYRDRDRGRDRGRDRDYGGGRGSNGGECFKCGKPGHFARECPSEGESGGRYGGRESKYGGSSAGGRYGPDRNGDRSSGGRNRDAGSRGDSGNDRHHRDRDRSGPYERR >OIW13036 pep chromosome:LupAngTanjil_v1.0:LG04:21576028:21581782:1 gene:TanjilG_17596 transcript:OIW13036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDDGDDSFGDFMFASFPNQPFPSTTAVDDNGCGSDLFGVSHQNDNNTIAVHVQSPQKPAFNRPNGAIPLSIFGEEEEEEEHAFSNANNNTNSVKKGSDSNGSVGGITEVFSNFYNQHSQNESVSISDIAAPSSNADGNANANNFNSDSVDENDDEDGWEFKSAEWESGTKSQSIKAEDNGALGVGAMLDSSLGVSEMAGGGHLEFEFYPSSAVQNHVSPQLHLKNESNETINEFTVFSQRFGELNANSGSEPKQNLEDPKMAHIYTSSVEELKFDGGDPHGTIDPSHALESNQSYFNSSSLGQDSHISESYFKTNIYQDNINQNNPSPTTTNVHCDVNLFESKGALAEIGTTHENSQIGAENCRAALPLSIFGDEMPDTDEQSVSRNISPFAPTSPLKNSTNSPGSNLPINDIWNLYSQAENRTSPNMTPKANENGFDASARVSGANLDTGIDDFNDGFGDFMDASAGTGFAHESALNSSFNHEPQVNENGLHSSSTVLNSDLTNGANGFEDGSWEFKEAFSETSSQDQASAINHRGLPTQLSTKLETLDYVDFYSKMKDELCNAVLFHLHNLKTVQSVAAISGNNAKAKMLQEEIKELSKILHQDNIIPKENLSENYSLRNVYFNELLEVLKEPKFQFLESEYQLASRLSMAEKDMKSAMELLKDAVSTLRTLKLGSREEQSNYLTTWSKIVSVCTEELKHGSYIWRQAVQGNVHNQILSNPKGIRYIRALGETYRVAEIIGASVKLYKPWMLLGPIDPTSLFSLLNECYSIWSGSGLEEAFFSISNQNSFEPDGVSRELVESIKYIHTLDEHSLQSYVISEKETTCQLSALPAGLIPGLKMVTWNGKHFLVMLANLWVNLVSSDPPKT >OIW14308 pep chromosome:LupAngTanjil_v1.0:LG04:705090:710295:1 gene:TanjilG_21448 transcript:OIW14308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSLSMELQVPSSPSVTATTNHILVVPYPSLGHINPMINLCKLLLSNNSNIFITFVVTENWLRSISSEPKPHNLRFCSIPNVVPELNRSADNFLSVIEVVLTKMEAPLEELLDRLEPPPNVIIYDGFLFWVVGVGYQKNIPVAAFWTTSTSEFWVQCFHIFQEYKHCPQKLLGEENGEKLVDYVPGISWIRKADIPLLDENSKEILHWAMKVYELEHHVIDALKARLSMPIYTIGPNIPYFSFEDNANSINATNGSDHSYLEWLDSQPCNSVLYISFGSFLSVSSAQMDEIVAALRDSDVRFLWAARGGSSKLKEICGKKGMVLAWCDQLKVLLHPSVGGYWTHCGWNSTMEGVFAGVPFLTFPLVMDQPLISKLIVEDWKVGWRVKKEDKLGSLVMRDEIVVLLRKFMNLGSDEGRDMRKRAKELHHISQLAIAKGGSSETNVKAFLKNIFQSVVPEV >OIW12738 pep chromosome:LupAngTanjil_v1.0:LG04:25274028:25275777:-1 gene:TanjilG_24671 transcript:OIW12738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVNVDHYSVLGLPSGEEGAKLTEKDITKAYRSKARELHPDKRPDDPNAASNFQALSASYDILKDDKARKLFDDLLRVKRDHQRRQSQRELHRDGKRRKMVSDLERRERDAFSVDPAAKEREEEDRIARRLKEEIARIRAMHARKEGPVVAPKTEAKKESGVGLDQEKVLKVSWEKVGDDYSADRLRELFSEFGEVEDVVIKGNKKKGSALVVMATKQGAVATTGCVIGHLTNPLLVLPLKPAMPADSSSAPKSAEPDRMNNLVGAGYQAFEDSVLEKLQKAAQKQKS >OIW13804 pep chromosome:LupAngTanjil_v1.0:LG04:7228718:7251363:1 gene:TanjilG_31693 transcript:OIW13804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLSFSPSLHTSQSPFHFSSPFTSIRPIPFRNRRFVAKTRPKLISYASIKTPINNEEEKQNDAVLGVVAATTTTTTAAANVYCTEEEPFISKCLLSKHILRAVLFCFAVGFSAVGAFRFPAIAIAATPVVAVKNDAMLRDKKSKEKGTKSGKSHEYADCTESLLEEVSGLLRIIEEVKNGNQGVNAVDAALEAVKSKKEELHKDIMGRLYKELRVLRKEKGALSKRAGMIIDEIIKAKKEFDKLKSKKNVDEKDKERIVVLEKEVEGLETEYNGKWEKVNEIEDLIVTKETVALSYGVREINFIERECEKLVERFKHEMRQKSIKSSPTSSGTRLSKAVIQKDLETAQRKHFEQIILPSIFSAEDNGPIFHQDSIDFAQRLKRNVKESREMQKNLESQIRKNMKKFGEEKLYIVQSPEEDIIKGFPEAELKWMFGNKEVVVPKAISLHLYHGWKKWREEAKADLKRNLIEDAEFGRKYVAERQERILLDRDRVVSRTWYNEEKKRWEIDPVAVPYAVTKKLIEHARIRHDWGAMYITLKGEEKEFYVDIKEFEMLFEDLGGFDGLYMKMLACGIPTAVHLMWIPLSELDIRQQFLLILRVSYCFSRWLWNSWAVTYARNWIFKKVKNITDDIMVVIVFPIVEFIVPYPVRIQLGMAWPEEIYQSVQSTWYLQWQSEAELNFKSRQTDDGGWVIWFVVRAAIYGFVLFHVFKFLRRNVRNLLGYGPLRRNPNMRKLRRVRYYINQKRRRIKRKRKQGIDPIKRAFEEMKRVKKPPIPLKNFASIESMKEEINEVVAFLQNPRAFQEMGARAPRGVLIVGERGTGKTSLALAIAAEARVPVVNIEAQQLEGGLWVGQSASNVRELFQTARDLAPVIIFVEDFDLFAGVRGTYVHTKNQDHEAFINQLLVELDGFEKLDGVVLMATTRNLKAIDEALQRPGRMDRIFHLQSPTQLERENILYMSAKKTMDDQLIDYVDWKKVAEKTSLLRPIELKLVPVALEGSAFRSKVLDTDELMSYCGFFATFSSMIPKWLRKTKVGKKLTKALVNHLGLTLTKEDIENVVDLMEPYGQISNGIELLSPPLAWTRETKFPHAVWAAGRGVIALLLPNFDVVDNLWLEPLSWQGIGCTKITKARNEGSVNGNMESRSYLEKKIVFCFGSYVASQMLLPFGEENLLSSSEIQQAQEIATRMVIQYGWGPDDSPAIYYRSSATTALSMGDDHEYEMAAKVEKMFDLAYLKAREMLRKNRLVLEKIVEELLEFEILTGKDLERIAKDNGIIREGEPFSLCEVQASEPTSSKFLESGNASGSALLAS >OIW12591 pep chromosome:LupAngTanjil_v1.0:LG04:26532848:26533222:-1 gene:TanjilG_04755 transcript:OIW12591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISSHPSFVSFSLKDLHQRAEDERNSSSYGYLCSKVVAAQAKKQKVMKKSIKGKRPIRILMKRRGGYRRRLVNGIQKRVRTLKRLVPNSDSMGLDGLFRETADYILALQTRVEVMQVMVNVLT >OIW13698 pep chromosome:LupAngTanjil_v1.0:LG04:9272087:9272709:1 gene:TanjilG_08040 transcript:OIW13698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEKLWDDVVAGPQPERGLGRLRKLTTHIKGYTVEKAGANTTVEETLTTPCFLCK >OIW13245 pep chromosome:LupAngTanjil_v1.0:LG04:16853834:16854775:1 gene:TanjilG_14178 transcript:OIW13245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSDKPEVVERGAKDVKHEEDGKGGFLGTVKGFIQDIGEKIEEAVGFGKPTADVTTIHIPSINLEKADLVVEILIKNPNPVPIPLIDINYLVESDGRKLVSGLIPDSGTIHAHGEETVKIPVTLIYDDVKNTYADIKPGSIIPYRVKVDLIVDVPVFGRITIPLEKTGEIPIPYKPDIDLEKIQFERFSFEETVALLHLKLDNKNDFDLDLNALDYEVWLGDVRIGGAKLAKSAKLEKSGISSIDIPITFRPKDFGSALWDMIRGRGTAYAMKGHIDVDTPFGAMKLPISKEGGTTRLKKTEDGGDDDDEVC >OIW12620 pep chromosome:LupAngTanjil_v1.0:LG04:26201505:26203783:1 gene:TanjilG_24553 transcript:OIW12620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFASFVGRVLFASVFILSAYQEFNEYGVDGGPAAKALKPKFDAFAYRVHSQVGFQLPEIDIKFLIAGAIALKGIGGALFILGSSFGALLLLLHQLIATPIHYDFYNYDSEDKEFTQLFIKFTQNMALFGALLFFIGMKNSIPRRQPKKAPKTKTY >OIW12879 pep chromosome:LupAngTanjil_v1.0:LG04:24058930:24059271:1 gene:TanjilG_24812 transcript:OIW12879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTKLNCVTFITLIFLASFFAAMLSVSEARSLPRKEGIAKEVDEVIWALKNSSPLSGAGQMHKRLQELGDMKDSGPTPGVGHRIKTLQNPGAKNSGPSPGEGHKYNTINTHKP >OIW13072 pep chromosome:LupAngTanjil_v1.0:LG04:22098033:22100856:1 gene:TanjilG_17632 transcript:OIW13072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGGAAMSYAEAQYTSAKTSVWWDIENCQVPKDCDPHAIAQNISSALVHLKYCGPVSISAYGDTTRITSTVQQALSSTGISLNHVPAGVKDASDKKILVDMLFWAVDNPAPANYLLISGDRDFSNALHQLRMRRYNILLAQPQKASAPLVAAAKSVWLWTSLLAGKPPLTDSESQQFGNNSFQSSSDTLPIPVSNSAQIPQHVNSYSDVNTGNPKFPNTGRGFDSRQQGKATWRGPSKPNGPRAMNPSTVGVQESRSNMNSFRPGNYNPNISSSVSAQNLMHGNSDKSWSNNSNQQGNHQNPHSQPLRSNSFPSQPPFAPTTSYSPHPPSQPLFLNPPSQPYFAPTTSYPPNPQNFATPVVPPRAGGPSFSARPLTNVVDIGNLNISAHPSSTHDLRPVKQWSGELKQSSSSSSPRPVRPFDKQNGHMVHSTQQSYNGYPQRPEYRPKSLAPMGDKNVPANGVWGPEGYPKPSEYVQGLIGVVLLALNTLKTEKIMPTESNLADCIRYGDPKHRNTDVKKALEHAIEQQMVVKQNLGALQLYVGKNDKVWKCVNPIGGNPKQHSQEIWDEIQKYISTPSGRSAIMGTQCKYEAGIVIKNMCLKDHVLGDVLQILNMVITHKKWIVHHQSGWQPLNVTLAEINSDLGAIAGP >OIW13709 pep chromosome:LupAngTanjil_v1.0:LG04:9166609:9174658:-1 gene:TanjilG_08051 transcript:OIW13709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSQFWKPGTEKPRILEDEEGGVIFLSNSLSSSSSEKQRQRLPVYKYRNAILYLVESHGTTIIVGETGCGKSTQIPQYLIEAGWGHGGRLIGCTQPRRLAVQAVASRVAQEMGVQLGEEVGYTIRFEDVTKPDVTVLKFLTDGVLLREMMDDPLLTKYSVIMVDEAHERSISTDILLGLLKKIQRRRPELRLIIASATIEAKSMSDFFRVRKKRREPENEDSQLQLEPAILSVEGRSFNVQINYAEEPVQDYVQAVVSTVLSIHEKESTGDVLVFLTGQDDIDAAIHLLNEEVQNNGKRSSGLVLLPLYSGLPRAEQELVFSPTPRGKRKVVVSTNIAETSLTLEGIVYVVDSGFSKQRFYNPVSDIENLVVAPISRASARQRAGRAGRVRPGKCYRLYTEEYFLNQMPNDGIPEIQRSNLVSCVIQLKALGIDNILGFDWPASPSPEAMIRALEVLYSLGVLDDDAKLTSPIGFQVAEVPLDPMIAKMIIASNQFGCSEEIITIAAVLSIQSIWISGRGIQKESDEAKLRFAAAEGDHVTFLNVYKGFLQSSKSSQWCHKNYVNYQAMRKVIEVRQQLKRIAHRIGIVLKSCESDMQAVRKAVTAGFFANACSLEP >OIW12800 pep chromosome:LupAngTanjil_v1.0:LG04:24778101:24821319:-1 gene:TanjilG_24733 transcript:OIW12800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRVEERWERLVRAALRRERTGGEAYGRPVGGIAGNVPSALAKNRDIDEILRVADEIQDEDPNISRILCEHAYSLSQNLDPNSEGRGVLQFKTGLMSVIKQKLAKREVGTIDRSQDIARLQEFYKLYREKNNVDRLHDEETKLRESGAFSRENLGELERKTVKRKRVFATLKVLGTVLEQLSKEIPEELKQVMESDSALTEDLIAYNIIPLDAPSSTNAIVSFPEVQAAVSALKYFNGLPELPRGYFISPTRNPDMLDFLQYTFGFQKDNVANQREHIVHLIANEQSRLGIPDGTDPKLDEAAVQNTFLKSLENYIKWCNYLGIQPVWSSLDSVRKEKKLLYVSLYFLIWGEAANIRFLPECLCYIFHHMAREMDEILRQQIAQPAKSCTSENETDISFLDQVIFPLYDIVAAEAASNDNGKASHSSWRNYDDFNEYFWSLHCFELSWPWRRSSSFFNKPQPRSKGLSIIAFNNENLNAKTLRELLSLGPTFFVMKFFESVLDILMMYGAYSKTRHLAVSRIFLRFLWFSIASVVITFLYVKAFQEESEGNANSILFRLYVIVIGVYAGIQFFISFLMRIPACHRLTNQCDHWPVLRFVKWLRQERHYVGLGMYERSTDFIKYLLFWLFVLSAKFSFAYFLQIKPLVDPTRDIIKETNIDYSWHDFFSKNNHNALTVASLWGPVVAIYLLDIYVFYTLVSAVWGFLLGARAHLGEIKSLEALHQLFELFPAAFMDTLHIPLPNRSSQPSVQAVEKNKFDAARFSPVWNEIIRNLREEDYITNFEMDLLLMPRNSGNLPLVQWPLFLLASKIFLAKDIAAESRDSQDELWDRISRDDYMKYAVQECYYAIKHILTEILDEVGRMWVERIYDDINACITNKSSHSDFQLSNLALVISRITALMGILVYEWKNFLARIGRDENALHTDLYDSTGDILELRFWASYRAQTLARTVRGMMYYRKALMLQTYLERTTAGDLEAATGSDEVTDTRGFDLSPEARAQADLKFTYVVTCQIYGKQKEEQKPEATDIALLMQRNEALRVAFIDVVETVRDGNVSTEYYSKLVKADINGKDKEIYSLKLPGNPKLGEGKPENQNHAIIFTRGNAVQTIDMNQDNYFEEALKMRNLLEEFNSDHGLRSPTILGVREHVFTGSVSSLASFMSNQETSFVTMGQRVLANPLKVRMHYGHPDVFDRVFHITRGGISKASRVINISEDIYSGFNSTLRQGNITHHEYIQVGKGRDVGLNQIALFEGKVAGGNGEQVLSRDVYRLGQLFDFFRMMSFYFTTVGYYFCTMLTVLTVYIFLYGKAYLAFSGVGETIGERAKITKNTALSTALNTQFLLQIGIFTAVPMVLGFILEQGFLRAIVGFVTMQFQLCSVFFTFSLGTRTHYFGRTILHGGARSQSKFMTYKPSKGLWWTASEGPLAIITFKTFVSKLEVVLLMIVYLAYGYNEGGTLSYILLTISSWFMALSWLFAPYLFNPSGFEWQKVVEDFRDWTNWLLYRGGIGVKGEDSWEAWWEEELAHIRTFGSRIAETILSLRFFIFQYGIVYKLNVQGSDTSLTVYGLSWIVFAVLIILFKVFTFSQKISVNFQLLLRFIQGLSLLLALAGLVVAVILTDLSVADIFASMLAFIPTGWGILSIAVAWRPVMKKLGLWKSIRSIARLYDAGMGVIIFLPVVFFSWFPFVSTFQTRLMFNQAFSRGLEISLILAGNNPNTGI >OIW12636 pep chromosome:LupAngTanjil_v1.0:LG04:26089105:26094113:1 gene:TanjilG_24569 transcript:OIW12636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVNINSSPKSKWKFHVFLSFRGEDTRLGFTDHLYAALLRKSIITFRDEEELERGEVISKKLLHIIEESLSSIIIISPNYASSTWCLDELQKIVETKKKLGQEVFPVFYGVDPSDVRHQRGSFAKAFRKHEAKFAANKGKVQKWRDALKDIANLSGWDSKNKHEMQLIEEIVDEVWTRLERKLPSYNDGLVAIDSKVDEMCLHLRLWLEDVRFIGIWGMGGIGKTTLATVVFKKIRNQFDVSCFLSNVRDAMKGGEQGQVHLQNKLLSHVKLKSMIVETADQGKDIIRNLLCNRKVLLVLDDVSAKSQLENLAGNQEWFGPGSRIIVTSRDTHLLLSHRVSFDMYKMRTLNFDESLQLFCEKAIKRHQQKEDYLDLSRSVVKYAGGLPLGLEVLGSFLCGRTVHEWENALIKISKVPHDDIVNKLKISYDMLEEECKTIFLDIACFFKGWYKDKVTKILDNCGLHATIGIQVLIEKSLVTCDGRVLGMHDLLEEMGKTIVYQESPNDLGRRSRLWSQEDIDKVLTENTGTEKVQSLVLKPQIEAYEAYWHPKAFSKMCNLKLLIILCDMHCSLSLKCLPKSLKVLIWTGYPLKSQPRGVQLHELVHFQMSNSKVEKIWNGSQIFGKLKVIDLSYSNNLIQTPNISGEPNLEELFLDGCVSLIELHQSVGQHKKLTVLSLIGCIKLKILPSKLEMSSLKRLFLCDCLNIKRLPDFGESMESLSLLNLMNCSNLLSLPNTISNLKSLRRLNLSGCSKICRLPDNINENRVLEDLDVSETSVREVTSSLFHLENLKRLSFRGCSGPVSNNWEEQPPTYLRLPASVSGLSSLNTLDLSYCNLNFRLIPKDLGHLSSLESLILSGNKDLVRPAASISNLSKLSYLELEDCGRYAHGAVPQHLLDFDVEAGLFLDLWKFWKLFESDDSELLCQVRDPSYPITYLEIPPKFGNDIFFPVGQRLSKLESSASVTVDIPNECGKGEWWGLVVFITFETLVSSSSFSTFNIELCWSFEASHPEAGPSLYLSSHAEAHYNSCLVTMIMNDNYIYIQLHHRKYHNISESKAFSKHRKPDFSENSRLRFDVQVGLQKIRQCGYQVLCKEDFISETLLKWHKRSIDDPNSQDSSALNNSDMEFRGEYATTSNGEGLDAQSLKRGFGLANMIKGVKRLFKQT >OIW13198 pep chromosome:LupAngTanjil_v1.0:LG04:18290055:18292077:1 gene:TanjilG_17641 transcript:OIW13198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTKTKSINDVEIDDEGKDLVLATLIANGDDLSPLVRHAFEMGRLEGLLCQLNYVVKKKEAEIEEMCKMHYEEFILAVDELCRVSVDDEELKSELQSDNFKLQQVGTNLLVKLDELLGSYSVKKNFTEAIKISKNCIQVLELCVKCNNHISEGQFYPALKNVDLLEKSYMENVPARALKKVIEKIIPFIKLHIVKKVCSQVNEWMVHIRSSCKHIGQTTIGHVVSICQRDEEMLERKRKVDEQSTPVIEDQAYTLDVVHVDEDSAMKFDLTPLYRACHIHSYIMLVFPYIASFSSMVPDVCRSVRSFIKGFVDYLSYGVRTGYFDVMRKYLDKFLIEVVNETLLDIINSGNITSEETKQNGSDYMNEVIFYLDSLMPTAQQILPLDAMYKVGFGALEHISNKFVVVFLSDSIKRFNANVVISINGDLKMLENCADDRFYSSGLGEIYKESFKSCLIEARQLINLLSSSQPENFMNPVIREKNYYALDYKKVASICEKFKDAPYGIFGSLSNKNTKQSAKKKSMDVLKK >OIW13002 pep chromosome:LupAngTanjil_v1.0:LG04:22548379:22553786:1 gene:TanjilG_15451 transcript:OIW13002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILMFHYPFVLSLLLASFILGGVESHQESGEWRCESESEIRVYSDFKPGVITLDGHADDWKDIDGSQFSLLPALDPHAENEFKGGKMIIKSVHDGHDVFFLLQVDGDYAYSKGEGNKCPSVALMFQIGDSATYHNMGGCEEHSTSCTDKTCKGHEVDIMHFSIGNAIPGRLYGGNLLDNRDGNGGDRFGHLVDVYAWNPHCRYLDGIGPSGSANDSSAQNDWKGAWWHSSFTVQSGFVADESPYAENGKQGTYFFEFSRPLRTMDHLQQDVQFTIGGSTKMAIAFWYPVDGQPWHGSGHYSVNCDWVPIDVSSDAYLSDKSVNTGSSSSWNIASAFSLILSVAALCVSVFVSYRVFNPKNVGYTPTGNL >OIW13852 pep chromosome:LupAngTanjil_v1.0:LG04:7826369:7826650:-1 gene:TanjilG_31741 transcript:OIW13852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSKLFIGGISWDTNEDRLRQYFQNFGDVVEAMIMKDRTTGRARGFGFIVFADPSVAERVVLEKHVIDGRTVSFSYWFICFCNISIASAEIS >OIW12838 pep chromosome:LupAngTanjil_v1.0:LG04:24461438:24468003:-1 gene:TanjilG_24771 transcript:OIW12838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSFKLAFLFIIVNFANNKAHASIFIGSSCIGNTITPNSALWLNRKTLLSYLSSNATNNKDYYNATVVGINHTNDTLYGSFMCRGDVPFQVCGQCILNATNKLSSDSDSSYCPLAREVSIWYDECMIRYSNHSFFSIVDLNPPISTWENDANATDEKSFMHLLYDTLNQTANEAANNHSIGVKKYATKQARISGFQTLFSLAQCTPDLSPKDCRTCLNLMISNIKEGGIDTSPTFQEFSVDNTSNLNQQQSFFTWSLANTLSQVQIDKWGSSIKNYGTRSLKVDDLHTLYILAQCTPDLSIWDCSTCLHNIFRYSIPWCCLASPKGKVLHPSCYLMFGLSQFYEVGDEAWALEPVTTSPGTKATIQFYNTTVSATSETVYGLFMCRGDVTSEMCQECIAIATKHIASRCVYSKEAVIWYHMCMVRYSNRYFFSTVEEWPRLNFVAYNVTRNVIKKGSYGFLLANTLNDAVAEAANADPLGNKKFATRNVTLPGSLKQKVYVLVQCTPDLSRQDCNRCLSDIMSSIPFCCLGRDGGMVLYPSCNFMYALDLFYRDASIAVAVTPTPLALEESALPRKARLRLISIAMVPILFLLILFFAKRIRRLNELRRYKAILKENFGNESTTLESLQFDFAAIEAATNKFSNDNLIGQGGFGKVYKGTLLDGREVAVKRLSKSSGQDPQKQRLLSWRERYKIIGGIAQAIQYLHEYSRLKIIHRDIKPSNVLLDDKMIPKISDFGMARMVAIDQEQGRTNRVVGTYGYMSPEYAMLGRFSEKSDIFSFGVMVLEIISGEKHSTSYQPYHVDGLLSHVWKQWRDGAPFEILDPSLHGSCSQTEVMKCIQIGLLCVQEIPDDRPLMAEVVSYLSSPSVELPFPCEPAFFIHGGMEINMVEMELELDGLAKNITPFSINEMSISESLPR >OIW12544 pep chromosome:LupAngTanjil_v1.0:LG04:26898242:26904267:1 gene:TanjilG_04708 transcript:OIW12544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMEGFWSMFCGDYGCSETRGKPCSYDFKIFVDPSTCVNHLLIICIDVLLLLMILFIMIKKSSSKQYQGRIRLQRYSILQLLSAIANGTLGLVYLCLGIWVLEEKWRKTQTALPLNLWLLEFIQGLTWLLVGLAMSLKLKHLSRAWLRVFSVVIFLVSGILCASSLFYAIRSRDLTLKVALDVLSFPGAMLLLLCTYKESNHEDTDREIDESLYAPLNGDSNKIESVDNVTLFAKAGFFNRMSFWWLNSLMKRGKEKSLQEEDVPKLREDDRAESCYFLFLDQLNRHKRKGPSSQPSVLKTIVLCHWREILISGFFALLKVLAVCCGPLLLNSFILVAEGNESFKYEGFALVISLFVIKIIESLSQRQWYFRSRLVGLKVRSLLTAAIYKKQLRLSNSARLTHSGGEIMNYVTVDAYRIGEFPYWFHQTWTTSVQLCISLVVLINAVGLATVASLVVVVVTVLCNTPLAKLQHKFQSKLMVAQDARLKASSEALVNMKVLKLYAWETSFKNSIEALRDVEVKWLSAVQLRKAYNSFLFWSSPVLVSAATFGACYFLDVPLHANNVFTFVASLRLVQDPIRTIPDVIGVVIQAKVAFTRITKFLEAPELENSNVKKSSFNDNVRGSILIKYADFSWEDNLSNPTLNDINLEVRPGQKVAICGEVGSGKSTLLAAILREVPITRGTIDVYGKLAYVSQTAWIQTGTIRENVLFGSDIDPQRYQETLHRSSLVKDLELFPHGDLTEIGERGVNLSGGQKQRIQLARALYQNADIYLLDDPFSAVDAHTATSLFNVITTSLSHMLHLYFSMFFTKDGFETWQEYIMEGLAGKTVLLVTHQVDFLPAFDTVLLMSDGKILESGPYDHLLTSSQEFQDLVNAHKETAGSDRPLDVTSSNRPSNATGEIKKTSMENQFEASQGDQLIKKEEIEKGDQGFKPYLQYLNQNRGYIYFVVAALSHLIFVIGQILQNSWMAAYVDNPEVSTLRLIIVYLLLGVISTLFLLIRTLTTVAMGIQSSKSLFLQLLNSLFRAPMTFYDSTPLGRILSRVSSDLSILDLDIPFGFVFAVGATINCYANLTVLAVVTWQVLFVSIPMIYFAIRLQKYYFATAKELMRLNGTTKSFVANHLAESVAGAVTIRAFEEEDRFFTKNLDLIDVNASPYFHSFAANEWLIQRLETVSAVVLASAALCMVVLPPGTFSSGFIGMALSYGLSLNMSLVFSIQNQCNISNYIISVERLNQYMHIPSEAPEVIEGNRPPVNWPVVGKVEIHDLQIRYRPDAPLVLHGITCTFEGGHKIGIVGRTGSGKSTLIGALFRLVEPAAGKIIVDGIDISSIGLHDLRSRFGIIPQDPTLFNGTVRYNMDPLSQHSDQEIWEVLGKCQLLEVVQEKEEGLDSSVVEAGANWSMGQRQLFCLGRALLRKSRVLVLDEATASIDNATDLILQKTIRTEFADCTVITVAHRIPTVMDCTKVLAISDGKLEEYDEPSKLMKREGSLFGQLVKEYWSHFQSADSH >OIW13635 pep chromosome:LupAngTanjil_v1.0:LG04:9641187:9643413:1 gene:TanjilG_07977 transcript:OIW13635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDATFKPMHDSHRKGVFFNTNTKQKINISSQITREGKLTCRVCKKEFLVPSNITTYRCYACQGVLSKSSSDFEQPRNDNRTNLLKHNAEINGSFLSNSSHSTGSSSSLSVRCNKRAVLCGVSYGKRKFRLKGTVNDVIDMKELLVKNFKFPMDCIRILTEEENNPDLIPTRRNIMESLKWLVKDCKCGDSLVFYFSGHGLQQPEHYKQDEIDGFDETICPVDFMKEGVITDNELNSTIVSPLTNGVTLHAIIDACHSGTTLDLVYVYRQKNGVWNWEDDKPGGENPVNKHTSGGLAICLSACEDSQMAADTSVFGGKGMNGVMTYLFTKIIREQPGITYGGLLEKMRGEIRKIHQSKWYNGVLKYIVHPKIEQDPLLSSSGKFNVSKTRIAM >OIW14170 pep chromosome:LupAngTanjil_v1.0:LG04:3244362:3245822:1 gene:TanjilG_21310 transcript:OIW14170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRKRPYSPPPPSSSTTLSPNSTIKRPTFTSYLDIPNLTPKINTLCEIIATTPSLSVEKTLSETGYRITREEVEEVLKLSYGFPGQAVKFFRWSGHQLNDNHSPYSWNLVVDLLGKNRFFDAMWDAIKSMNKERLLSLATFASVFSSYVNAGRVREAIVAFEVMENYGCVRDVVALNSLLSAICRDGRAFDAYEYLQVAKKMIVRPDSDTYAILMEGWESEKNADSAKETFAEMVKELGWDPGNVPAYDSFLCTVIRRVNGLHEAMKFFDSMRVRRCYPGMRFFKVALDECVKYLDVRMAEFFWEEMVGKTGLQPNTQMYNSMIALYCYQNDTDEAKKMLDDMIFKGAFPDLLSYNLLFRFLIKGRKLREASVVFNEMIKNECGPDQPNCDAAVRAYLDNGDAFMAMKVWKCLIENYHKDLEDTANLLIAGLRDADRVPEAVKYAEDMISRRIKLTSSTLSKLRQSLVKDRKEFVYEELLRKWKSL >OIW13892 pep chromosome:LupAngTanjil_v1.0:LG04:8092225:8095389:1 gene:TanjilG_31781 transcript:OIW13892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTQESSSDELERHANSGHHVRGKGEYVRLVISDDPVAVENEMLQPQAESRHNSFRWWIKAFVWCFVIVVISLLLLKWGVPFVFEKVLYPVMEWESTAFGRPVLAFVLVASLALFPVFFIPSGPSMWLAGMIFGYGLGFVIIMVGTTIGMVLPYLIGLLLRDRIHQLLKRWPRNAEMIRLAGEGSSFHQFRVKVITESDEVQKEIEEQDKDLGTKGKLCCLYAKILVALFYLLCFLQPLEVVSYILPASRAAASHIHNGRLIRTFADAQNGKHHVTTVEIVYNIISFIIAVVTIVAFTVYAKRTLNELKIAEANEEATSVSGNASFEMQKSSH >OIW13388 pep chromosome:LupAngTanjil_v1.0:LG04:13579684:13585763:-1 gene:TanjilG_16497 transcript:OIW13388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPRRMKKAITDNPKKLANLIDLVNLPSTLRDFVGQSQISRLGCFMRVWSYIKTNNLQDSNNKNVVNCDEKLRSILLGKPQVELTELPALIKLHFPKEPK >OIW13557 pep chromosome:LupAngTanjil_v1.0:LG04:10515415:10516551:1 gene:TanjilG_29298 transcript:OIW13557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTALYICFLLYFPLVTAQSADDEPSTLSDVNSDNFQRGFNPSITIILVVMVAAFFLMGFFTIYTRHCTDGPSNNMRIHGVEIRPRKVARGIDRALIETFPILEYSEVKIHKIGKEALECAICLCEFEDSDTLRLIPKCDHVFHPECIDEWLSSHSTCPVCRANLIPQPGESVHALPIQANVDSVHDIEAQNDVVLDPTPEIIRHQNTRVVSERVLSSENPELVIIPPSNKRTLNRTNTRDRSNRPRRFPRSHSTGHSLVQPVENTERFTLRLPLEVRKRIINRQLQRASSFILLTNRTDCEGSSRGRISKLLNLSFKQDRWVFTMQPPFLTRALSPKPVSPSRITVSNTTEGDSNPLTQQPTVGTPLPIAELDRLPV >OIW12495 pep chromosome:LupAngTanjil_v1.0:LG04:27168221:27169897:-1 gene:TanjilG_04659 transcript:OIW12495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFRENLPSSLTPRRYFHHSLSLSLSSSSFYQFVFLQIIEAEQLQLHKYIQENYSKIRDVERELASLNMEIKLTAGPKKAALELLRKKIEVSTEKIRIAKLKEEQARMAWESASKAVKDEEAVKQKLCEDLSNLVQESSTAQFSRLEELKRRLEAMNPSRASTNVYHDEGPPKSSQDGTKGNSSAPNKASEPSGGSADSVPNQSNGQKVVTSGPNQQTPNEVEGRNKKKVNFQSKGKGIGAVPKGRSSGPGWTGAGFDVDGRT >OIW13015 pep chromosome:LupAngTanjil_v1.0:LG04:22391911:22397859:1 gene:TanjilG_15464 transcript:OIW13015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEARFGTEAYHYYDIGSGSHDLRGLGKRSTEWDLNEWRWDGDMFLASRLNPVAAAGVGQQFFPLGSGIPVAVVGGSGSPNGNSSCSEEADIENKKRNKEGERKRRVIVLEDDGLNEEAGALSLKLGGLGEHLAGREVASWDGVNGKKSRVGGGTSNRAVCQVEDCGADLTKAKDYHRRHKVCELHSKATNALVGNAMQRFCQQCSRFHLLQEFDEGKRSCRRRLAGHNKRRRKTNQEAVPNGSALNDDQTSSYLLISLLKILSDMRTERANQTTEQDVLTHLLRSLASQNGEQGGKNLSNLLREPENFLKEGGSSGKSELVSTLLSNGSQGSPTDIRQHQTVSMSKMQQQVMLIHDARVTDHQTMSSAKPSITNSPPAYSEARGSSAGQVKMNNFDLNDIYIDSDDGIEDVERLPISTNHGTSSLDYPWAQQDSHQSSPPQTSRNSDSASAQSPSSSSGEAQSRTDRIVFKLFGKEPNDFPLVLRAQILDWLSHSPTDIESYIRPGCIVLTIYLRQDEVVWDELCYNLTSSLNRLLNVSDDTFWRTGWVHIRVQHQIAFIFNGQVVIDKSLPFKSNNYSKIMSVSPIAVSASRRAQFSVKGVNLIRSATRLICALEGKYLVCEDAHESMDQQSKELDQIQCIQFSCSVPVMNGRGFIEIEDQGLSSSFFPFIVVEEDVCSEICLLEPLLELSETDPDTDGTGKIEAKSQAMDFIHEMGWLLHRNQLTSRMAHLKSSAELFPLKRFQWLIEFSMDHDWCAVVKKLLNLLLDGTVNSGDHPSMYVALSEMALLHRAVRRNSKQLVELLLRYVPENVSDKVGTENKAVVDRENKSFLFRPDVAGPAGLTPLHIAAGKDGSEDVLDALTNDPCMLGIEAWKNARDSTGSTPEDYARLRGHYTYIHLVQKKINKRQGAPHVVVEIPSNVTESTTNQKQNESSTTFEIGKAKVKHGQGLCKVCDTKLSCRTAVGRSLVYRPAMLSMVAIAAVCVCVALLFKSSPEVLYVFQPFRWESLDFGTC >OIW14321 pep chromosome:LupAngTanjil_v1.0:LG04:413294:416125:1 gene:TanjilG_21461 transcript:OIW14321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKVQGVLKLLEEDGDSFAKRANMYFKNRPEMINFVEESFRAYRSLADRYDHISTELQQANNTIASVCPDQVPYMDDDDDASPRPPRKMPEVSKPVVVPNIPKPPVKDLKSIVTMATKKLNPKNAGTKVSAKSIPKSGLGKKELREEIDKFQKQILALQTVKEFVKSSYDSANARYWETEEQINELQEKVSGLQDELGEGIVIDDEEARRLMAEAALKSCQETLSQLQEKQALSLDETRIESKRIKDVMTKLNSLMDEFDYDQTDPKDPRAKREVKEIVGTKDLDEDVEKMTQQRQELQLLQDKIKEHFEAGSYSALTVSEMAEKIDELVTKVISLETAVSSQTALVKRLRIETDELHSLVRNLEGDKEILINDKAKLNDHLKEMEEKLHEVQDMNQIVEDQNSNLQTHFTEAHCNLDHLSEKIQDVKQDEEEVEVRNISLTEMSSSSDGLKDGLETQDASDKDGVLSNDFESYEKVKATASVEDHVDKELMVTNMVEDVLLSDNKLNLTGSSEEYLDSDNELKVTDSLQLEKALENSDTVSTIAGNQEVGQHQADTSPKSSVGHQENDFKHISSKTESTPKGNSQDQSMTQEDEPDWRQLFTNGMQDKEKVLLSEYTNTLRNYKDVKNKLAELEKQKQDTLFDSSLQLKELKTANALKDEEIRLLHQKLDFLKKKIEENVDLGELTSLQPPQDHDIHATIKIEELETTSAVEEKFRTDIDELLEENLAFWFRFSTSFNGIQKFETTIKDLLTEVSKIDESLKKSTEGSSSTKYSLKSDARPLYKHLAEIQTELTVWLESTMLLKEELQHRFSSLCEIQEEIRIALNTSAGDDDFKFTSYQAAKFQGEILNMKQENNKVADELQAGLDLVTSLQLDVEKALAKLNEQFGLSNSKRVQGTNSESHNRVPLRSFIFGVKPKKQKQSIFSCMTPAMHRKYRASKG >OIW12840 pep chromosome:LupAngTanjil_v1.0:LG04:24447691:24448653:-1 gene:TanjilG_24773 transcript:OIW12840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIISKLLSFLCFLLIFNFITKSCAQPISVPYCENNSTYTTNSTYHQNLNTLLSNLTSNTETNNYGFYNLSYGQDTNKVYAIGLCRGDIKTDKCNSCLNNARINLTQLCPNDKGAIGWYDDEKCMLRYSDRLIFDHVETGPAYYVWNLNNANDSDQFNKDVINLLNSLKIKAASGDSYLKYAAASANGPRNQIIYGLAQCTPDLTLSECSFCLNESISVIPSCCNNRIGARIVRPSCNLRYETGFPFYEAIAYAPSPAPSTDAPPPAPSTDAPQPPPSADASPARPSTAAPPPPPSTDTPPPLPLPTSQGTCRVTFCLIS >OIW14145 pep chromosome:LupAngTanjil_v1.0:LG04:3620032:3627900:1 gene:TanjilG_21285 transcript:OIW14145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDFSPSYSSPSYSPPSSPPPSPPHSTQSKPLHFSTTEAFSPSYSPPSYSPPSYSPPSYSPPSSPLPPSPPHSSQSKLLHPNAPPRNPYHDPSLPLPPQECYYKQVADKRRKIEIQRDRSILHGFDLQPTATSLLHSYGVVSLQNFINDFTKVPLKKRNAKKKLFNSLAFHYPTSFSLKLAKLLLIHPPIHIRSEVVSLLRETLTETHGKDDRKISCLILVEIKSPILESFKNEVEEVLLLPLSETIANVASRIYGFSIGGWLELLEYIVSCVSLNRNDDDSVLKQRKGLMLLAELSSDVIENREFWKNHYVGLYENLVVRMVDETANENFQNLTFNALLALMGMSQALEEFEVGGDILLLLLDYIDRNPREEIVLNRVQDLGDFISLDVDEVIDGKEENMFQSLLRIAEKEDASEELRCAAVQVIKELDEKNVYTMAKVMNEISDVDARRVLKVSLLMMLRIEEDPLWFKSNEESKAGISQSFALGRFLMYWLCFEADGSIIVPMAIEFLKTSYSASKNWRKRHAGMLLIAAFSERQKNDIVKCFVEFESLIMKSLNDGHHRVVWAAINATKWLGECKLIPHGKYQYHMKFFAKLFSIVKSIHCSKLQVNAIIAIRTLAINCGLDKMTSFGEEIVVVMLELLKNDQAKIKEEAVETLESVAALIPTNFKKYYHRTMETLKGILFHNHNKPSLLLRIKSLECMSSILSRTENAKAKFIQEDAVNELIYSFAVIAYMTIFWCSCLDENGRIHVLNILSHLSVRSMRVFYPHVGRGVKLLIIRAAETLKGRFSPFLDDILSNVALLWLYCLIEDGRSIMGEETEDGAKISDMAVSALGKICEFQCENIDDPQILFRSDELVTEETFSEILDFMDKHGGGF >OIW14007 pep chromosome:LupAngTanjil_v1.0:LG04:6415054:6420668:-1 gene:TanjilG_09358 transcript:OIW14007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGNSNGTSCLLPFGENSSGLYPMMMVPLVTSHHAGHHQINPHNLNHNSSNNNTDSTINANTNCLFLPMPSSSTNDHHHNPNSNNSGRNPSIMLENNHNTSANNNSNTGIGYYFMESDHHHNNNNGSSSSSSSSVKAKIMAHPHYHRLLAAYVSCQKVGAPPEVVARLEEACASAVAMAGDTGGSACLGEDPALDQFMEAYCEMLTKYEQELSKPLKEAMLFLQRERNGSYEEDVDVENIIDPEAEERELKGQLLRKYSGYLGSLKQEFMKKRKKGKLPKEARLQLLDWWSRHYKWPYPSESQKLALAESTGLDQKQINNWFINQRKRHWKPSDEMPFYSNMVDPSHSQYYMDNVLTHPFPMDLSNTML >OIW13789 pep chromosome:LupAngTanjil_v1.0:LG04:6990827:6993995:1 gene:TanjilG_31678 transcript:OIW13789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPNNNNNNLSSYFHHFNHHQSPSNGVLPPTTDGSHSHILFPQTTATTVVPSPPPSAVSSQSQLQKKKRGRPRKYASPEQALAARKAATTSSSPASATLSVASSKKSSKSFSIGTVGQDFSTQFITVLAGEDVGQKIMLFMQQSRREICILSASGSISDASLSQPATSGGSITYEGRFEIISLNGSYIRNEVGSRSGGLSVCLSSTDGQIIGGGVGGPLKAAGPVQVVLGTFTIDPKKDASAGIKGDVASSKLPPPPVGESVSSFGFARTVDSSTGNPVRGNEEQQTIGGSHFMIQQGGMQGTHSRPSDWGGHPDSRNVAFELTGRTGQGAQQSPDENGDYE >OIW13184 pep chromosome:LupAngTanjil_v1.0:LG04:19034651:19055437:-1 gene:TanjilG_17540 transcript:OIW13184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIEQQDQPHSPTDPPKINRLSDSVVNRIAAGEVIQRPVSAVKELIENSLDAASTSINLLLKDGGLKLIQISDDGHGIRYEDLPILCERHTTSKLSSFEDLMSIKSMGFRGEALASMTYVSHVTVTTITKGQLHGYRVSYRDGAMEHEPRACAAVKGTQIMVENLFYNMTARKKTLQSSADDYSKIVDLVSRFAIHHNNVSFSCRKHGATRADVHTVVTSSTLDAIRSVYGVSVARNLIKLEASDNDPSSVFEMHGFMSNANYAAKKITMVLFINDRLVEWSALKRAIEIVYATTLPKASKPFVYISIVLPPENIDVNVHPTKREVSVLNQEAIIEQIQSVVESRLRSSDEARTFQEQACPGNILYSMLNVFYSGRQSSLSQNNTSKEVNLSPTTPGSRSQKVPVHKLVRTDSLDPAGRLHAYVQTKLDGHIEKTASLNAVRSSVRQRRNPKGSLDLTSVQELLDEINNKCDPGMMDIVRHCTYIGMADDVFAVIQHNTHLYLVNVVNLSKELIYQQVLSRFARLNAISISDPLPLKDLIILALKDEDLDSECNDEDHLQEKIAEMNSELLKQKSEIMDEFFSIYIDKHGNVATLPVILDQYTPDMDRIPEFVLCLGNDVDWEDEKNCIQGVSVALGNFYAMHPPMLPNPSGEGLLFYKKRKVLDNCTKENACDSTGSNIDNDKVDHELLSEATTEWAQREWTIQHVLFPSMRLFFKPPVSMATNGTFVKVNTHSSFIQSNLSFLRISFLKFHYDSMRYIILIWGEIVY >OIW13784 pep chromosome:LupAngTanjil_v1.0:LG04:6959555:6965470:-1 gene:TanjilG_31673 transcript:OIW13784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIVRKDLVRDGPGSVKMVAVDSDDLWYAYNLIAPGDSVMAVTVRKVLKEAASGGRDAERVKLKLEVKVQEVADYDKEGSILRVRGKNILENEHVKIGAFHTLELELQRPFVLRKDVWDSYALEVLQQASDPGASADLAVVLMQEGLAHIILIGRSMTVTRSRIEASIPRKHGSAIHGYESALNKFFENVLQAFLKHIDFNVVRCAVIASPGFTKDQFHRHLFLEAERRQLRPIIENKSRIILVHTTSGYKHSLREVLDAPNVMNLIKDTKAAQEVRVLKDFYDMLSNDSSRACYGAKHVEVANERLAVQTLLITDDLFRNSDIAARQKYVNLVNSVKDSGGSVHVFSSMHVSGEQLAQISGIAAILRFPLPDLEDIEM >OIW13806 pep chromosome:LupAngTanjil_v1.0:LG04:7267611:7269084:-1 gene:TanjilG_31695 transcript:OIW13806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVWFIRQEVEEKANKIFVFTEEVRYDVVGVVVRVGSEIKKLKVGDEVYGDINENAINHSKTIGSLAKYIVAEEKLFSHKPSNLSFVKVVSLHLALITAYQGLEKIEPSAGKSILILGGPGGVGSLAI >OIW13352 pep chromosome:LupAngTanjil_v1.0:LG04:15266146:15268189:-1 gene:TanjilG_02872 transcript:OIW13352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANGEECIELKFRIYDGTDMAHCIYSSCTTVGTLKQKLVAEWPQGKTVVPKSVSDVKLIHAGKVLENNKTLADIRITFGDIPGGAITMHVVVQPPLAKMKTGKNDDDKQKMNSCSCTIL >OIW13006 pep chromosome:LupAngTanjil_v1.0:LG04:22480670:22486589:1 gene:TanjilG_15455 transcript:OIW13006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAFASKVEEGREGENGKPSVGPIYRNLLSKNGFPPMDPDFSTAWDIFSVSVKNHPHNRMLGWRKIVDGKVGPYNWKTYKEVYDEVLYIGSALRASGAEPGSRIGIYGANCPQWIVAMEACSAHSFVCVPLYDTLGPGAVNFIIDHAEVDFVFVQDKKVKEVLNPDCKSAKRLKAIVSFTTLSEEEKDKTFAIGIKPYSWDEFLHMGKENPWNIFPPQAHNICTIMYTSGTSGDPKGVVLTHENIAALVRGLDLFLEQFEDKMTVDDVYLSFLPLAHILDRAIEEYFFRNGASVGYYHGDLNALRDDLMELKPTLFAGVPRVFEKVYQESSRRSQSNKEKSFWHALQLVKARLGGRVRLIISGGAALSPEVEEFLRVTSCAFVCQGYGLTETCGPTTLTFPDEMCMLGTVGATAVYNDVQLEEVPEMGYDPLGTPPCGEICVRGKTVFTGYYKNPELTREAIKDGWFHTGDIGEILPNGVIKIIDRKKNLIKLSQGEYIALEHLENVYGITPIVEDIWVYGNSFKSMLVAVVVPNEEITNKWAYSNGHITPFSKLCSLDQLKKYVLSELKSTAERNKLRGFEHIKGVILDSQEFDMERGLVTATLKKKRNNMLKYYQVEIDELYQSLSIDKA >OIW13457 pep chromosome:LupAngTanjil_v1.0:LG04:11023457:11030730:-1 gene:TanjilG_22248 transcript:OIW13457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEQVLPFSVISVVEDVLQNHGRRLSDVNLASRKAEETSLRRYEAAGWLRKTVGVVGGKDLPAEPSEEDFRLGLRSGIILCNVLNKVQPGAVSKVVEAPNDSVLIPDGAALSAYQYFENVRNFLVALEEVGLPTFEASDLEQGGKSSRVVNCVLALKSYAEWKQGGKLGTWKYGGIGSSKPPTSGKQLMRKNSEPFMKSLWTPTPSVDRDAMSDHSSYSDSGLEHNEGGSFPFLHSLVREYLCDKRPEEIPTVVESLLGKVMEEFERRMQIQHETLKITQDEKPPSELECSISKATSVDEEMEEKEDAQDLQVKEELEEESYDTKNDHDESSSQNLKKQELVQQQNRSIQELKTIVYETKSGMEFLQKKYQEEIIYLSKHLHSLASAASGYHKVLEENRKLYNQVQDLKGNIRVYCRVRPFLGGQPSHYSSVSNVEEGNISILTPSKYGKEGKKIFNFNRAFGPSATQAEVFSDMQPLIRSVLDGYNVCIFAYGQTGSGKTHTMSGPDELTDETIGVNYRALGDLFLLSEQRKDTICYDINVQMLEIYNEQVRDLLTTDEIRNSSHNGINVPDANLVPVSSTSDVINLMNLGHKNRAVGSTAMNDRSSRSHSCLTVHVQGRYLTSGSTIRGSMHLVDLAGSERADKSEATGDRLKEAQHINKSLSALGDVIASLASKNAHVPYRNSKLTQLLQDSLGGQAKTLMFVHISPEPEALGETLSTLKFAERVSTIELGAARVNKDASSTSNSDVKELKEQIASLKGALARKEGEQVRANSNNHEAPKLKSCGSSPIRFGIQKPRDDSSSLEGQKKAASKLKRRSLDIHDMYRNSPQWPHGANYGTNTKDDDKESSTSSDWNDKIMMKRNDSLTSDDSLVGQWESETKQYSPLLSPSSLSETSKMCIETSSLHGSDMNISDECDELEIATSESSESELNWLSPHIPKPTTISNGLPSKSKKSTTQPKVLRIPEPRTMIPSLIPTPARKYSTVVSQPRKLPGPIDVKRKSGNAK >OIW12834 pep chromosome:LupAngTanjil_v1.0:LG04:24494027:24496894:1 gene:TanjilG_24767 transcript:OIW12834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKIKRICKSFKYITQMFDVKEQEMEIGYPTDVKHVTHIGWDGSTGSGPSWMSDFETASEFSTSFGNLGGARDPNPMAMSTSWSSLDFEECTGSQPTPNTYKVTPPVGVPLVPKKSTRKKVKSTSSTESLSASSRQSSRTAKSKGSYSEIEATPIAQARFKM >OIW13693 pep chromosome:LupAngTanjil_v1.0:LG04:9305567:9307264:-1 gene:TanjilG_08035 transcript:OIW13693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVESFVIGTVPFNADGWGPPDAAVDGSNTTNLPHNVPFAPFSRSDKLGRIADWTRNFNNPTRSKNPSDAVFDFTHDDSFPASAEDDATFRLVDGKPPPRPKFGPKWRFQQQRQLPQRKDEEVEAKRREAEKERARRDRLYHQNRSNPNGPRREAAVYKSSVDIQPEWNMRDQIPFSSFTKLSFTVPEPEDLQFCGSLEYYDRTYDRTTPKSDRRLERFKNRTFFKVTTTDDPVIRRLANEDKATVFATDSILSTLMCAPRSVYSWDIVVQRVGNKLFFDKRDGSQLDLLSVHETSQEPLPEAKDDINSAHSLSVEAAYINQNFSQQVLVRDGNKVNFDEPNPFANEGEEVASVAYRYRRWKLDNEMYLVARCEVQSVLDVNKQRSYLTLNALNEFDPKYSGLDWRQKLETQRGAVLATELKNNANKLAKWTAQALLASADMMKLGYVSRIHPRDHFNHVVLAVVGYKPKDFAAQINLNPNNMWGIVKSIVDMCMKLDEGKYVLVKDPSKPQVRIYQVPADAFENDYVEEPLPEEEQAQPPAEGVDGEEATATTIDVNDIKIDNQA >OIW13265 pep chromosome:LupAngTanjil_v1.0:LG04:16383032:16395971:-1 gene:TanjilG_25744 transcript:OIW13265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLESEKGYTPAQEFVQVENESLVDFNLTDSTELWLLQVPFSNDFLTDIDGKELSLKLDNDGQLTSFEGSSGKVYDFISFGDQEPDETVFVPSSIEPKIAGKISRRISVVHYPDPKEREKTNSPNSRLKQRNSAGVSVTNSQYFPMQSGHPQTSQSGRAASSKGSNRKSSLSEFSTAKRRLSKSLISEDPSNGTNVHDLSHGEVKDGEYLSMLFDPSIKELAEQIAKDPSFNQMAEQLQKTFQGATPESIPNFDNQQYLQTMQQVMQNPNFMTMAERLGNALVQDPSMSAMLESFTNPSNKEQIEERMARIKEDPSLKHILEEIETGGPSAMMRYWNDEEVLGKLGQAMGLANAGDAAASVENSVPDETDDVGNEDESIVHHTASTGDIEGLKSALAAGADKDEEDSEGRTALHFSCGYGEVKCAQVLIEAGAKVDALDNNKNTALHYAAGYGRKECVALLLENGAAVTLQNLDGKTPIDVAKLNNQHEVLKLLEKDAFL >OIW12911 pep chromosome:LupAngTanjil_v1.0:LG04:23757176:23759898:1 gene:TanjilG_15831 transcript:OIW12911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCASFAKLTTLSPHWIGNNSFSSRRGGSSPLTATRRVSLPIRASSYSDELVQTAKTIASPGRGILAIDESNATCGKRLASIGLDNTEVNRQAYRQLLLTTPGLGEYISGAILFEETLYQSTTDGKKFVDCLREQKIVPGIKVDKGLVPLPGSNNESLCQGLDGLASRSAEYYKQGARFAKWRTVVSIPSGPSALAVKEAAWGLARYAAISQDNGLVPIVEPEILLDGDHAIERTLEVAEKVWSEVFFYLAQNNVLFEGILLKPSMVTPGAEHKEKASPETIAKNTLTVLRRRVPPAVPGIMFLSGGQSEVEATLNLNAMNQSPNPWHVSFSYARALQNSVLKTWQGRSENVEAAQKSLLVRAKANSLAQLGRYSAEGENEEARKGMFVKGYTY >OIW13110 pep chromosome:LupAngTanjil_v1.0:LG04:20843376:20848458:-1 gene:TanjilG_08143 transcript:OIW13110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPQSVVLSLLIIFFSFGLCLGFYIEDKHNETNYSSKQSVNVNDYGAIANDGSDDTEAFEKTWNEACSRGATLVVPKYSVYNLKPVKFSGPCKHHTSFKVYGTIKAWHDISAYKHRGLWIMFDNVNNFVVNGGGVINGDGRKWWQNSCKVNKTLPCKQAPTSVIFSGCNNLKVKNVKFKNAPQMHLRFDKCFNVKASKLVITAPEHSPNTDGVHISGTRNMNIRNSVIGTGDDCISIVSGSHYIGATDIKCGPGHGINIGSLGAGNSEALVSNVIVNRATLTGSTNGVRIKSWQGGFGYAKNIKFLNIAMRNVTNPIIIDQNYCDRKGPCPEQDSAVKISNVVYKNITGTSASKVAIKFDCSKAVPCKGIQLKDVTLTSENNGDTTATLSNLLVAPRPGHSWRLSEEVSRLSDIWRSSDKSKNCSAEPSGGSSPRPLLTLKRGGLSPNNLA >OIW13956 pep chromosome:LupAngTanjil_v1.0:LG04:5955493:5958843:-1 gene:TanjilG_09307 transcript:OIW13956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVMNEPTLPEELVQVLPSDPFEQLDLARKIMSVALATRVNALQSESSILSAELANKDATVAELQSEVDSLYAAISEAEERFAKAEEEKERLVTENASLSNTVRKLTRDVSKLQVFKRTLMQSFQEEEENSGGAVAAKLQSQTSITSSTFHPEDNDASLPPSRSSSMQAYISETGNSVSEDQESDAARPRVPYNFLLASQTSTPRVTPPGSPPSLSASVSPTRSSKPVSPIRRHSVSFSSSRGIYDDRSSVFSSSGSVSSTDTLTQTGRTRVDGKEFFRQVRSRLSNEQFGALLANVKELNSHKQTKAETLQKVDEIFGSENKDLYAIFEGLITRNVH >OIW13479 pep chromosome:LupAngTanjil_v1.0:LG04:10886319:10888989:-1 gene:TanjilG_01047 transcript:OIW13479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LITQSTMELLPNDCLEHILSFTCPQEVCKFSLISSIMHSMADSDVVWEKFLPLNYQEIVSRLVEPFSCSSSKKELFVTLCKPQLIDDGTKMFSIEKKTCKICYLLSAKELSIAWGDNPLCWSWKPVQGSRFLEAAELITVNWAYGLDFAPSEVSIVTENKVEKRKAYLYHKEENELKMETLFYGNRMETLRMVQDEEDNEGISYPSKREDGWMEIKIGEFFSGKGNEDVKMCLREVGHRLKGGLILEGIEIRPKNV >OIW13788 pep chromosome:LupAngTanjil_v1.0:LG04:6984885:6987106:-1 gene:TanjilG_31677 transcript:OIW13788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALMEEEKETAMVTPGEVLGRISEIKPGRGAYAATHNDTVYASLTGFRRNIPPAIDSSDQRPIVEVTGHKAHGPVPQPGSIVIARVTKVMARSASAHIVCVGTKSVREIFNGIIRQQDVRATEIDKVDMHLSFRPGDIVRALVVSLGDARAYFLSTAKNELGVVSAESIAGATMVPVSWTEMQCPLTGQIEQRKVAKMAS >OIW13200 pep chromosome:LupAngTanjil_v1.0:LG04:18315996:18319148:1 gene:TanjilG_17643 transcript:OIW13200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTNAHNSGEVYRSNSVKGFAINHSISTLPEDAGLKFVLKSQGSWFHCGYHLTTSIVGPVLLTLPFSFTLLGWGGGMLWLTLAGLVTFYSYNLLSMVLEHHAQLGHRQLRFRDMARDILGPLQFLICFGTVIGGPLVGGKSLKFIYALYNPDGSMKLYQFIIICGIITLLLAQLPSFHSLRHINLLSLILSVTYSTLVMIGSIYIGHSKNAPPKHYSLQGSHVDQLFGVFNGISIIATAYASGIIPEIQASYWAFGNQANGTILTNFIGETKTLLPKWFFLITNLFILVQVMAMTALKAVKLAYANEIVAESLPATNK >OIW14109 pep chromosome:LupAngTanjil_v1.0:LG04:4215627:4219815:1 gene:TanjilG_19488 transcript:OIW14109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEFHGYIEFFFIWLLSTILVRAILTRKWNKRVSAPGPIALPIIGHLHLISPLPHQSFHKLSIRYGPIVQIFLGSMPCVITSNPEIAKEFLKTHETSFSDRFVAKAIHYLTYGSKGFLFAPYGNYWKFMKKLCMSELLSGKMLNQLLPVREQETLNFMRLLKKKGEAGEVVDVGAELLTLTNNIISRMTMSRTCSESDNDAEDIRNMVKDTAELAGKFNLSDFIWFCKNLDLQGLNKRLKGILDRFDTMMEKVIKEHEVERMQRKVRGEGVQVRDLLDILLDIHEDTSTEIKLTRENIKAFILDIFMAGTDTSALTTEWALVELINNPHVMEKARQEIDSVTGKSRLVEESDLPNLPYMRAIVKETLRIHPTAPIIGRASSERSNVCGYEIPSKSMLYINVWSMGRDPQLWENPLEFRPERFIRSGEKELDVRGQNFQLMPFGTGRRVCPGASLALQFIPTNLAAMIQCFEWKVFGGRNGIVEMEEKPGMTLSRAHPLLLVPVPRLNPFPSIV >OIW13435 pep chromosome:LupAngTanjil_v1.0:LG04:11684703:11690566:1 gene:TanjilG_05325 transcript:OIW13435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVLGSLLLVTVAMVLAITTASDPDPLQDFCVANLTSVVEVNGFTCKNASEVNASDFSSLILAKPGSTNNTYGSLVTRANVLKVAGLNTLGGLNPPHTHPRATEVVFVLKGTLDVGFITVANVLISKTITKGEIFVFPKGLVHFQKNNGHHPASVIASFNSQYPGKQSIALTLFTATPPLPNNVLSKAFQVSTNEVEIIKSNLAPKN >OIW12949 pep chromosome:LupAngTanjil_v1.0:LG04:23219161:23221944:-1 gene:TanjilG_15398 transcript:OIW12949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSKWLTLPPFIPTLVNVKNGNQISSPSTITALKWVTRCCPQIPKTLVHKLFRLRQVRTQPPNHTSFKRLSPKDTLNSGDLIFLPQSVKQTPPPTHKHKHLSHSHPPLTDKQINFIRTLLIYKDPALLVLNKPPGLPVQGGINIKHSLDVLAAASLNYDYSEPPRLVHRLDRDCSGILVMARTHTSATILHSIFRDKTSTASHNVVVDNGKSDRITVVDKSTSVSSQHAVTEYKVIGSSSNGYTWLELSPLTGRKHQLRVHCAEVLGTPIVGDYKYGWQAHKKWGLFEDEEDSSEELLKEETETLLPFGLNMKKGSICEKRPRLHLHCKQIVLPNICESLQNVESGSSRSCDLLGVESLELVAALPPYMQRSWEFTNS >OIW12666 pep chromosome:LupAngTanjil_v1.0:LG04:25823863:25827975:1 gene:TanjilG_24599 transcript:OIW12666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTDTFLLLHQPPSSPSSMAKRPCPSQNPPTTHNHLNHIDNLLHSFLSLSDSPSLSLHLSLHNLIDSFPSDSDQTALIDRALKMGSMLLEAAKHSSRKRASNHNSLTWPLPPDLTIKVFSMLDTQSLCYASATCSLFNKCAKDSLCYSNLDLTTLVPKVNNSVVSTMIHRAGKALRSLKLGVIPGSATSHGSCPPLAHNISNAIVEASKFSWNDKRSRQGRESPILTRSCLSPLSMDGGAPGALLRKLYLYNIERMDNASLSGALSACPSLLDLEIVGLHVELRLTLMSVSAHCHLIERLFFESSKTGRDDSLKTQTCLELVDNCPHLSSLSLRGFKLHDYKVRVLVKGLQKLKYVDFSTSYSITGSFLRNLGDCNGGNSLQVLILRDCMHLKEVEIGRLLAAILAGDFKLLAHLDISNREGLASEGDWYHRCYKSSIMPLKQILETRPDICLVADYPSEGSYIDSFDTDCISEQSLPSQLSFHTSDESIFLSTSESSYNTDQGSGNEDGQDASHVIYEESSDEIDFLPL >OIW13819 pep chromosome:LupAngTanjil_v1.0:LG04:7467368:7469830:-1 gene:TanjilG_31708 transcript:OIW13819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSITARRSRIQTRRTKPFTCNAFFGLGVPEIVVIAGVAAVVFGPKNLPQVGRNFGKTIKSFQQAAKEFESELKKVPSSSEETLVEELTAVNEQQEQDTKVFSTKDKA >OIW13171 pep chromosome:LupAngTanjil_v1.0:LG04:18805570:18808507:-1 gene:TanjilG_17527 transcript:OIW13171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDNSQTSFFPGFRFHPTDEELVRFYLKRKLTGKHFRFDPIAEVDVYKKEPWDLPHMSKLKTRDLEWYFYSALDKKYGNGSRTKRITEKGYWKTTGKDRPIKQGIRVVGMKKTLVYHAGRAPHGDRTNWVMHEYKLVDEQLAQAGILLDGLVLCRIFEKSGAGPKNGEKYGAPLIEEEWEDDEVAPVPSAGDKVSHEVLAIDPFLETNDFEKKVDMVVAIENAGPPSNFYHGECSNYPENSQDPVKDQKPLEGNIGLSEPQNGQLSDTAEQYTVEASSIKDGNSGELGNIENAFDFDFTFDDLDIYFNGADYPLIDDTGSFLETKDLANPCGVNPTEADPSGTVMIDEYLSYSDDDISKYLSFDSPLSAESENSIPDQKPLIQQNAEGVNNGIPMSSKHDFEALSSNEASSKQNPQPLSGITNPVVKQANKLLASIPAPPAFASEFPPKHIALGLAAQSSSSAHVTAGMISITDITSTGNVMDKNGRVKAMISTEFSQSDIYSVTLIPVLGLLSRKTAFVLSHEWVFFMMMLFPLLILSLVCKFGSFTSAAGK >OIW12788 pep chromosome:LupAngTanjil_v1.0:LG04:24943209:24944340:1 gene:TanjilG_24721 transcript:OIW12788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRTGAGTVCGKEGFTGHNKARAGQRKPLGDLSNAGKPIKHAGGKKPLDGSLKSDKPSEQLKAKNLMVITNDEAVNANKASERSQTGRRKALGDISNQVPVIKNKNGQKIMTSLTEEPHHPSEIAGEQFLHDHKKCIKSQFETVMDVQHFYKTVGLENDSDDHKPIAFELSAIGKLKWESENLELEEVPEKLLEVQSLYVHHGSPAYCKTPKLPSYLTMLDNSAVNFKLIETPKLSKN >OIW12639 pep chromosome:LupAngTanjil_v1.0:LG04:26071685:26071924:-1 gene:TanjilG_24572 transcript:OIW12639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSFGCYETKMNLKDTELRLGLPGTDHMEEKCLSNFSVVRSNNKRSSPESTHEEESINKNGPNNTCGDDQHTYVPPSK >OIW12755 pep chromosome:LupAngTanjil_v1.0:LG04:25133988:25134977:-1 gene:TanjilG_24688 transcript:OIW12755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAVDSGGAKPYFCHVCNERITVIDESEPFCPICLQSFVEEYNDPNPNPSLHFNHDPSSEPHFPINPFSFLPFLLSSASTTSVDLQNPNFFSISSGPRTRPEIPDSGMFDPFLFLQNHLRGLRDDGANVQFEINHPSEPGFRLPTNIGDYFLGPGLEQLIQQLAENDPNRYGTPPASKSAIDNLPTVIVDDELLNSELNQCAVCQDEFEKGSQVKQMPCKHVYHGDCLIPWLQLHNSCPVCRHELPTDDSDYENRPRGAQGNAGGNESRPGVSSSGSGGGGGGDGGGGGGGGNRPVHRTFRISLRYPFGPSGSAEDSGERRSRQEDLD >OIW12712 pep chromosome:LupAngTanjil_v1.0:LG04:25488013:25490469:-1 gene:TanjilG_24645 transcript:OIW12712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKEEFMCHASTFLLPLLEKENNTSTLELLTKYALIILNQPLPTFTPMLWNHAQVRVCADGGANRLYHGMPHLFTNQHSELIQTRFVPDAIKGDMDSIQTEVLDFYRNLGTKIIDESHDQDTTDLHKCITYILELTPDVDKSKLCILVVGALGGRFDHEIGNINVLCSFSGTRIILLSDDCLIHLLPKNHHHKIFIQSSVEGPHCGLIPIGMPCGSSTTTGLQWDLDNTEMKFGGLVSTSNIVKGDIVTVQSDSDLLWTISFKKL >OIW13739 pep chromosome:LupAngTanjil_v1.0:LG04:8683838:8684770:1 gene:TanjilG_17918 transcript:OIW13739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLYDPNQAALEALRNSGIELILGIPNSDLQSLATNADNARQWVQRNVLNFWPSVKIKYIAVGNEVSPVNGATSWMAQYVLPAVQNIYQAIRAQNLHDQIKVSTSIDMTLIGNSYPPSQGSFRADVRSYLDPIIGYLLYASAPLLVNVYPYFSYSGNPRDISLPYALFTSPNVVVRDGQYQYQNLFDAMLDSVHAAIDNTGIGYVEVVVSESGWPSDGGAATSYDNARIYLDNLIRHVKGGTPRRPWKATETYLFAMFDENQKSPELEKHFGLFSANKQKKYPFGFGGERINEVVAASDFNATNPLKSDI >OIW13553 pep chromosome:LupAngTanjil_v1.0:LG04:10481337:10486552:-1 gene:TanjilG_29294 transcript:OIW13553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEEHDPCTPITCGVNNDDDSSVVLDYHDIDEQVLQNMVYDALVWASLNGLVVGHKSLQRSGSVPGVGLVHAPFSLLPTAFPENHWRQACDLAPIFNELVDRVSLDGKFLQESLSRTRKADEFTSRLLDIHSKMLEINKKEDIRLGLHRSDYMLDEQTKSLLQVELNTVSSAFAGLSCVVSELHRSILSHYGKFIGLDSEKVPANSAVNQYAEALAKAWTEYNLPGAVILFVVQAEEQNMYDQHFLSVVLRERHGITTIRKTLAEADQQGELLPDGTLLVDGQRIAVIYFRAGYTPADYPSESEWKARLLMEQSSAIKCPSISYHLVGTKKIQQELAKPNVLERFLENKDDIAKLRKCFAGLWSLDEPDIVRKAIESPELFVMKPQREGGGNNIYGDAVRETLQKLQKEGSQGDAAYILMQKIFPNISAAIMMRNGSLHKENAVSELGIFGAYLRNNDKVVLNNQSGYLLRTKVSSSDEGGVAAGFAVLDSVYLT >OIW13233 pep chromosome:LupAngTanjil_v1.0:LG04:17365790:17374242:1 gene:TanjilG_02367 transcript:OIW13233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAARRLGDLQSEPGNNICVDCSQKNPQWASVSYGVFMCLECSGKHRGLGVHISFVRSVNMDSWSEIQIKKMEAGGNDKLHEFLARYEIPKEIDIVTKYNTNAASVYRNRIQAIAEGRGWRDPPVIKEILTGKQTSGGGGSGGGEGGGFRRNNGGWEDDWGVDDSFGNSGDIRRNRSTGDFKRGGGGMPVRSRSTTDFARSEWEASAANKESFFARKMAENESRSEELPPSKGGKYVGFGSSPTLSSQRSNQQNDYFSVVSQVKEGGYDDKVNVVSQKTSEIGQKTWGIMKGVLAMASQKVEELASDTPNSKTSNLQRNENNRNASNHNFNQENKGLNSPMGKEQSSSGQVKTHSSISSWDDWDNKDSKKEEPAKGSTTHNNDDWAGWDDAKDDGFDVFYEGASNRKGAGHNKKSDSTWTGGGFH >OIW12540 pep chromosome:LupAngTanjil_v1.0:LG04:26922364:26927848:-1 gene:TanjilG_04704 transcript:OIW12540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKMEYAEELVREFLVFRGFTNTLQSYDSELRSDIGQSFQLDKILDLIFSLYIPKFQSHNLIALLGFFNNYLSETTLVSTFSKLETSLLRFYVVHALQSNRADKVFEFFETYGSELLQKSQDWTHWFAIPHMKNPNLDPEFKIFFTKEWYEALRLSVRNFFSEIFNATRLPALLKISSEMNVTNLLKRDVMHLNLKLSKLQALLAEKEAQLCHFSSMEGTSSSSNLREDSTHMSKDSPEVFPIVLPRVGQSEISQLVDGPENIKSQSISSKSGHDSTSLLNDHLRNGETGDPTQKGHDSSIIENSEEEDFPEVKVEHQETFLGHTSPITRCRFSVSGNNIASASLDGTVRIWTYDTSTPVSRNATIYCGTEILSLDWECKSDRLLLIGTSDGCIKAWNVDAKRVVCDLSTTEAFPSVLDIKCSPVEPIFVSAAASGGVGSNYSDNLGFASLTVWNMKTWKAMTVLPLGEDPPAITSLCFNHNGKILAASAIDGMIHMFDMSAGLQITGWPAHDSSISSILFGPDETSLFSLGSDGKIFEWSLQNPGQILWSRDCSRFCYPLKDSKYCRHEMALDANGRRLLVTSSSVRAPIYQVQGQLSGWRTLAHGAPITAVDWHPTLPIFLTGSADNSVRVTSLSS >OIW14044 pep chromosome:LupAngTanjil_v1.0:LG04:5376700:5378315:1 gene:TanjilG_11389 transcript:OIW14044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGESLGSMNAKDLKNLETKLEKGISRIRSKKNELLFAEIEYMQKREIDLHNNNQLLRAKIAESERNQHNMNVLPGGTSYESMQQSQQQQQQFDSRGYFQVTGLQPNNHYARQDQMSLQLA >OIW12821 pep chromosome:LupAngTanjil_v1.0:LG04:24606726:24613844:1 gene:TanjilG_24754 transcript:OIW12821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCSNCLHFLLFSEELGEAYDGDIAFASSLEMFGGGHNDPLSVAFGGPVMTKFTIAFREIGTYKEILRSQVEHMLSDRLFQFVNIDLQEVKDPPLLQEARKCFDKASLIYDQAREKFLSLRKGTKSDVATALEVELHNARSSFEQARFNLVTALSNVEAKKRFDFLEAVSGTMDAHLRYFKQGYQLLHEMEPYINQVLNYAQQSRERSNYEHAALNERMQEYKRKIDRESRWFSNGSKGSPNGDGIQAIGRSSHKMIEAVMQSSAKGKVQTIRQGYLSKRSSNLRGDWKRRFFVLDSRGMLYYYRKQNNKSTGPSSQHSGQRNSSELGSGLLSRWLSSHYHGGVHDEKSVAHHTVNLLTSTIKVDADQSDLRFCFRIISPTKNYTLQAESALDQMDWIEKITGVIASLLSSQIPERYFPASPMGNGYHRSASENSYFGSSGFDHSAAEERVVDRSLATAHMERPSRSLLQQQSCTKNEKTIDMLRRVSGNDKCADCGAPEPDWASLNLGILVCIECSGVHRNLGVHISKVRSLTLDVKVWEPSVISLFQSLGNTFANSIWEELLELRSAFQVDLVPTGKPCQSDSPSVKEKFIRAKYAEKLFVRKPKDNQYYLPVAQQIWEAVHTNDIKAVYRHIVISDVDINASYEQNCSNSLTLASVMLLQGQASQDHGSTLARSSLDLSSTSSLNSVGIKEGRTLDNLVRCSLLHLACESADIGMLELLLQYGANINANDLKGQKPLHRCILNGKSAFAKLLLSRGADPRAVGEEERTPIKFAAPSNFEDSEVFALLSEWNGLHW >OIW12693 pep chromosome:LupAngTanjil_v1.0:LG04:25637819:25641046:-1 gene:TanjilG_24626 transcript:OIW12693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKLLNKNLRYLFSHFRWPIRKTNSKCKVVIKKLEHHRTKPHNDPDGKHEPSTNFSSSVHPNTQLGTQKANKPIRIATFNAALHYVTLPTAEKKAANFNDENGVAMKNSTPFDLNSRSKSTNTRPKSILKQSSMYPSRPNTLSKFGKSEVRVSINLPDNEISLRRKRQLSFSESEKEEMIIRQCTSFSGTSGSGVNERSGRTVIEVLRELDADILGLQDVKAEEENEMKPLSELAEALGMNYVFAESWAPQYGNAVLSRWPIKRWTTHKVDDTDFRNVLKATIDVPQEGELNFYCTQLDHLDENLRMKQINAIIQSNEEPHILAGGLNSLDESDYSQERWTDIVKYYEEIGKPKPQVDVMKYLKSKNYTDAKDFAGECESVVMIAKGQSVQGTCKYGTRVDYMLSSSNSTYKFVPGSYLVHSSKGTSDHHIVKVDVVKANINPQENVTKTPQRHRQKVVRITHSSPSKGIWKTHTREIR >OIW13024 pep chromosome:LupAngTanjil_v1.0:LG04:22251312:22253222:-1 gene:TanjilG_15473 transcript:OIW13024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSKQQRKKNYRKRSAPIEEEDQPLQSDNNNESDDERERRMALEEIKLLQKQRERKSGIPANPSLQPQSAIGGSLASKPAEKNDGDGGDKDDLVLQDTFAQETAVLVEDPNMVRYVENELAKKTGKKLDAADQVENELKRAEDELYKIPEHLKVKRRNSEESSTQWTTGIAEIQLPIEYKLKNIEETEAAKKFLQEKRLMGKTKSEFSIPSSYSADYFQRGRDYAEKLRREHPELYKDRSVQDDASGSKQNDSGPDAAGAGQRQAATDQLMLERFRKRERHRVMRR >OIW13780 pep chromosome:LupAngTanjil_v1.0:LG04:6896042:6897202:1 gene:TanjilG_31669 transcript:OIW13780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGERSAPRDGPRTIVRIKSQDNIPDDGYTWRKYGQKMVKGNSHSPRCYYRCTFSGCYTRKHVERCADDARYVITTYDGRHNHQVPPPKGKIQMDEFSEFPTPSEQPLELNNNETFPFPSMIATLSDHSESASSDTPHHTADDGSVISASSATDAVLNSPFIFDLNLPPPQEHDDSASTEPQI >OIW13889 pep chromosome:LupAngTanjil_v1.0:LG04:8050771:8061214:-1 gene:TanjilG_31778 transcript:OIW13889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRLILLRHAHSSWQNPSLRDHDRPLSKAGKADAVRFSRKLQQLGWIPELILSSDAARTKETLKIMQEQVQELLEAEVHFIPSFYSIAAMDGQTAEHLQKVICKYTKDEMLTIMCMGHNRGWEEAASMFSGASVELKTCNAALLEATGKSWDECRAQMFIEVNSCCSVAAVRFRAEYNPDQYLWEKEFTLAGKTYQRQDLEASMAYFLENARGHTLQCSHYLPSPLPEDTSLPCVIYCHGNSGCRADANEAAVILLPSNITVFTLDFSGSGLSDGDHVSLGWHEKEDLKIVVSHLRSNKQVSCIGLWGRSMGAVTSLLYGAEDPSIAGMVLDSAFSNLYDLMMELADVKMAVHYMRRVIEKRAKFDIMDLNCLQVAPKTFIPVLFGHANDDQFVQPHHSDLISESYAGEKNIIKFDGDHNSSRPQSFYDSVSNFFYNVLRPPHVSRAQKLEKYYNLGSLRIGSDESLLYEILASLQSPTTNAASSSSALPSISTEASVSKLLSEVAPVTPESMIREVMNGYNEPIHDELSYAKNEPNGLTEECYSCASSTRESWGRCSSLALSDEESLPEFRGDDNNSEVFATPRGSMREQSSVPKEDGKNQKKKAKKIKSDRFERLESLSRRLRCCLLRGSAHRRHKSLS >OIW13370 pep chromosome:LupAngTanjil_v1.0:LG04:13897453:13899715:1 gene:TanjilG_16479 transcript:OIW13370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKGLERENGLVTLFSDEELREVSGVKRVGEYVEITCGCTSHRYGDAVGRLRVFLNGFLEITCECTPGCQEDKLTPAAFEKHSGRETARKWKNNIWVIVNGEKVPLYKTVLLKYYNQQSKSANGSHRSHNGRACHHDEFVRCTRCNKERRFRLRTKEECRIHHDALADADWKCSDHPYDKYVNLFHIKMP >OIW14255 pep chromosome:LupAngTanjil_v1.0:LG04:1541543:1547898:1 gene:TanjilG_21395 transcript:OIW14255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVKFPSNVNNDNIPVCSTTWSVSYVDRSFCGYMQHHAFVSGWMYVNEHEQMCDPYIEEQLYEGLTTGFLPQELHVYPLVNGALMNLVPLNYFKQSPDHVSTGFAYLSLGISGTIMPSICSSSSCKDMTVYGHDRSFGQCAPLDVKSDLRSHINYRLNESKHLSSNLEADISEESCWLYEDENEFLTEKKRKNHKLESSEQASETCMLDSKNSKFALEISKGTSIPSKLASSHILVDQTCHEISRLSSTIVKSVGAIKNIWWSYVVVRKVPFDYCMQVMWNAVFFGTFVDYLFFWRKRKLWSNPKTQLVNGRGDYVEKIKSEVVSTLLTLGKTVNASKGYLNDSTSRLGRTVEGAKISSVVPLVSGKLTYYRKKLARKELCSSPSVSLDDSDPGKQHVAKLRKHAFGNFDKTTKVKITSTNSGKTRMIKMKRMHLMRASLLLSTILLAFIDGDLLKVPQMKARKKNLRFQRSKIHDWGLVALEPIEAEDFVIEYIGELIRPQYEKMGIGGSYHFRIDDDYVMNQMFCSPDLGIE >OIW13202 pep chromosome:LupAngTanjil_v1.0:LG04:18355911:18359145:1 gene:TanjilG_17645 transcript:OIW13202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRDYSPLDSPPHAQPHLQASTRHHFPSPSSSTPPPDSTTADYYHHPSFSDIADDSSDHAKSTSAVNTPNSKRSSSAGPTAGGNGSYHYNKKKSKPSSSSGGTFHDSSFHGGDYRKDREEWSDTAIACLLDAYTEKFNQLNRGNLRGRDWEEVAEAVGERCGAAVAGGGGRCDRKHKCYKSVEQCKNKIDNLKKRYKVEVQRIGNFGISTSHWHWFKKMEAIVGNYVTVKIVSDDERGLSNSSYMARQSKNRYAPSNAIVATNLKPKPTSNLKWHRVLFKISGSALAGNCQNIDPKVAMQIAREVATACRLGVEVAIVVGGRNFFCGDAWVSSTGLDRPTAYQIGMMATVMNSILLQSALEKLGVEACVQSVFSIPEAAEPYSRQRAIRHLEKGRVVIFGGVGAGTGNPLFTTDTAAALRASELNADVVLKGTNVNGVLDSNPRNDGVTLDHISFREVVSRGLTSISMDMMALAYCEENGIPVVIFNMLEPGNVSRALCGDQVGTLIDQTGRVEHRIPGSSCI >OIW13297 pep chromosome:LupAngTanjil_v1.0:LG04:15490477:15493541:-1 gene:TanjilG_25403 transcript:OIW13297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRHPEVKWAQRVDKVYVTVQLADSKDAKVDLTPDGVFTFSASAGTGDNQYELKLELFDKVNVEESKINVGVRSIFCVVQKAENEWWKRLLRAEGKAPHYVKVDWDKWVDEDEDEGGEPDLGGMDFSKFGGMGDDAMGGLGGMGGLGGMGGLGGMGGMDFSKLAGMGGDAMGGMDFSKFGGMGDDALGDDALGDDALGDDIDGSDDEGHEFSKPGEPDAGKSTGETSTSKQEAAPSTT >OIW13707 pep chromosome:LupAngTanjil_v1.0:LG04:9198037:9202718:-1 gene:TanjilG_08049 transcript:OIW13707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEPRCNLRLLPCDRDHGDLSYNTGLTGTIPKEIGNLKKLKSLALVGCGFFGPIPDSIGSLKQLTFLALNSNRFSGSIPRSIGNLSNVNWLDLADNQLEGPIPVSDDQGQPGLDLLLKAQHFHFGDNKLSGQIPPNLFNSSMILEHVLFDNNQLVGTIPRTLSLVTTLEVVRFDRNGLTGGMPSNFNNLKKLSELYLSHNKFNGSLPDLTGMNSLIYVDMSNNSFTSSDNIPSWVTSSESLTTVILGDNQLSGTFNISKDYSNSLQLINLQNNAITEYKPGTQNISFNVILAGNPFCLENGVSEQSYCQVPKVIPSYSTPQNNCAPQTCSNSQISSPNCKCAYPYEGSLISRALSISNFNTSDYKDIEQSLMDSFGLQNLPVDSVRLSDPVKNSSTNNFQFTLSVFPSQTDRFNRTGVLLIAFVLSNQIYKPPEFFSPYFFTGSGYGYYGGEPKKSSTGHTGIMVGAVLAVLAFLVLAVLVGIYAIHQKRKAQRTKEQNPFVNWEQTKNSGIAPQLKGARWFSFDELSRYTNNFAKANTIGYGGYGQVYQGVLPSGELVAIKRAANESMQGAVEFKTEIELLSRVHHKNLVSLVGFCFEKDEQMLVYEYIPNGTLMDSLSGKSGIWMDWIRRLKVTLGAAKGLSYLHELANPPIIHRDIKSGNILLDSHLNAKVADFGLCKLLLDSDRGHVTTQVKGTMGYLDPEYYMTLQLTEKSDVYSFGVLMLELVTARRPIEQGKYIVREVIGVMDTSKDSYNLHNILDPTIIKAGKPKGLEKFVELALMCVNEYGHERPSMADVVKEVENIIELVGLNPNSESATTSEGYDVEKGGNVIHPYVNEDFNYSGIVPPVKLEPK >OIW13080 pep chromosome:LupAngTanjil_v1.0:LG04:21508663:21531846:-1 gene:TanjilG_24427 transcript:OIW13080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGGDTNAFIKEEHFRKYKTTYEGLKNRPKDIPESHFKELIRYWSFGNIQCATKENKEMPNQAEMFCETRQSKKGEPLDQETTNAMGDFGLHQEFSSGIKTIVAIPVKECGVVQFGSKMKILERVEFLDQTQRLLMEIDNVGMVNMSGNAVSPLDCEKYDLNSFLASFSSENSFDSNLKYAHGENSEDLMRKVYSYESVNNPFPSLYNIYQEGMTLFNVDSCVDDQLNATKEAQVALSDRDITDMLLRPNSSVNNIISNGADFGEWSDEHSYFDSFGQQLVSADENAFSCSELAVQDSPLSSMYSMNIGACPENLHKSLANQQSSQSGVLTQVDFTSSSNTPYEISMNIEAVDMSEEFLNFSSMDDLCQWFAPSPDDNNFRTIIAVDNTHSELNEHNPISLSTLGCDIPMTCLAGAESIETSAVMHGPQNSLLDFNCDQANEWWENMPTPALSPANFSECISELNTCTVTGTQNGLLFSESLSGEASYNPLSSSNFEHAFSPDIRQLVEFAPVNINPIQLGNLARPAEATSDSTGSISYLEKTNNLITKKDTFQKLQVPRWIDDGHSTNMGKSVSAHYQTQKPEEGTTRRSKKRARPKESTQPRPKDRQQIQDCIKELRGIIPNGEKYSIDSLLEQTHKYMYYLQSVTKYAEKLQEPIELKLIEQANEVVLEDSNVGDNKNHGVTWTFDLASPTMECPIRVEDMNTPGQMLIEMFCEQGGFFLEIAGEIRDFGLNILKAKMTVRKNKLWALFIVQVYIVQQAKRHLTRIDVFYFLFNLLHRTQKSRMDSANNIAKVIDPT >OIW12602 pep chromosome:LupAngTanjil_v1.0:LG04:26450094:26450522:-1 gene:TanjilG_04766 transcript:OIW12602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSFNILKYFTLFLFIFVTISTAAAGGRKLHSDTSSGGAPSSGTPTGATGSGHGPNWDYNWGWGSAPGSGWGYGSGSGHTPTGFGRGFGYGFGTGSGSGSGSGYGSGSGGAHGGGYGSGTGSGGDNNNHAPSMSKGKPNHG >OIW12559 pep chromosome:LupAngTanjil_v1.0:LG04:26766665:26767033:-1 gene:TanjilG_04723 transcript:OIW12559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMNIRGLSIPCNTESNFVCLLLLFPSAFFDLSQKIFVCRLRREEKRTSRYGFAVMLVCVVVHKFFPTWYLILRLLHIAAWLVVNHECTYVLVIHEISSDQRKSRCSLPVRLLVCNMGYIKD >OIW12764 pep chromosome:LupAngTanjil_v1.0:LG04:25094491:25095656:1 gene:TanjilG_24697 transcript:OIW12764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTTLFHELVIGTPIISKTYDQLEKSVTVSRYLPDPAIQCQYSTTTSKQSRLNSITNKLERKTDGLSQGVREHRRLAPKISETVKGKLSLGAQILKAGGLERVFKQFFSIEEGESLLKVSQCYLSTTSGPLAGFLFISTHKVAFCSERSIKVFNGKGQMCRIRYKVIIPLQKVKCVNQSENVYKPKQKYLQIVTADNFDFWLIGLKLHALKTYINKQEIVINKLKMGSITENIGHCH >OIW12484 pep chromosome:LupAngTanjil_v1.0:LG04:27247875:27249590:1 gene:TanjilG_04648 transcript:OIW12484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREIIEKCGGYAIIDGGFATELERHGADLKDPLWSAKCLITSPHLVRRATIQGFEAKGLSREEGEALLRRSVKLALEARDIYYERCTKDFSDFIRDQTYSKRPILIAASVGSYGAYLADGSEYRGDYGDAVTVETLKDFHRDRIKILADSGADLIAFETIPNLLEAKVTSKPVLVYPNSGETYIAESSRWVKSSGVDEEDFVSHIGKWRDAGASIFGGCCRTTPNTIRGITQAICQKPL >OIW12644 pep chromosome:LupAngTanjil_v1.0:LG04:26032031:26033169:-1 gene:TanjilG_24577 transcript:OIW12644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKGGKGLLAAKTTAAANKDKDKDKKRPISRSSRAGIQFPVGRIHRQLKQRVSAHGRVGATAAVYLASILEYLTAEVLELAGNASKDLKVKRITPRHLQLAIRGDEELDTLIKGTIAGGGVIPHIHKSLINKTSKD >OIW13995 pep chromosome:LupAngTanjil_v1.0:LG04:6339963:6349987:1 gene:TanjilG_09346 transcript:OIW13995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVQVYWLPYFSRRRQQKKGSSSRPKGPASKGFVSKSSIGTSNQQKDKKNARVKGDPLTPLASEISGDDNKQTLEVNIDADEGGGGGAVEPSHLENFEVVDKTDEVAGELGELSLSDETLAVVAKTNQGGEIENISVIDEDVVVLQQEQIPYIEDFGNVKKSDGRTLGYVEISEHVQETKTDSDDEIAEESITETSTGTDDKINEEASRLLELEAISRKQEIERIAYENLSQETKLFVYPPVVKPDQDIEIFLNKSLSTLANESDILIIGAFNDWRWKSFTFRLNNANLKGDWWSCQLHVPREAYKVDFVFFNGQDVYDNNDQKDFYIPVEGGMDELAFADFLLEEKRKELVKLAKEQAEKERQAEEQRRMEEDKAAREADRSQARVEIERRREVLPQLLKNAVNSLDNVWYIEPSEFKDNDLVRLYYNRSSGLLAHAKEIWIHGGHNNWIDGLSIIERLVKSVVKDGNWWYADVIVPHKAIVLDWVFADGPPESAAVYDNNLNQDFHAVVTMVISEQYWAEEEQLIYRKLQEERKLREKTMRVKAEKTAQMKAETKERTLKSFLLSQKHIVFTEPLDVQAGSIATVFYNPSNTNLNGKPEVWFRGSFNRWSHSNGPLPPQRMLPADNGTHVKASVKVPLDAYMMDFVFSELEDGGEFDNKFGMDYHIPVFGGIVKEPPLHIIHISVEMAPIAKVGGLGDVVTSLSRAVQDLNHNVDIILPKYDCLNLGNVKDFHYHNNYFWGGTEIKVWRGKVEGLSVYFLEPQNGFFHVGCVYGRANDAERFGFFCHAALEFLLQNGFHPDIIHCHDWSSAPVAWLFKENYVHYGLSKAQVVFTIHNLEFGANFIAKAMQHSDKATTVSPTYSREVAGHPAVAPHLPKFHGIINGIDPDIWDPYNDNFIPVSYTSENVVEGKRASKEALQLRLGLEKADLPLVGIITRLTHQKGIHLIKHAIWRTLERGGQNPCLDCGKIGMQIVLLGSAPDPRIQNDFVSLANQLHSTHNARARLCLSYDEPLSHLIYAGADFIVVPSIFEPCGLTQLTAMRYGAIPVVRKTGGLYDTVFDVDHDKDRAQAQGLEPNGFGFDGADAGGVDYALNRAISAWYEGRDWFDSLCKKVMEQDWSWNRPALDYLELYHAARKSL >OIW14053 pep chromosome:LupAngTanjil_v1.0:LG04:5265641:5265979:1 gene:TanjilG_11398 transcript:OIW14053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSLFNPNPQSTFLPILLLIFLFLHASFYQPSHGFSRYGRQITEDPSTRQLFGSKGSTQFPKNCGEILVQSQCSQNPKCSWCISDDLDDMCFTKSEAWRLPHQVYSCALIR >OIW13653 pep chromosome:LupAngTanjil_v1.0:LG04:9534348:9535910:-1 gene:TanjilG_07995 transcript:OIW13653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRPGNPTRRIGDGGSIPFVTSIQAKSRNSPLLSIGLVVVGAILLVGYCYSNSGGASNGIRDLSKLEGGASCTAEVLQALPFLKKAYGDSLHKVLHVGPESCSLVSSLLKEEDTEAWGIEPYELDDVSAKCKNLVRKGVVRVADIKFPLPYRPKSFSLVIVSDVLDYLSPKYLNKTLPELVRVSSDGIVIFSGYPGQQSARVEEVAKFGRPVSGVLFIQFDNLL >OIW14028 pep chromosome:LupAngTanjil_v1.0:LG04:5537510:5539163:-1 gene:TanjilG_11373 transcript:OIW14028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLETGYARDSELSLDTLNSSTQRSSMSSESICSTSFSRLSFENLPTSPESNLSIKPHRSSDFAYSAIRRKSGLTFRDFHLLRRIGAGDIGTVYLCRLRTTDDEFDSVVDGGDSGCFYAMKVVDKEAVVLKKKEQRAEMERKILKMLDHPFLPTLYAEFEASHFSCIVMEFCSGGDLHSLRHKHPHKRFPINSARFYAAEVLVALEYLHMLGIIYRDLKPENVLVRSDGHIMLSDFDLSLCSDAIPAVESHDDNPAFLPYNRSNITPFSCLSNRLFRSRKVQTVQPNRLFVAEPVGARSCSFVGTHEYVSPEVASGNSHGNAVDWWSFGIFIYELMYGRTPFAGPSNEATLRNIINKPVTFPTSISSTSLEVHARDLVSGLLKKDPNRRLGSKRGAAEVKKHPFFQGLNLALIRMLTPPEVPCLRRNKTTPLVPVQGNGSGSSRRLQPTTSFDYF >OIW13462 pep chromosome:LupAngTanjil_v1.0:LG04:11084138:11087947:1 gene:TanjilG_22253 transcript:OIW13462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMQKECSKGSFFSLFDWNSKSRKKLLWNNPNLPEGSKHGKENLESMPMVQTNRIKVDKNGASSSNIVSSDFSCALSVNSDEGYGSKAPGLVARLMGLDSLPTSEVTEHSSTSLYGSNAHSAYHCRENALYSKDNFRHVDYRNVLLKVKSSCDAMESKAQKVGNCPIKRFQTEMLPPSSAKPIPVTHNKLLSPIKSPSFLTPKNAAHIMEAASKIIDASPRPYMRNKMASVGPSSVPLRILDLKERLEASQFVAIPEKSVYPRTANLANGKPGERNSNLYKYAPALNGSRDSGKTSCRNLASKGKSVPHSIRSQTNVQSRDTVTANGNKKYLKQKGLAEIKSTHFSRSEKPSAQRGMQQRTGRSRDSNVLGQNNQKQNSLTNKGKSTSKIDFTKPTTQTSSSESSTRIRKTKNKGAVNTKIQPKRSSTRATNFQKEIPPFDSTSKNKKYMSRDVLEARGQDKAVNNFERKSIKCNITTDGIIEEDAFSMNESNDVISFTFTSPLRRSMPESLSFAEQVVESRNGTGVDSFGHNDNHYPKKLSFSPPGLPMINGDSLSDLLEKKLQELTSRMDLPQCTLATEESSADSRSYLQDQVPSVVSPMSVEQGGSFHPYLFSDKLDNMHDWHYSNDDPAFHMNPQFQKAMEDPSCSGNSDNGYDRSCQHPRAVTVFESPSVSESYMDSEDSAYGSTVYSYMQDEEVSNFSDINESVSLEKEASSAQSSSVLGDENMAVKQLREITNLEDFKRSRYMGLEYVHDILTNAEFIAEEYVIGQINTIIMPNIFDRLENQINGAENCQECSKLERKVVFDFVSECLELRCRRAFIGTCKEWPRWEISFQRKSVLAEELYRQMLGFVNMEEEVMVDELVNNDMSTGCGRWLDFDAEAFEEGLEVEQEIVDSLVNELVSDLLHV >OIW14084 pep chromosome:LupAngTanjil_v1.0:LG04:4922444:4922929:-1 gene:TanjilG_11429 transcript:OIW14084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRSCISCFLPFGVFDVIRIVHSNGRIEEISGSIKASDIMNAYPNHVLMKSSSPSSSALYGGAAVAPNIEVVRADAELQRGKVYFLKPLLLPRSNKDHTQMKKKKESRRSYSHNNSGESTMSLAKMVFSSDRYLTDMRSRKKFSTEEEDVCLLEASLRKHF >OIW12976 pep chromosome:LupAngTanjil_v1.0:LG04:22970815:22975810:-1 gene:TanjilG_15425 transcript:OIW12976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNLMKVAPFLALVFVLVFSGTHFTNTIKESSPSQKHHIHFQKHIQVAKSTCQGTLYPDLCVSTLATLPNLASKSVPQIISSVINQTIYDVKSSSYNCTSLRKKLKNLKPVEQRALDDCLKLFDKTTVELKTTMAELSKTTMVSKSYHDLQTLLSGAMTNLYTCLDGFVYTKGGNNHVRKKIEKKIHKISHHVSNSLAMLKKVPGVKKKSRSNEVFPEYGKMKKGFPSWVNSKGRKLLKASVNDIKFDVVVAKDGSGNFTTIREALDVTPNYSTTRFVIHIKAGAYFENVEVVWEQTNLMFVGDGIGKTVVKGNRNAVDGWTTYQSATFAVVGDRFIAKGITFENSAGPSKHQAVALRNGADLSAFFKCSFVGYQDTLYVHSLRQFYRECDIYGTVDFIFGNAAVVFQNCNLYARKPNENQKNLFTAQGREDPNQNTGMTILNCKIAAAEDLIPVKSSYKNYLGRPWKLYSRTVFLMSYIEDLIDPAGWLKWNETFALDTLYYGEYLNKGPGSNTSGRVKWPGYRVINNSIEASQFSVGQFIQGNNWLNSTGIPFFANLN >OIW12638 pep chromosome:LupAngTanjil_v1.0:LG04:26080962:26081237:1 gene:TanjilG_24571 transcript:OIW12638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVVGLKKMVFEETELRLGLPGNGSANTSIEGEVVRKRSFCETEKTTIDLMLNLSSKEPTSDPSDKINNTTSSSKERALLLPSDHAKPPAK >OIW13048 pep chromosome:LupAngTanjil_v1.0:LG04:21790300:21798761:1 gene:TanjilG_17608 transcript:OIW13048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLSRELVFLILQFLEEEKFKESVHKLEKESGFYFNVKYFEEKVQAGEWDEVEKYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDRQDKAKAVEILVGDLKVFSTFNEELYKEITQLLTLTNFRENEQLSKYGDTKTARSIMLIELKKLIEANPLFRDKLIFPTLKSSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFTDHSCTPPNGPPLAPAPVNLPISAVAKPTAYTSLGVGSHGPFPPAVAPANANANALAGWMANVSASSSVQAAVVSASTIPAPQNQVSILKRPRTPPTTPGMVDYQNADHEQLMKRFRPGHSVEEVSYPSARQASWSLDDLPRTVAMTLHQGSSVTSMDFHPSHHTLLLVGSNNGEITLWDLSLREKLVSKPFKIWDMQSCSLPFQAVVVKDAPISVSRVTWSPDGNFVGVAFTKHLIHLYAYTGSNDLAQRIEVDAHIGGVNDLAFAHPNKQLCIVTCGDDKWIKVWDLNGRRLFHFEGHEAPVYSICPHHKENIQFIFSTAIDGKIKAWLYDSMGSRVDYDAPGHWCTTMLYSADGSRLFSCGTSKDGESFLVEWNESEGAIRRTYNGFRKKSTGVVQFDTTQNRFLAAGEDGQIKFWDMDNINLLTSTDADGGLQGLPRLRFNKEGNILAVTTVDNGFKILANATGLRSLRTVETHTFEALRPPIESPAIKVSGSSTVSPINCKVERSSPVRPSPILNGVDPSSRSVEKPRTVEDAIDRTKPWQLSEILDPVQCRLVTMPDSTDSSIKVVRLLYTNSGVGILALGSNGIQKLWKWARSEQNPTGKATASVVPQHWQPNNGLLMTNDISGVNLEEAVPCIALSKNDSYVMSACGGKVSLFNMMTFKVMTTFMPPPPASTFLAFHPKDNNIIAIGMEDSTIHIYNVRVDEVKSKLKGHQKRITGLAFSTHLNILVSSGADAQLCVWSIDTWEKRKAIPIQLPAGKPPVGDTRVQFHSDQLRLLVVHETQLAIYDASKMDRIRQWVPQDSLSAPISHATYSCNSQLVYATFCDANVGVFDADSLRLRCRIAPSICLPPAASSGSQAVYPLVVAAHPLEPNQFAVGLTDGSVRVIEPTESEGKWGYSPPIDNGILNRTTSSSTTSNHTADQSQR >OIW14160 pep chromosome:LupAngTanjil_v1.0:LG04:3369064:3371846:-1 gene:TanjilG_21300 transcript:OIW14160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRQPCCDKVGLKKGPWTADEDMKLINFILTNGQCCWRAVPKLAGLLRCGKSCRLRWTNYLRPDLKRGLLSEEEEKMVIELHAKLGNRWSKIASHLPGRTDNEIKNQWNTHIKKNLKKMGIDPITHKPISNANDQTQTQPEQQTQQPLKEQHQQQSSTESSTIIDAIEEDKTITPLFDSMEIMNEFCIDEVPIIEPNKILVPYAPSTSTSTTSSSSSNSTNFIEDFLLQDFELSHKHNENDIINSIDNNNSSMALWDEDFMRSWDLLIKDDDDDSRKQVFDCSLSQYQRIVMDSESWDYGLF >OIW13713 pep chromosome:LupAngTanjil_v1.0:LG04:9139846:9142034:1 gene:TanjilG_08055 transcript:OIW13713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLGDSVGEKWQELSGQNYWKGLIDPLNIDFRRYIIHYGEMAQATYDAFNTERASKYAGSCLYRKSEFFKKVDLKHGKLYTVTKFLYATSSIPLIPDIFMVKSFSREAWSKESNWIGYVAVATDEGKEVLGRRDIVIAWRGTVQNMEWVNDLQFVLLPAPQVFPSSGLLITSLLTNPKVHQGWYNIYTSSSERSPFNQTSVREQATSEVQRLVKLYKGEEVSITITGHSLGAAVATLNAVDIVANGFNKGAPVSAFVFASPGVGDINFKRVVSEYKDLRIFRIENVLDIVPKYPPLGYFNVGEGLMINTQKSGYLKLPGDITSWHSLEAYLHGVAGTQGASIIIGAGEFKLDVDRDIALVNKSLDALKDEYLVPASWWVLKNKGMTKQSDGTWKLEENKEDKEDCF >OIW13463 pep chromosome:LupAngTanjil_v1.0:LG04:11092724:11094996:-1 gene:TanjilG_22254 transcript:OIW13463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLVDWFYGVLASLGLWQKEAKILFLGLDNAGKTTLLHMLKDERLVQHQPTQYPTSEELSIGKIKFKAFDLGGHQIARRVWKDYYAQVDAVVYLVDAHDKERFAESKKELDALLSDESLANVPFLILGNKIDIPYAASEEELRYHLGLTNFTTGKGKVNLVDSNVRPMEVFMCSIVRKMGYGDGFKWVSQYIK >OIW14164 pep chromosome:LupAngTanjil_v1.0:LG04:3314899:3315189:-1 gene:TanjilG_21304 transcript:OIW14164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GIAISPLSYYRCRDNLYQVRMIVDHQIRWSAIFTLAQKHKSSARNIIPKYSKDSNIVNQEGGQTLAEFPNSIELGKLGPGQDPNNLHIGVGILRRG >OIW14131 pep chromosome:LupAngTanjil_v1.0:LG04:3924671:3930616:-1 gene:TanjilG_21271 transcript:OIW14131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSSSSTPRNFSKMEPSSRSLPQRPYSPIPSPFMTGPISSPPHSPPPAPLLESQPRDPNAPPRDPYYEPGSPVQPKITYYQRVADKRLRVEAKRKKNLLYGFHLRPTAAKILESNSVEPLQNFIQEFTELPNRKKYARKKIFKSLSYHYPASFSIKLANLLLLHPPQHIRNEVVLLLHKVLTRTHDDRRIGYAILIELKTPIFESFKIELEELLLAQLSKTIGNLDSRINEFPSGGWIELHEYIVSCISLNSNDDDSVLEQRKGLMLFANLSNDTVQMREFWKIHYTAVYNNLKARMLDETSNEYFQALTFDALLTMIRMAQNLGGTEIARSIFLMLLDCIGRHSDEAIVLRRVHDLGDFVFMGAGEVINGKEKNVFQSMLGIAEKKDASEELRYAAMQVLKDIGEENGDIMLPVIKELSYDDAQRVLKVSMDMLLCIEDDPIWFEFNEEESISAGLSESFTLGKFLLNLLFCQGDGSIVVSIAFELLKTTCAASKDWRKHHAEMIVISALADRQKDEVAKRFVEVEKLVFDSLNGHHRVIWAAVNAIRILSEHNLIPNVQYHIKFFSKLFSIVKCSPFPNVQIEAVLAIRSLVANCRLFVKMASFWEEIFMLMVELLKNDNQKIQEEAVETLKSVAVLILTNFEKYYDAAVKSLKAILFDDYRVPNKYLHAKSLECMSSFLMRNEFVNFEKEDAVKSVKFLIMSMAQTFEGRLLPYVDDLFSTVAQLWGNDLPDRMKEIAVSIFNIIVPHFPDKLQMNDAADILGPNKENLPQILYIFSIILFRSERLATEQTYAEITQFLDKHEDGLL >OIW14215 pep chromosome:LupAngTanjil_v1.0:LG04:2342695:2346786:1 gene:TanjilG_21355 transcript:OIW14215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISDRTVLQFSSSSSTLSAKVHPLVIFNICDCYVRRPDQAERVIGTLLGSVLPDGTVDIRNSYAVPHNESLDQVALDIEYHHNMLLSHQKVNPKEIIVGWYSTGLGVSGGSALIHEFYSREVPNPIHLTVDTGFALGEGTLKAYVSNNLSLGDHQIAAQFQEIPLDLRMIEAERIGFDTLKATTVDKIPSDLEGMESSMEHLLALIDDMYKYVDDVVEGHVVPDNKIGRFISDAVGSLPKLPPSAFDKLVNDSLQDNLLLLYLSSITRTQLSLAEKLNTAAQIL >OIW13406 pep chromosome:LupAngTanjil_v1.0:LG04:12447823:12456181:-1 gene:TanjilG_19758 transcript:OIW13406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEYEEIYEENGEEKNHHNSHAHTDFSPPPNTDLTESKSHHNAKHGSLLLPLWLFFTLILCVASCLAIISMDLVIMIENLPEAEKGNKRKGEKRREKEIRGGTGIKREAEIGREAGTWIEREAEIRREAGTGIEREAETGNKIRMERMKETVTGTAIIEIDTGITVREGKREEIEMTMIITESVTMIDEEIMTERIGIGAGPGLDLLQCQGVDLSIDQDLVLVHVQKVFKCHLLIAIVRATCILCQHYSFTVDDELDPTVRLMLSGHEVKGSSLATPSPLAGVELCTSTFPTNKRTSGFDMAPPASALLAGASAVTGQMMATNAAIPGVFQNMFPLATSQVQPFSALPVMPVQAMTQQATRHARRVYVGGLSPTANEQSVATFFSQVMANIGGNTAGPGDAVVNVYINHDKKFAFVEMRSVEEASNAMALDGIIFEGAPVKVRRPTDYNPSLAATLGPSQPNPNLNLGAVGLTPGSAGGLDGPDRIFVGGLPYYFTETQIRELLETFGPLRGFDLVKDRETGNSKGYAFCVYQDLAVTDIACAALNGIKMGDKTLTVRRANQGSSLVQPKPEQESILMHAQQQIALQKLMLQPALVATKVVCLTHAVAADELKDDEDYEEILDDMRQECSKFGTLVNVVIPRPRPDGEVAPGVGKVFLEYVDVDGATKARVGLNGRKFGGNQVIAVFYPENKFSQGDYEG >OIW12648 pep chromosome:LupAngTanjil_v1.0:LG04:25968318:25987022:1 gene:TanjilG_24581 transcript:OIW12648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKWVTLLKDFKEKVGLTQSPSPSPTPPPSSRDNNAFSPSQSSSSSLTRDRHELELDFKRFWEEFRSSSSEKEKEAALNLSIDAFCRLVKQQANVAQLVTMLVETHIFSFVVGRAFVTDIDKLKISSKTRSLDVAQVLKFFSEVTKDGISPGANLLASVEILVSGPIDKQSLLDSGIFCCLIHVLNVLLDPDVTIQRPNAAVDLEEQSVLQKHYNGDVEQDRQLEVEGSVVHIMKALASHASAAQSLAEDDSLQLLFQMVAKGSLIVFSRYKDGLVPLHSIQLHRHAMQILVLLLVNDNGSTAKYIQAGSVRLREDIHNAHGYQFLVQFALTLSNMTKSQGFQSICSNLSDDQDTASDRSQNSKEQNSNKQEKSSIQYLSPTLSRMLDVLVSLAQTGPNEPLHAYGGKGSKSSQNKSGGHGHSRSRSRTSSSDWIGDELWATDNDKIKDLEAVQMLQDILLKANSQELQAEVLNRLFKIFSGHLENYKLCQQLRTVPLLILNMGGFPAPLQEIILKILEYAVTVVNCVPEQELLSLCCLLQQPITSGLKQTILSFFVKLLSFDQQYKKVLREVGVLEVMLDDLRQHRILAPDQPNVNSNQLERKDSSSNFKKHLGKDVIITSPKFMESGSGKFPIFEVEATISVAWDCMLSLLKKAEGNQASFRSANGVTAVLPFLVSDVHRQGVLRILCCLIIEDASQAHPEELGVLVEILKSGMVSSALGSHYRLSIDAKCDTMGALWRILGANNSAQKVFGEATGFSLLLTTLHGFQNDGGDFDQSSLNLYVKVFTYLLRVVTVGVFDNAVNRMKLHAIISSQTFFDLLCESGLLCVEHEKQVIQLMLELALEIVIPPFLASEGLTQSDAIENESSHNLLLTPSGPINPDKERVYNAGAIRVLIRALLLFTPMVQLKLLDLIDKLARAGPFNQENLTSIGCVELLLETIHPFLMSSSPLLSHALKIVEALGSYRLSASELRMLIRYVLQMRLKNSGRIIVELMEKLILTEDMASENISLAPFVEMDMSKIGHAAIQVSLGERSWPPAAGYSFVCWFQFRKFLKSHSKETDPSKFIPSKKRSGTNGLHEQHILRIFSVGATNDDNATYAELYLQEDGVLTLATSNSSFLSFPGLELEEGRWHHLAVIHSKPNALAGLFQASVAYVYLNGKLRHTGKLGYSPSPVGRPLQVTIGTSVGNARVSDLTWKLRSCYLFEEVLTPGCICFMYILGRGYRGLLQDTDLLRFVPNQACGGGSMAILDSLDADLTLAASGQRLDSSSKQGDLKADGSGIVWDLERLGNLSLQLSGKKLIFAFDGTSTEFVPSSSSFSMLNLVDPMSAAASPIGGIPRFGRLWGDIYICKQGVIGETIRTIGGMELVLALVEAVETRDMLHMALTLLACVLHQNPQNLKDMQTYRGYHLLALFLRRRMSLFDMQSLEIFFQIAACEASFSEPKKLETTLSPAASLQETSLEDQYLSKFHDDNSSVGSHGDMDDFSVQKDSFSHISELENTDIAAETSNCVVLSNADMVEHVLLDWTLWVTAPVSIQISLLGFLENLVSMHWYRNHNLTVLRRINLVQHLLVTLQRGDVEVPVLEKLVVLLGVILEDGFLSSELENVVRFVIMTFDPPGLAPQRPIMRESMGKHVIVRNMLLEMLIDLQVTIKSEELLEQWHKVVSSKLITYFLDEAVHPTSMRWIMTLLGVCITSSPTFALKFRTSGGYQGLVRVLPSLYDSPDIYYILFCLIFGKPVYPRLPEVRMLDFHALMPGDGSYTELRFVELLESVIAMARTTFDRVSMQSMLAHQTGNLSRVGASLVAELVEGNSDLAGDLQGEALMHKTYAARLMGGEASAPAAATSVLRFMVDLAKMCPTFTAVCRRAEFLESCIELYFSCVRAAHAVKMAKDLSAVVEEKTLNDGDDTCSSQNTFSSLPLYQDQSVKTSISVGSFPQGQLSTSSDDMAAPVNSMAGERLPNNVPVSVLESNKYVQEDLQTVQSFDVDNADKGSATSSAVEFSFHGIKGASEVLPPTDSQSSASFAVLDSPDFSEKSSSRIPIPPSSSPVVALTSWLGSANHNEAKSPLTPTPSFDSSMSIGEFDQSSDLKSTSQGPSATNAYFSVTSKLLLDIDDSGYGGGPCSAGATAVLDFIAEVLSDFVTEQVKASQVIENILESVPLYVDGEALLVFQGLCLSRFINFLERRLIRDDEEDEKKLDKIRWSSNLDALCWMIVDRVYMGAFPQPSVVLKTLEFFLSMLQLANKDGKIEEAAPGGKRLLSISRGNKQLEAYIHSILKNTNRMILYCFLPSFLASIGEDDLLSALGLLVESKKRLPSTSCPDDSGIDICTFLQLLVAHKRIIFCPSNTDTDLNCCLCMNLTCLLRDKRQNVQHIAIDVFKYLLVHRRAALEDLLVSKPNQGQLLDVLHGGFDKLLTRSLSEFFEWYQNSEPTVNKVLEQCAGIMWVQYIAGSAKFPGVRIKGMEGRRKREMGRKSREAAKLDLRHWEQVNERRYALDLVRDAMSTELRVVRQDKYGWILHAESEWQCHIQQLVHERGIFPLSKSSLTEEPEWQLCPIEGPYRMRKKLESCKLKIDTIQNILDGQFELEEPESKGKTENTHDALDSKPYFQLLSDGDKQSSPDGELFEDSFFHNLDSVKDAVSNKNEWNDDKASSIIEASLHSALEHGAKSSAVSVPIEESTQGRSDMGSPRQSSMKVDDLKIADDKYDKEMHDNGEYLIRPFLEPFEKIRYKYNCERVVGLDKHDGIFLIGEFSLYVIENFYIDDSGCFCEKECVDELSVIDQALGVKKDVMGSVDFQSKSTLSWSTTAKSLVGGRAWAYSGGAWGKEKVQSSGNLHHPWRMWKLDSVHEILKRDYQLRPVAVEIFSMDGCNDLLVFHKKEREEVFKNLVSINLPRNSMLDTTISGSLKQESNEGSRLFKIMAKSFSKRWQNGEISNFQYLMHLNTLAGRGYSDLTQYPVFPWVLADYESENLDLSDPKTFRRLDKPMGCQTPEGEDEFRKRYDSWDDPEVPKFHYGSHYSSAGIVLFYLLRLPPFSVENQKLQGGQFDHADRLFNSIRDTWLSAAGKGNTSDVKELIPEFFYMPEFLDNQFNLDLGEKQSGEKVGDVILPTWAKGSAREFISKHREALESDYVSENLHHWIDLIFGHKQRGKAAEESVNVFYHYTYEGSVDIDSVSDPAMKASILAQINHFGQTPKQLFLKPHVKRQTDRKLPPHPLKYSSHLVPHEIRKSSSPITQIVTFNDKILIAGSNNLLKPSTYSKYVAWSFPDRSLRFISYEQDRLLSTHENLHGSNQIQCVGVSHDGRVLVSGADDGLVNVWRVSKFGPRALRRLKLEKALCGHTARITCLQVSQPYMLIVSGSDDCTVIIWDLSSMAFVRQLPQFPAPVSAIFVNDLTGEIVTAAGILLAVWSINGDCLAMIKTSQLPSDSILSVSSSIFSDWLDTKWYATGHQSGSVKVWQMVHFSHPDSSLSKSSASGGLGGSNLGSKEPEYKLILRKVLKFHKHPVTALHLTTDLKQLLSGDLGGNLLSWTLPDESLRVSSNQG >OIW14008 pep chromosome:LupAngTanjil_v1.0:LG04:6438435:6441734:-1 gene:TanjilG_09359 transcript:OIW14008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHDNKKSSKPSSSRVRTLSDLNRPSADSDSDSDAPQEYYTGGEKSGMLVQDPTKGSGVDAIFNQARQLGAVERSLDQLQEPPRSTSFTGTGRLLSGETVQSAPQQPEAVVHNIVFWSNGFTVNDGPLRSLDDPENASFLESIKKSECPKELEPADRRSSVNVNLIRRNEKYPEPAKQQPVSFQGVGRTLGSSSTSVAPEPIPVSTPLNSAPTPSAGLVVDQSLPSTSIQIRLADGTRLISHFNLNHTVSDIRGFVDASRSGAPRNYQLQIMSFPPKVLTDHTQTIEQAGLANSVVIQKF >OIW14056 pep chromosome:LupAngTanjil_v1.0:LG04:5239806:5240285:1 gene:TanjilG_11401 transcript:OIW14056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRNTKHAYIVNDAKRNATYKKRKNSLIKKTMEISTLCGVDACAIIYRSNELQPEVWPSHSGVQSVLYKFLTFPPLEQSRKMFDQQSFLKQRIVKAQDQLQKKKIRNQNEMMSLFMFNCLNTGFVNDNINLQIAKDLLSVIDRNLNDLDRKITRDQHQ >OIW13887 pep chromosome:LupAngTanjil_v1.0:LG04:8039293:8043643:1 gene:TanjilG_31776 transcript:OIW13887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPRMIHPIEDVEGDGGSNFSHNHKGDPCLVLTADPKPRLRWTQDLHERFIDAVTQLGGANKATPKAIMRTMNVKGLTLFHLKSHLQKYRLGKQSGKDIGDACKDGMSGSYLLESPSNGNSSPKLPTSDTNEGYEIKEALRAQMEVQSKLHLQVEAERHVQIRQDAEQRYMVMLERACKMLADQFISASVIDTDSQKFRGIESKAPRDPMVDAIGFYSLPSSEVARVHIPEEEIPPSLPPQRGDCSTESSLTSHETSGGIGLEGTPGGGKRRMSGMESMAAAPLIWSEAKIRTQAVNVTQVGGISQLAHVGD >OIW13922 pep chromosome:LupAngTanjil_v1.0:LG04:8324036:8325670:-1 gene:TanjilG_31811 transcript:OIW13922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLQCSHLAILVLLLSVSLTASSSIEENFVQCLSFHSDKAVPFYQSIYTPKTASYNNILNSTAQNLRLLVPSAPKPEFIFTPLTDSHVQVAVICSKKLGIHMRVRSGGHDYEGLSYVSEIEAPFIIIDLAKLRAVDIDIEDKTGWIQAGATIGEVYYKIYEKSRVHGFPAGLCTSLGIGGHITGGAYGSMMRKYGLGADNVLDARIIDANGNILDRETMGEDLFWAIRGGGGTSFGIILWWKIKLVPVPETVTVFTVTKSLEQGATKILQRWQKVAPYIDNDLFIRVIIQPATSAANKTERTIITSYNAQFLGGVDKLLQVMKESFPELGLTRKDCLETSWIKSVLYIAGYPNDTPPKILLEGKSTFKNYFKAKSDFVREPISETGLQGLWQRLLEEDSPLMIWNPYGGMMSKFSESDIPFPHRNGTLYKIQYLSLWQEGDKNAAKHVDWIRKLYNYMTPYVSKFPREAYVNYRDLDLGVNKKNSTSYIEANAWGNMYFKDNFNRLVKIKTKVDPENLFRHEQSIPPLPLSTMRLKDNKCKTWE >OIW14299 pep chromosome:LupAngTanjil_v1.0:LG04:804078:804569:1 gene:TanjilG_21439 transcript:OIW14299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNNLRCCLACVLPCGALDLIRIVHLNGYVEEITRSITAGEVLKANPNHVLSKPSSHGVVRRILILSPETELKRGNIYFLVPSSSSPESKTYAGNLSIDDSDLKKKFPSKKTKQCSSSPHHKGYLVGNDNNKVPKEKIKPSRRDRRRGRVGIWRPHLESIFEE >OIW13206 pep chromosome:LupAngTanjil_v1.0:LG04:18419951:18426197:1 gene:TanjilG_17649 transcript:OIW13206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEMEKDFDSKLKIQGDSSSNGGGGGNIQRSKSFAFRAPQENYSIQDFELGKIYGVGSYSKVVRAKKKDTGAVYALKIMDKKFITKENKTAYVKLERIVLDQLDHPGIVQLYFTFQDTFSLYMALESCEGGELFDQITRKSRLTEAEARFYAAEVVDALEYIHHLGLIHRDIKPENLLLTAEGHIKIADFGSVKPMQDSQITVLPNAASDDKACTFVGTAAYVPPEVLNSSPATFGNDLWALGCTLFQMLSGTSPFKDASEWLIFQRIIAREIRFPDYLSDEARDLIDRLLDSDPSRRLGAGSGGYDALKRHPFFKGIDWNNLRAQTPPKLALEPGTPSPERDDGQESSWNPSHTGDSYARQPDGATSSSEETGHITRLASIDSFDSKWQQFLDPGESILMISTVKKFRKLTSKKVQLLLTNKPKLFYVDPSKLTGKGDIIWSDNSNDLSIQVTSPSHFKICTPKKVMSFEDAKQRAWQWKKAIEGLQNR >OIW14081 pep chromosome:LupAngTanjil_v1.0:LG04:4957736:4959250:-1 gene:TanjilG_11426 transcript:OIW14081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDISQTDTKSIIAKLNLAKQKYEEDNQISSPKIQRVPNVLRQNAEFAKFCIPKMISLGPIHHSDKNLEQGEHYKLLWTSMYVEQYSKQANQVTDQVTHFLLKTVQDNIAELKKLFTKDAIGTKTTDKDLCLMLFVDGCSLLHFMENVDKQRPQALQLKLDQMMYIWRDIILLENQLPRKLLELLCKKEADLEHLMINFHSMGDIKRLSGLKVPHESKHRTICIPGIAISFMNKRETRSEQPTSNSEEVKVQLASKRKPIHLLDYARTFVTSTSKDHVDRNGKDVKNKQEGVEFTKMANPDKSYGWLTYKNIRDLKAAGIHVKASKTDRWIWSSVSFASKLFYGELRLPMFMFDNVSPYFFRNLIAYEMCPDFRNSMECCSFFCFMDSLIDGGEDVKELRLAGVIQNLLGSDEELAKLFIDLGSHLPTKLFNNTWCTDAMAYSKNYTEVKNQIEKHYTNKWRTFGAATINTYFSSPWSILAFFAAAAALALTAAQTYYNVHPKN >OIW12480 pep chromosome:LupAngTanjil_v1.0:LG04:27284646:27288336:-1 gene:TanjilG_04644 transcript:OIW12480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENEKRVVKGRVVLMKKGVLDFHDIKANVLDRVHEFLGNGVSLQLISSTTSTPDPEGNGLRGKRGKESYLKRWISKISSLTKATDTEFSITFEWDHEMMGVPGAFIIRNYHHSQFYLKTLTIQDIPGHAPLHFLCNSWVYPVHRYTSPRLFFANKAYIPSETPEALRKFREEELSSLRGKGVGKLEEWDRVYDYAYYNDLGTPDNGFEYARPVLGGSQQFPYPRRGRTGRQHTKKDPKTESRLLLLNLNVYVPRDEQFGHIKFSDFLAYSLKSIAQVVLPEIRSLCNKTINEFDSFEDVLAIYEGSLQLPTGPVLNKIRQLIPYEILKELVRNDGEKFLKFPVPDVIKEMLAGVNPVIIRHLQEFPPASKLDPKLYGDQNSSIRAHHIQNSLDGLIIEEALQNNKLYILDHHDALMPYISRINSTNTKTYATRTLLFLQDDGTLKPLAIELSLPHPQGEQYGAVSKVFTPAKEGVASSVWQLAKAYASVNDSGYHQLVSHWLYTHAVIEPFIIATNRQLSVLHPIHKLLQPHFRDTMHINALARHTLINADGLLEKTVFPGKFALEMSAVIYKNWVFGEQALPADLLKRGMAVQDSSCPHGLKLVIEDYPFAVDGLEIWDAIESWVSEYCNFYYPSDDMVEKDTEIQSWWEEVRVEGHGDLKDRTWWLEMKTRVELIQSCTIIIWIASAFHAAVNFGQYPYAGYLPNRPTVSRRFMPEPGTPEYAELESNPDLAFLKTITAQFQTLLGVSLIEVLSRHSTEEVYLGQRDTPDWTFDAEPLKAFERFAQKLIQIEKNITERNNDKRLKNRNGPVKVPYTLLYPNTSDYTREGGLSGKGIPNSISI >OIW14148 pep chromosome:LupAngTanjil_v1.0:LG04:3574975:3576987:-1 gene:TanjilG_21288 transcript:OIW14148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDKTVRFCILGCANIARKVARAISLAPNATLTAIASRSVTKAENFAAENNLPESVRIYGSYDQVLEDPGVDVVYVPLPTSLHVRWVVMAAEKKKHVLVEKPVALDVAELDRILEACESNGVQFMDGSMWLHHPRTDQMENLFSLTNSQGIAPVHFIHSSSTMPTTPQFLDSNIRVKPDLDSLGALGDLGWYCIGAALWAKGYQLPTTVAALPDVTRNAEGIILSITASMQWDQPHQTIATIHCSFLSHTSMDLAICGSNGSLHLKDFIIPYQETSASYDFTFGAKFANLHIGWNLRPEEVHVANKLPQEALMVQELARLVASIRDGGSLPSTKWPEISRKTQLVVDAVKKSLELGFKPVAL >OIW13102 pep chromosome:LupAngTanjil_v1.0:LG04:21094215:21095066:-1 gene:TanjilG_08135 transcript:OIW13102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPVLSSPINIYLIWYGNWKQPQKLLIKDFLLSISTTNRRAAPSPSVSDWWSTVSLYTDQTGANISRTITVAGEYNDLKYSHGTHLTRLSVQQVIATAVKTKPFPVDHRNGIYLILTADDVTMEDFCRAVCGFHYFTFPSMVGYTLPYAWVGNSGKQCPEVCAYPFAVPGYMGGGGPGKLAPPNRDVGVDGMVSVIGHELAELSSNPLVNAWYAGEDPTAPTEIGDLCEGLYGSGGGGGYIGEVMKDKEGRTFNLNGRRGRKFLVQWIWSPVLKACAGPNAID >OIW13513 pep chromosome:LupAngTanjil_v1.0:LG04:10148416:10151497:-1 gene:TanjilG_29254 transcript:OIW13513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKFVDSEVIYKESKELEDEEAFSYATQLGFSIVLSMSLQSAIELGVFDILQKAGPNAQLSAKQIASQISCNNPEAASMLDRVLGLFASHDILKCSVIPLDSKLGSFQRLYSMAPVAKFFASDSDGFSLGPLMALIQDNIFLKSWSQLKDAIKEGGVPFDRVYGTHAFEYPSLDSRFNDVFNNAMINQTTIVMKKIVESYKGFEGIKKLVDVGGGLGISINLITSKYPHIKGINFDLPHVIQHSPSYPGVENVVGDMFQSVPKGDAIFMKLLKNCYEAIPDDGKVIVVEALLPTMPENNAAWKAISQIDVMMMTQNPGGKERSDQEFMELAIGAGFSGIRYECYVHTFWIMEFFK >OIW13307 pep chromosome:LupAngTanjil_v1.0:LG04:14271729:14274670:-1 gene:TanjilG_02827 transcript:OIW13307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNTHVRNLHSLYKNICTILQQHESVPQQPQTAQIRNLKHHKQLLETAFDAFKLKKNQITPGLKQKVENLEKYIHHVRHAKIVSSHHQMQNSAEMHSAQQLVPPHSRNSHADISEKKPTSQSSPQIFQVPNQFSSSQQQANEVSIIQGCGNNVQAPISGEKESLKSFIITTPGVPASPLLEECSNVNETSQNGTLISDDTSAAMQGLIKVLSSMSDEALMASSGEIGAVVCLNDCISTLEPLNVSPNSAIDKYLEGVNDADLRTRYLTCDDYVRRGREMSHIINSRPTSESFFHFTSQENYTLLEEIKKINNRLIDTEVVIDEEKTNPSANGGVDEHGGRRILVKLVFNSISVNVNLMSQYASSNKKSIIKPLRVLVPSSYPLCSPVILDEMPSKISKDLDNLSMKAKFKLRLSLQSLNQPMSLRDIATSWDRCAREAICEYAKLHGGGTFSSKYGGWEICHDGG >OIW13951 pep chromosome:LupAngTanjil_v1.0:LG04:5907437:5907787:1 gene:TanjilG_09302 transcript:OIW13951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGLQTKFVGALEDIDPNGENAENGPGATMSSGFELGLEGMVGISEPLGVGNTAAGEEGNSGAMSGDNSCKGDSEDGEGSFSVAGEEYRVGSSGIGCEYDERRGVGMKAIMISSTR >OIW14355 pep chromosome:LupAngTanjil_v1.0:LG04:175676:179801:-1 gene:TanjilG_31245 transcript:OIW14355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATESFVESSDLSLKRQRDEEKNGVSVSMDTLENDNNGLSSVIPGWFSEISPMWPGEAHSLKVERILFQGKSDYQNVMVFQSSTYGKVLVLDGVIQLTERDECAYQEMITHLPLCSIPNPKKVLVIGGGDGGVLREVARHSSVEKIDICEIDNMVVDVSKQFFPDVAVGFDDPRVNLHIGDGVAFLKEASEGTYDAVIVDSSDPIGPAQELFEKPFFEAIAKALRPGGVVCTQAESIWLHMDIIENIVENCRQTFKGSVNYAWTTVPTYPSGMIGFMLCSTDGSPVDFKHPINPIDEKEFQKAVRPLKFYNSEIHTAAFCLPSFAKRKIGSKAT >OIW12617 pep chromosome:LupAngTanjil_v1.0:LG04:26333466:26334476:1 gene:TanjilG_04781 transcript:OIW12617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHPIAEANEHSPFGNLTADEFYAHHSVSHGSEFITNPRGLKLFTQWWTPLPPAKVIGTLAVVHGYTGESSWFLQLTAVHFTKAGFATCAIDHQGHGFSDGLLAHIPDINPVVDDCITFFDEFRSRFDPSLPSFLYSESLGGAIALLITLRQKESPGTAADAVNSKPWNGLILNGAMCGVSAKFKPPWPLEHFLSAAAAIIPTWRVVPTRGSIPEVSFKEEWKRKLALASPRRTVARPRASTAQELLRICKELQGRFEEVEVPFLVAHGSDDVVCDPACVEDLYARAASKDKTLKIYPGMWHQMIGEPEESVELVFGDMLEWLQTRVQRAAVDGGA >OIW14111 pep chromosome:LupAngTanjil_v1.0:LG04:4157467:4159300:1 gene:TanjilG_21251 transcript:OIW14111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSCNSVMATTTERRWDMSSLRSSLPSLSSSSTSSPIISIRFPLSHSPSSSSKALRISHSKPKSLLQSFTGLAPLNPIFLSSLSSSGSLWQKDNVLVSCDKEVTGFSRRTRLLRMQEFTGFDHCFTIIDNGGRVYAMRHGRKVPKLNRPPDQRRALIRGLTTQLLKYGRIKTTRARASALRKWVDKMITLAKDGSLHKRRQALGFIYEKQIVHALFAEVPERYGERNGGYTRIIRTLPRRGDNAPMAYIELV >OIW13150 pep chromosome:LupAngTanjil_v1.0:LG04:19825096:19825443:1 gene:TanjilG_15054 transcript:OIW13150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNVLVAFLTWLAILLVIVEPGQSFNFDDATKQLLPCLNYVIGLQGDIPSSECCNGARALQSSTPTTDDRREACEYLKAVASSLPLIKEDKASSLFKKCDVTVPYSFSKDGNCET >OIW12882 pep chromosome:LupAngTanjil_v1.0:LG04:24025730:24028036:1 gene:TanjilG_24815 transcript:OIW12882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMQLSSATRAGTFTVSAPAVPLSVSAISASRLPGIILRPNSFPSLPLKHFPSLTRSSSSFDSFISHHPCKLPFTATASSQFSTPFASTNVESEKVKLDQVSKRLEKTARDFKRLGSLGFWGQLVSTVVAAVILSFSVVVTGKVTSPATFYATAGGIAAAFISVFWSFGYIRLSDKLRKTANQPTKAPPRADVVKSLKNGIVLNLLGMGAAIIGMQATVGLLVGKALTSSANPFYQGISPGYSPVLALDVFLVQASANTILSHFLGLVFSLELLRSVTLPPSEATPFPKFA >OIW13008 pep chromosome:LupAngTanjil_v1.0:LG04:22469615:22474633:1 gene:TanjilG_15457 transcript:OIW13008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLDFSQLQKRPPSREKVTWKWLPFTNSARKDDLQLCHWVRVVNEDQPTGDYSFAKYNKPVDITRYTEEEYEKYLTDPMWTKEETDQLFDLCESFDLQFIVIADRFPSSRTVEELKDRYHKVSRAILIARNPSFGDVAVHPLKEQYNVSQEIERKRALSLVLSQTRKQEQRDEEILAEAKRITELRIATKVAEESKLVIAPNAGVDVTERAIPGETVSTSNLPLPPIVVPSTITDNASTQASLRILRVYLRTYALDQMVQAANSSAGLRTIKRVEQTLQELGVNLKPRVPTKAVCAEHLELRKEILTLLNLQKQLQYKEAEGLSFRDGLYSETPGTPKRLHRIEDQDRTFVPDSTSGGGERIGKRDQKRKAPGRPSEVSSSPVQSKRPRKLKASDL >OIW14196 pep chromosome:LupAngTanjil_v1.0:LG04:2725985:2729410:-1 gene:TanjilG_21336 transcript:OIW14196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKNNNRKKVGGSSSSSSPTNFDHLFGPKDPSSTSSSSSSLFSSIFPPPSTVGGRDSRKQDNGGRGYGPAGNYGKGESSGGISNKNTSTNYENETVEASYYSSSIYYGGQENYSPRTRTTESHHPHVFKKDKDNDDDDPNGNDSNSASRGNWWQGRTILDL >OIW13499 pep chromosome:LupAngTanjil_v1.0:LG04:10064730:10066031:-1 gene:TanjilG_29240 transcript:OIW13499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSTEHIMNNVDGEELLKQVGLVDLLGDWKDGILTIGTLGYEHMKTFNQNKEYFALENENELDNEDYYGEHILVEGNDDYNNYNNGELEELKPLIHTIFENNFEDVISANSSNNDANNSSKEKMVDYHGTMESKNVESDPKKKGERTTLAELFLADSNAHKKVGTIKTLLQSSEKPSLNAKCGMLFPKKFIPHVKDNPHPIKHIKKLMKKMMKNKIHPYHDVKNHKLEGQKANDEEIIDNDHKHGASDTTSLLPI >OIW13273 pep chromosome:LupAngTanjil_v1.0:LG04:16122975:16128637:1 gene:TanjilG_25752 transcript:OIW13273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMSMVFSTFSLFSFFFHIALLSNLCFGAGPRVHTDLHVSYQTLSPLGVPQRVIAINGNFPGQPINVTTNDNLYVNVFNELDEDLLITWPGVQMKRNAWSDGVLGTNCPIPPKWNWTYKFQVKDQIGSFYYFPSLNFQKASGGFGPFVINNRDIIPIPFAQPENDIFIMIGDWYTQNHTALRATLDSGKALGIPDGVLINGKGPYQYNATLVPGGIQHTQIDVEPGKTYRVRVHHVGISTTLNFRIQNHNLLLVETEGRYTIQTNYSNFDIHAGQSYSFLVSTDQNASADYYIVASARFVNESLWQKVTGVAVLHYKNSMGPATGPLPPPPDDTYYKDFSINQARSVRQNTSASGPRPNPQGSYKYGSVNITDTYVLNIVPPVTINGSTRATINGVSFRKPVVPFRLADKHNINGTYKLDFPIKPVNTTPVLDISLINATFRAFVEIVLQNNDTTIQNFHLDGQSFYVVGMNYGIWSEKSRGSYNKWDAISRCTTQVYPGGWTAILVAIDNVGYWNLRSENLDRWYLGQETYMKVINPEENGRTELGPPANVLYCGPLHYLQK >OIW12986 pep chromosome:LupAngTanjil_v1.0:LG04:22854539:22858994:1 gene:TanjilG_15435 transcript:OIW12986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKFQIWSKTINVGAIAFAFALIFVSASANDVVVLSEDNFEKEIGQDKGALVEFYAPWCGHCKKLAPEYEKLGSSFKKAKSVVIGKVDCDEHKSLCSKYGVSGYPTIQWFPKGSLEPKKYEGPRNAEALAEYVNTEGGTNVKIATAPSNVVVLTAENFNEVVLDETKDVLVEFYAPWCGHCKSLAPIYEKVATAFKLEKDVVIANLDADKYRDLAEKYDVSGFPTLKFFPKNNKAGEEYGGGRDLDDFVAFINEKSGTSRDGKGQLTSKAGIVESLDALVKEFVAAGDEEKKAVFTKIEDEVGNLKGSASRHGKIYLKATKNYLEKGSDYAKNEIQRLQRILDKSISPSKADELTLKKNILSTYA >OIW13893 pep chromosome:LupAngTanjil_v1.0:LG04:8097249:8100842:-1 gene:TanjilG_31782 transcript:OIW13893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMYGRDPWGGPLEINAATDSATDDDRSRNLQEVDRAALSRPLDETQQSWLLGPSEQKKKKYVDLGCIIVSRKIFVWTIGTVLFAAFVAAFVVLIVKTVPRHHHKNPPPDNYTLALHKALMFFNAQRSGKLPKHNNVSWRGNSCMQDGKSSDVSSAIKDLVGGFYDAGDAIKFNFPQSFAMTMLSWSVIEYSAKYEAAGELEHVKELIKWGSDYFLKTFNSTADAISTLAAQVGTGDTSDGSTTPNDHYCWMRPEDIDYDRPVTECHSCSDLAAEMAAALASASIVFKDNKAYSKKLVHGATTLFKFSRDQRGRYSAGSSEASIFYNSTSYWDEFVWGGAWMYYATGNSSYLKLATTPGIAKHAGAFWGGSDYGVFSWDNKLAGAQVLLSRLRLFLSPGYPYEEILRTFHNQTSIVMCSYLPVFTSFNRTKGGLIQLNHGRPQPLQYVVNAAFLATLYSDYLDAADTPGWYCGPNFFSTDVLRNFAKTQINYILGNNPRKMSYVVGFGDKYPKHVHHRGASIPKNKVKYNCKGGWKWRDSSKPNPNTIVGAMVAGPDKHDGFHDVRSNYNYAEPTLAGNAGLVASLVALSGDKGMKIDKNTIFSAVPPMFPTTPPPPAPWKP >OIW14251 pep chromosome:LupAngTanjil_v1.0:LG04:1657757:1663141:1 gene:TanjilG_21391 transcript:OIW14251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSTVESDGENNNKGSMWTLDQKLDQPMDEEAGRLRNMYREKKFSSLLLLRLAYQSLGVVYGDLGTSPLYVFYNIFPKGVNDKEDVIGALSLIIYSLTLVPLIKYVFIVLRANDNGQGGTLALYSLLCRHANIKTIPNQHRTDEELTTYSRSTIHERSFAAKTKRWLEGKGYIKNTILILVLVGTCMMIGDGILTPAISVLSASGGIKVNHPDLSSGVVVVVAVAILVGLFSMQHYGTDRVSWLFAPVVLLWLLLIGGIGIFNLWKHGSDVLRAFSPVYIYRYLKRGGKENWTSLGGILLCITGTEALFADLGHFPVSSVQIAFTLVVFPCLLLAYSGQAAYLMNNLSHSRDVFYRSIPVTIYWPVFVVATAAAIVASQATITATFSIIKQALAHGCFPRVKVVHTSKKFLGQIYIPDINWILMILCIAVTAGFKDQNQIGNAYGTAVVIVFLVTTLLMILIMILVWRCHWILVIIFTGLSLIVECTYFSAVLFKVDQGGWAPLVIAAAFLLVMYVWHYGTVKRYQFELHSKVSMAWILGLGPSLGLVRVPGIGLVYTELASGVPHIFSHFITNLPAIHSVVVFVCVKYLPVYTVPEEERFLVKRIGPKNFHMFRCVARYGYKDLHKKDDDFEKKLFHNLFIFVRLESMMEGGSDSDEYSLYEQQTNQSRDGILNNGNVASPNMDLTMASVDSIVSVISPSRGSSKNEVDELEYLNNCKDAGVVHILGNTVVRASRDSRFYKKIAVDYVYAFLRKICRENSVIFNVPHESLLNVGQVFYV >OIW13193 pep chromosome:LupAngTanjil_v1.0:LG04:19230452:19250724:-1 gene:TanjilG_17549 transcript:OIW13193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVERIQEESKQTQKKGTDDGSEAKLVKVKNKYKDVDDYISTYEPLIFEEAKSQIARENKDKEEVTDWKFGVVQSFSEGDGFHFIEIPFEMEEGESISQNDLLLISKDKFIGGKRLPTVYAFALVESIRKFSDPKLLRVRLYLAGEFLHFNTDNVQSCPRLFNMRSHICETGRKLNFLKDLILNAIGESFGTESEGWKIPLPLKEFVDNTYNQYQREAITGPPGTGKTQTILGILSTILHATPTRMHSKSRIVELKQGPQLPIEEKCKHWGLASPWMSSINPRDSLMPKDGDDGFFPTTGNELKPEAVTSSRKYRVRVLVCAPSNSALDEIVLRVLDGGVHDESDRSYCPKIVRIGLKAHHSIKSVVLDELVKQKRATERNDESIRTAILEEATIVFSTLSFSGSHIFSKLSRKFDVVIIDEAAQAVEPATLVPLANQCKKVFLVGDPAQLPATVMSEVAKSHGYGTSLFERLMLAGYPVKMLKTQYRMHPEIRSFPSREFYENSLEDGDDVKLRTERDWHRYRCFGPFCFFDIHEGKETKPSGSGSWINNEEVDFVLFLYQKLVTLYPILKSGNQVAIISPYKQQVKLFEKRFEETFGVSPEKVVDICTVDGCQGREKDIAIFSCVRASEASKDKNDGIGFVKDIRRMNVGITRAKSAVLVVGSASTLRRNEQWNKLVESAEERECLFKVSQPYSSFFSDESLKSMQAKKDEPSKVTGPDRAGNEWPVDNATADADEAPADDNDDGEVDMDNAGYDED >OIW14067 pep chromosome:LupAngTanjil_v1.0:LG04:5085550:5085846:1 gene:TanjilG_11412 transcript:OIW14067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARFATFLVLFLVLFASLVSCLEGRKFHLHHSKKHRNKVNPSSSNSLFFSSLPKGTVPYSTPSKKGHATEVDEKLIARHLISTERLLLRSVPSPGAGH >OIW13777 pep chromosome:LupAngTanjil_v1.0:LG04:6792962:6797905:1 gene:TanjilG_31666 transcript:OIW13777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLKSLVLLLAIILVVEICLTITANANLVFQVERHRNRKLNLSLSEIVSHDVRRRGRFLSAVDLNLGGKGLPTDQGLYFTKLGIGSPPKEYYVQVDTGSDLLWVNCANCVKCPRRSSSGMELTLYDPKDSKTSDSVYCDQEFCTSTYDGPIPECKSNIRCLYSIAYGDGSETTGYYVKDYLTFNRVDGNLHTAPQNSSIVFGCGAVQSGTLGSSSEQAVDGILGFGQANSSVLSQLAASGKVKKVFSHCLDNIRGGGIFAIGEVVEPKVKTTPLVPKMAHYNVVLKDIEVNGDVLELPTDIFDSGNGKGTIIDSGTTLAYLPSMVYDQILTKVLAQQPGLKLYIVDQQFSCFHYTGNVDTGFPVVKFHFEDSLSLKVFPHDYLFEYNDVWCVGWQKNVEQTKDGMAMTLLGGTA >OIW14102 pep chromosome:LupAngTanjil_v1.0:LG04:4389628:4395629:1 gene:TanjilG_19481 transcript:OIW14102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELQRSTSKPALMKDSSPGSFPKQSGRHVAFEDPSNNRYSGLSDIDSNIVNRDKQRFNRCCSTFCVWSCLSLFILIIIFVFIAIFYLILLQAGLPEINVRSLNITKLELDKNSQNLNADIGLGIRITNKNEKTVILYGPLSVDVVGEDVPLGNAKVGRFSQEAQNETNLDLKMTMDNVMVDKDAVDSLKSDIDAKEMVFDVYVGGYIGFKIGNMYMTNVPFLSSCHEIKQMDVDFGRRPACDVRMFAFRSATLRFRVQKKEVLIPDTTITHRTPLFNSADSYFLTVIMADEAHNDLKEEVPEVVPFDPTKKKKKKKITILDPADDSVDKLAEKTENLSVSFSLAVTEGAESTFIGLKKKKKKQVEISNLNDESGDATEDLDALDPAEEEEGDTAPLQPRYPWEGSDRDYEYEELLGRVFNILRENNPELAGDRRRTVMRPPQVLREGTKKTVFVNFMDLCKTMHRQPDHVMAFLLAELGTSGSLDGQQRLVVKGRFAPKNFEGILRRYINEYVICLGCKSPDTILSKENRLFFLRCEKCGSGRSVAPIKAGFVARVGRRNAGT >OIW13467 pep chromosome:LupAngTanjil_v1.0:LG04:11145521:11145931:-1 gene:TanjilG_22258 transcript:OIW13467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGERRKRKIENEEENEQENMEKFFALIKSTKDVRDLLYKEKIDKKVDDEKAKGTWIPKFQVEDFIDYGEFGRSNNITTTPSHASSSEKEKEKEKEKRVIIENEHLQEVEATTAEAAQNEVKEKAESDYLDLNLSL >OIW13372 pep chromosome:LupAngTanjil_v1.0:LG04:13842835:13844456:1 gene:TanjilG_16481 transcript:OIW13372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDSMKKVANLDVELTVEERNLLSVSYKNVIGARRASWRILSSIEQKEETKGNEVNVKRIKEYRNKVEAELSNICNDVMRVIDEHLIPSATAGESTVFYYKMKGDYYRYLAEFKSGNERKEAADQSMIAYESATTAAEAELPPTHPIRLGLALNFSVFYYEILNSPERACHLAKQAFDEAISELDTLNEDSYKDSTLIMQLLRDNLTLWTSDIPEDGEDSHKANGIANLGGGEDAE >OIW13003 pep chromosome:LupAngTanjil_v1.0:LG04:22523424:22524764:-1 gene:TanjilG_15452 transcript:OIW13003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKDTVMKMEGTIGDSSLSGYNPFGNLFDFCEVEKSSLGIMELLGVHEYGSTLQFPDIPQQRLSTMSVPKVVPSFETVRECNSELLNHRPATPNSSSISSASTSEAVNDEHNKTVDQAEEEEKNKIKKLLKAKKTNQKKHREPRVAFMTKSEVDHLEDGYRWRKYGKKAVKNSPFPRSYYRCTSASCNVKKRVERSFTDPSIVVTTYEGQHTHPSPVIPRSGLTGDPDISAANYLSQYQQQHFQQQQQLLFNTLSSLSFPYNHSTPSEIAFAQERLVCNHGKTAAFLRDHGLLQDVVPSHMLKQE >OIW13972 pep chromosome:LupAngTanjil_v1.0:LG04:6169881:6171089:1 gene:TanjilG_09323 transcript:OIW13972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASITLFFSLLCIFSNLYHTQSSSISPLSLLLPITKDHSTLQYLTTLSYGTPLVPTNLVLDLGGPLLWLDCASSTSNSLLTIPHRSIQCLTAKSHDFYTHTWLSNILDQDQQQPCQILPQNTVTGTKAIEGTLVKDLIALQKGPTKNLIFSCSHSMLLNGLASGARGMIGLGRSRTSFTSQVFDSFQVQRKITLCLSSSSGVVSFGNIIPFDSEILRSLTFTPLVTNPSQEYFINVNSIKISGKKVSFNTPSLSLTQLSSIVPYTTMKSSIYANFESAYLKATLSMNITRVPSVSPFRLCFRSQQVGLNVPVIDFVLQSEMVKWSIYGRNSMVKVSDEVMCLGLLDGGENQRNSIVIGGYQLEDVLLQFDFDTSMVGFSSSLLMRHTSCSDFKSSSISAQSF >OIW13815 pep chromosome:LupAngTanjil_v1.0:LG04:7423158:7424288:1 gene:TanjilG_31704 transcript:OIW13815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEEENQHKILLSSSPSESEVELQHMPLAPPPWKSRKRLSKQLSMCEKPRDIAWERKRRQERRRSSTQDYDDITDEDLNELKGCIELGFGFIEEEGQTLTTTLPALDLYFAVNRRLSPSHVSTPHKLGARSSSFGSIKSESDSWKICSPGDDPELVKTKLRHWAQAVACSVRQSS >OIW12870 pep chromosome:LupAngTanjil_v1.0:LG04:24165580:24166397:1 gene:TanjilG_24803 transcript:OIW12870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNLSSDAITLNGYYGAQQNVQGLVQLNLMEPPHDSYYVNQQSMQGLGPLNSMAPSHDGFFRTQQNIHGLGGQLEFRPTTTFGYSLQDEPEPQFHGNSSRN >OIW14094 pep chromosome:LupAngTanjil_v1.0:LG04:4704737:4706269:-1 gene:TanjilG_14551 transcript:OIW14094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATISASRTILFSHSSKLFFLPFKPSPFSSSSHIPFSLSLRFTRPTCTLNLSPSPSDPQHQQQQQLHQNPRNVIQILEERGLLESITNDALRNISSNSIGATPPLKVYCGFDPTAESLHLGNLLGIIVLSWFRRCGHKPVALIGGATARVGDPSGKSLERPELDVDTLERNTAGISSTIIRILGGAQNQKLGDGYADDDNSVVVLNNYDWWKEFSLLDFLKNVGRYARVGTMMAKESVRKRLESEQGMSFTEFTYQLLQGYDFLYLFQNEGVHVQIGGSDQWGNITAGTDLIRKILQVDGAAYGLTFPLLLKSDGTKFGKSEDGAIWLSPNLLSPYKFYQYFFSVPDDDVIRFLKILTFLDIKEIAQLEGEMIKPGYVPNTAQRRLAEEVTRFVHGEDGLSEALRATEALRPGSETKLDWKTIEGIAEDVPSCSLAYGEVLNQSLVDLSVSSGLFDSKSAARRLLKQGGVYLNNCRVNSENKKIEVEDIVDGKVLLLSAGKKNKVLVRIA >OIW13648 pep chromosome:LupAngTanjil_v1.0:LG04:9561826:9562349:1 gene:TanjilG_07990 transcript:OIW13648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEIKYGYPYPAQGPYQGPPPVAAPPQYYVAPQPKRSPAWQLCVAVVSWTSAVVTQPLFLLLNDITTT >OIW12895 pep chromosome:LupAngTanjil_v1.0:LG04:23907012:23910067:1 gene:TanjilG_28270 transcript:OIW12895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAEKKWLLTLFTAVFLSLMLLLMSSISSFSSPKTFPSLVQHGSHYPPSFAYFISGGDKDKDRILRLLLAIYHPRNRYLLHLGRDAKDEERQALVTSVRSVPAIRAFGNVDVVGKADWVTYLGSSNVAITLRAAAIMLRLDGGWNWFITLSARDYPLITQDDLAHVFSSVRRDLNFIDHTSDLGWKEGDRFQPISVDPGLYLARRSQIFQATQNRPTPDAFKLFTGSPWVVLSRPFLEFCIFGWDNLPRMLLMYFTNVKLPQEGYFHSVICNAPEFRNTTVNGDLRYMIWDNPPKMEPLFLNASIYDQMAGSGAAFARQFEVDNHVLDMIDDKILRRGRNRATPGAWCTGRKSWWMDPCSQWGDVNILKPGPQAKKLEGSISSLLDDLTSQTNQCK >OIW12962 pep chromosome:LupAngTanjil_v1.0:LG04:23101742:23106027:1 gene:TanjilG_15411 transcript:OIW12962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRIRIEGLLAAFPKLIGSGKQHTYVETENVRYVYQPIEALYLLLVTNKQSNILEDLDTLRLLSKLVPEYSFSLDEEGICTHAFELIFAFDEVIALGHKENVTVAQVKQYCEMESHEEKLHKLVMQSKINETKDVMKRKASEIDKSKIEKNRGEKGGFGPLQSMGSGRIESSFSDLSISSSGAGFGSGSGFGLNSDVDSFSTKPKARPPSSATAPPKGLGMKLGKSQRTNQFLESLKAEGEVIHEDVQPKLGQSRSAALPLTDPITLTVEEKINVTLKRDGGVSNFDVQGTLSLQILNQEDGNIQVQVQTGENQAISFKTHPNMNKELFANESVLGLKDPNRPFPTGQASDAAGVGLLKWRMQSTDESMVPLTINCWPSTSGNETYVSIEYEASSIFDLQNVVISVPLPALREAPSVKQIDGEWRYDSRNSVLEWSVLLIDNSNRSGSMEFVVPQADSSAFFPISVQFMATDTFSDLKVTNIIPLKGGNPPKFSQRTQLITENYQVV >OIW12806 pep chromosome:LupAngTanjil_v1.0:LG04:24722849:24732652:1 gene:TanjilG_24739 transcript:OIW12806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKCDITDIVIEMQRIIRPEGSIIVRDHIDVLVKVEKIVNQMSTSGCPFGEGCHFLHYVPGGIKAISQVINVGNSPAFPQGGRNPVAPPSFPDGSSPPTVKTRLCSKCTVIGHILLNLIVPLTSAYTPVNNEYTHYTSGCPFGEGCHFLHYVPGGIKAISQVINVGNSPAFPQGGRNPVAPPSFPDGSSPPTVKTRLCSKYNSAGGCKFGDKCHFAHGEWELGKPTAPSYEDPRGFGQMQGNRIGGRVELPPQGHGAAASFGASATAKISINASLAGAVIGKNGVNSKQICRITGAKLSVRDHGSDPNLRNIELEGSFDQIKQASAMVHELILNVSSAASGPPMKNFTSQTSAPANNFKTKMCENFAKDSNAMNFAIDAAKRVRYEENARFQKFKGVVPQQNGHWGAQIYANHQRIWLGTFKSEKEAAMAYDSASVKLRSGESHRNFPWNDQTVQEPQFQSLYSTEAVLSMIKDGIYPSKFATFLRTQTQGDIVSNKCENIRMKIHEENLSCTLLFQKELTPSDVGKLNRLVIPKKHAVTYFPYVCGIAEAKNNDIDVDIEVIFYDNLMRSWKFRYCYWKSSQSFVFTRGWNRFVKDKKLKAKDTIAFYVCEPVNLRKGGEAFSLIDIIYYNDDHERKQCFEVKGDAEQGLRNMLTLSDEEEDEKDEDIGQETKDMKDLDALNSPNNSAQKGLRLFGVCIN >OIW14332 pep chromosome:LupAngTanjil_v1.0:LG04:313749:319378:-1 gene:TanjilG_25118 transcript:OIW14332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLLLLNPQPYISITLNNSPFSSFPKLSFLRRRTFSPPTAFATVQQFEIPEFDIRNPALSSSYRSPVLVKPNQTVLEAQARVCTGPTQTRPLDEEQAFKVFDTILRSARGELKDEEVSRAQLGAFFAGMTIRANAFPVATQWSEGETRAMKMFWPLLVRVLPPDVIFVADPDGSMMGVGSSIGPCYVGSCTSEMRLVGALREVLAGGHLGFEEVQGVLKEVLPFKEGGDQSQGLSEALLSAFLIGQRMNRETDRELKAYCLAFDDELGPPPVADVRSLTHYGEPYDGNTRFFRSTLFVAAVRSCYGEPCLLHGVDWMAPKGGITEEQMLKYMGANINLSPFEAKKLLEDDEIGFAYVSQREARPSLYSLTKIREHIKKRPPLATTEKVQRYVKATGKEAIVAGFYHGGYEEPLLMLMKRRGVHSGLVVKGEEGALSMTTRLRSVGTTRGIPVNYCSGFRSHDVSSTSELGGVTREGFSLEVNAADYGFQPTDTPRTDRSVSKNIELGLAALSGEKGPAYDRIVLNAGMVDHLLRADGAEDISVALDRAREAIDSGNALKRLVNYIKVSHKVD >OIW13596 pep chromosome:LupAngTanjil_v1.0:LG04:9811729:9812601:-1 gene:TanjilG_07938 transcript:OIW13596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPKTHQTLNLKLLTLALLVAFFLLFVLRSNLTSTSNDLNQYHMSSTSQTSLLKASTTECSNSCTKIPPSLSQALIHYATSTITPQQTLKEISVSARILEKRSPCNFLVFGLGHDSLMWSELNHGGRTIFVEEDESWIEQIRRTFPMLESYHVRYDSKVNEAENLMEIGKGAECREVRDPRYSICQLALKGLPSIVYETRWDVIMVDAPTGYYDEAPGRMSAIYTAGMMARNRDGFGETHVFVHDVNRVVEDKFSITFLCHKYMKKQQGRLRHFTIPTHRDNLDMPFCPQ >OIW14043 pep chromosome:LupAngTanjil_v1.0:LG04:5401366:5401764:1 gene:TanjilG_11388 transcript:OIW14043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEFLESEILFSDNNFQDNSIVDKDDMELEAVVLQKEQKQNSQMISGAKKWNGDKKVASSLPIRIPERMLNCLLDDDDGEMVVPPHVMVERRNSGRKMAYSLCTGYGRTLKGRDLSRVRNSILRMTGFLEV >OIW13588 pep chromosome:LupAngTanjil_v1.0:LG04:9984471:9986291:-1 gene:TanjilG_25687 transcript:OIW13588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMLWSENEKAMVASVLGPKALNFLISNSLPNEIILMAIGSDESLQNKLSDIVERPNVSNFSWNYAIFWQLSQSKSGDWVLGWGDGCCREPNEVEEHIVRRVLRPCLDDADDLNMQQSLRKKVLQKLHTTFGGSDEENYVIGLDHVTDTEMFFLASMYFSFPKGSGGPGKCFESGKHVWLLDALNDNDSDYYVRSYLANSAGFRTIVLVPTDFGVVELGSVRLLPENFELLEAIKTVFSSLYSYPKVNVKPISPLPLTVVTEKRDEKNDVFHGFPIGGNKNKVDGVSKVFVDPCPGRTQFREKLAIRKVEERPWGDCGYPDGSASKFLNAAHRNGLHGSSWAGNQGMMRQPSPAEVFANRSNVTVAEAGNGVRQDFRLNNYQPQRQMQIDFSGATSRPLVRSVVSELELSDAEASFKEEKPGVIDERRPKKRGRKPVNGRDEPLNHVEAERQRREKLNQRFYALRSVVPNISKMDKASLLGDAIAYINELQAKLKMLELEKEKFGSTKRDEYKSENHQSRAPDVDIQASQDKVTVRMSCPLDTHPVSKVIQAFNNAEISVVESKLAAVNDTIFHAFVIKSKGSVQITKDKLIAAFSQESNNSLELA >OIW13735 pep chromosome:LupAngTanjil_v1.0:LG04:8698663:8700479:1 gene:TanjilG_17914 transcript:OIW13735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQTLVFVLCIAAILVSVVNAQSAVKPLVKIVKGKKLCDKGWECKGFSAYCCNETISDYFDTYQFENLFSKRNAPVAHATGFWDYRSFITAAAEYQPHGFGTTGNKTTGMKEVAAFLGHVGSKTSCGYGVATGGPFAWGLCYNKELSPDKYYCDDYYKLTYPCSPGAAYYGRGAIPIYWNYNYGKTGEALKVDLLNHPEYIEQNATLAFQAAIWKWMTPPEKHIPSAHDVFVGNWKPTKNDTLSKRVPGFGATINVLYGDQVCGQGSDNEAMNNIISHYLYYLDLMGVGREEAGPNEVLSCAEHAAFKPSGTPSSSTT >OIW14064 pep chromosome:LupAngTanjil_v1.0:LG04:5140801:5141428:-1 gene:TanjilG_11409 transcript:OIW14064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDEFEGDSKIEQSEVVVGAYPLGNHRALFDPLMRHYYKFVFGNIVVYGASDNTVYPPVHFTTTTITYTIGAAAPVVKQWEINLKDVVVQLKGACRDSEIEAVKVATWRNICEAFAEEAREFLKESEGELTTNLYDKWPRAFEVAPWIAFDFAGGLSMTKLSSGEKKVIEIMTKQLFRTQGQSAVF >OIW13673 pep chromosome:LupAngTanjil_v1.0:LG04:9418628:9428163:1 gene:TanjilG_08015 transcript:OIW13673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTEVIERWDTWEELLLGGAILRHGTRAWNIVAAELRARTISPYTFTPEVCKAKYEDLQQQYSGSMAWFEELRKKRVAELKRALEISEDSIGSLQSKLEFLKAGKNEKRNDCYVENDSDNPELDVPTLKLEQVESSTKETSKDGLSAGSFTHITRTSWSPECPAEDMETKPKVEHDKLSSVDKLAHIIYEGQGGCIKKRRGKRKRKKCGRNIKEASVGESELLDSADIVSWCRESSTSNYGEVAKSCGVDDQNRNSKRDGVEYIMEILDSIFETKGASAFRRRLDSQKRGRYKKMIRRHMDFDTIRSRISSQTINSAKELFRDLLLLTNNALVFYSKSTHEYKSALVQRDLVTKKMRESFKSVSSNKVTNANVSIQLPVQNNPHVKPRSVRPGNRKIVAKAVVGGSNFASVVSQGTKKPSKTDSPSSVESLPIKKKDLSILMAGRYDANPFAEEEVNPFSNPGSVAPASNSRLSPLNPEPAGYNYGYGATINIPLDPSTDLKKKEKELQAKESELRRREEDVRRKEEAAARAGISLDVKNWPPLFPIIHHDIANEIPIHLQRLQYIAFTSFLGLVSCLTWNIIAVTAAWIKGEGVTIWFLSVIYFISGVPGAYVLWYRPLYRAFRGILAAIDVLNGHAVVGILYFIGFGYFCIETLISIWVIQQVYMYFRGSGKAVEMRQEAARGAMRAAF >OIW13666 pep chromosome:LupAngTanjil_v1.0:LG04:9460452:9460733:1 gene:TanjilG_08008 transcript:OIW13666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFEKAYMRNIISPFEYDVFYYNKFILHFKILASKLKDTVSSINKFTILPSHFHEKTTMKEGIGSFFMMSAAYEFDLESSYNSFMTSLPNDAT >OIW12675 pep chromosome:LupAngTanjil_v1.0:LG04:25755074:25761752:1 gene:TanjilG_24608 transcript:OIW12675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAPLCSSRLQSMLKATVQSVQWTYSIFWQLCPQQEILVWEDGHYNGAIKTRKTVQTMEVGGDEEGTLQRSKQLRELYESLSVVETNTHTRCSFAALSPEDLTESEWFYLMCVSFSFAPGEGLPGKAYAKRQHIWLVGADEVDNKTFSRAILAKTVVCIPVSDGVVELGTTDKVQEDLNFIEHIKTFFTDVHNFHHHPPPPKLALSEHSTSNPASSSDHFHAVMYTAADPTTTNILKHDGINVDDDEDECETETEDERGYNTHQTLNAKMAEPSELMQVEMAEDIRIGSSNDGSNNLDSDFHFIAVTQTGYPSSISDSVPTQGSLQPPSGNLSTLGTVIPLLPLEDMTQEDTHYSQTLSNILQNQYTCWVESPSISYNTYSTFQSAFTNCNIFPNHHHHLLPKAALDGTSQRLLKCILFTAPYLHAKNHVEKYSPQTLDTATDSGGDLAAQIRGKGIPQDEHSTTHVLAERRRREKLNERFIILKSLVPFVTKMDKASILGDTIEYVKLLRRRIQDLEARNLQILEKEEQRSKNTDIIEMQRACTSSSTKEQQRSGVTVMVGTNKRKVRIVERNDEEALTSVQVSIIESDALLELECPHREGLLLDVIQKLRELRIEVIGMKSSLNNGVLVAELRAKVKGNVKGKKVCIVEVKTALSQIIPQTIQ >OIW14052 pep chromosome:LupAngTanjil_v1.0:LG04:5272314:5273243:1 gene:TanjilG_11397 transcript:OIW14052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPSGIVTVYGNGAITETKKSPFSVKVGLAQMLRGGVIMDVVNAEQARIAEEAGACAVMALERVPADIRAQGGVARMSDPQLIKEIKQAVTIPVMAKARIGHFVEAQILEAIGIDYVDESEVLTLADEDNHINKHNFRIPFVCGCRNLGEALRRIREGAAMIRTKGEAGTGNIIEAVRHVRSVMGDIRVLQNMDDDEVFTFAKKIAAPYDLVMQTKQLGRLPVVQFAAGGVATPADAALMMQLGCDGVFVGSGVFKSGDPAKRARAIVQAVTHYSDPEVLVEVSCGLGEAMVGINLNDSKVERFASRSE >OIW13798 pep chromosome:LupAngTanjil_v1.0:LG04:7145730:7149758:-1 gene:TanjilG_31687 transcript:OIW13798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRIVDLRSDTVTKPTEAMRAAMASAEVDDDVLGNDPTAFRLESEMAKIMGKEAALFVPSGTMGNLISVLTHCDIRGSEVILGDNCHIHIYENGGISTIGGVHPRPVKNNDDGTMDIDLIEAAIRDPRGEIVYPTTRLICLENTHANSGGRCLSAEYTDRVGEVAKKHGLKLHIDGARIFNASAALGVPVDRLTRAADSVSVCLSKGLGAPVGSVIVGSKSFITKARRLRKTLGGGMRQIGILCAAALVALQDNVVNLESDHKKAKLFADGLNQIKGLRVDGPLETNIVYIDIEEGSRTNPGKICKELENRGILLIPESLSRLRVVFHHQISASDMQYALSCFQQAVSGVQTENGN >OIW14198 pep chromosome:LupAngTanjil_v1.0:LG04:2664177:2665799:-1 gene:TanjilG_21338 transcript:OIW14198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKAVDDVAPWLLRNKDDNNTVVTADRLGFPSEFPYELGISSPVESVAGSTETEISDDEEDFFAALTRRLSHSSLHHSRKHNPLTSPIIASNKPESVNLKNGGLAISPESTLNGIGSWSSDGSPNGSSRVPSPSTTPFCENNDPQEAIYAAAVKVARLKYLNGETSSFGFQNRGLSSPHVSNKFPNQKSEDHVVKKQCGSLWRNQVQQQQQQQLQILNRGFESVKCTRHSSLPQSAWPPLQIQPQNQHVQCIGSGSTHGGSSVRRGSFAPVLVPAKVVHALNVTSQSQFSNAFAIDYDSLLARRNALLLQQLRLSLRREEVASYETRLPQDWTY >OIW13133 pep chromosome:LupAngTanjil_v1.0:LG04:20136939:20138014:1 gene:TanjilG_32114 transcript:OIW13133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISLKNYCEGWRLNVELHNIRDFEVVPEECTDYIGKYIRSTQYKVDSERAIEESLIYLSTSCNLKKDVEDAWIFDIDDTLLSTVPYYKDNLYGGKKLNVTDFEEWIKKGKAPALDYSLKLFNDLKSRGIQIILISSRREYLRSVTTANLVNVGYHGWAGLILRDPADELISVAKYKSDVRKQLIKNGYRIWGIIGDQYSSFEGPPSSTRGFKLPNPIYYIA >OIW13651 pep chromosome:LupAngTanjil_v1.0:LG04:9545322:9549570:-1 gene:TanjilG_07993 transcript:OIW13651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASENESQQLNRNLYPNVEPYATGFLKVSDIHTIYWEQSGNPSGHPVVFIHGGPGGGTSPSNRRFFDPDFYQIILFDQRGAGKSTPHASLEENTTWDLIDDIEKLREHLEIPEWQVFGGSWGSTLALAYSQSHPDKVTGMVLRGIFLLRKKEIDWFYEGGAAALFPDVWESFRDLIPENERGCFVDAYRKRLNSDDIETQYAAARAWTKWEMMTAHLLPNEDNIKKGDDDYFSLAFARIENHYFVNKGFFPSDSFLLDGVDKIRHINAVIVQGRYDVCCPMMSAWDLHKAWPEADFRDDFVFIILIKKLRHALMVMESFLAIFIPPNESYAKVVKLLNQTMKQVVPDAGHSANEPGVAAELVAANEKLKNLIKNKGN >OIW13132 pep chromosome:LupAngTanjil_v1.0:LG04:20127401:20129985:1 gene:TanjilG_32113 transcript:OIW13132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSGLWVLKERHGKIDSLQSLCDDGELSDEDSSKSQFKKLNKRSNSDHAIVVNATQSQISKVCFEDLFFQRHVHGLDNRVPKHMVSIDEKYLRNYLEFVHNNALKAAQCNTPAILSATNAGILSESSITAKVFGGSRQFVLECPVTTETGRVAVSADAGEQWTSGTVMGSKSMINILNSTLLQQFGVSDRNDNLNRMDFTDAKDLICYDFVDSSRDLSITSSYKETPWVPGHKYGTSSVHKRLASTSTTNSACSDFLSFPSPNLSQGTIQRMWEQGVPHFVFSEDDKKEVYVSKLVKLDSTDDKSLDYVYQFHLKKHGRKGHEIPDSGQLVGKMNVSTSFTICPNNCRMMETQFTLFGNMKIYDKQMHKTSHSHKNKGLSKKMSKVFRTSQTFKHRTLSMFGGSCAISESFPWELHALGATNFLDTNVPPNFELAAIVTKEHIPCKKQEKFGGWGLKFLNKSTVSQSTSPAESCSGNTGYCSTSTDVLIPAGLHGGPITRNGGPSSLIDRWRSGGHCDCGGWDEGCPLTVLQRQSSEEQVLSQVDALHDCKTVDIVTQGSSDFNPTLRMANVHEGLCFIHFQRPLSALQSFAIAMAIIHARSPTLRPDTAQEL >OIW13433 pep chromosome:LupAngTanjil_v1.0:LG04:11666393:11667213:1 gene:TanjilG_05323 transcript:OIW13433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VMEISNVDWKPLEPKSVEANAAFSKQFDPTCKYVSKIDRTSNLVLGESGSKKQEVVEKRMEPAVASSSVCFCNAAKRCSRDPIRSSKRKHRKDEDPEDQSEDVGEESFGVKKVARARDAIGSKRSRSAEVHNLSERDFNPKLECET >OIW13188 pep chromosome:LupAngTanjil_v1.0:LG04:19091288:19093314:-1 gene:TanjilG_17544 transcript:OIW13188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAILGIHNTLALTFGIIGNIISFLVYLAPISMLWLYYAYLKTNAILLITINSIGCVIETIYISMYIIYAHKDARSLTIKLLMTINIGIFTLIPLISQFALPHSLHVKIVGWICVSVSVSVFAAPLSIVARVIKTKSVEFMPFWLSFFLTLSAIMWFAYGAFLKDICIAIPNVLGFTLGLLQMLLYAIYRKGEARKNEVIEEREKTLHPTRNIVVEIPSRTHEVILISDNDHVNEQGMIGAKGVKEKENSVEINECPV >OIW12795 pep chromosome:LupAngTanjil_v1.0:LG04:24878477:24880002:1 gene:TanjilG_24728 transcript:OIW12795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTNSSQGRKRVDAVDSRAASVLVRTKDGSAFTRCEECNKDVPVALISMHSCSLEAKIKMNLESQVVEVTEVKKQERKKPKSKEPNAKRAKTEKVKKVKDPNLPKRPATAFFLFLDDFRKAFKEANPDSKDVKRVGKEGGEKWRSMSDEEKKPYLDKFAELKAEYEKAMETYKSRDEEEEQEGFDKSDKEAAPEEVEELPGDE >OIW13207 pep chromosome:LupAngTanjil_v1.0:LG04:18431727:18436262:1 gene:TanjilG_17650 transcript:OIW13207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNGEGSPQGPVTCGSWIRRPENLNLVVLGRSRRGSSCPSLLQIFSFDPKTTSLSTSPMTTFVLEAEEGDPVAIAMHPNGDDFVCSLSNGSCKAFEVCGHETKTKLLAKELPSLQGIGAQKCITFSVDGAKFAAGGLDGHLRIVEWPSLRKILDEPRAHKSVRDIDFSLDSEFLASTSTDGSARIWKIEDGVPLTTLAHKPDEKIELCDNSLTGVWDIRTWNKIGHKRLIRKSASVMSISHDGKYLSLGSKDGDICVVEVKKMQIHHYSKRLHLGTNIASLEFCPSERVVLTTSVEWGAQVTKLNVPKDWKEWQIYLVLLGLFLASAVAFYIFFENSDSFWNFPMVKHQ >OIW13025 pep chromosome:LupAngTanjil_v1.0:LG04:22243593:22247951:-1 gene:TanjilG_15474 transcript:OIW13025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEAVPIYLYIVAFICTIGALALSLLHIYRHLVNYTEPTFQRYIVRIIFMVPVYALMSLLCLALPESSIYFNSIREVYEAWVIYNFLSLCLAWVGGPGAVVLSLNGRVLKPSWFLMTCCLPPIPLDGRFIRRCKQGCLQFVILKPILVAVTLVLYAKGKYKDGNFNPKQSYLYLTIIYTCSYTMALYALALFYLACKDLLYPFNPVPKFIIIKSVVFLTYWQGVLVFLAAKSRFIKDADEAALLQNFIICVEMLVAAVGHLYAFPYKEYVGANIGRSRGLTASLGHALMLNDFYHDTVHQFAPTYHDYVLYNHGEGEEGTRKYRSRTFVPTGPEMDTVRRNKHMFGNKVDEIQLSGPNSCTPSNSGPLSDAKSSSEIKSSLLLDTSSSVSAPYDMTLIDLDAPSYPEKVPAADKAGTR >OIW14031 pep chromosome:LupAngTanjil_v1.0:LG04:5512077:5513255:1 gene:TanjilG_11376 transcript:OIW14031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METGDHAQLPLSGILPDELVIEILSRIPIKSLLQFRCVSKSWKSLISHNPQFVKLHLHNSPKNDNLILFVNKGLDEEEDDFDIRAIYCSKQTLLDNNISSIVNAEDGRCFVVNDTNWSVGSCNGLVCFRSHLVNNEVIESHFRFWNPALRLISKKSPALYVNKLPGDPFSPARYGFGYDSSSDTYKVVVMILGLENTIVKVHSMGESCWKEILSFPTFPIGELDGQFLNGTLNWLALDKSGYGYDWRTVTVNHLVIFSLDLEKETYRHLSLPAGLVEVSCVESNLKVLGGYLCFFFHYRGTHFVMWQMKEFGVEMSWTQLFIISDQHLQFDIDHPLIPLCTSENGDVIVMARTDDFGAIIYNWKSNRVELIETNNEFLENGEDYVESLVFPG >OIW12826 pep chromosome:LupAngTanjil_v1.0:LG04:24575740:24577272:-1 gene:TanjilG_24759 transcript:OIW12826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELDSQHRPFSIKLWPPSQNTRQTLVERMTNNLTTNSIFTQKYGTLDKEEAEENAKRIEDRKSQMVMGVLQSSFMPKNVVKGPSLKEPGNSVTKICFSNRSFGVGAALVAQTILVSLKDQLKEVDLSDFIAGRPEAEALNVMNIFSTALEGNALRSLNLSDNALGEKGVRAFGALLKSQNCLEELYLMNDGISKEAAKAVSELIPSTEKLKVFHFHNNMTGDEGALALAEVVKHSPLLEDFRCSSTRIGAQGGVALSDALGHCTHLKKLDLRDNMFGVEGGVSPSKALTKHGELREIYLSYLNLEDEGTIAIVNALKESAPHLEVLELSGNDITADSVPAIAACLAAKQFLSKLILAENELKDEGTIQVSKALEDHIQLKEIDLSSNQITWSGVQQLALTVVQKADFKFLNINGNFISNEGINELMDIFKNSPDRLGLLDDNNPDGKDGDDDEEGSEDELDSKMKNLAVDD >OIW14034 pep chromosome:LupAngTanjil_v1.0:LG04:5478463:5483648:-1 gene:TanjilG_11379 transcript:OIW14034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLSSASFSPPPQEGEKRCLDSELWHACAGPLVSLPALGSRVVYFPQGHSEQVAVSTNKEVDAHIPNYPSLPPQLICQLHNLTMHADAETDEVYAQMTLQPLNPQEQKEAYIPAELGTPSKQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDFSQQPPCQELIARDLHGNEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDAVLFIWQCIFFNRNEKNQLLLGIRRANRPQTVMPSSVLSSDSMHLGLLAAAAHAAATNSRFTIFYNPRASPSEFVIPLAKYVKAVYHTRVSVGMRFRMLFETEESSVRRYMGTITGISDLDPVRWPNSHWRSVKVGWDESTAGERQPRVSLWEIEPLTTFPMYPSPFPLRIKRPWPPGLPSFHGMKDEDFGINSPMLWLPDPDRGLQSINFQGMGVNPWMQQRFDPSMLNMQTDMYQAVAAAALQDMRTIDPSKQHPAPLVQFQQPQNFPNRTVALMQAQMLQQSQSQQQAFQNNQENRQSSQSQTQTQMHLQQQLQHQHSFKSQHGHHHNKQQQQPQQQQQQQLPQQMVDNQQISNAVSTMSQFVSAPQSQSQSPPMLALVPVSQQQTFSDSSGNSTAIVSPLHSISGSFPQDEYSHILNLPTTNSWVPVQTSTAWPPTKRVAVDPLLSSGISQCVLPQVDRVGQPLSTMSQNGITLPPFPGRECSLDQEGSNDPQNHLLFGFNIEPSSLLVQNGMTSLKGVGNNSDSSTMPFQSSNFLNNTGTDSSLIPGMIHSIGDSGFLQTKENLGQGNSSNKTFVKVYKSGFLGRSLDITKFSSYHELRSELARMFGLEGELEDPLRSGWQLVFVDRENDVLLLGDGPWPEFVNSVWCIKILSPQEVQQMGNTGLELLNSVPIQRLSNGIYDDYMSRQDPGSLSTGITSVGSLEY >OIW12704 pep chromosome:LupAngTanjil_v1.0:LG04:25575402:25576214:1 gene:TanjilG_24637 transcript:OIW12704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKLIEEDADSFAKRAEMYYKKRPELIGMVEDFYRTHRSLAESYDQVKPDTGFRSLKIGGSQPSSPKKLLSFGDNGYDIYSENCEVEESIKSEVDDPEKEEEVEEEVEDVDEERVRDLLVEEKHTICDEVMMLREEVERLRKENRAQKDQLKQKDEEKIKVIRQMSLAIDFLKQENVNMRSFIAKESKKNWKTPFDFNKLMGALSRKLFNMKIRRNKPSVVAL >OIW13988 pep chromosome:LupAngTanjil_v1.0:LG04:6271054:6271293:1 gene:TanjilG_09339 transcript:OIW13988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLSKEFGAHWVGITLAKAQGHTPDKAQDHAPNKARQARSEWLTHASVSHSHIGKTVAPDMDNMVTVMMDILAHLTLPR >OIW12570 pep chromosome:LupAngTanjil_v1.0:LG04:26681924:26685824:1 gene:TanjilG_04734 transcript:OIW12570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQQRSSNKQKHFWARVVMRKWLNIETPRFKENEGDEDEDTAESNDLLPRLRRQKSSTFRSQYINNKEVRVCAGTWNVGGKLPTDDLDIDDWLGTNNPADIYVLGFQEIVPLNPGNIFGAEDTRPVPKWENIIRETLNRVRPLPRKVKSFTDPPSPSKFKRSDDVPDIVEEMLLESDSDIGEEVHPLDEENNIYVGVTDKPTSNEVMNTNLPASDAADLARSREPLNPDLQRQFSYAKRLNRLNCIRDEESTENIGTPISQQTSKLSRMVSGTERIGLSWPEPPLHLLDRPTSFKSIKSFKTSKSFRTYTSFKTTIDEIPDLLGEIDLEALMKRKRRSPYVRIVSKQMVGIFITIWVRRSLRKHIQNLKVSNVGVGVMGYIGNKGSISISMSIYQTLFCFICTHLTPGEKEGDEHKRNADVHEIHQRTHFHSLANIGLPRSILDHEKIIWLGDLNYRINLSYEKTRDLISTKQWSKLLEKDQLSRELKKGVFGGWSEGTVNFPPTYKYENNSDKYYGGDSKVGRRTPSWCDRVLSYGKGVRLLNYGRVEIKMSDHRPVTATYMAEVEVFSQRKLQRALTFTDAEVENEEIKANLSSWN >OIW13239 pep chromosome:LupAngTanjil_v1.0:LG04:16637241:16639515:1 gene:TanjilG_14172 transcript:OIW13239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTFSNLHTDSGLKSLDDFLSGKTYISGDQFTKDDIKVFASVLEKPNDTSANAANWYNVVSSQLALSFPGNAQGVRFTVKAAPAEAAPAKPDASTAEDDDDLDLFGDETEEEKKAAEEREAAKKPAKKKESGKSSVLLDVKPWDDETDMKKLEETVRSIELPGLLWGASKLVAVGYGIKKLQIMMTIVDDLVSVDTLIEERLTVEPANEYIQSCDIVAFNKI >OIW13033 pep chromosome:LupAngTanjil_v1.0:LG04:21565942:21566295:1 gene:TanjilG_17593 transcript:OIW13033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKIMKTDEDVHMISAEVPVTFARACEMFILELTLRSWNHEEENKRRTLQKNDIATAINRTDMFDFLVDIVPREDLKDEVLASIPKETIPGAGRLSDGFPYCNMPPQDAPHLELLVS >OIW13734 pep chromosome:LupAngTanjil_v1.0:LG04:8705332:8708821:1 gene:TanjilG_17913 transcript:OIW13734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRPNARTEGRRNRYKVAVDADEGRRRREDNMMEIRKNKREESLQKKRREGLQPQLQFQTPLQASTIVDKKLESLPAMIAGVWSEDNTQQLEATTQFRKLLSIERSPPIEEVIQSGVVPRFVQFLGREDFPQIQFEAAWALTNIASGTSENTKVVIDHGAVPIFVKLLSSPSDDVREQAVWALGNVAGDSPRCRDLVLGHGALIPLLSQLNEHSKLSMLRNATWTLSNFCRGKPQPPFEQVRPALPALERLVHSNDEEVITDACWALSYLSDGTNDKIQAVIEAGVCTRLVELLLHPSPSVLIPALRTVGNIVTGDDMQTQAIINHGALPCLLNLLTHNHKKSIKKEGCWTISNITAGNKEQIQVVIEAGLIAPLVNLLQNAEFDIKKEAAWAISNATSGGTHEQIKYLVSQGCIKPLCDLLVCPDPRIVTVCLEGLENILKVGESEKSLGNTGDANLYAQMIDDAEGLEKIENLQSHDNNEIYEKAVKILETYWLEDEEETLPSGDNAQGGFNFGSNELPVPSGGFNFS >OIW13162 pep chromosome:LupAngTanjil_v1.0:LG04:19414740:19425025:1 gene:TanjilG_07768 transcript:OIW13162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIAVADADELLSNKAALMRESLQRSQTVTEKVVSIIGSFDHRLSSLETAMRPTQVRTHSVRKAHENIDKTLKAAEGLLARFDQFRQAEAIILKGPNEGLENYLQTIAKLRSNIQFFGNIKGFKGSDGIINHANTLLAKAIFKLEDGFRQLLLSHSKPVEPECLFDCLPNSMRPSSGSPAHDGGPNGKNHSNHDSEAHDIADAVVYKPPALIPPKVLPLLHNLAQQMIEAGHRHQLLNTYREARSNVLVESLQKLGVEKLNTEDVQKLQWEILEAKIGNWIHFMRIAVKLLFAGERKVCDEIFEGFDSLSEQCFAEVTTNSVSMLLSFGEAIARSKRSPEKLFVLLDMYEIMQELHSEIGTLFRGKACVEIRVAAMGLTKRLAQTAQETFGDFEEAVEKDATKTAITDGTVHPLTSYVINYVKFIFDYRSTLKQLFQEFEDTEDSPQLASVTMRIMQALQTNLDGKSKQYKDIALTHLFLMNNIHYIVRSVRRSEAKDLLGDDWVQRHRRIVQQHANQYKRNAWAKILQCLSIQGLASSGGGSVTGDGGPGSSSGASRTVVKDRFKAFNVMFEELHLKQSQWTVPDSELRESLKLAVAEVLLPAYRSFVKRFGSLVESGKANQKYIKYTPEDLDHMLGEFFEGKSTYETRR >OIW13063 pep chromosome:LupAngTanjil_v1.0:LG04:21995760:21996251:1 gene:TanjilG_17623 transcript:OIW13063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVSEEIKARSEMYHGDEMGQIKSKELLKEVGLPNGLLPLKDIEECGYDRESGFVWLIQKKSSTHKFHKVGKLVSYAPEVTATVEFGKIKKLTGVKVKELMVWLQLNEICLNDPPSGKITFKAPSGLFRTFPVSAFEIEEKEAEKGKLEVKEAGGAVEVKEV >OIW13484 pep chromosome:LupAngTanjil_v1.0:LG04:10839531:10841323:-1 gene:TanjilG_01052 transcript:OIW13484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGIEHRTVKVNGINMHVAEKGQGPVVLFLHGFPELWYSWRHQIQYISSKGYRAVAPDLRGFGETDAPSSISSYTCFHLVGDIIALIDSLGVEQVYLVAHDWGAIIGWYLCLFRPDRIKAYVCLSVPYWPRNPKFKPLDGMRALHGEDFYICRFQEPGKIEAEFAKTETENVMKSFLTDRSTGPPLLPKEGEVLIPKDTTPLPSWLTQEDVAYYASTFNKTGFTGGLNYYRNFNLNWELTAPWTGSQIKVPVKFITGDIDVVYTSFGTKNYIESGAFKKDVPLLEEVIIQNGVAHFNNQEAAEEVNNHIYDFINKF >OIW12621 pep chromosome:LupAngTanjil_v1.0:LG04:26195546:26196437:1 gene:TanjilG_24554 transcript:OIW12621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLSSGRSWIKIQDSIINNQDSRIKIQESRFKNKDSRIKIQESRFKNQDSRIKIQESRFKNQDSRIKIQESRFKNQDSRIKIQESRFKNQDSRIKIQESRFKNQDSRIKIQESRFKNQDSRIKIQESRFKNQDSRIKIQESRFKNQDSRIKIQESRFKNQDSRIKNQDSRTKNQDSRFKIQDSRFKIQESRIKNQESRTKI >OIW12972 pep chromosome:LupAngTanjil_v1.0:LG04:22998430:23005524:1 gene:TanjilG_15421 transcript:OIW12972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKMKVEELRTELEQRGLSTTGIKPTLIRRLEAAISKENKQLTTGDGVAVRRSGRKRTRDSDNGDSDQPDKISDQLVQNVEDEEAVSCKEEQEEKIVTATKKGVAVLDPWLPDHIKTQYHVLQLGDEIYEAMLNQTNVGDNNNKFYVIQVLEFDGGGKFLVYNRWGRVGIKGQDKIQGPYTSRESAILEFKQKFLAKTKNAWSDRNNFVCYPKCYAWLEMDYSGKEEESTVIENPGNALRKQPLESKLEPRVAKFVSLVCNVSMMIQQMMEIGYNANKLPLGKLSKSTILKGYQVLKRIADVIDKSDRKALEQLSGEFYTVIPHDFGFKKMREFVIDTPQKLKYKLEMVEALGEIEVATKLLEVDAEMQDDPLYAHYKRLRCELVPVEFGCDEFYMIEKYMKNTHAETHSKYTVEIVQIFKLSREGEAERFKKFSSTKNRMLLWHGSRLTNWTGILSQGLRIAPPEAPATGYMFGKGVYFADMFSKSANYCFASRTAKDGVLLLCEVALGEMAELLSSNYDADKLPKGKLSTKGVGGTAPDFLEAQALEDGLIVPLGKPKSNSGTKGSLLYNEYIVYNVEQIRMRYVVHVNFNFN >OIW13643 pep chromosome:LupAngTanjil_v1.0:LG04:9582423:9597444:-1 gene:TanjilG_07985 transcript:OIW13643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEAVTTPPPPSSSSSSSSSSNSPLGSSVISLVNRLQDIFSRVGTQSTIDLPQVAVVGSQSSGKSSVLEALVGRDFLPRGNDICTRRPLVLQLVQTKRKADGSEEEYGEFLHLPGNRFFDFSHIRREIQAETDREAGGNKGVSDKQIRLKIFSPNVLDITLVDLPGLTKVPVGDQPSDIEARIRTMIMSYIKIPTCLILAVTPANSDLANSDALQMAGIADPDGNRTIGVITKLDIMDRGTDARNLLQGKVIPLRLGYVGVVNRSQEDIQMNRSIKDALVAEEKFFRSRPVYSGLADSCGVPQLAKRLNQILAQHIKAVLPGLRARISTSLVAVAKEHASYGEITESKACVNIGCAGQGALLLNILSKYSEAFSSMVEGKNEEMSTSELSGGARIHYIFQSIFVRSLEVVDPCEDLTEDDIRTAIQNASGPKSALFVPEVPFEVLVRRQISHLLDPSLQCARFIYDELIKISHRCMVTELQRFPFLRKRMDEVTGNFLREGLEPSENMIAHIIEMEMDYINTSHPNFIGGSKALEIAVQQNKSSRISVTASRPKDALESDKGSASERSVKSWGILGRQASGVVADPGVHDASDVEKGVPFGNTGGSSWGISSIFGGSDSRVSVKESIANRPHIEQVHNAEQSISMIHLREPPAILRPSDTNSETRAIEITVTKLLLRSYYDIVRKNVEDFVPKAIMHFLVNNTKRELHNVFIKKLYRDNLFEEMLQEPGEVAIKRKRCRELLRAYQQAFKDLEELPVEAEKVERGYSLGEETGLPKIHGLPTSSMYSSSSSPGEYFGASSSHSGELHSSLHTNSYSYSYSNGFYPNISRLRLNSPEYYYYWGGIRRYSVFNEFSKKIKGEADRNPEFDKSVKQFREKTDELKEKLQRTRLKAEELLSKQASEAEAAAKKVSNNVKEKISSATEEVKETFGIGKDDSSGSTGSSTKQGAGENQGSHTSPEEEQNQQSGSNNASDSLFGKFKSTISSPHVSAAFQKLKDAKLVDVAKRGYDIVKEELSSNPTRRKRVPFTSNGETSTRTELVIVPSKQSWWSKKFDEIKEKVRGHPASKRIGKYSEPVKAKGQEIVEDLRERYETSDNPVIHKIQDINDSMFQETDAAISYKEIRQRDPEFSLPEFVAEVQEAVKPVLNAYIKGDFETLKKYCSPELIERFKAERSAFQSHGIFFDNKILHISEVELKETKMMGASPVIIVMFQTQQIHCVRDSSGSVTEGGKDTIQTVYYAWALQQMDHEERGEDAIYLMWRLKEMQQQGVRALI >OIW14042 pep chromosome:LupAngTanjil_v1.0:LG04:5412852:5414597:-1 gene:TanjilG_11387 transcript:OIW14042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVEDTQKSTITDEQITTNPNNVNDHNDLEEGEILDDADDSSATSKTHSAAVARNPLENSWTFWFDSPVAKSKQAAWGSSIRSLYTFSTVEEFWGVYNYIHHPSKLTVGADFYCFKDKIEPKWEDPICANGGKWSVSFSRGKSDISWLYTLLAMIGEQFDHGDEICGAVVNVRGKQDKISIWTKNASNEAAQMSIGKQWKEFLDYNESLGFIFHEDAKKHDRSAKIRYLI >OIW12640 pep chromosome:LupAngTanjil_v1.0:LG04:26064155:26067420:1 gene:TanjilG_24573 transcript:OIW12640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANHPSHVAPTDDFLEQILSLPTFPSSDSPFPSTDSSSFAAAVSSPPPMMLQLNSSDNQPPFHSPPVYHLGLSLEQAEGGFVKPDDVSVTANRYRDDVRPKNVFRGQPMPTNVPTAPHPPAMRPRVRARRGQATDPHSIAERLRRERIAERIRALQELVPSVNKTDKAVMLDEIVDYVKFLRLQVKVLSMSRLGGAGAVAPLVTDIPLSSVEEEGNQPAWEKWSNDGTERQVAKLMEENVGAAMQFLQSKALCIMPISLASAIYQSQPSDSSSIVKPETNPPS >OIW12999 pep chromosome:LupAngTanjil_v1.0:LG04:22568893:22571925:-1 gene:TanjilG_15448 transcript:OIW12999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMNFGHLRGVHVRVFVPSSPYFPAYAQGQGPPPMVQERFQSVISQLFQYRIIRCGGAVDDDMANIIVAQLLYLDAVDPNKDIVMYVNSPGGSVTAGMAIFDTMRHIRPDVSTVCVGLAASMGAFLLSAGTKGKRYSLPNSRIMIHQPLGGAQGGQTDIDIQANEMLHHKANLNGYLSYHTGQSLEKINQDTDRDFFMSAKEAKEYGLIDGVIMNPLKALQPLAAAAEGKDQGSV >OIW12583 pep chromosome:LupAngTanjil_v1.0:LG04:26594277:26597050:-1 gene:TanjilG_04747 transcript:OIW12583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESCPRMSLSHVQVKNCTEALTYLKDKLINNLHLILQDFAHLEANMITPTEMRRRSTIALQPLNFNKNRYTSILPFDENRVILRSSTDGRSEAHDYINANYCSIELEEASFVLHVHYNEWPDEGVPKDTFAVREILKRLYHLPPNLGPIVVHCSAGIGRTGTYCTIHNTIQRILAGDLSALDIANTVSVFRSQRIGMLQTQDQYIFCYKAIIDELEDLVSQ >OIW13261 pep chromosome:LupAngTanjil_v1.0:LG04:16512166:16528390:-1 gene:TanjilG_25740 transcript:OIW13261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSESDQNRLLGSSEVAEHDVEAQASDVAAVNGSGSRRGFIDLLRFSGHRHSFKRLEKDGDRETRDRNNYNHNSHLDLHDDDSSGDVLGDSAPPEWVLLLLGCLLGLATGLFVAAFNKGVHLIHEWAWAGTPNEGAAWLRLQRLADTWHRILLIPVFGGVIVGMMHGLLEILDQIKQSSSSQRQGFDLLSGVYPTIKAIQAAVTLGTGCSLGPEGPSVDIGKSCAAGFSLMMENNRERKIALIAAGAAAGISSGFNAAVAGCFFAIETVLRPLRAENSPPFTTAMIILASVISSTVSNVLLGTESAFTVPAYDLKSAAELPLYLILGMLCGVVSVVMSRLVAWFTKLFEVIQERFDLPTVVCPALGGLGAGIIALKYPGILYWGFTNVEEILHTGKSASAPGIWLLTQLAAAKVIATALCKGSGLVGGLYAPSLMIGAAVGAVFGGFAAEVINSAIPGNAAVAQPQAYALVGMAATLASVCSVPLTSVLLLFELTKDYRILLPLMGAVGLAIWVPSVTNQTKESDTPDPRNSARGYSSISRAQDNEGNWREAHNGDDLELGVIEDAANLESVDEELLLENLKVSQAMSKNYLKVLSSVNLKDAIKCIRDSQQSCVLVVDEDDFLEGILTYGDIRRCLPEKSNDTSKSGSRLLDDTCLVSSVCTRGMSYRGRERGLLTCYPNTSLAMAKELMEARGIKQLPVVKHGVDHSRERKRRIVGLLHYNALWICLSLAATAFEFIRNNEDNVGLSLKRICESCVVKGFVIDMFCTSALSVGDSMGIPVYYFFTSGAAVLALYCYLPEIHDQIKESFKDIQLELQIPGNAPLKAFHMPEPVLDRNDAAYWDMLYFCKHLPKSNGIIVNSFEDLEPFAVKAIKEGLCFPDPTQIPPIYYIGPLIAQANHPKDKQNSGVETDSYLSWLKNQPNRSVVYLCFGSRGSFSITQLKEIANGLEKSGQRFLWVVKRPTQDEGTKQVHDTTTEFDLTSVLPNGFLERTKNKGIVVRSWVPQVEVLSHESVGGFVSHCGWNSVLEGVVAGVPMIAWPLYAEQHVNRNVMVDEMKVAIGVEQRERDGFVSEEEVERKVRELMESERGREIRERSLKIKEMAQVAIGEFGSSTIALAKLVEAWN >OIW13332 pep chromosome:LupAngTanjil_v1.0:LG04:14748931:14751161:-1 gene:TanjilG_02852 transcript:OIW13332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSISTGVGSKSKPCTKFFSTAGCPFGESCHFLHYVPGGYNAVAHLMHITPATPTPSRNVSATPPIPNGSAQPTVKSRMCNKFNTAEGCKFGDKCHYAHGEWELGRPFAPSFDDHRAMGPPSASRMPSRMDPPPPGLAASFGANATAKISVEASLAGAIIGKGGVNSKQICRQTGAKLSIRDHESDPNLRNIELEGSFEQIKEASNMVKELLFTLQMSAPPKSIPGVPGGHAPPGSNFKTKLCDNFTKGSCTFGERCHFAHGAAELRK >OIW12959 pep chromosome:LupAngTanjil_v1.0:LG04:23117612:23121052:-1 gene:TanjilG_15408 transcript:OIW12959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTAKKEMVVYCFDTLIAHYNGEQVPHPAFDDGHHPLFVTWKKVVNGGEPRLRGCIGSLAAQDLINGFRDYALTSALRDRRFSPIQAKELPSLECTVSILTDYETANDYLDWEVGTHGIIIEFSDPDYNTRRNATYLPEVAADEGWTKIEAIDSLIRKAGYNGPITESLRKSIKLTKYQSTLYTMKFSEYVSYVKETRGEAPSILGAKLRK >OIW13945 pep chromosome:LupAngTanjil_v1.0:LG04:5871000:5872154:1 gene:TanjilG_09296 transcript:OIW13945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPYERRVASTRRSIARMQQHSMRAKARSKFKTLNVPDISSEAVIDSLEYIASYSIGTPPTKVNAFIDTGFDIIWTLNQPTFDPSTSSTYTNLSCLSKYCLDLGDLRTCASFESEPCIYDMTYDDSARSYGVFGHDKFMFDDSNDPSKTVDVGYLYFGVNNESSPNFKGNQHGALALDRGRYSLLGQLGITRFSHCFVPPDTIEGSRSRMYFGSNAKVLYGFLVPLVQVPNDPLYFVIIDGIRVGVTEIEYPSYAFDGGVVVDTGISNSMLTTAAYDPFVSELRKNINLPVVHGPSDELELCYQATEEEISNTPEVALYFSNSTVYFSSDVVFQEYSQGIWCLAILRSSNLRSMIGNIQMRNLLVGYDLEKNGISFSSSDCKPV >OIW13192 pep chromosome:LupAngTanjil_v1.0:LG04:19224767:19226207:-1 gene:TanjilG_17548 transcript:OIW13192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSKVVVATNPDQRRNSSSSSSSMSSSSHHSLPSLFLNLSDSDHHHHPMDDLLNSIYHTDAAAPPPPPSRTADEVWKEIVAGNSSGTHQNNNNNSNSGVLDVVTLEDYLIKERVIPNPSTGVTTAMVQFGNGVDGTVVGTSGGGGGKGKRKVIEETIDKATLQKQRRMIKNRESAARSRERKQAYTSELEYLVHQLEEENARLLNETV >OIW14304 pep chromosome:LupAngTanjil_v1.0:LG04:721058:723679:-1 gene:TanjilG_21444 transcript:OIW14304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEPRPLPHPPPVTATELSTTRNSLRFWQLMVAGSIAGSVEHMAMFPIDTVKTHMQARSSSCPIKTSLTVRQAVGSILKSNGPSALYRGIGAMGLGAGPAHAVYFSFYETCKKFLSRGNPNNASAHAVSGVCATIASDAVFTPMDMVKQRLQLSGGGYNGVWDCVKRVLREEGFGAFYASYRTTVLMNAPFTAVHFVSYEAAKRALIEVSPSSVDDGRLIVHATAGAAAGALAASVTTPLDVVKTQLQCQGVSGCDRFKSGSIGDVFKTIVKKDGYRGLIRGWIPRMLFHAPAAAICWSTYEAGKTFFHEFNQS >OIW13089 pep chromosome:LupAngTanjil_v1.0:LG04:21304227:21307480:1 gene:TanjilG_08122 transcript:OIW13089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNSTDTLIISFGEMLIDFVPDTAGVSLAESTAFIKAPGGAPANVACSIAKLGGNSAFIGKVGDDEFGRMLVDILRENKVNTDGVCFDMGARTALAFVTLRKDGEREFMFYRNPSADMLLKESELNMGLIKKAKIFHYGSISLISEPCRSAHLAAMKSAKEAGALLSYDPNVRLPLWPSDEACRSGIKSIWCDADFIKVSDDEVQFLTQGDAEKEEVVMSLWHDKLKMLLITDGEKGCRYFSKAQQFISSSKAK >OIW14292 pep chromosome:LupAngTanjil_v1.0:LG04:893375:901127:1 gene:TanjilG_21432 transcript:OIW14292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQVVAATSQKTIAYDAMPTLKVEPQEEGFMIKVLSQRSCRGLLVFILEAFEELGLDVLQARVSCEDHFCLEALGIKGCDASVLLDDTSSFTGEKTAAANVNSLRGFDVIDDIKTKLETACPGIVSCADILAVAARDSVVALGGQSWSVGLGRRDSTTASKDAATTDIPSPLMDLSDLISAFSTKGFTTQEMVALTGAHTIGQARCQLFRGRIYNETNIDSDFATSVKSKCPSSGGDSNLTSLDVTTNELFDNAYFKNLVNKKGLLHSDQQLFSGGSTDSQVTTYSTSPSTFYADFSTVMVKMGNLSILTGQSGQIRTNCRNVN >OIW12813 pep chromosome:LupAngTanjil_v1.0:LG04:24673969:24676195:1 gene:TanjilG_24746 transcript:OIW12813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLLNQAIEKRISVYAQIPIENGELIHVLRYQKNQFYKPHFDYFSDIFNLKRGGQRIATMLMYLTDNVEGGETYFPMAGSGDCSCGGKIVQGLSVKPTKGNAVLFWSMGLDGQSDPNSVHGSCEVLSGEKWSATKWMRQALHT >OIW13377 pep chromosome:LupAngTanjil_v1.0:LG04:13739727:13740286:-1 gene:TanjilG_16486 transcript:OIW13377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARFFSNAKLLSALVLDGFSSSLTRRGYGAATATQSATKGGIGSLSNKMAPKSGEGSNKVSWVPDPVTGYYKPENIKEIDVAELRATLLDKKFNNN >OIW13068 pep chromosome:LupAngTanjil_v1.0:LG04:22051460:22054530:-1 gene:TanjilG_17628 transcript:OIW13068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVGVAPTSGLREASGHAAAGVDRLPEEMNDMKIRDDREMEATVVDGNGTEAGHIIVTTIGGRNGQPKQTISYMAERVVGHGSFGVVFQAKCLETGETVAIKKVLQDKRYKNRELQTMRLLDHPNVVSLKHCFFSTTEKDELYLNLVLEYVPETVHRVIKHYNKLNQRMPLIYVKLYTYQIFRALSYIHCCIGVCHRDIKPQNLLVNPHTHQVKLCDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTTAIDVWSVGCVLAELLLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKAHPWHKIFHKRMPPEAVDLVSRLLQYSPNLRCSALDALTHPFFDELRDPNSRLPNGRFLPPLFNFKSHELKGVPVEILVKLVPEHARKQCPFLGL >OIW12631 pep chromosome:LupAngTanjil_v1.0:LG04:26126718:26128394:1 gene:TanjilG_24564 transcript:OIW12631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEIELANRAAVESCHRVLNMLSQPKDQNQPRNLMVETGEAVGRFKKVVSLLHNGLGHARVRKLKKLQLPFSENILLDNPNCQSKTTNQSKNLYFPQTQTGFPDNSIQEFGSSVRNTLSLGNPSLELNSSGKTPLQLVQQASSAHYQFLQQQQQQQRLIAQQQQMKHQAEMMYRRNNSSSINLNFDSTSCTPTMSSTRSFISSLSIDGSVANVDGSAFHLIGAPPQSSDQNSQQHKRKCSARGDEGSMKCGSSSRCHCSKKRKHRVKRSIKVPAISNKLADIPPDDYSWRKYGQKPIKGSPHPRGYYKCSSMRGCPARKHVERCLEEPTMLIVTYEGEHNHPKLLAQSANA >OIW13442 pep chromosome:LupAngTanjil_v1.0:LG04:11771040:11773245:-1 gene:TanjilG_05332 transcript:OIW13442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQGQITVQGGSSNSTPSNPSHRDEATCIITKRPRSNTVLTPSKDSDLSSSHSHQTNDQNNLQFSQYNKSYNKLEVEEFANIKQAKESTDNHLSTSPFKKPRMDDSNQVPKKLKYLGKCDQRPAKVNNFSNFLVPKVFIKSTQPAKKASLAELEEIEAGKVSNCVTGFQDHQTCLNANKSNKHVSLVARSDEEQPLDENSDAVDHNIAILRAKGKTKTNSSLYNEPLLPSSPVCSLEASNDPNFCIRKHEDSYESTYSSDNDEETEVKEKPYQEGNSVKRGRNVKIHNLYDKKGRDKMNKKMRILKELIPNCNKVDKASLLDDAIDYLKNLKLQLQIMSMGSGLCMPQMMLTQLSGAGMGFNPSTGINPWSIPQLTIPPLSNIKDNTLQNMFGTFSNQMPQIPIPQHAPNNFIPMMIGNNSSTQLVPTTIPTHMPKHVANSSQLKTLDISYLNAKDELCGINQAEVPLNHIPSYPFYFPINKEEKK >OIW14220 pep chromosome:LupAngTanjil_v1.0:LG04:2262345:2266916:-1 gene:TanjilG_21360 transcript:OIW14220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNDGSSSNTTIVESVVDDYGMQQSSCGYCKSPHHTSISHGLWARNLTVGDYQALLDRGWRRSGCFLYKPLMERTCCPSYTIRLKASDFVASKEQLRVSRRLQRFLNGTLDNKGVNVFEDPNSSKKAESFVHHKLSNLTSEEFLSASSEEQNEVENSLHDLSDKIDKVANMFTEKGDFPSGIQLPKASVRKVTQAKKKFLGNSSEDLLYSSNIAFQIAASIKRAQSGNKDGSNSKPSRDSETDNGSSPKHIAEKLASSLDQIVKKCDLSIRACNGHINFYSFSKQVSPSASVQNVTEPKISGTKDGVGGKRLISPQHCQVKRRKIEIRLSRSSFDPEEFALFRRYQLEVHNDNLDRVTEDSYRQFLVDTPLLHVSPTGDNAVPPCGFGSFHQQYLIDGQLVAVGVVDILPNCMSSKYLFWDPDFAFLSLGKYSALQEIDWVKENQAYCPSLQYYYLGYYIHSCNKMRYKAAYRPSELLCPLRYQWVPFDIARPLLDTKPYVILSDYSILQNVESSVPQITDDIMEGQLDDIGQEDANDFPMDEDEERVELESSVDDTGLETTSDGEPENGDISKILLGLEGNVRYKNLRNAIDPEQRSYLETRLQKYMMVVGPELAERMVYSLE >OIW13293 pep chromosome:LupAngTanjil_v1.0:LG04:15655706:15658399:-1 gene:TanjilG_25772 transcript:OIW13293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNSTGNFANTSFNPSLEEHELNSDAKHGEETKVQEKDNKELFIETLEAELWKNSNSMQLKMLKEERNKRNRISRLEQLKRKNMSRAHEVVLRHMLKMMEVCDAKGFVYGIIPEDGKPLTGCSENLRGWWKEKVKFDRNGPAAIFKYEQEHGIVGTNIVTKEEAPLQTLHQLPDTTLGSILSCLMLHCNPSQRMFRLDKGIAPPWWPKGDEIWWQEMNFPNDLGPPPYRKPHDLKKMWKVCVLTAVIKNIAPNFETMRKVALYSKTLQDKFTAKEVSIWNAIINREESLSKKKTHDELFSQDALNGGSRSSHCSGDLVLDEENVCDVDASMNGGGGGNEGWCNDVLEGNQNNKNKNLILQSPNNSNDIPQIPSNESVLNTTTNSNNNNNKNNSSNNNNLVVPFDVAPDNKRKGYLVEKSVQEDIFRCQNPHCFHHEFGFLDKNTRNNHQFTCSKSTSNPVLMIGGSNSHNQINNSSSKSNGESSQTTTPISNQNLPTLTSTEGGGEIFSDVMSNNTSSVTKNMGLTPGSMIPLAKLYKQPQIDKNSSDQGVDSEKNMNHESSGSMIPQNNLYKQPQMNKDSNDERVDSKKNMNYDSDLYKQPQMNKDCNDERVDSKKNMNYDSDLYKQPQMNKDCNDERVDSKKNMNYDSDLYKQPQIDKDSNDQGVYYEKNMNHESSENMISLVNLYQQPQKDKDLYGERADANGCMLSSEGETMAMDSNVSTLSTFEQNIFNSLSDDANDFACLDSSFMSSSPSNYDSLW >OIW13975 pep chromosome:LupAngTanjil_v1.0:LG04:6185165:6188703:1 gene:TanjilG_09326 transcript:OIW13975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAYFSFFTLFIIIPLALLAFLYFILRPRTVRIPIKNRHVFITGGSSGIGLALAHRAATEGARVSILARSQKKLDEAKNAVKLATGIEVAVFAADVRDYDAVKRVVEEAGQIDVLILNHGVFTALELEKMELSEVKFTMDVNVIGCFNMIKALLPAMKIRKDPLPASIAFVSSQAGQVGIYGYAAYSASKFALRGLAEALQQEVIADNIHVSLIFPPDTDTPGYVEENKRKPELTKIIAASSGAMKADEVAQKAIDGIKSGSFFIPCNLEGLALSIATAGLSPQRSLLMAFVEVVAAGILRIAALCFQWSWFTPKQLKHSELHALLL >OIW13681 pep chromosome:LupAngTanjil_v1.0:LG04:9364588:9367034:-1 gene:TanjilG_08023 transcript:OIW13681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLVGMQGNDNENGNGEISEIPLGTKTKYIRMNSELTETEEGLPINNSETRKYVFACAIFASLNSVLLGYDVGVMSGAIIFIQEDLKITEVQQEVLIGILSIISLLGSLAAGKTSDAIGRKWTIGLAAVIFQTGGAIMALAPSFKVLMIGRLLAGVGIGFGVMIAPVYIAEISPAIARGSLTSVPEIFINFGILLGYISNYAFSKLPAHINWRIMLGVGLIPSVVIAVALFAIPESPRWLVVQNRIEEARLVLIKITNSEKEAEEKLQEIQIAAGSSNADKYETKAVWLEILRPSPPVRRMLITGCGIQCFQQITGIDTTVYYSPQIFKNAGITGNSQLLAATVAVGFTKTLFILIAILFIDKLGRKPLLYASTIGMTVCLFSLSLSLAFLSHAKAGIILAIIAVCGNVASFSVGLGPICWVLSSEIFPLRLRAQASALGAVGSRVSSGVISMSFLSVTRAITTAGTFFIFGLISCSAVAFVHYCVPETKGKTLEDIEVLFQNEEELQRSEIEMGDVEHLMQKS >OIW12552 pep chromosome:LupAngTanjil_v1.0:LG04:26827633:26828979:-1 gene:TanjilG_04716 transcript:OIW12552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKLSQGGIIQGGTSFGGFGLQGPIQIPHQAQHPHTTHRHQTLRCQGSSVHSSVRDVFHLTTGTLQNCDHTIPMTDFGKGDKSKNSPSEEDEPSFTEDGFDGHHESGRGKKGLPWQRVKWTDKMVKLLITAVSYIDEDGSSDGNGSGRRKFVFLQKKGKWKSIAKVMAERGYHVSPQQCEDKFNDLNKRYKKLNDMLGRGTSCQVVENPALLDLIDYLSEKEKDDVRKILSSKHLFYEEMCSYHNSNRLHLPHDPALQHSLQLALRNRDDHDNDDTIRSHHDDHDEDDQDDEVDGHDDFEESYASHGDSRGRYGPLEGSMKKKLKQCQGHENASTFVNPLSCQDHNKRAYADGQIVQSGTNEVLPEGMGAAWSQKQRFESRSLQLEEQKLQLQSEMLELEKQRLKWQRFSKKKDQELEKLSLENERMRLENEHIALELKQKEMGGFN >OIW12553 pep chromosome:LupAngTanjil_v1.0:LG04:26811810:26814775:-1 gene:TanjilG_04717 transcript:OIW12553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGESSSGEAVAVGGGGGGGVVVGGGGGGGGGGSTSGDEDRRMEEGERGSFGGNRWPRQETLALLRIRSDMDVAFRDASVKGPLWEQVSRKLAEIGYHRNAKKCKEKFENVYKYHKRTKEGRSGKSEGKTYRFFDQLEALDHLHHPSTTPSPNPPLPSIAPSPLSSIVATTTVTVPMPQVVNNTTHSCVPHFTVPSTTTGATTVQSLTMNQGIVVTTTPSISLPNIPSYPHTNPTIFPTPHPLPPQAATTTNPTTIPISFPNIPNDLLSNSSSSTSSDDEMFEERRKRKRKRKWEDFFHRLMKGVVKKQEEQQRTFLEAIEKREHELMAREEAWRVQEMQRINREREILAQERSVAAAKDAAVMAFLQKIAEQQHSGEALNTINIPTQPQPQPVAPQTLVPAIVPTLVQPQVQSQQPQLQLVVQPSPPIQPVVVPPVPPQQQQQQQLVMNMEIVRADNNGENMMGASSSRWPKVEVEALIKLRTELDAKYQENVPKGPLWEEISSSMRKLGYNRNAKRCKEKWENINKYFKKVKESNKKRPQDSKTCPYFHQLDALYREKNKMVQPESMVAPLMVQPEQQWPPQQHREEEDGDDDDFDEEEKDNGEEEEEDEENGSGSSGGKYEVVANKVPSASVGGASGE >OIW14074 pep chromosome:LupAngTanjil_v1.0:LG04:5013187:5018730:1 gene:TanjilG_11419 transcript:OIW14074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQDRSKGIGVVLDHVHEGVDENLSILVEGVAIDAVDGGSLGGIACISGEVGTSNETGSGSGLNKELTEGAEEVKDRDEVKISDTSTVVDQGGSNNSNHLVNQEVLETVSVIEFIQNEYVNGENRKLEAKVTESGLSKVPVKTPKGSSDVNSCVIDINCGSHKGLSESSDGEKICRICHLASGRPSDATSVGIANDDSNADLIQLGCACKDELGIAHIHCAEAWFRIKGNRVCEICGETAKNVVGFADPGFMVEWNERRLMDNESNSSGRVFVHQQVNPLHYREVTSFTSDLPDCSFIGIFICASEKKLSNPMREIKVQKLVLNISVGESGDRLTRAAKVLEQLSGQTPVFSKARYTVRSFGIRRNEKIACYVTVRGDKAMQLLESGLKVKEYELLRRNFSDTGCFGFGIQEHIDLGIKYDPSTGIYGMDFYVVLERPGYRVGRRRRCKARVGIQHRVTKDDAMKWFQVKYEGVILNKSQNIS >OIW13158 pep chromosome:LupAngTanjil_v1.0:LG04:19341384:19348522:1 gene:TanjilG_07764 transcript:OIW13158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALEEKENPYEGFGTGGKFRKRTFRRTKTTPYDRPSTSLRNGWFSKVVDPAHKLITYTAHSLFSSLFHKRIPSSASGAETEQEVRDSHQEESAFVSNNSSGKQQGAVANGENDAQINCSDGGGLTELEKSEIDHLTALMRSRTVDVPIREEEKHTEVVPSDPTLSSELKDEDPKTPIVENRIENRLALTPYVTSSVPIEDVASPADLAKAYMGSRPSKVSLSMLGVQSPAAREGPSFLKSDDNLPLNSPVMSVVPRTVRHVGVRENGFAIPRTRGRSAIYSMARTPYARIHQTSTLKGVRRSVEGEPSSSTQSTLDQDMLYGSKQGAVKHKTSALDNDVGSVGPVRRIRHKANLYPKGSSLHLSGSSLSIARSRLGVDASQQPSSSMREPIMLNEAKHRCMELTEENAVTRPSTSGPLPSKSSEMASKILQQLDKLVSPKEKSSESRLPTVNGKSPMLSPSMLHGQALRSMEIVDSPKLLDTIKDNRFDVAHGSSSDNAQKLISQIDKVENGPLKHLAPGGLFAADADSTKQSNQAISTAKYVDSSVIKSVSYPPQKKRAFHRSADEDCLDLDTDAYPNGAVSSVFPVENKMTRLTAIAVKDISSTESIAQEKTGPLSVVMPSKSSTLGGEAHAGTDDSADGSRVGAKVDVSTSMTSSIPDPTFKPSTADTQTSFGSHKLASTNGSIAIPPVFNFGNKAAPSKEMTNSGSIFGLEKVVSSKQLGADVSFVNFGSNGNVDKVPQMLFTSSLVGGESTFPNFGASSDSNLGSSISSATVAAATDSMPKVREPRNADTESDIDSVRSSELPVSSTATTSLFTSPTSVFTFGQSNGSLAASPSLSSPFTSQNIFSSSSLAGSSSSVSASATSTPAIIPSSNGSSSNPVVASSSSTTPLFKFGSSSVPSTGIPVSSSGSEPLETKNTQDAGNGNQSSTAFGSSSAAVGSTWSGFSGFSSSAMTTVNSHSQGSVIGSSNGSVLNAEASPASSGFATYTQIQSFPFGSSASSASFGLTGNTAFSSGNLSFPSSPHVTNAAFSVGSSSFPSSSSATNIFNSGTSFGLGTSASSSAVNSVSSSNGTNTALFGSSSWQPSKSSPFGSGFNSSSSSSGFSFGTSTASVASTSSSTMFSSTPQFSFTSAAATTSTQPTFGNPNSVFTFGSSPANNDQIATEDSMAEDTVQAAPPATPVFGQQLTPVQSNFVFGASTPSGASPFKFGGQQNTTPPNPSPFQASGRQEFSAGGESFSLGTSGGGGDKSGRRIVKVKSRHRKR >OIW13115 pep chromosome:LupAngTanjil_v1.0:LG04:20684035:20687696:-1 gene:TanjilG_08148 transcript:OIW13115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLGVSEVSTICGGKLGTLIFEVSINFALFITMVILCNGLHFMLKPYSQPRITSDIIVGLTLGNIVYVRNLFDKFNKAFGFIIDFGMMCYMFALGIEMDSHILFKRPVRQTKAAYGGLVFTFILTALISPFLVYFNEHKLLEFTLCLATTVSSTASPVLTRLITHLKIGKSDIGKIIIAGGMHLDFIGSLLLSIGYIFIPLSLFCNDLEASQGISKALIMAGAVIGQTAFTASFSPIFMNWVNNENPEGRPMKGSHIILAIAFMVLTCSFSTMYDFSPLLSAFLTGVSLPREGRVSKWVITKINYMLTTIFFPIFFLWVGYEADFRKFKPGSRSTWARLITLIILGTTGKVAGTVVSGAMLGFNWPESVAIGLLLTTKGHLHIYLAAKAMHCGANTSTGIGMIIAIFFTVVHGPAVVSNIIKRARKRAPSHHMALQLLDPTSELRILLCLHGPQNVPSSINFMEISRGSSDPGVLVYVADMIELTDDISTTLDKDEGVHKTTVKDKEVMDMRDQVTSSFQSYIAENSDGITLKRTMALSTINNMPQDICVLAEDLMIALIILPFHRSQRPEGTLDGGNQGFRYVNRKVLRSAPCSVGILVDRGFSSIDNISSSQVTINVAVIFIGGKDDREALAYASRVARHPRVKLTIIRFLVDPNAESSRLVGYRVILEEQQKEMKLDDEYFAQFYEKHVVGGHMSYTEKHLANAAETFSTLRSFEGQYSLVIVGREGGLNSILTKGMNDWQQCPELGPIGDVLSGPDFSMTVSVLIIQQHRLKGELDGLDDDFSIM >OIW12841 pep chromosome:LupAngTanjil_v1.0:LG04:24445352:24446810:1 gene:TanjilG_24774 transcript:OIW12841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERSKELLHLEHKATSLSSLESAVLVCNNNNKGQSSTQIKKLPPDANTAPLPPSQLLGKVKDFLGVMSKANKRLELDAKDHPENYDIEELTGNESEVIEMDLMLGVADLHTPEAVAAAEHAISSGQHVVPLAADGSETNSDESSADEMESSDDEQDDGDNGKKPSLPVKRASSGVDDVHEKQKRKHSKKCPGIIELP >OIW12993 pep chromosome:LupAngTanjil_v1.0:LG04:22638607:22639050:-1 gene:TanjilG_15442 transcript:OIW12993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIQRAAVSSGSEGGDPATLDERKRKRMISNRESARRSRLRKQKLLEDLTEEVNRLQGASKDIIETIKKKEDAYLKMESANNILRAQTVELTDRLQSLNSIIKRAEEVNGNGFASEKPQISDPLMNPWQLNYPFHPLMASPDMFLR >OIW13127 pep chromosome:LupAngTanjil_v1.0:LG04:20050833:20053043:-1 gene:TanjilG_32108 transcript:OIW13127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHILAPFQLLELNVISAQDLAPVGRSMRTYAVAWVHPDRKLSTRVDTESHTNPTWNDKFVFRVNEEFLHADTSAIMVEIYALHWFKDIHVGTVRVLVANLIPPPSKPFNNNHAPTGMRFVALQVRRSSGKPQGILNIGAAVLDSSMRSMPLYTHNPSAVGYRHLMGETDAYENHNHIMSPQVFATNNAELRRTKSDTSSMIGSEVMAQQHRTNGNKGKASSVITDSEVSAKSIKHKSKKKKKEKRKKSRSKTSSMLSGFSDGNAKREKTTDSILSISTLPNNDNIHHQDENMNHNEQIAYIASVSEMKVEPSLSLPPPRPLSPSMNNDDRNKEFGHVDKNKKIVTSINTLSETAENNVDMNINPLHEQIPITNIAKPQFLNSPAPQFRNSPKPQYRNSPALEFRNSPAPEFRNSPAPEFRNSPAPEFRNSPAPEFRNSPAPEFRNSPAPEFRNSPAPEFRNSPAPEFRNSPAPEFRNSPAPEFRNSPRPQFRNSPAVATQFRNSPAPQYHQSPAPKYLQSPALKYLNSPAVQQFRNSPKPQFHNSPVMAPQFRNSPAMSKFNPAIGISSSHRGTPFHPAGRLSNTFDMYGTPRRSNMGNVVPPVITESELGPSPSEVAEAIARKPVFNEGDNSTVGGWSLDELSVEGLQSKLERWRTELPPVVDRGESSYQKTSSTKSKSKSSSRHIRRNTDGGAGLFSCFSNICGVECSIVCGGPKPNKNQRHHAPSENNTSFL >OIW13323 pep chromosome:LupAngTanjil_v1.0:LG04:14615698:14618493:1 gene:TanjilG_02843 transcript:OIW13323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADATKYVPIGVSTNNHHFLTDLKSIFSILKARKTVTFAYTFTVVFVFVTVFLAFSPSSNASSPWFTNIFSSSTTTFDSHQSQFSSFFSFIFPNTSTINNETFKAPSLVNTTQTSANSSQDLVSAPKNSTLQANSTTLKEVGVKNQTLKPDEAKSVNKNQTTNATTYAANVSSSDSAFSAVKGVVSNNHTASLEKKQSKGKSSIEGDEWLKSMIKCDFFDGEWVKDDSYPLYDSGSCTDFFDEQFNCIRNGRPDKNYQKYKWKPKGCNLPRLDGRRMLDMLRGKRLVFVGDSLNRNMWESLICILRNAVKDKTRVYEANGRVHFRGEASYSFIFKDYDFTVELFVSPFLVQEWEMPDKNGTKKETLRLDLVGRSSDQYKNADIIVFNTGHWWTHDKTSKGEDYYQEGSHVYHELNVLEAFRKAITTWSRWVDTNVNPSKSLVFFRGYSASHFSGGQWNSGGACDSETAPIDNEKYLNEYPPKMRVLEKVLKYMKTHVTYLNITRMTDFRKDGHPSIYRKQNLSPEERKSPLLYQDCSHWCLPGVPDAWNEVLYAKLLMRQYQNQHQ >OIW13744 pep chromosome:LupAngTanjil_v1.0:LG04:8659198:8659773:-1 gene:TanjilG_17923 transcript:OIW13744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPPSDSPAPTPDGGASGYSSPSTVSISDYLKEKYGDQSKAEYNPDLEKICGHTHQPDVCLATISPLIKNKKKFDVVRILEASIKVSKENIKDIVEKIEKQAKESGENDESLNECKENYSKALDNLHKALEAIRAKNYGKVTILLSGALADVSTAESKIVDMQLSNFKVEPFSFASVTASNCLSIASLVPN >OIW13415 pep chromosome:LupAngTanjil_v1.0:LG04:11886939:11889362:-1 gene:TanjilG_33064 transcript:OIW13415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQVVLVVDDLKYIGGIFRCRICHEEEDFENSTSMEAPCSCSGTIKFAHRDCIQRWCNEKGNTICEICLQEYGPGYTAPVKKYDENDEAMSIGEEEELNTRIEEMEEGVTLDSECTFVADTNPSHLRLLAFTIIIVLLLRHFLAVCTNGTEDYPFTTFTVVILKASGVIIPMYLIIRIVGAIQNSIQHHHQDYNYHTSIADGDEENHLSYD >OIW14236 pep chromosome:LupAngTanjil_v1.0:LG04:1846348:1858998:-1 gene:TanjilG_21376 transcript:OIW14236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSMRDLDPVFQGAGQKAISALLSLIIYSGLEIWRIENFNPVPIPKSSYGKFFTGDSYVILKTTASKSGALRHEIHYWLGKDTSQDEAGAAAIKTVELDAALGGRAVQYREVQGHETENFLSYFKPCIIPQEGGIASGFKHAVPEEHKTRLFVPFARSSLSHDDIYVLDTESKIFQFNGSNSSIQERAKALEVVQYIKDTYHQGKCDVAAIEDGKLMADPETGEFWGLFGGFAPFPRKAASDDDKPSDSYLPKLLCVEKGQAKPVETDSLKRELLDTNKCYILDCGLEVFVWMGRYTSLDERKRASGAADEFGSGTAQLKPQLICVIEGFETVMFRSKFESWPRTTDVTVTEDGRGKIASLLKRQGINVKGLLKADPIKEEPQPYIDCAGYLQVWHVIGQQKIPLPASDQSKFYTGDCYIFKYTYPGEDKEECLIGTWIGKNSVEEERASANSLASKLVESMKFQASQARIYEDKEPIQFYTIFQTFIVLKGGLSDAYKTYIAEKEIPDETYSEDGVALFRIQGSGPDNMQAIQVEPVASSLNSCYCYILHNGPVVFTWSGSSATAVDHDLVERMLDLIKDNLMTEDIFILDCRSEIFLWVGKQVDLKSRAQALTIGEKYLELDFLLEKLSQVAPIYVINEGSEPPFFTRFFKWESAKSAMLGNSFQRKLTILKNGGTPLLDKPKRRNPVSYGGRSSSVPDKSQRSSRRVSLSPDRVRVRGRSPAFNALAATFESPNVRNLSTPPVITPPVITKLYPKSRTPDFSKFALKSNVIAALSSSFEQPPSARESMIPRPLKVSPVTLKSNPKINDKENPVSSRMESLTIQEDVKKDEAEEGLSIYPYERIKITSTDPVTDIDVTRRETYLSSVEFEEKFGMTKDAFYKLPKWKQNKLKMAIQLF >OIW14184 pep chromosome:LupAngTanjil_v1.0:LG04:2941855:2943589:-1 gene:TanjilG_21324 transcript:OIW14184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKPCTDQLKVSKFFVVPEKLGDIVINLIKIGIVVGLIAFLSLAFQTAFYKPSWRLLGLPEHLRIQNDSVIDNGPTNISHILFGLGGSAQTWHDRSNYSKIWWEPNTTRGFVWLDKKPKISHTELLVPYKISHGWRRFKYLHSASAVRIARIVYESFKLGLPDVRWFVMGDDDTVFFTNNLVTVLRKYDHNQMYYIGGNSESVEQDVLHSYDMAFGGGGIAVSYALAVQLARTMDGCLRRYFYFYGSDQRVWACVNEIGVPLTHEKGFHQLDIRGDPYGLLAAHPMAPLVSLHHIDQLSSLFPNQTQTQSLTKLISAYNLDPARIVQQSICYDHRRKWSISISWGYTVQIYTTMLSAADLQMPLQTFRTWRTSSDGPFTFNTRWMNPDPSKQPAMFFLDNLQMVGSQGSITSYKRFFAKGADKCNKSHVNVQVQRIRVSALKLDPEYWKNVPRRECCQIMEGGSIKGGNMHLRVKKCRPKETTTI >OIW13153 pep chromosome:LupAngTanjil_v1.0:LG04:19613053:19627773:1 gene:TanjilG_09187 transcript:OIW13153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGQVIKRAKYKTTVKDPGTPGVIKLTEEKFIFRPNDPTSHNKLEVDFRLIKSHKHTKEGSNKPPWLNLTHSQGSYIFELESFSDLHVCRDLVGVALSKHGEAAKVISDEQLSPAEMTLRIKLLQEDSKLQKLHKELVASGKLTESEFWATKKKLLDRDESRKLKQRIGYKNSLIFDTKPTSDGRINQVKFQLTPEIKYQIFALKPAVHQAFLKFVPSKMTEVDFWNKYFKAEYLHSTKNAVAAAAEAAEDEDLAVFLKDDEILEVEARKKVRRVDPTLDMEADEGDDYTHLPDHGLFRDGIKEASGAPNTLYQRTLLQDLNRQGAVVLEGKTLDMEMEPPRTVAEALARRKQGESDGAVEEERRNRISKMTQIEDLQAQNDHHFAPLCIKDPREYFDSQQANAVKTLNDSQGGSEKIRCSLSSEEAYGDLRASIANIKAIGLRDPLFSHEVAVKVLNGLTKNISSTKYHIGKNSQESVLDILPNTTKEKLLDHWVSSQELLRHFWSSYPITTQNLASKTKRLKDAMSQVYSKLEEIKVSAQSDLRHQISLVVHPMQQALDAAVLHYEADIKKRNAKGPKPNGYI >OIW13870 pep chromosome:LupAngTanjil_v1.0:LG04:7911535:7912822:-1 gene:TanjilG_31759 transcript:OIW13870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRYFHSFKTLFCFVFLLESLVVGQYNHNPLLELPSRDEVVEMAGYGEEKLSTVLVTGSVNCEVTTFHSGGAIQPRAWPIQGVLVSVNCHSHSTKRRSKSMVAQGVTDEFGDFIVDIPSHLHAIPNLEKICRVKILRIPKGSLCQQAHVKRQKGLRLESFGNGIRTYSAGNIRFKHSM >OIW13600 pep chromosome:LupAngTanjil_v1.0:LG04:9794219:9794662:1 gene:TanjilG_07942 transcript:OIW13600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGYSKISTKTNHKTRSIDFSDLYSFPNLREHVKREKQENDEVGFSKGERFGVILGRSGSVSSSASVKKAFSMRRSSSVSESYCRIHDQYITIASPLANISDHNEIDDDEHDLNNGMRTTTSTTTTRRSIKLRGGKIFKACKRLLGL >OIW13640 pep chromosome:LupAngTanjil_v1.0:LG04:9618100:9619857:1 gene:TanjilG_07982 transcript:OIW13640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPTVRDSEKKKKNNNNEEDFIIKPETLTPSIDTSNWPILLKNYDRLNVRTGHYTPLSSGYSPLKRPLPEYLKYGVLNLDKPANPSSHEVVAWIKRILRVEKTGHSGTLDPKVTGNLIVCIDRATRLVKSQQGAGKEYVCIARLHSGVPDVSKVARALETLTGAVFQRPPLISAVKRQLRIRTVYESKLLEYDADKHLVVFWISCEAGTYVRTMCVHLGLILGVGAHMQELRRVRSGIMGEKDNMVTMHDVIDAQWVYDNYRDETYLRRVVMPLEVLLTSYKRLVVKDSAVNAICYGAKLMIPGLLRFENDVEVGEEVVLMTTKGEAIALGVAEMTTAVMATCDHGVVAKIKRVVMDRDTYPRKWGLGPKASMKKKLIAEGKLDKRGKPTADTPQEWLRNVVLPTGGDSVVASLAAAPEGEAVGGEKEKVKKDEDGEGRKRKKDESGDGLASPPASKKKVKVDQDEEAEVEKSEKKKKKKKDKENGEAEASDEEKSVKEKKKKHREKVEDGSPDLDKSEKKKKKKEEKVEDGLPDVDKSEKKKKKKKDKDSEDNAAEITNEKDDGSTDRSEKKHKKKKNKGADEE >OIW13563 pep chromosome:LupAngTanjil_v1.0:LG04:10558440:10561560:1 gene:TanjilG_29304 transcript:OIW13563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHESILRHSRSPSNQNKFLIWIMFVVSIPLLFLVISVKHNRPIPFFTHKVEVLKTKQSWFEVIREGVKSNKNIKVGLVNFDAKLDNDDDDDDDDLYHQINALHPQVDIAPIHFDHVNGSLKWVDFFPKWIDEVGKPKCPKIPMPKLKDFSDLNVVVARIPCVREGFKDLFRLQVNLVVANLVVESGWVKTFDDVSKKVYVVFVGYCDPMIEIFRCDDLLMHQGEYWLYQPDLWRLKQQTLMPLGSCEVAHISSIAEGYVCGAIALAQSIIQNKNKEHPIPDLILLADDSISSKSKKGLTMAGWKIKHIKRISNPYAKKGTYNEWNYSKLRAWQLTLYDKIIFMDSDILLHKNIDDYFAYPQLSVGPNDESIFNSGFMIIEPSQCTFDYMMEKVYKVKPYNGGDQGFLNEVFIWWHRLPWKLNVLKFFIGPRDNKKHELPEDANSIHYFGSKPWMCYRDYDCNWDIRFRHMFASDSVNKRWWKVHDAMPKELQHYCGLTKKMNEKIIQRRVDARKANLSDWHWKIDTILDPRRDNLIG >OIW12614 pep chromosome:LupAngTanjil_v1.0:LG04:26357848:26361687:1 gene:TanjilG_04778 transcript:OIW12614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKWKKAKVALGMNLCMLVPRTLDEDDSPPPTVVSERLSGAALLSPAANWDMGFSRPTTPVPSFYGLKVSNSSSKSSKQTCSICLTGMKEGSGHAIFTAECSHSFHFHCIASNVKHGNRICPMCRAKWKEIPFSGPISDPIAVRVSTSPTNWSQNDTLMAVVHRLPLAYPRRDLNRRHVVPLYQAPEPGVFDDDESLNSQPVFSGRSHCSKSSEDTDAVKAMEIKMYPEVSSAPRSNAYSEFTVLVHLKATAAAAADKREKFSRNQSSMTQISQTPRAPVDLVTVLDISGSMAGTKLALLKRAMGFVIQNLGSNDRLSVIAFSSTARRLFPLCRMTDSGQQQALQAINSLVANGGTNIAEGLRKGAKIMEDRKEKNPVASIILLSDGQNNYTVNGSGTNQPRPNYQLLLPTSISGRDNSAVQVPVHAFGFGTDHDALSMHSISETSGGTFSFIETEAVLQDAFAQCIGGLLSVTVQELKVGIECIHQDQSLVSLKAGSYPSRVMANGRKGFIDVGDLYADEERDFLVSVSVPATSDNETSLIKVKCVYKDPLTQEKATLESEEVKIERPGIAVRVEMSLEVDRQRNRLQAAEAMAQARLSAEQGDLTSAVSLLENCRKILSETVSAKSHDRLCVALEAELKEMQERMVSRHVYESSGRAYILSGMSSHSWQRATARGNSTDRSSLVQAYQTPSMAEMLTRSQATSLGSPSGQRLLQPLLSYRSQPSPR >OIW13659 pep chromosome:LupAngTanjil_v1.0:LG04:9502050:9505605:1 gene:TanjilG_08001 transcript:OIW13659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHTSLVFFWVVLLCLCLDFGAAIDTITSSELVKDPQTISSKNGLFTLGFFSPKNSTNRYVGIWYLSESNILWVANRNQPLKFNSSGTVTISRDGNLVVLNRENKTIWSSNATYIASNSTAQLQDTGNLVLQDSNTGEVIWESFKHPTNVYIPNMKLSRNRITGEEVSFTSWKNSSDPSVGYFSCSLERLSAPELFLWVNGTLPYYRSGPWNGEIFIGFRPLTTGYLKGCNVSDEDDGTVYFSCDSTDQYDFRTVALSPEGLFETASWKNKKKISSDVFHGTNCNQYGVCGAFGSCDWQTSPVCSCLSGYQAKNVEEWNRKNWTSGCVRKVPLQCGSEVRKDGFLKLEKMKVPDYLQKSASLENECSAQCLQNCSCVAYAYDTGIGCMSWFGDLIDTQKFSDGGLDLYIRMPPSELDKHSGKRRVIIAAAVSTIGVTTLAICVYLLWKWSAKPTAQPSARGSYLIEFKEDKCMQFYHSRKRKRDVIFWFNRGESTAVDRTGKKIGEQSQVELHEQLLFDTETLALATNNFDSSNKLGQGGFGPVYKGKLKDGREIAVKRLSKASGQGLEEFMNEVVVISKLQHRNLVRLLGCCREGEEKMLIYEYMLNKSLDTFIFGPSEHKLLDWGKRFSIIEGIARGLLYLHRDSRLRIIHRDLKASNILLDEELNPKISDFGLAKIFGGRGDEASTSRVVGTYGYMAPEYAMEGIVSEKSDVFSFGVLVLEIVSGRKNTSFYNNEQFRSLVRFVWKLWNEDNIISAIDQSICDPMHEKDIVRCVHIGLLCVQEGARHRPNMATVISMLNSEIVNLPPPKQPAFIEKPDICKSLSSEERHASHSNNIVSITDIKGR >OIW13858 pep chromosome:LupAngTanjil_v1.0:LG04:7862120:7871267:1 gene:TanjilG_31747 transcript:OIW13858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSRGNFHPADEDPNQRSRRKKNAASGENLESGAAGQGASEGKRALYHCNYCNKDITGKIRIKCAMCPDFDLCIECFSVGAEMIPHKSNHPYRVMDNLSFPFICPEWNADDEILLLEGIEMYGLGNWTEVAEHVGTKNKESCIEHYKNVYLNSPFFPLPDMSHVVKKNREELLAMAKGQAEDKKGISMGDLGLKEESPFSPSRVKVEDSHKGGSSSRLLSSLNSESESGSAGNQKASNSARVKDGPGVIKLEDPQVDRNFGGKKPSSSGNEGPSLVESSGYNQKRQEFDPEYDNDAEQLLAEMEFKDTDTEEDRELKLRVLRIYGKRLDERKRRKDFILDRNLLYPNPFERDLTPEEKAICRKCDIFMRFHSKEEHEELLRTVIFEHRTLKRLQELKEAQAAGCRNAAEADRYLVQKRRRVAQETARRAKETAQVGPITQGVPNALMSPDSAGKDLNTRAAGPATSSSVNEMDVTGYYGADLLSEPEKRLCCELRLPPAVYLKMQERLSVEILSGTVSTKSDAHRLFKMDTIKIDRHRLYTTIILKSETTDTEEDRELKLRVLRIYGKRLDERKRRKDFILDRNLLYPNPFERDLTPEEKAICRKCDIFMRFHSKEEHEELLRTVIFEHRTLKRLQELKEAQAAGCRNAAEADRYLVQKRRRVAQETARRAKETAQVGPITQGVPNALMSPDSAGKDLNTRAAGPATSSSVNEMDVTGYYGADLLSEPEKRLCCELRLPPAVYLKMQERLSVEILSGTVSTKSDAHRLFKMDTIKIDRVYDMLIKKGIGSP >OIW13270 pep chromosome:LupAngTanjil_v1.0:LG04:16167389:16168741:-1 gene:TanjilG_25749 transcript:OIW13270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDESGLLGFKSGIKSDPSGMLKSWISGTDCCTWEGISCQFDNKRVNTIALSGQPDKSNSVLSGILSPALSKIQFLNGLYLQNLQNISGPFPTSLSNLPNLQFIYIENNMLSGPIPNIIGNMTKLGALSLSGNRFTGTIPSSIAELTQLTQIKLGNNILNGVIPQGIQRLKNLTYLSLENNQLSGKIPDFFSSFTELRILELSRNKFSGEIPLSISTLAPKLSYLKLGHNSLSGTIPDFLGKFKALDTLDLSSNKFIGTVPKSFTNLTKIFNLDLSNNFLIDPFPEMNVKGIESLDLSNNKFHLNQIPKWVTSSPIIFSLKLANCGIKIKLDDWKPSETYFYDYIDLSGNEISGSVVELLNKTEYLVGFEASRNKLRFDLEKLRIGKRLKYLDLSRNSMFGKVPESVVGVEKLNVSYNHLCGKIPETKFSASAFVGNDCLCGSPLQPCKV >OIW13750 pep chromosome:LupAngTanjil_v1.0:LG04:8621249:8621858:1 gene:TanjilG_17929 transcript:OIW13750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSGRKLGVAMDFSPCSIKALKWTVDNVVKEGDLLILVIIRPSQHYEHGEMQLWEVTGSPLIPLSEFSDSTNMKKYGLNLQSEAVAIVTIAAKEKNA >OIW13019 pep chromosome:LupAngTanjil_v1.0:LG04:22333335:22348952:-1 gene:TanjilG_15468 transcript:OIW13019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSKGSTRCGTKKGNEPIVANTERVTSNVGNFGREKSKVQRVTTSFNTKKVEGPEEALKCSWVPKPFYQRAFNSEDILQPNHASAVGRDTPNGIECAAVKVPAQIFSKPNQTSNFTSSNDNHKKSDGETSRSKEKKDGNTERVQEFTFTTEKEVYESDKTKPEDKTTRSENSTENLRMKLCQILGTTSVPETQHAGSQTRNKGKVEERLPLEQAVNPKDNKFVKTRQYSDTIETDSENPDHTPKRPVTRSLTRKRMPSKKQPAKGKNGTSSKDPEDRPEKSILSFGEKWTGRQDTFPNDDSLMSLKKKGQGKNSKIGPHKTFTENDTGKRDTFPNDDSSMSLKKKGQGKNSKIGPHKTFTENDTGKRDTFPNDGSSMSLKKKGQGKNSKIGPHKTFFTENDSGKRDTFPNDGSSMSLKKKGMGKNSKNGPNKTCFTENDTADKLYRDTTKTDLPLHDGSTFSFGNKTGDFNGCIPDHQTKCPLTPKINQRKVYYQPPAVNNTDLHEEHEVSEKGNQQECKSDPIVHNVGKSQDNFQSPTFQLNTPASLSSSPSPTPKTDQKANDISSSVSTEIRFSLGAIRNSRTFQISEPDFDWSSEQKQSSVSLSLGSEIDNFVSSCLELEEQDGSSDSSSEEINFSGSQGSRIRHTDERKGFELHPVKRMRKLESIELNDRRSEESDSLDEASQQTRDGSLRAVELFASELVKLKNKLKLMTSQKCSEVLKSVAEEIHLQLQNVHSQIQTDIKKRFREKLIGLSKSKRKRLETRFEGVAHKKLLSQVEEAVEMKVNDAQRKITATHEASFC >OIW13740 pep chromosome:LupAngTanjil_v1.0:LG04:8677134:8678135:1 gene:TanjilG_17919 transcript:OIW13740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGNNLPPANEVINLYKSNNIQRMRLYDPNQAALEALRNSGIELILGIPNSDLQSLATNADNARQWVQRNVLNFWPSVKIKYIAVGNEVSPVNGATSWMAQYVLPAVQNIYQAIRAQNLHDQIKVSTSIDMTLIGNSYPPSQGSFRADVRSYLDPIIGYLLYASAPLLVNVYPYFSYSGNPRDISLPYALFTSPNVVVRDGQYQYQNLFDAMLDSVHAAIDNTGIGYVEVVVSESGWPSDGGAATSYDNARIYLDNLIRHVKGGTPRRPWKATETYLFAMFDENQKSPELEKHFGLFSANKQKKYPFGFGGERINEVVAASDFNATNPLKSDI >OIW13486 pep chromosome:LupAngTanjil_v1.0:LG04:10828456:10830261:-1 gene:TanjilG_01054 transcript:OIW13486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPSFIGLILGSAQVTIYVIYKQKPISSNLPIMTLDLVESEMLNVIEIETFNSNKESKLKDGAIQVVKKALKRVKSLPGPLLNHKDTILLKTFSFEPNNLSSSIWTNLQSSDEEDVGVEIGVDIEEYPNHSSPLNYNLYANISH >OIW13027 pep chromosome:LupAngTanjil_v1.0:LG04:22209815:22214810:-1 gene:TanjilG_15476 transcript:OIW13027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSRPSQSSTNSGRSRNSARIIAQTTVDAKLHANFEDSGSSFDYSSSVRVSSTVDGDHPPRSDKVTTTYLHHIQKGKLIQPFGCLIALDEKTCKVIAYSENAPEMLTMTSHAVPSVGDHPALGIGTDIRTIFTAPSASALHKALGFGEVSLLNPILVHCKTSGKPFYAIIHRVTSSLIIDFEPVKPYEVPMTAAGALQSYKLAAKAISRLQSLPSGSMERLCDTMVQEVSELTGYDRVMAYKFHEDDHGEVIAEIAKPGLESYLGLHYPATDIPQASRFLFMKNKVRMIVDCHARQVKVLQDEKLPIDLTLCGSTLRAPHSCHLQYMANMDSIASLVMAVIVNDNDEDGDTSDAVQPQKRKRLWGLVVCHNTTPRFVPFPLRYACEFLAQVFAIHVNKEIALELQITEKNILRTQTLLCDMLMRDAPLGIVSQSPNIMDLVKCDGAALFYKNKVWKLGATPSESQIREIALWLSEHHTDSTGLSTDSLLDAGFPGALSLGDIVCGMAAVRIASKDTVFWFRSHTAAEIRWGGAKHEPGEMDNGRKMHPRSSFKAFLEVVKTRSLPWKDYEMDAIHSLQLILRNAFRDTVTTHINTSAIDTRLSDLKIEGMQELEAVTSEMVRLIETATVPILAVDVNGLINGWNIKIAELTGLPVIEAIGKHLLTLVEDSSFDRVKKMLDMALQGEEEKNVQFEIKTHGSYMDNGPVSLVVNACASRDLRDNVVGVCFVAHDITAQKTVMDKFTKIEGDYKAIVQNPNPLIPPIFGTDEFGWCCEWNQAMTKLTGWKRDEVMNKMLLGEVFGTQTACCRLKNQETFVNFGIVLNKAMTGSETAKVAFGFIARNGKHVDCLLSVSKKLDTDGAVIGIFCFLQLASPELQRALHIQRLSEQTALKRLKSLSYIKRQISNPLNGIMFSRKLLEGTDLGTEQIRLLHTSAQCQRQLSKILEDSDLDSIIDGYLDLEMAEFTLLDVLAASLSQVMLKCNAKGIQIINDVAQQIKTETLYGDCLRLQQVFADFLLISINFTPNGGQVVVAASLTKEQLGKSVHLVNLELSITHTGNGVPEALLNQMFGNDGQESEEGISLFISRKLLKLMNGDVRYLREAGKSSLILSVELAAAHKLKA >OIW12913 pep chromosome:LupAngTanjil_v1.0:LG04:23713206:23715662:1 gene:TanjilG_15833 transcript:OIW12913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHDKDAFSPSSNDGYGANAVASSQMQSNSAAAFASFYSALLDENIPPSISASCSNLGGSAVSLYPYSSDDSGTQSHGYDSCFIQKHQDMVNRHSMCLTRLVETSKEVETLRQENAQLRAAVEELQKNLNLVIQASLENQFGGETQTTPFDVVHGFRGFHVGDGKENCADWNININNSNHNSKNEKVDISEESPTSVIENNGDEVKRFALPKSISVRSNDYLKTQSAAVVPTNTTAMRAKGATRPRASTITASDAVQKVYVRGGQKEEEPLEMVVYNQGMLKTELCNKWQETGTCPYGDHCQFAHGIGELRPVIRHPRYKTEVCRMVLAGVVCPYGHRCHFRHALTEQEKALSQPNPRALIKLDR >OIW14301 pep chromosome:LupAngTanjil_v1.0:LG04:782760:783341:-1 gene:TanjilG_21441 transcript:OIW14301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPEKLSVTIATFQNFLTRLHRHAKRRPWTELADRTAISLPESLAEAYSRVRKNTSYFRVNYLIVVAVVIAVSLLRRPFTLLLLGSLAGAWLYLYVLRHPEQQLVIFGRVFTDCEALVGLSFATVAVALWTNVVSVIISAVTVGVAVVCCHGALRVPEDRFLEQQEQRSWASGIFPDGGPVSSVGVHIGPLAV >OIW14090 pep chromosome:LupAngTanjil_v1.0:LG04:4855143:4855892:1 gene:TanjilG_11435 transcript:OIW14090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSIIILFFVVILMLLLHLYARWYLLRTRRRFLRNRSSNHLVFHLDSNNNPTARGLDASIIALLPIFNYDPKMNPDNPPDCAVCLSEFEEGETGRVLPKCNHAFHTDCIDMWFHSHSTCPLCRAAVEPTQERGKDNHNHEPDVVVVDFCEPESGSGSDENRTGCEACSLVRAKPSLTVDVMIEIPSSDESGLVCDSASTSSFRSPMTRVLSLLGRERRESVSPASGCGGCSSFGGEVNAERGGEDENR >OIW14210 pep chromosome:LupAngTanjil_v1.0:LG04:2440600:2441265:-1 gene:TanjilG_21350 transcript:OIW14210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTFRNYPSSSSRTTTLIITTYNEQKNRRFLFSDEEEEQEEQEQEQEEQEQEQQEEEKEEEEGAIAMRKMKKVITDKTQYGLRVMVMKANGIDNTLLHPFVQNRLYRVLCWVEPGEEVFCTSLTQGLIFIEWMDEGIIPLENPYDHVFLYVEVIRLMSKVDPGTSHGRVVVGRAKIPIPRVPGIEKKGVFNLVRNSEREEPKPEGCIMISMTLETIIILQE >OIW12900 pep chromosome:LupAngTanjil_v1.0:LG04:23869158:23873645:1 gene:TanjilG_15820 transcript:OIW12900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSYLGLFLCILFVCCSATPLSLIGSRRSILREVRDNDKNGHPDYAVELNATNFDDVLKDTPSTYAVVEFFAHWCPACRNYKPHYEKVARLFNGPDAVHPGFILMTRVDCASKINNKLCDKFSVGHYPMLFWGHPPKFVGGSWEPDQKKSDIHVIKDAYTADRLLNWINKQLGSSFGLDDQKFENEHLSSNISDPGQIAKAIYDVEEATSTAFDIILDHKMIKPETRTSLIKFLQLLVAHHPSRRCRKGSAELLVSFDDLYPTDFWSTNEHEVDKGSVSNLKICGKDVPRGYWMFCRGSKNDTRGFSCGLWVLLHSLSVKIEDGESQFAFNATCDFVHNFFICEECRQHFYEMCSSVSITFNKARDYALWLWSTHNKVNERLSKEEASLGTGDPKFPKIIWPPKQLCPSCYLGHDHKNNKIEWEQDEVYKFLTNYYGKTLASLYKDKKIVGNDEADRAVKDLIVEASNAIVVPLGAALAIAVASCAFGGLAYYWRSQQKNRKYFHHLHSLKNI >OIW13762 pep chromosome:LupAngTanjil_v1.0:LG04:8516004:8519166:1 gene:TanjilG_17941 transcript:OIW13762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLTHHLCALRSSPLSSKPFLTHHHKHNPRTSIVCSSIAISSAQNKERIKLKQLFDDAYERCRNAPMEGVSFTLENFTDALEKYDFDSEVGTKVKGTVFGTDAGGAYVDITAKSTAYLPLQEASIHRIKHVHEAGIVSGVREEFVIIGEVEADDSLILSLKSIQFDLCWERCRQLQAEDVVIKGKIVGANKGGLVAEVEGLKGFVPFSQISTKSTGEDLLEKELPLKFVEVDEEQSRLILSHRKAAADSQGQLGIGSVVTGTVQSLKPYGAFIDIGGMSGLLHVSQISHDRITDIETVLQPGDTLKVMILSHDRERGRVSLSTKKLEPTPGDMIRNPKLVFEKAEEMAQTFRQRIAQAEAMARADMLRFQPESGLTLSSDGILGPLTSDLPAEGLDLSEVPSVEDSS >OIW13902 pep chromosome:LupAngTanjil_v1.0:LG04:8154621:8162448:-1 gene:TanjilG_31791 transcript:OIW13902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDIPKSKQEFCVGQRVHASGDSHRIGTVKYVGPVEGYSGTWVGVDWDNGEGKHDGSINGVRYFQAKSERSGSFVRAQNLSQGISLLEALEKRYRSNSTKDEEDEMYVLSTSNKRVSIQLLGKDKIHDKLSRLEELTSASLSCLGVSSPGIPCQINTTVPNLKELDLTWNLLSEWKDIVTICEQLPNLGTLNLTSNLMSPYKSELPLLKGIRVLVLNNTSVDWEQVELLKQSLTVIEELHLMGNSISRILPMSSSRVQGFDSLRLLNLEDNCIAEWDEIMKLSQLRCLEQLYLNKNCLNSLFYPDKSSQRESEIACYKPFQNLCCLLLGDNNIGDLVSVDSLNLFPNLVEIRLSGNPITDSARGGVPRFVLIARLAKIQILNGSEITPRERKESEIRYVRLVISKLHTNPEEVKQHPRFSELKKFHGIEDERPSVGPAGPQTTGSGFLSMTLKCVGASMGEKPLLTKKLPATITVGKLKNLCESFFKLRSMKLRLFLQEEGSPLPMLLDNDMSSLMDFGIGNESIILVDEES >OIW12910 pep chromosome:LupAngTanjil_v1.0:LG04:23761412:23774256:-1 gene:TanjilG_15830 transcript:OIW12910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDRPPLTSYGNIYVPPHHRLRSIVVAPAKYNSPAAPKLRENQIPLQNPMTTAPAINALQPQVPSKAKPRYVSAYDDDEVSEEGSEREFEPPSLSVTSASPNYNTGDWKQKLTMLLNDNSKQELISRDKKDRRDFDQIAVWASRMGLYSHMYTKVVVFSKVPLPNYRYDLDDRRPQREVRLPITIFKQVDAHFEEYLSQKSRMKEGFSDSSFARSSSGSSTGTDEGLFEQYEPLASSKGVTDKILWLRSSQMRDQQQVWQESPEGKRMLEFRSTLPAYKEKEAILSVISSNQVIIISGQTGCGKTTQIPQFILESEIQSVRGAACNIICTQPRRISAMSVSERVAFERGEKLGESVGYKVRLEGTKGRDTHLLFCTTGILLRRLLADRNLKGVTHVIVDEIHERGMNEDFLLIVLKDLLPHRPELKLILMSATLDAELFSSYFNRAPIMNIPGFTYPVKTHFLENILDMTGYRLTPYNQIDDYGQERMWKMNKQTPRKRKSQIASTVEDAIGAADFNDYSPQTQESLSCWNPDCIGFSLIEYLLCNICKNEKPGAVLVFMTGWDDISSLKEKLLTHPVLGDPSRVLLLTCHGSMASSEQRLIFEEPENGVRKIVLATNIAETSITINDVVFVLDCGKAKETSYDALNNTPCLLPTWISKVSAQQRRGRAGRVQPGECYHLYPSCVYDAFAEYQLPEILRTPLQSLCLQIKSLRLGSISEFLARALQSPEILAVQNAIEYLKTIGALDENENLTILGRYLTMLPMEPKLGKMLILGSIFNCLDPILTVAAGLSVRDPFLTPLDKKDLAEAAKSQFSGAYSDHLALVKAYEGWKYAEIDQGGYEYCWKNFLSAQSMKAIDALRREFLCLLKDSELVDNNAASCNTWCHDVNLIRAVICYALYPGICSVVHNEKSFSLKTMEDGQVLLYSNSVNARETTIPYPWLVFNEKIKVNSIFLRDSTAVSDSAMLLFGGSLSKGDADGHLKMLGGYLEFFMEPAVADMYQSIRREFDGFIQGKLRFPRMDTHLYHELLSAVRLLLSNDKCEGRFVFGRKLLKKPSKPSMTVASHPALVSRTETGPGGDNSKSQLQTLLTRARYAAPVYKTQQLKNNQFQSTVEFNGMQIMGQPCNNKKSAEKDAAAAALQWLIGGKQTGNEYINHVSMLLKKSKKDHN >OIW13403 pep chromosome:LupAngTanjil_v1.0:LG04:12336026:12336621:1 gene:TanjilG_19755 transcript:OIW13403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVAKFLTLLHTSSQSSLLKPTTPFFYNTIKNYGEANKGNGSRVIEERAPSTAEEFLRVAEEKAKEAEQGVASQTVDKTYDGAEEAVGNSNVKSVKDRYKEHEPEADYHKRGD >OIW13794 pep chromosome:LupAngTanjil_v1.0:LG04:7079762:7081549:-1 gene:TanjilG_31683 transcript:OIW13794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCTTTQYELCEEIGRGRFGTIYRCFDITTNEIYACKIINKTVLTDSTDRECLQNEPKYMTLLSPHPNILQIYDVSQDDDVLTIVMELCQPLTLFDKIFQNTLSEPQSASVMVQLISAINHCHRLGVAHRDLKPDNILFDSMGILKLGDFGSAEWFSEEKMMSGIVGTPYYVAPEILMGREYDEKVDVWSCGVILYMMLVGIPPFYGDDAVQIFEAVVRGNLRFPSRLFRSVSSSAKDLLRNMICKDASRRFSAQQVLMHPWILSRGETS >OIW13248 pep chromosome:LupAngTanjil_v1.0:LG04:16987318:16987584:-1 gene:TanjilG_14181 transcript:OIW13248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRATRALKARSNGETKSKNTRKPKQAIVVHETNESESSHVHLPQSSIDNEVKAQEKIPEKKLSQIWGYILSPIATKSSIRSTTTTTTR >OIW12782 pep chromosome:LupAngTanjil_v1.0:LG04:24973678:24975177:1 gene:TanjilG_24715 transcript:OIW12782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYEARIKVYELRQKTKAELLNQLKDLKAELALLRVAKVTGGAPNKLSKIKVVRLNIAQVLTVISQKQKSALREAYKNKKYLPLDLRPKKTRAIRRRLTKHQQSLKTEREKKREIYFPLRKFAIKV >OIW14060 pep chromosome:LupAngTanjil_v1.0:LG04:5195322:5195930:1 gene:TanjilG_11405 transcript:OIW14060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRNTKLAYIANDAKRNATYKKRKNSLIKKTKEISTLCGVETCAIIYSSNGLQPEVWPSHFEVQKVLYKFLTMPPLEQSRKMFDQEMFLKQRIMKAQEQLKKKKIQNRKEMMSLLMIKCLSTGKVEENVNAQNANDLLWVIDENLKELDEKITRNQPQEGTPTNVNVQGMIQTNVNNGRNEMVPFENFNISNGFWHGPSIH >OIW13918 pep chromosome:LupAngTanjil_v1.0:LG04:8299681:8304868:1 gene:TanjilG_31807 transcript:OIW13918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSRIRSVEEEGGENSPERKKAKGSNYVRHNHDQIAKLEELFKQQPTPDENQRYQIAQDLGLTPKQVKFWFQNKRTQKKAEMERQQNDALHAENFRIKMENFVIKKAHKLVETYIEKPESVSELQLDLTLRIGCSHDPSPAIFPNSSEVQSLSPNPGPASDKNTMPKAQMISAVIAAKEELVRLLSTNEPLWIKSPTDDRRLILHPICYESFFPRVSPLIKSSKAREESSKGSGIVGINTRRLIDMIMNSETWTHLFPTIVSKAHTIQVIEKGSFENRSGAILLMYMDMHVLSPSVPSREFYFLRYCAQIENGIWVITDVSLDYLEDEKTIPSSCWRFPSGCLIREMPNAFTEVTWVEHVEVDENISPHSLYKDVVSTGIAYGAERWLSELSRMCHRLSSFVPNYIPCDDSGAVISVPEGRKSLIKLSHRMMKNFTEMLSMSKKSSQQIIMSEGIWISVKENIKHGHENAKSLVVATSFWVPNPSNDVVNFFIGAEKRAKWDFHSTENNPLLELCRISNRPHSANFISVFQETKPAVPRERGLIIQEIFMTPLGSYIVYSPINVDDLSMTVRGHDSSKVFVLPSGITISELPESTVQEASSSSGNHGATRGSLVTVALQIEISAAINSDSVDAAKALVISTMLKIKDGLNFYDLV >OIW13791 pep chromosome:LupAngTanjil_v1.0:LG04:7035376:7038670:-1 gene:TanjilG_31680 transcript:OIW13791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLSVNTLNLNPISPNHHHHHHHRTNSLKPISATLNFDRFSNNPPPIQQNLYQPFRPPPTPLPSKFSKLDLAARIDILANRLGQWYEYAPLIPALFREGFSPPSLEEATGITGARQIQHLQTLSSSPIPSSTMLSLSVNTLNLNPISPNHHHHHHHRTNSLKPISATLNFDRFSNNPPPIQQNLYQPFRPPPTPLPSKFSKLDLAARIDILANRLGQWYEYAPLIPALFREGFSPPSLEEATGITGVEQNRLIVASQVRESLIHSKADPEIIAAFDNGGEQLLYEIRLLSASQRTAAASFIVQNGFDGKGAQELARSIKDYPSRRGDKGWEKFDYNLPGDCLSFMYYRQAMEHRDLLERASVLDQALRVAESEKAKNTVLGELRRKDGVEDDKEDEVEIVRVPVVRLKIGEVAEATSVVVLPVCKAEKTGREISEAPFECRSEGEFGVVVADKGWERWVVLPRWDPLVGLGKGGVVISFPDARVLPWKAKRWYKEESILVVADRNQREVGADDGFYLVKVDGGGLKVERGLALKEIGVEESLGAVVLVVRPPRDEDDEQLSEEDWD >OIW13384 pep chromosome:LupAngTanjil_v1.0:LG04:13639288:13640582:-1 gene:TanjilG_16493 transcript:OIW13384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSRLAYGARRTSYVLFSSPNRFTIGRGFCSGSRSKTADPAIHSGELEAGPDVHRKPPQGSSQTADPAIHSGELEAGPDVHRAPPQGTNNNAGAGNISTINSKGENLETEATPKIKSTGVNQRLDPNLQQKRNEGTTCLENVSCAGLDGTPWPNDKEKEHRIQEEQIEDNREYYQHHKASPLSELEFADTRKPISRATDEPPSDDVIGWLPEQLDTAEETLRRATEIWRENAMRGDPDAPHSRVLRNLRGEEF >OIW13303 pep chromosome:LupAngTanjil_v1.0:LG04:14201209:14202204:1 gene:TanjilG_02823 transcript:OIW13303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKDMNKLVFVIALISFNLISEARFPQQQMESNPSNVFFGILPKAPIPPSGPIPEKGPSHKMPPPLNPNIESLSNENYGFLPKAPIPPSGPIPEKGPSHKMPPPLKPHTKSLSNEKYGMLPKSPIPPSGPIPEKGPSHKMPPPLNPSIESLSNENYGLLPKAPIPPSGPIPEEGPSHKMPPPLNPSIESLSNENYGLLPKAPIPPSGPIPEEGPSHKMPPPLNPSIESLSNENYGLLPKAPIPPSGPILEKGPSHKMPPPLNPDIEFLSNENYGMLPKAPIPPSGPIPEKGPSHKMPPPLNPDTQTSSNVITSIRLSHGEAKHHHSPPRAY >OIW12818 pep chromosome:LupAngTanjil_v1.0:LG04:24627581:24629877:1 gene:TanjilG_24751 transcript:OIW12818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCLSSPALESEPLSDFGNGGNGKRRRLTTSSSFDNRMEMKLHRVPGRIFLNGSTHFASLYCKQGKKGVNQDAMLLWENFCSKGDTIFCGVFDGHGPNGHMVSKKVRDSFPLKLIAQWDLLSNNKDGLNDLSQCISYEPEETESTLVDAKPIATCHEFDFRTMRKSFLRACMVMDKDLKLHDIDCYCSGTTAVTLLKKGQDLVIGNVGDSRAVLGSRDHNDSLIATQLTIDLKPNLPREVERIKLCKGRVFALDNEPQIARVWLPDTDCPGLAMSRAFGDFCLKDFGVISIPDVTHHHLTENDKFVVLATDGVWDVLSNKEVVDIVASTPQSCAARTLVESAIQAWKTKLPTCKVDDCSVVCLFFDSDFKSTCNVDNSTLIGQCPKRSPLLGAKKIVRMEPK >OIW12604 pep chromosome:LupAngTanjil_v1.0:LG04:26441454:26442483:1 gene:TanjilG_04768 transcript:OIW12604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEAKVVTVTAIEATPSTFKEFGQVIEASHDGDEFGPHDAQLDLSAGTPRFYIMHLENRPLKFSTITHHASVTQCLGSIGAHVWYLGVAKPSIVDSNKINNDTDNNVVQSRSGHSYVPPAVEDVRVFKVSGPKFLKLNRGTWHAGPLFKPDTMDFYNLELSNTNVIDHTTHNFKKDNGVVFSIQE >OIW12603 pep chromosome:LupAngTanjil_v1.0:LG04:26444894:26448040:1 gene:TanjilG_04767 transcript:OIW12603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSISRGVLTNPIWQRRFCFNENLVKLGKKCSFTTTTITTTTRCSVEHPSPDVYRLAKTAQISLTPSEVEEFGPKIQQVVDWFGQLQGVDLETIEPSIRAGTENNLRENAPETFENRDAIVAAIPTYEEPFIKVPKVLSMD >OIW12696 pep chromosome:LupAngTanjil_v1.0:LG04:25623446:25625371:-1 gene:TanjilG_24629 transcript:OIW12696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVIQWQKQLLDHQAVMLREGFLDDQFIQLQKLQDDSSPDFVIEVMTMFFEDSENLLNNMKRALEQVPVDFRQVDAHVHQFKGSSASVGAGRIKNVCATFRDFCEAQNLEGCVRCLQQLQYEYSLLNNNLQPLLRLRQQIQAAGGTIPIID >OIW13609 pep chromosome:LupAngTanjil_v1.0:LG04:9755905:9757578:1 gene:TanjilG_07951 transcript:OIW13609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSSVSLISTHSGSEFPLTRRPFFYHTNNLQLSISEKWRSNVSFFPSFFTKGKDANTIKQDLIQAISSLDRGAQATPLDQLTIDQIARKLEAVNPIKAPLKSDALNGKWELIYTTSQSILQTKRPKFLRSVANYQAINADTLRAQNMESWPFFNQVTADLTPLNAKKVAVKFDYFKIAGLIPVKAPERARGELEITYLDEELRVSRGDKGNLFILKMVDPSYRVPA >OIW13060 pep chromosome:LupAngTanjil_v1.0:LG04:21942474:21943046:1 gene:TanjilG_17620 transcript:OIW13060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSEPNIDDSTNSDATLQLSKHRKITHNHKPTNQNFLNLIPWRSQLEQQIYSTNLVQALHRAPPSPAARQVRDTADRILARAAKGRTRWSRAILVSPRWKLHRNKLKKVEKGSNGLKKMRVTGGDRKRKRRLPAVQKKARVLSRLVPGCKKVSFQNLLEETSDYISALEMQVRAMTALTELFAGRMVC >OIW12984 pep chromosome:LupAngTanjil_v1.0:LG04:22871170:22871718:-1 gene:TanjilG_15433 transcript:OIW12984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLKKGNVAHRAWNLVRFSLLWARKGGMFRRRVVMDQLRLVPKYLKRLGHTAPPSQINYFERELSFDKTPIFNVKMHRPSSMRFSLPHIPCINPHVDFDYDFNDNGDAIEYDNGRKSALIIHNEEYYHGYEGCQEIASDEEEHEEGIDERAEEFIAQFYQQMKLQRQISYLQYNETPIKDSN >OIW13592 pep chromosome:LupAngTanjil_v1.0:LG04:9830172:9841443:1 gene:TanjilG_07934 transcript:OIW13592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLALTNILEKMTGKDKDFRYMATSDLLNELNKDTFKADAELEIKLTTIIIQQLDDAAGDVSGLAVKCLAPLVRKSNERMVVEMTSKLCDKLLNGKEQHRDIASIALKTIVAEVSTQSLAQSILQSLSPPLIKGITGKGMITGIKCECLDILCDVLHKFGDLMVADHELLLSALLSQLSYNQASVRKKSVACIASLSSSFSDDLLARATVEVVTNLKKKIVKSEMTRTNIQMIGALSRAVGYRFGPHLGDTVPVLINYCTSASENDEELREYSLQALESFLLRCPRDISFYCVDILHLTLEYLSYDPNFTDNMEEDTDDEGHEDEEDDESANEYTDDEDVSWKVRRAAAKCLAALIVSRPEMLAKLYEEACPKLIDRFREREENVKMDVFNTFIELLRQTGNVTKGQIDINETRQVNVGAFSVLKELVVVLPDCLADHIGSLIPGIEKALNDKSSTSNLKIEALIFTRLVLSSHSAVVFHPYIKALSAPVLLAVGERYYKVTAEALRVFGELVHVVRPNIEGSVFDFRPYVHPIYNGIMSRLINQDQDQEVKECAISCMGLVISTFGDHLSAELPACLPVLVDRMGNEITRLTAVKAFAVIAASPLRVDLSCVLEHVIAELNAFLRKANRALRQATLGTLNSLIVAYGDKICSSAYEVIIVELSGLISDSDLHMTALALELCCTLMGDRRSSPSVGLAVRNKVLPQALTLIKSSLLQGQALLALRNFFAALVYSANTSFDSLLESLLASAKPSPQSGGIAKQALHSIAQCVAVLCLAAGDHKCSSTVNMLTKMLKDDSSSNSAKQHLALLCLGEIGRRKDLSAHAHIENIVIESFQSPFEEIKSAASYALGNIAVGNLPKYLPFILDQIDNQQKKQYLLLHSLKEVIVRQSVNKAEFQESSVEKVLNLLFNHCESEEEGVRNVVAECLGKIALIEPVKLVPALKVRTTSPAAFTRATVVIAVKYSIVERPEKIDEIIYPEISSFLMLIKDNDRHVRRAAVLALSTLAHNKPNLIKGFLPDLLPLLYDQTVVKQELIRTVDLGPFKHIVDDGLELRKAAFECVDTLLDSCLDQVNPSSFIVPYLKSGLVDHYDVKMPCHLILSKLADKCPSAVLAVLDSLVDPLLKTINFKPKQDAVKQEVDRNEDMIRSALRAIASLNRISGGDCSVKFKNLMSEISKSQTLSEKYYSIRNE >OIW14101 pep chromosome:LupAngTanjil_v1.0:LG04:4397391:4399264:1 gene:TanjilG_19480 transcript:OIW14101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRNVKQMLKLIEEDGDSFAKKAEMYYQKRPELISLVEDFYRGYRSLAERYDHVTSGEWSKNIPSDLQSQASGISDNGSETSTLHCHSPRRLGRRISGGNRAPGFDFFLGWGGNDYDSSQKDGGDCASSTLTDSDDEFDDASSINSYSGLYGNGVGDHGMNRRIMDLEIELREVKEKLWVNEEACVNDSSKRARIDNGEDFRAKINAYEQELRIVNQKLRLSEEESTKLKIELEKYRTMESPNLQVGIEEDLDLKLLEPQGRIDKEIVKSLMEELRITKEKLESSQMQIASLKLEAGKSCESIHWEEQVNLAQRDITAWKTKFNCEKRERIKIQERLERLTTTLIDRDNEIRDLKKTASDAAEKIYLERAQLKSEIFKLLEEQTRLEEHIREWECLSQSLEEKLMIEIEILKKEIEYGKKNIKDLNENLDALKLERDNLIEEVGSLKEEIISRDNKIEQLDIHLNHFHMDYVELISEMEEAQAQMEQLKSRTMELDEEIERQRTEIKEGAEEKREAIRQLCFSLEHYRNGYNVLRKVFIGHKRFPVLATKIYA >OIW13601 pep chromosome:LupAngTanjil_v1.0:LG04:9788131:9792579:-1 gene:TanjilG_07943 transcript:OIW13601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKLPPYKAAEIAIGSIGRGYDISTDLRLKYCKGDSINSRLIEIDENDGRDVVLAGGVSIPNVSKSIKCDKGERTRFRSDVLSFQQMSEQFNQELSLTGKIPSGLFNAMFDFSGSWQKDAAHTKTLAFDGVLITLYTVALEKSQMVLCDHVKKAVPSSWDPPALARFIDTFGTHIIVGMKMGGKDVIYLKQQHSSTLQPADVQKKLKEIADRRFLDANGQYSVASDQVFPNDMEYLINFAFLQFGIREQRLTFANISPSSSYSHKEDIVSIFKRRGGSDNKSISHNEWLQTVQLEPDVISMSFIPITSLLNGVPGSGFLSHAINLYLRYKPPIEELHQFLEFQLPRQWAPVFSELPLGPQRKQYSSASLQFSFMGPKLYVNTTQVDVGKRPVTGLRLYLEGKKSNRLAIHLQHLSSLPKIFQLEDDPAGNFRRESYDRRFYEKVQWKNFSHVCTAPVESEEDLSIVTGAQLKVESYGLKNILFLRLRFLTVSGAKLVKHPEWDGSPGLGAKSGLISTLISQHFTATFQKPPPRPADVNINSAVYPGGPPVPVQAPKLLKFVDATEMTRGPQESPGYWVVSGARLVVEKGRISLRVKYSLLTIILPDEEMLDVQ >OIW14127 pep chromosome:LupAngTanjil_v1.0:LG04:4000521:4012114:1 gene:TanjilG_21267 transcript:OIW14127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKRVLLLCGDFMEDYEVMVPFQALQAYGLTVDAVCPGKKAGDVCRTAVHQLSGYQTYSETRGHNFALNATFDDIDATSYDGLVLPGGRAPEYLAHIPGVVELVTKFAGSGKALASICHGQLILAAAGVAKGRKCTAFPAVRPTLIASGAHWVEPDTNSATVVDGNLITAATYEGHPEFIRHFVKALGGNISGSDKKILFLCGDYMEDYEVMVPFQSLQALGCHVDAVCPKKKAGETCPTAIHDFEGDQTYSEKPGHDFALTATYGDVDASSYDALVIPGGRAPEYLALDESVIALVKHFTESKKPVASICHGQQILAAADVLKGRKCTAFPAVKLNVVLAGATWLEPDPISRCFTDGNLVTGAAWPGHPEFISQLMVLLGIEVSF >OIW13099 pep chromosome:LupAngTanjil_v1.0:LG04:21147471:21154361:-1 gene:TanjilG_08132 transcript:OIW13099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLHLFTAPPLTPFTTHTKTHTHFQSSKLSFHRTIPHTSLNSQLSISETNGARPGLPISHDLTSIDVDAVTETELKENGFRSTRRTKLVCTIGPATCGFEELEALAVGGMNVARINMCHGTREWHQIVIERVRRLNHEKGYAVAIMMDTEGSEIHMGDLGGASSAKAEDGEVWTFSVRAFDSTLPEHTIIVNYDGFAEDVKVGDDLLVDGGMVRFEVIEKIGPDVKCRCTDPGLLLPRANLTFWRNGSLVRERNAMLPTISSKDWLDIDFGIAEGVDFIAISFVKSDEVIKHLKSYIAARSQDSDISVIAKIESIDSLKNLEEIIQASDGAMVARGDLGAQIPLEQVPAAQQRIVQVCRQMNKPVIVASQLLESMIEYPTPTRAEVADVSEAVRQRADALMLSGESAMGQFPDKALTVLRSVSLRIERWWREQKRYEAMALSSVGSSFSEKISEEICNSAAQMANSLGVDAIFVYTKTGHMASLLSRCRPDCPIFAFTTTPSVRRRLNLQWGLIPFRLSFSDDMETNLNKTFALLKARNLIKSGDLVIAVSDMLQSIQVMNVP >OIW13392 pep chromosome:LupAngTanjil_v1.0:LG04:13410323:13413323:-1 gene:TanjilG_16501 transcript:OIW13392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTHQVSEEEERVQQQEPHPYAFHVSGPRNLANLNWRDLISSSWKNANYKRTVIACFIQAVYLLELDRQEKTLQENALAPKWWIPFKYKLTKTLIDERDGSIFGAILEWDRSAAMADFVLIRPSGAPRAVLALRGTILKSATMRRDIQDDLRFLAWESLKGSVRFKVALEVLKSVSESYGSSNVCIAGHSLGAGFALQVGKALAKEGIYVETHLFNPPSVSLAMSLRNIGEKAEFVWNRLKSMIPSSSEEDLVNNDGEKTLGVGLKSWIPRLSSFKNGGFEVGKWVPHLYVNNSDYICCSYTDPDGKAEKFGADKENTGLANGQVAAKLFVVNKEKQKFHEAHGLEQWWSSDAELQLAIHNSKLICRQLRSLYTGATPSQATQGKTQ >OIW13502 pep chromosome:LupAngTanjil_v1.0:LG04:10087796:10089561:-1 gene:TanjilG_29243 transcript:OIW13502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQEMNGVEVERVHENIVHDRIDYVFKVVVLGDSAVGKTQILSRFVKNEFYFDSKSTIGVEFQTKTVTINDKVIKAQIWDTAGQERYRAVTSAYYRGALGAMLVYDITKRQSFDHVARWVEELRTHADSSIVIMLIGNKGDLVDKRIVTTEDALEFAQDQNLFFSETSALSGENVESAFITLLQEINRVVSKKSLESYGYRKPNGDATLKGSKIDIISGPELEISQMKKLSSCSC >OIW12983 pep chromosome:LupAngTanjil_v1.0:LG04:22883695:22884735:1 gene:TanjilG_15432 transcript:OIW12983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLWLIRFSGFCSAAMLLILLSPSLQSFHPAEAIRSNHLDRFSFRQASTFRNAHQCISSNRTGVCDPSLVHVAITLDLQYLRGSIAAVHSILQHSQCPGNIFFHFLLTQTNLESLVRSTFPELKFKVYYFHPEIVKNLISTSVRQALEQPLNYARNYLPDLLESCVQRVIYLDSDLVVVDDIDKLWSTSLGGSTIGAPEYCHANFTKYFTAAFWSDRRFSAAFAGRRPCYFNTGVMVIDLVKWRRVGYTRRIERWMEIQKVRRIYELGSLPPFLLVFAGHVAPIEHRWNQHGLGGDNVRGSCRDLHAGPVSLLHWSGSGKPWLRLDSKRPCPLDALWAPYDLYGHTQ >OIW12605 pep chromosome:LupAngTanjil_v1.0:LG04:26426450:26427957:-1 gene:TanjilG_04769 transcript:OIW12605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYKMMMKTTTTTTSQSPPSSIPIINHVPTSMLSIYSNSSSSSPPLQSHSPTPFPSLSPSQSSPPTSAAVAAAAPPPPPPPVVVVSPCAACKILRRRCVEKCVLAPYFPPTDPIKFTIAHRVFGASNIIKLLQELPESQRADAVSSMVYEANARLRDPVYGCAGAICQLQKQISDLQAQLAKAQAEVINMQCQQANLVALICMEMTQSQDQINMLQPQPNDVDMTCFFDDNNFASAWEPLWTCN >OIW13993 pep chromosome:LupAngTanjil_v1.0:LG04:6332618:6334709:1 gene:TanjilG_09344 transcript:OIW13993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVVPIELGESEEVSQTDFKGFRGGTCKIHPAGGGVSACRKDNSVSQTPHTIANGSFDLNDKSNELDSEFHLNDKSNELDLNVTANAISDQSSHPEIVIEQEELNDSEEDIEEHVEFECEEMVDSEGEDGSGFEQAPEVQTKEVPTSSEENVVKHTTSMEKPCEPGQNSDAQADDSIHMNDTNILNIALISKGKDDRSSSYWSSLDSSNITDNSIVQELNLGPGVFAKLRKPRKHSGNWNASLNIG >OIW13526 pep chromosome:LupAngTanjil_v1.0:LG04:10266104:10274626:-1 gene:TanjilG_29267 transcript:OIW13526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAGDTFCEVVSLIKSWFPWRSEPANVSRDFWMPDQSCRLCYECDSPFTLFNRRHHCRLCGRIFCGKCTTNSVPTPSRSQKNSWKKSKRIRVCNYCYRQWEQGIVAFDNGIQVSNLDPISSLMTSSLVSIETSCTAISSNVTLSSLPYSVSSHQIMQQGFSPSEHQSPVRRNGVDRESVSSLTGRNAIVADQGDQLSKQYGFSINRSNDEDEYSVYQSDSETTRHVNDYDVQVDSDGINETNGLPKVHPGREDNAKVSPEKHGFEAHSLEETQTIGKNEDEPDICDENEAVSSVFVSDDADSGPVDFENNGLIWLPPEPEDEEDERESVLFDDDDDDYDGNVTGEWGYQRTTSSFGSVEYGYRDRSGEEHKKVMKNAVYGHFRALVTQLLEVENLPVKDNEQNSWLEIIISLSWEAASLLKPDMSKGGGMDPAGYVKIKCIACGSRIESAVVKGVVCKKNVAHRQMMSKLDKPRLLIIGGALEYQRVTNLFSSVDTLLQQEMDHLKMAVAKIAAHNPDVLLVEKSVSRYAQEYLLAKKICLVLNLKRPLLERIARCTGTQIVPSVDQLSSQKLGYCEKFHVEKFVEDLSSGGQSKKKTLKTLMFFEDCPKPLGCTILLRGADEDELKKVKHAVQYGVFAAYHLALETSFLADEGASPLPELLLNSLVIPNKSLSAGRSISTVPGFCLPGNINSPGTENGTEPRRSKSVPISDLASLVRNIEPFLPNGPSTAFYPSLAVSENAIPDSCHKKFLPCTSEESCEMDPNQLLVPETSVVKNTATVIDNHPSGNSCEDQGILADTPQNGDNKVSTDQIIGSELLSTEDVQNQPENPVIVNEEQDPLKEEFPPSPSDQQSILVSLSSRCVWKGTVCVRAHLFRIKYYGSFDKPLGRFLRDNLFDEGYRCHSCQMPSESHVHCYTHRQGTLTISVKKLPEINLPGARDGKIWMWHRCLQCPRAYGFPPATRRIVMSDAAWGLSFGKFLELSFSNHAAASRVASCGHSLHRDCLRFYGFGMMVACFRYASIDVHSVYLPPHKLEFDYGKQDWIQKELDEVVNRAELLFSEVLNALDQIEDKRSSAVPGSSGLKTADLRRQVAELEGVLQKEKVEFEEELQKIWNQEKIKGQPLIDILEINRLQRHLHFQSYIWDHRLKYAASLLTNSSKKSTTTGSVPEEKERSIDENQLNVNPNPVDSKFDESPTLAEGVDGNISQFDVSHKVDMANNINHDKDDQYNNSSIRKSLTDTLDAKWTGENHSGFGIQKDNTTVNPDTSTGDSLTITTQKEACNPGDYTEDQNGPKSIYSAYRGSDNNMEDSLRWFYRQVNSNFFAGTQKFDTPVDCNPYYVSTLRELELQGGARLLPPVGVNDTVIPVYDDEPSSIIAYSLMSLEYHPKLTDEGERSKDGNELPHSYSSDSSNFHFFHSDEIATDSQRSFTSVEDMMTASGSRNSSMLDPMLNTKAMHARVSFEDDSPHDKVKYSVTSYYAKRFEALRRICCPSELDYIRSLSRCKKWGAQGGKSNVFFAKTLDDRFIIKQVTKTELESFIKFGPEYFRYLSESIDSRSPTCLAKILGIYQITTKHLKGGKESKMDILVMENLLFRRTATRLYDLKGSSRSRYNPDCNGKNKVLLDQNLIEAMPTSPIFLGNKAKRLLERAVWNDTGFLASIDVMDYSLLAGVDEEKNELFIGIIDFMRQYTWDKHLETWAKASGILGGPKNALPTVISPKQYKKRFRKAMSTYFLMLPDQWSPPNLIPSNSHSDLCVEDNNKNNKNNNNTQ >OIW12912 pep chromosome:LupAngTanjil_v1.0:LG04:23747486:23752199:-1 gene:TanjilG_15832 transcript:OIW12912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHPQNGSSDRTHQQQHPHVPPPMLSSYGPNSTLRSSPKRDHNPENYDDMRLQFNHLIFTSLEQYLPPHLLNRSREDKANYMVNILHRYLPESERVRIQKHKEYRRKILSNYTPLHKEIYSVHAENFFVPSFLQAIKESREVGFRRVMAEPVKGIFTFQMLQPKFCEKLISEVDHFERWVNQTKFRIMRPNTMNQYGAVLDDFGMETMLDRLMNDFILPISRVFFTEVGGSTLDSHHGFIVEYGTNRDVELGFHVDDSEITLNICLGKEFSGGELFFRGVRCDEHVNTETQSEEIFDYSHVPGHAVLHRGRHRHGARPTTSGHRLNLILWCRSSVFREMKSYQRNFSTWCGECRRKKKERERISIAATKQELLRMSV >OIW13226 pep chromosome:LupAngTanjil_v1.0:LG04:17611630:17612124:1 gene:TanjilG_03555 transcript:OIW13226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMPAFFRIARQGNEGIVPVLYKRVGCKRRGGVRFTLKGQSNFNMVMITNVGGSGEVKGVWIRGSRSGAWLPLHRNWGANWQSNADLRNQRLSFKITLVDGKTLIFLNVVPSSWRFGQTFASRNQFF >OIW13978 pep chromosome:LupAngTanjil_v1.0:LG04:6203871:6211267:1 gene:TanjilG_09329 transcript:OIW13978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWGLGWKRPSEIFHLTLNYGTEDPPEPISRISSSSSSSSSSSSSSSSLISQDQEPGFRIELDWSSSEDEDQVALKLQSQLMVALPMPQDTVVVELRTQNGEERVDLDMKVVKRRESLRAVTMSKTVASGHHSDGTGVLIRLLRSNLTEGVAGSGDHWRSVAVLNLCACGLSVFPVELTQLPYIEKLYLNNNKITVLPPELGELRSIKVLRVDNNMLISVPVELRQCVQLVELSLEHNKLVRPLLDFRAMAELRVLRLFGNPLEFLPEILPLLKLRHLSLANIRVVADENLRSVNVQIEMENSSYFASKHKLSAFFSLIFRFSSCHHPLLASALAKIMQDQGNRVVVGKDENAVRQLISMISSDNRHVVEQACSALSALASDVSVALQLIKADIMRPIGAVLKSMGQEEVISVLQVVVKMAFTSDTVAEKMLNKDVQRLALLAVGNLAFCLENRRILVTSENLRELLLRLTVLTEPRVYKAAARALAILGENENLRRAIRGRQVPKQGLRILSMDGGGMKGLATVKILKEIEKGTGKRIHELFDLICGTSTGGMLAVALGIKLMDLEKCEDIYKNLGKLVFAEPVPKDNEAATWREKLDQLYKSSSQSFRVVVHGSKHSADQFESLLKEMCADEDGDLMIDSAVKNVPKVFVVSSLVSVMPAQPFIFRNYQYPAGTPEVALVTSESLGTTVLGSPTTGAPVGSKRSAFIGSCKHLVWQAIRASSAAPYYLDDFSDDINRWQDGAIVANNPTVFAIREAQLLWPDTKIDCLVSLGCGSVPTRMRKGGWRYLDTGQVLIESACSVDRVEEALSTLLPMLPEIQYFRFNPVDERCDMELDETDPTNWLKLESAVDEYIQNNHQAFENVCERLLLPFHHEEKWSENQRSKVPPTNEGASGPTLGWRRNVLLVEALNNPDSSRVKHHARELESFCARSGIRLSLMQGLSGTARTMPATTFPTPFASPLFTASLPSSPLVYSPDIGQRIGRIDLVPPLSLDGQSGKAAATPPMSPRGLKQLSLPVRSLHEKLQNSPQLGVVHLALQNDSDGLIVSWQNDVFVVAEPGELAEKFLRSVKFSLLSTMTSHRKEGASLLGNVSTISDLVAFKTYFQIGGIIHRYLGRQTLVMEDDQEIGSYMFRRTVPCMHLSPDDVRQMVGDWRDRIIICTGTYGPTPALIKAFLDSGAKAVICPSNEPPESQMATFDGTGDLNAMENGKFEIGEDEADDEAAPDSPLSDWEDSDQEKIGDCTLSLWDDDEEELSQFVCRLYDSLFREGASTNLALQHALASHRRLGYVCHLPSIQ >OIW12761 pep chromosome:LupAngTanjil_v1.0:LG04:25102860:25106051:-1 gene:TanjilG_24694 transcript:OIW12761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFSGAIQRPLVAAAAVAVASFSGEISDKFPKSKGDCSTSNLVHSPSCNTFSESKPSWVSQISVSKLSDFSFVSQIRAPIPDVNFRVPSYSHNSVTNSNHSSVASSPLVKNLYNSADLSRVVPRPSAYSNGISNSTSDVMYKWHLPQPNSLGDLNCSSAKSRTVVVLLGWLGARQKHLKKYAEWYTSKGYHVITFTFPMSEVLSYQPGGKAEENVHLLVDHLADWLEGENEKNLVFHTFSNTGWLTYGVILEHLQKQDPSVMERIRGCIVDSAPVSYPDPHVWASGFSAAFLKKNSVATKGRVFSDESTGSDEASGSKPAATEAALLLILKKFFEVILYLPAVNRRLSDVLSLLSSKQPSCPQLYIYSSADRVIPADSVESFVETQRRAGHDEKDKEILTRLVELDVAQSLCRSFYPGVTDSDLVVIATKGPQFA >OIW13496 pep chromosome:LupAngTanjil_v1.0:LG04:10029665:10030210:1 gene:TanjilG_29237 transcript:OIW13496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHQPPTTTTTTTTQKSHTLKIGTRHPLYRGVRKRRWGKWVSEIREPRKKSRIWLGSFPLPEMAAKAYDVAAYCLKGRKAQLNFPDDVECLPLPATSAPRDIQAAAAKAANLINKEKSSDGDSGGDDFWGEIELPELVNGECCLSSSSSSSPSWASSGGGDITVWLSEVEILQQQPFMACL >OIW13696 pep chromosome:LupAngTanjil_v1.0:LG04:9280346:9285961:-1 gene:TanjilG_08038 transcript:OIW13696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSDNMEWDADKLLRLYLHDYMLKRGMHNTAQTFKEEAEFDNKDSNVIDSPDGFLHEWWCLFYDVYKFRTVQDHEISLPESSSKMIDNASNSNSFPMISQIPMSQKRPMLAQPHAGLIPSTLYNKEHLGYLVGNDEPSFQDLSKSSNLKFLAGSSSSQSLLDAGKQVQNQILKDSGIGICLGKDVPRDPLQLVQPKTNEALNLTPLDGWLRNVGGGRIDQDLCDLQNQVLTSLVHEPNYRHQCQVLKTQNHVAVPAQILESTPASQAFTVPGISTKYSSQYLKTPTPKIESSNKDKQVMEPVVKTAENQNQRDQQLQSQDVEMSGMRKKTVSLRLGQNGQDCASVADGKPVDESVESFLSLENEHADHIIAPFSNLKRISTSCSRNENKGFTFEEVGCLHSSKGKVLSSHFSSDGKILASAGHEKKVFIWNTETFDCVTTAEEHSLLITDVRFRTGSTLFATSSFDRSVRLWDAARPTRSLLKLEGHAEQVMSLDFHPRKVDLLCSCDINDVIRLWNVNQGACMHITKGGSKQVRFQPDFGKLLATATGNDIKIVDVEADSILCNLKGHVKDILSICWDRSGNYIASVSEDSARIWSSDGKCIHELHSTGNKFQSCIFHPAYLNLLVIGGYQTLELWSPTDGNETWSVAAHKGLIAGLAESPHDELIASASHDCCVKLWK >OIW13035 pep chromosome:LupAngTanjil_v1.0:LG04:21570990:21575007:1 gene:TanjilG_17595 transcript:OIW13035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METGLDYGAYVEKFLLQPISSPHAVLPLNPLTFAVKDIFDIEGYVAGFGNPDWARTHPVAKSTAPTVSALLRAGATCVGKTVMDEMAYSINGENIHFGTPRNPCAADRVPGGSSSGSAVAVGARLVDFSIGTDTGGSVRVPASYCGIFGFRPSHNAISTSGVIPLAQSFDTVGWFARDPTILSRVGCVLLQLPELVPVNPTCIIFAEDCFQLSSIPYDLVNRIVFKAVEKLYGGDILKDATLGEYVKTNVPSLKHFLSLENTDQVYNIPSLAALSSAMRLLQRYEFKNNHGEWVTEVKPVLGPGISERVSDALRTTRETIDICHSIKGELHAALTSLLGDFGVLMIPTVPGPPPKLQSDASELENFRARAFSLLSIAGLSGFCQVSIPLQMYNNLPISISLVARHGADGFLLHLVESLYEGIKEEAGQPKA >OIW12653 pep chromosome:LupAngTanjil_v1.0:LG04:25920589:25925174:-1 gene:TanjilG_24586 transcript:OIW12653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNVDYESPSGMNMPSVGVPPPQPFLKSLKYSMKETFFPDDPLRRFKNKSTSKRLVLGLQYFLPIFEWAPQYTVQFLKSDLIAGITIASLAIPQGISYAKLANLPPILGLYSSFIPPLIYAMMGSSRDLAVGTVAVGSLLMGSMLSSDVNPNHNPNLFLHLAFTATFFAGLFQASLGLFRLGFIVDFLSHATIVGFMGGAATVVCLQQLKSILGLDHFTHETYIVSVMRSVFTQTHQWRRESAVLGVCFIFFLLLTRFFEGIAVGRSFAMFKNYHIDGNKEMIDSYWNHEHSWFFHLMLPHYRAIFAISGELQCWMQDCSIKHSIIVSAMLGLIDYEAAIHLWKIDKFDFVVALSLLRILLFITRPRTFVLGNIPNSVIYRNVEQYPNANHVPGILILEINAPIYFANASYLRERITRWIDEEEDRIKVTCETILQYVIMVMTAVGNIDTSGISMLEEVKKIADRRGLQLVLVNPGSEVMKKLNKSKFQVDIGQKWIYLTVAEVLKHATSCCIHANRIKAKMNQRVGTMSESYFTACFEGLGKITITICV >OIW12565 pep chromosome:LupAngTanjil_v1.0:LG04:26730419:26731501:1 gene:TanjilG_04729 transcript:OIW12565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLVANEEFQHILRVLNTNVDGKQKIMFALTSIKGIGRRLANIACKKADVDMNKRAGELTAAELDNIMTVIANPRQFKIPDWFLNRKKDYKDGKFSQVVSNALDMKLRDDLERLKKIRNHRGLRHYWGLRVRGQHTKTTGRRGKTVGVSKKR >OIW14168 pep chromosome:LupAngTanjil_v1.0:LG04:3261961:3262215:-1 gene:TanjilG_21308 transcript:OIW14168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDPQHVADRDHIFKHFDANGDGKISSAELGDALKTLGSVTNDEVQRMMAEIDTDGDGFISYEEFSEFARNNRGLVKDVAKVF >OIW13230 pep chromosome:LupAngTanjil_v1.0:LG04:17302855:17308456:-1 gene:TanjilG_02364 transcript:OIW13230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSCLPQQHLFLHFHSVTFSNPKVFNSSGYPLRNLRMSVASTSENQASTYIPAAPIFLPQGPWNQIPGGVTAAEGFKAAGIYGGLRAKGEKPDLALVTCDVDAISAGSFTTNVVAAAPVLYCKRILDNSETARAVLINAGQANAATGEAGYQDVIDCVESLAELLKLKPEEVLVESTGVIGQRIKKGALLNSLPVLLKSLSTSVEGADSAAVAITTTDLVSKSVAIESLVGGTKVRVGGMAKGSGMIHPNMATMLGVVTTDARVNSDVWRKMVRIAVNRSFNQITVDGDTSTNDTVIALASGLSGLGCISSLDSDEATQLQGCLDAVMQGLAKSIAWDGEGATCLIEVTVVGANSEAEAAKVARSVASSSLVKA >OIW13196 pep chromosome:LupAngTanjil_v1.0:LG04:18251485:18251673:-1 gene:TanjilG_17639 transcript:OIW13196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVADEDAFTVVHVGSVFTPGGLRWLSSHFRWLKVVPVLNVGMCFLEFLQQLVKTWEKGTYSQ >OIW13087 pep chromosome:LupAngTanjil_v1.0:LG04:21325924:21331874:1 gene:TanjilG_08120 transcript:OIW13087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVSGGKTLKRMKRRITADFYDFLSFPSPSISSSEKFSGGPFRTNVRSFLTKHALLPPPSALFPHLMTWQILFRVGDLTDGGPESGPAVVCLDVVEEDVARSRSVYCDQCRVFGWSGHPVCGKRYHFIIKADGSSIGGYHKPCMCCGDILHLSESRCKSCNHVTTTDDVEDWVYHQLENTTHLLHGVVHANGYGHLLRVNGREGGSRFLSGSHIMDFWDRLCKTLGVRKVSVMDVSKKYGLEYRLLHAIMKGHPWYGEWGYEFVSGSYCLTQEAYKSAVESLSNLPLSIFLSKGQNPHSRVKDMISYYHSLSEHKLVNMRDLFCFLMHLINDARKTVPKVDDINCKKRRLNTSGISGSWEKNDIERVKEAMLRVLRAVSGSNWVSWRAVRGAVCKVASPELLDYCLGELEGKVVHGGMVVNTRCNPQTGALECRLEAANDSFYGIVANNNSSGLKYPSEENLLQCLKYLYESLLHPQVMLSYFAEDTRILALSSVQKLLDCKQFVKAYSPEMLPVSDIYKIRISCQVELVEESEDPAAKTPPELVVLPMNATVHDLKIEAANAFQDVYLMFRRFQVDEVLALRGVDDSTQVKLLLGSKETVCVRGKCMGKNGLSKFRMERGLERWTVDCSCGAKDDDGERMLACDICGVWRHTRCSDIRDTHPVPARFVCPKCLNSDSKTKSSGHCKDETVTKVSTATSCFGKGLPVPHDVR >OIW14003 pep chromosome:LupAngTanjil_v1.0:LG04:6398293:6399550:1 gene:TanjilG_09354 transcript:OIW14003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLLIIQLILSFLTLTTSQSTICRTSCGNIPIKYPFSIDDGCGSPYYRHILHCSDSQKLELRTPSGKYVVRNVSYADPHIVVTDPFMWACEDGENFRPTRPFSLDTSTRFKLSLQNEYIFFNCSEDNVIIQPKPMFCEHYPERCDSSCDSASYLCRHLPKCSFALSSSSCCSYHPKATESLRLMLKYCTSYASVYWRNVGAPQPYDQVPEYGIRVDFDIPVTTRCLQCQDPLKGGGTCGFDTESQSFMCLCKDGNSTTHCKDYDIARHNRKVHVIAGTVSGISAAGAFGIGAGIWYLKKVRAKAPVTCGVQTNENRLF >OIW13763 pep chromosome:LupAngTanjil_v1.0:LG04:8506798:8509355:-1 gene:TanjilG_17942 transcript:OIW13763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFTHVLFILCITMILFIHNLHNVEGRYHFHKKQKRNSTAPEPPSDSSDPKDPSSPPYYSPTVPSDPYPNDPSDSPSNCVFDVTSFGAIGDGSADDTAAFRAAWKAACAVENAVILAPQDYCFKITSTIFSGPCKPGLVFQVDGTVMPPDGPDSWPENDSRNQWLVFYNLDQMTFNGTGVIEGNGEQWWDLPCKPHRAPNGKTVSGPCDSPTMLRFFMSSNLVLRGLKIQNSPQFHIKFDGCQGVLIDELSLYSPKLSPNTDGIHLGNTKGVGIYNTMISNGDDCISIGPGCSDVDIQGVTCAPSHGISIGSLGVHNSKACVSNLTVRNTDIRESDNGLRIKTWQGGTGSVTGLKFENIQMENVRNCIIIDQYYCLSKECTNQTSGVHVNDVSFKNIKGTYDVRTPPIHFACSDSVACTNITISEVELYPYEGELLDDPFCWNAYGTQETVTIPPLDCLREGLPDTVGELSEYECS >OIW12780 pep chromosome:LupAngTanjil_v1.0:LG04:24983808:24984872:-1 gene:TanjilG_24713 transcript:OIW12780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKFVACEHVDDDYIDIEVSSYSNFFCHSEKSHPQPREFEFQMSSFVQEKEQTTSPADELFYKGKLLPLHLPPRLQMVEKILQNSINTPFDMEKNVFEEFYSTPLATTTNPTPTNGTPFESCNVSPNESCQVSRELNPEEYYNFHYPTDHASGFVVENQKKSWIKKLKQSSLGSKLKASRAYLKSWFRKSGCSYETFATSTKVADEGSVSKARENLNKHVQVVAKKTSYGQKHRDKYMSSDSVVRSYKEKSNEHGRNQHRRSFSIGIKFLSGNKSSTPSSSSSSSGSCSFSLSNKSYECKFLKRCSSANSENEKSIQGAIAYCKKSQKMLSSNKNASEVGFCEDQEREYLCRG >OIW14097 pep chromosome:LupAngTanjil_v1.0:LG04:4530702:4533535:-1 gene:TanjilG_19476 transcript:OIW14097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPDVSRWVLEFLLRNSVPDSLIAKTLSAVPLSGADSSLKKTLLLRTLRTLHSNASITETALEILELIEEIDCNDAVPVTDSMRRAFCAVAVECTVKYLAACPDDAAGNYFAAVRRIWRGRVTRMESAGRRSGLFSEELVRWRDDLEAAVWDTRVCERLVQLNSRTEAFSEVKVYLKEAWEGIGPSFLDSVEVMTKAKGFGFDVGGESVMNSDGGDNDNDNGNHNNDGHGACGVENENQGNEKELEQRVGASIDLNHQLPAEKEKEIQKGNLQHRFKHTVLHRCHKGVKLTGTEEVEPAKSWSKCDTVPSAEVKKVRESLKSSSLELQALVKDPLADALQMSEVVRSNLAGKDINHGPSIGNQNGDVDVPDPNVDQNGDVPDPNVDQNGDVPDPNVCNSIVPFQPNDANLVKKPFVRCSNNVCCPNLLERKATARTYEWDDSLDNSPQQAQPRKRKRKWTSLEEETLRAGVNKFGEGNWAAIRGFYSDVFENRSGVDLKDKWRNMMR >OIW13861 pep chromosome:LupAngTanjil_v1.0:LG04:7880075:7880383:1 gene:TanjilG_31750 transcript:OIW13861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRLVLNSACHVLGHTIDKDNIEKQCNQIVSDSNGEVEKVEYPSSGFQMPLHYPRYTKDDYERMEDWKVELLLQEYGLSFKGTLDEKRAFAMGAFLWPDQY >OIW12627 pep chromosome:LupAngTanjil_v1.0:LG04:26151203:26153931:1 gene:TanjilG_24560 transcript:OIW12627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASGSETSFVKTKRTKGFIALLTTAACEWFLIFLLLVDAVVSFLLTKFASYCQLQLPCLLCSRLDHIFGCEKPEFYQNLFCCKHKSEITSLISCHIHGKVADGHRMCDDCLLSFTTTTNPNTKTHRLLAGKLGLVLGGSGFQSPSLSRDLFTASKGSRQCICCGKLWKSEQNASRSIQLKSSGRAVLKPYIPLPHAQRQSRLNHRENSKKVKDKFYGTEGKGNFHHVGYTELRLNSDSESEFLFSDDDDNVNIFHENIEAGNDPTTQFTPMIPPKCIQDDLNPAKAHVEHNISKHYDEKFLGSDAVNDDDLEDFNLLQENKKSPSSDVPVPISLDEVSPSPIAVNHCNNESEVDKGSYLSHNSLTGPLSELTTLDGAHTLVGSSSNKSADATLASGTGLVFESHGDILENIDTMEIASIETDPVISDSAPTNTSPMPKNSSSMSKSNLTSMEREVPGFVTEQPTIEEVVKVREELELSPSRSTSPHGSNMSSEVPINHARSPVIDDETSNSNAIQGLQKSASVESALESLDGSNDSEIEGESIVDRLKRQIEHDKKYVDSLQKELEEERNASAIAANEAMSMITRLQEEKAALQMETLQYLRMMEEQAEYDNDELDKVNDLLTEKEKEIQDLEAELEFYRLNLTDDHAVHNMPEESCDSKGGSVTEKNVGAHNSTDTLNIVSDLKFPEVSEVSNEAVPSGTSSLEFEEEKQYISECLKNLEKKLHQLPWNGISSDIYNVRPEKLEVGESNELGSSKGEGPQLDSHEETDLSTQKNIGTSNGNHTDKGCSAASDSGDCSLDKENINSTCVGQKDSPPMREVDLVALENEMSDLNDRLEALEFDRDLLEHIINSLRNGDVGKQFIQDIAHQLHELRKIGIRSKW >OIW14342 pep chromosome:LupAngTanjil_v1.0:LG04:15129:18640:1 gene:TanjilG_31232 transcript:OIW14342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINKNHNTYTLLILFLFILFSTLTVSFARHVAGDKNPFTPKASLIRYWDTHISNNLPKPSFLISKASPLTAIDTATYAKLAATNTLSTRLPEFCSAAHLLCFPEVLPSLEKHTQDVKFSGYDDEHNFTNYGTNRAGGFDSFKNYSNGLYANPVSDFRQYSRNSAGHKEKFTSYGNDANVVDQSFHTYGTGTAGGSGEFKEYAARSNVPDLRFSTYSVGGVGREQSFSRYSEDGNSGEQSFTSYGKNGLSAENKFKSYGTNSNVAASDFSNYGEKGTSPNDTFTNYGVNMNNPTEKFKNYGDGSTFAAESFANYRDKSNVGDDSFQSYAKNSRGGAQVDFNNYGKSINDGSDTFKEYAKGADLDHKVGFKGYGINNTFKDYSKDGVSFSSYKKSTTSTTHSINANTLSDSLVKKWVQPGKFFREKMLKQGIVMPMPDIRDKSPKRSFLPRPILSKIPFTSSKISELKEIFKVSDNSSMEKMMIDSLGDCERAPSMGETKKCVGSVEDMIDFATSVLGHNVAARTTENVNGSKTNVMVGKVKGINGGKVTESVSCHQSLFPYLLYYCHSVPKVRVYEAELLDPKLKTKINHGVAICHLDTKAWSPSHGAFLALGSGPGRIEVCHWIFENDLTWTIAN >OIW12497 pep chromosome:LupAngTanjil_v1.0:LG04:27162545:27163543:1 gene:TanjilG_04661 transcript:OIW12497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTNPNRDGDFSEEDDTPSSETIPMVDSPQAAPATADSLKEEHTDTVGESIPMGLIPVPLTMSRPVPVKKPSKDRHTKVEGRGRRIRMPATCAARIFQLTRELGHKSDGETIRWLLERAEPAIIEATGTGTIPAIAVSVGGTLKIPTSSAARVDGEDSVRKRRRRASNSEFIEVNEQGSVSSGLAPIAQNSYIGGSQNGLVPLWSNNNNNNNGAFFMPQYWAIPASAAPFFNFQQGIYDKKEITFMALSDANSEPSSSSKP >OIW13668 pep chromosome:LupAngTanjil_v1.0:LG04:9449055:9451840:1 gene:TanjilG_08010 transcript:OIW13668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAIVITTPGDPEVLQLQQVEDPQINDDEVLIRVEATALNRADTLQRKGSYPPPKGASPYPGLECSGTVESVGKNISRWKIGDQVCALLAGGGYAEKVAVPAGQVLPVPPGLSLKDAASFPEVACTVWSTIFMTSRLSAGETLLIHGGSSGIGTFAIQIAKYLGSRVFVTAGSEEKLAFCKGLGADVGINYKTEDFVARVKEETGGQGVDVILDCMGASYYQRNLDSLNFDGRLFIIAFQGGVSTEFDLRALFGKRLTVQGAGLRSRSLENKAAIVSEVEKNVWPAIAEGKVKPVVYKSFPLSKAAEAHRLMESSQHIGKILLFP >OIW13954 pep chromosome:LupAngTanjil_v1.0:LG04:5941970:5946918:1 gene:TanjilG_09305 transcript:OIW13954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFPMRFCVAIVFITIGTLSTVFHTGEGRPYRILLDTDADTDDFFALLYLLKLNRSEFQLEAITINANAWTNAGHAVNQMYDVLYMMGRDDITVGVGGEGGILPNGTILPNVGGYLPIIEQGMTTSGGCRYRKAIPVGFGGRLDIDSNYGVRKAFLPQGRRKYTPLRQPTAQEVLIDKISEGPIIVIEIGAHTNLAIFLMNNPHLKKNVEHIYIMGGGVRSRNPTGCCPKNDSSCVPRQCGDHGNLFTDYNTNPYAEFNMFGDPFAAYQVIHSGIPATLVPLDATNTIPITEEFFNEFEKSQDTYEAQYCFKALKMTHDTWFDDQYYTSYFMWDSFTSGVAVSIMRNSNNHNGENEFAEMEYMNITVITSNKPYGISDGSNPFFDGLKDPKFNLKKGGVHSGHVQQGLRDPFCFVKNGKGKCQDGYTAEIKGSDSVRVLVATKAKPNNDIESPLDREYFTSFLNVLNQPQHAGRFNFTTQFPYYKEVFYKPDFENKKLGKPVVFDMDMSAGDFLALFYLLKVPVEVINLKAIIVSPTGWANGATIDIIYDLLHMMGRDDIPVGIGDFFAMNQSDPIFPPVGECKYVKAIPHGNGGFLDSDTLYGLASDLPRSPRRYTAENSVKFGAPRDTDHPELRQPLAMEIWESVLQTMEPGSKVTVLTNGPLTNLAKVVSLKNISSRIQEAYVVGGHISRNASDKGNVFSIPSNKYAEFNMFLDPLAAKAVFQSEVNITIIPLSIQHKASSFSTILHHLQMTEKTPEATFSKRLLKRLYRLKQSHHRYQHMDTFLGEILGAVVLANSHSSLNAKFDVKPIKVLANGVESSDGQIVVDEKHGKLVKVLSHVNTKAYHYVYAKRLGDQNQSAKVGSFEEQRRNWSIPHGLN >OIW14156 pep chromosome:LupAngTanjil_v1.0:LG04:3491729:3504384:1 gene:TanjilG_21296 transcript:OIW14156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLAISSNTVFAEDATTGASSANDSGNGLIGLSKVEDGSVVSNMHTAKWRLFTDKAREFFLQGKLDEAEKLFLSALEEAKEGFGQRDPHVASASNNLAELYRVRRAFDKAEPLYLEAINILEESFGPDDIRVGTAAHNLGQFYLGQRKMEKARLNYEIKRRVLGYGHSECADTMYHLGVVLYLQGKERDAEALIQDSIKMLEEGDEGESIVCIRRLRYLSQMYLKSHRLADAEMVQRKILHIMELSKDWCMVSTRSMAKENDSEGQDRGGTRQEREEIRMKLELYEARTKKLEDLMFAVATKLGVSPEEHSFGGGTMGLAISSNTVFAEDATTGASSANDSGNGLIGLSKVEDGSVVSNMHTAKWRLFTDKAREFFLQGKLDEAEKLFLSALEEAKEGFGQRDPHVASASNNLAELYRVRRAFDKAEPLYLEAINILEESFGPDDIRVGTAAHNLGQFYLGQRKMEKARLNYEIKRRVLGYGHSECADTMYHLGVVLYLQGKERDAEALIQDSIKMLEEGDEGESIVCIRRLRYLSQMYLKSHRLADAEMVQRKILHIMELSKGWNSLDTVVAAESLALTLQASSNIKDSKELLERCLNARKALLPGDHIQIGGNLLHLARVAMLDSSQHKKLDVSRAKDDLDVARDHLHNSIRIARQCLGKLLKQKDRSKKYSAPGDSRKERHTALIILLQSLNTLSSVEFAKQELQETQEGNINLEAQEALLQCISVYKEFAHDKSIADSPEIKNEYLSCLKRAQNLLGNYKLDEVLGNQKDFQKLNQKS >OIW12938 pep chromosome:LupAngTanjil_v1.0:LG04:23359628:23360701:1 gene:TanjilG_15858 transcript:OIW12938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEHRKQPPKRQNQRAKQTFPDPNMMRKLRIVYNDPDATDSSDDEPEPRKIKRTIHEIPLPILSVTNSTITFTETTSSYDETINKSVGVLNKTCMESQKPQKKKRVFTQNSSSKKLPCGKYRGVRQRKWGKWAAEIRDPFRSTRLWLGTYNTAEEASQACEKKRLEFDAMAKAQSCSKDCYSTASSVVLPKTTTITTSDKCNKPVSLLHKSSSSTTLVESESMVSHTSPSSVLELDALASNLIEKSNLSCNEAIDTCDLVAELAELEIPDLGTWNLPPTSNVAASVSEPNFELDLDFDLLPFDDFVKGIGDLGGLEDLNIFGFDDDGPSELPDFDFGDFIADEFAGWIEEPLNVTCA >OIW14325 pep chromosome:LupAngTanjil_v1.0:LG04:374984:377015:1 gene:TanjilG_21465 transcript:OIW14325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATTTTTFNSGAFNTIQQRVSFQKEIKKSKFIAIAGPISDENSAMSFLSQVRDPKATHNCWAYKVGDQYRSNDDGEPSGTAGKPIQSAIGSSGIDRVMVVVIRHFGGIKLGTGGLVRAYGGVASECLRNAPTCLVKTKVPMGVEVSFDLLGILYHQLQSFPIEDMKQDYDTGTDGMCMVTFKVDFDQAEKLEDALKSNCSRELKFYKR >OIW14249 pep chromosome:LupAngTanjil_v1.0:LG04:1691108:1697942:-1 gene:TanjilG_21389 transcript:OIW14249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRSSNSPPKSSSGSSRPPQGVKFTRRTSSGRVVSLSRDDDIDMSGEFAGPNDYIDYTVMMPLTPDNQPGITDSKQDGVAGSYGTTRFASESQQDNNGGSSKMDRRMSILNSTNNKSMLLRSQTQDFDHNRWLFETKGTYGIGNAFWQDDSALGEDMSMSDFMDKPWKPLTRKIKIPGAILSPYRFLVGIRIVILSFFLTWRIRNPNYEAMWLWGISIVCEIWFAFSWLLDCLPKLNPINRSTDLSALHDKFDQPSESNPTGRSDLPGMDVFVSTADPDKEPPLVTANTILSILSADYPIEKLSCYISDDGGAILTFEAMAEAVKFAEVWVPFCRKHNIEPRNPDAYFSIKKDPTKNKKRHDFVKDRRWIKREYDEFKVRINGLPDVIQKRSKMYNSREEKKEKQLVMEKNGGVLPADQKIDVLKATWMADGTHWPGTWLNPTADHSKGDHAGILQVMSKVPEHDPVMGYADEKSLDFTGIDIRIPMFAYVSREKRPGYDHNKKAGAMNAMVRASAILSNGPFILNLDCDHYIYNSLAMKEGMCFMMDRGGDRICYIQFPQRFEGIDPSDRYANHNTVFFDGNMRALDGLQGPMYVGTGCMFRRYALYGFEPPRFMEHTGVFGRVKTKVNRNALHARSNIDDESQPLTSDSEVGLPQKFGSSTMFTDSIAVAEFQARPLADHKSVKNGRPPGALLVPRPPLDAPTVAEAIAVISCWYEDKTEWGDRIGWIYGSVTEDVVTGYRMHNRGWRSVYCITKRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSKNNAFFATRRLKFLQRISYLNVGIYPFTSFFLVIYCFLPALSLFSGQFIVQGLNIAFLTYLLLITICLTLISLLEVKWSGIALEEWWRNEQFWVIGGSSAHLVAVIQGLLKVIAGIEISFKLTTKSSAEDEDDAYADLYLVKWTSLFIMPLTIIIINVVAVIMGCLRTVYSVIPQWNKLMGSLFFSFWVLSHMYPFAKGLMGRRGRVPTIIYVWAGLLSITVALLWISIDPPTDQVGSASGGDIEI >OIW14154 pep chromosome:LupAngTanjil_v1.0:LG04:3521366:3526142:1 gene:TanjilG_21294 transcript:OIW14154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTTLIVATTSDPASINPANALLAMPGFQPGPHFQEDMKSFVNEGVRVLLHDKSIVVEDDLDMRWEKVTGEVIDEVIFFSKHTAVSNKPALTVHPIGVPHLQEGDVPLQGGKPGWAALPSPRMGPWLRHLRKLAQAHNLVPEFEITLEGTHHGPITNKPTMFLEIGSTDDYWKRQDAAQVMAQLVWEGLGLGGGTDLGNWSRENDKKKVLLGLGGGHYAPRHMDVVLKDDVWVGHLLSGYSLPMEEIKQSKGETNGEIGGSWRESIKAAYEATRSAFPGGQIIAHLDHKSFKGWQKKAITGFLEEQNIEIGKPNKFIEY >OIW13912 pep chromosome:LupAngTanjil_v1.0:LG04:8255066:8255248:-1 gene:TanjilG_31801 transcript:OIW13912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCKINCLGSRLLKHRINLGAGGWGRIIEAVKRITRSVTPPKMQCYIKKKTEGREEQKGFG >OIW13455 pep chromosome:LupAngTanjil_v1.0:LG04:10989841:10991145:1 gene:TanjilG_22246 transcript:OIW13455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDSNASSGSPEETQNPIPDGNAPSESDLALDTLAQNVQESLSLETRHKFWETQPVGQFKDIGDTNLPEGPIEPPTLLSEVKQEPYNLPNLYEWINCDISSEEMCNEVYSLLANNYVEDDENMFRFNYSKEFLRWALQPPGYFRSWHIGVRVKSSKKLVAFITGIPAKIRVRDEVVNMAEINFLCVHKKLRSKRLAPVMIKEVTRRVHLENIWQAAYTAGVVLPTPIASCQYWHRTLNPKKLIDVGFSRLGARMTMSRTIKLYKLPESTVTPGFRKMEIHDVPAVTRLLRNYLSQFVVAPDFDENDVEHWLLPEENVVDSYLVESSETHEVTDFCSFYTLPSTILGNPNYSTLKAAYSFYNVSTKTPLLQLMNDALIVAKQRDYDVFNALDVMENDSFLKELKFGPGDGKLHYYLYNYRIRQALKPSELGLVLL >OIW14326 pep chromosome:LupAngTanjil_v1.0:LG04:371108:372028:-1 gene:TanjilG_21466 transcript:OIW14326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIKGFVEGGIASVIAGCSTHPLDLIKVRMQLQGETQVHNQVFNNASPTLPQPSRVGPIATGVKLVQREGVVALFSGASATVLRQALYSTTRMGLYDIFKKKWSDPNSGGNLPLTRKIAAGLIAGGIGAAVGNPADLAMVRMQADGRLPPAQRRNYKSVIDAIRTMAKDEGVISLWRGSSLTVNRAMLVTASQLASYDQFKEMILEKGIMRDGLGTHVTASFGAGFVAAVASNPVDVIKTRVMNMKVEPGAAPPYSGALDCALKTIHAEGPMALYKGFIPTICRQGPFTVVLFVTLEQVRKLLKDF >OIW12828 pep chromosome:LupAngTanjil_v1.0:LG04:24562301:24567837:1 gene:TanjilG_24761 transcript:OIW12828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMTMVESIVLLFVLLMLLLLIILIFFSFKPWRFLFSSSPSRSSTSFKADDLGRPLVVEDINVSCNQNTELPRDYDLEGACYPSEGHFRSPRTHGLVYKQRLPAVSLSSNVLQGNPATSDSLVLDITSDPSEDVGQTLKLSPANFAEIQKHTGANFENARPRAFVQKDISDQRSCLTLEVIDGPSLGLHYSVLSTSPSRLPLTLGRVSPSDLLIKDLEVSGKHALIKWNLDRMKWELVDMGSLNGTLLNSQPINDTNTGSRHWGVPRDLANGDIITLGTTSRIVVHITTQNEQNIPFGVGMASDPMALRRGGKKLPMEDVCYYQWPLPGLDQFGLFGICDGHGGDGAAKSASKLFPEIITTILSNSLTRERVLSLRDASDILRGAFSQAEACMNHYYEGCTATVLLVWADENENIFAQCANVGDSSCVMSVDGKQIKMTEDHKITSNSERLRIAEMGEPLKDGETRLYGINLARMLGDKFLKQQDSRFSSEPYISEVVHIDQARRTFAILASDGLWDVISMKKANQLVLQMKEQHSIDRENSAEKIANFLLSEAKTLRTKDNTSVIFLDFDNSSRFYCKVES >OIW14015 pep chromosome:LupAngTanjil_v1.0:LG04:6518003:6520276:-1 gene:TanjilG_09366 transcript:OIW14015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSGGRRKKGSASNQASVDNSAAPIANGGVELDSSIFLKRAHELKEEGNSRFQNKDYVGALQQYENALKLTPKTHPDRAVFHSNRAACLMQMKPIDYETVIAECTLALQVQPQFVRALLRRARAFEAVGKYETAMLDVQVLLGVEPNHGDALEIARRLRTALGNWQEAQKDIHSRPSPAALGASAVRGAPIAGLGPSLPARPGPKKGSSAAVGSVVSPNYKPEKPQVVLSAESVHENKSQLPKLALKPSNGYANSSNPRNGNQKEQLSHSATVRGQHSEVVIRWRPLKLVYDHDIRLAEMPVKCSFRVLRELVSKRFPSSKSVLIKYKDNDGDLVTITSTDELRLAESSVDRHLLKEPEADKSDSVGMLRLNIVEVSPEQEPPLLGEEEEKPVVSEGVKGDENMSHSASESASEAPDAEVDKIEKDAPKEKPDATEDSKEVEMDDWLFEFAQLFRSHVGIDPDAHIDLHELGMEYCSEALEETVTGEEAQYLFDKAASKFQEVAALAFFNWGNVYMCAARKRIPLDESAGEEVVSERLQIAYVWVKEKYSLAREKYEQALVIKPDFYEGLLAMGQQQFEMAKLHWSFALAKKIDLLGWDSTETIQLFDSAEEKMKAATDMWEKLEEQRAKELQDPNTSKKELLRRSKKHGPSTEGESSTLRQGDISAKEAAEQAAVMRSQIHLFWGNMLFERSQVECKLGMGDWKKNLDAATERFKLAGASEADVSMVLKNHCSNGDEKEGEVKGSKNHNPITLAK >OIW13981 pep chromosome:LupAngTanjil_v1.0:LG04:6223440:6223589:1 gene:TanjilG_09332 transcript:OIW13981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGSSKLRRVSNTIRPYLGGYAGRNPLKGDVIAAMAPSPSDEGTHSPSS >OIW13252 pep chromosome:LupAngTanjil_v1.0:LG04:17077166:17080254:1 gene:TanjilG_14185 transcript:OIW13252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMFDLNVDIIHIDDTDSACAKELVQLQSFPSKISHSRASKSSVVINLAEENYSNNYSPFIFDIMKKGTEAVERNKEDENIAHEKELTMTLFPVNTDRGGRVSDMKKRKNEWLNLSFAEHDGQNEVRTLHQKQCQIKKGRRGPRSQSSQFRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAQAAARAYDKAAIKFRGDDADINFDLSDYEEDMKQMRSLSKEEFVLQLRRQINGISRATSTYRRLFALQKYGEGNAQMSPFLSTRFCHKPPIKCDDEQVLISLKPCTYYNREIIATSSKEVYLSTTVIES >OIW12500 pep chromosome:LupAngTanjil_v1.0:LG04:27150024:27152535:1 gene:TanjilG_04664 transcript:OIW12500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWWLKQFTLPFLAWSLIFNGLSYSEQVPKYTFMKDATSAPPILSYDYIIIGGGTCGCPLAATLSQGARVLVLERGGSPYINPEKIDINNFVNSLSDITPSSFAQQFISRDGVLNARARVLGGGSVLNAGFYSRASSKYIRESGWNETLAKESYKWVEKKVVFEPPMMQWQSAVRNGLIEVGVLPYNGFNLDHMHGTKVGGTIFDKNGKRHTAADLLEYAEPRRISVYLHATVHKILFNYNTEKRRPQAYGVIFKDAFGIMHRAYLNKKSKNEIILSAGAIGSPQLLMLSGIGPASHLHVHGIKVVLDQPLVGQGMADNPMNVVVVPSPIPVEVSLVQTVGITRFGSFIEAASGLSFGHSWSERLQGIFEFVSNQTLQHSMFPTKTKESIADTIGSLTNPTLKGGVILEKIMGPRSTGHLELLTNNPNDNPSVTFNYFKDPADLRMCVEGMKTIIDVINSNAFSKFRYHNMPVQALIDFMLHLPMNSRPKHSSAAYSLEQYCIDTVLTIWHYHGGCQSGKVVDHNFKVIGVEALRVIDGSTFYRSPGTNPQATVMMLGRYMGEKILKKRFFHGKK >OIW13242 pep chromosome:LupAngTanjil_v1.0:LG04:16707788:16712551:1 gene:TanjilG_14175 transcript:OIW13242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLQKWLWLSPFVIVLDLILFGSKGCISSLLVAPSWVMAPGSLGVAGPWLLCLLLAVCGCILWLWVAYAYHYTLAQQGEIEEGGGAVVVATYFVVKPFYHKHLDARSFRSRVFENYDQLCTIFGHFSEPLHRNESFPSDEHVEAVSACPFNYDTIVKDRGKHMRWTSEMDSCLSAVLVQQIRLGNRSKFDYKLKSAAFEAAVLAINEKFQLHLMKEHIKNRLKTWKKQYDILKELLKHSSFEWDQNRKMVMADDSVWNEYIKINPDARVLKGRVIRNFNELCVIIGHIDPPDISLNGNMSLTIDDVLEAEETNRHGTRNAMMKVKYVTWTDEMDHCLTELLVNQVMMGNKLEKNFKTSAYVVALTALNERFGLNLTIENIKNRLKTWKKQYDLVKEMLYLGGFKWDEGQKMVVATDSEWNEYIKKHPDAMHLRGRCIENFNELGLIVANEQTSGNWLENYERPDVNLSPNYEELAETPALMLDHEETSHDNASDEVQGSSEQTGARPSSSHSKQPSKRRRTSDVLLQMMSVMAADIGRIADSLTESNKTVCLEEVVEKVQNIDEFDDDLIIEACEYLCFDDKRACIFLKLDERLRKKWLLKRLRG >OIW13677 pep chromosome:LupAngTanjil_v1.0:LG04:9393522:9395861:1 gene:TanjilG_08019 transcript:OIW13677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNMVMNFLVTEGFSEVAEKFRNESGTDSDMDLATIADRMAVKDAVQRGNIEDAIKKVNDSNLEILDTNPQLFFHLQQQRLIELIRDGKIEEALEFAREELAPRGEENPSFLGELERTVALLAFKDAYKCPIGELLGTSQRLKTASEVNAAILTSQGHEKDPKLPSLLKMLIWSQNQLDEKAAYPRINDLSKGTLEDPVV >OIW13991 pep chromosome:LupAngTanjil_v1.0:LG04:6299934:6303819:1 gene:TanjilG_09342 transcript:OIW13991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCAGSKEKKLSSKISNASSTKKHQNSYHPLQSFTTQNKSSLSSLLDDENKQQQQVPITEPSSENISQVVKEQEPAILGKPFEDIKKYYTIGRELGRGKFGVTHLCTEKSTNYTFACKTVLKMKLESKNDKEDIKREIQILQHLSGQPNVVEFKGAYEDQHSVHLVMELCTGGELFEKIISQGYYSERAAASICREIVNFVHTCHFMGVFHRDLKPENFLLSLKGGSATLKATDFGLSVFIEEGKVYSDMVGSPYYVAPEVLHRSYGKEIDIWSAGVILYILLSGVPPFWGENEKGIFDAILEGEIDYDSQPWPTISNSAKDLVRKMLTRDPKKRITSAKVLAHRWIKKGGEASDKPIDSAVLSRMKQLIAMNKFKKVVLQVMAENLSDEERKGLKAMFANMDTDHSGTITYEELKKGLAQTGSKLSEAEVKQLVEAADIDGNGSIDYIEFISATMHRHKLELDENIYKAFQYFDKDSSGYISRDELEAAMSEHGVGDEATIKEIILEVDTDDDGKINYEEFRAMMRSGMQPGTTTLNIQ >OIW13793 pep chromosome:LupAngTanjil_v1.0:LG04:7068408:7076501:1 gene:TanjilG_31682 transcript:OIW13793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQTLLDDIINRLLEVRGRPGKQVQLSESEIRQLCAASREIFLQQPNLLELEAPIKICGDVHGQYSDLLRLFEYGGLPPEANYLFLGDYVDRGKQSLETICLLLAYKVKYHENFFLLRGNHECASINRIYGFYDECKRRFNVRLWKTFTDCFNCLPVAALVDEKILCMHGGLSPDLVNLDQIRTLQRPTDVPDSGLLCDLLWSDPSKEVQGWGMNDRGVSYTFGADKVAEFLEKQDLDLVCRAHQVVEDGYEFFANRQLVTIFSAPNYCGEFDNAGAMMSVDETLMCSFQILKPANKRAKLNFGSTTTTKPGNSPAGVKSFLG >OIW12771 pep chromosome:LupAngTanjil_v1.0:LG04:25043992:25048049:-1 gene:TanjilG_24704 transcript:OIW12771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSKTVRKVQVVSPVPADIDIANSVEPIHISQIAQHLNLTPNHYDLYGKYKAKVLLSVLDELQGSQDGYYVVVGGITPTPLGEGKSTTTVGLCQALGAYLDKKVDLFLSSTSVDCATYFSDIEFNVGNLKFLSSKYLLIIFTVVTCVRQPSQGPTFGIKGGAAGGGYSQVIPMDEFNLHLTGDIHAITAANNLLAAAIDTRIFHESTQSDKALFNRLCPPNKEGKRSFSDVMFKRLEKLGISKTNPDDLTPEEIGKFARLDIDPASITWRRVMDINDRFLRKITIGQGPDEKGKVRETAFDISVASEIMAVLALTTSLADMRERLGKMVIGNSKSGDPVTADDLGVGGALTVLMKDAINPTLMQTLEGTPVLVHAGPFANIAHGNSSIVADKIALKLVGPGGYVVTEAGFGADIGTEKFMNIKCRYSGLKPQCAIIVATIRALKMHGGGPAVVAGKPLDHAYLTENVALVEAGCVNLARHITNTKAYGVNVVVAINKFSTDTEAELHAVRNASLAAGAYDAVISSHHAHGGRGAVDLGIAVQKACENVAQPLKFLYPLDVGIKEKIEAIAKSYGASGVEYSEQAEKQIEMYTKQGFSGLPICMAKTQYSFSDNAAAKGAPSGFILPIRDVRASIGAGFIYPLVGTMSTMPGLPTRPCFYDIDIDTTTGKVIGLS >OIW12549 pep chromosome:LupAngTanjil_v1.0:LG04:26843726:26850801:1 gene:TanjilG_04713 transcript:OIW12549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKKPTARGHDDNPPAPSQGGGGKSKKKVVIDDDEYAIAPELSEEAKVLEEKHVGKKKGKKGNAKVAKDDDDDDDDYVIVGDDDNDDVPEVVFAGKKKKGKSKNGGGAVGSLFAASAFDLLGEEEGGDDDGGSGEKSEEDEPVVSFTGKKKPSKGSKKSASNLFSAFDAIEDENDGEVDDGRDENDEVDEPVIAFTGKKKSSKGSKKGGPLFSAAGFVENDDEQDGKNEKDDDDDEDIGPTTFSGKKKSSKSSKKAVNSLEDIVSVPESDKIGDEKDEEDVSLVAFSGKKKSSKKKSSSATTKVSDEIGSVSEKVAVAEPEQPSIGISNKSEGVTETSKNKKKKNKKSGRTAQEEDDLDKILAELGEAPPVAKPVSPPPQDDKVQPPPEVGSAADPSGEKEGDEETVETAAAKKKKKKKEKEKEKKAAAAAAVAGSAPEEVQTETIEPKKKDSKTKVADKKVPKHVREMQEALARRQEAEERKRREEEEKLRKEEEERIRKEEEERQAEEARRRKKEREKEKLLKKKQEGKLLTGKQKEEARRLEAMRKQFLNSTGSVTLPAGESAPTSKRPIYKSKKSKPNHQNQNGAAAAAVETAESAETKGNQETTIDMGSEEPEKVEEVESVLAEDKVELSKPIEEDAAAEEEEDDEWDAKSWDDVNLNAKGAFADEEADSEPEPIVKKELKSAGATKKTVSNPVAEEIEDRKLANVVVVEKTKKHDLDSRREPPNSVVLPKAGEENLRSPICCIMGHVDTGKTKLLDCIRGTNVQEGEAGGITQQIGATFFPAENIRERTKELKADAKLKVPGLLVIDTPGHESFTNLRSRGSGLCDIAILVVDIMHGLEPQTIESLNLLKMRNTEFIIALNKVDRLYGWKTCRNSPIVKAMKQQTKDVQNEFNMRLTQIITQFKEQGLNTELYYKNKEMGETFSIVPTSAISGEGIPDMLLLLVNWTQKTMVEKLTYSDEVQCTVLEVKVVEGHGTTIDVVLVNGVLHEGDQIVSPIVTTIRALLTPHPMKELRVKGTYIHHKEIKAAMGIKITAQGLENAIAGTALYVVKPGDDVEKFIEAAEEDMNSVMSRIDRSGEGVCVQASTLGSLEALLEFLKTPEVNIPVSGISIGPVHKKDVMKASVMLEKKREYATILAFDVKVTPEARELADELGVKVFIADIIYHLFDQFKAYIDNIKEEKKREAADEAVFPCVLRTLPNCVFNKKDPIVLGVDILEGILKIGTPICIPSREFIDIGRIASIENNHKPVDFAKKGQKVAIKIVGSNSEEQQKMFGRHFEIDDELVSHISRSSIDVLKANYRDDLSTEEWRLVVKLKAVFKIQ >OIW13108 pep chromosome:LupAngTanjil_v1.0:LG04:20908887:20911035:-1 gene:TanjilG_08141 transcript:OIW13108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMRSLFFSSELQRLRLRCFSSATPRVLKPGDVLRKARYFTEEDVLQYSKVSHDCNPLHTDAATARGVGFEGPLVHGMLVASLFPHIISSHFPGAVYVSQSLNFKFPVYIGDQVISEVQATNLRENKNRYLAKFKTKCFKNGELLVIEGEAMAMLPTLTMEQDHGKEQ >OIW12762 pep chromosome:LupAngTanjil_v1.0:LG04:25100939:25101901:-1 gene:TanjilG_24695 transcript:OIW12762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEPASQPPYWCYHCDKRVSTETLPNLTDVICGDCKNGFVELIPPPTALDNPSLSPSDSDDPYFASHFLHLLHLIAHSARDDDASPPPPPSRSPDNDFLRIELGGLDNDDDDEDEEEDGEEEHEDQIDNVDLPGDDEDLSRRRREVLRLRIRDLASRTRSMRNRILDWPGILTGMEDNSIDFRLQASESDRYVGNPEDYVDAAEFDALLQTLADTDGGGKRGAPPAAKSAVDALPTVEITAEKEAVACAICKDMVGVGGVAKRLPCGHQYHGDCIVPWLGSRNSCPVCRFELPTDDKEYEQQRKNKRVMNISSDGASGSG >OIW14270 pep chromosome:LupAngTanjil_v1.0:LG04:1278718:1279434:-1 gene:TanjilG_21410 transcript:OIW14270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRKHKLTASTFASAIGFWRQRRVQLWLEKIGAIEPFSGNLATCWSNIKEEEALERYKLITGNTVLFPEFQVYGEDPEDDWLAASPDGIVDSLVYELPSRGVLEIKCPYFNGDMSRAYPWSRIPIHYIPQAQGLMEILGRDWMDFYVWTPHGSSLFRLDRDAEYWYAMKMALSDFWLNHVQPARELYSSNVIKNPLYELRSLRPAPRHELCRHIVHESKRIVDSSKLLIREINGKLHN >OIW13381 pep chromosome:LupAngTanjil_v1.0:LG04:13696068:13696379:1 gene:TanjilG_16490 transcript:OIW13381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDNNVNPPRFSRLRRGLKTTTPNLNRVVHDGSASLRWVTAVPNRSDDPGSLMVELDPIKAYRRPTPCH >OIW13546 pep chromosome:LupAngTanjil_v1.0:LG04:10437158:10438378:-1 gene:TanjilG_29287 transcript:OIW13546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRGLGRFRKELGLDKKRRVIALLKRFPAVFEIMEEGVFSLKFKLTPEAERLYFEELRIRNEMEDLVVTKLRKLLMMSMEKRILLGKIAHLMTDFGLPQEFRDTICHRYPQYFKVVATDRGPALELTHWDPELAVSAAELAAEENRIREVEEQNLIIDRVPKFNRVKLPKGLHLSKGEMRKITQFRDIPYISPYSDFSALRSGTREKEKHACGVVHEILSLTLEKRMLIDHFTHFREEFRFSQQIRGMFIRHPDMFYVSLKGERDSVFLREAYRDSQLVDKDRLLLVKEKLRALVNVPRFSKGPSRKRVGDGMEDNDRREDEVDAEEGDEEEWSDTDNLIDGVFDDNDDDDWSDEDDDTPPDFDEDVGTLEIGRRKLIKQGEETTQNDEKGRVPVFPDGRPREQW >OIW13790 pep chromosome:LupAngTanjil_v1.0:LG04:7016790:7017635:-1 gene:TanjilG_31679 transcript:OIW13790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHRWWTDSVGLGVVENSTNSPPMKKPDLGFSMNNNNTGLNHGGVGVREEEEEEKENSDEPKEGAIEVATTRRPRGRPAGSKNKPKPPIFVTRESPNVLRSHVMEIANGADIADSVIQFARRRQRGVCILSGSGNVINVTLRQPTAPGAVVALQGRFEILSLTGSFLPGPSPPGTIGLTIYLAGGQGQVVGGLVVGPMVAAGPVIIMAATFSNATYERLPLEDEEGEGSPPGGSIGEGGPSLIPVYNNNVAPSNLASFTNGQQHLNREVDYSTWAHVRPPY >OIW12805 pep chromosome:LupAngTanjil_v1.0:LG04:24733944:24739660:1 gene:TanjilG_24738 transcript:OIW12805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGGASKREHNFRAMKKERLNENVEEENDSTNHEHEQDPACRRIIRSQFLKLKSLINEKRDDLLNTDSDKFESILNEFKKLHDQVQKPREQVADAEALLDLTRTLVGSVKSLLNEGISPAQFVGCLLKDYGQEGTENSIDWQKLGMSVSPIFMNVHGCCTMLGPMENELKQRKVGVQRKQTKPTAEKARPEELDESNEVEKADTDKNMITMFNVMKKNTKGVPLESLILNRTSFAQTVENLFTLSFLVKEGRADIRVDENHSHYVEPRNAPKTQETYTHFVFRYDYQDWKLMKDMVPEGKELMPHRGQFSTMVDSQPEMADDNSQPALSVTPIRKLSRNRGRIVQEEGVVEESPECDEENVSRAAAIRRKMSEYTLESFTVENKTHDGVKLNTRLFKPGRESECKDENFAIVMVHPYSILGGSQGLLKGIASYLASNGYFAVTFDMRGVGRSTGKPSITGFSEVKDVVAVCNWVCHHLSLNRILLVGSSAGAPIAGSAVDQIEQVIGYVSIGYPFGMTASILFGRHHKAILHSSKPKLFIMGTQDGFTSVKQLKNKLKSASGRVETHLIDGVGHFQMEGPDYDAYMVDLIVKFIASL >OIW13410 pep chromosome:LupAngTanjil_v1.0:LG04:12588875:12591842:1 gene:TanjilG_19762 transcript:OIW13410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQSELDSERFHFTEIDDVERGSLVRGIRRELSFSRWCDDDDDGRVNFDQQLGNEDASLEEDSEFELPFPQKSELQSRPLDRERLFHLKFQQRTMQMNGTGTMDVDSINRRGNGSQKYVPFDVENKSEMGTTGDPNVYVGGDGSLGKGSQNPIFVADILKTLFLVLMWYTFSLFLTLFNKSLLGDHMGKFPAPFLMNTVHFVMQAVLSKLITWFWSHKFDTNVVMSWKDYFLRVVPTALGTAMDVNLSNASLVFISVTFATMCKSAAPIFLLLFAFAFR >OIW13856 pep chromosome:LupAngTanjil_v1.0:LG04:7849818:7854359:1 gene:TanjilG_31745 transcript:OIW13856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEKFSAALRIGDLNDYIAPSQACIVSLKGSKTITTKSDNKPQVSIAKKQVQSEPVKISLKDCLACSGCVTSAETVMLEKQSLDEFLSNVNKGKAVIVSLSPQSRASLAVHFDISPLQVFKKLTRFFKSLGVKAIFDTSCSRDLTLVESCMEFITRYRQNQLVDDERSKSSLPMIASACPGWVCYAEKQLGSFVLPNISKVKSPQQTSGTIIKHYLCQDMGVRPEEVYHVTVMPCYDKKLEAARDDFVFQLGSHDDDHGSDANMISEVDSVLTTGEVLELIQLKDVDFKSLEEDPLDRLLTNINEEGYLYGVRGSSGGYAETIFRYAAKTLFGRQIDGPLNFRNIRNSDFQEVTLEATLSMQVEEKTVLRFALCYGFRNLQNVVRKLKTGKCDYHFLEIMACPSGCLNGGGQIKPKTGQSPKELSQLLETVYMENVSEAEPFNNPTVRDLYDKWLEQPGSEKAQRFMHTQYHPVEKSITSQLQNW >OIW12652 pep chromosome:LupAngTanjil_v1.0:LG04:25929771:25933169:1 gene:TanjilG_24585 transcript:OIW12652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFLSRSWSASSLEVCKVLQPLQPSSSFSKPSNSIPEETTTNSDKISTMSGNQFSFVSSATSQLVLERIMSQSTREEVSPLTSGRLSHSSEPLNGGGSLTGTDSPSISPSDEFDDVVKFLKANNSIHSLFNGGRAMSSGIGSATPCSGPKTVGRWLKERREKKKEENRTHNAQLHAAISVAAVASAVAAITAATAAASATSKDEKMAKTDMAVASAATLVAAQCVEAAESMGAEREHLASVVSSAVNVCSHDDIITLTAAAATALRGASTLKARASKEVWNISTVTPIERGIGMKLCGKGNNNNSSTSDSGEIANGENFLGACSQELLARGSELLKRTRKGDLHWKIVSVYIHRTGQVMLKMKSRHVAGTFTKKKKNVVLDVCTNLAAWPGRHLFDDGEKRKYFGLKTESRGIVEFECRNQREYDIWTQGVSRLLSIVVAQKQNRHAI >OIW12995 pep chromosome:LupAngTanjil_v1.0:LG04:22627691:22627885:1 gene:TanjilG_15444 transcript:OIW12995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGPRIAHATLKGPSVVKELLIGITLGLAAGGIWKMHHWNEQRKVRTFYDLLEKGEISVIAEEE >OIW13497 pep chromosome:LupAngTanjil_v1.0:LG04:10040876:10044580:1 gene:TanjilG_29238 transcript:OIW13497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHLRNIQAESGFGDDDLNTELWKLCAGPLVNVPHAEERVYYFPQGHMEQLQASTTQELNQQIPHFNLPSKILCRVMHIQLLAEQDTDEVYARITLLPESDQNEPTSPDPYPPETQKQTFHSFSKILTASDTSTHGGFSVLRRHATECLPQLDTAQQTPTQELAAKDLHGFEWKFKHILRGQPRRHLLTTGWSSFVTSKRLVAGDAFVFLRGENGELRVGVRHLALQQSPMPSSVISSQSMHLGVLATASHAVMTGTMFVVYYKPRTSQFIIGLNKYLEAVNNKFSVGNRFKMRFEVEDSPERRFSGTIVGVGDVSPAWSDSQWRSLKVQWDEPATIPRPERISVWELEPFVTSAVTVTQQVVKSKRSRPADAQSSEVAPSSHASTLWYHWPSLSQLGGVCEVQSNESHGVWSLRQKEINDNPNDTNYASSRVRFEGIWPSSPHLNVPSNYFQDLRNNKSVPMTQSSNIGCSPPSSRPNDGSMHDQVVNRKKMENRTDIWVFGVNLNSNLANVAVAPKEFECPATVTSGASRNERQNTQASVPSSRTRTKVRMQGVAVGRAVDLAMLNGYDDLIVELEKLFDIKGELQSQNKWVITYTDDENDTMQVGDDRWLEFCTMVKRIFIISKEDVKKIKSKDSSASSEIEETLLSIDFTE >OIW13976 pep chromosome:LupAngTanjil_v1.0:LG04:6192748:6193188:1 gene:TanjilG_09327 transcript:OIW13976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVALELEDDLFFANLSKEIALLIMDEDEDPLVSCPQHSFQEFSRAIHPPPQFAFIYEQNLRRESKGTGVFIPQVTQPRRKHRKERSSSYAKYQKQSQDTRMVSQVSKMNSFKPRN >OIW14079 pep chromosome:LupAngTanjil_v1.0:LG04:4968918:4970552:-1 gene:TanjilG_11424 transcript:OIW14079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEIVSNRVNTTSKISNKKQTSNLLLGRFEIGKLLGQGNFAKVYYATNIKTGEEVAIKVIDKEKILKGGLVAHIKREISIMHRVRHPNIVQLFEVMATKSKIYFVMEYVRGGELFNKVSKGRLKEEVARKYFQQLISAVEFCHARGVYHRDIKPENLLVDENGNLKVSDFGLSAVSDQIRQDELFHTFCGTPAYVAPEVLSRRGYDGAKVDIWSCGVVLFVLMAGYLPFNDKNIMAMYRRIYRGDFRCPRWFSPHLSKFLALLLVTNPEARIGIPEIMGNKWFKKGFKQIKFYVEDDRLCNVDDSLLDNEDDVASVVSDNSVSESDSEIESKRRNGPLPRPASLNAFDIISFSKGFDLSGLFEEKGDVVRFVTSVSVSKIMSKLEEIAHLFSFSVRKKDYRVSLEGTRESVKGPLTIAVEIFELTPLLVVVEVKKKGGDIAEYEKFCTTELKPGLQNLMVEEYATSSYSAAPTQPHPLLRVRTVPAHLSSEIGSPHFIPSDTESPHYIPSDNESPHYMPSDSESPHYMPSDNESPHYMPSDSEP >OIW13118 pep chromosome:LupAngTanjil_v1.0:LG04:20557806:20560344:-1 gene:TanjilG_08151 transcript:OIW13118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMAVKLVSTLCLALVFAASAFSAPQPRVPEAYLQNGNFEEQPNPKFLQKTKLVGKYSLPKWEINGLVEYVSGGPQSGGMFYPVSHGIHAVRLGNDASISQTIKVKPGQLYALILGASRTCAQDEVLRVSVPPQSGDVPLQTLYSLNGDVIAWGFKATSNVAKVTFHNPGVQEDPTCGPLLDAIAIREFYPPRPTRVNLVKNPSFEEGPFPIFNSTNGVLLPPQQQDLFSPLPGWIIESLKAVKFIDSKHFNVPFGLGAVELVAGRESAIAQIIRTVTNKVYSITFSVGDAKNGCHGSMLVEAFAAKDSFKVPFKSEGKGTFRTVSFKFKAIAPRTRLTFYSSYYHTRIDDYGSLCGPVLDRVIVFPVA >OIW13116 pep chromosome:LupAngTanjil_v1.0:LG04:20641971:20645683:-1 gene:TanjilG_08149 transcript:OIW13116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLIAMVHLGASVFNYGDALDKSLMFFEAQRSGKLPVQQRVKWRGDSGLNDGLQQGVNLVGGYYDAGDNVKFGLPMAYTVTMLAWGAIEFRKEITELDQMGHTLWAIRWGTDYFIKAHTQFNVLWGQVGDGASDHYCWERAEDMTTSRTAYKIDEEHPGSDLAGETAAALAAASIAFKPYNSSYSSLLLIHAKQLFTFADKFRGLYDEYVFGAEKFYSSSGYSDELLWAAAWLFHATDDEYYLSYVVDNAVYMGGTGWAVKEFSWDNKYAGVQILLSKVLLEGKAGPYASTLKQYQAKADYYACACLQKNDGYNVRKTPGGLVYVREWNNLQYVSSAAFLSAVYSNYLSAARAQLNCPEGQIEPQELINFAKSQVDYILGKNPKEMSYLIGYGSKYPLHVHHRGASIASIFSLHSEVGCVQGFETWYSRPEPNPNVLFGGLVGGPDKNDGFSDERSNYEQTEPTTSGSAPLIGIFAKLQSLYGNTGSYQYHKESPVSKPKITSTNLHQTPTHKTLGGSPVEFLHSITSSWTISKSTYYRHKVVIKNTSQKPITDLKLVIDNLSGTLWGLIPTKEKNTYELPQWLKVLEPGTECTFVYVQGGPQAKISIQSFH >OIW13910 pep chromosome:LupAngTanjil_v1.0:LG04:8236124:8237673:1 gene:TanjilG_31799 transcript:OIW13910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKANNSRLRNRHVICMSVQKASASKVAVSPLGLEDASEPPLNLHKPKEPYTATIVSVERLVGPKAPGETCHIVIDHDGNVPYWEGQSYGVIPPGENPKKPGAPHNVRLYSIASTRYGDFFDGKTASLCVRRAVYYDPETGKEDPSKNGICSNFLCNSKPGDKIQVTGPSGKIMLLPEKDPNATHIMIATGTGVAPYRGYLRRMFMESVPSYKFGGLAWLFLGVANTDSLLYDDEFTKYLKDYPDNFRYDIALSREQKNKKGGKMYVQDKIEEYSDEIFKLLDNGAHIYFCGLKGMMPGIQDTLKRVAEERGESWEQKLSQLKKNKQWHVEVY >OIW13267 pep chromosome:LupAngTanjil_v1.0:LG04:16299936:16314312:-1 gene:TanjilG_25746 transcript:OIW13267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMRDLVLGSTSCPDPSASSSSSNNPLGALANALIGSSSKTQERLKEIPTSTATGPALQFYSQPSNELPGSELDKPFFDANSQGPEFLNRFRSAGGVGGELEGAWNEIQHDGRVSQHPSSQLDRLYDRGPGPQLHQPPLDGTPQRVLSSFLHSFLDSGRGGVPFHPAPVPLLGLSEGDKQCIRDRSSIMARHLFADKSEEFIDAQVNALLSSLDIDSNIRGKGHMPERFRELNDYWNESQGNLRLGAPAADGWITEYSQHRQQYDNPDSWANSFEQQHGANGWVSEFQHSQLSSVDQMRGMNMSNLAAMEQTRMLANTLGGDPKFQNSKFLQFVSKMSRGELIIDDNQVKENALPASGDWAAEYQQQYNHGHSWAGEFLNDKVSRGPDQWVNEFTTEGQQLEPVDDQWVNDFSKLHVDDWADEFGQQMGEGVFGEGSSEHWANAYDEYLNEQVASRKLSDGSRGVYVFSDLNPYVGHPNPLKEGQDLFRKGLLSEAVLALEAEVMKNPENAEGWRLLGIAHAENDDDQQAIAAMMRAQEADPTNLEVLLALGVSHTNELEQASALKYLYGWLHHHQKYGTLTPPEMPDSLYYADVSRLFKEAAQLSPDDADVHIVLGVLYNLSREYDKAIASFEQALKLKPQDYSLWNKLGATQANSVQSADAIMAYQQALDLKPNYVRAWANMGISYANQGMYEESIRYYVRALAMNPKAENAWQYLRISLSCASRNDLLDACDSRNVDLLQKEFPL >OIW13764 pep chromosome:LupAngTanjil_v1.0:LG04:8504401:8505704:1 gene:TanjilG_17943 transcript:OIW13764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLTAFIFRELSHCVRYKKLHNAYDDAKKIAAEKSGVCPVFLFFSVNASGQFCGVAEMSGPVDYNKDMNFWQQDKWSGSFPVKWHFIKDIQNSNFRHIILENNEHKPVTNSRDTQEIMYQKGLEMLKIFKNHTLKTSLLDDFMYYENRQKIMQDEKAKFMIKSFESPFIPPLEAPRKLNFVVNTPGVNDDKNSKPKEDVGSLKQTSTSSFEQIVNTSNVPSIKSVDDKVEKVEVDKEDISSILKIGSVTITPKLVGKKQSLGSSNKEPTDVVTVGSMQVKVKGFAESSGILKVGSIPLDTRSLQPRKGDGAIKNGSQR >OIW13591 pep chromosome:LupAngTanjil_v1.0:LG04:9849374:9854277:1 gene:TanjilG_07933 transcript:OIW13591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKKVKDALTNGAGCRVYGVLDVQRVAGNFHISVHGLNIYVAQMIFGGSNHVNVSHVIHDLSFGPIYPGLRNPLDETTRTLCDTSGTFKCYIKNQVYLRIIINLLFNGFILRHHDVFWVVSCYFVKTKLSLNACSVTNIVITSANTNYNHWKE >OIW12550 pep chromosome:LupAngTanjil_v1.0:LG04:26840411:26841679:1 gene:TanjilG_04714 transcript:OIW12550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQLITKSVHQYLHYVPQKRLLQCRTLSLWSMKKDPDLESALSRNRRWIVNNQIKNIILRYPNHEIPLNTLQKKFRTLDLQGNAHNWVSKYPCCFEVHLRGDERHCCLTKRMMSLVAEEESLKDSLEPVFLRRLVKLLMLSLHKRLNVLKINELKRSLGFPDDYLIRIVAKYPDLFRIVNHSGRRSSMEVELLHWDPDFAVSAVEAKAREFGTQPCFSCSLPSSWVKSWERFQEFDSLPYISPYSDPRGLVEGSREMEKRNVGLVHELLSLTLWKKVSIVKLGHFSKEFVLPERLNVLLLKNPGIFYVSNKYQIYTVLLREAYVGSQLVDKDPMVVVKEKFGELMQEGLHEYNQRRRFVNLDKRRKKGIPLARVDEVKDRRRRRSCQNSDFHDDHGDNKLRGLLDPEERKRFYKVLFDDDAP >OIW13391 pep chromosome:LupAngTanjil_v1.0:LG04:13472440:13473057:1 gene:TanjilG_16500 transcript:OIW13391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDKYNVVRQHSGIWRSLRDGDFEEEEVWSVIKDIPDYKSKDKVFSPLSVPRTLPTAARMIPRTSNNNNSSAGSSHENKVVQQSAPVNIPDWSKIYRNKKQNNTSNNVSRFDHDYDFYHSFGDEEDADADSDGDGVVNYGGEDSDDEEEEFDPKLPPHEFIARRLARSQISSFSVFEGVGRTLKGRDLSKMRNAVLSKTGFLESL >OIW13942 pep chromosome:LupAngTanjil_v1.0:LG04:5849899:5854353:1 gene:TanjilG_09293 transcript:OIW13942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGCFPCFGSSKNEDNNNGVKEVVAKKESFKDASIPQSQYPTRVSSDKSKSRSGSDPKKEIPVVKDGPTAHIAAQTFTFRELAVATKNFRPECLLGEGGFGRVYKGRLESTGQVVAVKQLDRNGLQGNREFLVEVLMLSLLHHPNLVNLIGYCADGDQRLLVYEFMPLGSLEDHLHDLPPDKEPLDWNTRMKIAAGAAKGLEYLHDKANPPVIYRDLKSSNILLDEGYHPKLSDFGLAKLGPVGDKTHVSTRVMGTYGYCAPEYAMTGQLTLKSDVYSFGVVFLELITGRKAIDNTRSHGEHNLVAWARPLFKDRRKFPKMADPLLQGRYPIRGLYQALAVAAMCLQEQAATRPLIGDVVTALTYLASQTYDPNASNLSNRLGSSSTPRSRDGRRNMGDSVDSPDRGRLGSPSSYRNSPDYRKSHSRDPSSGTELGRSVSNGGSGRKWGLDDVERQESHRDSPVNTGRARETPRNRDLDRERAVAEARVWGENWREKKRANAMGSFDATNE >OIW13781 pep chromosome:LupAngTanjil_v1.0:LG04:6914148:6918916:-1 gene:TanjilG_31670 transcript:OIW13781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSPRNQCRPRGSEALPVGIVDNTSSFEMKPLWELPNRKRRHTIDIKANATNLFAMAVGIKQKDLVNNMVKKFVESNFMVMLFHYDGIVDEWKDFEWNDRVIHVSAINQGKWWFAKRFLHPDIVAEYDYIFLWDEDLGVENFHPDKYVSIIKQEDLEISQPALDPKKSEVHHQITARGRRSTVHRRTYKPANGGKGCDKSSTAPPCTGWIEMMAPVFSRPAWRCVWYMIQSDLIHAWGLDMQLGYCAQAIEYPRVVIYSLDCGQGDRTKKVGVVDSEYIVHYNRPTLGGIDKTKVTSNEKDHRVDVRRLSYRELDVFRKRWSKAVEDDKCWVDPYQ >OIW14192 pep chromosome:LupAngTanjil_v1.0:LG04:2825088:2828361:1 gene:TanjilG_21332 transcript:OIW14192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHNKNHQSDSDPNDQTNKRRRVAFSAVDFGVEAKDCIKIFLVSSKEEFHAPESFLLDPVDLNSFFDDEGKIYGYEGLKIAIWVSSVSFHAYADITFQSSSDRGKGVTDLKSALQTIFAETLVESKDEFLQTFSSDKDFVRTTISSGEVLKHKFFNGHTDDSNNRLANSATSDIEAVRMVVGNMATGHLYSRLIPLVLLLVDGSSPIDVIDPCWELYLIIQKETDLQGDIQYRLIGFTAVYRFYHYPDSSRLRLSQILVLPPYQHKGYGRYILEVLNEVAISENVFDLTVEEPLDHFQHVRACVDTSRLLRFDPIQHSVEVAVSLLKQGKLSKKTNNPRLTPPPSAVNDVRKILKINKKQFLQCWEVLIYLGLNPSDKHMDDFVSIISNRVKYDILGKDSGNSGKQVIEVPSDFDPETSFVMFRSEANEASTVLMDDNQRNQEEQLQKLVQERVKEIQLIAEKVTRHLKTLETAVN >OIW12816 pep chromosome:LupAngTanjil_v1.0:LG04:24636057:24639216:-1 gene:TanjilG_24749 transcript:OIW12816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLDADDIFRDDDDDLHNQFSLREKKNIQDLNGVFVFNVVEREFLDRPNARLIKEFDSIEETFSKNIGSKQGIVSATRENSLYNAIWVAQALLRKGSAKTVDKRMLLFTNEDDPFGSMNGAIKSDMTRTTLQRAKDARDLGISIELLPLSCPDEVFNVSPFYADLIGLEGDDLVDFMPSAGNKYVILSTMFSGLFLVFVRSNHMA >OIW12698 pep chromosome:LupAngTanjil_v1.0:LG04:25613254:25616979:1 gene:TanjilG_24631 transcript:OIW12698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYAMSRLEIESNLCEDGDAMDEDSSSQKTKRPLKHVDNEIVQITKLKSTPHQQLGQVGPGRPEFPVFPVKMLGGRESNYSGREGFLRQIAVISGTDICLHIRIYDVDNGWKIQKNILAKSLRWTITDTSLSRDQHHLVYASMSPIVHIVNIGSSEMESLANVTEIHDGLDFSSDDDGGYSFGIFCVKFSTDGRELVAGSSGDSIYVYDLEANKLSLRVLAHMSDVNTGCFADETSHLIYSGSDDSFCKAWGRRCLIDKGKPAGVLMGHLEGITFIDTRGDGHYFISNGKDQTIKLWDIRKINPGYRSYEWDYRWMDYPPQAKYLNHPLDQSVSTYRGHSVLRTLIRCYFSPAFSTGQKYIYTGSHNACVYVYDLVSGDQVATLKHHKSPVRDCSWHPSQIILVSSSWDEDIVKWGFTGSDAPTS >OIW12851 pep chromosome:LupAngTanjil_v1.0:LG04:24352885:24354718:1 gene:TanjilG_24784 transcript:OIW12851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMLLDHSAAASLLYGGDSDEIFSDHLSPPLDEATITSLIETETDHMPEKDYLHRCRQRLVNMTARLDAVNWILKVHAYYQFRPVTAFLCINYLDRFLSRTSLPRENGWVFQLVSVACLSVAAKMEELEVPLLMDLQLFEPRFIFQPKTIQRMELWVMSNLNWRLHSVTPFDYLHYFITNLPSSSSSSSETQVQVLNRLFFTASDLIISTTRVIEFLGFAPSTIAAAAVICSTAIVLGDAPLHLSFHHRLNKEMVKCCQKLMEEHVVDTCPHKDNRADVASPVGVLDAPICSSCDMSSDINNHVSAIDQFEETESLIKRLQSYAFDV >OIW13728 pep chromosome:LupAngTanjil_v1.0:LG04:8743264:8745701:-1 gene:TanjilG_17907 transcript:OIW13728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVALLLLLALSNAVRIDADGGQCGSNPTLNPRPHSVSILEFGAVGDGKTLNTLAFQNAIFYLKSFADKGGAQLYVPPGKWLTGSFNLTSHLTLFLEKGAVIIGSQDPSHWDVIEPLPSYGRGLEVPGGRYQSLINGYRLQDVVITGNNGTIDGVGSIWWELFTSHSLNYSRPHLVEIVASEFVVVSNLTFLNAPAYSIHPVYCSHVHIQNVSISSPPKSPYTVGIVPDSSDSVCIEDCIVSMGYDAIALKSGWDEYGIAYGRPTENVHIRKVYLEAFSGSTLAFGSDMSGGISNVLVEHVRILDSNSGIEFRTIKGRGGYMKDIVLSDIQMENVYTAIAATGHCGSHPDDKFDPNALPILDHITLKDVTGTNITIAGSFVGIKESPFTNICLSNITLSTNSASTITWECSNVSGFSDSVSPKPCRDLDSPSNSSSSCFSYLLSITEGQTAVL >OIW13123 pep chromosome:LupAngTanjil_v1.0:LG04:19956934:19960780:-1 gene:TanjilG_32104 transcript:OIW13123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQEEEHEVYGAEIPDEVDMDEQQQQQQHNPIDNPNKELGDMKKRLKQIEDEAAALRELQAKVEKEMGAAQEDPVGSSATQAEKEEVDARSIYVGNVDYACTPEEVQQHFQSCGTVNRVTILTDKFGQPKGFAYVEFVEVDAVQNAILLNESELHGRQLKVSAKRTNVPGMKQYYGRRPMGFRGRRPFMPPPFYPPAGFG >OIW13429 pep chromosome:LupAngTanjil_v1.0:LG04:11351360:11351533:-1 gene:TanjilG_05319 transcript:OIW13429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSKSILAAQESYESKQDNMCDALDKLFCFARCHVARIMNDESFLHLLYKFLSSTC >OIW12727 pep chromosome:LupAngTanjil_v1.0:LG04:25354492:25357356:1 gene:TanjilG_24660 transcript:OIW12727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCGREGAVRQYIRSKVPRLRWTHELHRCFVHAIERLGGHHKATPKLVLQLMDVKGLTISHVKSHLQMYRGMRSDLGRQGRTSTQHRNQSFEDYDGCVDEVNDVGVLYPVCSKPIAKESDSLFSSYSNLSPKRARIETRSCCISKSLQCSQRICDAVPNTYQSFYDIGEKKTEPKGIKESGYCVVGGSTWLTQQQQQQQQQPHSHTLLPDFGNPTSLESPNQESDLLQVTKLNESKTSSQPMKIFMNTERAHGKVEDVGRCELSLSLSLANPSPQGSNGSSASEISEAISSWSGFTNYKDCYSFSTVKDRINLDLSLALCGN >OIW13021 pep chromosome:LupAngTanjil_v1.0:LG04:22285848:22292518:1 gene:TanjilG_15470 transcript:OIW13021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSKDLTRFLNGLSLVANEFAKRSLPATTSDFETLIKKTVVAATDLSGITKGKVHRFSNPTTRSPSSNTTPHSDSVVFFDNTSSSQSESTPPPPTTTTIATNIENVAGVSSAEASVAVSDEAVAVAEKESETRSSIETVNVNGNEGGKSDDVVPAPAHVPELRKRRSRERKVPSTPFSRALGFAGLGAGLAWGTLQESAKRLVYGTPSQGNQPVFSPFLSEQNAERLALALCRMRGAALKIGQMLSIQDESLVPAPILAALEIVRQGADVMPKSQLNQVLNAELGPDWSSKLISFDYEPLAAASIGQVHRAVIKDGMHVAMKIQYPGVADSIESDIENVKLLLNYTNLIPEGLYLDRAIKVAKEELSRECDYKLEAASQKRFRDLLAGMEGFYVPIVVNDISSQRVLTTELIHGITIDKVALLDQETRNYIGKKLLELTLLELFVFRFMQTDPNWGNFLYDEATKTINLIDFGAARDFPKRFVDDYLRMVLACANSDSDGVIEMSRRLGFLTGMESDVMLDAHVQAGFIVGLPFSRPGGFDFKANNITQSISHLGSTMLKHRLTPPPDEAYSLHRKLSGAFLACIKIGAVVPCRDLLLEVYKHHKFGEEENEILSGDSV >OIW14138 pep chromosome:LupAngTanjil_v1.0:LG04:3758531:3762884:-1 gene:TanjilG_21278 transcript:OIW14138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVSLPPGFRFHPTDEELVAYYLKRKINGHKIDLEIIPEVDLYKCEPWDLPGKSLLPGKDLEWYFFSPRDRKYPNGSRTNRATKSGYWKATGKDRKVNSQTRAVGMKKTLVYYRGRAPHGSRTGWVMHEYRLDERECEIANGLQDAYALCRIFKKSLVVTPKVGEHYVNVTSHASHLTSDPSSSIELYSEGREEVLENSNYIKPWDYCSTQNMGGTSVNINGGTRDNGKWSPFFSEDPLLNFPTSSFPNYGALPCPPSKVNIALECARMQHRFSMPPLEMEDFPSMSENRNGIDILQEILSVSQAQELINQSNNSQALSCNQNYYGTHDQGDFSFMAGTNDNHINDVNSMRFVDQTWEDQKTRSVEIGDFDDEFKGERMIENLRWVGMSSKSFEKSFMEEQKVVPVQHISSIQINSEENNLQESEQHNCSKELSDTDINDFSLGFINDNDPNNFIDDSNIDYSNSTGFEVVEETKMFVSTGPVAETFFHQIVPSQTVKVQLNPIMTNNHYIENNAETKMKLKNQWIFFRNFNAMANAIVFIFALLLMHCVYLKEQVVENWNLDPKVEVVENWKEQENVWFVVIKSEKGFSVVLKKIGIFLTISFALVTMWANLIIVNT >OIW12520 pep chromosome:LupAngTanjil_v1.0:LG04:27028645:27029916:1 gene:TanjilG_04684 transcript:OIW12520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKQPSTGLFVGLNKGHVVTKRELPPRPRDRKGKTSKRVHFVKNLIREVAGFAPYEKRITELLKVGKDKRALKVAKRKLGTHKRAKRKREEMSSVLRKIRSGGGASDKKK >OIW13031 pep chromosome:LupAngTanjil_v1.0:LG04:22157166:22160831:1 gene:TanjilG_15480 transcript:OIW13031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLYELYRLSREKLDKEVSNTCAVEQSQSSLPETDFFELVWENGQISTQGQSRKSPSSGRSLPSHCVPSHSPKGRDKDEGGYAMNTRMGKGKFGDFDSGLNEIPMSVPSHEVDLSQDGDMMHWLDYTMDGSLQHDYGSDFLHELSDVTENDLPKSNNFALVDRRSNGTNQVFRDSCKSSASYVAGLEQGNVSKGSSTGDVDISGPKASINQLYLPSSHQCQTPFASVRSKVSEIIENNTNNATQNVPRGEITQIASSSSDFCSLKMQKQDPVLPSNGSTVMNFSHFARPAGISRTNLQNVGLKSDLSSGRLDSQGNKNKGAATTSGYRPELLRVDSSGDCSKEPTMHCQQVVEQSKADLKRLEPKSREQEAAVVSKQLDPVCKESSSKVDETSIQVLAENGSKKQIAVEKSKEPAVAPPSICSGNGADRVLEEPNQNLKRKSRDTEGSESHSEDAEEESVGLKKAAAGRGGTGIKRSRAAEVHNLSERRRRDRINEKMRALQELIPNCNKVDKASMLDEAIEYLKTLQLQVQMMSMGAGLYMHPMMIPAGMQHMHAPHMAPFSPMGVGMQMGMGMGYGMGMPDMNGGSSRFPMIHMPQMQGTHIPAAHVSGPTALHGMARSNPQGFGLPCQGQGLPLPISRTPVFPFSVGPFMNSPTPVQHAGGTVAGLAETGSSASCLKDPMPNVDQQVKQCNVAASQMMAKPNQCEAATIAFEQSALVQNSGHASDINDSGAVNAKNTVS >OIW12994 pep chromosome:LupAngTanjil_v1.0:LG04:22628992:22629390:1 gene:TanjilG_15443 transcript:OIW12994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATIAGMSLSSSRVLVKGPNSSPQKVQAMKFPLLFKLNQISLATHGSDRKVNLIAVRAAPDSISEKVEESIKNAEEACSGDPVSGECVAAWDEVEELSAAASHARDKKKNTDPLDAYCKDNPETDECRTYDN >OIW13086 pep chromosome:LupAngTanjil_v1.0:LG04:21339462:21345502:-1 gene:TanjilG_08119 transcript:OIW13086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSKGFEWSDALEFVDTPKEGIAVRALCELKEGDVVAKMPKEACLTIKTSGACGIIEDAGLEGLLGLAFAIMYERSLGGDSPWAGYLQLLTYQECVPLVWTLNEVNELLRGTELHQEVQEDKALMYEDWKERILPLLNLAPSKLNPVFFGIEQYFAARSLISSRSFEIDDYHGSGMVPLADMFNHKTGAEDVHFTATPSNYESDNDVDGNNSDESITDEEALAQNSSIDATDFDAAHVSDSECSSDIGGDPSMLEMIMIKDVSSGAEVFNTYGLLGNAALLHRYGFTEQDNLYDIVNIDLELVLKWCSSLFSSRHGRARVSLWRRLGYSACGIQNSEYFEISFNGEPQVELPILLYIMLLSDKAYHELDLSVSTAGKRHESSKTTLLYDKIFPNKASNMSKKFLLTNKVCDALISLADMRESLYGSESIEDHVEALERCSSEVQEDKALMYEDWKERILPLLNLAPSKLNPVFFGIEQYFAARSLISSRSFEIDDYHGSGMVPLADMFNHKTGAEDVHFTATPSNYESDNDVDGNNSDESITDEEALAQNSSIDATDFDAAHVSDSECSSDIGGDPSMLEMIMIKDVSSGAEVFNTYGLLGNAALLHRYGFTEQDNLYDIVNIDLELVLKWCSSLFSSRHGRARVSLWRRLGYSACGIQNSEYFEISFNGEPQVELPILLYIMLLSDKAYHELDLSVSTAGKRHESSKTTLLYDKIFPNKASNMSKKFLLTNKVCDALISLADMRESLYGSESIEDHVEALERCSSVSAKKVYHSLVLRICERKILQKLRNYASQPLNKVTNHSTERKLRRTTKKR >OIW13896 pep chromosome:LupAngTanjil_v1.0:LG04:8120894:8122012:1 gene:TanjilG_31785 transcript:OIW13896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQNHHQQQHHLLNSTLYNAQVDSTAMVLPGKMAQPFMPFYHSNVCDPNKADSGLTCHNMPLQRKRSRDFTTELISLPPHQKNKLSPQSSLLDQDILFQIQNQHQSEIDHVLAHHAEKVRMELREQKMRQSRMLLGTIQQVTAKKLREKDEEIQRISKLNMALQEKVKNLCIENQLWREMAQTNETTVNYLRSNLEQVLAHVSTDHHVVVAVADDAESSCGSNDAAENGDDTAASVVVGGGRNMCKNCGVRESIVLVLPCRHLSLCTICGSTIHNCPVCDSGMDASVHVNLSH >OIW12686 pep chromosome:LupAngTanjil_v1.0:LG04:25692154:25696848:-1 gene:TanjilG_24619 transcript:OIW12686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHLCSPRLMLGTIINKGCQPLLPSPVPKPEIIRYQAPSFMPNPCEDSRKSENEWFGSNQFVNVHSSAQRPVLIDTQATCPSAERFSFGVIEQCTEHDKIMQFIRSGTAEAGTGGVHMSLLSDLMDLQLSGIDDPRQALASLLYPYSKSYNQKLLLDIFQNSALSSKVSVHPDGQVTFMGAAVEMKNLLSVVAESYLTENSHKGEKRTMLVPHFSRLNIHESEGRSHSSTLEIHSALAVPLRSPGKVKRKPSQKKKKVGMERDLYKKNYLHACESLLSLMIDKKQKRKTALLSLKKSGPELPELLTQFSAGIAGTGLAVLLSVICKVACGRVPFCASKLLNTGLGIGLVWLSRAVNKLRATIVNANKNARKLGIRDEEMILEVDKSIKEVYVRAATLLAIVVLRLA >OIW12922 pep chromosome:LupAngTanjil_v1.0:LG04:23615158:23622145:1 gene:TanjilG_15842 transcript:OIW12922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATHKLALIIENPSNQTEFLLVKQTRPPKFDDAEYDSYVDSDLWDLPSVQLNPLQEQLEPHVAVEVAESHSEHFNLREFDVRSALNEVFGQIGFGTVEGGEWKLLKYVKEAAFGPGLPVNTVFIVGKLVAAVNIDLPDSSQWMSVHSCLNWLVEVKPDGNRVGPLVAVGLVNDSSVSAKWKVPPAINYQEYPPGVVLIPMGSRTAKPFRTTNLVVFAPGNIPNDSEDNTFIASGDALIVDPGCLSEFHEELKKVVTALPRRLVVFVTHHHLDHVDGLSVIQKCNPDATLLAHENTMRRISKDDWSLGYTSVTGDENIYIGGQRLRVIFAPGHTDGHMGLLHRNTNSLIVGDHCVGKGSALLDIRSGGNMTEYFQTTYKFLDLSPHTLIPMHGRINVWPKQMLCGYLKNRRSREANILKAIEGGAKTLFDIVAYVYADVDRSAWIPASSNVRLHVAHLAQQHKLPKDFSLQTFDSSLVALADCIGKL >OIW13882 pep chromosome:LupAngTanjil_v1.0:LG04:7994692:8001558:1 gene:TanjilG_31771 transcript:OIW13882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFMKLGTRPDTFFTEQATRTMVSDLPVDLVIKNNVTTYLLHKSPLNRKCGLLQKLCYDSNDSENVSIELDDLPGGADAFELCAKFCYGFSINISAHNIVSALCAAKFLQMNEFTEKGNFVGKLEAFFSSCILEGWKDSIVTLQTTVKLPEWSENLGITRKCIDSIVEKVITPPSQVRWSYTYTRPGYSRKQCHSVPKDWWTEDVCDLNIDLFRCIIMAIRSTYVLPSQLIGEALHVYTYKMLPGITMVKSSGTLASSQTEESEKNRKILETIVSMIPEDRGSVSVGFLLRLLGISSHLGVSPVTKSELIKRASIQFDEATVSDLLYDQNFYVELVVAVLESFLKLWKRMFSGAVDNRNFLRSITNVGKLIDSYLQVVARDDNMPVSKFVALSETVPAIGRLEHDDLYQAINIYLKVHPDLSKADKKRLCHILDCRRLSAEARAHAVKNDLLPLRTVVQLLYFEQEKGSKVTTTSCKLQKPHELVKKRPATRDSHGKQSSGIDKEELNREGKVLTRRTSLNESREKGTHKTKRSEEKLALELETKMVIRGTKEESNLDPKKENHTKGVGKSCLLLQFTDKRFQPVHDLTIGVEFGARMITIDNKPIKLQIWDTAGQESFRSITRSYYRGAAGALLVYDITRRETFNHLASWLEDARQHANANMTIMLIGNKCDLAHRRAVSTEEGEQFAKEHGLIFMEASAKTAQNVEEAFIKTAATIYKKIQDGVFDVSNESYGIKVGYGGIPGPSGGRDGPAAAGGGCCS >OIW13373 pep chromosome:LupAngTanjil_v1.0:LG04:13817477:13823237:1 gene:TanjilG_16482 transcript:OIW13373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRNIEKMASIDAQLRLLAPKKVSDDDKLVEYDALLLDRFLDILQDLHGEDIRQTVQDCYELSAEYEGKHKPEKLEELGNMLTGLDAGDSIVIAKSFSHMLSLANLAEEVQIAYRRRIKLLKKGDFADENSAITESDFEETFKRLVTELKKSPEEVFDALKNQTVDLVLTAHPTQSVRRSLLQKHGRIRNCLTQLYAKDITPDDRQELDEALQREVQAAFRTDEIRRTPPTPQDEMRAGMSYFHETIWKGVPKFLRRVDTALKNIGINERVPYNAPLIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMAANLYFSQIEDLMFELSMWRCNDELRVRADELHRSSKRNAKHYIEFWKQIPPNEPYRVILGDVRDKLYSTRERSRQLLATGTSDIPEEKTFTDVEKFLEPLELCYQSLCACGDRSIADGSLLDFLRQVSTFGLSMVKLDIRQESDRHTDVMDAITKHLEIGSYREWSEERRQEWLLSELSGKRPLFGPDLPKTEEITDVLETFNVIAELPSDNFGAYIISMATSPSDVLAVELLQRECHVKKPLRVVPLFEKLADLEAAPAAVARLFSIEWYRNRINGKQEVMIGYSDSGKDAGRLSAAWALYKAQEELVKVAKEFGIKLTMFHGRGGTVGRGGGPTHLAILSQPPDTIHGSLRVTVQGEVIEQSFGEEHLCFRTLQRFTAATLEHGMHPPVSPKPEWRVLLDEMAVIATEEYRSIVFQEPRFVEYFRCATPELEYGRMNIGSRPSKRKPSGGIESLRAIPWIFAWTQTRFHLPVWLGFGTAFKHAIEKDPKNLQNLQDMYNQWPFFRVTLDLVEMVFAKGDPGIAALYDKLLVSEELLPFGEHLRATYEETKSNLLKVAGHRDILEGDPYLRQRLRLRDSYITTLNVLQAYTLKRIRDPDYHVKLRPHLSKDYMESTKPAAELVKLNPKSEYAPGLEDTLILTMKGIAAGMQNTG >OIW13177 pep chromosome:LupAngTanjil_v1.0:LG04:18943443:18944138:-1 gene:TanjilG_17533 transcript:OIW13177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLGARTNGTSSPNHVEIPVHANTNNVVEPKPTLGVEESNIDYSQRAQWLRAAVLGANDGLVSVASLMMGVGAVKEDITTMLISGFAGLFAGACSMAIGEFVSVYTQYDIEMARIKRERKGNNNNNINEVNEEAQREKLPNPFQAALASALAFSVGALVPLLAALFIRNHKIRLAIVVVAVSLALVAFGIVGAVLGNTPVTRSCFRVVIGGWIAMAITFGLTKLIGSAGI >OIW13881 pep chromosome:LupAngTanjil_v1.0:LG04:7987094:7988852:1 gene:TanjilG_31770 transcript:OIW13881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGRASSGLDRFKKAQTLEPFSVSSVNSSSRTTSGPHPSSKLVGNASAWPPQSPNSLHQSLQPPQQHASQKAVGVEAAPLLGQNQPATQVGGGQSTWHPPDWAIEPRLGVFYLEVLKDGVVLDRINLDRRRNLFGRQVQTCDFVLDHQSVSRQHAAVIPHKNGSVYVIDLGSAHGTFVANERLTKDSPVELEVGQSLRFAASTRSYVLRKNEAALFPRPSLPTGINFPPPPDPSDEEAVVAYNTLLNRYGINKSDLVSKSSESGSSESGKDKDNHSERAAKRIRKARVAFKDQVGGELVEVVGISDGADVETEPGPVGVKEGSLVGKYESLVQITVIPKGKEQPTVKESDFPHRGVTDKLQEVLKKVKTQPKTGIYDDLYGESVPVKVGSSWAYSPVSTGERAPLAKEDGEGNALSGKPDSKPSNVDGDDDDDLFG >OIW13608 pep chromosome:LupAngTanjil_v1.0:LG04:9758825:9759280:1 gene:TanjilG_07950 transcript:OIW13608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALLSQFSFLSDQALQDKNFDPSTIEDLMKLFEIESYKAWAAAELEQEKELEEAEVEMQEAEEYLDSVMESAMDEFRVFEQEFDMMSKAEMESLVETAESARKMGKLMEKAASIASKRYIEAAMNSATASMKSAWKGVKGLSPPKVHPSS >OIW14178 pep chromosome:LupAngTanjil_v1.0:LG04:3022525:3022794:-1 gene:TanjilG_21318 transcript:OIW14178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVTHAASTCSSSESAPPFYIDEKWKLSKKEGSSRNHSSTTLLMNDTTQKKCAFARKCTRLVKEQRARFYIMRRCVVMLICWSEYSDS >OIW12633 pep chromosome:LupAngTanjil_v1.0:LG04:26110798:26111623:-1 gene:TanjilG_24566 transcript:OIW12633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTISATIPTSSITHASLVQKRPIGVSYSHILGLPSMSTVGRVSCSMEVKPCVEEKRSKMGIGASMIAGTFAATMSSPAMGLVDERLSTEGTGLPFGLSNNLLGWILFGVFGLIWTLYFLYVSGLDEDEDSGLSL >OIW13280 pep chromosome:LupAngTanjil_v1.0:LG04:16011489:16011650:-1 gene:TanjilG_25759 transcript:OIW13280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLMFGTTSNTPLSAFNFQQYYQPTMPSQTDEDDEDDDDKDEEEPQLVRGGSG >OIW12673 pep chromosome:LupAngTanjil_v1.0:LG04:25767466:25768408:-1 gene:TanjilG_24606 transcript:OIW12673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVHDDDGVGFEDGMFWLPSHVLDEACDTKKAISSVKKWSPDQRLVTEAFKVLEEQAHIEGAEQLLVILRNAGHVNTNIYNLLLRTYAKAGKMPLIVAERMKTDNVQLDEETHRLLNLTSKMCVGDASRILS >OIW14135 pep chromosome:LupAngTanjil_v1.0:LG04:3827880:3831340:-1 gene:TanjilG_21275 transcript:OIW14135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFKQQKHVGTKTAVQIRSHAQKFFYKVLHDSSANIANSVESVEIPPPRPKRKPTHPYPRKLVMTPNKETSILEQPMRSTSLKSSDFDQENQTPKSVLSALGSDSSLGLSDSDTPNGSLSPMSSIGGVHKTAFSLSEPKTPFEEPGLNAYSAPDEKPLMKVGLFPEGSVSTKEETAEESSGRTLKLFGMTLMVSDTCRSSSPTTKTYKPTIVHCFSKEDEILELLGHSTPSETSAFSKLRVRVRPETGAKGFVPYKRCIADRENQSSSLTEDKREVQHIRLSL >OIW13364 pep chromosome:LupAngTanjil_v1.0:LG04:13959958:13962699:-1 gene:TanjilG_16473 transcript:OIW13364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCCVSTSSRSTCSSGSNGDTVTPSCLELGFCGPNRASRTFSDHVISLRQLPSLPNRIVNNGKSRSSCIFTQQGRKGVNQDAMIVWEDFMSEDTIFCGVFDGHGPHGHLVARKARDVLPLKLLSSLHSCESKRNRSGKAGFKENIKLDNGESDKDISAQDEPNLIWREAFLKAYHAMDKELRSHRNLDCFCSGSTAVTIVKQGSNLFMGSIGDSRAIMGSKDSNDSMLAIQLTVDLKPDLPREAERIKRCKGRVFALQDEPEVHRVWLPFDDAPGLAMARAFGDFCLKEYGVISIPEFYHQVLTDGDQFIVIASDGVWDVLSNEEVVEIVSSAPTRSSAARIVVASAAREWKLKYPTSKMDDCAVVCLFLDGKMDSESDCDEQCFSSATIQSNHSGNPVESDDVQKSEPSLRRNYTVRTSEENVTDGGLSVDAGDGISSAEEQNWSGLEGVTRVNSLVQLPRFSEERPNALL >OIW13096 pep chromosome:LupAngTanjil_v1.0:LG04:21198202:21202723:1 gene:TanjilG_08129 transcript:OIW13096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKPQNDPILQAETTCGTLLYELQIIWDEVGESEIDRDRMLYELEQECLEVYRRKVDQANRCRAQLRQAIADCEAELAAICSAMGERPVHIRQSDQTAESLKEELIRIRPQLEEMQKKKAERRNQFIEVQEQIQIISTEIYGSRDHTAAIVDETDLSLRKLEELHRQMHALNIEKNARLKKVQELLYTLNSLCSVLGLDFYETANGIHPSLGDREGSKSVSNDTLQQLDVSIHQLREVKLQRMQKLQDLASTMLELWNLMDTPIEEQQVFQNVTCNIAASEDEVTEPNTLSMDLINCNSQRSNVMQVELEVSRLENLKSSKMKELVLKKRTELEEICRKTHFVPEVDNAVEYAVEALESGTVNPASVLEQIELQIVQIKEEAFSRKEILEKVEKWLAACDEESWLEEHERDENRFNAGRGAHLTLQRATRARKAVNKIPAMVDGLSSKTVAWEKQKGIEFTYDGIRLLPMLEEYNILRLEKEQERRRQRDLKKIQGQMIAQQEALYGSKPSPSKPQSVKKGPRMSTGGAASRRVSLGGAMLQTPKPTHSRAVRKTDKLHQIEQLNYLDDGASCLSAARRGLDIAGIPAKKHSFGAGSARDIETPLTRKPFSPISSNVSSNNANMANAKDEVKMQSEKLLQRTISPNNVLFSTPSKTTTVVDEENRTPKAMPIPVPATPLTVSVPMNMVATPAAPSSVSFGGDLVQQIEYSFEERRLAYMLA >OIW13620 pep chromosome:LupAngTanjil_v1.0:LG04:9712225:9714112:-1 gene:TanjilG_07962 transcript:OIW13620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEEPVDQKKYLEDSCKPKCVKPLLDYQACVKRIQGDESGHKHCTGQYFDYWSCVDKCVAPRLLPKLK >OIW13544 pep chromosome:LupAngTanjil_v1.0:LG04:10416121:10423969:1 gene:TanjilG_29285 transcript:OIW13544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRVASVGNTNNNNSNRPRKEKRLTYVLNDSDDTKHCAGINGLAVLKYAVSDGSDYLFTGSRDGRLKRWALSEDVATCSATFESHVDWVNDAVIVGDNTLVSCSSDTTLKTWNALSNGTCTRTLRQHSDYVTCLAAAGKNSNIVASGGLGGEVFIWDLDAALAPVSKFSDTMVDDSSNGMKGSGNLHTVGSSNNISVQTTQTEGYIPISAKGHKESVYALAMNEGGTMLVSGGTEKVVRVWDTRSGSKTLKLKGHTDNIRALLLDSTGRYCLSGSSDSMIRLWDIGQQRCVHSYAVHTDSVWALASTPTFSHVYSGGRDFSLYLTDLQTRESSLLCTGEHPIVQLALHDDSIWVASTDSSVHRWPAEGYNPQKIFQRGNSFLAGNLSFSRARVSMEGSTPVPVYKEPTLTISGTPAIVQHEVLNNKRHVLTKDTSGSVKLWEITKGAAVEDYGKVSFEEKKEELFEMVWLLLYIMNFIFRNTFYLVSIGTWFSVDTRLGSLSVHLDTPQCFYAEMYSAELNIAGKPEDDKVNLGLETLKGILTDWLIKRKQRMGSPAQANGELLSGKDIAARSLAHSRTVVDGNSENDIMVYPPFEFPVASLPSIITEGSEGGQWRKRVADLDGTEDEKDFPGWCLDCLLNNRLPSREATNFMKWEVNLGWETLKGLLAHWLIKRKQRIGSPALANSELLSGKDIAARSLAHSRIDVDGNSENDVMVYPPFEFPVVSIPSIITEGTEGGPWRKRVTDLDGTEDEKDFPGWCLDCLLNNRLPSREATKCSFYLHPCEGSTAQILTQGKLSAPRILRIHKVINYVVEKMVLDKPLDSVVDGSFSPGLAGSQLQVQAVGDGSFRSGFKSWQKLRPSIEILCNNQASYMFFSMLYYDIIYNNFLLDADSCPALQYMLIAF >OIW12671 pep chromosome:LupAngTanjil_v1.0:LG04:25774880:25781972:1 gene:TanjilG_24604 transcript:OIW12671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGRSSFGDRKGVRIAVVGDGGTGKSTLIAAMASESFPDSVPPVLPPTRLPHNLYPDSVPITLIDTPSSLEKQGARNEELKIADAVVVTYACDEPVTFDRLGTYWLIELQRLEVKAPVVVVGCKMDLRDEDQVVSLESLTSYLMQQFREIVTCVECSAATLYQVPEVFYFAQKAVLHPVGPLFDYERHAFTDRCVRALRRIFVLCDNDMDGALNDEELNEFQVKCFNEGLQPTEVARVKALVEQNVPEGVNSLGLTFPGFTYVHNIFLKKGRTETFWAVLRKFGYDNDLKLRDDFLPVPSKQASDQSVELTSEAVEFLNGIFRLLDTDKDRSLRPSEVDKLFNTAPESPWNDAPYKDAAEKTDMGYIPLNGFLSQWALMTLLDPPHSLANLVYIGYSGNPASALRLTRKRSVDRKKQKTERSVFQCYVFGSKNAGKSALLHSLLGRPFSSNYTPTKVEQYAANVVELIGGTKKTLILCEIPEDGVLKLLSNQDCLAACDVAVFVYDSSDEYSWKKSRDLLEKVVRHGELTGYRVPCLLIAAKDDLNPYPRAVIDSVKVTQELGIEAPIHVSMKSGDSSNVYNKIVNAAEHPHLSIPETEIARKRKQNQQLLHHSLIFALVGAVVTVVGLKVSRARSVKKNFSA >OIW12554 pep chromosome:LupAngTanjil_v1.0:LG04:26797784:26800241:1 gene:TanjilG_04718 transcript:OIW12554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLGNPTVLEASSGEAVAVHDGANGGSEEKGIIRDDSSGGGGAGDGDGDESHEKMNGGGNRWPRQETLALLKIRSDMDAVFRDSSLKGPLWEDVSRKLASLGYHRSAKKCKEKFENVYKYHKRTKESRSGKSEGKTYRFFDQLQALEKQFNVSSYSPKPQPTFATTNNNTVSLPTKPSDTNTPISFNSITTVPSTNPTLISPPPPPLPLPTNSTTNITFTTTTMVTNPSNDNNNNNNNNNNVSYSLPYMNLFSTSSSTSSSTASDEDLEEKYRKKRKWKDYFRRLTRQVLAKQEEMQKKFLEAIDKREREHMVQQDAWRIQEMARINREHELLVQERTTAAAEDAAVVKFLQKLSGQQNPTTQPFDQTISAKIISPPLVSQVVQPQPQQQHHQLSLMVMNNNVEIQKTSNGHNSGDPLNSPSRWPKAEVHALIRLRTSLDAKYQENAPKAPFWEDISAGMQRLGYNRSAKRCKEKWENINKYFKKVKESNKKRREDSKTCPYFEELDALYKEKSKTHNPFGGVTSAFNNVNPNEMMEPLMVQPEQQWRPPSHDENNESQKREREEVADEGDSMEDDNVDDDGEEDRDSMEDEEGRGNHYEIATNTLPSVDIVE >OIW14174 pep chromosome:LupAngTanjil_v1.0:LG04:3181508:3181987:1 gene:TanjilG_21314 transcript:OIW14174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSPTVSWSEQKLTIIMLFLLFISFSSQVEAITSSKKLDQPPDTEIKCESCPCGDICGEQSPPPPPPPPPLPQPCAPPPSPPPLPPPPPKLPSCPGNCNPSPRPPPPPRFIYVPVPGNANPAKPYTWIYYYSGAENIAAGFLVLVGLGLLSITMLFAFG >OIW12687 pep chromosome:LupAngTanjil_v1.0:LG04:25687156:25690591:-1 gene:TanjilG_24620 transcript:OIW12687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVPWGGISCCLSAAALYLLGRSSGRDADILKSVSRVNQLKELAQLLDEEILPLVVAISGRVASETPITCEFSGLRGVIVEETAEQHFLKHNDAGSWIQDSALMLSMSKEVPWYLDDGTDRVHVVGARGATGFVLPVGSEVFEESGRSLVRGTLDYLQGLKMLGVKRIERVLPVGTSLTVVGEAAKDDIGSIRIQRPHKGPFYVTPKTIDQLIANLGKWARWYKYASMGLTLFGAYLIAKHAIRYILERRRRCELQKRVLAAAAKRSGQDDEGESADNLSDGAKKDRLMPDLCVICLEQEYNAVFVPCGHMCCCIACSSHLTSCPLCRRRIEQAVKTFRH >OIW14188 pep chromosome:LupAngTanjil_v1.0:LG04:2866808:2872122:1 gene:TanjilG_21328 transcript:OIW14188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISPINVDFVRTPVTLKIVAFFFLSITFFYFGYHWFDGFNQLTFFSDSQSPNPFVTLSPNYNKSFNIPALIDHHPPPVAAAPHPIAPPVAAVTDSIVPPVAAAAVNDSIAPPVAAVNDSAVPPVAAVNNSVVPPVAAAASDSIVKFGIVNENGSMSDEFEVGDMNVSDWVNETNKVGGSSASDYAKSVIKKFEVCPLSMSEYIPCLDNVDAIRKLKSTEKGERFERHCPEKGGGLNCLVPSPKGYRTPIIWPRSRDEVWYNNVPHTRLVEDKGGQNWITRDNDKFKFPGGGTQFIHGADEYLDHISRIIPGITFGQHIRVVLDVGCGVASFGAYLLSRNVLTMSVAPKDVHENQIQFALERGVPAMAAAFATRRLLYPSQAFDLMHCSRCRINWTRDDGILLLEVNRMLRAGGYFVWAAQPVYKHGEVLREQWEEMLNLTTRLCWKFLKKDGYIAIWQKPFDNSCYINREAGTKPPLCDSSDDPDNVWYVDLKACISRLPENGNRANVTRWPARLQSPPNRLQSIKMDAFMSRNELFKAESKYWDAIIDNYVSFLDWKKLTLRNVMDMRAGFGGFAAALINQNLSSWVMNVVPVSGPNTLPVIYDRGLIGAMHDWCEAFDTYPRTYDLLHAANLLSVERKRCSLSSIMLEMDRILRPGGRAYIRDSLEIMEELQEIGKAMGWHVTLRDTAEGPYARYRVLVCDKNQLVNQSFEEYRKIQVQVSLPCKGEEVVNAGTICLLIPAIKNSPR >OIW13839 pep chromosome:LupAngTanjil_v1.0:LG04:7737581:7740075:-1 gene:TanjilG_31728 transcript:OIW13839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMARSFVLWLHGLDDSGPANEPIRTLFTSPQFRNTNWSFPSASNAPVTCNNGHVVPSWFDIHEIPVTADSPNDETSLLKAVQNVHATIDKEIAAGTNPNNVFICGVSQGGALTLASVLLYPKTLGGGAVFSGWVPFNSSTIIEQITPEAKRTPILWSHGVVDRTVLFEVGQAGLSFLQKLGVSCDFKAYPDLAHSLSNEELRHLESWIEARLRSSS >OIW13800 pep chromosome:LupAngTanjil_v1.0:LG04:7165450:7170184:-1 gene:TanjilG_31689 transcript:OIW13800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLMPNSEGNSTSHVHPKCNIKVKETERLWDNNEKVSLLYSAWSDVLTKSTTGVNEHLNKHGISEYSLPNAPHLENCKVRTQLYGNLDTRAGNENFPPWTVWKGFLDTLPVDASNEQIKNFRHQAISKGAYPPWIAGSDEENYPLTRKVQRDIWIHQHPLNCSDPNVKFLVTDWERLPGFGIGAQIAGMCGLLGIAINEGRVLVANYYNRADHNGCKGSSQSSWSCYFFPETSLECRQRAFELMKSEDALSKGIVTTKESYTSKHIWAGPTPRKWGNPWNYLQPTTDINGTLMGSHRKMDRRWWRAQAVRYLMRFPTEYTCNLMNEARHAAFGKLAAKMVVESFDGDWPKESSNKPSYDIDKYVWSNHKPWVPRPLLSMHVRMGDKACEMKVVEFEEYMQLANRIRRHFPYLNNIWISTEMQEVIDQTRQYPHWNFHYTKVKRQGRTNMSMAEYEASLGRETSTNYPVVNFLMAAESDFFVGVLGSTWSFLIDGMRNTGGKVMSGYLSVNKDRFW >OIW13816 pep chromosome:LupAngTanjil_v1.0:LG04:7425441:7427154:-1 gene:TanjilG_31705 transcript:OIW13816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQGGGSETEVTWEDQQNINKFGRLNNRFHELEDEIKFAKESNENLEDASNELIITDEEVVRFQIGEVFAHVSKDEVENRIEEMKEVTSHKLEKLEEEKESVLAQMSELKKILYGKFKDSINLEEE >OIW13610 pep chromosome:LupAngTanjil_v1.0:LG04:9749256:9755026:-1 gene:TanjilG_07952 transcript:OIW13610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNALLSRTKFFLNEVASPLAKPGQNRMPDPENDFELQLMEDIFMVEQTIDRRTPYGTLSLAAVICIEQFSRMNGLTGKKMQKIFKALVPKSVYSNARNLVEYCCFRFLSRDASDIHPSLKDPPFQRLIFLTMLAWENPYTDDISSNSEKASLQSKLVTEEAFVRIAPAISGVADRPTVHNLFKALAGDQKGISLSLWLTYINEYVKVREEQKSYQIPEFPQLSKERILCIGSNSKRPVLKWENNMAWPGKLTLTDKAIYFEAAGLLGEKRAMRLDLTYDGLKVEKAKVGPFGSALFDSAVSVSSGSESNWWVLEFIDLGGEMRREVWHALMSEVIALHKFIHEYGPDDYDDSLFNVYGAREGKERATNSAISSLARLQTLQRMRKLLDDPTKLVQFSYLQSAPHGDVVFQTLAVNYWGGPLITGFVNTRNQPEAQSSDEIADSPNHVFDIDGSVFLKKWMESPSWVSSASTSFWKNTSTKGLIISKNLVVADASLIERATETSKKKYRVVEKTQATIDAATLKGIPSNIDLFKELMLPFTLTARNFEKLRRWEEPHLTVAFLGLAYTIIFRNLLSYMFPAMMIILAAGMLTIRGLREQGRLGRSFGKITIRDQPPSNTIQKLIGLKDAMRDVENMLQQMNVSLLKMRAILLSGHPQITTEVAVVLLCWATILLIFPFKYILSFLVMDMFTRELKFRREMVKRFKALLRERWHTVPASPVAVLPFENQESKSQFHLKEFEDKSQANQINGNSR >OIW14260 pep chromosome:LupAngTanjil_v1.0:LG04:1437157:1440048:-1 gene:TanjilG_21400 transcript:OIW14260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGDAVQTFYLMGFRGFKPSVYTCNMVLGSLAKDKKVELFWSFFKGMLAKRVSPNIATFNILLNALCERGKFKSAGFLLRKMEESGYFPNAVSYNTLLNWYCKKGRYKAASELIDRMASKGIAADVCTYNVLIDNLCREGRSAKAYLMLKRMRKNIVYPNEVTYNTLINGFVKEGKIGVATQVFDEMSSFNLSPNSITYNTLIDGHCSKGNIDEALRLLDMMESHGLRYNEVTYGALLNGLSKHGEFGLFPSILERMRMNAVRVSHISYTAMIDGLCKNGLLAEAVQLLDHMLKVSVYPDVVTFSVLINEFFRARKVNNAKEIMCKMYKAGIVPSSILYSTLIFNYCKMGNLKDALNTYAVMNLSGHVADHFTCNVLVDTFCKCGRLEEAEYFMDHMSRIGLDPNSVTFDSMINGYGNSGDALKAFSMFDKMNSLGHFPSQFTYAGLLKGLCVGGHINEAKVFLHRLRCIPYVIDNVLYNTILTWTCRSGNLSDAVALFDEMVTNCFLPDSYTYTSLIAGLCKKGKVVAALLFSWNAIEKGLLSPNTAMYTSLVDGLFKEGHSRAAMYIFEDMLTKGDGPDTIAFNVLIDRYSRKGKMSKLNGILSAMRSRSPCFNLATYNILLHGYSKKHAMASCSMLYNDMIRQGFVPDRLTWHSLILGYCKSGSLDVAVKFFRRMILEGSIADCFTFNMLITKFCERDDIKIAFDLVKLMSSLGIIPNVDTYNALFNGLIRTCAFDKAHCVLQALLDSGSVPTCKQYITLINGMCRAGSIEGAMRLQDEMKTLGVSSHDVAMSAIVRGLARSGKTENAIWILDFMLEKQIIPTAATFTTLMHIYCKEANVAKALELKSIMEHCRVKVDVATYNVLISGLCANGDVEAAFKLYEEMKQRYLWPNTSIYIVLIDSLCAGNYHIESEKLLRDLQARDLVYLDLNGCSERLNGLLMVAGKELVNLRYKRRRKFG >OIW13891 pep chromosome:LupAngTanjil_v1.0:LG04:8079577:8088619:1 gene:TanjilG_31780 transcript:OIW13891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKSSALEYINQMFPNEPSLSGVEPLMQKIQNEIRTVDAGILAAVRQQSNSRTKAKQDLAAATCAVEELMYKIREIKTKAVQSETMVQEICRDIKKLDFAKKHITTTITALHRLTMLVSAVEQLQVMASKRQYKEAAAQLEAVNQLCSHFEAYRDIPKIIELREKFKNIKQILKSHVYSDFSSLGTGKETEETNLLQQLSDACLVVDALEPSVKEELVNNFCNRELTSYEQIFEGAELAKLDKTERRYAWIKRRMRSNEEIWKIFPSSWHVSYRLCILFCKKTRKQLEEILSTLKEKPDVGTLLLALQRTLEFEDELAEKFGGGTQNREIGNEIEEIGRGANSSINASDIRKKYEKRLAAHQGTGTEEKDGSKDLAVPGAGFNFRGIISSCFEPHLTVYVELEEKTLMESLEKLVQEETWDTEEGSQNSILSSSMQVFQRILKAYATKLFARIPKGGTGIVAAATGMDGQIKTSDRDERVTCYIVNSAEYCHKTAGELSESVSKIIDPQFADGVDMSEVEDEFSAVITKSLVTLVHGLETKFDIEMAAMTRVPWATLESVGDQSEYVNAINLILTTSIPALGSILSPVYFQFFLDKLTSSLGPRFYSNIFKCKQISETGAQQMLLDTQAVKTILLEIPSLGRQTSGAASYSKFVSREMSKAEALLKVILSPVDSVADTYRALLPEGTPMEFQRILELKGLKKADEQSILDDFNKHGPGIKQAHITPSVIPAPTLAPVVPSPNVAGLIASHEDVLTRAAALGRGAATTGFKRFLALTEAAKDRKDGPFRMLFNP >OIW12978 pep chromosome:LupAngTanjil_v1.0:LG04:22951691:22955106:-1 gene:TanjilG_15427 transcript:OIW12978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGASRDFETGRNLLQYSEADIDAGAEPGPGEGEGAPIDNNLVAQASASAVVDAGGVKVFDVTLFGAVADGKNDSVVAFRAAWGEACKNSTNPAKVLIPKGIFLAGPTLFSGPCTSPKPITVEIQGTIKAITDLSEYVSPQWFTFEDIDGLVVQGNGVFDGQGPVNWKFNDCKQTQNCAPLPANLRFDKVINSNVKEITSLDSMYFHYHVHRCNNLTFTGITMTAPGNSPNTDGMHISMSDKVSLLSSMIGTGDDCVSIGHSSTNITIRDVTCGPGHGISVGSLGKRPEEESVDGVSVTNCTFIRTTNGARIKTWIGTKPGEAKNIVFEDLIMEDVQNPIIIDQSYGGKKQRAPSNSLWKISDVHFRKIKGTTVSNVAVSLQCSSMNPCDGVEIADVDLILHGPVGITALVSSCVNAKAIFGGKLNPPACAIL >OIW14112 pep chromosome:LupAngTanjil_v1.0:LG04:4154085:4156349:-1 gene:TanjilG_21252 transcript:OIW14112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKHLLQCISQIPHSFLQHSSSALRIRPISSSTIKMAAQDYTFGPYKIHHNEVFYSTHLSYAMVNLRPLLPVKRFVDLTADETSDLWLTAQKIGRQLESYHKASSLTFAIQDGPQAGQTVPHVHIHVVPRKGGDFENNDEIYDAMDEKEKELKQKLDLDKERKDRSLEEMSQEAAEYRKLFL >OIW12776 pep chromosome:LupAngTanjil_v1.0:LG04:25004720:25008855:-1 gene:TanjilG_24709 transcript:OIW12776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSVWRLASRLAVTGVRIRTQTRTRTVPLSQRTYFTFNSTPTTSFSNFIGIGQKRNMFIQTQPTPNPSSLMFYPGNGKAVMEVGSADFPNPRSAMNSPLAKSLFAIDGVTRVFFGSDFVTVTKSDDSSWEFLKPEIFAAIMDFYSSGHPLFLDSQVAAAMDTAINDDDSETVAMIKELLETRIRPAVQDDGGDIEYRSFDSDTGIVKLKMQGACSGCPSSSVTLKSGIENMLMHYVPEVKSVEQEFDAEDEEAVSGQTE >OIW13324 pep chromosome:LupAngTanjil_v1.0:LG04:14623090:14623275:1 gene:TanjilG_02844 transcript:OIW13324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQRRCDVRCEKGRKTFLLTFGKHDGAQRSAGKVGVSGKPIDPLKIPFLVAWNLPVEPCGA >OIW13257 pep chromosome:LupAngTanjil_v1.0:LG04:17168673:17201080:-1 gene:TanjilG_14190 transcript:OIW13257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLYGSVPSPSIGFLGATSLSRLSSSFISSSLIRRQHTTEKLPPVRKPLLQPEADDHSRRSSQVLLPPLGTRKSSLRKDASQVYHEIESASQCTFGQAVLNGINALCGIGILSIPYAVKEGGWVGIGLLLIFAIFSFYTGLLLRYCLDSEPGLETYPDIGQAAFGTVGRVIISVSCIDYLILESDNLSSLFPDAHLSLGGIELNSHTLFAVFATFLVLPTVWLRDLRILSYISAGGVLASLVVVGCLFWAGLIDNIAIPSQRSTLNLATFPVAMGLYGFCFSGHAVFPNIYSAMKNRNQFPAVLLTCFGLATTLYVGVAVIGYLMFGDNTLSQYTLNMPQSLVVSKIAVWTTCNFTTLSLTALVTRKAQKSPKYQFRFGLPFSSPLSTTHMQEEREIEVTKSSSSIFPSPHFSLDPRFLRPHLSVSQKKKDNNG >OIW13117 pep chromosome:LupAngTanjil_v1.0:LG04:20637140:20637703:1 gene:TanjilG_08150 transcript:OIW13117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKTTHPCGATHPPPQNDVVSIPIPIIPSLQPYRNNHDPLHHRNRLISQPFIVTTTVTAAVSSWLRNRRIRYLFLFLFSPLLLVLLLISLPFLCAAEVCLRGRFWRKLFRDSEDGSDRLRWCDEGCCGGEEEKGLLHRYLEDQLFLVGSMYDCGNKNDEDEQEEEYDSRRIQDIENLGSSSRIPLLR >OIW14225 pep chromosome:LupAngTanjil_v1.0:LG04:2040437:2040880:1 gene:TanjilG_21365 transcript:OIW14225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASGESLYEGVCRETKNQDCVPRLKDDPQITLQKNYLDLSRFILDFAEKKARDGKEYMLQITIEHPTIRINLCANHFYEATITSFISAKGELIEDPMTATYDAKVAGDGPEYCAKAFTAANIENPPINKLVALVSIIAFYAINHLD >OIW12923 pep chromosome:LupAngTanjil_v1.0:LG04:23604013:23609075:-1 gene:TanjilG_15843 transcript:OIW12923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMGSKIEGPSTPANRRDPYEVLSVTRDSTDQEIKSAYRKLALKYHPDKNASNPEASELFKEVAYSYSILSDPEKRRQYDSAGFQALDADSMDMEIDLSNLGTVNTVFAALFSKLGVPIKTTVSANVLEEALNGTVTVRPLPIGTSVSGKVEKQCAHFFGVTINEQQAQSGIVIRATSTAQSKFKLLYFEQDANGGYGLALQEDSEKTGKVTSAGMYFLHFQVYRMDSTVNALAMAKDPEGAFFKRLEGLQPCEVSELKPGTHIFAVYGDNFFKTASYTIEAVCAKSHEDITQKLMDTEAQILRKRSELRQFETEYRKALARYQEVTDRYTKEKQSVDELLKQRDSIHSSFTVVKSTTSNLGNGSSSKISGEGSPEDGGSDGKDKSAKKKWFNLNLKGSDKS >OIW13832 pep chromosome:LupAngTanjil_v1.0:LG04:7624575:7652484:1 gene:TanjilG_31721 transcript:OIW13832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFMAVLESDLRALSAEARRRYPAVKDGAEHAILKLRTLSSPSEIAHNEDILRIFLMACEVRTVKLSIIGLSCLQKLISHDAVSPSALREILMTLKDHAEMVDEGVQLKTLQTISIIFQSRLHPESEDTMSQALGICLRLLENTRSYDSVRNTAGATFRQAVALIFDRVVLAESLPSGKYCFGGQLSRTSTVTGDVNCSINLSDSLDNESVSGGSSLMRETLTETGKLGLRLLEDLTSLAAGGSATWLQANINQRAFALDILEFILSNYVGVFRTLLPYEQALRRQICSLLMTSLRTNAELEGEAGEPSFRRLVLRSVAHIIRLYSSSLVTEFFHIALYLVALVYRLKLHFHSSLMLQSSKQVFLSMLLKVTFLDLPLWHRILVLEILRGFCIEARTLRILFQNFDMHPKNTNVVEGMVKALARVVSNVQVQESSEESLVAVAGMFSSKAKGIEWSLDNDASNAAVLVASEAHAITLAVEGLLGAVFTVATLTDEAIDVGELESPRGDNDPPLKWTGKTAVLCISMVDSLWLTILDALSLILSRSQGEAIVLEILKGYQAFTQACGILRAVEPLNSFLASLCKFTINFPVETEKRSALPSPVSKRTELSVDQRDSVVLTPKNVQALRTLFNIAHRLHNVLGPSWVLVLETLAALDRAIHSPHATTQEVSTPVPKFTRESSAQYSDFNILSSLNSQLFESSALMHISAVKSLLSALCQLSHQCMLGTSSGLGPTASQKIGSISFSVERMISILVNNVHRVEPFWDQVVSHFLELADNSNPHLRNMALDALDQSISAVLGSDQFQDYKESKYIETSQEVEANLDKLRSLECCVISPLKALYFSTQSIDVRIGSLKILLHVLERYGEKLHYSWPNILEMLRYVADASEKDLVTLGFQLFNLDLCPSIKCIDVTGAYSAQKTELNISLTAVGLLWTMTDFIAKGLLNGPSEEKETGVGSTVKQIDSRKMENQTSSISNNVRDQGSCIDEVYYEKLMFSVFSLLQNLGADERPEVRNSAVRTLFQTLGTHGQKLSKCMWENCLWNYVFPTLDRASHMAATSSKDEWQGKELGTQGGKAVHMLIHHRKSGMWREK >OIW12745 pep chromosome:LupAngTanjil_v1.0:LG04:25234984:25238680:1 gene:TanjilG_24678 transcript:OIW12745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSSIRKSFNSNASYSNKLSRRFSLTGNADSSSSDPENLPILRHQQNNHPYPPPSMAAGGDCVIKINDTDPTLVSPSIWRESSYDFWNDDGTTDERENANQESFDFRHKAQLLSLEEDPPARLIGKFLHKQRASGEISLDMDLEMDELQQDSVDGNLTPVEESPTVNQYRISRDVKVSFEEPALNVVEVANDHFVRRRNSKDSPSVGEFQRPPKHDRGAGSPSPSGDGEVLRCTSNASFERNLSMQRKSSLLKAKTRSRLLDPPEEPDKKSGRVPRSGQLFSGFTGKKGGDDEEDDPFLEEDLPDEYKKTRFSFWILLEWLSLILIIGFLITTLSIPLLRSKNLWQLKLWKWEVMILVLICGRLVSDWVIRIAVFCIERNFLLRKRVLYFVYGVKKAVQNCVWLGLILIAWHLLFDKRVQRETKSSFLDYVTKILVCFLVGTLVWLVKTLAVKVLASSFHVSTYFDRIQESLFNQFVIETLSGPPLIELQKAEEEEQKLADEVQKLQNAGVTIPPGLRATAFSTIKSGKLRSGVLQRSPMISRPLSKKLDDGKGGITIDHLHKLNPKNVSAWNMKRLINMVRHGALTTLDEQILGSTTEDENATQIRSENEAKAAAKKIFLNVARRGCRCIRLDDLLRFMREDEAEKTISLFEGASDSGRISKPALKNWVVTAFRERRALALTLNDTKTAVNKLHRMLNFLVILIIVIIWLLILGIATTKFLLFLSSQVVLVAFIFGNTCKTIFESIIFLFVLHPFDVGDRCEIDGIQMVVEEMNILTTVFLRYDNQKILIPNSVLATKAINNFYRSPDMGDAIEFCIHVCTPIEKISAVKHRIQSYIDNKKEHWYASPLIVFKDCEQLNMIRLAIWPTHKMNFQDMGERFLRRSLLIEEMIKIFQELDLQYRLLPLDISIRSMPTTSDRLPPSWTTITG >OIW13691 pep chromosome:LupAngTanjil_v1.0:LG04:9317823:9320321:-1 gene:TanjilG_08033 transcript:OIW13691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSADTNSWIRRTKFSHTVCHRLDPSRLTSLPSSIQPGLKLRPSQVQRSPVLSIQRSISPLPETVVSEAFKEARHEKKRFSTPGPRMNDNDMRKMGKLSNKDSQESRISSAKSTSTSPIRQVKLNDKSSKHRKDGWAKYFDNGGRRVTAEETTDEWTVDLSKLFLGLRFAHGAHSRLYHGVYKEEPVAVKIIRVPDDDENGTLAARLENQFIREVTLLSRLHHPNVIKFVGACRKPPVYFIIAEYVEEGSLRAYLHKLERTTVSSQKLISFALDIARGMEYIHSQGVIHRDLKPENVLVDEDSHLKIADFGIACEEAVCDLMADDPGTYRWMAPEMIKRKSYGKKVDIYSFGLMLCEMVTGTIPYEDMTPIQAAFAVVNKNLRPSIPTNCPPTMRALIEQCWLSQPDKRPEFWQIVKVLEQFESSLARDGTLTLLQNPCSHDHKKGLRNWIQKLGPVHQNNGPVLKPKFI >OIW13076 pep chromosome:LupAngTanjil_v1.0:LG04:22139652:22140125:-1 gene:TanjilG_17636 transcript:OIW13076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEGTATCIDILLAIILPPLGVFLKYGCKVEFWIALVLTIFGYLPGIIYAIYAITK >OIW13649 pep chromosome:LupAngTanjil_v1.0:LG04:9557397:9560210:1 gene:TanjilG_07991 transcript:OIW13649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATRAIALSSNSTYSSFITKPFLPPRTTFSNNNNLQLSYSSSSKTRTKSYTCRAIYNPQLFVKEEGQPQTLDYRVFFHDQSGKKVSPWHDIPLQLGDGVFNFIVEIPKESSAKMEVATDEPFTPIKQDTKKGKLRFYPYNIHWNYGLLPQTWEDPSSANSEVDGAFGDNDPVDVVEIGESRRKIGEVLKIKPLAALAMIDEGELDWKIVAISLDDPKASLVNDVGDVEKHFPGTLTAIRNWFRDYKIPDGKPANKFGLGNQATDKDYALKVITETNESWNKLIKRSIPAGELSLA >OIW14070 pep chromosome:LupAngTanjil_v1.0:LG04:5058406:5061756:-1 gene:TanjilG_11415 transcript:OIW14070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTCDEWKPFIVMIAIDFSFAAVNILLKKVLEEGMNHLVFITYRLSIATIFLAPIGYFWERKDRPRLTFRILCCLFFSAIVGASVTQYFFLLGIQNTSATFACAFVNIVPVVTFIMALPFGLETVNIKCNGGRAKILGTLVCIGGVLLLTLYKGKPLVNYSQYHPSTPVTKVASTRKWTIGVVALILGTLFLSSWFILQSNISKRYPCQYSSTAIMTFFGAIQSAVISLAIDHHNLSIWILNGKIQIIAVLYSGIVGSGLCLVGMSWCVKKRGPVFTAAFSPLVQIIAAMIDIPILHEQLHLGSVVGSITVMVGLYILLWGKNKEMQDRVKKLVQGVEEVKAQESQTQHIVMSCDSGSH >OIW13078 pep chromosome:LupAngTanjil_v1.0:LG04:21485045:21487064:-1 gene:TanjilG_24425 transcript:OIW13078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVTNILHMNGGIGKCSYANNSILQHIREEAIIRVYNSIHPRCLSIAELGCSSGPNAFIVVSEIIKIVENLCKEMNHKSPEYKVFMNDLPENDFNNIFKSSSTFEEKLSNEMKSQIGPCYFYAAPTSFYGRIFPNQTLHFIHSSSSLHWLSKVPEGIEKNKGNIYISNTSPLNVLKAYYEQFQKDFSLFLKCRAEELVEQGQMFLTLLGRRSEHQSTKECCYIWELMAKALNDMVLEDEEEDEEEDEDEDRMRT >OIW12594 pep chromosome:LupAngTanjil_v1.0:LG04:26519724:26522504:1 gene:TanjilG_04758 transcript:OIW12594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMIAAPRNVTVAGDAPFQLRDIENGGGVAAEEEEDSPSAKKPKSEKFIFNTWEFGVAIGFFFVFSIGLFCIYLTMPPAEKGHIKLPRTLSDLRLLKGHLSTYAKNHPAQFILGYCSTYIFMQTFMIPGTIFMSLLAGALFGVVRGIILVVFNATAGASSCFFLSKLIGRPLVSWLWPEKLRFFQAEIAKRRDKLFNYMLFLRVTPTLPNLFINLASPIVDVPFHTFFLGTLVGLIPAAYITVRAGLALGDLKSVRDLYDIKTLSVLFLIGFVAILPTLLKRKRVYE >OIW13414 pep chromosome:LupAngTanjil_v1.0:LG04:11877646:11886664:1 gene:TanjilG_33063 transcript:OIW13414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSRRNINGRSPLVNPQRQITSFFTKSPSPILSNSTNNRNPNPNPNGGPATPSQPHKPLLLIGASPPPSSDVVGRRINVYWPLDKAWYQGSVMSFDKISSKHLVRYDDGEEEALDLSKEKIEWLQQNQNSSGKKKLKRLRKMVIEDDEVEEIHKHDDDELVVGDGDDSSDEDWGNNVVVEDDDEGECEEDMELEVEDDEEDVAKSSTRKLSAKDEARKRKVGGMEKSESSKKSKSAGEVQVSNKGGFKLSVLERNNVTNLETKKISNVTDNVAADDTSERFLAREAHKLRFLREDRRDAKRRDPGDENYDSRTLYLPPDFLRSLSDGQKQWWDFKSKHMDKVLFFKMGKFYELFEMDAHVGVKELDLQYMKGEQPHCGFPEKNFSMNVEKLARKGYRVLVVEQTETPEQLELRRKEKGSKDKVVRREICAVVTKGTLTDGELLSANPEAAYIMALTEHCENHSNEISEHIYGVCIVDVATSRVILGQLKDDIECSALCCILSEIRPVEIVKPAKLLSAETERVLLKHTRNPIVNELVPIVEFWDTDKTVDQLKKNYRRANNVSAENDWLDCLPDVLQELVKAGNDSRSALSALGGALYYLKQAFLDETLLRFAQFELLPCTGFCDLATKPYMILDAAAMENLEVFENSRDGGYSGTLYAQLNQCVTAFGKRLLKAWLARPLCHVESIKERQEAVAGLKGVNLPYTLEFRKALSKLPDMERLLARIFSTSEASGRNANKVVLYEDASKKKLQEFISALRGCELMAQACSSLGVVLNYVESRQLHHLLTPGKGLPDVCIDLNHFKDAFDWVEANNSGRIIPHKGADIEYDSACNAVKEIESSLLKHLKEQRKILGDTSITYVTVGKDAYLLEVPENSRGNIPQNYELRSSKKGFFRYWTPDIKKFLRELSQAESEKESLLRSTLQRLIGRFCEHHTKWRQLVSATAELDVLISLAIACDYYEGPTCRPSFLGTLSAKEAPYLSAKSLGHPVLRRDSLGNGDFVHNDINIGGPDHASFILLTGPNMGGKSTLLRQVCLAVILAQVGADVPAERFNLSPVDRIFVRMGAKDNIMAGQSTFLTELSETATMLSSATRNSLVALDELGRGTSTSDGQAIAGSVLEHLVRNVQCRGLFSTHYHRLAIDHEKDPKVSLCHMACQVGGGNAGVEEVAFLYRLTPGACPKSYGVNVARLAGLPTSVLETAAAKSREFEASYGKCRKMSSEANTSNQNWVDEMTLFMQKLNNVTTNLSCEETICDTLIELQDKARELLQQL >OIW13074 pep chromosome:LupAngTanjil_v1.0:LG04:22116285:22116545:1 gene:TanjilG_17634 transcript:OIW13074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKSLSFKSIFNIFKSNNKHRGGGYNNDAYDDGSKAWTRVWPSDEDRGRWGVADPIIDMRATAFIAQYKKRVSESETHCQDQPQQ >OIW14353 pep chromosome:LupAngTanjil_v1.0:LG04:120156:133947:-1 gene:TanjilG_31243 transcript:OIW14353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRAIRASKTAAEERAVVRKECAAIRASINENDQDYSHRNLAKLMFIHMLGYPTHFGQMECLKLIATPGFPEKRIGYLGLMLLVDERQEVLMLVTNSLKQDLNHTNQYIVGLALCALGNICSAEMARDLAPEVERLLQFRDPNIRKKAALCSIRIINKVPDLAENFVNPATALLKEKHHGVLITGVQLCTALCKINTEALEHIKKKCTESMVRALKDLANSPYSPDYDISGITDPFLQIRLLRLLRVLGEGDVDASDSMNDILAQVATKTESNKVAGNAILYECVQTIMRIEDNGGLRVLAINILGRFLSNRDNNIRYVALNMLMRGVTIDAQAVQRHRATILECVKPESEPIIGRLATKLDRTTINNYTVSTNQMLSHTICMNLSSPVAATFGTISLPNAVNDDELRCSENLKPQDAAESSKQCSNWKFPSSKVAIPSPDPDASIRKRALELVYVLVNETNVKPLTKELVDYLQVSDQDFKEDLTAKICSIVSKFSPEKIWYIDQMLKVLSEAGNFVKDEVWYALIVVISNASELHGYTVRALYKAFRTSAEQETLVCVALWCIGEYGDLLINNVGVLDIEDPITVTELDALDVVEIAIKRHASDLTTKAMALVALLKLSSHFPSLSERIRETITQYKGNLVLELQQRSIEFNSIIAKHQSIRSTLVDRMPVLNEATFVGRRAGSLPDAASIQSGPSVGLPNGIAKPSAPLLDLLDLSSDEAPAPSSSGGDLIQDLLGVDLSKPSQQSGASQTSKSGTDALLDLLSIGSPSSPTQSPSVQNNSSMIDVLSPDTTKKPSDSPLDDLSSLSLSSRGTSNGGAAPMMDSLDPLPTSPPAENNGPVYPSITAFESSFLRLMFDFSKQTGNSQTTNIQATFTNLSSNVYTDFVFQAAVPKFLQLQLDPASSNTLPTSGNGSITQSMRVTNSQYGKKSLVMRIRIAYKINGKDTLEEGQISNFPRDL >OIW12641 pep chromosome:LupAngTanjil_v1.0:LG04:26054488:26061393:1 gene:TanjilG_24574 transcript:OIW12641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSFPIVEPDPGHTKLRLSREGLEAIERITNPISSVAVIGPYRSGKSFLLNQLLSLSCDEGFGVGHMRDTKTKGIWIWGTPIELDIDGVRTSVLYLDTEGFESIGKSNVYDDRIFALATVMSSVLIYNLPETVSYCSPPLVVFIAVVYLAIREADISRLSFAVELAEEFYGRVKGQDVAFEPAKLLWLIQRDFLQGKSVQEMVNEALQRVPNIDGNKNIDMVNQIRDSLAIMGDNSTAFSLPQPHLQRTKLCEMKDAELDQLYVKRKEQLKELVASMVRPKIVQGKTLSGKEFVSFLEQILDALNKGDIPSTGSLVEVFNKGILEKCLKLFSEKMATLDLPRPEVSLQEAHDKSRDEVMQVFDQQHFGRHHAKKSIVQLDEEIQKVYKNVILQNEYQSSKLCEGLYTRCEDIMDQLQVLRLPSMAKFNAGFLQCNSSFEQECVGPSKTNYEQRMMKMLGKSRSLFIKEYNHRLFNWLVVFSLVMVVIGRFIIKFILIEVGAWILFIFLETYTRMFWTAESLYYNPVWHFIVATWETLVYSPILDLDRWAIPLGVMVSLFILYWRCYGRRKYGSQLLPLYSSNKSSPNRPRTD >OIW13931 pep chromosome:LupAngTanjil_v1.0:LG04:5742910:5744974:1 gene:TanjilG_09282 transcript:OIW13931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVLSHQAALNQFFLIKPCKPTTTTLSTQIPEVNLFDPEAKTLIVKACQEVGFFKLVNHGVPMEYMTNLENEAHRFFKKPQSEKDRTGPPDPFGYGSKRIGSNGDVGWVEYLLITTNPDVISSNSHFIFQQNPQNFRYAVEGYIGAVKRMCYEVLELMADGLGIKQRNVFSRLVKDKKSDSCFRLNHYPPCPEVQALNRGNLVGFGEHTDPQIISVLRSNTTSGLQIYLRDGSWVSVPPDHTSFFINVGDTLQVMTNGRFKSVKHRVLADTTKSRLSMIYFGGPPLSEKIAPLPSLMSTQEESLYKDFTWCEYKKAAYNSRLGDNRLQPFEKSSG >OIW13359 pep chromosome:LupAngTanjil_v1.0:LG04:15417010:15418378:1 gene:TanjilG_02879 transcript:OIW13359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKPVEEALPRETLKYQTWVLRVLIHCDGCKKKVKKVLQGIDGVYTTYVDSGQHKVTVTGNVDAEILIKKLERTGKFAELWPEIKPPEKKDNKKSAKSKGGGKMNTEPIGDGGSNDVPHEELNKQGHSDECKDRGDGSGGGGDSDKKNKNKNKNKNNSSRNDDSAPPNNGGGEISSKVDAGQVPSSLATSVASMEIIGPHIQHGYSYPQMYYSPHAPTSAYGLSYNTAYPVSNASYYVAAPIMPMHAYNTPYPCLPPPPSDPIKHYGYDDDDDDDEYEGGCSIM >OIW14199 pep chromosome:LupAngTanjil_v1.0:LG04:2614662:2615138:-1 gene:TanjilG_21339 transcript:OIW14199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLIPRVFGTGRRTNVFDPFSLDIWDPFQDFPLRTIATSGFDAETAAVANTRIDWKETPEAHVFKADLPGLKKEEVKVEVEEGRVLQISGERTKEKEDKNDTWHRVERSGGSFLRRFRLPENAKVDEVKASMENGVLTVTVPKEEIKKPDVKPVQITG >OIW13915 pep chromosome:LupAngTanjil_v1.0:LG04:8275780:8277735:1 gene:TanjilG_31804 transcript:OIW13915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVQLFDGSDSDNDDISKIKINEEYARRFEHNKKREDLHRFEELKKKGVISSSLSDGDESESDSSDDDDYNELLNSRSDKEFFDALIKVKKQDPVLKEKDVKLFMSDHSSDEEIDEKEKNKAKSKKGEKPMYLKDVMAKHLIEEGPDFGDKEEEINEKKKGKSRLPSIQEGADFVNKDGKKTYGDEQEELKKAFQEAVKKEGLEDGEESFFTVKEKAGEGKVDGEDNEFEEKLDEYFGDVELNENSKFLKNYFMNKMWIDKNSEDVNVGEEDLQEISEDEMEIERQEEYEYRFQENPGDRVLGHARKVEGSVRKKTNTRKEQRKSKEERMAAAQKEREEELKHLKNLKKQEIQEKVKKIMKTAGINDDDLIPLSAAEIENEFDPDEYDRMMKKAFDEKYYNTEDVDPGFCSDDDDMEKPDFEKEDELLGLPKGWDEGGSDGFLAAREKVLKKKTENTSDHDLQETEDEKEEKIPEEGSRKRKRKTALLEKARQAMMDEYYKLDYEDTIGDLKTRFKYAKTKPSRFGMSAPEILLMDDNELNQYVSLKKLAPYREEEWKLSKSKRYQLKMRTRELLREASLNKKNKKSGGHSSKSVSSNSVAENGKANTEESNINKENLSRKAKRRQGAKLPDSRLIAYGKIPDKSKRGGKH >OIW13475 pep chromosome:LupAngTanjil_v1.0:LG04:11256740:11257020:-1 gene:TanjilG_22266 transcript:OIW13475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEESTATCVDILVAILLPPLGVFLKFACKCEFWICLALTILGYFPGIIYAVYAITKK >OIW13929 pep chromosome:LupAngTanjil_v1.0:LG04:5697995:5699432:1 gene:TanjilG_09280 transcript:OIW13929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTITYVFLALFLSSDIGIIPHVESLGINYGQVANNLPEPDKVLELLSTLRLTKTRIYDTNPQILSSFANSNIEIIVTVENEVLSQLDDPQQALQWVSSHIIPYLPETKITGIQVGNEVFTDDDDTLLQHLVPAVVNIHNALSRLGYSNIQVSTPSSLAVLQNSYPPSAGSFKSEINGIMFQFLNFLSTTRTPFWINAYPYFAYEDDPSTIPLDYVLGNNPNAGMVDPYTKLHYDNMLYAMVDAVYFAIAKMGFRNIEVRVSETGWPSKGDPNEVGASPQNAATYNRNLIRKQMANEGTPLTPRMRLEVYLFALFNEDMKPGPTSERNYGLFQPNESMTYNVGLFVSTIPKSTSTPTSTSTQTSTSTSTSTSSASFFVTSSSTKTKVKP >OIW13269 pep chromosome:LupAngTanjil_v1.0:LG04:16185703:16196873:1 gene:TanjilG_25748 transcript:OIW13269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGNGNTIKDVGKRITRVLFCGPHFPASNEYTAEYLQKHSCIKVDVLPLEDVPKAIGNYHVCIVKSMRLDSDIISRAVQMQLIMQYGVGLDGVDIDAATKHRIKVARIPSDGTGNSASCAEMAIYLMLGLLRKQNELQISIQQKKLGDPITDTLFGKTIFILGYGNIGIDLAKRLQPFGVKVIATKRSWNSCAQHTSKLSRNGIDDLVDVKGNHEDIYDFARKADIVVCCLRLNSETAGVINDNFISSMKKGALLVNIARGGLIDYKAVFNHLESGHLGGLGTDVAWTEPFDPNDQILKFKNVIMTPHVAGVTEYSYRTMAKGALLVNIARGGLIDYKAVFNHLESGHLGGLGTDVAWTEPFDPNDQILKFKNVIMTPHVAGVTEYSYRTMAKAVGDVVLQLHNGHPLTGIELVN >OIW13705 pep chromosome:LupAngTanjil_v1.0:LG04:9211669:9213631:-1 gene:TanjilG_08047 transcript:OIW13705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKVLKRSCKSASHKLYKDKAKNHVDDLQVMFLDLQFARKESRTVDVALLEEQVHQMLREWKAELNEPSPASSLQQGGSLGSFSTDVCRLLQLCEEEDDATSLLAAPKSEPYDQTLQARAEVLLQEGQHHHDYPLIDESKHSTFGVHNMAANNLNGAALEYHQYDLNQDFNNGFYAGFTGTGYNDEVAVPCISSFLPSICPPPSAFLGPKCALWDCPRPVQVLDWCQDYCSSFHATLALNEGPPGMAPVLRPGGIGLKDNLLFVALTAKAQGKDVGIPECEGAATAKSPWNAPELFDLCVLEGESIREWLFFDKPRRAFESGNRKQRALPDYSGRGWHESRKQVVNEFGGLKRSYYMDPQPLNHFEWHLYEYEISKCDACALYRLELKLVDGKKSSKAKVTSDSVADLQNQMGRLYAKGRAKLNAKVGIGGVHSAQNGPYEHGLAAPYDYLVENTGEYYVT >OIW13525 pep chromosome:LupAngTanjil_v1.0:LG04:10264605:10265120:1 gene:TanjilG_29266 transcript:OIW13525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKHSSISVTKSPILKITASIKNKVYEDRSQGIVCYQDEHGEITCEGYDEGPCFQRISKQTYQPSDAKIRNILFGQSWLQIVKGEEQLNDSVEGFCLKEDLNCNGFNSFH >OIW13138 pep chromosome:LupAngTanjil_v1.0:LG04:20199132:20199668:1 gene:TanjilG_32119 transcript:OIW13138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSVIEGISSETSTEETDNQKEDLDDSITSKRSYDCTFCKRGFTNAQALGGHMNIHRKDKAKAKQGISTKNLSMAPSFIPQTSTFYSMFQSQGNYDIHFQPSTIPNYPTNPPAYAFQYEFINPTRYESMGANYQELLGSNLSLQIASSHVSSDEVRKGIQNDEVVDLELRLSHHPYSN >OIW13996 pep chromosome:LupAngTanjil_v1.0:LG04:6351545:6355513:1 gene:TanjilG_09347 transcript:OIW13996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSFHKSSSLGRSANPNSRSSELSDPMRRSFTGNPFSKPSSLVSNSRTFAPTTPSNTPTGSQIRNSVGGREVPGSFFSDHDENKENGKDQFLKASKAQSSAASSKSAKNFMSPTISAASKVAMSPKKKVLVERNEPSATSVPTTAEAKSPIIRKVTFAESLHCSNLKSENGVEQKMFVTSSSDGLPTEEMHFATSFSCEELSDEAAIFDMNSPFNSKNDTEFSFQTVANEPDCVILDPSFKLSPTPIPPPPPVSSTISTLAPPHADPLSPPYDPKTNYLSPRPQFLHYKPKPQMFDSFSDTEATEDTQSEEGSQKSEEVSSDEVIKAEAGISEQSPVRTSLAEETVEARDVPKPHSFMKSKTFIALLFLFSAVAIMSLSITNSSVMDHTVFQDFYKVYKSSDLPENAKANFDKFSQFAKAKIDVSGQYFHTWYTKSLSSVSEVISNVRGVHHLDCLQYYNLTVFQDFYKVYELSDLSGYAKANFDQFSLFTKAKFDQFSLFAKAKFDVSARYFYTWYTKSLSSISKVISNVREVHHLGHLEYSNLTVLQDSNVFDQEHNFGPGKMDIVEIEFPGLNNEETDTALESEDYKSEFDQRDVRVTTAIIASVPELEESLEGGQLVTMIESDQTLQETDTALESEDCKSEFDQRDVGVTTAAIIASVPELEESLEGGQLVNMIESDQTLQVAEESELNHSSEVVIMDVDGQPSLDAKAADIHSEVIMIGNLAKTAQVCDVVDKYNNVAHDDQPGLQLDIAKVHTAEARDNKDIEFAEAEGVNAHKYSDVGLKDQPGLDSDVAEIQMEDSDIELTEAEGESASIETTLEDNEQRLQTAELSPHLMLYLLLSGGTILIAGAAFKWSRKVISKKTKVTNSVAKPVFAKAAFYVSSLPTPKEDQSFLDKPSLRNGPTEIDLHEELHTSEMSSIQKNSHKQKVVKGPTEIDLHEELHTSETSSIQKNSHKQKVVKELNEVNIVDKKPTSSSSSEDSISPSYGSFTTYNKIKIKRGHGEEETITPVRRSSRVRSKVTSVL >OIW13328 pep chromosome:LupAngTanjil_v1.0:LG04:14699558:14701582:1 gene:TanjilG_02848 transcript:OIW13328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADADDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNTPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDALMKILTERGYSFTTSAEREIVRDMKEKLAYIALDYEQELETSKTSSAVEKSYELPDGQVITIGAERFRCPEVLFQPSMIGMESPGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPSIVHRKCF >OIW13137 pep chromosome:LupAngTanjil_v1.0:LG04:20182797:20187185:1 gene:TanjilG_32118 transcript:OIW13137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQHVRRDSYPSATSSRLEYLHHQGSRRLDVLIVEADDLAWPFEKLVSFDDDEVRETAYEIFFTSCRSSPGFGGRHALTFHSSHENIGGNGDGGGKLNQVVTKPTSRVKKALGLKMLKRSPSKRMLSGIGSSGVVSTPSSPLTGAANPFSNTVPPFRPRRPMTAAEIMRQQMRVTEHNDNKLRKTLMRTLVGQMGKRSETNQTIIIPLELLRHLKPAEFSDSQEYHMWQKRQLKVLAAGLLLYPSIPLENNNSFAMRLRDIVSNAESKPIDTGKNSDTMRTLCNSVVSLSWRSSNGTPTDVCHWADGFPFNLHLYTSLLQSIFDIRDDTLVLDEVDELFELMQKTWSTLGITLPIHNVCFSWVLFHQYIVTGQVESDLLCASQTMLNEVANDAKKEKDSLYIKILSSVLSSMQGWAEKRLLNYHEYFQRGNANQIENLLSLVLTASKILGEDLTITDGKGGEKGGITIVDSSGNRVDNYIRSSMKNNFEKVVEAVNTKSSEYEGRKELSEVLIQLAQETEDLVMKERQHFSPILKKWHSSAGAVAAVMLHRCYGQVLRQYTSEVTSLTSESVQVLQRAGKLEKVIVQIAVEDSSDCDDGGKTVVREMVPFDVDSVILSLLVKWIDESLSKVKECVQRAKETETWNPKSKSEQFAPSAAELMKLAATTVEECFRVPIAITEDLVQVLADGLEILFQDYMMFVAACGSKQSYIPVLPSLTRCNPDSKFIKLWKKAAPCTSGFEQQHCTKVIHEGHHHHHKQSTSRGTQRLYVRLNTLHYLLTHIHSLDKALYLNPGVVPSNRIRFAKNRRDQSNTSSYFESAYLCIQEACQHISEVAAYRLVFHDSRSVFYDSLYIGGVARGQIKPVLRILNHNISLLTTILTEKAQSLALKEVMKAAFNSFLMVLLGGGSSRLFVRPDHEIIREDFEHLRRIFSNCVEGLIAENVVEGEAALVEGVIALMSRSTEQLMEDFSIVTSEGSGIGILGNSQKLSMPPTTGKWNRSDPNTILRVLCYRNDRAANQFLKKTFQLAKRR >OIW13470 pep chromosome:LupAngTanjil_v1.0:LG04:11180160:11189170:-1 gene:TanjilG_22261 transcript:OIW13470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTENPSRPSFPARPASSPFAAAQTMTPFSSTGPAAGSEPTSFRPTPPAPPQTSMPFSLSGGPVVRPGVPSFRPGPPGRFNDPSASPPPFPPTSNVLPPGGPFQRYPAPQFSTTSPQAPLPHAPPTMGQPSFQHSAIQAPSFPASLPPQSQTPFVPMGSPPPSATPAPLGSNGPPPVYQPSFPGYARPQAAPEMQAPLPLQSSFPANQGNYGPVRPAASSPFLPHQRQGGYVPSPSVGAPLGMHPMQQPGSGPPIGAVQGLAEDFSSLTMQTRPGTMDPLFDAKELPRPLDGDVEPNNLVDMYTANCHPRFLRLTTNAVPSSQSLASRWHLPLGAVVCPLAEPPEGEEVPIVSFAPASVVRCRRCRTYMNPFMTFTEGGRKYRCNVCTLLNDVPSEYYAQLDATGKRVDLNQRPELTKGTVEFVAPAEYMVRPPMPPVYFFLIDVSISAVRSGMIEVVAQTIKSCLDELPGFPRTQIGFATFDSTIHFYNMKSSLTQPQMLVVSDLDDIFIPLPDDLLVNLSESRSVVDTFLDSLPSMFQDNVNLESAFGPALKAAFMVMSQLGGKLLIFQNSLPSLGIGRLKLRGDDSRAYGTDKEHGLRLPEDPFYKQMAAEFSKYQISANVYAFSDKYTDIASLGTLAKYTAGQVYYYQAFQSAIHGEKLRYELRRDLTRETAWEAVMRIRCAKGVRFTTYHGNFMLRSTDLLALPAVDSDKAFAMQLSLEETLLTTQTQYFQVALLYTASCGERRIRVHTMAVPVVTDLGEMYRLADTGAIVSLFSRLAIEKTLSQKLEDARSAVQLRIVKALKEYRNLYAVQHRLANRMIYPESLKFLPLYGLALCRSMPLRGGYGDVSLDERCAAGHTMMTVPIKTLLKLLYPSLIRVDEYLLKASVLTDELKSIERRTPLTGESLDSRGLYIYDDGFRFIIWFGRVISPDIAKNLLGADFAAELSKATLNEHDNEMSRRLMRVLEKLRSTDRAYYQLCHLVRQGEQPREGFLLLANLLEDQMGGNSGYADWMLQISRQVQQS >OIW13501 pep chromosome:LupAngTanjil_v1.0:LG04:10083355:10084740:1 gene:TanjilG_29242 transcript:OIW13501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLYNLIFLIILILFLFLLRILLFKTGLIYTVNKLWRITQDWCHVYQFLTIPELQNETFHHNPLYTKISLYLHSLSSIEDSDFTNLVNGKNENDIVLTLNPNQIIQDNFLGATVFWFNDSKLRTFVLKIRKVDKRRILRPYLHYILTVSDEIEEQGKRDLRLFMIDDGDRNQRWRSVPFTHPSTFETMAIETDLKNKIKSDLTSFLKAKQHYHRLGRVWKRSFLLYGPSGTGKSSFVAAMANFLKYDVYDTDLFRIQTDSNLKSLLLQTKPKSIIVIEDLDQFLHENEKTATKTSLSCSGLLNFMDGILSCEERVMVFTMRTKENIDPNFLRPGRVDIHINFPLCDFSTFKTMANNYLGVKEHKLFVKVKEIFEKGTSLSHAEISELMIVNRNSPSRAIKSIISVLEMDGDGRRRSGLIGRQGENDEYDDGDEGLRKLYRVISLKNSRGGSSSANNSGPLR >OIW13161 pep chromosome:LupAngTanjil_v1.0:LG04:19369965:19375607:-1 gene:TanjilG_07767 transcript:OIW13161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRVFGAKKNNDPPPSIQDASDKITKRGDTVEEKIKKLDVELSKYKEQIKKTRPGPAQEAVKARAMRVLKQKRMYEGQRDMLYNQTFNLDQVQFATEGIKDAQQTMSALKSANKELKGVMKTVNIQDIDNLQDEMMDLMDVSNEIQETLGRSYNVPDDLDEDELMGELDALEADMGDETEADGVPSYLQPDKESDFDAELNLPSAPAGHTAPGRSNAQTEDELGLPAVPRASLRG >OIW13121 pep chromosome:LupAngTanjil_v1.0:LG04:19916165:19933015:1 gene:TanjilG_32102 transcript:OIW13121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQQSGRISVTFKASKPLLPLKRPSNDCQFKSACDVNSSVRGFVTKRVPLSEVPVNTRGNAIGNEDCGPSLPDVIEGCLSERAITATAVSAGKENTCQSYFKTPRKEPDCAKSLDCFTVTGLLDDEFDESVFEEIDVTGLLDDELDESVFEEIDVLVQQKSAEKAVVQELETSIDEKILSEVDVVGGITLTSETTDSDGIRIGDLLSIGIDLEPKEEEMDSLKCLQSGNMPEEYLKYLKSLNDRQREAACTDISTPLMIVAGPGSGKTSTMVGRVLMLLNEGISASNILAMTFTTAAASEMRERIGSIAGKATAKELTISTFHSFSLQLCRAHGENLLADTYGDVYILVLGIVVGVIILLNLSTLDKRLGRTSEFLIYGQGQQRNAAIEAVRLLENGKGRHKDDGLLIGEMSKTNPKQVKDKAKKWLKFVTQAKAYGRTSAEYHALGNEIGAEILDNYNNILKSCNALDYHDLISCSVKLLTDFPEVFKECQDSWKAVVIDEFQDTSAMQYKLLKILCSHNKITIVGDDDQSIFSFNGADISGFISFRNDFPNFKEVRLNKNYRSTRCIVEAASSLIQNNVKRCQLKNVLTENSSGSKSDFDNNLQIVLKECHNEDGQCSFIVDKILEISSNYAAAECSYGNIAILYRRQVSGKAFQMAFRDRKIPFNIHGVAFYRKKVVRTIIAMLQTALPGCDDGSYSRVFKALLPLEKDEKKRVIDHINKISTVRKCSFLSAACDIFNAKVSGTFKRSQLTHGKKVLMTLDMISKLVQREKSISAIITSVANLIPEKYLLEKRATIDVDGGKLLNEDYDIRSVLQYLLDDVSEFLSSKLVEANGEREMSEDKGCTFVLKAFIDFLFEREKENFRARRKDNENSVTLTTIHQAKGLEWDVVFIVKANESEIPLLHDYKGTIQDTAALVEEERRLLYVAMTRARQKLFILYVMMDSNWQMLQPSRFLKEIPRHLLDVQGETYIQKPQMKQVDLKKETAKCTMKEQEADVVPMPNDLLNNDFSEASNELAEVAEAYNGSNFIRRFSVEERSVVSHLFHQWAKKKAFQDAKRLLDKVGFVIDERLRQKKNKHKDLLNTLKSCLSCDEAFEYAQYILRWEQIPADKRAHLMREKQEHFLKLRIENAMGSSAATDKQISYLKKLGCTEIPTSRLHASHLIEQYKSL >OIW13309 pep chromosome:LupAngTanjil_v1.0:LG04:14289520:14296782:-1 gene:TanjilG_02829 transcript:OIW13309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYPSHNITNNHHSSLPTTFFGLLFLHSRCNNTYSYELLLRDFATREFNAFLWLFLIVITTLLLIKLFNVFCLWYKAKSIPGPPSHSFFGHCHLFSHQNLTDVLSESHEKYGPILKLWLGPTQLLVSVKDPVLIQEMLTKAKDKLPFTGKAFHLAFGKSNLFAPSFEKVQKRRELLTTELNERLIKTSDPILMKVSDFIMNKIENMRARGSIDCRLVSQHMAFTIMGATFFGHGFLAWPKAATYEQLLMTIAKDACFWASYNVTPFWRQGFWRYQGLCAKLKCLTQDILQNCKTSWKLFGHIDQNVNGESKIEMKSAHCEQSCSSDEFWDCRFFRDLNDNPNSKEEPCGNIMRVMFHGCQTTAALIANLLTRLAMHLDIQDEIYSEISMVGKNPSKYEHEDVYQMPLLLATVYESARLLPTGPMLQRCSLKNDLSFATGVTIPAGTILVVPVQLVQKDDSSWGSDASDFNPYRFLAKSTKGSGSTEESTNAGFSAFVLNDPNENAAFLPFGSGTRACVGQKFVIQVVATLLASLLKKYEIRLNSRSDDNDSKPTLKNHNLLQQHPHSPILFVRRG >OIW12562 pep chromosome:LupAngTanjil_v1.0:LG04:26748923:26749177:-1 gene:TanjilG_04726 transcript:OIW12562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKNKSFPNYSCSYSEFEFREGSNSYNFNGPSEKGSGFCAENDPEIKRKKRIKAYNVFTVEGKLKTSVRNSFKWIKNKFVHYGV >OIW12615 pep chromosome:LupAngTanjil_v1.0:LG04:26346589:26354517:1 gene:TanjilG_04779 transcript:OIW12615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVIAAPPLLSVNCSASSRIPHNKKKKQRHFGNFSHFANTVTKDVEFIKRGIGNGVAWANETFRIPQIAEKVDQLVWLRYLEDPIASPSPPLSLPQPWYPGLTGVDLLMSDLKALEAYASYFYYLSKVWSKPLPEVYDPQDVAHYFNARPHVVGLRILEVFSSFASAAINIRTSGFRKFLRLNPEDDVDEKTSQYNLGMVFKETMLNLGPTFIKVGQSLSTRPDIIGVEMSKALSGLNDQIPPFPRTVAMKIIEEELGSPLESFFSYISEEPIAAASFGQVYFARTTDGINVAVKVQRPNLRHAVVRDIYILRLGLGLLQKIAKRKSDPCLYADELGKGFVAELDYNLEAANALKFMEVHSPFAFIRVPKVYTHLSRKRVLTMEWMVGESPTDLLSLSTGNSIGNVSEYSEKQKVAAKTRLLHLVNKGVEATLVQLLETGLLHADPHAGNLRYTPSGQIGFLDFGLLCQMEKKHQFAMLASIIHIVNGDWASLVRALIDMDVVRPGTNIRLVTLELEVALGEVEFKEGIPDVKFSRVLGKIWSVAFKHHFRMPPYYTLVLRSLASFEGLAIAADKNFKTFEAAYPYVVRKLLTENSAGTRNILHSVLLNRKKEFQWQRLSLFLRVGATRKALQSVASNSETSPDHLPNKATDKFDVAYLILRLLPSKDGAALRRLLMTADGASLIKAMVSKEGKSYREQFCKIIADTLYQWMIKLFEQGIKATQTSRVIFGNGLNRESGVYSRSSTPAYDINSIFSDRRLRVIFSNVLKSASRDKILMLRFCWDSLLMVIKASSLACHRAIVSLSEAYMDQIFEAPKRYAVSA >OIW13694 pep chromosome:LupAngTanjil_v1.0:LG04:9298824:9299471:-1 gene:TanjilG_08036 transcript:OIW13694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENQKTPLLLSSPRTSNNNNNNNGFIIPQPITRSDPNNPYPTTFVQADTSSFKQVVQILTGSSETAKQASSLSTRPGNPTHHNIPPIKTNTKRNQTGSGFKLYERRNSLNHLKINPLNPILSTHNSNFSPRRSEILSPSILDFPSLVLSPVTPLIHNPFDPTQLDAEAEEKAIKEKGFYLHPSPRASTPRDTELRLLPLFPTTSPRTSGSSSSPS >OIW12740 pep chromosome:LupAngTanjil_v1.0:LG04:25267955:25269032:1 gene:TanjilG_24673 transcript:OIW12740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESNLVEYVALGEGSTPNLEKFKKVSIIPLIFLIFYEVSGGPFGVEDTVRAAGPLLALIGFLVFPFIWSVPEALITAEMGEVDNPGKTLPKALFYAVMLVVFGYFFPLLIGTGAIPTNPKLWYDGYFSEIAKQIGGVWLRFWVQTASALSNMSMFVAEMSSDSFQLLGMAERGMLPEFFAKRSHYGTPLIGILFSASGVILLSWLSFQEIVAAENFLYCFGMLMEFITFVKLRIKYPSVSRPYKVPVGSFGAILMCMAPTLLIFVVLAHVSFKVLIISISAVMIGLLLQPCLKYMEQKRWFRFSVNSDLPNFHAT >OIW12835 pep chromosome:LupAngTanjil_v1.0:LG04:24486475:24487485:1 gene:TanjilG_24768 transcript:OIW12835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSPKLPILILIITLSSVTASASNDDLVAELLSLRSTSNSGVIHLDDHSISRFLASATTPRPYSIFLFFDAVQFHDRPELRLAEYKKQFNLVSSSFIKNNPNNAKIFFCDIEFQYSNLTYTRFGVRTLPHIRLVGPTQGFTDSEPMSKGDTTRFAESMAEFIEFKTNISVGPIHRPPLLSRIHIILITLAILAFIPYFIKKFIAGQTLFHSRKLWLAGSLFVYFFSVSGCMNNIIKKAPMYLVDNNNPSKLVFFYQGHGMQLGAEGFIVGFLYTVVGLLLAFLTQGLVKVSNVIVKTLVMLIALAVSVLIVKQVVVLTNWKTGYGIHGFWPSGWN >OIW13059 pep chromosome:LupAngTanjil_v1.0:LG04:21923961:21926595:1 gene:TanjilG_17619 transcript:OIW13059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGKERKMVPLPSPPSSVKIEDFHITNATSADESIGTHFFSNDSDTFYIKLTNLLESTGLTLIVNVRETLLDLYIFYLEVTRRGGFRQVGREKKWGEVVSALKLDGNNVNLSDQVEKLYENVLYQFEQLYFYRVRAKQADAVNKTGGPLKRKWSTLASLSPLMNVRDGQMATKMCKDRSCQTTAQRRAGFVEQTVVLPAVATSNGRKKKRAGIPRGRSGYQIFLNEECARLKTSGRVSGGRTMIRMAIDAWNKMSDTEQKPYVEESKKHKEKLKETMITPSKQQNTMEEKRPNVCGDYPVTLQPVAHNSLDSRAAFGLAPKMTEKAPKNEESVTIFTRFAH >OIW12680 pep chromosome:LupAngTanjil_v1.0:LG04:25729206:25731080:1 gene:TanjilG_24613 transcript:OIW12680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTKPVKYWLVDAFTDSPFKGNPAAVCLLEQEREEKWMQAIAAEFNISETCYLTRIVQYESSDTSLNEASTARFHLRWFTPTTEVKLCGHATLASAHILFSSGLVKSNAIEFLTLSGVLIAKRVLDINTAGSSNDGFFVELDFPADTLNEFNCDHILQISGALNGAPIIDIKRTTVGDGDDLLVELPSGKEVAELQPDIAAIAKCPGGGLLVSGAAPPESGFDYY >OIW14202 pep chromosome:LupAngTanjil_v1.0:LG04:2587809:2588042:1 gene:TanjilG_21342 transcript:OIW14202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLWTLIEGFLLLANALAILNEDRFLAPRGWGFSDFSGGRTKSFKGQLIGLIYATQYMRVPLILLNSICIIIKLVSG >OIW14041 pep chromosome:LupAngTanjil_v1.0:LG04:5415425:5418261:-1 gene:TanjilG_11386 transcript:OIW14041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGCTGVAMMGSLQQPCWTKGLNFPAKGCTSNGFSHQVKFSNVKPCKASQIEGSVVTGRPSSLSVPVQEIGGDGSRFLDHGLSEADPDVHSIINKEKDRQYKSLELIASENFTSRAVMEAVGSCLTNKYSEGLPGKRYYGCNEYIDELEILCQERALAAFHVDGKNWGVNVQPLSGSPANFAVYTAVLKPHDRIMVRYYFPSLDFNYSKMTVNLVIVLMYFCKLPGFGLASWGTSFSWIHDTQKACLIDYDMLEKTAILFRPKLIIAGASAYPREIDYPRFRKIADEVGAFLMMDMAHISGLVAASVLNNPFEFCDIVTTTTHKGIDGARAEKILDMASITLNKNSVPGDKSALVPGGIRIGSPAMTTRGLGEKEFALIADFIHEGVQISLEAKNLASGTKLQDFLKFVTSPEFPLADKVSELRRKVEALTTQYPIPGV >OIW12831 pep chromosome:LupAngTanjil_v1.0:LG04:24531698:24532153:1 gene:TanjilG_24764 transcript:OIW12831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLTKNENADCLAHEIAEQFKNQPCTNTTGANTVPGTEPQFSNYPELLTKCHLSIFDTRDGTVMPACVPGLVPSLVLTNFTQSIYSDVLIDTKYTGVGIGSEKNWIVVVLTTNTPAGNFAPYSSNGADLISRVGLIYSSMFLLVGNILLL >OIW13679 pep chromosome:LupAngTanjil_v1.0:LG04:9372108:9376075:-1 gene:TanjilG_08021 transcript:OIW13679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSYSARSISTTKIEFQQKPVNSASTPKKFSNAKNEALGESMQWSFVSGDQLILMMEVHKKIMVLRDIMDLAPLNSSASLHEMVITTLEDLQRLYPRMIPRNKVSKINDNSIDQSLAYFCEAVESVRESWMTNNDCVDYSNYELPSCKDNSKMRKPGETMLATLDCLINIANDKFDIMEEDDQKKEPSLSYSASIMTPKSVLPESMKYSARARGDSPRSSCTPSLWSLRIQALGKLIPNDLRHLANHMSPPQMRNQNNEKEPTKDVEMDDEKARNPVMDTSDDLIFDLDTTEESDREINDQGKSDKAQEMEEVEVVVSPRPLQPQSSKPSETYTLLQNAPEPLTSSPPLPPTPQNVAMPPPAPEFQQSAIEVTMLSLPPLRLSPGSSLAAAPPPMSLKDGSTSAPTRPMSLKDGSASAPPPPMSLKDGSASAPPPPMSLKDGSASAPPPPMSLKDGSASAPPPPMSLKDGSTSAPPPPMSLKNGSASAPPPPLMPSGNRGAAPPPPPVGAGRYLKPKATTKLKRSTQLGNLYRTLKGKVEGSSPKGMSSGGRTKSIGATSTGGKQGMADALAEMAKRSSYFQQIEEDVQKYTKQIIELRPSITNFKTNEMTKLIKFHRDVESILENLTDESQVLSRFEGFPLKKLEAIRMAAALYRKLDSVLHELQNWNIVSPVSQVLDKAERYFNKIKTELDALERTKDEESKKFKAYNIEFDFLILIKIKESMVDVSSNCMELALKERRNDAAKRDSGSNNSDGKRKECAKLLWRAFQFAFKVYTFAGGHDDRADNLASELAKEIDSDPNHQ >OIW13538 pep chromosome:LupAngTanjil_v1.0:LG04:10376878:10377807:1 gene:TanjilG_29279 transcript:OIW13538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPTTTTTTTRPIKIIAAADDFGTPLKDALVSHLRSLNFQVQDLGTSSYYSAGADVGRLVSQSLSDTRGLVACGTGAGVSIFANKFPGVFATTCITTSDAINARSINNSNVLAVSGKYTSPETAINILDAWINTPFKSPCPANDNDPWPEQIQSFLDNSLTEMPEIGREDGKTKTPVDNCAVCCLIQNRELNPIDLIPGGSMKIIRESPTSAFVKFKAGSVEPAHHHTFGHDLVVIEGKKSVWNLTKEEKYDLTVGDYLFTPAGDVHRVKYHEDTQFFIKWDGKWDMFFDEDLDAAHKAIDKELIGNN >OIW13550 pep chromosome:LupAngTanjil_v1.0:LG04:10458476:10462392:-1 gene:TanjilG_29291 transcript:OIW13550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRNGSRIVIDMSWFLNSLRHCFIPLWRFVGSVLGSKSKDVVLSIEYYCCDRPNPLLQIIYLVIISFTYYFVAKSSFPYIPGYYLSEIHWYLSLEAVAIGILLFLLTSFSDPGTITADNVTHYLSAYPYDNIIFSEKECSTCKIPKPARSKHCSICKRCVARFDHHCGWMNNCIGERNTRYFMAFLLWHFLLCLYGTVAIALILAGRLKELKVVYILTVYYGIEKTLWDLAPHVVQWLLGSYNTQILLMVFLAIISMLLFGFFGYHAKLCLSNTTTNETFKWQDYMELQRKVKEAKASAAALRQSISGLSNERKPSVSKWRSFFRRSPLEDVVVVKNNVYDKGFIHNIHEVVSPLSTRTSFTQSKFKSS >OIW13243 pep chromosome:LupAngTanjil_v1.0:LG04:16770726:16773146:1 gene:TanjilG_14176 transcript:OIW13243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLPSLFHFLKKHSLPKLNKTHFFTSSSSSPSSNNTHQFPNLLKLSSLSITLSQIKQIHASAILNGLLPRSVSLCASLLLRYSSFQPPPFLLFLHTLPFSDTPFLWNTLIRSYSIARVNDNFTTYNTMLRSGVMPDDHTYPFVLKACSDFLMVEKGVEVHGAVFKLGFDRDVFVGNTLLLFYSNCGGFVDAVKVFDEMFERDKVSWNSVIGLCSLRGFYEDAVGFFREMLVVGWPDLVTVVSVLPVCAETGDEVMARSVHCYALKVGLLGHVKTGNALVDVYGKCGNVVASKHVFDEMDVRNEVSWNSIITSVSFRGLYIDALDEFSLMIDAGMKPNSVTISSILPVFGELGLFRLGMEVHGFSIRTGIDSDIFVANSLIDMYAKSGSSNVASTIFNKMQDRNIVSWNAMVANFAQNRLEFAAVELVRQMQAHGETPNAVTFTNVLPACARSGFLRIGKEIHARIIRAGCAFDLFISNALTDMYSKCGSLNHAQNVFDNSARDEVSYNILILGYSQTSDCAESLNLFSEMRLSGMIPDIVSFMGVISACANLASIKQGREIHGLLVRKHFHTRLFAANSLLDLYTKCGRIDLATKVFDHIQDRDVASWNTMILGYGMIGELDTAINLFEAMEEDGMEYDSVSFVAVLSACSHGGLIQKGMRYFKKMQDLNIEPTNMHYACMVDLLGRAGLLEEAASLIRGLSFVPDANIWGAMLGACRIYGNVELGHWAAEHLFKLKPQHCGYYILLSNMYAEAERWDEANRVRELMKSRGAKKNPGYSWVQIGDQMHAFLVGEKIDSLDTDFILS >OIW12869 pep chromosome:LupAngTanjil_v1.0:LG04:24168495:24174430:1 gene:TanjilG_24802 transcript:OIW12869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPFIFLSLLLLLLQFSLPSLSTNFEGNALHALRTKLSDPNNVLHSWDPTLVNPCTWFHVTCDSNNHVIRLDLGNSNISGALGPELGQLHHLQYLELYNNDFRGKIPEELGNLKSLISMDLYGNKFEGKIPKSFGKLKSLTFLRLDNNKLTGSIPRELTHLTNLKIFDVSNNDLCGTIPIDGNFGSFPAERGGKMSPFIFLSLLLLLLQFSLPSLSTNFEGNALHALRTKLSDPNNVLHSWDPTLVNPCTWFHVTCDSNNHVIRLDLGNSNISGALGPELGQLHHLQYLELYNNDFRGKIPEELGNLKSLISMDLYGNKFEGKIPKSFGKLKSLTFLRLDNNKLTGSIPRELTHLTNLKIFDVSNNDLCGTIPIDGNFGSFPAESFENNRLNGPELKGLVPYDFGC >OIW14194 pep chromosome:LupAngTanjil_v1.0:LG04:2793519:2795551:1 gene:TanjilG_21334 transcript:OIW14194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYKVDHEYDYLFKIVLIGDSGVGKSNILSRFTRNEFCLESKSTIGVEFATRTLQVEGKTVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDITKRQTFDNVQRWLRELRDHADSNIVIMMAGNKSDLNHLRAVSLEDAQNLAEKEELSFLETSALEAFNVEKAFQTILDDIYHIISKKALATQEGAGTSSIPQGTTINVSNMSSNIGNQKSCCSN >OIW13077 pep chromosome:LupAngTanjil_v1.0:LG04:21446702:21447187:-1 gene:TanjilG_24424 transcript:OIW13077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISYGPKLFIIFFISTAFITLFKLYSLKLFLLIFFICTTFITLFKLYYPKSTVLANNRTPFNNISQDEVIQLFIEWKKEQGRVYKDNEEMAKKFVTFVSNFNACIEHNANRESPSGWTKCLNLFADFSQEEFAEIYLGGLDSDSDDDIELNDLPHIVPPSP >OIW13500 pep chromosome:LupAngTanjil_v1.0:LG04:10070970:10072091:-1 gene:TanjilG_29241 transcript:OIW13500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNQPPIRPWFRLSSIRTAAAPAPAHEPRHVFSIPTFRSTSSVPSSPHTQPTKPSEPTPPPSSQPQSQPQPQSHIAAANRGSAPSSPDKRVVHAPSSSLPTSPIQKAPLINTNTNTNTNTSSVPSSPLSHKQPNTSSSPKTIKADSVYNSPNLSPNIKLTTPPPSPLILPHSQFNFEPKIPKEAEQKTMLVQKTVEKPKKWVNGNGTELHREEGNHGNTHHGKGKESETNDRGSYKKFSHLDSEDSGMNVITIAGENRGAYMELVHSQKKHEANYLHKKGNGSKTNADVVGGESESSSRIEGSANRKEKNDKGRTKSSFPMAAYMNSNVQCVNNSLLYHASCSHHDPGVRLSLSKKSHGEGYHFKEGVDRHNS >OIW13665 pep chromosome:LupAngTanjil_v1.0:LG04:9462968:9463885:-1 gene:TanjilG_08007 transcript:OIW13665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDLFSSSFKKYTDLREQTHFDDVEAGKETVNLDKFFEDVENVKEDMRVVEKLYRKLQEANEESKIVHNAKTMKDLRTRMDKDVEQVLKQVKIIKGKLEALERSNAANRKLSGCGPGSSTDRTRTSVVSGLGKKLKDMMDDFQGLRVRMQLEYKETVERRYFTITGEKADDETIENLISSGESESFLQRAIQEQGRGQIMDTISEIQERHDAVKEIEKNLIELHQVFLDMAALVESQGQQLNNIESHVAHASSFVRRGTEQLQEAREHQKSSRKWTCYASILGIVLIIVILFPLLSSILPHLLLK >OIW12625 pep chromosome:LupAngTanjil_v1.0:LG04:26172632:26173156:1 gene:TanjilG_24558 transcript:OIW12625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSEETDDMGTGRSYECVFCKRGFTTAQALGGHMNIHRKDRANNNKVKPNFLPSSSSKVVDDNNYSDLGFYSPIPNHLVAEGNNYNNNTYYYSTITPDLEVDTTDNNYHPFYFPSHTCGTSPSSNLGNQKDRRHLFGQDWSQNLSLYTNSDKIEDNTEEGGGLDLELRLGYHP >OIW13925 pep chromosome:LupAngTanjil_v1.0:LG04:8347226:8353839:-1 gene:TanjilG_31814 transcript:OIW13925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFELLKKKLKDVGIDADICVPGQYSHLLCPDCQGGDSGEKSLSLYIENDGGSAVWVCHRGKCGWKGSTQAFANSMPLSATMSQPTKVKKTREITEEELELEPLCNELLAYFAERLISKETLQRNAVMQRKYYEQIVIAFTYRHNGALISCKYRDINKVFWQEENTKKIFYGLDDIEGESDIIIVEGEMDKLAMEEAGFRNCVSVPGGAPPKVSPKELPPQEKDTKYQYLWNCKDQLKQASRIILATDGDQPGQALAEELARRLGKERCWRVRWPKKRGDVNCKDANEVLMYLGPRALKEVIENAELYPIRGLFSFRDYFDEIDAYYHRTLGYELGVSTGWNNLNEFYNVVPGELTIVTGVPNSGKSEWIDALICNLNQIAGWRFVLCSMENKVREHARKLLEKHVKKPFFEARYGENVERMSVEEFQQGKIWLNDTFHLIRCEDDSLPNVKWVLDLAKAAVLRHGVRGLVIDPYNELDHQRPPNQTETEYVSQMLTLIKRFAQYHGCHVWFVAHPRQLHQWVGGPPNLYDISGSAHFINKCDNGIVIHRNRDPDAGPIDQVQVCVRKVRNKVAGTVGEAFLLYNRVTGEFMPTDNNTNADNKKVGYRK >OIW12844 pep chromosome:LupAngTanjil_v1.0:LG04:24418001:24419317:1 gene:TanjilG_24777 transcript:OIW12844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLRLRSLESKETLKLDVPNSCSLQHLKETISHSISSSSSSPSSPSSFHLSLNRNDELHASSPNDSLNSIGITNGDLIFYTLNTNAFSPETLIHKPVDITMSDAPSIPEPEKSQTLDAAEAETTDLVYGSVEAVTVGKSNSQPSFLKRVLIESLGNDVNDFKLLVFAVHAVFLESGFVLADKAFNIRPSVLGSTMSFRYSLPEILNNCSDIKAVILKFPTLGHLVNVYGSLSDVPGSGLPEVCLDKCKYARPLENMLKNSESKDDKCEKEIFELWKMVKDRLALPLLIDLCDKAGLDPPPCFMRLPSELKLKILEYVPGVDLAKVACVSRELQYLSSTNHLWKLKIEEEFGQIGSGGNFSKDMFVLHWDSKKKSEQVPFRTHLPRPVRFFPGGYPTPFGVLPIVGGDYDWLPGLGLSLPSYPPRRTFLPPCHRGGFDN >OIW13751 pep chromosome:LupAngTanjil_v1.0:LG04:8610345:8617795:1 gene:TanjilG_17930 transcript:OIW13751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAFEDDDFGELYANIEVSNIHPEPKPEPKPEPEAKSNCPNLNQKDLVDDSVSHAKDDSSASGSDDDLKTVLNDDDCKGSAAAATAVGDEDDHHLIDGDELVNNNEVECGYGSRVFHHKYMRSRGGSMFANNMKAYKSMEMASYRSSLNRGRCNGDVCFQHLASSTCHVNPMYSAGNPMVAQYGYGSFLPWYWNNFDVNIDTLDAKPWRVPGAKEQFCRMPVQSDIPVNESAKLNQETIREQIDPVVSRSVSSPPSDHELPKGRAILVENSTVERRPSMNVKRPRSRDSDVIIQIKVLESSDDCSRNSVVMNPSLEGESAVGNKKKIPNSSSEHDVLSEDQLEDVKNSVDSSVQERNGLILGVDEAKCQDQADQHSEDTSQVPGGEIKVEKGIGVGTYSADPCWIESELSLGDQELSLSSYSDSDSEAPGNSVHDDCEKGHIPLRRQSVNSVIDLKESLPLYSKNSKNDRFKTKPEIVPYYSRNRGPIRKEWRCQSGRINPGSNLNRHSENDNDVSVIRMSRAREMSLLDHQFVDYGRYKERLQDFGSRKGRDVSYNRETKQSCYYDGERFLDDLVQTVRTKYSYREDQESSRENTNQHNRRNVDERNYFCEPIFPTEHSEDRDRDWYHADWGYSADEPSPQSYRETRQFFPRHSSFPARGGNTQRRRTNNRSHFRDRKYNDDFDECEFEFLNKSYRMPTSSAEREMDYLDNKHEEQFPHIDRDWERSARRGRHHDCPPLVSNNFWSGKIEDKCSKYKHHQTSHYRYCRESSTDSRRNYVHDTRHKDATKNSGNYWPCGYTDAAEDEDFIISPAEEYQFYKSPSEVLNWTEDETIHRHHETHAASLHTAVQIDYRKMQHHQLNMLRRGSENSLKGSSKILYRGERGQTVQRCRKSVDFVNGEVKSHTRSSGVLCNGRLENADQVISAKKRKAIMSLDESHKVIKFDTSKSENNHENKKRLQNLPDTRQEDSDIEEGQIVTEEPYKKASVSERDVSEGATPAVSVKKRMSQNDNKSEQLIGAYDKQRILDSLAKMEKRRERFKQPATMKKEAEESLKLSNDSIVNADEMKQQRPARKRRWVGT >OIW12853 pep chromosome:LupAngTanjil_v1.0:LG04:24345383:24346988:1 gene:TanjilG_24786 transcript:OIW12853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFGGTTQKCMACDKTVYLVDKLTADGRIYHKACFRCHHCRNTLKLSNYCSFEGVLYCRPHYDQLYKRTGSLDKSFEGTPKILKQEKPVTGNENTKAMANVFLGTRDKCSSCKKTVYPTERVTINGTPYHKGCFKCTYGGCTINSANFVTHEGKLYCKHHHIQLFKEKGNYSQLENEVEKNSMTENVTAMGIVA >OIW13141 pep chromosome:LupAngTanjil_v1.0:LG04:20238927:20239633:-1 gene:TanjilG_32122 transcript:OIW13141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVARFNEFDATGGPIAKELNPKLSVLRKNLSTKLGVRIPNINIWKFTATIIFLKGVGGVLFVFGSRFGSVLLLLHLAITTPLLYDFYNYRPNSLKYNLLLKDFVQNVALSGALLFFIGMKNSIRKRQLKKTPKSKTN >OIW14330 pep chromosome:LupAngTanjil_v1.0:LG04:332203:335563:-1 gene:TanjilG_25116 transcript:OIW14330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAINLGTLSLLLDIPSPRSLTLERKLRPLPFDVVSPFPPKRDTKVVVARGKSNSEKNGVGFDAEEDGGGGGGGGDDDLEKKLKRRVREFEEMKELEKKAEELRESSDGVEGRGEESEEEKRKRVKKELQKVAKEQAERRETAQLMFDLGLKAYGKGSYGRAIEFLEAALTIIPRSTLFGGEIQIWLAMAYEANNRHKDCIALYRQLEKTHPSINIRRQASELRYILQAPKLKISQEEMVTIPLIGSSYDSYAGTWSDKYKDKDKEQRLGTVTNQLPSTRDYLGDFLVWRPPIGLEKNQAFWVGLTIWVGLVGLALIIQR >OIW13909 pep chromosome:LupAngTanjil_v1.0:LG04:8225651:8230922:-1 gene:TanjilG_31798 transcript:OIW13909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSMHKDNNFNIDSTKYVRYTPEQVDALERVYAECPKPTSLKREQLIRDSPILSNIDPKQLKVWFQNRRCREKQRKEASILQTVNRKLSAMNKLLMEENDRLQKQVSNLVYENGYMKQQITTVSATTTTGNSCESVSVVMTGQNQQQNPTPHQHPQRDANNPAGLLAIAEETLAEFLSKATGTAVDWVQMIGMKWSSSSACGLVSLEPTKVAEILKDRLSWYRDCRCLNVLSIVPTGNGGTIELMYMQTYAPTTLAAARDFWTLRYTRSLEDGSVVICERSLTTSTGGPTGPPSSNFIRAEMLPSGYLIRSCDGGGSIIHIVDHVDLNVRSVPEVLKPLYESSKILAQKLTIAALQHIRQIAQESSGEIQYGGGRQPAVLRKLSQRLCRGFNDAVNGFVDDGWSLMGNDGVEDVTIAINSSPNKYSGSKYNSSMFSSIGGGVLCAKASMLLQNVPPALLVSFLREHRSLCKAADFPTNQIILPLAHTIEHEEFLEVIRLEGHVFPPDGVTLAPDMYLLQLCSGVDENSIGALLNLSLLLLMNHLPMMLLYCLLDASATTRTLDLASTLEVGSGNNRPAGEPDYSLRSVLTIAFQFTFENHLRDNVASMARQYVRSVVGSVQRVAMAIAPSRLSTQLRPNSLPGSPEALTLARWICRSYRIHTGTELFRVESTSGDAILKQLWHHSDAIMCCSVKTNASPAFTFTNQAGLDMLETTLVALQDIMLEKVLDEAGKKVICSEFSKIMQQGFAYLPSGICVSNMKRPVSYEQAIAWKVVNDDDSNHCLAFMFMNWSFVS >OIW12524 pep chromosome:LupAngTanjil_v1.0:LG04:27004516:27012751:1 gene:TanjilG_04688 transcript:OIW12524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSWWGKSSSNSKETKKKANKESFIDTLHRKFKIPSEVKLSSKTGGSRTRRHSNETISEKADNSPADSRSPSPSKVARCQSFAERPHAQPLPLPGLHPSSLGRVDSEISISSKSRLEKGSKALLFLPLPKPACMRGRPKPSDFDGDLVTASISSDCSVDSDEPAESRNRSPRATDSETGTRTAAGCPSSVMHKDQSNAVSQLNSRESKKPANILSNHMSSNSPKRRPLRNHVPNLQVPPHGAFYSAPDSSLSSPSRSPLRAFGTDQVLNSTFYSGKPYSEVNFIGSGHCSSPGSGHNSGHNSMGGDMSGQLFLQPSRGSPEYSPVPSPRMTSPGPSSRIQSGAVTPIHPRAGGTPNESHTGWADEGKQQSHRLPLPPLVVTNSSPFSHSNSAATSPSVPRSPARADNPMSPGSRWKKGKLLGRGTFGHVYLGFNNDSGEMCAMKEVTLFSDDAKSKECAKQLNQEINLLSRLRHPNIVQYYGSETVDDKLYIYLEYVSGGSIYKLLQEYGEFSEPVIRNYTQQILSGLAYLHAKNTVHRDIKGANILVDPNGRVKLADFGMAKHITGQTCPLSFKGSPYWMAPEVIKNNGLNLAVDVWSLGCTVLEMATTKPPWSQYEGIAAMFKIGNSKELPTIPDSLSIEGKDFVRKCLQRDPRDRPSASELLSHPFVKCTSPSERSSLGPESSDPVSWITHGAKALGIGQGRNLSLLDSDRLAVHSSRVLKTNPHASEIHIPRNISCPVSPIGSPLLRSRSPQHMNGRMSPSPISSPRTASGASTPLTGGNGAIPFGNHLKQSVYFQEGLGNMPKLSSNGVYMNVNGPIHHESNIDMFRGMQMGSHMTSEMVPNEIDILGKQFARPHLAEPYDFQSVLADHVGRQLLGDHVKINPSLDLSPNSSLLSRANAVRASKIKAPVSPSPSITRQAKRDVARASKLKAPVSLDPSNTQQVKSTVVRRSRSKARVSPDSSITKQVKKASVSSSKIKAPILLSDLSKTQQAKLAVNKSSLLMLNKLYFFCTRRPKKCEELSVLVSMFGIYLSRKDGYTLRPKGWVSNMVILAAGTIMMEEEKATNGVVTRHIFSPHFMNKVINDSNLSNEDSYKPWCIEDVALFVLQSRLGYDVSQCKLVFAPTLFDEHWSCYAFEPKSRTLYVLDSMGGKLPTHKKNLDDATEEILEFRRSFICRWVQHPKNEARDDILKKAGVWGKLT >OIW13770 pep chromosome:LupAngTanjil_v1.0:LG04:6675901:6683189:-1 gene:TanjilG_31659 transcript:OIW13770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGSTNPFGQSSNSPFGSQPAFGQQNNSNSNPFAPKPFGSTNPFGSQTGSSMFGGGTSTGVFGAPQTSSPFSSNTTFGASSSPGFGSSVPAFGQSSTPAFGNSAASSAFGGSSAFGQKPAFGGFGSTPQTSPFGSATQPSQPAFGNSVFGSSTPFGASTQATFGSTGTPAFGATSTPAFGATSTPAFGATSTPAFGATSTPAFGATSTPAFGSTPSPAFGSTGSAFGMSTAPGFGGGGGFGASSTPMFGSAITPAFGTSSSPFGASSAPAFGASPSSTPAFSFGSTQAFGQSSSAFGSSSPFGSTTSAFGGQSSAFGSQTPMPAFGNPGIGQSGFGGQRGGSRIANYTPTTEPDSGTSGQTAKLESISAMPTYKDKSHEELRWEDYQLGDKGGPLPSAQSTGLSGFNSSTTQTNTFGASPAFGQSSSNPFSSTTPSNSNPFAPKSSAFPSGFGVSTPSFSSSAFGSSTSATTPSIFSTTSPSPFAANPNPSQGFGAPTSLFNSAPALSASQPFGSNLFSNTQSSQLFSSTPTPSQPLSGFGQPNPSPFGQQTTSFNQSSIFNSPSSGFGGSLFSTSAPLASNNLTGFGQTVPSLSTPFQAAQPAQSSSTFGFSNFGQTQPGGAPGMFGQSNFGLSSSTQSSVVVQTAPITNPFGTLPALPQISIGQVGTTPSIQYGISSIPASDRPASVRISSLLTSRHLSQRRNRIPFRKYHSKNDGPKVAFFSDDDDTPTAPKADALFIPRENPRALIISPMEQWPARASSEKPSSFKDRHTPVNENGTISKGATSPADGIRTSPMNKERTMTENGIVKEQVQPTTTKHIPNGNNENHSPKKVDTYKTLSGHRAGEAAIVYEHGAGVEALMPKLRHSDYYTLPRIHELAAKERAEPGFCSHVKDFVVGRQGYGSIRFLGETDVRGLDIESIVQFNNREVIVYTDDAMKPPVGQGLNKPAEVTLLNIKCFDKKTKQQYTEGPKIQKYKDMLKRKAEDQGAEFVSYDATNGEWKIKVNHFSAYKLDEDSWDDEF >OIW13327 pep chromosome:LupAngTanjil_v1.0:LG04:14682015:14682374:1 gene:TanjilG_02847 transcript:OIW13327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQIQVQMLQAQEQTQARLKAIETEQNFQNQQQIACYRGITGVYECLQHVYDGHPYFAGRSFADFITHTQWPEGRPYDRQGESSSHAARAGDGATAGAEPGDGATDDTDDFMRTDDPEV >OIW13821 pep chromosome:LupAngTanjil_v1.0:LG04:7483981:7486165:-1 gene:TanjilG_31710 transcript:OIW13821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCMSMSKSRVTLYKTLTVVSSRPNILGKSHPLSVLDHAMVQHSLHIIYYYKDLDYLLGSFELDPLRESLCEVLTMYPNMTGRLARGQDGNWEVRYNDAGVRVIMANVDATLQEWLTSANGSLESLLIAWEDMPEDDPIIWSPFRIQINVFNGGGVAIGLSCSHMVADLTCLASFFKSWTETQSHLPITNPPLFTITSQLNAQPVPNIIANSPSPYSSTQKKNLVTATFKFSSSVIKKCLCQVHNECPNSTPFDFLTALFWTRVARFKPRKNHDQTHYLSICTDFRRLLKTPLPAGYFGNALNFSMVSVKDLYSVRLGDITSLVHKHLEEIESEKEVEKGGKFRAPSFIYGYELTCVCMEHMIVSDSDHNESLICSAMFSNNEKPVHVSCHVGKIMNDEGLIMVMPSVEGSYARTVMVSLPEEELAELSKDEAILQLEPKVLLAGSGNDY >OIW13176 pep chromosome:LupAngTanjil_v1.0:LG04:18897867:18898037:1 gene:TanjilG_17532 transcript:OIW13176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVKMRTTCTWTERPYEASLFPGIGFGLFLRSLGGGRRRPPSGGARAISEIPLWKS >OIW12886 pep chromosome:LupAngTanjil_v1.0:LG04:23982799:23984513:1 gene:TanjilG_24819 transcript:OIW12886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIHHHQMNDQLQQQQHNENVSSVLDALYCDEVKWEEEEDDDDDEKEGKGEFSSSEESDVTTTTTNNDNGCLLFPLLLLEQDLFYEDEELNFLFSKEKNEQQTYYSSTHNNINMGLDDSALCVARREAFEWILKVNGYYGFSALTATLAFTYLDRFLSSFHFQREKPWMIHLVAVTCISLAAKVEETQVPLLLDLQVQDAKYVFEAKTIQRMELLVLSTLKWKMHPVTPLSFLDHIIRRLGLKINLHWEFLRRCEQLLLYVLLDSRFVGCLPSVLATATMLHVIDQIGHEDGLKYKTQLLSVLKISKEKVDECYNGILHLLDSNANNYGNKNPLKRKYDQIPGSPSGVIDASFSSDGSNDSWVVGSSLYSSPEAELLLKKSRTQGQLMKLSPHNRVIV >OIW12737 pep chromosome:LupAngTanjil_v1.0:LG04:25278059:25282932:-1 gene:TanjilG_24670 transcript:OIW12737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVAQNNVDVEDGNLEIGMEYRTVSGVAGPLVILDKVKGPKYQEIVNIRLGDGSTRRGQVLEVDGERAVVQVFEGTSGIDNKFTTVQFTGEVLKTPVSLDMLGRIFNGSSINPSERTYPEEMIQTGISTIDVMNSIARGQKIPLFSAAGLPHNEIAAQICRQAGLVKRLEKSDNLLEGGEGDNFAIVFAAMGVNMETAQFFKRDFEENGSMERVTLFLNLANDPTIERIITPRIALTTAEYLAYECGKHVLVILTDMSSYADALREVSAAREEVPGRRGYPGYMYTDLATIYERAGRIEGRTGSITQIPILTMPNDDITHPTPDLTGYITEGQIYIDRQLHNRQIYPPINVLPSLSRLMKSAIGEGMTRKDHADVSNQLYANYAIGKDVQAMKAVVGEEALSSEDLLYLEFLDKFERKFVAQGAYDTRNIFQSLDLAWTLLRIFPRELLHRIPAKTLDAYYSRDASN >OIW13032 pep chromosome:LupAngTanjil_v1.0:LG04:21560410:21562607:1 gene:TanjilG_17592 transcript:OIW13032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKQDMMKIQSCILKVNIHCDGCEQKVKKLLQKIEGVYKVSIDAEQGKVVVSGHVDPIKLIKKLKSSGKHAELWGGNRGMMLNQNFASNPQFKNLQNDNSKGGKDNNMPQTNKGQKGGGGVQLAHFQNMKGGKDLKVGGKDQKSVKFNLEDDEFDDSDDGFDDDDEFDDYDDEDEDEEYGHGHGHGHGMHKKMMPMMGNGGHGPQGHGGMMMNGSAMNNHKGNGFGGGGGNYGGSAKKGDVIDLPFQMKGKGGNGNFNEGKNGNKGGEKQKGGGGGGGDNNNNKEKKKDGKAKSGGGFLVKFLGLGKKSSKGGSMDTTSKNKNNNGNHDGKNKGKESKKGVGGGGGKLDKVDFDFQDFDIPQGKNGKSGKVNNNGHGHHGGNNGNMGQMGPMGQRGGPMEQMRNIPAVQGLPASAAAAMNGGYYQGMQRQMQPNPYNDNNNLQQLQQQQYMAMMMQQQQQANMSMYPPQQMMYGRPHPSMNYMLPPPMPSHPMADPITHVFSDENTESCSIM >OIW14218 pep chromosome:LupAngTanjil_v1.0:LG04:2284524:2290183:-1 gene:TanjilG_21358 transcript:OIW14218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLSAKYSMLSFSPAMSANASTMQPLGFSRRLVPPGRKFSPVTLTNGVSVSVAPLTLRCSSSLSSPPSVDDEARKFVELSKHANLIPLYESIFSDQLTPVLAYRCLVKEDDFETPSFLFESAEPNVESSNLGRYSVIGAQPTIEIVAKENKVTIMDHEFGHLTEEVADDPMVIPRRITEGLKPHLTDELPDAFCGGWAGYFSYDTVRYVEKRKLPFSTAPKDDRNLPDIHLGLYDKVIVFDHVEKKAYVILWVQVDRCSSPENAYKNGKERLRKLVAKLQDNKFPKLSPGSVDLQTRHFGPPLKTSNMTPKAYKEVVLQAKEHIKAGDIFQIVLSQRFERRTFANPYEIYRALRVVNPSPHMAYLQARGCILVASSPEILTRIKKRKVLNRPLAGTIRRGQTQEEDDKLEAKLLSDEKQCAEHIMLVDLGRNDVGKVSKYGSVKVEKLMNIERYSHVMHISSTVTGELQDHLTSWDALRAALPVGTVSGAPKVKAMELIDELEVARRGPYSGGFGYISFSDEMDIALALRTMVFPTGTRYDTMYSYKDQRREWIAYLQAGAGVVADSDPDDEHQECQNKAAGLARSIDLAESAFVDK >OIW14050 pep chromosome:LupAngTanjil_v1.0:LG04:5298505:5301054:-1 gene:TanjilG_11395 transcript:OIW14050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKSPSNHEDNHLFLDAHDPSFNLTADHSPEPSASSSTLSDPQPSSPATTIRRRPIRRVSPVMELPEPSCDSPTFFESDFNNGAKTSFQIHKNLKFSEEDEVFSEKNDQKHGATTSFVEKRDLKHDEALNLDEKCDLKHDEALNLADKCDLKHDAAFNLTENNEESSSIITTAMNDGEFDNSADSTSQLGDSSSSFVEFIGSLVIKTIGFQIKLFIMFVTYPILFIFNCCMFFIDPFGTMRKGKAFWICIFGRAWRIVFWCIGPKVERFFKENVSIWSVAFRCGWGFLWSIYVCCILFGLLVSSFLFSGFLMKFLVEKPIQIRQVLNFDYTKHSPVAYVPIISCDGIVNAAKDSENEIQVGEWVGERVIPSKHKVQVIVSLKVPESGYNRNLGIFQAKVDFLLSNGKTIASSSQPCMLKFTSEPIRLFMTFFKMVPLITGHASETQSLNVKMKGFVEGDLPTSCLKVTLEQRAEYQPGAGIPEIYDASLVIESQLFFFKRVMWLWKLSIYIWITMMAFVMELLLVLVCCRPIIFPRTRQRGAYARGPAN >OIW12950 pep chromosome:LupAngTanjil_v1.0:LG04:23215952:23217045:1 gene:TanjilG_15399 transcript:OIW12950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGIQGQSLEVTVIGCSNLKDNNWISRQDPYICLEYASTKFRSKTCEDGGTNPVFQEKFVFSLIEGLRELTVLVWTANTLSHDDLIGSGKIQLHKVISEGFDDSGWPLHTSSGRSAGEVKLIMHYANANQRKSESIGVPLASPLYATSYPPPPSAAAHSYPSPPPAAAHTYPSPYPTSRSYPDPTPSPYPQSHSYTSSPYPTTHTHTHTHSHSPSYPATSYSHSAPYPTSHSHSAPYPPPSSSYAAASSPYPRPPYPPGTYPPAPY >OIW13390 pep chromosome:LupAngTanjil_v1.0:LG04:13541631:13541786:1 gene:TanjilG_16499 transcript:OIW13390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPLNLSTEKYIKANKKEIRCLTGSPHLNRMTYGSNGHLLHPYCDSRIWGY >OIW12488 pep chromosome:LupAngTanjil_v1.0:LG04:27207227:27210794:-1 gene:TanjilG_04652 transcript:OIW12488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMATSIFLRTSSHSHSLTKTFDTHFAPSSKVTFFGGAKTRHHPGLRVSSGLIEPDGGKLVELLVNDSERDFKKGEAFSIPRIKLSRIDLEWVHVLSEGWASPLNGFMRESEFLQTLHFNSIRLNDGSLVNMSLPIVLAIDDSQKHRIGDSKKVSLFDSLGNPVAILNNIEIYKHPKEERIARTWGTTAPGLPYVEEAITKAGNWLIGGDLEVIEPIKYHDGLDHFRLSPAELRDEFTKRNADAVFAFQLRNPVHNGHALLMTDTRKRLLDMGYKNPVLLLHPLGGYTKADDVPLDWRMKQHEKVLEDGVLDPETTVVSIFPSPMHYAGPTEVQWHAKARINAGANFYIVGRDPAGMSHPVEKRDLYDADHGKKVLSMAPGLERLNILPFKVAAYDKTQGKMAFFDPSRPQDFVFISGTKMRTLARNKESPPDGFMCPGGWKVLVDYYDSLVVSTNGKVPEAVPA >OIW13899 pep chromosome:LupAngTanjil_v1.0:LG04:8141402:8144763:-1 gene:TanjilG_31788 transcript:OIW13899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELCTLTLPSRYSLLPPSFSSLNYSSTLSLSIISPIPFPRLNFSDKGRFQLQPLLAFTEGFSPSQEGAVVSDDEDEARIIRVCDKLIGVFMVDKPTPTDWRRLLAFSREWNSLRPHFFRHCQDRARDEDDPAMKEKLLRLARKLKEIDEDVQRHNDLLEVIRRDPSGISDIVSKRRKDFTKEFFVHLHTVAESYYDNAQEQNDLAKLGNTCLAAVQAYDGATESIEKLNAAELKFQDIINSPSLDAACRKIDNLAEKKELDSTLVLMITKAWSAAKESNMAKDEVKDVLYHLYKTAVGNLQRLVPKEVRIIKYLIKIEDPEEQLCALQDAFTPGEELEGKDVDNLYTTPEKLHTWIKTVVDTYHLSTEGTLIREARDLLNPEVIQKLEVLKKVVERKFL >OIW13422 pep chromosome:LupAngTanjil_v1.0:LG04:12059815:12060540:1 gene:TanjilG_33071 transcript:OIW13422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELNLHDAIINIPNATTNPPHNQDEPKPILHRKRKHSPMHAIRAALFMMRGHKKSKVLMIDDESKSVWRKLVGSMRPLHLQSNQSPRHPKSNVQLIQTTLSSPTPSNEDEGDQAVTPTSNVSVEEPDSPYSPSPPSSRYASAVGLNELVSGDDDNEKHNRYASAIGLNEFVQNDEDNENQEEIAEEECKENGYGDGDNDGDHMIDAKADEFIAQFYKQIRLQLFDVEDRHYKERSHRSLGF >OIW13509 pep chromosome:LupAngTanjil_v1.0:LG04:10127246:10129359:1 gene:TanjilG_29250 transcript:OIW13509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKSKLKKKMAANATLSLCFNYSFLNNKPQFVTSSKRCVSFSPLPIVLRSNTRVYTALSTEHVNDDVSENEEQWMKPKATEVYVFNLPRKIDSEYLLDLFKPHGNVLYVEVCRNAETGESKGCAYVTLESINSARNAVSALDGLDVGGREMRVRFSVEMNRRGKNRKTMNSSPKKVIYYESPYQLYVGNLPKHVRPEELRHLFVRFGTVASLRIFIDKKEEISRVYAFVSFLSERERDAAMSINGIAFGGRTLVLRQGGGKV >OIW13333 pep chromosome:LupAngTanjil_v1.0:LG04:14791054:14791656:-1 gene:TanjilG_02853 transcript:OIW13333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPLQVFSSKSSSSSFSSTLSSMKLKNLIHTLIVSHIYRIIRALTKVKDVIVEILKDNNSTINFSYLSQKKYYNKRKHITFGSFRFHYNWCSSKSSHILPVPEPVYEGLYSTTHLYNDSNWKNTFNISDKQVLEDVPDLELARYLRWLEEKVEDGEGTKEKDMNEIDMLAEMFIANCHEKFRLEKQESDRRFQEMLARSI >OIW12504 pep chromosome:LupAngTanjil_v1.0:LG04:27125482:27127175:1 gene:TanjilG_04668 transcript:OIW12504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRMRNMTNRGSPINGNEQRGIEWEMRPGGMLVQRRTGHSDLNPVQTPTIRVRVKYGSTYHQLNISSQATFGELKKMLTGPTGLHHQDQKLYYKEKERDSKVFLDMVGVKDKSKIVVMEDPISKEKRYLEMMKNAKMEKAAKSISEISLEVDRLAGRVSAFESIISKGGKVVESDMLGLIELLMNQLLKLDGIIVDGGDIKLQRKMQVKRVQKYVETLDILKTKNSPQQKHSNGVHNKLARIEEQQEEASNNNSTSSKMVVTTNWETFDNLAPLIPITCTTSTSTASSDTNNSVHHKFNWEFFD >OIW12661 pep chromosome:LupAngTanjil_v1.0:LG04:25860308:25861202:-1 gene:TanjilG_24594 transcript:OIW12661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQEQLHRPQQEPIKYGDVFNVSDELASKPITPKEAAMMQATENQALGTTQRGGPAAVMQSAAAVNVTAGLINRDDISNVARDQGMSVSETKVGNNRVITECVGKEVVGQFVEPNITMNNPGSALDEDAVTIGEALEASALTSAGDKPLDMSDAAAIQAAEMRATGKNETEAGGLGAIAQSAATKNTRILPFTEKTTLSDVLTDAREKLASDKAVTKEDAEGVIGAEIRNKPNMRTTPGGVAASVAAAATLNQNK >OIW13197 pep chromosome:LupAngTanjil_v1.0:LG04:18260875:18263797:1 gene:TanjilG_17640 transcript:OIW13197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQTVVLKVGMSCEGCVGAVKRVLGKLDGVESYDIDLKEQKVVVKGNVEPDTVLKTVSKTGKKTAFWEAESTATVA >OIW14286 pep chromosome:LupAngTanjil_v1.0:LG04:943437:951924:-1 gene:TanjilG_21426 transcript:OIW14286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTEQLEPVEPQSLKKLSFKSLKRALDLFSPVRAQFPPLDAESKKIRINHKVSVEYGGIKSTTNQVNSATQNHSQQPGPSNVLALAGPVDSKNPHKGGAQNALVVGPTMPSTAPHDLGFSSKNTIVASGSGSSERNLSTSALMERMPSKWPRPVWHAPWRNYRVISGHLGWVRSIAVDHSNTWFCTGSADRTIKIWDLASGTLKLTLTGHIEQVRGLAVSSKHTYMFSAGDDKQVKCWDLEQNKVIRSYHGHLSGVYCLALHPTIDVLLTGGRDSVCRVWDIRSKTQIHALSGHDNTVCSVFTRPTDPQVVTGSHDSTIKMWDLRYGKTMLTLTNHKKSVRALAPHPKEQAFASASADNIKKFNLPKGEFCHNMLSQQKTIINAMAVNEDGVMVTGGDNGSMWFWDWKSGHNFQQSQTIVQPGSLDSEAGIYALTYDATGTRLITCEADKTIKMWKEDESATPESFPLNFRPPKDIRRF >OIW13633 pep chromosome:LupAngTanjil_v1.0:LG04:9651473:9653390:1 gene:TanjilG_07975 transcript:OIW13633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNTLLNNEAEQDEDSGISETIGVGLQSILDSRSKQINKGLVNLTGNVVGNGNGSVHLTVHNIRNFYEHSQSIARNNQQNNANTNGNLLRNSPLTEGSSLSLPMRCNKRAVLCGVTYGKRKFSLKGSVNDVVNMKELLVNNFNFPISCMRILTEEVKKPSLIPTRQNILKALKWLVKDCQAGDSLVFYFSGHGLNISDSNGDEIDGFDEAICPVDFMTEGSIIDDELNSTIVWPLKKDVTLHAIVDAGHSGTILDLNYVYNKKSGMWDQGNYNTATNRKHTSGGVAICLSACEDDQMASASTTFGQNRTNGVLTYLFTKAIRDYPGITYRSLLNKMQSEIEKMNASTNRRKFTFQRKVAQDPLLSSSEKFDVSATIFTM >OIW13743 pep chromosome:LupAngTanjil_v1.0:LG04:8661153:8661728:-1 gene:TanjilG_17922 transcript:OIW13743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPPSDSPAPTPDGGASGYSSPSTVSISDYLKEKYGDQSKAEYNPDLEKICGHTHQPDVCLATISPLIKNKKKFDVVRILEASIKVSKENIKDIVEKIEKQAKESGENDESLNECKENYSKALDNLHKALEAIRAKNYGKVTILLSGALADVSTAESKIVDMQLSNFKVEPFSFASVTASNCLSIASLVPN >OIW14195 pep chromosome:LupAngTanjil_v1.0:LG04:2742772:2742987:1 gene:TanjilG_21335 transcript:OIW14195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLPKNSGASCPGKTGASAQGWCILPRQNWCICSSLVHPANAQLVHLLKSGASCQGTTGASAQVWCIKCDA >OIW13394 pep chromosome:LupAngTanjil_v1.0:LG04:12903720:12910835:-1 gene:TanjilG_19490 transcript:OIW13394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIDSISTGSCSKEHQTIFQEWFNYADEDGDGRFTGNDATKFFAISNLSRQELKQVWAIADSKRQGYLDFKEFVIAMQLISMAQSGYSVTQGLLNSDVYLKNQELPTMEGLDASLAKKRRKQGKKIVIGNSYPSVSKNWFTSKSPKRVPASSVTSVIDGLKRLYLQKLKPLEVAYRYNDFVSPLLTNSDFDAKPMVLLLGQYSTGKTTFIKHMLQSAHIGPEPTTDRFVVVTSGPDERSIPGNTVAVQADMPFGGLTNFGTAFLSKFECSQMPHPLLEHITFVDSPGVLSGEKQRTQRAYDFTGVTSWFAAKSDLILLLFDPHKLDVSDEFKRVISSLKGHDDKIRVVLNKADQVDTQQLMRVYGALMWSLGKVLNTPEVSRVYIGSFNNKPVNDAISGPIGKELFEKEQEDLLSDLKDIPKKACDRRINEFVKRARAVKIHAYIISHLKKHMPAMIGKAKVQQKLIDNLEGEFVKVQKEFHLPPGDFPNVDEFKEILSGYNIDKFVKLKPKMIQAVDDMLAHDIPNLLKTFRNPYG >OIW12692 pep chromosome:LupAngTanjil_v1.0:LG04:25645249:25648201:-1 gene:TanjilG_24625 transcript:OIW12692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKIADSSGENKEDNVDKPFHHFIVPDDSSLSLIDFPPYELPLYEISQSSNEFDSLVVESDHHREEPEVHMHELVDWNDSFAIKVEELLLSNLQAVFKDAIEQIVELGYSEDVAEKALSRKAIYIQEGDPVSNIVNDTLNVLKGKYVATPDVLKGKDIATLDVIFENFQHMLYYTMLEMITVLREVRPSLTIGEAMWVLLMSDLNVSLACSVEDCLNVVFNGDSYISHSFTQSKSEVQRSDIISNYSSPTSHDSSPTYKKCKSEAPPLGKLQNSPNDKSYFASEVVKPHEENASLPTPTGKPPGTSGGDCKVGHCSKRHNRKEIAALRQKFLHMEKVYRARGKGGLKTGKFTSVGGLMIDKRIKPPYDIHNQQMKGDSSNTTSKQGVCASDAMCHVSTNDASILPIGSSSKTLSDTTSSPIEKPNISASETMSKPKSEPSFFDAQHILDECVGIPYDESLGMYVPRDQKDEIILKLVPRVNLLQGELQSWSSWTNQKVMQVTTRLGRLQPELKMLKNEKQEAEIDAKLFQENIVNRISEMENTMENTKKQIENTTSIAFMRDAENTLLKNELDAAKLSFQESMISHQQALEREHIALQKLESLDRENALHRDELEREKHKLSNLRQQLDKEKTLLVKVEGRLEKEITEIENILKQSASIIEERKQLAENIKVEEDKIIKKAAGNLQKYVEVIANLETHLEDLKQKSESEKIAALRKGKPMGSKQWGSSQTMVSCQSKSATGSLRREHECVMCLSEEISVVFLPCAHQVVCAECNELHEKQGMKDCPSCRAPIQQRIHAKFAWQ >OIW12514 pep chromosome:LupAngTanjil_v1.0:LG04:27065910:27067875:1 gene:TanjilG_04678 transcript:OIW12514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEEQPKKLESESASNPPPEPVVVVHHEVPKDVSEEKPVEDSKALVIVEKAGESAVEKPDEGSVNRDDVLERVATEKRLSLIKAWEESEKSKAENKAYKKLAEISAWEKTKKANTEAELKKIEEQLEKKKAEYAEKLKNKIATIHKEAEEKRAIIEAKKGEDLLKAEELAAKYRATGTEPKKLLGFF >OIW12478 pep chromosome:LupAngTanjil_v1.0:LG04:27301388:27305926:1 gene:TanjilG_04642 transcript:OIW12478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGFTTGDGKEFEAKITPIVIISCIMAATGGLMFGYDVGVSGGVTSMAPFLKKFFGDVYRKTVEEKGVDSNYCKYDSEGLQLFTSCLYLAGLTATLMASYTTRIMGRRVTMLIAGFFFMAGVAFNTSAQNLPMLIIGRILLGSGVGFANQAVPVFLSEIAPSRIRGALNILFQLNVTIGILFANLVNYGTSKIKGGWGWRVSLGLAGIPAVLLTIGAYLVVDTPNSLIERGHLEEGRAVLTKIRGTHINIEPEFLELVEASRVAKEVKHPFRNLLKRSNRPQLVISIALQVFQQFTGINAIMFYAPVLFNTLGFKNDASLYSAVITGAVNLISTIVSIYSVDKLGRRILLLEAGVQMFFSQMVIAIILGFKVKDHSNELSKGYAVVVVVMVCIFVAAFAWSWGPLGWLIPSETFPLETRSAGQSVTVCVNLVCTFVIAQAFLSMLCHFKFGIFFFFSGWVFIMSTFVLFFIPETKNVPIEEMTGRVWKQHWLWKRFFDDDNIIKGCEPASE >OIW13358 pep chromosome:LupAngTanjil_v1.0:LG04:15402438:15405339:-1 gene:TanjilG_02878 transcript:OIW13358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSLCYGDNKEDIEAKSTEGSSSIEVDDILGDPRVVPRVGDEYQAKIPPLVEAIYLPKLMKKIRDSKMPESFSLGIPSPLMQAHCVIENSYGMLESVISEMKTEIDQSGGKDLVHGLLVCPSFTDIEYNRFLLCLYVFGKKNFKFVKKFVGSKNMGDIMSFYYGKFYRSKGYRRWSVSRKLRSKRYICGQKIFTEWRQQELLSRLFPHVSRECQTMLVEISRNFAEEKMPFVEYIFALKDAVGIDLLVDAVGIGKGKQDLTSTAADQTRTNNISLRPKVPISKACSFLTPIDIIKILNGNSRLSKSRSSDLFWEAVWPRLIAKGWHSAQPTAYTPFGSKQSLVFLVPGVEKFSRTLVNGKHYFDSVCDILTKVASEPGLIEIEIQATDGSVDRENSLDKPYLDGVSNKQHHCYLQPHSSMCDEDLMKFTIVDTSMVHGMNQSEVRKLRSIPFETVSVSTISSCSNESAKNTSEDSKYLVEQANASCPIEVANSSIPIQDQVEHASAAYLIEDQVQQVNASCAIEDPVEQVNSSNPIEEVFDKGVSIDSSHCTPIPETFNITKVENHKLHSDMHIDNSREINDHQSIQNMISDCSNSLSCTEMQKLRACNHGEFSRCTESTSMKRNFDLNEPTSESDQHETYEGNPNMSKISGEKSETRMLIDLNFPQVPPELGTDVDMPSFMVITQNGDQCANTSSSPSEVTQLNGMQDFPYGHEEQQRIIANRRQSTRNRPLTTKALEALEFGFLNSKRKRKKSAESSDSNLNSRSSRACNRTFVSAAFDKDIGNAMADTREEEENVIREYRCSIDLNRDAHYNF >OIW13719 pep chromosome:LupAngTanjil_v1.0:LG04:9019973:9022492:-1 gene:TanjilG_08061 transcript:OIW13719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSSIWNLCLILASLAPSALCANLPRPVNVPFGRNYVPTWAYDHIKYFNGGSEVQLHLDKYTGTGFQSKGSYLFGHFSMNIKMVAGDSAGTVTAFYLTSQNSEHDEIDFEFLGNRTGQPYILQTNVFTGGKGDREQRIYLWFDPTLKYHTYSILWNLYQIVFFVDNIPIRVFKNAKNLGVRFPFNQPMKMYNSLWNADDWATRGGLEKTDWSKAPFIAHYKGFHIDGCETSVEAKFCATQGQRWWDQPRFRDLDASQWRWLRWVRRKFTIYNYCTDRKRYPKLSPECRTNRDI >OIW14200 pep chromosome:LupAngTanjil_v1.0:LG04:2609627:2611884:-1 gene:TanjilG_21340 transcript:OIW14200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKQRGKGHRLLLMPSPLQGHITPLLQLAQILYSHGFSITIIHTFFNSPNSSTYPHFTFHPIPDFLSDAEASTSDPIHLTDLINIRCKQPLQESLSTLLSHRDDDDDDEDSIACFISDAALHFTQAVCDGFNLPRLVLRTGGASSFVVFASFPILREKGYLPVQESRLEEPVDELPPLKVKDLPKMESRDPEAFYKLVCRFVDECKASSGVIWNSFEELESSALAKLREVLSIPIYPIGPFHKYLPEGSTSSSLLTPDKSCISWLDRQEHHSVVYVSFGSMAAISEAEFLEIAWGLANSNQPFLWVIRPGLVRGSEWLESLPSGFVENLEGRGCIVKWAPQEEVLSHSAVGAFWTHNGWNSTLESICEGIPMICSPCFADQKVNAKYVSDVWRVGVQLQNKLERGEIERTIRKLMVGNEGDEIRHNTLHLKEKANLCLKLGGSSYCFLDSLVSDILSLESSTSRSL >OIW13319 pep chromosome:LupAngTanjil_v1.0:LG04:14505765:14507496:1 gene:TanjilG_02839 transcript:OIW13319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPKFNIPALLKATDSNIDAPVSIPQESASFIPIEEVIEKDWSFLDCNESISVEEFKRNIECIIDAGKVDESSRVLVSTGSEEFVDILVDSTKFKSLLVLHESLLTLVCIKEKYDKVKCWQGEVIYVPEKWTPLDVVFLYFLPALPFKLDEILGSLAKKCSSGARVIISHPQGREVLEQQRKNYPEIIVSDLPDKTTLQKVAASHSFDVAEFVEEPNLYIAVLELKS >OIW14104 pep chromosome:LupAngTanjil_v1.0:LG04:4350791:4355131:1 gene:TanjilG_19483 transcript:OIW14104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGYSDPTQPLLAKDDDVVISATEHGGRRRLGSRRNSVNSLRTSFFSKLPEKIRSSLDAEAPFDSDLSSTPALTEGEKEYYEKQFATLKSFEEVDAVVLSDGIGEENKEEQAQQERAMKISNYANIILLILKIYATVKSGSIAIAASTLDSLLDLMAGGILWFTHVAMKNINIYQYPIGKLRVQPVGIIIFAAVMATLGFQVLITAVEQLIENSPPERMSYEQLIWLYSIMLTATVVKFILWLYCRSSGNKIVRAYADDHHFDVVTNVVGLVAAVLGDKFYWWIDPIGAILLALYTIINWSRTVMENAISLVGQSASPEFLQKLTYVTVMHPQIKRVDTVRAYTFGALYFVEVDIELPEDLPLKEAHAIGESLQIKLEKLPEVERAFVHLDYECDHKPEHSVLNKLPNTQA >OIW14055 pep chromosome:LupAngTanjil_v1.0:LG04:5251312:5252863:-1 gene:TanjilG_11400 transcript:OIW14055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRRNVHYTPLDTDDNDDIGDRNRPFDPRFDYTPKALDKVPWKSIVLALFLLFLGTGLLFLSYFIFTGHMGGDQSQGFGLLALGFLSFLPGFYETRLAYYAWRGAKGYRFSAIPDY >OIW13342 pep chromosome:LupAngTanjil_v1.0:LG04:14991341:14991979:1 gene:TanjilG_02862 transcript:OIW13342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNNVSTHNQLPNKEKRKASEYPEVNLRSSKKMVIDQQSASKNQEKGKGLSVVEEPEVTHDKNKVTLEEEPNASNNSKYPKIFGVILIPFKGMQKGPYPCSLCEKSFLTPQALGGHQNGHKWEQTIKQSKEGIQLFMASNNKHSNFPSFQGDGLGGTFQLAGRNSFNDGTMYFSQNISARFNNEFGTRHMNEAKEIQSSGKSGFDFTFFAKK >OIW12654 pep chromosome:LupAngTanjil_v1.0:LG04:25911696:25919655:1 gene:TanjilG_24587 transcript:OIW12654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSMVKQMIKLIEEDADTFAMRAEMYYKKRPELMELVGEFYRAYRALAERYDHATGVIRQAHRTMPEAFPNQILMMDEIDAEPHTPDTSHLSRTFLETYEPQNDASTNFPSVKRNRDHSEEPDSAINKIGLKQLNDLVLPEEQVNIAKIAVGHARRELSFLGTQEESIRINNESHDSRTQVLSESERVTEAVTEVIALKGALAKLESEKEAGLHQYQQSLEKLSNLESQVSHAIEKSQGLDERASKAESEAQVLKESLTKLQAEREASLNQYQKCLETISNLEKNISFAQKDAGELNERATRAETEAESLRQDLARIEAERDVVLVQYKQCLESLSKLEERSKEAEDNARRINEQADKSEKEIEALKLKVAELTEEKEDAALHYQQCLEIISILEHKLSCAEEDVHRLNSKVDDGAEKLHSSERKCLLLETSNQTLQSELQSLAQKLGSQSEELSEKQRELGTLWASLQEERLRFITAETAFYLSGHYMDSMVKQMIKLIEEDADTFAMRAEMYYKKRPELMELVGEFYRAYRALAERYDHATGVIRQAHRTMPEAFPNQILMMDEIDAEPHTPDTSHLSRTFLETYEPQNDASTNFPSVKRNRDHSEEPDSAINKIGLKQLNDLVLPEEQVNIAKIAVGHARRELSFLGTQEESIRINNESHDSRTQVLSESERVTEAVTEVIALKGALAKLESEKEAGLHQYQQSLEKLSNLESQVSHAIEKSQGLDERASKAESEAQVLKESLTKLQAEREASLNQYQKCLETISNLEKNISFAQKDAGELNERATRAETEAESLRQDLARIEAERDVVLVQYKQCLESLSKLEERSKEAEDNARRINEQADKSEKEIEALKLKVAELTEEKEDAALHYQQCLEIISILEHKLSCAEEDVHRLNSKVDDGAEKLHSSERKCLLLETSNQTLQSELQSLAQKLGSQSEELSEKQRELGTLWASLQEERLRFITAETAFQTLQNLHSQSQENLRSLAADLHGKAEILENMESNKHALEHEVHRVKEENKILNEHKISSSLSIKKLQDEILNLREIIEKLEKEVELRADERNALQQEIYCLKEELIDLNKRHEAMLEEVGSTELDPQCFGASVKQLQDDNSKLKETCEAIKGVKASLMVKLDVMEKILEKNSVLENSLSDLNAEMESVRGKVKVLEETCQSLLEEKSTLAADKDTLFSQLQVKTEKLEKLSEKNNLLENSLSGVNAELEGLRVKLKMLEDRCQLFDDEKSSIISEKETFVSQLNISQKTLKDLEKQCNELELKHLEVKGERESALQKVEELLVLLYSEREEHTRVMKLNEDDLAEKDLRIHTLKEDVNFQKKEHEEELDRAVHAQTEIFILQKCMQDLEEKNLSLLVEPERLSEASKMSEKMILKLETENVQKRVDVNSLSEEIRTLRTGLLKVLKTLDTNNEHLCEDKLEEDQILLNHIHRTLQETQKSFVTTSNKNQQLDIENSVLVTFLRQLKLKVENVLSERDALREEFKLQSKQLLALQIEDQKILEKNQEMKLTIGKGEERMKVMTTEIENLRKKMSDSEEGYKSLQEQSCKTLEETKSLMKRCIDLGEEKSILEEEICSATLAQSNISLIYQNTIFEKLLELKELSEDLDKLQFVNNDLEERLKIMALKFEDAEMENLHVKESFVKSNVELKLVESVNDQLSSQISTEKELLSQKEIELLEAANMFCALQTEKTELRRMVEDLKVKYNEARGTLEDQANQILKLSSDKDHRNEELECLIEVNQKLESEMRHLYQELGETKLRENELNYELHKGTDDIEQWETQAETLYAGLQISAVNETLFEGKVSELADMCENLECQNYTKDMESKLLKETVRKLEDENGRLRSHLAAYVPAISALNDCITSLEMHTLVHAKPHEHEESKVQDSVDHQYNESGRQIDDDHTLMALNALLDFQDMKRRTIAIEMAVKQITGSFKPKDEIRGAKVNQHGPSNEIEVLPKNITLDQTSESSSYGISRRRTLVDDNKMLDLCETADQDGITEVDMTQNMAPRGANNNNQALIPQGEVGYMERDNKYPSSESLVEKELSVDKLEISRRLAQPHEEGNMSEVLERLDSDAQKLTNLQITIQDLVKKVEITETGKKGKSVEYNSVKDQLEAAQETITKLLDANHKLKKNVEDSRLSFDERAVVQSDEIGSVSRRRITEYAQRGSEKIGQLQLEVQRLQFLLLKLSGGKESKEKAKVADHRSPKVLLRDYLYGGTRTNNQKKKKIPCLFACVKTLTKGD >OIW14158 pep chromosome:LupAngTanjil_v1.0:LG04:3456449:3464386:-1 gene:TanjilG_21298 transcript:OIW14158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDNNTDRLIQKIATIFDEARTSYATHNRKLKELSLLRSKFLSISQFFSAFSQTLTPLFDFQRRIASADRVVSFVSAFAAARDPARESDSDEFLELFLKFLLVAAVAANKTARFRACQIVSEIILRLPDDAEVSNEVWDEVIECMKLRIGDKIPLVRTLAIRALSRFVNDTVNSDILALYLEQLPLEQNADVRKMIVLSLPPSTATSQVIIDCTLDVSESVRKAAYRVLANKFPLHSLSIKLRTLILRRGLADRSAAVSKECFKLLKDEWLVKCSNGNPLELLKYLDVETYESVGESVMEALLEADLVKLQSGASIQQYISSNGDTIEGDSLHCQPSIQLMEAEAALYWRTVCKHLQSEAHAKGSDAAATMGTEAEVYASEASDKNDLLEKILPVTVSDYIELVRAHINAGSNHRFACRQLLLLGVMFDFSDATNRKAASAFLHEMMCTPPEHEVDNEGNVVIIGDGLSFGCDNDWAEAVARLARKVHATPGGFEEVILAIIEELAQPCRERTADFVQWIHTLSLTGLLLKNAKSLRLLQGKAIEPDELLQSLLLPGAKHAHLNVQRIAVRCLGLFGLLERKPSAELLKQLRISYIKGPHPISIEACKALMDLGMWHDPQEVDRVLKHDNSCQINCDKKSFSPVNFSESEGDLDVGLLDLLYGGFEKDDWASPLTSNEDECVYAVLGEGFAKILLLSENYPSIPDSLHPVLLSKLIYLYFSDASEHLQRWYPFIYFPAHLYSRIFGNRGGSPFMVSQMRKRAVQASRFMLQMMQVPLYVKETQLESENSSVEHPQVIDSSGEVAFECGEEGLALRLAIEVVSFHSKKTAAEKAYVSALCKTLVLLHFRLSEQQAIKLMRRLLILMLEHVSSEKDLVKELKHMAEHLTTVDRQLNQELLQDDVNLILGKLEVDFNLDLDNSVAMLPQTPAAPPTRPTRRRRVRIEEEDSSDEASPASEVPTTHNTVRCRSERASKTAALNKMSARRSLKTDEIDETEDQEEVDSDVTSEDYDGSE >OIW13322 pep chromosome:LupAngTanjil_v1.0:LG04:14531370:14536258:1 gene:TanjilG_02842 transcript:OIW13322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLFPLLMLFIVFLSAEINGQNGGRSEMVPAMFIFGDSLIDNGNNNNLPSFAKANYYPYGIDFNGGPTGRFSNGYTMVDEIAELLGLPLIPAYTEASGDQMLHGVNFASAAAGILDVTGRNFVGRIPFDEQLRNFENTLNQITRNLGADAMATALAQCIFFVGMGSNDYLNNYLMPNYPTRNQYNGQQYADLLVQKYNQQLTRLYNLGARKFVVAGLGLMGCIPSILAQSTSGSCSEEVNLLVQPFNANVKTMLGNLNTDLPGATFIFVDIARMFQHILVNARSYAYLYRVGHMHSSYLFLI >OIW14186 pep chromosome:LupAngTanjil_v1.0:LG04:2901849:2903430:-1 gene:TanjilG_21326 transcript:OIW14186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVELCCGVVGESESQTPIEPTSRRRSLDVLPLKYIADVAPSQPGSSRKRPKLDLSDCQNAVENRKSVHDGDNQTKEEGDSNVNDKVVSGTEVIEQLPPPKFGITSVCGRRRDMEDSVSVHPFFSQQDFHYFGVFDGHGCSHVATMCKERLHQILNEEIREAQEKNAELELEWKQMMEKGFGRMDDEVQKRSHNHNQSIRCRCELQTPHCDAVGSTAVVVVVTPEKIIVSNCGDSRAVLCRKGVAIPLSSDHKPDRPDEMNRVQSAGGRVINWDVPRVLGVLSMSRAIGDNYLKPYVISEPEVMVMERSNDDECLILASDGLWDVVSNETACGVVRMCLKAERPPLPPGSPGTVDGSDRACSDASILLTKLALARHSSDNVSVVVVDLKRDRQFSCRNVNNNNNVN >OIW13399 pep chromosome:LupAngTanjil_v1.0:LG04:12844349:12844540:1 gene:TanjilG_20294 transcript:OIW13399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEDKILEDTPLMIQSAIALEMAATMSMESSSYIELMSLALFIGRPETCADGQMLESSNVVHI >OIW12861 pep chromosome:LupAngTanjil_v1.0:LG04:24248019:24250503:1 gene:TanjilG_24794 transcript:OIW12861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIWPPKSVKDSGSRLHSKLKEVIIQAGVEKNLFGNHPVSLSVTETRGPPPAFASDPLIGRENHGNSDALDGYSPATGSEPPKLSATDIVEVDGPNSAGNFIRGSSPVGPGATTRKGPVYVERQNSEISYYADDEDANRKKYTRRGPFHHKFLRALLPFWSSALPTLPVTAPPRKDAANAAEASEGRTRHQRSSRMDPRKILLLIAIMACMATMGILYYRLVQRGPGEEVSSDEQI >OIW14227 pep chromosome:LupAngTanjil_v1.0:LG04:2013023:2018101:-1 gene:TanjilG_21367 transcript:OIW14227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNRGNEAPLEPNEYTPRLRRQKSSTYRSQYINSKELKICVGTWNVGGRLPPDDQDIDDWLRVNEPADIYVLGLQEIVPLNPGNIFGAEDTRPVPKWENIIRETLNRVRPSAPKIKSFSDPPSPSKFKPSDDVSDIEEEILLESDSDIGEEVHPLDEEHNVYDGGTDGTITDDIMKAHLSASDAVDIPNSGVPVELALQRQFSFPKRFDRLHSFNIERSSEKNMDTSFSQQTTKLTRMLSGSERIGLSWPEPPLHLLSQRVLQRPTSFKSVKSFKSSKSFKAYNSFKSIMNDMPGMGLLPEIDLEALMKRKRRSSYVRIMSKQMVGIFITIWVRRSLRKHIQNLKVSTVGVGIMGYIGNKGSISVSMSIYQTLFCFVCTHLTSGEKEGDEHKRNSDVHEILRRTHFHSVSYIGLPKKIIDHERIILFGDLNYRINLPNAETRALISKKQWPNLVEKDQLMRELKNGGVFGGWSEGTLDFPPTYKYEINSDKYHGEDPRIGKRSPAWCDRILSYGKGMRLLSYRRAELKLSDHRPVSATYMAEVEAFCPRKLQRALTFTDAEIENEEVITNLGRWGN >OIW12491 pep chromosome:LupAngTanjil_v1.0:LG04:27190691:27192184:1 gene:TanjilG_04655 transcript:OIW12491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSFIMPQQPPKQETKEGEEELQALVFDASVLRHQLHLPKQFIWPDEEKACLDVPELHVPLIDLGGFLSGDPLASLEASRLVGEACLKHGFFLVVNHGIDNELISNAHLHMDEFFELPLFQKQRAQRKVGEHCGYASSFTGRFSSKLPWKETLSFQFSAHKNSPNLVQDYLCNTMGKDFDQSGKVYQDYCEAMNTLSLGIMELLGMSLGVDKTCFREFFEENNSIMRLNYYPPCQKPELTLGTGPHCDPTSLTILHQDQVGGLQVFVDNQWHSISPNLNAFVVNIGDTFMALSNGRYKSCLHRAVVNNKITRKSLAFFLCPRSDKVVSPPCELVDNFSPRLYPDFTWPMLLEFTQKHYRADMKTLGAFTNWLQLKTS >OIW12574 pep chromosome:LupAngTanjil_v1.0:LG04:26654867:26655462:1 gene:TanjilG_04738 transcript:OIW12574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEKAALLGGEIEEVVDSASEQEEKHVRTKVPEVQIQLYRQGRGPIAVFKTNLGGWEQDQLEVRDILEQYGFKSIYAFNPRSGRGVPIRFNPRNGRSILTYRDAAVVYVDGEPKIRAIRAIVAFVNRK >OIW12850 pep chromosome:LupAngTanjil_v1.0:LG04:24357865:24358904:-1 gene:TanjilG_24783 transcript:OIW12850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNDEGQITELYIPRKCSATNRLITSKDHASVQINIGHLDESGVYNGNFTTVALSGFVRAQGDADSSVDRLWTKKKVEVKQQ >OIW13139 pep chromosome:LupAngTanjil_v1.0:LG04:20208198:20209106:-1 gene:TanjilG_32120 transcript:OIW13139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHPWWTSQVGLSRVDHPGTHSPVIITKLHTDLTINETSSGGNNRDLEDEDNNGEDQEPKEGAVEVGNRRPRGRPAGSKNKPKPPIFVTRDSPNALKSHVLEVASGADIAECIAQFARRRQCGVCVLSGSGSVANVTLRQSSAPGDAVSLHGRFEIQTLIGAFLPGPSPPGCTGLTVYLNGGEGQVLGGSVVGSLVAAGPVMVIAATFSNATYERLPLDDHDDEGPSGAGTTVVHEGAPAAGGSPPQLPQIGSMSGGQLQEAGIPDPSTSLPLYNLPPNLLHNGGHMGHEAFNAWANGRTPY >OIW14066 pep chromosome:LupAngTanjil_v1.0:LG04:5107732:5109087:-1 gene:TanjilG_11411 transcript:OIW14066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METIKHKSAINNGSNRLVKTFHKVISFRNVTKIASNNDTEYPFTQRLKTHQANDKDKETKARHRAVMEALIARLFSGVATIKASYAELQIAQNPYDNEAIQKADQAVVYELRAISCLKQCFLKKELNLSPPVTLMLAEIQEQQSQMEIYQITIKKLEAQVDVKESEISFLTNKHSECIAFNKSLEKKLHSSRSLLMFENENLHFSALKPTHFINFLHHTLISMKCFVKLMIREMELAHWNLEAALNSIHHDAVLSKQSHQFFAFKSFVCMKMFEGFNNPSFSSESSLEKHNNHFEFEEFMKFKSLNPKQYVSQNPNSSFARFLKSKYLEVVHAKMECSFSGSLNQKKLVNGGFVPDSEFFMAFADLAKRVWCLHCLALSFEEYVTIFQVKKNSRFSEVYMDCAAVEELVSKSGEAADSDSGELRVGFTVVPGFLIGKTVIQSEVYLSPVSC >OIW13365 pep chromosome:LupAngTanjil_v1.0:LG04:13955521:13955676:1 gene:TanjilG_16474 transcript:OIW13365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKIEELDESAAKLRPKKNHQPSRFFVFVDYIFLFLFIVFLSFIVFKILGV >OIW13822 pep chromosome:LupAngTanjil_v1.0:LG04:7488445:7490534:-1 gene:TanjilG_31711 transcript:OIW13822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVESAASIVIPKGQVDLLDFIDWSSVECLNQSSTHSVSNALKQGYREDDGLHLESDADEQLLLYIPFTQVIKLYSIVVIGPEEEGPKTVKLFSNKEHMGFSNVNDFPPNDVAVLSPENIKGKPVILKYVKFQNVRSLTIFIEDNQSGSELTKVQKILLYGSTVETTDMKGLKKIEDH >OIW14137 pep chromosome:LupAngTanjil_v1.0:LG04:3777249:3777737:1 gene:TanjilG_21277 transcript:OIW14137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKNKDASCGNKVKPQVTNLESWKLAMNTNMQFSAGIDDGSHDSSLPMSNEKKRFREDVTKKGIMGPLITPRVETKDTQKTMQTRDGPRGKMMTREPEGDVASKMGDGSVSPTQLTTIGGYRYTQHSDAIGVGTNSVFFGKQGHPLDPGEGAWSITKPNTSI >OIW13660 pep chromosome:LupAngTanjil_v1.0:LG04:9497141:9499961:1 gene:TanjilG_08002 transcript:OIW13660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNSKEPVSEDREIESVEFPMSEFDGCNNFWDDDIMHQKTSIQYEEANKVPFLGDKEPLSSLAAEYQSGSPILLEKIKVLEEQYAAIRRTRGDGNCFFRGFMFSYLEHILESQDQHEVDRVKENVEKSRKALQTLGYPELTFEDFFSLFLEELDCVIQGKETSISHEELVLRSRDQSISDYGEFVTSAAVQKRSEFFEPFILGLSNTTVEQFCKSYVEPMGEESDHVHITALSDALGVPIRVVYLDRSSCDTGGVSVNHHDFMPVDGDLPNASGSSEKKNPFITLLYRPGHYDILYPK >OIW13949 pep chromosome:LupAngTanjil_v1.0:LG04:5891245:5893886:-1 gene:TanjilG_09300 transcript:OIW13949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIMIRTIINDLKSRSQRVVQDASSMDSVSDGLLQSCWAHMPQELLREVLIRIEASEDTWPQRKSVVACAGVCRSWRHITKEIVKIPQFSSMITFPISVKQPGPREHLLQCFIKRNRATQTYHLYLSLTSTLFDDGKFLLSARKCRHPAGTDYMISLDSNDGSKGSNNHVGKLRSNFVGTKFTIYDDQLPHPGAKVTKSRSTRLANLKQVSPKVPTGNYPVAHISYELNVLGSRGPRRMHCAMDTIPASAIEPGGIAPTQTEFSLKNLDMFPSFSFSRSKSNDEENSVSGHLGDQNDGVLVLKNKAPRWHEPLQCWCLNFHGRVTIASVKNFQLAASPENGPAGPEHDKIILQFGKVGKDVFTMDYRYPLSAFQAFAICLSSFDTKIACE >OIW14335 pep chromosome:LupAngTanjil_v1.0:LG04:262143:264592:-1 gene:TanjilG_25121 transcript:OIW14335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVEFQAYTNESQQQKFVLPVDSEHKATEFRLFSIAKPHMRAFHLSWVSFFSCFVSSFAAPPLIPIIRDNLNLTATDIGNAGVASVSGAVFARIAMGTACDLVGPRLASASLILLTAPAVYFTSIISSPTSFLLVRFFTGFSLATFVSTQFWMSSMFSAPVVGSANGFSGGWGNLGGGATQLIMPLVFSLIRDIGATKFTAWRIAFFIPAFFQMLTAFSILILGQDMPDGNFHRLKKTGEKSKDKFLRVLYHGITNYRGWILALTYGYCFGVELTIDNIIAEYFYDRFNLKLHTAGIIAASFGLANIFARPTGGLISDAMGKRFGMRVVVMIIFSVFVQAACGMTFGVVPFVSRRSLGVISGMTGGGGNVGAVVTQLIFFKGSTFSKERGITLMGVMIIICTLPLFSIYFPQWGGMIFGPSSKKVSEEDYYLGEWNSKEKDKGSHHASLKFADNCRSERGRKVNNLTIPSDEVTSPNI >OIW13275 pep chromosome:LupAngTanjil_v1.0:LG04:16072069:16077104:1 gene:TanjilG_25754 transcript:OIW13275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEERNESRYVKLKKDQAPVEDINPGELNQPIDVPQLTVHKCFECGQPLPESYAPPADEPWTTGIFGCAEDRESCVTGLFCPCVLYGRNVESLNEDTPWTGPCICHAIFVEGGIALAAATAIFNGVIDPGTSFLIFEGLFFTWWMCGIYTGQVRQSLQKKYHLKNSPGDPCCVHCCMHWCALCQEHREMKGRLSDNLFSEMTIVNPPPMQEMKSTDDKENPEASSSAKNNGHTDMEMLAL >OIW13166 pep chromosome:LupAngTanjil_v1.0:LG04:18723282:18724253:-1 gene:TanjilG_17522 transcript:OIW13166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYYPILFLLFLTLPFSSAKLTLDYYKAKCPDFEKIIKNALELACPGIVSCSDILAQATRDLVKMVGGPYYKVRLGRKDSRDSDAKRVDANLPTTKMTMSQIIDKFTVKNFTIRDMVALTGAHTIGFTHCKEFIDRIYPIIDPTLHPKLAIGLRHICQNYTLDTSMAAFNDVRSPSTFDNAFFKNILKGLGLLTTDYLLSVDPRTRPIVEEYAKDQQAFFVDFAKAMEKVSVLGVKTGNKGEVRNRCDQFNNNIHA >OIW14099 pep chromosome:LupAngTanjil_v1.0:LG04:4439537:4442382:1 gene:TanjilG_19478 transcript:OIW14099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITCKSPFRWIQKVTNDFGTDIACIRLGNVHVITVSCPEIACEFLIKQDVIFASRPTNWSSEYVSLGYLTTALAPYGEHWKKMKKVITNEMVSPLKHQWLHGKRVEEADNLVRYVYNKCKNDGFVDVRDAAQHYDDGHKRELKKAVNILRKYHDPIIEERIQQWINGTKTNKDDLLDILITLKDADNNELLTMEEIKAQIVEVMLATVDNPSNALEWGLAEMLNQPELLKKATEELDNVVGKGRLVQESDFPKLNYVKACAREAFRLHPIVDFNIVHVAMSDTNVANYFIPKGSHILIRRQGIGQNPRVWKDPLKFIPESHLKSDGSNLVLTEPSLNLITFSAGRRSCPGIMLGTSMTVMLFARLLHGFTWSVLPNESCIDLSESKGGTIKAKPLLAFAKPRLSPEVYAIY >OIW13437 pep chromosome:LupAngTanjil_v1.0:LG04:11707983:11708492:1 gene:TanjilG_05327 transcript:OIW13437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMFHEEIKIDQLTAGSVLSACEKMGCVGLLSGKSVHGFMVKNGWELNVEIGDALVNMYAKCGNLRSGARVFEMMSERDVKSWTALICGAAKHGFSEEALVLFEKMLVSGVKPNELTFTAVLSACARAGLVEEGCRYFKMIEENGMKPRIQHYACLVYLVGKAGNLDEGL >OIW13773 pep chromosome:LupAngTanjil_v1.0:LG04:6711758:6712066:-1 gene:TanjilG_31662 transcript:OIW13773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDLMMRTSFICLPALRLHALYILLPFPIFLLSSYILRIITPGSREKGDRKRWRRVGIDGIVDSTDSTLQHDDPTRFHKGVPKTEIEHGLEVEDRKFVRSHE >OIW12490 pep chromosome:LupAngTanjil_v1.0:LG04:27194430:27195474:-1 gene:TanjilG_04654 transcript:OIW12490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKQFTFLLLLLLILFFAFGFTESQSSYVLMPHVTDSFNVSYIQMNNADTCLYSLVISTSCSSPKYTRDQISIAFGDAYANQIYAPRLDDPASGTFESCSSDTFQISGPCAYQICYVYLYRSGYDGWKPESVRISGYNSRPVTFYYNTYIPSDAWYGFNLCNHASSSFQVSTKTWFICIVLGLFLNFWI >OIW12730 pep chromosome:LupAngTanjil_v1.0:LG04:25327602:25329611:1 gene:TanjilG_24663 transcript:OIW12730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQMNKGKNFMSIATQCESLLRKYSSSNSLSQTKQLHAFILTYGLFSSSRLSSILATTYAQCNHASYASYLFDNLTQRTLYSWNTMMRMNVQIGRPHDALNLFVEMLASGHVMPDNFTYPIVIKACADLSFVRMGVGIHGQTFKGCFDLDTFVQNCLLAMYMNVGEKEAAQLVFDLMKEPTLVSWNTMINGYFRNNFTEEALRVYSRMMDLGVEPDCATMVSVLPACGVLKNVELGREVHVIVREKGFWANMSVRNAMLDMYVKCGLMKEAQLLVNEMDEKDVVTWTTLISGHILNGDAKSALMLCPMMQCEGVKPNSVSIVSLLSACGSLVSLNHGKCLHAWAIRQWLDSEVHVETALIDMYTKCNHDNLGYKVFMKTSKKRTAPWNAVMSGFIHNRLAREAIKLFKKMLVEDVKPDNTTFSSFLPAYSFLADLQQATNIHCYLIKSGFLYRLEVASVLVDIYSKCGSLGYAHQVFNIIPLKDKDIIIWSVIIAAYGKHGHGEMAVSLFNQMVQSRVTPNQVTFTSVLHACSHAGLVDEGLSLFKSMLEQHKIIPHVDHYTCIIDLLGRAGRLNDAYNLIRTMPVTPNHAVWGALLGACVIHENVELGEEAARWTSELEPENTGNYVLLAKLYASVGRWRDAENVRDMVNEVGLRKLPAHSLIDARNM >OIW13690 pep chromosome:LupAngTanjil_v1.0:LG04:9325123:9325812:1 gene:TanjilG_08032 transcript:OIW13690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFEHYFSDERKSKSIPNAVTEAENYNGCFDCNICLDFAYEPVVTLCGHLYCWPCIYKWLHVQSDSLAPDEHPQCPVCKADISHTTMVPLYGRGQASSQSHHDGKPSSCCDIFIPPRPSASSAEALLGTSSQSGQQLPYRNPYQGHHEEDSSPQLLNPGYQNPVVGMLGEMVYARVFGNSENLYAYPNSYQLMGSNNPTLRQQEMLIDKSLNRVLIFLFCCFVLCLIVF >OIW14087 pep chromosome:LupAngTanjil_v1.0:LG04:4890349:4890852:-1 gene:TanjilG_11432 transcript:OIW14087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGKKLNLTYIVSDAKRKVTLKKRKNSLIKKMGEITTLCGIEACAIISSHNELQPEVWPSHFGVQNVLSKFKRMSETERYMKMLNQESFMNQRIMKAQEQLKKLRNENKKKETTLLMYQCLRNGNVDENIKMANMNDLSWMIDQTLKDIDKKITKGQPEEAMNGKKG >OIW13507 pep chromosome:LupAngTanjil_v1.0:LG04:10118378:10119666:1 gene:TanjilG_29248 transcript:OIW13507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPYIILLNPRFTLQLRRVPNSQRNHISLFFDLFLSSVKMSLGETASTYAALVLHDAQIPVTADNISALLKSANVKVESYWPTLFAKLAEKRNVGELIASAGGAGAPVAVAAAPVAAASGGAAAAAAPAPQEEEPKEESDDDLGFGLFD >OIW13168 pep chromosome:LupAngTanjil_v1.0:LG04:18745836:18748395:-1 gene:TanjilG_17524 transcript:OIW13168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNEGSDVREGELEKSFQAPISMPELSPFHDLQHLDQFRNEEMEGNFEELFDVALPPSEGTMTGFGSGSNHQPMSNSTSELIFQPSQTVQPPSMPQGYSQV >OIW12945 pep chromosome:LupAngTanjil_v1.0:LG04:23242789:23244516:-1 gene:TanjilG_15865 transcript:OIW12945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTLTIQVITGRWFVVFVSFLIMAAAGATYMFGLYSSDIKETLGYDQTTLNLLSFFKDLGGNFGVLSGLINEITPPWVVLSMGSVLNFFGYFMIWLAVTKKIKKPQVWHMCLYICIGANSQSFANTGSLVTCVKNFPQSRGVVLGILKGYVGLSGAIITQLYFAFYYNDTKALILLIAWLPAAISFVFLRTIRYMKPVKQPNELSVFYKFLYISLGLAGFLLVMIIVQEHVSFTQSEYGVSAAVVLFLLFLPLAVVFGEQLKIQQNQKRAFFDPSSVKIATEAGNSNSNSSSLSTARVPTAAEETKWWENVFSPPERGEDYTILQALFSIDMIILFIVTTCGVGGTLTAIDNLGQIGTSLRYPKTSISTFVSLVSIWNYLGRVFSGFVSEHCLTKYKFPRTLMLTFTLLISCVGHLLIAFDVTNGLYAASVIIGFCFGAQWPLVFAIISELFGLKYYATLYNFGGVASPIGLYILNVRITGHLYDKEANRQLIASGVLRKVGQELNCVGASCFKLSFIIITAATFIGAIISLVLVARTIKFYKSDLYQRYKEQPVGEAAVVGKGGKGGQEPKVGM >OIW12871 pep chromosome:LupAngTanjil_v1.0:LG04:24160178:24160749:-1 gene:TanjilG_24804 transcript:OIW12871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRGGITNAKILLLRGAKGSESRVGKFSGTASKSCGGVSKIEDSGAAFWVPHPRSGIYFPKGHEWVMDDVPEGAACFPQNYWFRNVDGVDSPNTILDEPIPHSL >OIW12987 pep chromosome:LupAngTanjil_v1.0:LG04:22808588:22811013:1 gene:TanjilG_15436 transcript:OIW12987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRTPCCEKVGLKKGRWTEEEDDILRKFIQKNGEGSWRSLPKNAGLLRCGKSCRLRWINYLRGNLKRGNISAEEEDTIVKLHASFGNRWSLIATHLPGRTDNEIKNYWNSHLSRKIYSFRKLATTTDTNPTPKLIIPPKRRSGRTSRWAMKKNKTYTQKATRIPKQIIPQQNINEATQQKENNNINETAVEVPLPPTPLLERESDFMAFDPDAEDKLEFCDEPSFYEDGKRLDSNYSLNYSSGDMDISFPMEEVKTLMHDILLDGEKQISDHMIEGLYYQQVDEFINDSGGALSYGDQDIMDNCVVEPCGISSEDSGAIKAMNGGYSETSNEVDDLCRDKMQTIEDLNSGCVNLITRSTGENGEWFYDNLDWENVMAFTNYGDNNESDAREYKEDLLTWLWKDDDWESDCNTLGEIDPQAQNDMVAWFLS >OIW13236 pep chromosome:LupAngTanjil_v1.0:LG04:16569214:16571248:1 gene:TanjilG_14169 transcript:OIW13236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQLTDDQIAEFKEAFSLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDMINEVDGDGNGTIDFPEFLNLMARKMKDTDSEEELKEAFRVFDKDQNGSISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGYINYEEFVKIMISK >OIW13968 pep chromosome:LupAngTanjil_v1.0:LG04:6087045:6087536:-1 gene:TanjilG_09319 transcript:OIW13968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIITRKRRRMMLESPPPPSPSPSSPPTVILPEDLMIEILSRVTECNPLQLRCVCKWWKSLVLDPQFVKNHLHRSITDINDLASKALDDMNAFESQLNFAPEAVAEEVEEELERSLMNELDNMLMVVQSLKGSLETIKVDVQEISDRMKCLQSFLQIYLKTVM >OIW12619 pep chromosome:LupAngTanjil_v1.0:LG04:26323854:26327169:1 gene:TanjilG_04783 transcript:OIW12619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKDVEITERGSFSGKDYHDPPPTPFIDVEEFTKWSFYRALIAEFIATLLFLYITVLTVIGYKSQSDVKAHGDICGGVGILGIAWAFGGMIFVLVYCTAGISGGHINPAVTFGLFLARKVSLPRAILYIVAQCLGAISGVGLVKAFNKSLYTRYGGGANSLNEGYSTGTGLGAEIIGTFVLVYTVFSATDPKRNARDSHVPVLAPLPIGFAVFMVHLATIPVTGTGINPARSFGAAVIYNQSKPWDDHWIFWVGPFVGAAIAAFYHQFILRAGAAKALGSFRSNPTV >OIW14336 pep chromosome:LupAngTanjil_v1.0:LG04:247031:252793:1 gene:TanjilG_25122 transcript:OIW14336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDDSGVESDNFDWNTEDELEIENFHSSSSCLTNVPNGEATTVSGEASSSAGSSCSKLCDHFVGMGFPLQMVCKVIQEHGDENEDKLLEELLTYSVLKCAPKSQVKVEPNPCSSDCAASSWDDLSDTDDASSDYEEITKSVSVNDGKLLSLVKMGYKEEESLIAIERLGPKSSLEELVDFISAAQVVKAEDVLLPSEDQSRYVDNAKLKKQRYYKYEVLGRKKPRIREKKILNNEDDDDDDDEVLHLPNPMIGFGVPTKSIFITHRKLPKEATGPPYFYYENVALAPKGVWQTMSRFLYDVEPEFVDSKYFCAAARKRGYIHNLPIENRFPLLPLPPRTIKESFPLTRKWWPSWDPRTQLNCLRTSISSVKITDSIRKNMEDYDGEPPQHVQKEILYQCRSFNLVWVGRNKVAPLEPDEVETLLGFPRNHTRGGGISRTDRYKSLGNSFQVDTVAYHLSVLKKIYPNGINLLSLFSGIGGAEVALHRLGIPLKTVVSVEKSEVNRNVVRSWWEQTNQKGNLIDMNDVQDVGVECLEQMMNTYGGFDLIVGGSPCNNLAGSNRVSRNGLEGKESSLFFDYFRILDLVKNITTNN >OIW13266 pep chromosome:LupAngTanjil_v1.0:LG04:16358444:16368533:1 gene:TanjilG_25745 transcript:OIW13266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRQPQSEWLGHALDMTRQPQSEWLGHAVDVTRQPRSEWLGHTLDMTHQPRSVLHDHTPSLVHLPRSEWLTHASVSHGHIGKAAAPDMDNMVTVTMGILAHLTLPRELVATNVVHEVAYPSSNLLWPLLYKASCFNSSNIYFLMASNSQKEKDSPADVKVGVAENKNPKDETSSADPSTGQRAASAPSTGFPPNPFDFSAMSGLVDDAVCFHALCEHVTSHIEYFEGSEPTIQLYLPSPSELSRDPSIKELAEQIAQDPSFTQMAEQLQKTFQGTTQEGIPNFDNQQYFQTMQQVMQNPDFMTMAERLGSALMQDPSMSTMLESFTNPSNKEQLEERMARIKEDPSLKHILDEIETGGPTAMMRYWNDEEVLQKLGQAMGLPNSGGAAASAENSVPDETDDVGNEDESIVHHTASVGDVEVKCAQVLIEAGAKVDALDNNKNTALHYAAGYGRKECVALLLENGAAVTLQNLDGKTPIDVAKLNNQHEVLKLLEKDAFL >OIW12847 pep chromosome:LupAngTanjil_v1.0:LG04:24382515:24383198:-1 gene:TanjilG_24780 transcript:OIW12847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMSYKVNTNLCMALFFFLLVTSTHASRPAPASSLHISNNSQNKIFEEESVNMEESSCEGIAEEDCLMRRTLAAHTDYIYTDKHKP >OIW13095 pep chromosome:LupAngTanjil_v1.0:LG04:21204497:21208321:1 gene:TanjilG_08128 transcript:OIW13095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKENFCGVGHAHAVVGGEAPDSFHVAPRIENNLDFSMATVPVPALATEGKKKRGRPRKYGPDGKVAAFPLSPMPISSSIPLTGEFSAWKRGGGRPVESIKKSTYKYEVESPGPGEGIAYSVGANFTAHVLTVNAGEDVTMKIMSFAQQGSCAICILSANGSISNVTLRQPTSSGGTLTYEGRFEILSLSGSFMPTDNGIARSRSGGMSVSLAGPDGRVMGGGLAGLLIAAGPVQVVVGSFLTGHQLEHKNKKQRLEHISTITTTHVNPISNDGGIKFSFGGFKPIMTPAAFQEENIASYNNVQDSRNSSADDKEAFLEDSNPSQ >OIW13724 pep chromosome:LupAngTanjil_v1.0:LG04:8767264:8778433:-1 gene:TanjilG_08066 transcript:OIW13724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCKCRSHGTFPLFGLQSSHLNICYYHQDLHRRPLRPGSRPRFCSDRRALLLIGAWPLPRRPDERFARQYRCGPPPEFPLASPRSGIVHHLSGPDRYALTRTLHRRSGSVGGATHKGIPPISFLAPYGFTCPLTRTHVRLLGPCFKTGRMGSPQADARSTQVPKHTKRRALPTTIAMMTSPRACQQPGLGPPSQFASVHAPSRLADRLSPFHIRPRHIAGPHPLPSRQFQALFDSLFKVLFIFPSRYLFAIGLSPVFSLGRNLPPDWGCIPKQPDSPTAPRGATGSGHDGALTLSGAPFQGTWARSAAEDASPDYNSDTEGDRFSWRALGLMASGATCVQRLDGSRDSAIHTKYRISLRSSSMQEPRYPLPRVFRISVSQRRPHEHRLRADGGELNDFNFLGAFRAGVLLLGQEDTAEGVAPPDTRGTEVQWTSHNVAGSEPPTSPQSEHFTGPFNRQIAPPTKNGHAPPPIESRKSSQSVNPYYVWTCGVLKATSADPWSASFMVETRTLFVFHKSKNFTSDYEIRMPPTVPVNHYSDPEGQHNRIRILCAGGTTRPVKARSASPAEGTSRPVHTNGGPIDPTQAVSQAPSPESNPNSPSPVTTMTALTSQLPLTRPPVRQTRRYHTDHSPQCQWQASKWKHMVPPDPLRRARTEKVTAKTDRDKGSKFIRLPNPPTTHFRRTGSALRASPNTTSFHGEKGLTGNIFPEVRTYFPRCAHISRVLSRLFDARGRGPEGPVPNPSPDRHAATRSRRESSSSSPPTADGFGTGTPVPSPQSQSFSRGYGSILPTSLAYIVPSTRGCSPWRPDAVMSTTGRGRHSVLRIFKGRRGRTGHHATCGALPAAGPYLRLSRFQGGQAICTDDRSARAHAPGFAATAAPSYSSGPGPCPDGRVSAQLGTVTQLPVHPASPVLLTKNGPLGALDSVAWLNKAATPSYLFKSFAPIPKSDERFARQYRCGPPPEFPLASPRSGIVHHLSGPDRYALTRTLHRRSGSVGGATHKGIPPISFLAPYGFTCPLTRTHVRLLGPCFKTGRMGSPQADARSTQVPKHTKRRALPTTIAMMTSPRACQQPGLGPPSQFASVHAPSRLADRLSPFHIRPRHIAGPHPLPSRQFQALFDSLFKVLFIFPSRYLFAIGLSPVFSLGRNLQHGWGCIPKQPDSPTAPRGATGSGHDGALTLSGAPFQGTWALSAAEDASPDYNSDTEGDRFSWWAFPGSLAVT >OIW13122 pep chromosome:LupAngTanjil_v1.0:LG04:19939954:19944589:-1 gene:TanjilG_32103 transcript:OIW13122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSSPTSADNFDADRRLREAEERLRDAIHELQLRQRRAAAAQHHHENNKLDSPPCDHSPDESCVAHAIGNLCQTFLLSYGVRVGIGILLRAFKLARGHSYSSLLDLKQLVSEKDLIVREEACRIGLLFGGFTGSYHALRCLLRKLRKKETPMNAILAGSVAGFSILALNDKNRRRTLALYLLARLAQCAYNSAKSKNKFHLWGSHWRHGDSLLFALACAQVMYAFVMRPESLPKAYQDFIQKTGPVAEPVYKAVRDSCRCYPVDVASLHAYLSRIGKPDFVKLEEFPSIIPCSIIHPGTNSCLAHQGKATSATFKKTFPLYFSLTFVPFVVLHLQKFTSAPFRTCWFAIKGAIRSTSFLSAFVGIFQAVICLHRKVAPRDHKLVYWIAGGVAALSVLLEKKARRGELALYVLPRAVDSLWYISVNRHLLPDLRNAEVFLFSLCMGGIMYYLEHEPETMAPFLRGLIRRFLASRISNPCPPSNTTASTYLQALDGMTKPELVEKKNSESSSEKLNLESIPGL >OIW12935 pep chromosome:LupAngTanjil_v1.0:LG04:23457409:23460067:-1 gene:TanjilG_15855 transcript:OIW12935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEGRGSTLVQILLVALCLVAFGFAIAAERRRSVGIMLRDERTNETYCSYNSDVATGYGVGSFLFLLSSESLLMGVTKCMCFGRPLTPGGSRAWVTFLGAEACLVAGATKNAYHTKYRGMIYAQNFTCETLRKGIFVAGAVFIVATMILNVYYYMYFTEATNTPASHKANRASSTVGMTGYA >OIW14237 pep chromosome:LupAngTanjil_v1.0:LG04:1835753:1837273:-1 gene:TanjilG_21377 transcript:OIW14237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAYAIKPTKPGLEEPAELIHKIRITLSSKNVKNLEKVCTDLVRGAKDKHLRVKGPVRMPTKVLNITTRKTPCGEGTNTWDRFELRVHKRIIDLYSSPEVVKQITSITIEPGVEVEVTIADA >OIW14161 pep chromosome:LupAngTanjil_v1.0:LG04:3330470:3333496:-1 gene:TanjilG_21301 transcript:OIW14161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMHFLLLLFTMSVVVVASDEEPFIGVNVGTFLSDMPHPTQVVALLKAQKIQHVRLYDADQAMLIALAKTGIQVSVSIPNEELLAIGQSNSTAANWVSRNVVAHYPATNIISICVGSEVLTSMPNAAKVLVNALKYIHSALVAANLDRKIKVSTPLSSSIILDSFPPSQAFFNRSLNPVLIPILDFLQSTDSYFMLNIYPYYDYVQSNGAISLEYALFKPLPPNKEAVDSNTLLHYSNVFDAVVDAAYFAMSFINYTNIPIVVTETGWPSKGDSNEPDATLDNANTYNSNLIKHVLNTTGTPKYPGIATSTYIYELYNEDMKQGPLSEKNWGLFDENGVPIYILHLTGSGAVLANDTTNQTYCIAKDGADPKMLQAGIDWACGAGKVDCSPMLQGQPCYEPDNVIAHANYAFDTYYHQMGKSPESCDFNEMATISTSDPSQGSCVFPGGLGNNGTFSNITGASLNSTNSYSSACNLYIFDLRGLVMVIGLLIWGMVLL >OIW14024 pep chromosome:LupAngTanjil_v1.0:LG04:5592619:5592783:1 gene:TanjilG_11369 transcript:OIW14024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCILYLPQKKVTLGMNGHREGEPRSEQRRRQQPNGKPYSRQSMQGSTKRQENR >OIW13283 pep chromosome:LupAngTanjil_v1.0:LG04:15864826:15871339:1 gene:TanjilG_25762 transcript:OIW13283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESPNGASHWDNWVGEALSTLDSLKVLRSLRPISLRTQKNENVSDEAAAFKVFDEMQPWDRSSVEVEIAQTTFHRWMHDTPSSGDEFVYTAVSGEDEPVAYDEKFKKLTLFSGNDYLGLSSHPTIGRAAAMAAQQHGMGPRGSALICGYTNYHRLLESSLADLKKKEDCLLCPTGFAANMALMTAIGSIGSLLAGNSIPSEDETIAVFSDALNHASIIDGLRLAERQKSVKVFIYRHCDMTHLNKLLSHCRMRKKVVVTDGLFSMDGDFAPMVELADLRKKHGFLLVIDDAHGTFVCGKNGGGVAEEFNCEKDVDICIGTLSKAAGCHGGFIACSKRWKLLIQSRGRSFIFSTAIPVPVVAAAHAAVEVAKHESWRRKAIWNRVKDFHLLTGIPVTSPIISLIVGSEDKALQASRHLLQSGFHVTAIRPPTVPPNSCRLRVALCAVHTREDMENLTAALSRCINFQDTRIYDDFNGYARL >OIW13246 pep chromosome:LupAngTanjil_v1.0:LG04:16870540:16871007:-1 gene:TanjilG_14179 transcript:OIW13246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSSSTEAASLPPPEVPSGHHSPSSDSIDSDPSSFKPTTVPRTQEQHASLWFSSNSFISHGEPSIKISKVMLILFFVVEAINTLPTSNLSIFKVVSSTLIKTPTESATSLKKSIVQTWIGTPTHFTHVTLSLGTYEKFHFLTDLKNEMHPFSSS >OIW14051 pep chromosome:LupAngTanjil_v1.0:LG04:5294391:5296638:1 gene:TanjilG_11396 transcript:OIW14051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNSPINGNNDNVVVSIPIWQKFLAEVLGTYFMIFAGCGAVVVNLDNEKVITHPGISVVWGLVVMVLAYTLGHISGAHFNPAVTIAHASIRRFPLKEVPPYIVAQMIGSLLASGTLRLIFNGRKNQFIGTLPGGSDLQAFVVEFIITFYLMFVISGVATDNRAIGELAGLAVGSTVCLNVMFAGPITGASMNPARSLGPAIIHNEYRGIWIYIVAPFLGAVAGTWVYHFIRYTNKPVREITESASFVKGQRGTV >OIW13865 pep chromosome:LupAngTanjil_v1.0:LG04:7892468:7894555:1 gene:TanjilG_31754 transcript:OIW13865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYRLFSSHPSLFFNGRKPTSLSSSSRNFKFPNYPSPTPTSHSITHANSHDPDANSLSLSKNKIWVNPKSPRVKQLLKKSSSSRYNNNPLVKVTESLDSCRTENEVSIILKNALGDKFLERDAVFILNKMVNSNNAPIVLNLFLNNINPSKDKEVILYNVTLKVFRKCKDIEGAGKLFDEMLQRGAKPDNITFSTIINCARMCGAPGKAVEWFEKMPRYGCEPDDITCSAMVYTYARVNNVEMALKLYERAKAEKWRLDTVTFSTLIRMYGMSRNYDGCLSVYEDMKALGVKPNVAMYNTLLNAMLRAKRAHQAKVIYKEMTDNGISPDLITYAALLRVYVAAQFKEDALGVYKEMKENGMEMSTVLYNVLLSMCGDVGCTDEAIEIYEDMKNAGTCQPDSWTFSSLITIYSCSGKVSEAEAVLNEMIESGFEPNLFVLTSLVQCYGKAKQTDNVVKIFKQVLNLGTTPDDRFWCCLLTVMAQTPKEELFKLIDCIEKANSKLGSMVRDLVEEREGDGDFRKEASELFSSVDAEVKQPFCNCLIDLCVNLNAPKKAQDLLDLGLMLEIYTDIQSKSQTQWFLHLKKLSLGAALTALQVWIGDLSKALASGEDLPPLLGINTGQGKHKYSDKGLASVLESYLKELNAPFHEAPEQAGWFLMTKAAAKSWLESRSSTEPISASSSMDLGVPAMALHH >OIW14191 pep chromosome:LupAngTanjil_v1.0:LG04:2829485:2831427:-1 gene:TanjilG_21331 transcript:OIW14191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKALILVGGFGTRLRPLTLSVPKPLVDFANKPMILHQIEALKAIGVNEVVLAINYQPEVMLNFLKEFEAKLGIKITCSQETEPLGTAGPLALARDKLIDDSGKPFFVLNSDVISEYPLKEMIEFHKSHGGEASIMVTKVDEPSKYGVVVMEETTGQVDKFVEKPKLFVGNKINAGIYLLNPSVLDRIELRPTSIEKEVFPKIAAEKKLYAMVLPGFWMDIGQPRDYISGLRLYLDSLRKNNSSKLASGPHILGNVIVDETAKIGDGCLIGPDVAIGPGCIVESGVRLSCCTVMRGVRIKKHACISSSIIGWHSTVGQWARVENMTILGEDVHVGDEIYSNGGVVLPHKEIKSSIPNPEIVM >OIW12587 pep chromosome:LupAngTanjil_v1.0:LG04:26552180:26555658:-1 gene:TanjilG_04751 transcript:OIW12587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTKMRFLLVIGVVFLIHFFCVDAEEPSFSLDGKVLVLDESNFESAISSFDHILVDFYAPWCGHCKRLSPQLDAAAPVLANLKSPIVIAKVDADKFTRLARKYDVGAFPTILLFNHGVPTEYHGPRKAELLVRYLKKFAASDVSILDSDSAVSKFVEEAGTFFPVYIGFGLDSSVIEKLAIKYKKSAWFSVAKDFSEDLMVLYDFDKVPALVSLNPKYNEQSTFYGPFEEEFLEDFVKQNLIPLAVPVSRDTLKLMEADDRKIVLTIVEDENEERSRELVKLLKAAASANRDLIFGYVGVKQLEEFAEKFDIRTKLPKMVIWDKSDDYLSVVGSESIEGENQLTLISKFVEGYREGRTIQKTISSPSLMKFLHGSFDIRMVYFLVFIVAVVILIQSIYNGGDEYQRVPNEEHVHHSSNSVSEVESKEYYKAGVKED >OIW14110 pep chromosome:LupAngTanjil_v1.0:LG04:4160694:4162714:-1 gene:TanjilG_21250 transcript:OIW14110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQSSEFMDVADSDFLDGKELDDEDSGSDSEFEKYIAFSTAQNRLFGRKRPLHVVLGSGKFADIILWRDKQISASIMAGITIIWLLFYKMDYTLLSFICDSIILLLSMLFFWTHLSSFIDISQPKLSYFILPESLLANTALSMTHELNQILTTFGVLASGQDLKTFLLVTITLGSASALGNWFTAATLFYIVTMLLMIVPAVYERHEDIVDILAEKAFVELHNLYAELMKKFFGKSLHLEDNTLE >OIW12598 pep chromosome:LupAngTanjil_v1.0:LG04:26481111:26482514:-1 gene:TanjilG_04762 transcript:OIW12598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSEDELLNLTLSVAADRERKKKGKIIIRDHNNIASSMSTTHSMNPYEDCYEGKIFRLLQVRDQMLRQDHRLWNKGVIVEEGNGLPLLLTAATLVDNNNFGSALENLTELYKIVSMKGDSVQRVVAYFSDGLVARLLTRNSPFYDMLMEEPTTGEEFLAFTDLYRVSPYYQFAHFTANQAILEVFEKEEEKNNRAIHVIDFDVSYGFQWPSLIQSLSEKATSGNRISLRITGFGKNLKELQETESRLISFSKGFGNLVFEFQGLLRGSRVINLRKKKNETVAVNLVSYLNTLSCFLKISDTLGFVHSLNPSIVVLVEQEGSRSPNRTFLSRFTDTLHYFAAMFDSLDDCLPLESTERLRIEKKLLGKEIKSILNYYDIDGVDCPKYERMETWKTRMENHGFGGTKISSKSIIQAKLLLKMRTHYCPLQFEEEGGGGFRVSERDEAKAISLGWQNRFLLTVSAWKPV >OIW13301 pep chromosome:LupAngTanjil_v1.0:LG04:14071485:14075105:-1 gene:TanjilG_02821 transcript:OIW13301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGDCCRMDNASSAIAYFEESVELLSKLPKDDLEVLDVAVSLAKVADTDRNLGDEKLATSGFQNAIELFESMTLNSEACRLWSNG >OIW13090 pep chromosome:LupAngTanjil_v1.0:LG04:21297310:21303021:1 gene:TanjilG_08123 transcript:OIW13090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVITSSFNMLLLPPPYSTTIHFASSKSLQFHFHTHYPKTITFSNSSSSPSLVHNDDLETLLQILPSDLHHNLLNQTKRPQLLEVILDLGRFPEARYLGQHGGQCIRNTEVTVKELEYAQEAVGEFGRDNRAGIEGTLHRISAIRSRKGGIVGLTCRVGRAVSGQIDMVYDLLQYGKSILFVGRPGVGKTTVMREIARVLSDEFHKRVVIIDTSNEIGGDGNIPHAAIGGARRMQVPEPSMQHRVMVEAVENHMPEVIIVDEIGTEAEAHACRSIAERGVILIGTAHGEQLDNIMKNPTLSDLIGGIETVTLGDAEARARNCQKTILERKAPPTFDFLIEMRDMHYWLTHQTDKSVDMLLRGKSPQVEHFVFLIQTGYNMTFDYEYIMKTPNFVVIIVVRHLMIKLPPGTALGGDSTKLPFVSILTVAIYTLPMSTSATWVPIPSTRRIGARLHSAIRAESIASVTEKLGIKIQRNPPESKLTELGVRQWPKWGCPPSKFPWTYEAKETCYILEGEVKVTPNGANESVEIGAGDLVVFPKGMSCTWNVSVGVDKHYKFE >OIW14128 pep chromosome:LupAngTanjil_v1.0:LG04:3979610:3981772:1 gene:TanjilG_21268 transcript:OIW14128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEKESAVAAAAPRTPMQLMMGSLSGEELEGNGSVLRSAETLLRLVPIGLCVSALVLMLKNSEQNDYGSVDYTDLGAFRYLVHANGICAGYSLLSAAIIVAMPRPSTMPRAWTFFLLDQVLTYIILAAGAVSMEVLYLAENGDPATTWSSACGSFGRFCHKVTASIAITFVAVLCYVVLSLISSYNLFSKYDAPVVRSPTTAIDVAAFHG >OIW13959 pep chromosome:LupAngTanjil_v1.0:LG04:5980527:5983395:-1 gene:TanjilG_09310 transcript:OIW13959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKGTSGGRKGRRNKKKLRVDPSSLEPNKIVPSPQHESTEYEFSSVKHEMSPGQVQAAASKLLNVSQGNSSRSELDFALTNAVDALELRLNRRTEGNGADGCPDFKRKELTEARLEELILADLHIRFTRLIKKIAALSSCTEEAATKAMLRFSNCSGGSDTFSNIFDNTLAFLRNGQEIDLSKEHCFEDLVHLEKYVIAEMVCILQEVRPPLSVRDALWRLLVFDLNLLQACEVDTEPLSCLRCHHRTSDGCSSVQTESQSKLEAQGHELKLPIPFKSVPSGNPGAQNLKNSQVLGGLSEKEGDSVDKSLSTAATSQSHRMVELCGCFRKFHSNCTNRDYTLRQKFIVEKTRPANGPRGPSKTGKVASLNALILNKNVKSVMETTTINSKSASSSNISNTVGLDRSEDRSSTSPIRCNNEDPNSDCLRIPDNKSLTEWIPQENKDELILKLVPKVQELQDEIKKWTEWGNEKVMHAANELSKDKDLLTTLKKERDENEQLLKERQYLEESSLRRISEMESALDNARDKVGLANATVRKLEAQKAAFKKEMEAANLRAAESAKSFQEGLKREKDTQLKIQSEDKEKSLFQEILVTEKHKFAQLQQELKQAKEKKEQAEVRWRQARKATEEVLQRASSIRKERERNEEATKYKEEQMKLKAEKSLQSYEADIKKYEEEIAELRRKENNSKIAAIRSEIGDEEAWNTFVAELVTDMNDFSLSGRVKRERECVMCLYKEISVVFLPCAHQVVCEECNELLVNQGMQDCPSCRTPIQKRMSVRHAVRGQSYVFG >OIW14065 pep chromosome:LupAngTanjil_v1.0:LG04:5133963:5139021:1 gene:TanjilG_11410 transcript:OIW14065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRAVRHRVLKDCNGDISDHLRNHIHLTNCIHLKNHMHKNSPILADRSLMRDLVVLQRSRSLRDPSASPPSWHSPSVVDVLFKRAESDAVVQEGRRSIGVERRKGGRRLPGTSPPLTSIGTTRVAQGEGNVGDDGVPGTSERSSKSGVGNGRRVVREESGRKNDRPDYFDVSQEQQFSQAGKSLAEDVISRHSESKERKSKQRVKNFHAKTLSEQLNDVPLESDDLASTNMHFHGRFPRQERIIEQAETSRCSHGSGLNRGKRRKFRNTRRTRVATGSRDIGAENELSVASNSLAQGSAHQKYETEEADNYVDQNVTRAPKNGCGIPWNWSRIHHRGKSFLDLAGRSFTSCGLSDPKLKKGVLTANGRNIPEMPVASEYSSSSTKSDGESLPLLFEGSRSHGSTENACRDHDYFGELALFGNNLFKHDIDSDLASEARSGDQHNLRGNRHSRHQSLTQKYMPRTFSDMVGQNLVAQALSNAVIRRKVGLLYVFYGPHGTGKTSCARIFARALNCNSSEHPKPCGFCDYCIAYDMGKSRNIREVGPVSNFDFESVIDLLNNMVVSKLYSQYRIFIFDDCDTLSPDCWNAISKVIDRAPRRLVFILVSSSLDVLPHIITSRCQKFFFPKLKDADIIYTLQWIATKEGLEIDKDALKLIASRSDGSLRDAEMTLEQLSLLGQRISVPLVQELVGLISDEKLVDLLDLALSADTVNTVKNLRVIMETGVEPLALMPQLATIITDILAGTYDFTKERRRRKFFKRQPLSKEDMEKLRQALKTLSEAEKQLRMSNDKLTWLTAALLQLAPDQQYLLPTSSDNSFNHSPLALNNAHVQQASRITGNPVEIPGKARRLSMDDRIENFHAESSTNGVTRGVNSEKRRHSVSGVAPQHLYSRTTNNSRMNERQIFGKNRKAIEEIWLEVLERIQITGLKEFLYKEGKLIFVSFGAAPTVQLMFSSQLTKSTAEKFTGHILQAFESVIGSSITIEIRCETNNYASSVQQPLKLPGINNGSSQIRDSNGDAIQYHPSLTDSVDKRTGEIVEEAASPVQHPNDEQQIGAHAASHRSPGSTGIGQASASQKEPIVKSHLDRRKLCEQGQIRSLVRSKLSLAHVIQKAEGQRNGWSKRKAVSIAEKLEQENLYRA >OIW13182 pep chromosome:LupAngTanjil_v1.0:LG04:19009328:19011889:-1 gene:TanjilG_17538 transcript:OIW13182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCGSNPKTDEGPVPVAQPVTKEIKVEQKEEEKKVETKTEETTHVIDDKSLDTLLNENVEEAQKTKEVKTEVDEIKAETKTDEIKVQEENPKKEEAKKTEA >OIW14016 pep chromosome:LupAngTanjil_v1.0:LG04:6521609:6526260:-1 gene:TanjilG_09367 transcript:OIW14016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAILSSAVFATSPLKFTIPKHPSLLSPSSQLQFHPLRYNPLSSRSPLLRVRATVLKDEEEEVIIQESFPSKTSSSPFDVAKETNADSLNTTPGAVEKWAIKVEQSINIFLTDSVIKILDAFYHDRNYARFFVLETIARVPYFAFMSILHMYESFGWWRRADYLKVHFAESWNEMHHLLIMEELGGNAWWFDRFLSQHVAVFYYIMTVIMYAISPRMAYHFSECVENHAFETYDKFIKAQGEELKKLPAPEVAVNYYTGGDLYLFDEFQTSRIPNTRRPKIENLYDVFVNIRDDEAEHCKTMKACQTHGNLRSPHSYTEDDDVNAVCDPEADCEGIVDCIKKSVSSNPAKLK >OIW13853 pep chromosome:LupAngTanjil_v1.0:LG04:7831399:7833057:-1 gene:TanjilG_31742 transcript:OIW13853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTSKKHATSPDVYLYHQPVQGIDPYTSYQILQSNSCHDIHDSSSSQGTTASFETIKDQYFTLDSSPAIIGLIGCDSPSYASVSSDTSHFSPQGSQSYNSDQQQSSNNNYGSPISVYSSADDDYELKHKLRELEISLLGPESDIVESCQCCFKGDHQGGSPIDKYNWTHIVEMIPKLDLKEVLVLCARAVSDEDFQTVIGWMDNVLVKMVSVAGEPVQRLGAYMLEGLRARLESSGSLIYKALKCEQPTSKDLMTYMHVLYQICPYWKFAYISTNVVIGEAMQNEPRIHIIDFQTAQGTQWMLLMQAFACRPGGPPFIRVTGVDDSQSFHARGGGLDIVGKRLSDYAKSCGVPFEFHSAAMCGSEVELKNLVIRPGEALAVNFPYVLHHMPDESVSTENHRDRLLRLVKSLSPKVVTLVEQESNTNTSPFFQRFVETLDYYTAMFESIDVARPRDDKQRISAEQHCVARDIVNMIACEGAERVERHEPLGKWRSRFSMAGFAPYPLSSSVTGAVRNMLNEFNENYRVAHTDGALYLGWKNRPMSNSSAWRCY >OIW13536 pep chromosome:LupAngTanjil_v1.0:LG04:10357496:10362018:-1 gene:TanjilG_29277 transcript:OIW13536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQYEILEQIGKGAFGSAFLVKHKHEKKKYVLKKIRLARQTERSRRSAHQEMELISKMRNPFIVEYKDSWVEKGCYVCIIICYCEGGDMAEAIKKANGVMFPEEVVGTPSYMCPELLADIPYGSKSDIWSLGCCIYEMTSLKPAFKAFDIQALIMKINKSIVAPLPSKYSCAFRGLVKSMLRKNPELRPSAAELLGHPHLQPYVHNIHLKINSPRRSTLPGHWEQPNYMKKTRFLKPDDSVSIYRDKWHSLSNDQTSNPSVSGADQDSLCSTQEIDCTTDYLNQRLAELCVGDSHEVKSNIKPAVSRTSSVAKTPKLTSSKASATHNKSMKPANNHKELPISHSTKQPVHRTGRASLLLPRSGAVQHPPRRATIALLSNVNSLSISVNAPHIDKMAEFPLALYDDQLFTISRPLSTSARDSSNFPLCSNDSTMIDKRTVEVCDIACVKPSSDTWQGIKRSMFKEKNEDKSGSYDKNATAGASSRNSSDLHRQQFDTSSFQQRADALEGLLEFSARLLQQQRYDELGVLLKPFGPGKVSPRETAIWLSKSFKVNTFTRNSPPKLL >OIW14284 pep chromosome:LupAngTanjil_v1.0:LG04:990624:991694:-1 gene:TanjilG_21424 transcript:OIW14284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGNKDEALRCLRIAEEAIAIGNKDRALKFIKIAQRLNNSLPLDSLLNQCQRLDSQSSSVTSRASASSSVKSKTPVSEGLNGERNYTDENVQLIREIKGKSDYYAILGVERSCSVEEIRKAYRKISLKVHPDKNKAPGSEDAFKKVSKAFKCLSDDSSRRQYDQTGLVDEFEYNQQNYTNVRRRRRRATTAHDFFEDEFDPDEIFRTFFGQSNVFDRARVYRTRAATSNHNNRQESQVGSGLNIMLLIQLLPFLLILLLIYLPFSEPNYSLHKNYAYQIPKTTEEYGVQFFVKSQGFDANYPPGSPARARIDESVVKDYRNMLHRFCKIEMQRRTWNRNLPAPHCEKLKSFGVASA >OIW13274 pep chromosome:LupAngTanjil_v1.0:LG04:16087301:16087591:1 gene:TanjilG_25753 transcript:OIW13274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEGDDMQSKKESSLMKVKVLFFARARDLTGLSDMTFEVQSGSTIHDCLEKVLVKFPGLEEIRGCIVLALNEEYTTESTIVKDRDELAIIPPISGG >OIW14317 pep chromosome:LupAngTanjil_v1.0:LG04:511425:517917:1 gene:TanjilG_21457 transcript:OIW14317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIYKPDGSEHVVMGINGQFPGPTIRAEVGDTLDIALTNKLHTEGTVIHWHGIRQLGTPWADGTAAISQCAINPGETFHYRFIVDKPGTYFYHGHYGMQRAAGLYGSLIVDLPKGQSESFHYDGEFNLLLSDFYHTSSHEQEVGLSSKPMRWIGEPQTILINGRGQFNCSLAAKFSNTTLPQCQLKGGEEWAPQILHVEPNKTYRIRIASTTSLASLNLAISNHKLIVVEADGNYVQPFAVDDIDIYSGESYSVLIKTDQDPKKNYWLSIGVRGRKPNTPQGLTILNYKTISASIFPSSPPPITPLWNDFEHSKTFTKKIIAKMGTPQPPKNFNRRILLLNTQNSFNGFTKWSINNVSLTLPTTPYLGSIKFKLNNSFDTNPPPEKFPSDYDIFKPPVNPNTNIGNGVYMFQLGEVIDVILQNANQLSGVGSEIHPWHLHGHDFWVLGYGEGKFKQGDEKKFNLTHAPLRNTAVIFPYGWTALRFRADNPGVWAFHCHIEPHLHMGMGVVFAEGVHKVKNIPTQALSCGITGKMFFKPGHY >OIW14149 pep chromosome:LupAngTanjil_v1.0:LG04:3569062:3571291:-1 gene:TanjilG_21289 transcript:OIW14149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNGIHLILFILLCSSMKCKSWGWFSSSKEAPPSSERSSYSNEGTLRDSNSEFSIEGFNDQKGMMLLENAKNKIIGSNTCWQNAYKHLFSGCSEILASNEKRSRFAWYLSDCFQKDSGRHAFPQCDQKSSMAKCLKALDDLSHKVYLEFYLETNSICHQLQSHAFKHEVEILVTELKSSSQYVEDKLDSIDEKSEHILQGSKQIHDSVISIDSHTQQVALTAKKVEDHIGDVLRHSESVYEQTTKIAVSQSQLQEGQEDMKRNLQDGVALLKESYNYLGTEIEKLRDEAIVIENEVHKVGDAMSLQMSTLQSKAEDIGNMTGISLDKQQELLDGQSTALKGLNSLTEYQSKALDESRKTLQYFAEYGHKQQQELLQRQEQIQGLHDRLMENSKSILSAQESFESKQATMFVALDKLFALQNAMLLESRMIKAFFIYAISIFVIFMLTSTRQTYNVRPWLYIGLCATLFIEVSIIRLTSDNIEQQTWIINMVRLSFMVAASVQLLYAICTFRNYEMLNHQMLLTLINKINSMEKQKELSWDLNSDYVDYAEWVDKDLPDDVNCFDDPDFVLPEEVGENSITAPTATRSYNLRLRYHSR >OIW13317 pep chromosome:LupAngTanjil_v1.0:LG04:14434470:14434982:-1 gene:TanjilG_02837 transcript:OIW13317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKLSLLDTESTGSCESIGSIKINTNTSSPLPAYTFKCFDCCRTFPNLIAQIKHRSENGSCISQVRSNMNKSMINQLSHPQPTLEPSLPMLERGSGYLKSNHPDMQHEAMLIGDDNDDHDDCSSLDNKTLDLISMLDSTQKIMGLIENHSSLVLQKRKGIVDLNLKLGF >OIW13000 pep chromosome:LupAngTanjil_v1.0:LG04:22565724:22568338:1 gene:TanjilG_15449 transcript:OIW13000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAMVVGAFGSPMLPSTLLSNSKSLRNPRFCVSFSSPSSSGSTTVQIFSLLCVVHEFDSYNLKLNQVKQQQQGEIAALKPQYKPGVFDDLFLNLFRSKLVQELGWDSNKPGYDGLIELANRLMMKGTTNSDTIEAAVRVLTTLFPPYLLDLYKTLIAPLGGGKISAIMVARVTALTCQWLMGPCKVNSVEIEDGVSCNSGVYVERCKYLEESKCVGICLNTCKFPTQTFFKDHMGVPLLMEPNFADYSCQFKFGVLPPLPEDDSVQKEPCLEVCPNAARRRVVARNINVTSCPKT >OIW12766 pep chromosome:LupAngTanjil_v1.0:LG04:25083814:25086440:-1 gene:TanjilG_24699 transcript:OIW12766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPLFKGIGTNAMWAVMTVVVVMEFTVGKTLCKGLNRGLGTLLAGSLAFLLEYIADYPGRIFRAVFIGAAVFLIGAAATYCRFIPYIKKNYDYGVLIFLLTFNLITVSSYRVDDLWIMARDRISTIAIGCGLCLVVSLLVFPNWSGEDLYNSTISKLEGLANSIEVCVMEYFTDPENQAARDDSSVDRIYEGYKAVLDSKAKDETLALQASWEPRLSRYCHRIPWKQYARVGVALRHFSYTVVALHGCLQSEIKTPGSIRALYKDSCIRLAEEVCKVLRELANSIRNTRQLSPQLLSTNLNEALQDLNNALKSHPQLFLGSRNARTANTPARAVTDPEQQKHEEDSRPSLTSFKLKIDSSSGLVCKSKEHSGEPLKKVLRPQLSMIAITTLEFSEALPFAAFTSLLVEIVAKLDQVIDEVEELGRVAHFREFRDDDKIVVTCERQNLHTVHSGMPSYGGE >OIW13616 pep chromosome:LupAngTanjil_v1.0:LG04:9731288:9733847:1 gene:TanjilG_07958 transcript:OIW13616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFRSIVRDLRGSFGSLSRRSFDVSLSGHHRGKSHGSVQDLHDQPLVIQTSRWASLPPELLYDVIRRLEESENTWPSRKHVVACAAVCQSWRNMCKEIVKSPEICGKLTFPVSLKQPGPRDGMIQCFIKRDKSNLTYHLFLCLSPALLVENGKFLLSAKRTRRTTYTEYVISMDADNISRSSNTYIGKLRSNFLGTKFIIYDTQSPYSSAHICPPGTGRTSRRFYSKKVSPKVPPGSYNIAQVTYELNVLGTRGPRKMHCIMHSIPASALDVGGTVPGQPELLPRSLEDSFRSISFSKSLDHSIDFSSARFSEIGGSCNEGDDEKMRPLVLKNKPPRWHEQLQCWCLNFRGRVTVASVKNFQLIAATQPAAGAPTPSQPAAPAEHDKIILQFGKVGKDMFTMDYRYPLSAFQAFAICLSSFDTKLACE >OIW14246 pep chromosome:LupAngTanjil_v1.0:LG04:1728997:1733895:1 gene:TanjilG_21386 transcript:OIW14246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVTLNLHVDTFHKCLSEEFCRSSNKAKNCIPKLAGGSSPEPFEVDDVDGAEVLVTDLSREVVDVAEHALSCEVAAVDGGKVALAEIKCGLRYDVSNIHENVSRTPAPVGLTMYEGLARTRWCLSEEFCRSSNKAKNCIPKLAGGSSPEPFEVDDVDGAEVLVTDLSREVVDVAEHALSCEVAAVDGGKVALAGNE >OIW13142 pep chromosome:LupAngTanjil_v1.0:LG04:20243150:20252039:1 gene:TanjilG_32123 transcript:OIW13142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDYPGSPGTVLGFVLRMSQFFFAAGSIASMVTTSGIFNFTAFCYLIASMCLQVIWSFMLALLDAYVIVRKKVINNPVLVSLFVAGGIVVAGLIIYIQLWCIEKKGPVFVTVFNPLSTILVAILAYFVFGEKLYLGR >OIW13485 pep chromosome:LupAngTanjil_v1.0:LG04:10836064:10838479:1 gene:TanjilG_01053 transcript:OIW13485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIDLKAGGKSKKTKRTAPKSNDIYLKLLVKLYRFLVRRTGSKFNAVILKRLFMSKVNKPPLSLSRLIRYTKGKEGKIAVVVGTITDDIRTYEVPPLKVTALRFTETARARIEKAGGECLTFDQLALRAPLGQNTVLLRGPKNAREAVKHFGPAPGVPHSHTKPYVRSKGRKFEKARGKRNSRGFRV >OIW13079 pep chromosome:LupAngTanjil_v1.0:LG04:21503877:21505937:-1 gene:TanjilG_24426 transcript:OIW13079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQNKEVVKGVEWRINVGDGSSERVGHGYMWAMTAKLAMKLYIFVKKAWELGVNDPRKFIHCLKVGIALTAVSLFYYMKPLYDGVGGNAMWAVMTVVVVFEHTAGATIYKTINRICGTSLAGFLAFGVHWVASKAGDEFEPIIVGVSIFLLASAATFSRFIPTIKARFDYGAMIFILTFSVISISGYRIDKLMDMAQQRISTILIGTCLCIIVSLTICPIWAGQELHVLVTGNLDKVANSLQGCVDQYFSHSEASAEVNDEESNKKLLGYKCVLSSKGTEDVMANLARWEPAHGHFNFRHPWKQYLKVAASMRSCASCIDALIGCINSENKAPDHIKKNMSSISLKVGANCASLIKELAITMRNMKKSSKVDILIMEMNNSAQELQHLLKSYPNLVNAQDIETEVAAEIEISLVEIIQVVTIASLLIEIVARVKCIGRCVEELSDLAKFQPAICDKSKHHTVDNKINPDPEKDEEAINNKLEIV >OIW13461 pep chromosome:LupAngTanjil_v1.0:LG04:11078696:11079004:1 gene:TanjilG_22252 transcript:OIW13461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELMSVESSRGDVNVRTTNDNKTLANKNRTSEYFYYEPSPRRERLKVVTKSKKSSWWNDPEKKRKRRVAKYKLYASEGKFKHSIKKGFRWIKIKWIKIVTNL >OIW13404 pep chromosome:LupAngTanjil_v1.0:LG04:12373001:12375916:1 gene:TanjilG_19756 transcript:OIW13404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVGSMSSPKLPSLVDLCVQKVIDNIRYLGNVGSVELYLLERILPHCTLDQLMHVEKASEGSDLSPVTDKLWKRFFEKQFGTNCTNEVIRRMQAKRVSFKWMQLYEAKLKEVAEAENEAVDRIKQRYKKEDAKKQSRQVRLCTKVPPSSKKRYWGDNGPGYNVSNVKSNIMKKAKIEFLKSPEVKNLAAMKRNSIQRNVSSSSIMKTGSISRIASTSKDPKSTKRII >OIW13285 pep chromosome:LupAngTanjil_v1.0:LG04:15824345:15832083:-1 gene:TanjilG_25764 transcript:OIW13285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRFIAVSAISTVLSFVGLQFCSDFSLHTLKSDGLIRWNLVQLDSANHEIELPLGLFTTIGLLVNCMINVFILLNLCLKAMFFTELYSSESHKLIERLINYVVYKGTFLPLIVPPTIYHAGLWSTWLAVLCSLKMFQALARDRLERLNASPSATPWTYFRVYSALLFVFLVDVLWIRLCLTIYKTHGSSLFLLLFFEPFSIAFETLQAILVHGFQLFDIWCNSSDCGRSKLFDSLTAGSLLEWKGLLIRNLGFFLDMATFFMALGHYLYIWRLHGMTFHLIDAVLFLNIRALLGAIVNRIKGFIRLRIALGALHAALIDATTEELRAYDDECAICREPMAKAKRLNCNHLFHLACLRSWLDQGLTETYTCPTCRKPLFAGQPENEANSTTEEISSDEQLARQISAGLDRANSGRQNSPAGLYPNQTPNTVEDVPWRGAGLDAGWLNSWPNEGVDGAGPSTAIRTVGLGRVETMMRHLASVGETYAQTAFEDAAWSLWPINPSQASASGSTIPSAGGRLPGGNGSLHIRTASQSANDDVTNILAMAETVREVLPHIPDDLIFQDLQRTFSVSVTVNNLLQM >OIW12932 pep chromosome:LupAngTanjil_v1.0:LG04:23499925:23500461:1 gene:TanjilG_15852 transcript:OIW12932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMINGPRPSTLMINKDSHLIRKPSISDKKQHKQKRVPIVIYTQSPKIIHTEAQDFMALVQSLTGMSSSKEKVPHQPDQKAYEESKYNNNSSKEGGSETEICVKKEDYDETLVKWGANVENSSPSNIMRFADMPLCTPNSSHFFCSSRSVFKCSDNPYGILGSLISPSGLEFMKELPEY >OIW13641 pep chromosome:LupAngTanjil_v1.0:LG04:9605217:9609000:1 gene:TanjilG_07983 transcript:OIW13641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSPAPFSVSTDSTHCILSPPTSKRQIFGPTLKLWKIKHAHLRKLIPLRSDQGFALKASMGPPQLTKQLNNNNKRVTLSGAEDGCDIFNDLKHRFLSFKHKYMVCPSNILGFQPGEAFIVRNVGNLVPPLESGPSETNAALEFAVNSLLIENILIIGHSCCGGIRALMSMQDDENASSFIKSWVIIGKNARIKAETVASNLSFDEQCTHCEKESINNSLSNLLTYPWIEEKVAKEELFVHGGYYNFIDCSFEKWTLDYRGTKLEENGRIAVKNKVFWS >OIW12939 pep chromosome:LupAngTanjil_v1.0:LG04:23323289:23327238:-1 gene:TanjilG_15859 transcript:OIW12939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVFVKTLKGTHFEIEVKPEDTVSDVKKNIETVQGADVYPAAQQMLIHQGKVLKDATTLEENKVAENSFIVIMLSKTRSTSGEGSATSTAPSVKAPQASAAPTSTPPVSVAPQAPAATVAPQAPAATVAPLAPVTAPAPSAAPAPAPIPSSTAVEGSDVYGQAASNLVAGTSLEEIIQHILDMGGGSWDRDTVVRALRAAYNNPERAVEYLYSGIPEQAEAPVIGRVPASAQPAIPTDPAAQTAQPAPVTSGGPNALPLDLFPQGLPNAGSGAAGAGSLDFLRNSQQFQALRAMVQANPQILQPMLQELGKQNPHLMRLIRDHQADFLRLINEPVEGGEGNLLGQLASGMPQAVTVTPEEREAIERLEAMGFDRATVLEVYFACNKNEELAANYLLDHMNEFDEQ >OIW13774 pep chromosome:LupAngTanjil_v1.0:LG04:6713863:6715167:-1 gene:TanjilG_31663 transcript:OIW13774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSNDEEKTEDYLFKIVLVGDSGVGKSNLLARFARDEFYPNSKSTIGVEFQTQKMDINGKEVKAQIWDTAGQERFRAVTSAYYRGAVGALLVYDISRRLTFDSIDRWLNELHTHSDMNIVMILVGNKSDLKDAREVTTAEGTALAEAQGLFFMETSALDSSNVAAAFQTVVKEIYNILSRKVMMSQELNKQDAACIENGKTIVLQGDQEANVESNKKACCSS >OIW12689 pep chromosome:LupAngTanjil_v1.0:LG04:25665977:25671728:-1 gene:TanjilG_24622 transcript:OIW12689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMPMPDPIPSAPVGPTMLVSDSFAKDAILNWFRGEFAAANAIIDALCVHLAHLGGASDYDSVFAAIHRRRLNWIPVLQMQKYHSIADVALQLRKVSENIGSTTPAMKTEVEENGDREESKVIESSWCDEKEKIDEQVMESVGNGNDHNNHEGAREHEEYDSLESEITDSGSQEMQSSSMNINICSNHEECEGRSSQIKLTKGFTAKESIKGHMVNVVKGLKLYEDIFTDSELCKLTEFVNELHTAGQNGELSGETFILFNKQMKGNKRELIQLGVPIFGQIKEDAKTSIEPIPALLQRVIDHLIQWQLLPQYKRPNGCIINFFEEGEFSQPFLKPPHLDQPLSTLLLSESTMAFGRTLMSENDGNYKGQLMLSLKKGSLVVMRGNSGDMARHVMCPSPNTRVSITFFRVRPDSNHSQPPTSTMTSAMTHWQPVIASPYAMPNGAVSGYEGIDMMPKWGMFRGPMVMLTPLRPMALNPRKVDGGGTGVFLPWNVPSRKPMKHLPPRAQKGRFLALPSSVEPHMGESTSEPSISV >OIW12586 pep chromosome:LupAngTanjil_v1.0:LG04:26556531:26561089:-1 gene:TanjilG_04750 transcript:OIW12586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLGLKTATNLVVVVPRARTRSIINFTLFRTITTNLVLHPFLRTPKTPSFHSSLSSPMSTHQVRDNIQLSDVEKRIFDRLLATLRHFNLQTHLRVAGGWVRDKLLGKECYDIDIALDKMMGTEFVDKVREYLLSIGEDAQGVCVIESNPDQSKHLETARMRLFDMWIDFVNLRSEEYTENSRIPSMQKFGTPEEDAYRRDLTINSLFYNINTNSVEDFTKRGISDLKSGKIVTPLPPKATFLDDPLRVVRAIRFGARFEFTLDEDLKQAAACDEVKDALAAKISRERIGTEIDLMMSGNQPVKAMTYICDLTIFWIVFSLPPVFEPAISDGCERLCISQLDIAWNLIHLLGKTTFTDEQRRLTLYAAMFLPLRNTIYREKKAKKVPVVNYIFRESLKRKAKDPETVLDLHRASNKFLSLIPCLVSNEDVQIVGHDWMTELIDVPVSSRVRVLTGFLLRELKDFWRVALLISILLHPIDFNDTEDESSQLSKRRDLFNTVENSVIKLGLEKVWDVKQLINGKDVMSVLQLKGGPLVKEWLDKAMAWQLAHPSGTAEECLDWLREANSKRVKLE >OIW14037 pep chromosome:LupAngTanjil_v1.0:LG04:5457384:5460984:1 gene:TanjilG_11382 transcript:OIW14037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWCKVPSWVPGIPSFSPRNWAFYYFIQSENNPKEDPILLWLTGGPGCSALSGLVFEIGPFTVKNEEYNGSLPTLVLRPHSWTSVSSIVFVDLPVNTGFTYATTESAAKRSDRKLVHQTHQFLRKWLNEHPEFLSNEVYIGGDSYSGIPVPAIVEEISQGNEEGVQPWINLQGYLLGNAITDSIENNYKVAFAHGMGLISDELYKSLQKNCRGDYIHETTRNELCSRDLQSYDELTSGINPVHILEPKCELASPKPFEVSWRRSLNEKHPPNITDTHLTLPALNCRAYAYTLCSHWANDVNVRNALNVRKGTIGKWQRCTFDIPNEHDIPTSFPYHVILSRKSYRALIYSGDHDMKVNFVGTQAWIRSLNYSIVDDWRPWYTNGQVAGYSPYYTYKITRLEISENLYGGGHTAPEYKPEECLAMYSRWISKRPL >OIW12637 pep chromosome:LupAngTanjil_v1.0:LG04:26085486:26087948:-1 gene:TanjilG_24570 transcript:OIW12637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPKYPKPNKVSNTSPLVSGGAGPSVDPSEFLLKEISPQLGGESSKKDKTGSTYDLVEHIHYLYVRVVKARDIVLFGGVELFVEVKLGDYKVTTKRSNAEWDQVFAIPKDSIYSSTMEIFVKERNKNNYIGRVMFELREVPRRVPPNSELEPQWYRMEDKKGHKAKTGDLLLSMWFGIQSDEAFAEAFCSETPNVNPDVLSCIKPKVYLSPKLWYLRVSIIEAQDIMPAHKGSQVVRFPQFLVDVQLGEQCLRTKNAAPSATRSFSNPCWDEELVFVVAEPFENELLVSVDDQVEPGRDVVVARMLLPVATIEKRAGDKPVTSRWFNLDRHFENVGDNNTKLMTRFNSRIHLRVSFDGEYNVVDDVTMYVRPRDPPIGVLEIGIVGATGLLPMKTDNNRGSTDAYCVAMYGEKWFRTRTVVDSLSPKWNEQYVWEVYDPCTVVTIGVFHNHRIDKNNKAGARDVRIGKIRIRLSTLETDRVYNYSYPLLKLSPSGLKKMGELQLAMRFSCTNMAKMLHKYTIPMLPRMHYVHPLSAEEISRLRYKGVGIVASRLSSVEPSLGSEVVEYMLDHNDSPMWSFRRSKVVFFRIMATLSWLIAMVKWLEAIRNWEKPLYSTLFLIISLTLVMLPEFILPTIFFYLVLVGLWNYTHRPQHPLYLDIRMSFADTVLSDELDEEFDTFPTTRSSDIVRWRYDRLRSVAGRLLGISGDFATLLERLEALLSWRDPRATFSCLLFCLLVAVVFYVVTIRVVVALFGLYLLRPPRFRSKLASPVLNFYCRLPSKDDTLISETMHHGCLDLEGLLIIRILEDFQQTISLFV >OIW12563 pep chromosome:LupAngTanjil_v1.0:LG04:26741323:26747349:1 gene:TanjilG_04727 transcript:OIW12563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFAAEGGGFFSSSASGYSMGLSLLLLGQRSKDKPMSVALWNEYQLVNQETDPELQLDSTKNRLSHGCASFACFGYTSTGPDTPSPPKVGPAKQHDSPKPLVSDHGKDPSADLDGDNNNRRVALKSSLKRSPFNKSVPIETANEHEPSGGKGIDATGGQTERRKVQWTDACGSELVEIREFEPSFVLCWVNIMKATTTVVGDDKKNGIVTLEFQRQKAKELQEYFKQKKLEEADQAPFFGFIAKNETSNGRWAMFGFAVGLLTEYATGSDFVDQVKILLSNFGILDLE >OIW12606 pep chromosome:LupAngTanjil_v1.0:LG04:26413767:26419186:1 gene:TanjilG_04770 transcript:OIW12606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSTNDPLESFFNSIQVMKDSLSPFELGIRKVAKDLEHCFLPKNKAKGVCLIVQGGEFQISDVDKNNNNKKKNNNGLCYVGAADERKKSLSIKVPLKAFLGMFSKNSGNENRAQVSKENGTCCTNCFQFAVTWSLLVNGFLQAIQFPFKNGRKKFQKSGDKDKFFSCIKPNISSCEVKQSEKKVRENGVWKKGGEHVSLECLVGLIFDQLFHTLQSIDHRVQENELNTEKTSLPNSHFGHVNAFTSFLEGHRVDVNGFFGNLNFAKVGGVPSSVLGEEYPPSKEDGDSNRSEENKEETGVSLPQKVANNIFTIPLSNVERLKSTLSSVSFAELVELVPQLGRTSKDHPDKKKLFSVQDFFRYTEAEGRRFFEELDRDGDGQVTLEDLEIAMRRRKLPRRYAKEFMSRARSHLLTRSFGWKQFLSLVEQKEPTILRAYTSLCLSKSGTLKKSEILESLKNAGLPANEDNAVAMMRFLDADTEESISYGQFRNFMLLLPSDRLQEDPRSIWFEAATVVAVPPPVEIPAGSVLRSALAGGLTSALSCALLHPVDSIKTRVQASAMSFPEVIAQVPQIGARGLYRGSIPAILGQFSSHGLRTGIFEASKLVLLNIAPTLPELQVQSIASFCSTFLGTAVRIPCEVLKQRLQAGLFDNAGEALVATWKQDGLRGFFRGTGATLCREVPFYVAGMGLYAESKKGVQKLLGRELEAWETLAVGALSGGLAAVITTPFDVMKTRMMTAQGRSVSMTVIVVSILRHEGPLGLFKGALPRFFWIAPLGAMNFAGYELAKKAMNKNNEQVGKGGTSE >OIW13859 pep chromosome:LupAngTanjil_v1.0:LG04:7872996:7878530:-1 gene:TanjilG_31748 transcript:OIW13859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEDIAPVFVDWEEQVICPERGNRATHFYLKDAFGNSVLAVVGTERSVRHMMYIVPDNFMKVYGSNESINAFKWRARREVVNWLTCLISQNHSQRAGVQLNDSEQAAESLELLKAGIHAKKKLLPDKLISRKLELQRSNIEWLGIAWFCHKQLKHYPGFCRNRTIINVHSFVYIMAQEERNYLGYVEDMYENKKSQKKVKVRWFHHGQEVKHVIPELNPQEGEVFITPHVQVISAECVSGPATVLNPKHYEKYLADVPHISLSEVHVCSRQFKNNKLKPFSLTKLRGYSNQPVPSCLYSPILSKRKTNCLKSNMEDDEDFTQDDPLMSSSKRKRSSKGNQVLENGSYDLKNSAPLNEMTKCEPKYPSLKLKLSKTTMGIKVIGPKPQCELSFMVDEKVEVLCQDSSIRGCWFRCKILTATQKRLKVQYDDVLDVDGPEKLEEWIPASKVAAPDKLGMRCSGRLAVRPCPPENTTDDTFEIGAAVDAWWSDGWWEGVVTAVNFCGVGILQVYSPGEDKFLTVEKRNVRISRDWVGKKWVDIPGKPNICSYISLNVSSSIRLTADSAVVDGSISDLESKPSSVPKVEVAQKIEPELSVSEAPDDPQKQYRLHDYMVELNEEFFGLQLILPEGGFTLYIKRNEAGE >OIW12979 pep chromosome:LupAngTanjil_v1.0:LG04:22948530:22950256:-1 gene:TanjilG_15428 transcript:OIW12979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGGAIIADFIPRRGNRRLTASEIWPNSFGKQQNDFDLDSSYQQSTIVKTSSQPSLETATKQVEKPVKRQRKNLYRGIRQRPWGKWAAEIRDPGKGVRVWLGTFNTAEEAARAYDREARKIRGKKAKVNFPNEDDEYSIQARNNRPPLPPQKNPSNQQPYRCGNLNNAPIIKNLHLEFGYDLNQAGAISSHLVTDPVIISSDEKCGSGSEGAYSTTVNGNGCFSGEMNVNVNVKEVSEVKEVMNEGQEVKNDVLKLTEELMAYENYMKFFQIPYYDGQSTESNNVQESLVGDLWSFDE >OIW13607 pep chromosome:LupAngTanjil_v1.0:LG04:9761681:9762763:1 gene:TanjilG_07949 transcript:OIW13607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGSNSCTNSSSSSSSSSSSSVNGFYNFLTQGLNELHQSFLSNNFMSIQFLSEVISSLQSFHSQLTILVRKLRLPVGGKWLDEYMDESSRLWDACHVLKSAISGMENYYSAASNISSSFDGYHHLTPDLSRQVIRAINVCEREILGLEEENKSLMETRIEALSECMNQKISMESKLNGFSGFRGVLYAMRSVSSLLLMILLSGIAYCWSSSCFHEEGNMVCGSGLIVSMRMLKQKVGEEIDKIDGQGGIVMFEFKEARIAMEELKVELERIGEGDIKEKVDRLKSCLEVLRCGVERIAGEVDDLFDEIVQGRKKLLDMCSHR >OIW14057 pep chromosome:LupAngTanjil_v1.0:LG04:5229436:5229690:1 gene:TanjilG_11402 transcript:OIW14057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRNRKLAYIVNDAKRNATYKPKRAIQVLDISSSRTKQKDVRSTKFFEAKNRESSRPTAEKEDSESKGDDVPSHVQIPKYWVY >OIW13375 pep chromosome:LupAngTanjil_v1.0:LG04:13775557:13781947:-1 gene:TanjilG_16484 transcript:OIW13375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLQFAQEFHQTQFHFMNKLKHTIPLTSSSFHIKPFSVSSSFSSPTITQTKTTVNNPQVIVPEKKRKVKASDAELKHNWLASISCVSPSSTHLLNEEQHQHQHQQEITTYKNDEGSKWILGIDPDVSGAVALLKTHDSVSSAEVFDSPHVQILVGKRTRRRLDANSIVQLVRSFDAPVGTIAYIEQSLPFPQDGKQGWWSGGFGYGLWIGILVASGFSVVPVPSFTWKAKFELSGSKIAKDDSRRVASTLFPSLSSLLSRKKDHGRAEALLIAAYGKDQNNSLRLSSDILEKLETSK >OIW13879 pep chromosome:LupAngTanjil_v1.0:LG04:7973659:7977547:1 gene:TanjilG_31768 transcript:OIW13879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLRSTFLNVYSVLKSELLHDPAFEFSLDSRQWLDRMLDYNVPGGKLNRGLSVIDSYRLLKDGHELNDDEIFLASALGWCIEWLQAYFLVLDDIMDNSHTRRGQPCWFRVPKVGMIAANDGVLLRNHIPRILKKHFRGKPYYADLLDLFNEVEFQTASGQMIDLITTLEGEKDLSKYTLSLHRRIVQYKTAYYSFYLPVACALLMVGENLDNHVDVKNILVDMGTYFQVQDDYLDCFGAPETIGKIGTDIEDFKCSWLVVKALELSNDEQKKVLYDNYGKPDPANVAKVKALYDELNLQGVFAEYESKSYEKLVTSIEAHPSKAVQAVLKSFLGKIYKRQK >OIW14222 pep chromosome:LupAngTanjil_v1.0:LG04:2221230:2229479:-1 gene:TanjilG_21362 transcript:OIW14222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFLALLFIITIFIISLILLRKSRHRHLRLPPGSLGLPFIGETLQLISAYKSENPEPFIDKRVNRYGPIFTTHVFGEPTVFSAEPEMNRFILMNEGKLFDSSYPGSISNLLGKHSLLIMKGASHKRMHSLTMSFANSTIIKDHLLVDIDRLIRLSLDSWTDRVLLMEEAKKITFELSVKQLMSFDPGEWTESLRKEYVLVIEGFFTLPLPLLSTTYRKAIKARTKVAEALTLVVRERRKESESIEKKDMLGALLGSGENLSDEEIVDFMLALLVAGYETTSTIMTLAIKFLTETPLALAQLKEEHDQIRARNDPDAVLEWTDYKSMPFTQCVVNETLRVANIIGGIFRRATTDINIKGYTIPKGWKVFASFRAVHMNSDNFKDARNFNPWRWQSNSEIMNPGNLYTPFGGGPRLCPGYELARVVLSVFLHRFVTTFSWVPAEKDKLVFFPTTRTQKRYPIIVKRREGSKASTTQCVQNKH >OIW13813 pep chromosome:LupAngTanjil_v1.0:LG04:7386465:7389511:-1 gene:TanjilG_31702 transcript:OIW13813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTTVDVTPDPPVAAPVTANNNTENHKNRIQVSNTKKPLFFYVNLAKRYIQQHNEVELSALGMAITTVVTIAEILKNNGLATEKKVLTSTVGMKDENKGRMVQKAKIEIVLGKSDKFDKLMAPANTTESEAATDDKK >OIW13663 pep chromosome:LupAngTanjil_v1.0:LG04:9476193:9476907:-1 gene:TanjilG_08005 transcript:OIW13663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIELVDYSCTKTLGRKRVEASPSATPLKRMCSGGITFISENSPLEALPLDILIEVLCCVEHEDLNQLFHVSKTIREATLIAKGTYFEYRTPKKKTFVFYDPINNANRSHDIEAPNAPLRKSKSRLNRNKFDDISVNLFDFMVEEI >OIW13785 pep chromosome:LupAngTanjil_v1.0:LG04:6967417:6970206:-1 gene:TanjilG_31674 transcript:OIW13785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPHHFVVPLPLMFLITHWLLLALYAKGESFTTYIVHMDKSLMPKVFERHHDWYKSTIHSINLATVNQSSNSNKTEKLVYTYNHAMHGFSAVLSSYELETLKNTHGFVTAYPDRSGSIDTTHTFEFLSLDSPNGLWHASNFGEDVIVGVIDSGVWPESESFKDDGMTKKIPSKWKGTCESGQDFNTSMCNFKLIGARYFNKGVIAAKPNVKISMNSPRDTQGHGSHTSSTVGGNYVNDVSFFGYAKGVAKGIAPRSRLAMYKVLWDEGHQASDVLAGIDHAIADGVDVISISMGFNNVPLYEDPVAIASFAAMEKGVLVSSSAGNEGPKLGTLHNGIPWALTVAAGTIDRAFESLVLGNGQTIIGWALFPAENAVLENYPLIYNKTLSACNSVELLSQVAGKANPLDNTQNPIRDNGKPFQHASPLAIGAGQIDPNRATDPGLIYDATPQDYVNLLCALGYTQNQILTITRSRSYNCDNPSLDLNYPSFIASYGNKTRSVVQKFERTVANVGNGAATYRAKVSNPKGSVVTVLPETLEFGFKHEKKSYTIVIKYKRFSKKEEDVSFGDIVWVEDGGAHTVRSPIVVAPNFV >OIW13195 pep chromosome:LupAngTanjil_v1.0:LG04:18178822:18186922:-1 gene:TanjilG_17638 transcript:OIW13195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSAEDLGAPESWEVADLDQSMKRLNLMLSTSNNDSKLSHDSTPASSSYSAPTISASSTGDKLSDDVINQVDQFLLEAIQNPRERLSVLRIEQEVEKFIRDPNQQQLEFEQLPTSYLRLAAHRVAQHYSLQSTVLLDNSLPDGSGSRIIVRRSSECKLPVIRLADIPVKLSSESNAVIKVAIKQRPQKQTHVPSNANSNSGKNSNSKSVEERKEEYSRARARIFSLSSSGGTVGGKPESESRQQDNSLRGSLGVPRVQDKPVSVSDASSSRGLVESSINTSRARSRTEKEPISSRYRQSNRVAIFRDREVERKDPDYDRNYESVVKVAKVAALVSGGGGGGNQLQCIRLPEQGRYMQRFDPGFGFNGGSYTMQPMYTAVVNYNTEFPQLGSTHGPQISSEHQPRPLPQNIPRTWVPQPIPSGYGHPDTMMPPFNPSQVGTHSSSAMYLHSPQYPCQRPGMPFLHHEHVHQPFAQSTYADAADRDEV >OIW12798 pep chromosome:LupAngTanjil_v1.0:LG04:24854030:24855460:1 gene:TanjilG_24731 transcript:OIW12798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDQSQMMMNLNNLNQPQMMNQVQKMMNQPPQMMNQQPKPQMKQSQIMMNQNQPQIINRGGYNKFWFQQHQQQPHMDPNMKFKNPMQSNFAKPRRINNWKGKKVTDNRKDTRRIVEKPNQSSSLTVPIPINNNTVVGGGYQPPTLYELQSQNRLKARKFYPKKRFNNRFAPYAPRNTTSFIIRAKKSGGIASLVSPCPVTPAVLPTPILSPSREVLGDMVKEEWGVDGYGSMKGLIRLRSPGHEEEEEEDGGGGGSSESDVEEHVEVERRLDHDLSRFEMIYPNYGGDYNNGLENRVDDQDSHIAQLEEENLTLKERLFLMERELGDLRRRLLFLERQNQVVEDVNEEVVENGSDNESEGGLDFPVRGIENNVEMVQSRLESGENGNFEVNARVENDGVSDAEGVVDVCMEESVQDEVVAKKDEINGNEMKDKFVINEVKESKEEQKDEDAIQLFVPDEIPAKENDTLYKNASGEI >OIW14167 pep chromosome:LupAngTanjil_v1.0:LG04:3282602:3286098:-1 gene:TanjilG_21307 transcript:OIW14167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNIEEDEDSYQGEMAFRKTSIPPWNKQITLRAVVISFVLSIIFSFIVCNLNFTTGVMPSLNIAAGFMGFAAIKSYTTMLNHFGILRQPFTRQENIVIQTCVIASSGITFNSGIGSYLIAMSPAVASQISEGNTPINTKQPSPGWLIGFLFVVSFVGLFSIVPLRKLMILKYKLTYPSGTATAVLINSLHTPKGAKLAKKQVVLLFKSFCGSFSFAGFQWLFAAADGCGFSNFPIFGLQAYKHRFYFDFSCTYVGVGMLIPYMVNISLLLGAIISWGILWPWIEQKKGIWYSADLSPSSLYGIQGYRVFGAIGLMLGDGLYHCISMLGQVVYNLRLNYSKKNELPSTIIDYQDSKVDYDDQLRKEYFLKDQIPIWVAWTGYVILAIISIMTVSHIFPQLKWYHILVTYLIAPILAFCNAYGCGLSDWSLASGYGKVSILIFSAWVGLPDGGIVAGLASCGVMMNIVSTASDLMQDFKSGYLTLASPRSMFFSQIIGTAMGCVISPVIFMFLNKDSTIGDPEGKYPAPYGQIYRGLALLGTKGFSILPKHCLELTIILFFSAMIINIIHDVLLHYETKYRLHRFVPNPMCLAIPFYLGGHFVIDMCIGNLIIYLWDRKNKHQCKDYAPALASGLICGDSSWNVPAAMLSYRQINPPICMKFLSAPVNQMVDTFLSSGHT >OIW13531 pep chromosome:LupAngTanjil_v1.0:LG04:10314501:10321250:-1 gene:TanjilG_29272 transcript:OIW13531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDLEKAILIIFDESGTIDNELKKRAENYCNEIKENKASICRLCIEKLCFSNLVQVQFWCLQTLHDMIKACYLSMAPEEIYMIRSSVFSIVCLEPVDDKNRRRVLEGPAFVKNKLAQVLTTLIYFEYPLIWSSVFVDFFPHLSKGNLVIDMFCRVLNVLDDELISMDYPRTSEELTVAARVKDAIRQQCVAQIVRSWYDIVSMYRNNNEELCASALDSMRRYISWIDIGLIVNDAFIPLLFDLVLAGSLSDSLRGAAIRCLLAVVSKRMEPQSKLSLLQNLQISRVFRLVAENGDAELVSDISSLLTGYAVEALDCFKLINSEDAKGVSMELLNEVLPSVFYVMKNFELDDTFNVVQFLSGYVATLKNFGPLREKQLFHVGQILEVIFALIRYDPTYRTNLEVMDKIGKEEEDRMVEFRKDLFVLLRTVGRVAPDITHLFIRNSLASAVSSSSESNAEEVEGALSLLYALGESLSDESMRSGSGFLSELVLTLLSTKFPCHSNRLVALVYLETVTRYVKFIQDNTQYIPMVLAAFLDERGIHHSNINVSRRASYLFMRVVKLLKVKLGHFIETILQRLQDTVAQCTIMNSSTEVVSGSEDGNHVFEAIGLLIGMEDVPPEKQSDYLSSLLHPLCQQVETLLINANLSTYEETNAKISIIQQIIMAINSLSKGFSERLVTTRRPAIGLMFKQTLDVLLQVLVVFPKVEPLRSKVTSFIHRMVDTLGPSVFPYLPKALEKLLAEIEPREMVGFLVLLNQLICKFSTRVRDIVEEIFPGVADRIFSVIPRDGLPSGPGAVNEEIRELQELQRALYTFLHVITTHDLSSVFITPKSKAYLDPVMQLLLYSSCNHKDILVRKACVQIFTRLIKDWCAQPYEEKVPGFRNFMIEAFGINCCLYSVLDRSFELRDANTFVLFGEIVLAQKVMYEKLGNDFLVHFVSKGFSAAHCPPDLAEQYSQKLQGNDIKALKSFYQSLVENLRLQQNGSLVFR >OIW13565 pep chromosome:LupAngTanjil_v1.0:LG04:10590132:10595899:1 gene:TanjilG_29306 transcript:OIW13565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQNIVRCFNGKENKNKIKGIVVLMKKNVLDFNDFSASILDRVHEFLGKRVSLQLVSAINIDNGNDGLKGKVGNVAYLEDWITTITPLTSGESAFSVTFDWEEDDIETLGAFLITNNHHSEFYLRTLTLENVPGQGDIHFICNSWVYPSHKYQKGRIFFTNKTYLPSETPMALLKYREEELENLRGDGRGTLQEWDRVYDYAYYNDLGDPDKGSKYVRPVLGGSTQYPYPRRGRTGRPPSESDINTESRLNLVKSLDIYVPRDERFGHLKLSDFLAYALKSVVQILKPELESLFDSTIDEFDSFEDVLKLYEGGIKLPDGILKDIKDHVPAEFLKQILSTDGERFLKYPLPQVIKEDKSAWRTDEEFAREMLAGVNPVVIRCLQEFPPATKLDPEVYGNQTSTLRREHIESNLDGLTVEEAIRQKKLFLLDYHDALIPYLRRINSTSSKIYASRTILFLQKNETLKPLAIELSLPNPEGDQYGAVSTVYTPAQQGVENSIWQLAKAYVTINDSGYHQLISHWLHTHAVIEPFIIAANRQLSALHPIYKLLHPHFRDTMNINALARQILINAGGLVETTVFPSKYAMEMSSVLYKDWIFPEQALPADLVKRGMVIEDSTSPHGLRLLIKDYPYAVDGLEIWFAINTWVKDYCSFYYKEDDTVKKDVELQSWWKELREEGHGDKKNEPWWPKMQTRDELIEACTIIIWIASALHAAVNFGQYPYAGYLPNRPTISRRFMPVQGTPEYDELVEFPEKAFLKTITSQLQTLIGISLIEILSRHSSDEVYLGQRDTLHWTSDSEPLEAFDKFGKKLAEIEERIMKRNNDAELKNRFGLVKLPYTLLYPRSEGGLTGKGIPNSVTI >OIW13169 pep chromosome:LupAngTanjil_v1.0:LG04:18766752:18785190:1 gene:TanjilG_17525 transcript:OIW13169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYDTMSNWWYNNELRYDEWVPIPVSGHRPLPRYKHAAAVVDEKMYIAGGSRNGRQLSDVQVFDLGSLTWSSLKLKANGGEDGDSSSQQILPATSGHSMIRWGEKILLLGGSSKDSSDELMVRYIDIETCQVGVIKTSGSVPVARTGQSATLVGSRVILFGGEDMSRKLLNDVHALDLESMTWDLIETTQTPPTPRYDHAAAIQGERYLLIFGGCSHSIFFNDLHLLDLQTMEWSQPQIQGDLVSPRAGHAGINVDGSWFIVGGGDNKSGCPETLVWNMSKLVWSVLTVVKQKDPLSSEGLSVCSALIGGENHLLAFGGYNGRYSNEHAAAVVDEKMYIAGGSRNGRQLSDVQVFDLGSLTWSSLKLKANGGEDGDSSSQQILPATSGHSMIRWGEKILLLGGSSKDSSDELMVRYIDIETCQVGVIKTSGSVPVARTGQSATLVGSRVILFGGEDMSRKLLNDVHALDLESMTWDLIETTQTPPTPRYDHAAAIQGERYLLIFGGCSHSIFFNDLHLLDLQTMEWSQPQIQGDLVSPRAGHAGINVDGSWFIVGGGDNKSGCPETLVWNMSKLVWSVLTVVKQKDPLSSEGLSVCSALIGGENHLLAFGGYNGRYSNEIFVLRPKARDSLRPKIFQSPAAAAAAASVSSAYALSKSEKLDFMQLDDINSKPSVNGHRLDDVTVKSEAIKEEKRLLELSIVEVKAENSRLRGEINEVNSTHAELTKELQSVQGQLLGERSRCSNLEAKIAELQKMLESMQSVEDQARALRNQKFARDQETEHAATVQRQSSGGVWRWLGGSAAAAAAASVSSAYALSKSEKLDFMQLDDINSKPSVNGHRLDDVTVKSEAIKEEKRLLELSIVEVKAENSRLRGEINEVNSTHAELTKAKIAELQKMLESMQSVEDQARALRNQKFARDQETEHAATVQRQSSGGVWRWLGGSGESDAN >OIW13634 pep chromosome:LupAngTanjil_v1.0:LG04:9646419:9648342:1 gene:TanjilG_07976 transcript:OIW13634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNTVLNSEAEHDEDNGISETLGVTLQSVLDDRGKQINKGFVNLTGNVVGNGNGSVYVMVHNVRNIYYHCQPIVKHDQLNNANKNGILPRKPSLTEGSSLSTRCNKRAVLCGVTYGKRKFSLKGSVNDVVNMKELLVNNFKYPIGCIRILTEEEKYPNLIPTRQNILKSIEWLVNDCKAGDSLVFYFSGHGLNVADSNRDEIDGFHESICPVDFTTEGMITDDELNSTLVRPLKKGVTLHAIVDAGRSGTVLDLTYVYNKKRDIWEQENHNTTTSKKCTSGGVAICLSACEDSQIASDSTTFVQNKTNGVLTYLFTKTIRECPGITYRSLLNMMRSEIEKINASNNIMKLIFQRKVAQDPLLSSSEKFDVSATIFTM >OIW13732 pep chromosome:LupAngTanjil_v1.0:LG04:8721090:8721533:-1 gene:TanjilG_17911 transcript:OIW13732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQNIKTITKDDIKCTPCGTGNPVPPSPPPPSPPPSSTNNCPPPPSPPTSGGGGTYYYSSPPPPSQYTYSSPPPPASTGGGGGTSGTYYPPPSYNNYPGPPPPNPIVPYFPFYYHSPPPPATAAPPPLMGLSLYAVALLSLLICLL >OIW12713 pep chromosome:LupAngTanjil_v1.0:LG04:25477358:25486919:-1 gene:TanjilG_24646 transcript:OIW12713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVQFEKTIKPIDKGIVHRICAGQVITDLSSAVKELVENSLDAAATTIEINLKDYGQQSLQVIDNGNGISPLNFKVLALKHHTSKLEGFHDLQSLTTYGFRGEALSSIAAMANLSVETRTVNESIGSNLSFDHSGVLISESKIARKVGTTVTVKNLFSNLPVRSKEFSRNVRREYGKLVSLLNAYALIANGVRFVCTNTTGKNARSVVLKTQGNGSLKDNIITVLGINTFSCLESVSISLSDSCKVDGFLSKSGQGNGRSLGDRQYFFVNGRPVDMPKVSKLLNEIYKGANSKQYPIAILSFTVPTRAYDVNVTPDKRKIFISEESSILQALREGLQKVYSPSDVFYSVNKVEQPAAEEDSVELFSSQRKSCTIIKTSCPISSLRREEDNTESSNSIISQDEHMEEHNSDCDNGSVSQDEDNNGRNTGHVTHSDNASEFAVDDQHLHLKEGLIPENEGSLMSPEFTLRAHTALKDDKRTIRSRQTRSIILDQAAHVSRTVENGATSNNYSYNHSRHVQSTLNKFVTVNKRSYDNTITALSEVPVLRSQGSHCQMRSTNTERRNLIPSSPYFEQIDGSAKANQGPHCQLKTANTERHNLITRSPYFEQIDGTAEASEVKSLQQLNPDNTIIHNCKNSISSGCDYTDKEPNMELDEVNSISLADTASVPPSRNDLISTTEHVLESDTPLHSSPAQLKSPRSFDWIISEVQFSFQYLTKRRDKRLSSLPSSKHRCGKADVKSCYTAATLKLSQLETEEQKEKALALATTELEKLFKKEYFRSMKVIGQFNLGFIIGKLDQDLFIVDQHAADEKYNFERLSQSTILNQQPLFRPMRLELSLEEEIVASMHMDIIRKNGFTLEEDPDALPGCRYKLTSVPFSKNITFGVEDVKELISTLSDEHGECSIIGSYKLDTSGSICPSRVRAMLASRACQSSTMVGDPLDRNEMQKILEHLAKLKSPWNCPHGRPTMRHLIDLTTIHKNSELTIIATSTSDLRNHTALPLQPTIYDMLPLSLLKTAQGHPMLVELKNGETYNGHLVNCDTWMNIHLREVICTSKDGDRFWRMPECYIRGNTIKYLRVPDEVIDKVQEETKSRTDRKPPGVGRGRGRGGSQDGPGGRPAKGIGRGIDEGGARGQGGSRGGRGGIGGKPGGNRGAGRGRG >OIW13420 pep chromosome:LupAngTanjil_v1.0:LG04:12017916:12018809:1 gene:TanjilG_33069 transcript:OIW13420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEPQIIAFPPKRRGGRTSRWAMKKNKTYALKTKLNNHQQSPKQVQIHQNNDAVPLPPTPSLESENLTMIMDFMALDPEREEEEEEVGDGLGKSSSQQEENTRGTLVQEDQYFAVEEGERINEMFCIEGWKEKSDYEILGQYQEVINGCWELNFNEFMDTCFVEQESGVLSLTFGEEILESNNNSDNNSNNNNNEEEVVGNGATRNEPTCTTNQCSNGECRDLCSSMALSLDHGNNNNSDLDFESVIQFNGNMVSKSLEDKENLLSWLWEDDDGWGKDCDQFGDIDSQLDVMVAWFS >OIW13172 pep chromosome:LupAngTanjil_v1.0:LG04:18813422:18818055:-1 gene:TanjilG_17528 transcript:OIW13172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHETHLLPPPPTTPSTATYTSPPPLPLSVVAPRLSSAASGSTSLIVAGAASSTPSPTSLAPGFRFHPTDEELVIYYLKRKVGGKSFRFDAISEVDIYHSEPWDLADKSRLKTRDQEWYFFSALDKKYGNGGRMNRATNKGYWKATGNDRPVMHEQRTVGLKKTLVFHSGRAPDGKRTNWVMHEYRLVDEELEKARTANGSTQKDAFVLCRIFHKNNIGPPNGQRYAPFVEEEWDDEPGLVPRAKSAEPIPISQQPCVDRVLCIEGRKDVEQDTQSVTKAPFDVNKLPIETQNLLAVCKRESMAEFSSPEKEDSKLMPDEYPSPQPDNHKAFSQIYKRRRYNLNNHLNASGDSIRTSQDPCSSTITTAATTLPTETAFTNPTTTNTAPKKHFLSALVEFSLMESLESKEVQSPDFNADNLELTVPPSSVKFIKHLQSEMQKLSVEKEAMRFEMMSSQAMVNILQSRIDHLNKENEELKKMV >OIW12539 pep chromosome:LupAngTanjil_v1.0:LG04:26933139:26934419:1 gene:TanjilG_04703 transcript:OIW12539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDALSFLKFWKNATISTTTVPDSDEEEEEDSFFDLELNLHHKTDHVPQNKASLSSNEPISKRKILPIDPISKPHSSIALLKSPPSFRLFTFKKRMAPHKTQQQPKPNNGNHFQCSPTLTRVNSTTSFKSKVQSLSEEAEHKTEHVSKDVVQKYLKLIKPFYVRVSKRYNDKIKFSEEVASDSSPFPSPLVGSVSSKKEKQRSFSTGMRGVSKHLGKSRSASAVAGVGSPVNRSDDTLLQQNDGIQSAILHCKRSFNSRDSVDSSVHSVRFSFEDEYGANM >OIW13687 pep chromosome:LupAngTanjil_v1.0:LG04:9337899:9338969:-1 gene:TanjilG_08029 transcript:OIW13687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEPPPSTTHQLVEQNEEQQQQQQELKYLEFVHFVTIQTLMHAAIVYAYAKERSGPLKSGVETVEEAVKTVVAPVYDKFHLVPVELLKYVDRKVDESVAEIDRHVPSNVKKVSSQARSVVSEVRRTGVVDIASGLAKSAYDKYEPKAEQAAVLAWRMLNQLPLFPKVANAVLPKAAYCTEKYNEAVALSAEKGYRVSAYLPLVPTKKIANVFTNVA >OIW13249 pep chromosome:LupAngTanjil_v1.0:LG04:17003386:17003565:-1 gene:TanjilG_14182 transcript:OIW13249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGHGHDEPYYVHAKHMYNLDRMKHQGLKMSLAVFTAFSIGVAVPIYAVIFQQKKTASG >OIW14264 pep chromosome:LupAngTanjil_v1.0:LG04:1365862:1367583:-1 gene:TanjilG_21404 transcript:OIW14264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLSKLRCVTVDVTGTLMAYKGELGDYYCMAAKSVGLPCPDYKRMHEAFKLAYKEMATNYPCFGFDAKMPNVVWWKTCVRDSFVRAGYDYDEETFDKIFKRIYSSFGSSAPYILFPDSQPFLRWLRGKGIKVGIVSNAEYRYRDVILPALGINEGSEWDFGVFSGIEGVEKPNPKFFEIALERAGNVKPEEVLHIGDSIRKDYEPAKSLGMHAILLDRFKTPDAVEWRKSGGIVLPDLIAAQEWLSSEKSTLKESILC >OIW14048 pep chromosome:LupAngTanjil_v1.0:LG04:5319148:5323137:-1 gene:TanjilG_11393 transcript:OIW14048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIGDFHKEKFWTKCKAVYFTLTPPQGTISPNVLITRGAGGALGHPPSDSPTLDSSEQVYISSLALLKMLKHGRAGVPMEVMGLMLGEFVDEYTVRVVDVFAMPQSGTGVSVEAVDHVFQTNMLDMLKQTGRPEMVVGWYHSHPGFGCWLSGVDINTQQSFEALNQRAVAVVVDPIQSVKGKVVIDAFRLINPQTMMLGQEPRQTTSNLGHLNKPSIQALIHGLNRHYYSIAINYRKNELEEKMLLNLHKKKWTDGLTLQHFDKHSKTNEQTVQEMLNLAIKYNKAVQEEDELPPEKLAIANVGRQDAKKHLEEHVSNLMSSNIVQTLGMMLDTVVF >OIW14119 pep chromosome:LupAngTanjil_v1.0:LG04:4096762:4097544:-1 gene:TanjilG_21259 transcript:OIW14119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDPQRIHPTYDVEAPAHRDSSPLVPGNTAKSDNGDPIENGNNYHHPPTPPQRTIPVMHSKPPKKKRSCCCKFFCWVFSILLILIIAIAITVGILYLVFRPKLPKYSVDELRITQFDASGNNSLSVTFNVTITARNPNKKIGIYYVGGSHITAWYDDTQLCEGSLPKFYQGHRNTTVLNLPMTGQTEDTNGLVNKLEQQQQQSGNIPLNLKVKQPVRIKLGKLKLFKINFKVKCKLVVDSLSANSDIKITSNSCKFKLRL >OIW13629 pep chromosome:LupAngTanjil_v1.0:LG04:9676546:9680849:1 gene:TanjilG_07971 transcript:OIW13629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGKMRENVNDDWEVDEFQMLLDEEIPLATSSHINNNHNLLHHAHVGDDHSHVYGNGVIYYDDDPFKYPYTSSPVSAFSLQSFGGSSSSLFSGMHSSSDTGSPTPLTLPFEDFQSSVPSVRDKVNDSLVDELGLAANFSKMYIANQQQNPNGLSFPVSGNNPISVHNKHGDYDNFKREFFSSGGFEPPFLMSHRAEMNSALSGPGQDYKMDNLFRSQTFPGWHETMLSQLNSFSGSMDPPRHMRQLINDDYCRGSLATEFAATMSRNPVVDVSLYGQKYGMNLIEERGMPRLPYYSLCTNLRPYTSVPDSLHYGFPLCNARAVPPSNARITQGSLDIITREGSFILQGESLNYVARCRGSDQSRYWNAVGETGLDIMLPKYNLNSLAEARGCIYLIAKDQYGCRFLQRMFDEGTPEDVQVIFNEIIDHVVELMVNPFGNYLMQKLLDVCSEEQRMQIILMVTGEIGQLVRISLNTHGTRVVQKLIETLKTWQQISLVVSALEPGFLTLIKDLNGNHVVQHCLQCLSNEDNKFIFAAAAKYCVDIATHQHGCCVLQRCIGHSNGEHREKLVAEICANALLLAQDQFGNYVVQFVLDLRNPSATANVISQFKGNYVHLSMQKFGSHVVEKCLAVFNDENCSRVIFELLSAPCFGQLLQDPHANYVVQSALRHSEGHAHNSLVEAVESHKTMSRNNPYSRKIFSQKLLKK >OIW13473 pep chromosome:LupAngTanjil_v1.0:LG04:11242328:11246093:1 gene:TanjilG_22264 transcript:OIW13473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMGHKHLFAAFCLWALTSSVLPSFSNGLLRIGLKKKPLDLQSLYASKNARENLRLGRPMMGVYDKYIGNSKGEDIVPLTNYLDAQYFGEVGIGTPPQKFTVVFDTGSSNLWVPSSKCYFSIACYAHNWYKAKKSNTYTKNGTSCKISYGSGAISGFFSQDNVKVGSVVVKNQDFIEATREGSLSFLAGRFDGILGLGFQEISVQDAVPVWYNLVEQHLVTEKVFSFWLNGDPNAKKGGELVFGGVDPNHFKGEHTYVPVTRKGYWQIEMGDFLIGGLSTGVCEGGCAVIVDSGTSLLAGPTPVIAEINHAIGAEGVLSVECKDVVSQYGELIWDLLVSGVKPADICSQVGLCSFNKDLSKSAGIEMVTEKEQAESKARGDTPLCSSCQMLVIWIQNQLKQKATKERVFSYVNQLCESLPSPAGESVISCNRLSQMPNITFTIGDKPFVLTPDQYILRTGEGITEVCLSGFIALDVPAPRGPLWILGDVFMRVYHTVFDYGNVQVGFALAA >OIW12872 pep chromosome:LupAngTanjil_v1.0:LG04:24153400:24155712:-1 gene:TanjilG_24805 transcript:OIW12872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRLFYSPLRRCFPLGGGGSGGGGGGDDRLLWHTELKPHASGNFSIAVAQANYSLEDQSQVFTSPSATYIGVYDGHGGPEASRFVSRHLFSYLNKFSTEQGGLSVDVIKKAIRATEEDFCSLVKLSMHISPQIASVGSCCLLGAIADNVLYVANLGDSRVVLGRKYTGSKISSVVAERLSTDHNVADEEVRREVEALHPDDSGVVVYTRGVWRIKGIIQVSRSIGDVYLKRPDIYTDPDFKQYLNHIPLKYPVMSSEPSIIIRELEPEDLFLIFASDGLWEQLSDEAAADIVFKYPRAGIAKRLVRTALQQAAKKREMRYEDIIKVGKGIRRHFHDDITVIVIFLDHQRGSSHGRIKQTVGCTTAPADIFSLNADDAEAEKILLRSIG >OIW13014 pep chromosome:LupAngTanjil_v1.0:LG04:22400513:22404526:-1 gene:TanjilG_15463 transcript:OIW13014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVALFVLNLWNGFRTDPASTATFAPPASLASVSFATTAVAAFARLPPTSFSSPSYLGGSAFKLRCFLIIYLVYIIYGTMKALGDYTRMINDFSSLPSDVREGRVGSYWYHEDTNAFFDDVDHYKMIKAMCRLSCSECDKLEDQPHDPSKRRGMMLRNIEQLKGHLFHRHRLVMCSLCLEGRKVFICEQKLFTRSQLNQHICTGDSEVDGSESDRGGFMGHPMCEFCRTPFYGDNELYTHMSTEHYTCHICQRRHPGQYEYYKNYDDLEIHFRQEHFLCEDEACLAKKFIVFQSEQEMKRHNAVEHGGRMSRSQRNAALQIPTSFRYRHGNEQDRRHGRGRNFHRDFSESQLSMAIEASLEAANAEHMFHDPPTSDTGQVDVHNGNANIDSVQPLESSASSRYLQVLGQNSSGAPLENSSFPPLPKASRKGKQKSKHGSEASSSNSMAAHLRRHRNVSVISSGNAWPAASRGPAQPLSNPTLSRLSTNTAPGVSRNSGKAVINSAPAPSTYASSVQPMQRTARETHDNGGIVHSASAPNIIQSSPVGPSISDFPPVSAAKVRTLAASSRPLLNAKDVRQSSLVAPSISDFPPVSATQVRKLATSSQSSLNAEHVHTANKLLIEKIRSTLDFDEDRYSLFKDFSAQYRQGIIDTPTYLDYVQQFGLSHLVHELARLCPDVQKQKELVECYNASLQKNVILENGSSHTSTHRKNGNISQKSKGKSVDAGVSNPKERLADSFLNTVRQLQLNNNPSEANVEVLSRGDYRTDKGKSKIESSGGQSMVKLGGQSEKLSGSISNQNSEDKGGKNKQRKKTSKFLRSRLGDASAESNLDVENSQTDSDPKTTNGLNSYKNGPGSMPARGVWSNSGGKKLFS >OIW14162 pep chromosome:LupAngTanjil_v1.0:LG04:3325010:3329036:1 gene:TanjilG_21302 transcript:OIW14162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEVLLHNIAINLVPCWLHYQHFLKEFYSATFATAMASLDMSLDDRIKNRSSRGRGRGRGRAGFGRGASSTFNGGRRTGAANGGRMSGAALRGPLVLNARPSSYAIAKASSKNSIRGTRPFPWQRTDLFEDSLRAAGISGIDVGTKLYISNLDHGVTNGDIRELFSELGELKRYAVHYDNNGRPSGSAEVVYTRRSDAFAALKRYNNVLLDGKPMKIEIVGANAELPITARVNVTGVTGRRKRTVVMAPRGVQAVGPVVPNRGSGYFPKIKSPSLYSWGRRGGHKNGSGRGRGGGRGRGQGGGRGGGRGGGRGGRGKKEAVEKSAEQLDKELETYHAEAMNIS >OIW12823 pep chromosome:LupAngTanjil_v1.0:LG04:24595613:24598485:1 gene:TanjilG_24756 transcript:OIW12823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSHGYQLIKNCNEEEKVHTFYARNMDIEMAMEISDQKNSTFSNNMDRRLVSLDVFRGLTVALMILVDDAGGIIPEINHSPWNGLTLADYVMPFFLFIVGVSLALTYKKLPCRVFASKKAIFRALKLFALGLFLQGGFFHGVNDLTYGVDIKRIRLMGILQVCPYYGSIDIRGKPSFSSKTFRSHIQDLRFVALFLTCIYLCLLYGLYVPDWEYKILIDSFSAPKTYSVKCGVRANTGPACNAVGMIDREILGIQHLYRRAIYSRTHECSINSPDYGPLPPDAPAWCQAPFDPEGLLSSMMAIVTCFVGLHYGHIILHFKDHRARILYWMIPTFCLVVFGLALDLFGMHINKALYTFSYMCVTAGAAGILFVGIYFMVDVCRYYRVTIIMEWIGRHALMIYILAACNILPIILQGFYSGKPRNNIVIFTSFSNLFVIFT >OIW14078 pep chromosome:LupAngTanjil_v1.0:LG04:4975526:4985948:1 gene:TanjilG_11423 transcript:OIW14078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNKKQSEGIALLSMYKDEDDDEMDDVEEEGEYADAGTRGGQHHQDAGAGDEADEDFSADTGRMAVINSGNAATNIPTPQRLVSPQEQRRLVSSVTTIRMREKLTIVDYGHDEVAMSPEPEEGEIGDQLHVTNGDFMDRTPSGTVQLLTPSYQTNNPQFSEPLKSDAMDSDAMIRSDDAEIGEEDQDEQRSLNPFDNFLPPPPTAKCTEELQTKINKFLDLKKAGRSFNGEVRNRKNYRNPDFLLHAVSYQDIDQIGSCFSKDVFDPHGCDPSDFYDEIEADMRRGSDRKEQGTKKAQKAEFICGGTQPGIVAGALRISMPVAGGSAVTASGLHLVAPTTDSINRDGRQNKKSKWDKVDGDGKNPLPSVGQDSVSTVGANAATLSAANAGGGYTQFAYFEVSSACYQFESIASTKRNLEYKMNMQFPAVFNHHAMRIDRL >OIW12796 pep chromosome:LupAngTanjil_v1.0:LG04:24866843:24872727:-1 gene:TanjilG_24729 transcript:OIW12796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDYTREMMDLKTLVTRTLEKKGVLARIRAELRASVFEAIEEEDRVIGKDEGLPTALLASCNDRAKHLHASPSGRLLTALICEYLDWAQLNHTLKVYLPECNLEKDSWKAELKEFSNKNGYDLNRNGDSPLLLDVLEGFLKFENLSQARASGRRFTTSETESLPNSESRNMRRPSSSSVVGGLPPLGRAVPSSQGSDRRGGSSTSSYRKDEYNWRYDNDELADNVIQASNALENLQLDRKARNLTSSWRHAGDGISEDDGRYGMVYTLRENDRRGGSSTSSYRKDEYNWRYDNDELADNVIQASNALENLQLDRKARNLTSSWRHAGDGISEDDGRSDHV >OIW12630 pep chromosome:LupAngTanjil_v1.0:LG04:26135600:26136490:1 gene:TanjilG_24563 transcript:OIW12630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEESTLAVEVTAPEPITTEPPPPPPAEESKPVTKAKKTKDPKPKKVSKPRISTHPPYEEMIKEAIVSLKERTGSSQYAITKFVEEKHNLLPPNFRKLLLFNLKKLVASGKLVKVKGSFKIPSTPKSKPEPAKPEPAKPKPKSVAKPAPKAPAKAKLATKPKPKAAVAKSKAVAAKPKAAVVKPKGAVAKPKAVAKTKVVAAKTKVAVKPKAKATRTSTRTSPGKKVAAVKPAVKKAATLKKAAVKSVKPKSVKSPAKRVGAKRNGRK >OIW13143 pep chromosome:LupAngTanjil_v1.0:LG04:20266333:20267307:-1 gene:TanjilG_32124 transcript:OIW13143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHHSSTSFPIIAIAIIGILATAFLLVSYYIFVIKCCLNWHRIDILRRFSPSTRREDTSPIYSPGTEPRGLDEAVIRLIPLIQYKRQQEESSSSIGNREFGERNFCECAVCLNEFQEDEKLRIIPNCSHVFHIDCIDVWLQNNANCPLCRTSISLTSRFQIDQLLNTSTTTSSPQHQNSNISVDQDFVVIELGNNDNDRNQNNALELPTTCPIISPSPRKLEQRKKSRKLHNKVTSMGDEWIDIRAKDEQFSVQPIRRSFSMDSSGDRHYYLAVQEALQHQNKQVINEVNFIEECSGSSRAKRSFFSFGYGSRSRSSVQPIHLDP >OIW14005 pep chromosome:LupAngTanjil_v1.0:LG04:6404117:6405398:1 gene:TanjilG_09356 transcript:OIW14005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRKQQRAFKLFMWILGLSLGAYIAGRPLYWHINDTLNSISSNSCPPCHCDCSFQPLLSLPEGLANDSILDCMRQEQGVSKEVEKNFTDLLAEEVRQKEAEAEEKQRIADIKLLEAKKLASQYQKEADKCNSGMETCEEARERAEAALEYQMKETAIWELRARQRGWKEGAKISRAHF >OIW12942 pep chromosome:LupAngTanjil_v1.0:LG04:23291780:23295999:-1 gene:TanjilG_15862 transcript:OIW12942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSIVQGFTKSLAMTVLSEIGDKTFFAAAILAMRHPRRLVLSGCLSALIVMTILSVIVGWAAPNLVSRKWSHHITTLLFFSFGLWSLKDALFGDGDTEELAEVEAELDKTWKANNGAKKNSNKVDDDLKKNKQRPLFSQFFSPILLKAFSITFFGEWGDKSQLATIGLAADENPFGVVLGGILGQALCTTAAVVGGKSLASQISEKIVALSGGILFIVFGIQSFLSPVES >OIW13987 pep chromosome:LupAngTanjil_v1.0:LG04:6268105:6268434:1 gene:TanjilG_09338 transcript:OIW13987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSRRSYSYSKMDKEDPEEVISRRAQFLIYKVLEQANSPRKKSCLRIRITKLKVKIGNRLRRLRKRIMSSVYAVKVGIHGHVKSQVKTWKGFYGKGRQTLISPPHIIK >OIW13282 pep chromosome:LupAngTanjil_v1.0:LG04:15874682:15877909:-1 gene:TanjilG_25761 transcript:OIW13282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKKSRILVFGGTGYIGKYIVKASITLGHPTLVYTRPLNSQTPHSKTQICNEFNSMGVILVQGELEHDQIVEVIKKADIVICALAYPQVEEQIKIIDAIKVTRNIKRFLPSDFGVEEDRINPLPPFQEFLDKKRKIRREIEASGIPYNFISANCYGAYFVNFLLHPHEKVKNIVVYGNGETKAILNYEEDIAMYSIKVANDPRTCNRIVLYRPSKNIITQNELISLWEQKSGQKFHKDFVPEEEIVKLSKTLPPPQDIPISIIHSIFVRGDLVNFEIGEDDLEASQLYPDYNYTSIDQLLDIFLVDPPAPAVAAFE >OIW14073 pep chromosome:LupAngTanjil_v1.0:LG04:5021902:5022911:-1 gene:TanjilG_11418 transcript:OIW14073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPPPSPPPSPPPPLLLPFLLVFLFIFPNITTSQILPPTHSPITSPSSSSTTTTLDPKQIRALQSLNIPTSKDPCSQPSYQNATICDNTTPFRHVTSLTLSNCPSYVALSFTALKSLSTLHTLHLLNCHVPPIRFPPELISSLRSFTAVNSLRRVTGAWLSQLQNLTDLTITNVQVKASGPYVILAHMNKLQSLTISHANLIGYLPGHIHSKLTHIDFSGNQLKGNIPISITILDTLQSLNLSSNSLSGEIPASIGDLISLNFLSLASNSFSGSIPDSISAVPGLVHMDLSSNQLNEFYTEIGSV >OIW12674 pep chromosome:LupAngTanjil_v1.0:LG04:25762642:25764974:-1 gene:TanjilG_24607 transcript:OIW12674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVHDDDGVGFEDGMFWLPSHVLDEACDTKAYMRNCFQKVQNYQHMHQKCHHKSPRGSQSQYSKSWYQRPRHANGGPGMQVLFLKSSQRSCGTGVFLPQRATTNFHPTKKPACAPVLLPARVVEALNLNVHALVDNYNPRCRAMCNNNSAKKKSDDQKDASKQCYVISQKQSSPEIFLPKEWTY >OIW12513 pep chromosome:LupAngTanjil_v1.0:LG04:27068358:27069543:-1 gene:TanjilG_04677 transcript:OIW12513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKAPCCEKHGVKKGAWTPEEDKTLVDYINKHGHGSWRTLPKHAGLLRCGKSCRLRWINYLRPDIKRGPFTNEEETTIIQLHAMLGNRWAAIASQLPGRTDNEIKNYWNTHLKKRLFGSDDCLISAKKVHVSPDLKIVKSESPCTGHMAQWESARVEAEARLSMESSFLNSSSTTNQTYNDCYLQLWHSEVGHSFRTIKGKEEEGVVSSSSLKMKQVEKASTFAKMTQQQASCYKPKLEDDDDDGTSGGTESGNCEFFYACDSAIKHLLDVPGADIGFWDTLTVS >OIW13701 pep chromosome:LupAngTanjil_v1.0:LG04:9251759:9262635:1 gene:TanjilG_08043 transcript:OIW13701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVEADNNTQKRDEEGDNSNENNNGSNSKIVNSNEGQSRPKRQMKTPFQLEMLEKAYAMETYPSEETRAVLSEKLGLSDRQLQMWFCHRRLKEKKDSQQKKLGKMVAAPTLLDSPIDDIKLGHEPGNEYGSVSGSGSSPFTSLELRNVVPRAVPGYYESPQTIMELRAIACVEAQLGEPLREDGPSLGIDFDPLPPDAFGAPIAITEQQKRPSLAYDNKVYERHDVRTNKAIVRTFPEYPLFLPNQSATRVDAFGQLGPPHLHDPMEGPTRTPPFPIGNEQIPRNQATQSHYSRISQPDKHGIPYSSPPLDNDVASRREFYTSIADAGTSSHFSDHPVVGQDNSYALPGGQVPHNDTVLQMENKKNDDTRVAREVDAYETRIRKELEKQDNMRRKNEERLRKELERQDRERKKEEERLMRERLREEERSKREQKREIERREKFMQKENLKAEKRRQKEELRREKEAERRKAALEKAAARRIAKESMELIEDEQLELMELAAASKGLSSIINLDLDTLQNLESFRDSLCVFPPKSVKLRKPFAINPWINSEQNVGNLLMVWRFLITFADVLELWPFTLDEFLQAFHDYDSRLLGEIHVALLKVIIKDVEDVARTPSTGLGVNQNGAANPGGGHPEIVEGAYSWGFDIRNWQKNLNQLTWPEIFRQLALSAGFGPQLKKRNISWSYANDKNEGRSGEDIISTLRNGSAAQTAVAKMHEKGLLGPRRSRHRLTPGTVKFAAFHVLSLEGNKGLTVLDLAEKIQKSGLRDLTTSKTPEASISVALTRDTKLFERIAPSTYRVRSAFRKDPADAESILSEARKKIQIFENGFLAGEDVDDVEREDSESDEVDEDPEIDDLVNPSSVIQNSELYGDTNICTSDVKESLAHDVGLIKNEFDSDLHCFPENGSKDSDCPTSVSKQPLACADLNTQNLDQDDIEIDESKSGESWVQGLTEGEYSDLSVEERLNALVALVGVANEGNSIRVVLEDRLEAANALKKQMWAEAQIDKIRLKDDIFSKSDFPSLIGNQVEIQYTYPAIEGNQSPLLDIIVSNVKNEASPSTAQNQKVTPIAHRLPVERSSSVQDLCSGTGPDNPQTQVPAPYSKRSRSQLKSYIAHMAEEMYIYRSLPLGQDRRRNRYWLFVASVSSNDPGSGRIFVECHDDGKWMLIDSEEAFDVLLTSLDSRGIRESHLRLMLKKIESSFKENVRKNTQCAKIECPSSTLHSLNSDTSEISPSFKIDLGKSESEKKAALRRYQDFQKWMWKECHNSSILCAMKSGIKRCKPQVDICDTCFNPYFIEDSHCNSCHRTFPANNGFNYSEHAFECEDKLSKDICISESSLPLRTRLLKFLLACIEVSVLHEAFGTIWTDDIRKRWGMKLSKSSSIEELLQILSLFERALQRDFLSSNFSTTDELLGLSSMSVSTALASTDPEFVAVLPWVPQTTAALSLRLFEIDSSISYVKPVKPEPPEEKEAREYIKLPSRYTPFKFKQEVEPAGLDHNEFIQDKSAPKKVVRSGNKRGRGSKDQGRGKKLSKRPYNSKQNIGRRDVKVTENLSLKLKQQQGQGTQGQAGGRGRRTVRKRRVEKRAVEDLLLGSTAAGHSNKISRVPMRSMDVGWEVNKASPVTHIHMDVADNSNSTEEVESDDNAPAVEYDRGNWEIGFSGVAPHNRWSNDLVGMSDEDVDASEDDNDNSLEEQEEEDSEADVMSEGSDGIANRVVVNEDSDSAVSEDSSD >OIW13585 pep chromosome:LupAngTanjil_v1.0:LG04:9958573:9971937:1 gene:TanjilG_25684 transcript:OIW13585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRNNNRGKSKGDKKKKEEKVLPIVMDITVNLPDETHVILKGISTDRIIDIRRLLSANTETCYITNFSLSHEVRGPHLKDTVDVSALKPCTLTLLEEDYDEDGSVCHVRRLLDIVACTTIYGPSPAPEKNATITATKSPAEVVDGDCEISHSCPKLGSFYEFFSLSHLTPPLQYIKKTVKRQVQEISNADHFFSLDVKLCNGKVVHVEACRKGFYSVGKQRILCHTLVDLLRQLSRPFDVAYDDLLKAFSERNKFGNIPYGLRANTWLVPPVVAQSPSFFPPLPVEDANWGGNGGGFGRDGEFDLIPWANEFSFIASMPCKTSEERQVRDRKAFLLHSLFVDVAIFRAINAVKHAMGEPDLNSSISETGIIYTERVGHMSIKVMKDATVASSKVDTKIDGVEATGVNLKELVARNLLKGITADENTAAHDTTTLGVINVRYCGYVAVVKVESGEMDRPSYQNTEFLDQPEGGANALNINSLRLLLNTTQSENNRTVTEIQTCENEELGASHAFVERLIKESLSKLEEEETCLDNFVRWELGACWLQHLQDQNNTEKDKKQSLDKAKNEMKVEGLGKHLKALKNNKIKSDLTDPKLASENSKPHHVCVNGEAESASLLSADSQYETTTAENELVLKGMLSEAAFTRLKESGTGLHCKSIQDLIDLSQKYYIDVALPKLVADFGSLELSPVDGRTLTDFMHTRGLRIRSLGHVVKLSEKLSHVQSLCIHEMIVRAFKHILQAVIAVVDKEKVATTIAGALNLLLGVPENRESDKPCKTHPLVWKWLEVFLKKRFDWDVSDLNYNDVRKFAILRGLCHKVGIELVPRDIDMDSPIPFHKSDIVSLVPVHKQAACSSADGRQLLESSKTALDKGKLEDAVTYGTKALAKLVAVCGPYHRMTAGAYSLLAVVLYHTGDFNQATIYQQKALDINERELGLDHPDTMKSYGDLAVFYYRLQHTELALKYVKRALYLLHLTCGPSHPNTAATYINVAMMEEGLGNVHVALRYLHKALKCNKRLLGPDHIQTAASYHAIAIALSLMEAYPLSVQHEQTTLKILRAKLGPDDLRTQDAAAWLEYFESKAFEQQEAARSGARKPDASIASKGHLSVSDLLDYINPNHDAKGRDVAVKRRSQIAKVRTKSYQNSGSASSDESSKETPKETSDEDIHIPVPAVCANAEQETNSVPDSEQPILEKTSDEKQHIWGNSSEAHADGEDGWQPVQRPKSSGSYGQQRKQRRATINKVSYQKKNVESDTDHTSVRSTNQNGRYYFSKKRTISHGSSTDNHTVNIAQGSKFGRKVVKAVTYRVKSVSAVMDTTAKDTSKIVDHLFSSNSEELGSNSSSNDVNPVKTSLVSLGKSPSYKEVALAPPGTISKLQVYNPQSEIPGSNDRGVGKHEEEDIEPHANSNPTLEEVNNIFEQKDKDFSEKREETQFADAAQDNFESAKGLESGDVEAHEAADNIIMIDAVEDPVDSHKLELDTSASHGFEIPNSDTNIISQEGDDLRVSISPSSRKLSASAAPFNPSPGIARPAPVSMNITLPSGPTICPWPVNMNVHRGPATLLPAVTPMCSSPHHAYPSPPATPNMIQPLPFMYPPFTQPQSILTTNFPVTTSAFHANHFAWQCNMNQAVPKFAPNAVWQGCHPVEFSLPAPALEPIPDHILQPQEQCNVSQIPGSTLVLPEEANNVRESNEEVKVLESETSEYEFGKVHPEIIKENGNPNFHVSENAGNTTNHNISLSESAGKIEKNMDGGKTVSILIRGRRNRKHSLRMPISLLTRPNSSQSFKAVYNRVIRGNDAVPKSINLSSGKDCIATA >OIW14089 pep chromosome:LupAngTanjil_v1.0:LG04:4857962:4860130:-1 gene:TanjilG_11434 transcript:OIW14089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSVENGHDTKKRYFLVSISSILLVAMVGVVAVSLTQSESPDNGSTEISSTQRNAEMLCQSTQYKDTCVKSLDNASNKTDVKELVKVAFNSTAQELLSQLKNSKLFEELAKDNMTKQALDICKEVLDYAVDDIQKSINSLDTFELNKLSQHAYDLKVWLAGTLSHQQTCLAGFENSKTKAGLTMAKALNTSLELSSNAIDLINAASELLKGLNLDTFDLGTTSSRRLLSEEEQQPLVDGFPSWVSDGQRRLLQAAPGGRGGIKADAVVAQDGSGQFKTLTDALTTVPKKNAVPFVIHVKEGVYNEYVILTKHMTNVTIIGDGPTKTIFSGSKNYKDGVQTYNTATFGVNAANFIAKDIGFENTAGSEKHQAVALRVTADQAVFHNCKMDGFQDTLYVQSKRQFYRDCAISGTIDFIFGDGIAVFQNCKLIVRKPLPNQQCMVTAGGRSKLESPSGLIFQSCHFTSEPEVATLKPKISYLGRPWRIYSRVVIMDSTIDDIFVPEGYMPWMGSAFTETSTYYEYNNKGGGANTAARVKWPGVKTITSAEAAVFYPGKFYEIANSTQRDSWITSSGIPYSLGPIPNTNAAT >OIW14002 pep chromosome:LupAngTanjil_v1.0:LG04:6395573:6396844:1 gene:TanjilG_09353 transcript:OIW14002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIQTSSPLFFCSSKRVNAAIHVPKLPRISFSVPKIPTTKLVEESKFTNTTPLLEKYNVTSTKVQDDVNHSTTSKHLVQLYAVLEAVADRVEMHNNVCEQRNNWNTLLLNSINMITLTATTMVGVAAVNNEVGAPLLALKLSSALLFSAATGMLLIMNKIQPSQLAEEQRNATRLFKQLQTQIQTTIALGNVTEKDVKGAMEKVLALDKAYPLPLLGAMLEKYPAKFEPAVWWPSTQFQKKNEGKAKSKKMGEKNGWSKELEMEMKQVVEVVKRKDIEDYERLGNIAMKVNKSLAIAGPLMTGIAAIGCGFVGNNGFSLAALVPLMAGSMAAAINTFEHGGQVGMVFEMYRNNAGFFNMVETSIESTLEENDLEKRENGELFEMKMALQLGRSVSQLRELASKSSAYRMEGIDIDEFASKLF >OIW12760 pep chromosome:LupAngTanjil_v1.0:LG04:25107428:25108015:-1 gene:TanjilG_24693 transcript:OIW12760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESVFGEPPPEGLASSGDNIHVNEEPRLSSQKIGESSFELTHTGNSGEAQMVDVSPKESSKRAAIANCKVILGKRVFDLVMANQMAKGDVLTVAKIAGITAAKQTSNLIPLCHNISLTHVQVDLRLNHEDFSVTIEGEAASTGKTGVEMEAMTAVSVAGLTVYDMCKAASKDIVITDIRLKHKSGGKSGNWSWGQ >OIW13104 pep chromosome:LupAngTanjil_v1.0:LG04:21037143:21037466:1 gene:TanjilG_08137 transcript:OIW13104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTNANMHKDEHGAPRLETRSNTNVSNVLVDAPSIAVDVPKIVPHASSLAVDAPRIVSDAPTSDQETHVDLPKSSQASPITRFTYCLTVPIVTVPDCPKPSGLMNQ >OIW14189 pep chromosome:LupAngTanjil_v1.0:LG04:2849487:2855177:-1 gene:TanjilG_21329 transcript:OIW14189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPTQLEERMSDFKPFSDSSQPGGSSSSRSISETINGSHEFTINGYSLAKGMGPGKYIMSDTFSVGGYDWAIYFYPDGKNPEDNSMYVSVFIALASEGTDVRALFKLILVDQTPKGNNKVHSHFDRPLESGPYTLKYRASMWGYKRFFRRSLLETSDYLKNDCLIMDCTVGVVKTRFEGSKQGIVVPQSNMGRDFKDLFESEVGCDIVFKVKSESFKAHKLILAARSPVFRAQFFGLVGDPSIEEVVVEDIEPFIFKAMLLFIYSDKLPDIYEVMGSAQICPYTVMVQHLLAAADLYNLDRLKILCESKLCDEINVDTVATTLALAEQHHCPQLKAMCLKFIANPTNLGAVMQSEAFMHLKESCPTMLLELLETFTLVDDDISQPLSRKRSVSSIYGQDLADGAVAESANPNVRRVRRRT >OIW14025 pep chromosome:LupAngTanjil_v1.0:LG04:5575487:5576692:1 gene:TanjilG_11370 transcript:OIW14025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYLGADLSIRIYPQPDRSEIDHFDRLPDALLLLVFNKIGDVKALGRCCVVSRRFHALVPQVDNVIVRVDCVISDDGSTSSNSSSDKTRGTFWNLFRLVFGGIVKPLQALGQLLGPKRTTLVSGSSSSASSPSSSLAVGTSEEDGETDQGGVTHHSPTQVLKNFNEIRLLRIELPSGELGIEDGVLLKWRADFGSTLDNCVILGASSVIHPKPENGVAFNASADALCSSGVNNNNGVVGGDDNGSIPDSFYTNGGLKLRVVWTISSLIAASARHYLLQPIISEHKTLDNLVLTDADGQGVLHMDRDQLEELRVKPLSASLASKRTLVPALNMRLWYAPHLELPDGVVLKGATLVAIRPSEQSPKKEPSDVSWVSTAFEEPYRTAARMLVKRRTYCLEMNSF >OIW13443 pep chromosome:LupAngTanjil_v1.0:LG04:11778071:11780602:-1 gene:TanjilG_05333 transcript:OIW13443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCTWTVYSSNSLCKHTNFDEIRRPHSVSITEFGAVGDGITLNTKAFQNAIFYLNSFADKGGAKLFVPAGRWLTGSFDLISHLTLSLDKDAVILGSTDIGDWPVVDPLPSYGRGRELPGGRHMSLIFGRNLTDVIITGNNGTIDGQGSIWWNKFRNKTLDYTRPHLVELMNSTGVLISNLTFLNSPFWTIHPVYCSQVTIQNVTILAPLDSPNTDGIDPDSSDHVCIEDCYISTGDDLIAIKSGWDEYGIAFDRPSTNIIIHRLTGKTETSAGIAIGSEMSGGISEVHAENIQFHDSYNAIRIKTSPGRGGYVRNIYVSNMSLVNVDIAIRFTGLYGDHPDDYYNRNALPLIEKITIEDVIGHNIKHAGYSDLVVPQACEALKGRIFSDHCSDCYYLSKCIPSWNKRKRGGWFMPW >OIW12719 pep chromosome:LupAngTanjil_v1.0:LG04:25430033:25440075:-1 gene:TanjilG_24652 transcript:OIW12719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTTSSQSPSPISESSSFSQSNFSTLPVMALRNKIVEKISQNRVTLIVGETGCGKSSQVPQFLLDEDMSPILCTQPRRFAVVAVAKMVATARNCELGGEVGYHIGHSRNFSKGTKIVFKTAGVLLDDLRDKGLTALNYKAIILDEVHERSVESDLVLVCVKQFLMKNNGLRLVLMSATADISRYRDYFRDLGRGERVEVAESLGINSELMHSKYSLDLYPFKADVNIMFNLLKLIHDLVLHIHENEPDIEKGILVFLPTYYSLEQQWRLLKPLGETFKVHILHRSIDTEQALMAMKICKSHRKVILATNIAESSVTIPKVAFVIDSCRSLQVYWDKFRKKEATKLVWVSKSQAEQRKGRTGRTCDGKVYRLVTGSFFNNLEDHESPAILKLSLRQQVLSICCAGSKAINDPKLLLQKALDRPDPEVVEDALNFLVQMRALEKTLPRGRYEPSFYGHVLSSFSLSLDASVLVLKFGDNGMLRQGILLGIMMDMQPLPIIHLFGEEEMFAKYIYFYFGDHTILAGRKETEFMGNFCAFEFWQHIFRDKYRLEHLKQVLNSEHVEPATQLMPKLEEDWCSFHNLSPSSLHQVSEIYDEILNSIHRFRPKIFSSFHGLPLCYDPYEFKHVCLLTCQPNGHSDVDSSDGEGLESSSETKKCVAVPYVTSSNFRSYDVAKIFAAVIKEIRAQYPEDASSHQPDSVDVDNCHVNGEASPCVYFIRGSCNRGSECLFSHSFQAKRPQCKFFFSLQGCRNGESCLFSHDMDRSELSLKPNACLPEDNDVNAAFLLNLFPDSANRSILILDDTDLHFSSCLARLYDPTKIISTTCLSEITITDPSLTGVRIFWNLYHPDQTVIAKAGKSPIPWNEVQCVLWFPSFNIYGEDLDGQKQLLQNFFEYLAIRILADDLSEVQVIITMNNIRFSQLQVEKLGRDCFFILTKSFAFDETNLGELHDKVTTKRPMSGWFGICLIHPHISYITCNMSSSELKIQVCWKPFQLDSDSQSNPYHCKRPCLSDRTTTSFSIDISKLTLLDDDNRNNNTNRTLSSYRLLARKRRRRGSRSVSGRSSDRSGTRRCCSVGASAAYGTCSDFPVAMGTDSSGELFNGDANWSSDVSEARNSRREKEGGGSGEKENVGIGFGVSGCSDANGNGNGNESGYGSEPGYRGDAEFGYGDEFDEEEDDPRVLFWGDQLGGQFSLFF >OIW14224 pep chromosome:LupAngTanjil_v1.0:LG04:2065832:2066101:1 gene:TanjilG_21364 transcript:OIW14224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQIAKEHPTVRINLCPNHFYEATITSFISAKGEFIEDPTTTTYDAKVAGDGPEYCVEAFTATNIENPPINKLVALVSIIAFSATNHLD >OIW14046 pep chromosome:LupAngTanjil_v1.0:LG04:5328755:5329823:1 gene:TanjilG_11391 transcript:OIW14046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENPTSIRTSGSLYATLANSIQALGRGFDVTSDIRLLYCKGAPGSRLVHLDEDHTMDLEIEVSHGVVVPNVSVDIDCSLGKSSVKNIPMCSFFEMAKYFNEAGTKSLAMVGYFIPLVQVKLTKLNFVFIEEVKRAVPLGSIILGKHLVSLPKNLQPHWDTHMAIGAPKWQGPEEQDSRRFELIKWKNFSHVSTAPIEYTETSIGDLSGVHIVTGAQLGVWDFGAKNVYT >OIW12754 pep chromosome:LupAngTanjil_v1.0:LG04:25135217:25139517:1 gene:TanjilG_24687 transcript:OIW12754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSIISKSNCHLGQLLLPPQTNGKWEYGMTNVKISGNGNGNGRMMVVCRGMLEPRKFMQRRKKLQTFKDAADEAYQKNWRRLMTEIDETGSAVAVLSSEKILNKTLPKGLIVGTLMRYKQLKRWNLVAEILEWLRTQNWWEFGRMDFSLLLTAYGKLGDFNGAEKIMSLINKNGYPPSVVSHTALMEAYGRGGRYNNAEAIFRRMQISGPEPSAVTYHIILKMFVEANKFTEAEEVFNHLLDDEKSPLKPDQKMFNMMIYMYKKAGSYEKARKTFAMMAERGIEQSTVTYNSLMSFETNYKEVSNIYDQMQRADLRPDVVSYALLINAYGKARREVEALAVFEEMLDAGVRPTRKAYNILLDAFSISGMVEQARTVFKSMGRDRLSPDICSYTTMLLAYINASDMEGAEKFFRRFRQDGIEPNVVTYGTLIKGYAKVNDVGKVMEKYEEMLERGIKANQTILTTIMDAHGKNGDFGNAVIWFKEMKSSGVPPDQKAKNVLLSIAKTDEEREEANELVSNNNENNNLPKVNGVASFDDDDEDDNDADEEESEDNSECFDSQLARAYDEQTPVLSS >OIW14288 pep chromosome:LupAngTanjil_v1.0:LG04:935070:936446:1 gene:TanjilG_21428 transcript:OIW14288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPYSLYFTLLIQHSNTIIDLIIPHSHYFAVVLVASIVILYFASRRKVPIYLIDFSCCCPPRSYRLPLAMFEEHSLLDHMDPDAVAFQCKIIAKSGFSEETALPQSLAQIPRTKALASAIEEAETAMYSAITCLFEKNNINPKAVDILITNSCVFCPTPCLSDMVVNKFRMRSNIMSFHLSGMGCSAGIISVSLAKDLLRVHRNSLALIVSTETLTHNWYNGKVPSMLLSNCLFRMGGAAILMSSRVQDKHKAKYKLQHIVRTTTARDDQSQGCVYQDVDPEGNEGVSISKNIVIVAGDVLKKNIASLGPLVLPLREQFLHVFSIIRRKLWATRTSIYTPNFNHAFEHFCIHPGGKAIIKAIEKNLGLRKVDMEPATMTLYRFGNTSTSSIWYELCYIEAKGRMKCGDRVWQLAFGSGFKCNSAVWKCLCDVKPDTASAWSDIIHSYPIEVPDIVRIN >OIW14082 pep chromosome:LupAngTanjil_v1.0:LG04:4949293:4953065:-1 gene:TanjilG_11427 transcript:OIW14082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNAGLALLEFRARITSDPYVALANWNSNDCDPCKWFGVHCVDGKVQMLDLNELSLEGTLTPELGKLSHLKSLFKLGKAARRGPEEHYYDLIHSSNESEIAQHEENLFNSAHRRLLDQSSNLAAAPYTGGLIIELSSVPTILSSGAFPALPYAKIEQNQSPPPLASHYNSHRNQQHSANDDFENLWKYIFIIIVVAVLVIAIIVILCVWQKKAAKVISPWKSGISGQLQKAFITGVPKLNRAELETACEEFSNIIDNYDECTIYKGTLSSGVEIAVVSTMISSPQEWSKRMELNYRKKIDTLSRVNHKNFVNLIGYCEEEEPFTRMMVFEYAPNGSLFEHLHVKDVERLDWNERTRIIMGTAYCLQYMHHDLNPPVPHRNLNSSSISLTDDFAAKIGDITYGNIVSPITKTTGDDSKKSELPPHADRETNVYNFGILLLEIISGKLPHSEEQGNLVNWASEYLNDKRSISYMIDPTLQSFKDNELDIICEVIQDCIQPDPRVRPTMKEVTTKLREVLGISPEQTVPRHSPLWWAELEILSVETT >OIW14296 pep chromosome:LupAngTanjil_v1.0:LG04:841692:845956:-1 gene:TanjilG_21436 transcript:OIW14296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNSFSDLFASPSERPSGFSGEAGMSAPKFMSIPPPSFPMSPPLISPSSYFDELLDSPVLLNSSNIFSSTTAALAAQGFNWNNIKEDKCFSSISFPTQPKPPPQSSNFTNQTQQAWSFQESTKLESLVKTEYSSSMQNFTAKNANAQSNNNNNNNFQPQILNRRSDDGYNWRKYGQKQVKGTANPRSYYKCTYPNCPTKKKVERALDGQITEIVYKDNHNHPKPQATKRNSSSLPIPPSNHVITEILDQSYASNGSRQMDLLATTENSLISMGDDDYEQSSQKSRLEADEDEPDAKRWRIEGENASISAPGSRTVREPRVVVHTTSDIDILDDGYRWRKYGQKVVKGNTNPRSYYKCTYPGCPVRKHIERDPQDLSAVITTYEGKHNHAVPAARGSGNHSINKNNTAVPAISPYAVTEYPNNSLNNSIQNFRPQEGQSHFNQGMLKSHGSFGFFGFGNSMGSYMNQQSDNVFSSRAKEEPRDDSFLDSLLS >OIW13520 pep chromosome:LupAngTanjil_v1.0:LG04:10220929:10224388:-1 gene:TanjilG_29261 transcript:OIW13520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQINASSSSSDEEDDDRHNLIDQNDRKPSSTFHIEDFNNFASRFQFTRFTNLISKFQKRYLFALLPLFFIIVFYFISNYSTSRFNLSPLFNSDSDSRTKESELQALYLLRKQQSGLLKLNSIINPNSTEEDLKSVLFNQISLNREIQQVLLNPHRKGNNLIEPEYDFGNDRCRTVDQNLSNRRTIEWKPKADKFLLAVCVSGQMSNHLICLEKHMFFAALLNRVLVIPSSKVDYQYDRVLDIDHINKCLGRKVVISFEEFSSVKKNHLHIDKFLCYFSLPKPCYLDDERLRKLKSLGLSMSKPVPVWDEDIKKPKQRMVQDVMSKFNHHDDVLAIGDIFYAQVEQEWVMQPGGPLAHKCKTLIEPSRLILLTAQRFIQTFLGRNFIALHFRRHGFLKFCNVKKPSCFYPIPQAADCISRVTEKANAPVIYLSTDAAESETGLLQSLVVLNGRPIPLVKRPARNSAEKWDALLYRHHIEGDPQVEAMLDKTICAMSSVFIGASGSTFTEDILRLRKDWGSASICDEYLCEGEEPNVVAEIE >OIW12907 pep chromosome:LupAngTanjil_v1.0:LG04:23806309:23809514:-1 gene:TanjilG_15827 transcript:OIW12907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVRGEKERLSMILGSYLTTIHDTLQVLDQTAPSSLDKVNWEGVMKMGDQVSKQATTVGMLWSGEKPDLKTIEENMASYFNTLQGFLLLSHGSTVGAGPTLSSSIHASVKQVVDSSFRLMKETVSLYGSHSKNQKQSVPQFVGAVWEATSALKKTPTSNITAIGRGMTQVAVSVRDVLREMKELKPGSSDDDPVDKAVDTECEPSGDNSSEGDLGNDLSPGEMKVAERAIVVVSDTLSVIKELIRSITGLLKLEKPNDNSIFIDSMEKLLQLCQDLGRQIDEIGACLYPPQEIPAIEGAMEKIHTIIDVMQVELGGLTGALDVFLETCNVLRSSLKQLASELTSSSIADIEAKVENITLIN >OIW12681 pep chromosome:LupAngTanjil_v1.0:LG04:25725378:25728054:1 gene:TanjilG_24614 transcript:OIW12681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKPVRYWLVDAFTESAFKGNPAAVCLLEEDSASKEDEKWMQDVAAEFNISQTCYLVPITTSNSIPRFHLRWFTPITQVNLCGHATLAAAHTLFSFGLVQTSIIEFVTLSGVLTAKKVPAINVTDASNLPNGKTHDKFYIELDFPSDPVTEFNFAEASQISEALKGASVVVASGNNVIEVQPQHDAIVKCPGKGIIVTAVAPPESGFDFYSRFFAPKSGINEDPACGTAHCALASYWSKKLGKCDFNAYQASPRGGVLNIHLDEQNQRVLLRGKAVTVMEGFLLV >OIW14318 pep chromosome:LupAngTanjil_v1.0:LG04:430760:487389:1 gene:TanjilG_21458 transcript:OIW14318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLSRFAWGSNSKVETSNLPITLQLFEFEACPFCRRVREALTELDLSVEVYPCPKGSVRHREVVRKSGGKEMFPFLIDRNSGISMYESGDIVKYLFEQYGEGRSPSPGLLESTIFTGWMPTILRAGRGMTLWERSTPDPPPGKLELFSYENNPYARIVREALCELELPYILQNVGEGSCRMKSLVDASGSKELVVENGIISVTLSNPEGYVIGISYNGIDNILEVENKEQDRGYLSVVWNEPGKSSHFQSIHGTKFSVIIAEENLVELSFLRTWESSMNGTNVPINVDISFNYMAIDDKKQRRMATMRDRGTGQTLAYPEAVLLTNPSNPQFRGEVDDKYQYSLENKDNKVHGWISIDSDSPIGFWMITPSNEFRNGGPNKLDLTSHVGPITLSTFVSTHYAGKEVTMSFEEGETYKKVFGPVFVYLNSATNKDNSLSLWSDAVDQLSKEVKSWPYDFPRSQDFIQPNERGKVLGRLLIQDRYIKGGTLQNAKNAYVGLALPGDAGSWQRESKGYQFWTRTDPNGYFTIKNIVPGDYNLYAWVPGFFGDYKYNSTILITQGEVLNLKKLVYDLPRNGPTLWEIGIPDRSSLEFYVPDPYPTLMNKLYNDQPKEKFRQYGLWDRYVDLYPNNDLVYTIGVNKFNEDWFFAHVTRNIGNNTYEPTTWKIIFEHQHDIFSGNYTLQLALASAKDSELQLVVDNGIVSVTLSNPEGYVTGISYNGIDNILEAQNEEQDRGYLDVVWNEPGKPYSFQRIHGTKFSVITAEKNLVELSFLRTWETSMNDTNVPIHIDTRYIFRGGDSGFYSYAIFDRPKGLPSTEVVQIRIVFKLEKNRFNYMAIEDNMQRRMPTMRDRETGQALVYPEAVLLTNPSNPQFRGEVDDKYQYSIENKDNRVHGWINIDSDSPIGFWMITPSNEFRNGGPNKLDLTSHTGPITLNMFVSTHYAGNEVTLLFEEGETYKKVFGPVFIYLNSATTKDNSLSLWSDAVNQLSKEVKSWPYDFPRSQDFIQPNERGKVLGRLLIQDRYRQYGLWDRYVDLYPNNDLEYTIGVNKFKKDWFFAHVTRNKGNNTYEPTTWQIIFEHQHDIFSGNYTLQLALASAKDSELQVRFNYPSINPPHFTTGQIGGDNSIGRHGLHGLYWLYSIDVPSHHFVKGKNIIYLTQIRATTPLQGVMYDYIRLERPHT >OIW13725 pep chromosome:LupAngTanjil_v1.0:LG04:8762586:8764436:-1 gene:TanjilG_17904 transcript:OIW13725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLFPSFVNGLVRSVSLKKEKRYKKNDGRKEVEALAKEARKNELLLSSSGIVKSAKANNFASVFTSKGQKGVNQDRLVVWEEFGCQEDMIFCGIFDGHGPWGHFVAKRVRKLVPASLLSNWQENLAATSLDLDFKMEEDKNLHRFDIWKQSYIKTCASVDEDLKQHSGIDAFQSGSTALTIIKQGEHLIIANVGDSRAVLATTSMDGTLTSLQLTTDMKPNLPKEAERIMQSRGRVFCLEDEPGVYRVWMPNGKTPGLALSRAFGDYCVKDFGLISVPDVTQRKLTTNDQFLILASDGVWDVISNEEAVKIVSSTLHKEKAAKKLVKWAMHEWKRKKRGIAIDDISVICLFFNSPSTHKLHRNKNGG >OIW12592 pep chromosome:LupAngTanjil_v1.0:LG04:26527912:26530764:-1 gene:TanjilG_04756 transcript:OIW12592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKPDFASKSSSKCSVVLPYQTPRLRDHYLLGKKLGQGQFGTTYLCTHKATGKLYACKTIPKRKLLCQEDYDDVWREIQIMHHLSEHPNVVQIQGTYEDSVFVHLVMELCAGGELFDRIIQKGHYSEKEAVKLIKTIVGVVEACHSLGVIHRDLKPENFLFDTSCEDAKMKATDFGLSVFYKPGQYFHDIVGSPYYVAPEVLCKQYGPHVDVWSAGVILYILLSGVPPFWAETEAGIFKQILHGELDFASEPWPNISESAKDLVKKMLDRDPKQRISAHEVLCHPWIVDDTVAPDKPLDSAVLTRLKHFSAMNKLKKMALRVIAERLSEEEIGGLKELFKMIDTDNSGTITFEELKNGLKRVGSNLMESEIKSLMEAADIDNSGTIDYGEFLAATLHVNKMEREENLIAAFAYFDKDGSGYITIDELQQASKDFGLADVHLDDMIKEIDTDNDGRIDYGEFAAMMKKGDPDMGRSRTMKGNLNFNIADAFAAKEDSS >OIW12611 pep chromosome:LupAngTanjil_v1.0:LG04:26377664:26388171:1 gene:TanjilG_04775 transcript:OIW12611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPEPLLWDRKEFFKERKHERSESLGSVSRWRDFTHHRRTTGLGKQGSRHQFSEELGHGYAVSRSSDKMLEDNVRSSSVSRGDGRYVRNNRENRGPFGQRDWRGHSWEATNSSLNMSRRPPDVKNDQRSDCDIIYSSHPHSDFLNTRDQHNSKDQHDKMGDVNGCGTDPGCDKGKSLGSIDWKPLKWTRSGGLSSRGSGFRHCSRSRSMGGEDSYGVKIDLQPKNAPVNEDHLGEAAACVTSSPPSDDTTSRKKPRLKWGEGLAKYEKKIVDGPDLCENKDIHVSNMEPYNNPSPHDTVDKSLKITGFSGCASPATPAAFSSSPAGMDDKLFGKTESVDNDVSNLTVSAVPGFQNHLQKFSFNLEKLDIDSLNNMGASITELVQCDDPSSVDSGLMSSSAMNKLLIWKADISKVLEMTESEIDSLETELKSLKSECGDRCPCPAAALGSQRVCRNEKPREEHSGVSDKVLLPEPLKIISSDGPLVDERPLSTNLHSSHENGKDEDIDSPGTTTFKFVEPLPLTTVVSSCDTIRCSNFSGDLDVMQSAAVKSLGPCTTRKGASVSVCGHGNTSLETKDCMNAGTPGSSLCHNTGDSLYNIIVTSNKESANRSCGVLAKLLPKECCEIGNMGGSSSTSFPHNASIMENVFYGNGMSTLKKCLGKQGSRHQFSEELGHGYAVSRSSDKMLEDNVRSSSVSRGDGRYVRNNRENRGPFGQRDWRGHSWEATNSSLNMSRRPPDVKNDQRSDCDIIYSSHPHSDFLNTRDQHNSKDQHDKMGDVNGCGTDPGCDKGKSLGSIDWKPLKWTRSGGLSSRGSGFRHCSRSRSMGGEDSYGVKIDLQPKNAPVNEDHLGEAAACVTSSPPSDDTTSRKKPRLKWGEGLAKYEKKIVDGPDLCENKDIHVSNMEPYNNPSPHDTVDKSLKITGFSGCASPATPAAFSSSPGMDDKLFGKTESVDNDVSNLTVSAVPGFQNHLQKFSFNLEKLDIDSLNNMGASITELVQCDDPSSVDSGLMSSSAMNKLLIWKADISKVLEMTESEIDSLETELKSLKSECGDRCPCPAAALGSQRVCRNEKPREEHSGVSDKVLLPEPLKIISSDGPLVDERPLSTNLHSSHENGKDEDIDSPGTTTFKFVEPLPLTTVVSSCDTIRCSNFSGDLDVMQSAAVKSLGPCTTRKGASVSVCGHGNTSLETKDCMNAGTPGSSLCHNTGDSLYNIIVTSNKESANRSCGVLAKLLPKECCEIGNMGGSSSTSFPHNASIMEKFVEKKRFARFKERVITLKFKALHHLWKEDMQLLSIRKCRPKSHKRHELSVRYTCNGIQKNRLSIHSRFPYPGNHPSLVPTSKMINYTSKLLSKPQGEVQRNTLKMPALILDEKDKMFSKFISSNGLVEDPLAIEKERTMINPWTAEEREIFLEKYAVFGKDFRKIASFLDHKTTADCVEFYYKNHNSDCFEKLQKKDGSKLGKSFLAKTDMMASGRKWNHEVNAASLNILSAASVVADGISGNKRMHAGSLLLRGYGNVNASTDDDSITGRSGNCDILEDERETVAADVLAGICGSISYEASITSSADRVEGSKDKKFLKVKPSCEQPLMADVTQNDDETCSDESCGEMDPTEWTDEEKAGFLQAVSSFGKDFTKISWSVGTRSQEQCKVFFSKARKCLGLELMRSVPENMGSPMNDNVNDGGSDTDDECVVETGSAVGTDKSGTKTYEDFPSFVMNTHHDESPAVEARKLSTELNKSKEINGTEADHEDVNVVSDACASKTEHIVSSGGSDVVLSSSDKSGSVSGKEVMIMSDSTEARKDKADKGGGATTELISALEIVEPCKSNSVAEHRQVSDVFSGGLGNEPGRQTSPQCFDDRNNKHEVDTGVVAKLKRVRGSSTPVNASLSSVGNSCSVLSFDTENTHVSLGRPCISAFSFENHHATANSPLQNTAATNVKCEETAVQDRRSSTCDFQGSRNMRCHISISNGDHQLPIPGNHVEAGSIIQGYPLQVSINKDVSDMNSSSSACELPLLPQKIEHTDDQFKTRLQHLSDSEKIPRSGNVKLFGKILTNPSSTQNPNLISKASEENDTHHPKLSSKSSSLKFSGHHNADENLKILKFDRNDYLDLENVPVRSYGYWDGNRIQTGLSSLPDSAILLAKYPAAFGNYPSSSAKLEQQSLPVFARNNERHLNGASAFATRDINGSNAMIDYQMFRSRDGPKMQPFVVDVKHRQDLFSELQRRKGFEAISSLQHQGRGMTGMNSIGRPGILMGGSCSGISDPVAAIKMHYSNSDKYGGQSGSITRDHESWGGKGGDLGR >OIW13799 pep chromosome:LupAngTanjil_v1.0:LG04:7162244:7164861:1 gene:TanjilG_31688 transcript:OIW13799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLSKYAHSPAHLAVAKRDHAALRHLVLTLPRLAKAGEVNTEAESIAAELIADQVTAVIDRRDVPGRETPLHLAVRLRDTVSAEVLMAAGADWSLQNENGWSALQEAVCTREEAIALIIARYYQPLAWAKWCRRLPRIIASMSRIRDFYMEISFHFESSVIPFIGRIAPSDTYRIWKRGSNLRADMTLAGFDGFRIQRSDQTFLFLGEGYTSEDGNLNLPLGSLIVLSHKDKEITNALEGAGTQPTEAEVAHEVSLMSQTNMYRPGIDVTQAELIPLLNWRRQEKSEMVGNWKAKIYDMLHVMVSVKSRRVPGAMTDEELFAVDDGESTENGEKNDVYDDVLTAEERVQLDSALRMGNSDSICQDEEHGVFDGHENSSVASNMNCEANVVVKEKKNWFGWNKKNSKSSTDESEDSKISKKLSMLGPEGSKPRSCDQQKPASEFLKEDSGDTKKEKDKNNKKKKKKGPVSELKNESEYKKGLRPVLWLTPDFPLKTDELLPLLDILANKVKAIRRLRELLTTKLPHGTFPVKVAIPIVPTIRVLVTFTKFEELKPAEEFATPPSSPAQFQDARSKESEGSASWISWMKGSRGTLSSDSDSHRFKDEVDPFSIPLDYKWVDANERKRRMKAKRAKSRKHKKQTATKRGDGVLQGSEFVEE >OIW12778 pep chromosome:LupAngTanjil_v1.0:LG04:24999820:25001443:-1 gene:TanjilG_24711 transcript:OIW12778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPKLHSYVLAIACNIMIFIVSVNANHNHNLKHCSKSHRLKTVKPVKVENVTFPPFVNATGSNNNFFLGGAGVRGLQEQGKFIKFTDIGIYLQDNAVSSLADKWHGKSTKKLNKSNEFFKDIIKGPFEKFMQVTLILPLSGPQYSEKVAENCAAILKSHGVYTNEEEKATEKFLSVFKKETFAPGSSIFFTVLHQGSLVISFSRDAYIPKVEAAIIKNKALSEAVLESMIGENGVSPAAKKSLATRLSKLFKEGCAN >OIW12751 pep chromosome:LupAngTanjil_v1.0:LG04:25150683:25154465:-1 gene:TanjilG_24684 transcript:OIW12751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPATAGRVRMPANNRVHSSAALQTHGIWQSAIGYDPYAPNKEDKKDTSQSLPNDEPDGENAYASFQGLLQLAKITNADVDVSRGACKRCGRVGHLKFQFKLPFYLSSASRLRIDIKVTRCRLQQSAIGYDPYAPNKEDKKDTSQSLPNDEPDGENAYASFQGLLQLAKITNADVDVSRGACKRCGRVGHLKFQCKNYVKIKDENEEKNLDMSMGLVGLDKKLKGKADRRSNVESSEEEEDSESSDSEVDSEIERIIAQRSGKKISGKGGSFRKKGDLDDDDDSDKKRKKRGRSKKRSGKREVSDSDDSSERGSRRRKRRREHRRKRDKSSDEDDEHRRRRRKSRKEKRRRRSRPSDSDSESSEDLVRHKRKSKRASSSSDSDIRGYNDSRIGRDVNKSEKRRRHRDDDE >OIW12714 pep chromosome:LupAngTanjil_v1.0:LG04:25462817:25468917:-1 gene:TanjilG_24647 transcript:OIW12714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSETSTWVTSLSCSTSGDVSFVSNLPHWLRFIFLSPCPQRALFSAVDVLLLLTFFVFALTKLYSRFTSNENNHSELNKPLIRNREASVTTTIWFKLTLTATSVLAILYTVASILVFTSSTQVTWKVIDGVFWLVQAITQVILVILIIHEKRFEAVAHPLSLRIYWIANFIIVALFSASGVIRFVSVEETKHFSFLVDDIASFISLPLSLFLLFVAVKGSTGIKSSNDGTQPRNGDDSKLYDDVTGRKASVTGFASASIISKAFWIWINPLLSKGYKAPLKIDDVPYLSPLHRAERMSVIFESKWPKSDESSKHPVRTTLLRVFWREIAFTAFLAVVRLSVMFVGPVLLQSFVDFTAGKGSSPYEGYYLVLILLCAKFVEVLATHHFNFNSQKLGMLIRCTLITSLYKKGLRLTCSARQDHGVGPIVNYMAVDAQQLSDMMLQLHAVWMMPFQLGIGLILLYKCLGAATITALIGLLGIIGFILLSTGQNKKYQFNAMIKRDSRMKAVNEMLNYMRVIKFQAWEEHFNQRIQGFRESEFGWFSKFMYSVSGNIVVLWSAPLLISTLTFGTAILLGVPLDAGTVFTTTTVFKILQEPIRTFPQSMISLAQAMVSLGRLDKYMSSRELSEDSVERVRGCDGQTAVEVKDGTFSWDDDSQQQDLKHINLDINKGELTAIVGTVHVCGSTSYVAQTSWIQNGTIEENILFGLPMIRQKYNEVVRVCCLEKDLEMMEYGDQTEIGERGINLSGGQKQRIQLARAVYQDCDIYLLDDVFSAVDAHTGSEIFKECVRGALKGKTIILVTHQVDFLHNVDRIVVMKDGMIAQSGKYDDLLNSGLDFSALVAAHETSMELVEQGAAGENFDKPIKSPKAAPNHRETNGESNSLDQPKSNKENSKLIKEEERETGQVSLHIYKLYCTEAFGWWGVTAVVLFSVLWQASMMASDYWLAYETSAKRAHLFDPTVFISIYAIIAVVSLVLIVLRTYSVTVVGLKTAQLFFSQILNSILHAPMSFFDTTPSGRILSRASTDQTNVDIFIPLFMNFALAMYITVVSIIIITCQNSWPTTFLLIPLIWLNVWYRRYYLASSRELTRLDSITKAPVIHHFSESISGVMTIRAFKKQKEFSDENVKRVNDNLRMDFHNFSSNEWLGFRLELLGSLMFCLSTLFMIMLPSSIIKPENVGLSLSYGISLNSVLFFAVYMSCFIENKMVSVERIKQFTNIPSESAWKIEDRSPPSNWPGHGNVDIKDLQVRYRPNTPLVLKGITLSISGGEKIGVVGRTGSGKSTLIQVFFRLVEPTGGKIIIDGIDISVLGLHDLRSRFGIIPQEPVLFEGTVRSNIDPTGQYTEEDIWRSLERCQLKDVVAAKAEKLDALVVDNGDNWSVGQRQLLCLGRVMLKQSGLLFMDEATASVDSQTDAVIQRIIREDFASRTIISIAHRIPTVMDCNRVLVVDAGRAKEFDKPSNLLQRPSLFGALVQEYANRSNEL >OIW14315 pep chromosome:LupAngTanjil_v1.0:LG04:527920:528552:-1 gene:TanjilG_21455 transcript:OIW14315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPIFFLLAFLISTINSHVAAIDFCVADLKGAQTSVGYPCKLPKDVTVNDFVFSNFTNGAPNQFNFSFTPAIVDNFPALNGLGFSFAKVELEEGATVPMHAHPDATEVVIAGSGPAKYTTGFITSDNVVYTKTLSEGDIFVIPKGLLHFGINTGKGKASGLAVFSSEKPSIQVLDLALFGNKLDSSIVEKTTFLDAAQVKKLKALFKGSG >OIW12679 pep chromosome:LupAngTanjil_v1.0:LG04:25732741:25733513:1 gene:TanjilG_24612 transcript:OIW12679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNSFAPQTTYAFKLKCPKCQKKVKKLLSRLNGVHSIKVEGDAGRVTMKSSVDLNTLAAAIGEVMEGQKYSCDGLTPPPPPPPPNEQENKNHMPHESNTATGPSGCIQVESMPHCGELVLNYSGPYHTHDSYQYQQFAMPPYHAYYHHYPPPPYYGYGYQVMQPHQMPPLAKVNNHMADLSCDYSSKCRMM >OIW13331 pep chromosome:LupAngTanjil_v1.0:LG04:14741883:14742958:1 gene:TanjilG_02851 transcript:OIW13331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGAKKAGESIKETAANIGASAKSGMEKTKATVQEKTEKMTARDPLQKEIATQKKETKMNQAELDKLAAREHNAAVKQANAGEHMVGQGAHHHNIGTGTTTAHNPRAGVNPDPRYGAGGNYY >OIW14323 pep chromosome:LupAngTanjil_v1.0:LG04:404485:405801:-1 gene:TanjilG_21463 transcript:OIW14323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLKRSQKECASILKAKEAVWNIQLKQLAGELNSCQSELETKVAAVEELKMELERSHSLTIEMKLLNEEMSVMLLVLRQGISEAQLELKDAALISARNGINEEHERAACLTRQVESFEFNMELQHSLQIEIDRYKEMLDESTMCQQRLEEKVMKMEHDSKEQIEEVLDALDSANIELHERICERNEMELELQIWKSFVERSQSDLEESHVMRKELETALLAQVDDGERLKQNVNELTQQVTSLEYQFTNSFATFSSQIAKKEAEINLIHEACDKITACQTLAAFEIEEKKLMIAELEDDDVHDIQQKLKSKQLEVKELFNDQTETKLGKSNALIQKLKTENRNLLENAARLSLEKENLLSFVQGLDDKVCEFSTADTKLMDMLRSMVQSFENDACPGINLKKDDGFVVKENMLIHSPSRIKKLEDFSDIRSPFKELNS >OIW13336 pep chromosome:LupAngTanjil_v1.0:LG04:14885494:14889108:1 gene:TanjilG_02856 transcript:OIW13336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLKKRKLDENVNGDFASKEDLRFLLEPLSKPQLVDLLAKLGSQYPSIEEEIKSIASADPVHRKLFVRGLAWNTTSETLRAAFQEHGEIEEGAVIYDKTTSKSRGYGFITYRNMESTQLALKAPNKLIDGRLAVCNLACEGLNGTSSASDLALRKLYIGSLSPEVTSEMLLSYFARHGEIEEGSVAYDKDTNESRGFGFVTYKAAEAAKKAIDDPEKTLGGRNIIVKYADSNKGKTGQQSFPAGVVPMAPLPMVPGYVQPGKAHVGAAAPVGYTYPQAVAPYPASSYPVAPSPYPTQGQVPYRPVSSQKDRLGFPPAQLVGMNNYPYCYPKQ >OIW12743 pep chromosome:LupAngTanjil_v1.0:LG04:25254250:25255952:-1 gene:TanjilG_24676 transcript:OIW12743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMQTCALTKQGERFLTKLTTTSSTDSLIRKFVQGSPKSIALTTLSHLLSPSTSYPQLSSLAVPLYARISEAPWFNWNPTIVADLAALLDTQGQHDQAETLVSEATSRLDSNKRELALFYCKLVESHSKRSAQIGFDVAYSYLNQLLCTSSSVYIKRKAYEYMVSGLCSMDRPREAENLVQDLRDNGGLEPSAFELKSIVYGYGRLGLFQDLQRVVNQMEKSGFVIDTVCSNMVLSSYGIHGEHMEMVSWLQRMRNSGIPFSVRTYNSVSNSCPTIMRKMVELNDLPLSIEDLDASLEGGEAMVVKEMLESCVILEEVMVWGSLEVKLDLHGFHLGSSYLVMLLWLEEMHRRLNDSTYGIPAEITVVCGAGKHSNIRGESPIKVLVKEMMVKMKSPLRIDRKNNGCFVAKGRAVKNWLCELKSCQKLSKWNPCKSV >OIW13291 pep chromosome:LupAngTanjil_v1.0:LG04:15683348:15688412:-1 gene:TanjilG_25770 transcript:OIW13291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESIRPLLLQSPRTPNTQEFVPAMHVFPELPKSKSSSSNTVTFAEIDSSNPIENSSNSEASWSLKKSSSRRSNTSVHSGSSGSTKNSVKEVSRSGSNSVKEVSRSGSKSVRYGSQGADSDGLSMSQKELSDEDARLVYVNDPDRTNERFEFSGNSIRTTKYSILTFIPRNLFEQFHRVAYIYFLIIAILNQLPQLAVFGGVVSVLPLAFVLIVTAVKDAYEDWRRHRADKVENNRLASVSVNGELIEKKWKDIRVGEIIKIKANESIPCDIVLLSTSDPTGVAYVQTINLDGESNLKTRYAKQETHSEKGRFNGLIKCEKPNRNIYGFQANMEIDGKRLSLGSSNIVLRGCEVKNTSWALGVAVYCGGETKAMLNSSGAPSKRSRLETRMNSEIIMLSFFLIALCTVTSVCAAVWLKRHKDELDLLPYYRKLDFSEGKEESYKYYGWGFEVLFTFLMSVIVFQVMIPISLYISMELVRVGQAYFMIGDNNMYDEATKSRFQCRALNINEDLGQIKYVFSDKTGTLTENKMEFRCSSIYGVDYSSTKAILEDEKVEYSVVVDGKVLRPKMQVKVNPELFQLSRSGLENTDGKQIHDFFLALAACNTIVPLVVDTSDPDVKLIDYQGESPDEQALAYAAAAYGFTLIERTSGHIIIDIQGERQRFNVLGMHEFDSDRKRMSVILGYPDNSVKLFVKGADTSMLSVKDKSFDMNIIRETETHLHSYSTQGLRTLVIGIRELNTSEFEQWHAAFETASAALFGRGATLRKVASNIERNICILGATAIEDKLQQGVPEAIESLRTAGIKVWVLTGDKQETAISIGYSSKLLTRNMTQITINSNNKESSRRKLKDAIVMTQKLASTSEGSSDSLPTLVALVIDGTSLVHILDSKLEEQLFQLASRCSVVLCCRVAPLQKAGIVSLVKKRTSDMTLAIGDGANDVSMIQMADVGVGISGQEGRQAVMASDFAMGQFRFLVPLLLIHGHWNYQRLGYMILYNFYRNAVLVLVLFWYVLFTAFTSTTAINEWSSTLYSIIYTSLPTIVVGILDKDLSKRTLLKHPQLYGAGQRHEAYNKRLFLFTMVDTLWQSLVIFWVPLFAYWSSTIDISSIGDLWTLAVVILVNLHLAMDVGRWSWVTHVSIWGSIVATFLCVMIIDAIPSLFGYWAFFHAGGTALFWLCLLGIMIAALLPRFAVKFVYQYYFPTDIQISREAEKVGIEREVEGGGQIEMLPISDGPSR >OIW14182 pep chromosome:LupAngTanjil_v1.0:LG04:2970306:2974759:1 gene:TanjilG_21322 transcript:OIW14182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIREIGVSELMQGTCRQSLFLGKKSQRQRSNLLWGTLCYRNRVLGSTRKALPLRCHAQENPRAVVSGGVSSSVDEKSGLIEKNATEVIHLYRIPFIQESAAAELLRGAQTKISNQIVDLQTEQCYNIGILSQLSSDKLAVLKWLLGETFEPENLGSESFLEKKSKGGLKTVIVEVGPRLSFTTAWSSNAVAICQACGLTEVTRLERSRRYLLYTTGELQDHQIDEFASLVHDRMTEFVYTQKLTSFETSVVPEEIRYIPVMEKGRKALEDINQEMGLAFDDQDLEYYTKLFREDIRRNPTNVELFDIAQSNSEHSRHWFFTGKIFIDGQPVNKTLMQIVKSTLQANPNNSVIGFNDNSSAIKGFPVKHLRPVQPGSASPLDITTCELDILFTAETHNFPCAVAPYPGAETGAGGRIRDTHATGTGSFVQAATAGYCVGNLNAPGFYAPWEDPSFTYPSNLASPLQILIDASNGASDYGNKFGEPLIQGFCRTFGMRLPSGDRREWLKPIMFSAGIGQIDHHHITKGEPDIGMLVVKIGGPAYRIGMGGGAASSMVSGQNLAELDFNAVQRGDAEMSQKLYRLVRACIEMGDNNPIISIHDQGAGGNCNVVKEIIYPKGAEIDIRKVVVGDHTMSVLEIWGAEYQEQDAILVKPESHELLQSICEREKVSMAVIGTISGDGRVVLVDSLATQKCLSSGLPAPPPAVDLELEKVLGDMPQKSFQFHRVVYEREPLHIAPGITVIDSLKRVLSLPSVCSKRFLTTKVDRCVTGLVAQQQTVGPLQIPLADVAVTAQTFTDVTGGACAIGEQPIKGLLDPKAMARLAVGEALTNLVWAKVTSLSDVKASGNWMYAAKLDGEGAVMYDAAISLSESMIELGIAIDGGKDSLSMAAHSGGEVVKAPGNLVISVYVTCPDITKTVTPDLKLEEDGILIHIDLSKGKRRLGGSALAQAFDQVGDECPDLDDIPYLKKVFEGVQDLLTDELISAGHDISDGGLLVCALEMAFAGNRGFNLDLTSKGNSLFQTLYAEELGLVLEVSKKNLAIVTDKLNSVGVSSEIIGHVTVTPSVEVKVDGVTCLKDETTILRDMWEETSFQLEKFQRLPSCVDMEREGLKYRYEPKWGLSFTPSFTDEKYLSATLKPKVAVIREEGSNGDREMAAAFHAAGFEPWDVTMSDLLNGKISLQEFCGIVFVGGFSYADVLDSAKGWSACIRFNEPLLKQFNEFYNRPNTFSLGVCNGCQLMALLGWVPGPQVGGVLGAGGDFTQPRFIHNESGRFECRFTSVTIKDSPAIMFKGMEGSTLGVWAAHGEGRAYFPDEGVLDRIVQSELAPIRYCDDGGNPTETYPFNVNGSPLGVAAICSPDGRHLAMMPHPERCFLMWQFPWYPKQWNVDKKGPSPWLRMFQNAREWCS >OIW13844 pep chromosome:LupAngTanjil_v1.0:LG04:7774953:7776155:-1 gene:TanjilG_31733 transcript:OIW13844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMEGEFKNFIMIWIIAAATMCYCHTIGKFIPHGKARLVAIFPPILILLLLPLRLISIYLGGPTSFFLGWLSTFKLILFALGKGPLSSNPPLPLSLFLPLSLLPIKLFQTQHQPNLSKTQNSHINLTSGKLLEKTDIDNLTPDNKDVSRNTENSQITEKGQKSTINYAYVTMVFVLALLIPLYPMKEILHPKFILFLYCLYMYIGLELIFGMIALLARKVHGLELEPQFNEPYLCTSLQDFWGRRWNLMVTRILHPTIYEPVVNASSRAIGRKWASVLAIVATFTVSGLMHELVYYYIKREKRTWGTWEPSWDSMCFFLLHGVCLAIEVAFKKALKGKWQLPRLVSWPLTVAFMFYTALWLFVPALVRCHVYEKGVRELNVIAELGKDFYGLLRSLCFHD >OIW14354 pep chromosome:LupAngTanjil_v1.0:LG04:166435:169000:1 gene:TanjilG_31244 transcript:OIW14354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARMRVRFPTLVLLLGILFLMAVSIGIAYGEKDVIKNHERPGEREHEERDPRQQPRPRKQEEQEREHRREEEHDRDPSRGRRESEERQEEERERRREPCREREQEQQPQHGRREEEEEEEEWQPRRLRPQSRKEEREQEQGSSSSSRKQSGYERRQYHERREQRDEKEKEQDSRSDSRRQRNPYHFSSERFQTRYRNRNGQIRVLERFDQRTNRLENLQNYRIVEFQSNPNTLILPKHSDADYILVVLNGRATITIVNPDKRQAYNLEYGDALRLPAGTTSYILNPDDNQNLRVVKLAIPINNPSNFYTRYEEIQRILLGNEDEQEDEEQRRGQEQSYQDEGVIVRVSKEQIQELRKHAQSSSRKGKPSESGPFNLRSNESIYSNKFGNFYEITPERNPQVQDLDISLTFTEINEGALLLPHYNSKAIFIVVVDEGEGNYELVGIRDQQRQQDEQEEEEEEVRRYSARLSEGDIFVIPAGYPISVNASSNLRLLGFGINANENQRNFLAGSEDNVISQLDREVKELTFPGSAQDVERLIKNQQQSYFANAQPQQKQQREKEGRRGRRSLISSILSTLY >OIW13514 pep chromosome:LupAngTanjil_v1.0:LG04:10161370:10172854:1 gene:TanjilG_29255 transcript:OIW13514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKDGNPKNNSSTSLEKEALVKGISIGQALDIEIPPPRPKRKPNNPYPRKTNVSSPTINSGSNQGKLLISAVSPHGKEALDLEKEPLPEKNNEDERPTTVNENTDENYSKTLTIIQEAPCSSVSSANKSSISVSVPLTNSYALREFRPSMKEVVTRDETNESFVTVELGNQKLEINGCKNTQQTNFTSAASELESSDVSQAKSVQIENKDCLNCGLTIDGMQGNQNYPRHISVQVVDGNHETSTQNPSQDKLFRDSMFHPAEVVSGQHNIFTISAPSNTSENQSNNSQSSTHQSFLHCPPLPQHNHDDYQSFLQMSSTFSNLIVSTLLQNPAAHAAASFAATFWPYANNLETSSNSPVCPQGAFASRQVGSPPSVAAIAAATVAAATAWWAAHGMLPLCTPLHTAFPCPPSSADVVQSMNVGEAPPETKQGEITVQNPPLEDQMLDLNSKAQQAQQHSPSKLLVGSPSESEESGVAKLNASSKATNHGMNHGISEHVDSNKIKGRKPVDHSSCGSNTGSSCEETDALEKDEKERQEPEPETHALNHLAADPSNRCATNNRSFSYLTDSWKEVSEEGRLAFQALFSRQVLPQSFSPPQGIDYKDEEDLESKKCSSNSKPMQKSLSSVENNTNSSEEGLLTIGLGQGRLKTRRTGFKPYKRCSVEANENKVGNQGEEKGPKRIRIEGEAPI >OIW13289 pep chromosome:LupAngTanjil_v1.0:LG04:15757808:15760791:1 gene:TanjilG_25768 transcript:OIW13289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDFDFEKKVSIKETTMEVEHNALDSIKTVLLLRDFLEIQQRRAQAYSKLKRGFSDYMTSGGELAYQQLCSEITIEFNDCSKKVLEMESQFRSPDYCRVDLAQLLQAVQDQEKQKLHLTATIQVLKKAGRPSERLVSHENCKYTKPAEHQCVHVQEITEASGTEEAEADAEYDNALKEAIQGVQDAVTAINEHLEEVRYEIAALEAE >OIW13685 pep chromosome:LupAngTanjil_v1.0:LG04:9343147:9348246:-1 gene:TanjilG_08027 transcript:OIW13685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSVVWRSSKDDECTLLPYDHYWISSNRIVTPQGIISGSVEINEGKIISIVEGYGKKGSSKPEQVIDYGEAVVMPGLIDVHVHLDEPGRTDWEGFLTGTRAAAAGGVTTVVDMPLNNHPSTVSKETLGLKLDATENKIYVDVGFWGGLVPENAHNTTILEGLLSAGVLGLKSFMCPSGINDFPMTTIDHIKEGLSVLAKYRRPLLVHSEIEIDSKNHLEPKDNGDPHVYSTYLNTRPPSWEQGAIEELVGVTKDTIIGGPLEGAHVHIVHLSDSSASLDLIKKAKSRGDSVSVETCPHYLAFSSDEIPNGDTRFKCSPPIRDAFNKEKLWEAILEGHIDLLSSDHSPTVPELKLLAEGDFLRAWGGISSLQFNLPVTWSYGKKYGATLEQLSLLWSKKPATLARLESKGAIAVGNHADIVVWQPEVEFELNDDYPVFLKHPSLSAYMGRRLSGKVLDTFVRGNLVFRDGKHAPAACGVPILAK >OIW13765 pep chromosome:LupAngTanjil_v1.0:LG04:8500200:8503313:1 gene:TanjilG_17944 transcript:OIW13765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVYSGGSSGMEMYDVSETRNPDAYLIEGADFNSHFRSPNFGQTEVMLNEGAPEFVVDQNFYYPAATNYGYYCTGFESPVEWEDHHRIFGVDGPDIQYTGAQNDSMPYVYYSYGYAQSPYNPYNPYIPGAVIGVDGSFGGQQYYAIPNYQNPIASSAYIPFVVPPDNFPDSSVDSLYGTNASVSRPDGRGLKHKFSSVSGDFSRNSSKPLSNQTSSLARVPEGPRANDGRKQDLIHSSVSGSYFPNLSSSAVNQGRSSGVSNQHVDTIANGNTLSHRTQLKVDPHNSGFSDFGSTSNGQSAASKLWPKVHIGKVPSDVNGGSDVLGEQNRGPRISRSKQQLSVKAYTTKAGDGNEQGSIVIYPDQYNKEDFPIDYENAKFFVIKSYSEDDVHKSIKYNVWSSTPHGNKKLHNAYDDAKKIAAEKSGVCPVFLFFSVNASGQFCGVAEMSGPVDYNKDMNFWQQDKWSGSFPVKWHFIKDIQNSNFRHIILENNEHKPVTNSRDTQEIMYQKGLEMLKIFKNHTLKTSLLDDFMYYENRQKIMQDEKAKFMIKSFESPFIPPLEAPRKLNFVVNTPGVNDDKNSKPKEDVGSLKQTSTSSFEQIVNTSNVPSIKSVDDKVEKVEVDKEDISSILKIGSVTITPKLVGKKQSLGSSNKEPTDVVTVGSMQVKVKGFAESSGILKVGSIPLDTRSLQPRKGDGAIKNGSQR >OIW14313 pep chromosome:LupAngTanjil_v1.0:LG04:560826:574783:1 gene:TanjilG_21453 transcript:OIW14313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTTSKIETDDEFTEQEEEDEDEGSLEHFDDFTLASSWERFISEIEAICRLWMSDGPNNLLIKGAVLVEDSNHLYKVKSELKYAMKSYYMEYYFETNPDVDGGKPAGWNFDLHDMQLCFGVKEFLVIAPQSASGVVLDAPEASKLLSSVAIALSNCSSLWPAFVPVHDPSRKAYIGIQSMGTVFTRRFEADLIRSQVPVKLMHLEGLYELFVSKFAYSTLDLTVHNFKVRYAMKLTYKTLHFDDDYMKGFDAENNKSDEDLTGEASDMTQWDDDCSWSQWYSAEDPVKGFKLIAIWSEKMVESSMEMAELENTSPHEAEKWLISPCFATNLLEGSKGNRIGFASQLLLLVDALQMSFDAQFMEDFVSVENPGSDDLKSSMVIPPPTVRDRVLKELFIEGVQFSDFADDGHKTSRSIKGAPLYSLYAQFCLHSLWFGNCNIRAIAMLWIEFVREVRWCWEESQLLPRMPANGSIDLSTCLINQKLQMLAICIEKKGQLNEDYEDCIGSLDHLDSMTEEESVVGDGPFSMQTPSDDVSGKVDSISTADDLLLSGTKKSTVSRKPENVKLFDDKKSSDFTRRGSAGIVNSTMLLKSYQSMHAPYTQEAPLMTEDMHEERLQAVEAFGDSFNFSAQLERDILTSDMSAFKAANPDAVFEDFIRWHSPGDWEEDDDPEGSRSSSSSGLDIEKSKHNWPPQGRLSKRMSEHGNLWRKIWNSAPALPTSEQKPLLDPNREGEKVLHYLETLKPHQLLEQMVCTAFRAASDTLSQTSYGELKQMVAEIEQLYPTMASPLRPLQVNRLSPDSDTIEDLRRLSVDFERVEKLLTVAASLHHKLYRAPRLYREIFSDYYSFYIPKMGTDLTEDDVEKKFDKKLEVRNHEREVLSSMFVPPTANQSWRKVLSMGNLLNGHEPIVREIIFSLRDSVSGNHYAACGGSVSQQEIETYRTYICGTSNDLRVALSVVSCD >OIW12515 pep chromosome:LupAngTanjil_v1.0:LG04:27061185:27063665:1 gene:TanjilG_04679 transcript:OIW12515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLGIAALPLTFVAHVLAVVASVLVVVWVVNFRGGLSFDSPNKSLIFNIHPVLMLIGFIVHGGEAIISYKALPLKKEVKKLIHLVFHAIATIAGIVGIYAAFKYHNESGIANLYSLHSWFGIGVLFLYAHQWLYGFVVFFYPGGSEAIRRGSFPWHSLFGLLVFVLAIGTASLGFLEKLTFLENAGLDKYGSEAILVNVTAIVTVLFGVFVVFSAIADAPPPPPATDDYQPI >OIW13056 pep chromosome:LupAngTanjil_v1.0:LG04:21898098:21901325:1 gene:TanjilG_17616 transcript:OIW13056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSVISPEDVLESLMNDGTIDALRLKIITQLKANEELKSTTIKMAEQSKVLNTPGAEKQTKRELFDALRQELEASVLEKASKSVWDLILDNAGLGKEISETVEKVFCRLSGQEPPLFPGPNEEPEPDKEGDNKKEKGKGKQKENENSISHTPSKKRSLSEISLEAPDETVTRSSDPAAMSEGSGKSPLSISKT >OIW12985 pep chromosome:LupAngTanjil_v1.0:LG04:22861567:22869575:1 gene:TanjilG_15434 transcript:OIW12985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYVLVSGGVVSGLGKGVTASSVGVVLKACGLRVTSIKIDPYLNIDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDVTLTKDNNITTGKIYQSVLEKERRGDYLGKTVQVVPHITDAIKDWIESVAVIPVDRNEGPADVCVIELGGTVGDIESMPFIEALRQLSFSVGPDNFCLIHVSLIPVLGVVGEQKTKPTQHSVRELRALGLTPHLLACRSAEPLLQSTKDKLSQFCHVPINNILNIHDVPNIWHIPLLLRNQNAHHSILQQLNLLSNATPPDLQRWTEMAESYDNLTESVRIAMVGKYVGLTDSYLSVVKALLHACVARSLRPSIDWIAASDLEEESAKSTPEGHAAAWETLKSAACVLVPGGFGDRGVKGMMLAAKYARENNVPYLGICLGMQISVIEFARSVLGWERANSVEFDAQTPNPVVIFMPEGSRTHMGSTMRLGSRRTFLQAPDSITFKLYGNSEYVDERHRHRYEVNPDLIGTLEEAGLKFVGKDESEKRMEILELPSHPFYVGVQFHPEFKSRPGRPSALFLGLILAATGKLEAHISRHPNGS >OIW13147 pep chromosome:LupAngTanjil_v1.0:LG04:19727328:19727615:-1 gene:TanjilG_15051 transcript:OIW13147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSHVDPILETLEVMQKQQQMTHAKVADVTKSQAKLQELYDIDVNMNLIDEDEEEDPIANELLYSEWANLMKKMDTPPSPHPPQELFSLEMLMLE >OIW12846 pep chromosome:LupAngTanjil_v1.0:LG04:24385846:24395264:-1 gene:TanjilG_24779 transcript:OIW12846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQYMISNIPETTSSLARRVDASTNWKEYSSPDGRKYYYNKVTKKSKWLIPEELKLARAQVEKTVVNGTCPEAILIPHTQPSASPHVVEAAPSADTSSLTVPGEPTSPVSVAPVGTTFTNNLQTDITSGASASPSVAPQSGTKVEEVEAPGNTVKPSDTGVGSDEASVTDVNTAKTPMDGANNFSVQYTQGSEDGVPAEDKEDGKNDSLGEKTDDVASEAKAVEPEPLVYANKMEAKDTFKALLESVNVGSDWTWDRTMRVIINDKRYGALKTLGERKQAFNEYLSQRKKQEVEERRMKQKKAREDFKKMLEESTDLTSSTRWSKAVPIFENDERFKAVERDRDRRDMYDSFMEEFVIKERARAQAERKQNVMEFRKFLESCDFIKASTQWRKVQDRLEADERCSRLEKIDRLEIFQDYLHDLAKEEEEQKKIQKEELRKTERKNRDEFRKLMEEHIAAGILTAKTNWRDYHSKVKDLPAYVAAASNTSGSIPKDLFEDVAEELEKQYNEEKSRVKDAVKLAKITLSSTWNLEAFKSALSKDISSPPISEFNLKLVFDELLERAREKEEKEAKKRKRHADDYFHLLCSTKDISASSKWEDYKPLIEDTQEFRSIGDESLCKGLFEEYIIQLKEEAKENQWKRKEEKAKKEKDKEEREKRKGKQRREKEGGREREKGEARVKDKADSDSADKRSEDGNRKHRRQQSPEDISHEKEKERSKKSHGHSSSRKKSRRHGHESDESRHKRHKRDHRSDSHREADYGKVEDGDHGDNVVDRW >OIW12511 pep chromosome:LupAngTanjil_v1.0:LG04:27079172:27081694:-1 gene:TanjilG_04675 transcript:OIW12511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTTRNDVVNTYPLSSYTFGTKEPKMEKDTSVADRLARMKLNYMKEGMRTSVEGILLVQEHNHPHILLLQIGNTFCKLPGGRLKPGENEIEGLKRKLTSKLGANSPALVPDWQIGECVANWWRPNFETIMYPYCPPHITKPKECKKLFIVHLSEREYFAVPKNLKLLAVPLFELYDNVQRYGPVISTIPQQLSRFQFKMITN >OIW12527 pep chromosome:LupAngTanjil_v1.0:LG04:26988361:26991702:1 gene:TanjilG_04691 transcript:OIW12527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSANNSSMPLPCIRRERDALLNFKASLHDPTNRLSSWEGNFCCQWEGIGCNNVTAHVVKLDLFNPCWRLTKQGELIQCDSYGNYSILSAQNLDRSLSELEYLSYLDLSGNDFSGSPIPIFIGSMRSLTYLSLSMANFGGRIPNTLGNLTNLTHLDLSYNYGINANQSLNSNVVNWVFGLQSLQHLDLSGVELGGIHNLLQVLNMLPSLLRIYLRDCQLFNLLLPIVNHTNIAPQFLDISYNELTSPVLDAFQNMTSLVHVDLFSNFLDLVPSWLSYFQKLKYLDISENGLHGPFPDVLRNMTSLESLYLSKTNFTLVPSWLGELNKLVHLDLSKNELSGPIQEAIRNMTSIEFLDLSFNGLTSVPCWFVEFKKLVHLDLSQNHLTHMECSLPSILADLCQLRFLNFSSNKLRREQIGDSELSWCITFDLKELDLSHNDFRGRLPTWVGRLENLEYLNLASNFFYGLIPYSLGKQLELKKLDQYNNTFDGKLDGSFHDSIAKLVNLQRLDLSNNYLNGIIPQSIGELVNLQELDLSNNHLNGTIPQSIGELVHLKQLHLSNNHLSGIIPQSIGELVHLQELDLSNNHLNGTIPQSLCQLSDLNSLIISGNKLHGNIPNDFDKLVGLTVLDLSSNILDGIISLRKEWSSIMPHLLSLNLSYNHINGSLPKNIGNIMPNLEHLFLGSNLINGSIPNSLCQTELSILDLSKNKLSGEIPNCWMDTGYWEEINLSSNKLSGVFPSSFWNISSLIWLHLNNNSLQQKLPMSINALENLLILDLGENQLSGHIPSWISNTFPSLHILRLRQNNLRGSIPSQLCQLESLKILDLSRNNLEGSIPLCLGNLTGMMLSNSDSNLNVSSIVEAPVSPISEAPVASIADAPEPEWSKEDVKQIIKGREDDYIKILKLVVNMDLSENKLVGSIPNGITLLNGLHFLNLSYNHLEGEIPEMIGDMKSLESFDVSHNQLSGSIPNSMPSLTSLSHINLAHNNFSGPVPQVNQFLTYDSSVYADNPYLCGHELPNKCPGDESTKVTRSRGNEDKDDKKDKEEKVLFYFVVAVGLATGFWGAIGVLLIKKSWRHAYFRWVEDAVDDIYVAVVIKLAKLKKKWMVENHVVG >OIW13851 pep chromosome:LupAngTanjil_v1.0:LG04:7821283:7823584:-1 gene:TanjilG_31740 transcript:OIW13851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMDSSKLFIGGISWDTNEDRLRQYFQNFGDVVEAMIMKDRTTGRARGFGFIVFADPSVAERVVLEKHVIDGRTVEAKKAVPRDDQNLLNRTNNSSHGSPGPTPTRSKKIFVGGLASTITESDFKKYFDQFGTITDVVVMYDHSTQRPRGFGFITFSSEDGVEKVLQKTFHELHGKMVEVKRAVPKEFSPGPSRAQLGGYNYGPSRVSSFANCLVQGYNPSLVGGNGFRIDDRLSPVTVGRYAYPFLSPSYGSELNFEPPLSQNANFASTLLLGRALNPSYSGSPSRYSNSMGFAGVSACNNSTISSTNQNFWGNGNFNYATNPSNSDSYIGYGSDNSNMGSFGHIGPLWSSSIGADQVGTNGSGYGKSSPSYSSGDVILGSKAVGYGKSRENFAAPASSYALSNGRCDEAYKYKDTYEGGSFYGVHTWGLSPSELDDAGSLGFGLENVVSDLMSRRSGGHIEAHAVANRQPDRG >OIW13645 pep chromosome:LupAngTanjil_v1.0:LG04:9572364:9575152:-1 gene:TanjilG_07987 transcript:OIW13645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELAKHRVMVNGGAEEMETETCVEEPLRVMEHQIYPFHPVELVKKRVYVFDGKGNFYHKDWDLADLYQKENQDRDAAEGRGVNEFSWYHVELPKGNQNLSQSAQDLIGVLCPPLKLQDILSLVSNGPFCAHVDGALVFRVNSPGPPSSDFTFRIAARVTENSVITVSLGRVPRLGFSRVGESLLYEIPSVERSPQSRGQQQEGSGIVIKEHVLEFLLTMNHSEEADNPVPRSVSNLVVHIIDTHVDQLQDIVTKLEMELDSAELDLDKGGYALKKEMLDDRRFPKLHINLQRLLQVIAHGEQVYLRVKEKCSSKRWFANEDINSLEELIGRLRRLKENVGFIVNRVTAIQAGLDSWQSEQINRKLYYLSFLSIIFLPLSIITGVFGMNVGGVPWTGQNVPELKDGFRNVMLLCVAMLLLILLCFIFPVLYTRITAAWQNKRALRRSWSLNRKSLLRRTSRIVDQERGGYNHI >OIW12779 pep chromosome:LupAngTanjil_v1.0:LG04:24987289:24998124:-1 gene:TanjilG_24712 transcript:OIW12779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSWLRSAVNKAVEVGNKNNLTRTVKNYADTVVQHAGQAVAEGAKILHDRIAARNYRSVSQTVKRLEEAAISYRGPERAELLRRWLVVLKEIEKLSEASAEGKEKTLEQHLAVEDAKENPNRPSMVLYYDTDVGGEPLNFRDVFLQSQALEGITLSMIIEAPNEEEVSLLLEMFGLCLSGGAEVHNAIVSSLQDLATAFSSYHDEVLVKREELLQFAQGAITGLKISSDIGRIDYETSSLKKNLNEITTSQAPVSQDDNHTAAEETTAMLEALKGALAQVRICSRLEGLLLKKKSLSGGDSPEIHAQKVDKLKVLTESLANSAAKAEKRILDNRVQKEEALKVRVTKDGEASEKEKELTAEISELHRKKDDLEAQLKKVNTSLAAAQVRLGNVREERDQFEEANNQIVQHLKIKEDELSKSISSSRVEADVIKTWINFLEDTWVLQRSSAEINEKQVNDELEKHEDYFVNLAIQLLAAYQKELVPCISHIGRFVVNLKNLSQRLEMTPSADTEDSKALSPRRNLEEEYLMYEAKIITTFSVVDNMEQQFYAQQGKISRKDEGKVKELFDAIEKLRTQFESIERPILEIESPNVEVETPPAEKKPDATPSAPIQVTAEISKTQTDGQPKSPSVKADHVLDHEAELAKLESEFGNVSQDYSAEEIGDWEFDELERELVSDTSATSK >OIW13841 pep chromosome:LupAngTanjil_v1.0:LG04:7746028:7747860:-1 gene:TanjilG_31730 transcript:OIW13841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARIPVMFNRVAAAFDADVARCESSGSEHLPESSTHLSHLVKSFMEKNEDGGEKNIHGDEDHKKKCDGDDDEGVEKYVCSYSEKRKMLEGLFAGSDVDEDERKVKEKTTKEVEVACGIVGDYSLHGFKRRLMTHLREKGFDAGLCKSKWEKSGRITSGDYEYIDVIFSGKRYIVEVSLASEFIIARATSQYTSLLDVFPLIFVGKMEDLNRVVRLMCTAIKGSMKRKDLHVPPWRRNDYMQAKWFSSYKRTTNVVATKKTSSTLFPKRSIGFEARQVKAHYCRDDYVSNSNGFRIGHLKAALNSDGFGV >OIW13615 pep chromosome:LupAngTanjil_v1.0:LG04:9734782:9735693:1 gene:TanjilG_07957 transcript:OIW13615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDTLRVRGYNLFCNAILTSDLQFDLQDSNLNNSFTFFAPTDSSLFALDMTQTASSYTDTLRLHVIPRRLSLPQLRLLRDGYTLPTLLQDRHVSITRRHGAVIAVAGVDVVFPGLFYGRDVAVHGLAGILRVRSNVLDEASGSSYSSSPSPAPVPSPIRSADRRNFAPRSSPQSHSSVPKFVSFNATRRRGSAHSPPVASPAPSPFVVRRKPSAPSPANSIAHAPVASPAPVNISIIHAPEPETNRRFDPPAHSPAISPSRFPDSKISSPPVGLESEALDRKRKCSISEENIGHVQCHAGTGK >OIW13185 pep chromosome:LupAngTanjil_v1.0:LG04:19056335:19056538:-1 gene:TanjilG_17541 transcript:OIW13185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGLGCEVDGDGMAVELDVFLVEQQCDRLQLKFVSEYRARMVLRLFQRRKKKLGLWHYENQRNDER >OIW13038 pep chromosome:LupAngTanjil_v1.0:LG04:21587668:21590537:-1 gene:TanjilG_17598 transcript:OIW13038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMLITQKLLASPSFHTTTPSLSSFPIKTHFKPPIFGSIPSPTLTRRLFIPSVSTIWDAFTGGNNNNAREAMLAIRRGMFLFRKGDVLESMVEFDKAIQLDSRQKAYLWQRGLSLYYLDRFEEGAEQFRLDVAQNPNDTEESIWCFLCEAQLYGVDEARKRYLEVGRDPRPVMRESYNMFKDGGDPEKLVAAFSNSRESDYFYASLYAGLYYESQNETDAAKVHMVKAYQSSYGQRSDDYMASLSKVHCQCRNWVID >OIW12908 pep chromosome:LupAngTanjil_v1.0:LG04:23802473:23805168:1 gene:TanjilG_15828 transcript:OIW12908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTTVSMNIIPQFGLVRNHYHHSLRFNNNSFSTSITPFRVSRKKRFICLAVDDDFKQQQEVNNTASGIGSALEERPDLLVSTTEETPENINQDRQGSPIYDFLYPSKELLPEDKEMSIFDHLEELRQRIFVSVLAVGASILGCFAFSKELVIILEAPVKSEGVRFLQLGPGEFFFTTLKVSGYCGLLLGSPIILYEIIAFVLPGLTKSERMFLGPIVLGSSVLFYAGITFSYLVLVPAALNFFVSYAEGAVESLWSIDQYFEFVLVLLFSTGLSFQVPVIQVLLGQVGLVSGDQMLSVWRYVVVGAVVAAAIVTPSTDPLTQVLLAAPLLGLYLGGAWVVKLTGR >OIW14277 pep chromosome:LupAngTanjil_v1.0:LG04:1143020:1151395:-1 gene:TanjilG_21417 transcript:OIW14277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENNTELEEGEAFSDKDYDEDNIYLDSLSYIDERIQHVLGHFQKDFEGGVTAENLGSKYGSYGSFLPTYERSRVESHPKTPQRNHCSPNSPINLHKEVASHRSKASSTVPSSRLGTCSYSSHLIHNVRAPSVDGSVKKEGMISSSEVADRSTVKDDTTKKSGNSTDRRTLKLRIKVKPNIFAQKNGAIYSGLGLDNSPSSSMGNSPVESEGMPTVSKENVNDSPAVIIQVMTSFPIPTGVLKSPLPESMLYLIKKENVIGDGRYMSSANVYQEPSSMSTGESDSLVGDGHLKKQKVRIGRLSEKQLELKHMNDMTLHSNKNLGNITPDCKEILSNDLKCMPLSSLIFDAGGTTKVTGKASKVSKEVNKGRVEGRMVSVGAVKPDSLEPISGQGFDKIEKQRAGNGFMKNILEHELENPCKDNFPVPKNNDKCNVTSMISENVECDAVKCKVDQDPQKHETNQKKKALSEGKNKSKVCQSLGKAEAVARKDSFGCINNPKVTDKESAGIDVTSGTSKMNKTKSLKDNKVRDSNRDSLKGKKSESKVNGPPGNSAIKNTNIDNFVKQSAFGAKTTKRRNVNKVNDQLLAEPCTKDASGSFPVVENKHAPEMITSAVSAPQLIAENWVCCDSCEKWRLLPTGIKLEQLPEKWLCSMQNWLPGMNWCEISEEETTNALYASYQIPISEGKNNIQSHAAGIASGVSSDAVQIGPNQEKSSSDVLSARGKKRHAIKEKTMLGFNNRMINARESGKILSLTDMNQHPEDSSPMEQMTSRHFSTCNKLKEERHVAREMQKQINGGDKKLIKLKRKMDADQYRSGTPMKSKTEDVCYAEKQLKPSMGLYKVGLKSRNGQPAKASGVDMQKYDNYCLPEDVEDNLLDPVKKVGDQSQSDVESFDVKHGSKNDISVKKRKFKDWVDNEKHDDSFSLEDDKQCGKEGNASGFRKEKKSRILSTEAKSVMEVDDKSNKGGMMHVCMSGSRDQMNVGTKVKFIDKAQKPKKHRINTESHKSLDGIGQSGKDFGSGQISLAPTSSSSKVLVSHIAKPNLEDVIGSPVESVTSSSLRTSKLNKHILAVGGISERDDASKGGLSSIGSRRSADNTEGMLSVKLKDRISHNLHLACHKVSSIEYGVEDVKDKVRVRAKTSEIKNDHLSEVGVPVVQHGSCANAMHHEEKVHKNNQESELSLQKSGKVTSLHGKEKDRRSGSKVGKDKMKLSALENGYSKNGGRHGSAVDPSYHAYVPETKNNAKCSSPKSKHEIDNISQKNALRHGSSEAGKKTKVKQKDLESSVLKMDAQTDRSNIPQQNLIQGFEEENEANPESRNGKSLVLTSSVSEVKSETLYVGSRTAPGFQKGGKTNELPVHVSGNGDVAKTMRKSVDLSSNVGVNYNPEKVPDQHLIVSSPMQTKSSQTARNMLEEATKLKNRADHYKNSGFEFESNETYFDAALKFLHGASLVENCHNESSKHKDMNPTQLYATAANLFKSCAHEYERHQEMAAAALSYKCMEVAYMRVVYCKHPSTNMDWHELQTTLQMVSQGESSSSSASDVDNLNNQTGVYKATFPMATNNHVSGNQVISAQTRPNLVRLLDFTQDINFAMEASGKCQSAFAAANVNMEEARNRDCIKSIRRVIDFSFQDADELVHLVLMATNAIRLAGLGGARD >OIW13085 pep chromosome:LupAngTanjil_v1.0:LG04:21350538:21357210:1 gene:TanjilG_08118 transcript:OIW13085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGTPKRSHEETVHPSSKHPNDDSSVYPKLASSVSNEYHMPYDTGHDSRVAKAARTEFRDADRRSPLHSVYRMPSSSNDSLTVHPVGTENRIESRDSKDIRDLRFENRDMKTEKKELRGETRKDPQSGKSEKDVRVEGRGDDNKDVRHDRDSHNDLKGDNKTEKEGYGVVGCHLNWKDPKDYHWGKRYSDAPSGGLDTWHISRGSTQVPPEVGKESSTVEERDHVEAHEAVGENKVDSKGDDRSKEKDRKRKESKDVKHRDWGDREKERSDRKNSTQVSSSSGDRKESTKEDRDLERWEREKKDIPKEKDIFKEREKDQNKKESWNGMEKEVTNNEKELGDGLVKLPEQEIVKSEQKKQKDVDSWKNVDREARERRKERDADLEGDRSEKRSRCLDKESEEGGPDGEGAVEKEKESYNYNVQHRKRIQRSRGSPQVPFREPRFRSHAQDNEGSQGKLEVSSVVYKVGESMQELIKLWKEYELSQSHMEQNGESSNYGPTLEIRIPSEHVTATNRQVRGGQLWGTDVYTYDSDLVAVLMHTGYCRPTASPPPTAIQELRATIRVLPPQDCYISTLRNNVRSRAWGAAIGCSYRIEQCCIVKKGGGTIDLQPCLTHTSTVEPTLAPVAVERTITTRAAASNALRQQRFVREVTIQYNLCNEPWIKYSISVVADKGLKKPLYTSARLKKGEVLYLETHVCRYELCFIGEKIVKATPATQLHDTGAEKSQNHHPHSTNGEKNDCDNVMIDAFRWSRCKKPLPQKVMRTIGIPLPLEHVEVLEENLDWEDVQWSQTGVWIAGKEYTLARVHFLSMN >OIW12741 pep chromosome:LupAngTanjil_v1.0:LG04:25259334:25263237:-1 gene:TanjilG_24674 transcript:OIW12741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEELTTTTTIGGGRRLRHLFMTMFLTSFGGVIVIPAMTDMTGMGSVVMTPLIGNLSDRYGRKALLTLPLLLSVIPHVILAYSRATNFFYAYYVVKTLASMAGEGSFHCLALAYVADNVPEGKRGSTFGVLAGVGSASFVGGTLAARFLSTALTFQVAAVFSMIALVYMRIFLKESVPGGGAMTQPLLKEGQEPCLQQCEGDSSNMTTGTFKKLPSVGDLISMLKCSTTFSQAAVVLFLNSLVDGGLMASLLYYLKARFQFNKNQFADLMMITGIGATLTQLFFMPIIVPAVGEVKLISMGLLVSCISMFVYSISWAGWVPYALAGCSIFGVFVRPSICSIASKEVGTTEQGMIQGCLSGISSLANIISPLIFSPLTALFLSDEAPFSFPGFSLMCLGLVLMAAFFQSLMIQVVPPIAVCKSSSNHCTETMV >OIW13692 pep chromosome:LupAngTanjil_v1.0:LG04:9309975:9312521:-1 gene:TanjilG_08034 transcript:OIW13692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDQLHEATNYIKKLQINLEKLKDKKNTLEEIQRANMSTNRVGGSKFPQIEIQQMGLALVVSLITGLDCHFMFKECIRVLGEEGADIVSANYIVSEDSILHTIHSQESFSMPDQLHEATNYIKKLQINLEKLKDKKNTLEEIQRANMSTNRVGGSKFPQIEIQQMGLALVVSLITGLDCHFMFKECIRVLGEEGADIVSANYIVSEDSILHTIHSQIEESSNGARISERVKTCVYDSLLWSNVAF >OIW12499 pep chromosome:LupAngTanjil_v1.0:LG04:27154629:27156572:1 gene:TanjilG_04663 transcript:OIW12499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSLSQNEVSKVCLQGCCPNLLFNSSPQSHTITKPRNSSSECRHSFAATTTSSIFPNTKFTNHESLPSLHESFSEFNKVYPQYSETEQVDKVRAKEYYHLSFSKHTCLDYIGIGLFSYYQRQQLQHLDPSKTQIASSSTPPQSPSQYSDIPFFSISYKTGNLKTLLLHGGHESKFEAAMRRRIMKFLNISEKDYFMVFTANRTAAFKLVADSYPFQSSKKLLTVYDYESEAVEAMISYSEKRGAKAMSAEFSWPRLKMQSTKLRKMIESKRNKKKKNGLFVFPLHSRVTGARYPNLWMSIAQENEWHVLIDACALGPKDMDSFGLSLFRPDFLICSFYKVFGENPSGLGCLFVKKSALSVLEASSLAGIVNLVPERRLHQLSEDSSGNDVEIEQKSAASSLQEEELASLTSFSRRIQTTQFEGGEGEASELQIIEVPADVGGAQRSNKNHQDKNVQDSENGGFYIECRCLDQVDSLGLRVITNRARYLINWLVNSMLKLKHPNTEGAPLVKIYGPKIKFDRGAALAFNVYDWKGEKVEPVLVQKLADRNNISLSYGFLHHIWFADKYAEEKGRVLQSKEGKGQDVTGNKKKDRYNLGVTVVTAALSFLSNFEDVYKLWAFVARFLDADFVEKERWRYTAINQKTIEV >OIW14151 pep chromosome:LupAngTanjil_v1.0:LG04:3546134:3550793:-1 gene:TanjilG_21291 transcript:OIW14151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFGLLASKAMRPTSRLLNSANPSNLFLRTIVSKPELNKPEPSAATEPPPPDLPPRTPVAGARVHFTNPDDAIEVFVDGFPVKIPKGFTVLQACEVAGVDIPRFCYHSRLSIAGNCRMCLVEVEKSPKPVASCAMPALPGMKIKTDTPVAKKAREGVMEFLLMNHPLDCPICDQGGECDLQDQSMAFGADRGRFIETKRSVVDKNLGPLVKTVMTRCIQCTRCVRFASEVAGVQDLGILGRGSGEEIGTYVEKLMTSELSGNVIDICPVGALTSKPFAFKARNWELKGTETIDVTDAVGSNIRIDSRGPEVMRILPRLNEDINEEWISDKTRFCYDGLKRQRLNDPMIRDPDGRFKAVSWRDALAVVAEIAHGVKPDEIVGISGKLSDAESMIALKDFINRLGSNNVWGEGIGANTNADLRSGYIMNTSIAGLEKADVFLLVGTQPRVEAAMVNARIRKTVRASHAKVGYIGPDADFNYDHEHLGTGPETLLEIAEGRHPFSKLISDAKNPVIIVGGGIFERKDQDAIFAAVETIAKQGKVVRSDWNGLNVLLLHAAQAAALDLGLVPQSEKSLESAKFVYLVGADDIDLDKIPADAFVVYQGHHGDKSVYRANVILPAAAFSEKEGTYENTEGCTQQTLPAVPTVGDSRDDWKIIRALSEVAGVRLPYDTVGAVHARIKTVAPNLVHVDEREPATLPSSLRPTFTKNIDPTAFGIAVENFYMTDAITRASKIMAQCSATLLKK >OIW14254 pep chromosome:LupAngTanjil_v1.0:LG04:1577041:1577646:1 gene:TanjilG_21394 transcript:OIW14254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHHELWSPQNPPHGNNSHEPSSISTELSLISSTFQSTCNHVGITRTHYSSGSKRNNRKNIKKICSNNKESQYWGCSTSLELYDNPWKIKKVLTTSDLGKLNRLLFGAELLENLMLPVLGVAAQRDAESGMGTPIRVWDVDTMSMHMLILKRWASFKNYVLIGKWNHEFVRRRELKKGDEIGLQWDSYRHCFNFSVLKRTNE >OIW13005 pep chromosome:LupAngTanjil_v1.0:LG04:22493404:22495372:-1 gene:TanjilG_15454 transcript:OIW13005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLKIMVMTCFFGIKADSFRVGRSVLPKFFLEDVGSEMFEVLNRGGKKVGVTNLPRIMVESFVKEYLEIDFVVGRELKVFCGYHIGLMDERKTMHALEQVQEGKGSSDMIGITSFNKILDHDELFSNCKDMYVVSEGDKRSWQALPRELYPKPLIFHDGRLALRPTLIESLAMLMWLPYAIILSIFRISLALSLPYNISTPLLIFTGIRFTTSIPKTKTSHKAKPNGHLYVCNHRTLLDPLYISFALQKNLIAVTYSLSRMSEILAPIKTVRLTRNRDHDAEMMQQLLKQGDLVVCPEGTTCREPYLLRFSPLFSEMCDEITPVAMNSHVSMFHGTTAGGLKCLDPVFFLMNPAPVYTVQLLDQLVAPSCTQINNNVDVGEKEGSRFDVANDVQTQIGNAVGFECTKLTRKDKYLILAGNEGIVSTRKSGKS >OIW12960 pep chromosome:LupAngTanjil_v1.0:LG04:23111490:23112644:-1 gene:TanjilG_15409 transcript:OIW12960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLLSKETMGSGGCATDPKHKPLLRVPHTKPPFTISQLKKAIPQHCFNRSLISSFSYVLYDLTLTFFLYISTTYFHFLPHPFSFILWPIYWVLQGCILTGVWVIAHECGHHAFSNYQLVDDLVGLVLHSSLLVPYFSWKISHKRHHSNTGSLDRDEVFVPKPKSKVGSFNKYLNNPLGRVITLLITLTLGWPLYLTFNVSGRPYDRFANHFHPYAPMYSDRERLLIFASDAAVFGVTYMLFYIATLKGLAWLICVYVVPLLIVNGFLVTITYLQHTHIALPHYDSSEWDWLRGALATIDRDYGILNKVFHHITDTHVAHHLFSTMPHYHAIEATKAIKPILGEYYQFDDTPFYKALWRETKECLYVEPDEGTPQKGVYWYQNKF >OIW13577 pep chromosome:LupAngTanjil_v1.0:LG04:9911559:9913671:1 gene:TanjilG_25676 transcript:OIW13577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVPKQQLSLSPPPQPKQELASSPPQYVVVAMMPTPGMGHLIPMLEFAKRLIRYHHNIRVKFLIPTDGPPSKAQTTVLRNLPNAISHIFLPPVTLSDVPQDAKIETIISLTVLRSLPSLRREMTAEIHPIGGLVVDLFGADAFDVAKELDVSRYLFFPSNAMLLSFFLHLPKLDKETNCEYRELAEPIKISGCIPIHGKELLDPVQDRTNEAYQWILHHANRYREADGIIDNSFLELEPGPIKELQKYEPGKPPVYPVGPLVNMDGIVSEPGLECLRWLDEQPHGSVLFVCFGSGGTLSSVETNELALGLEMSDQRFLWVVKSPNDKIANASYFSADSKADPFDFLPKGFVERTKGRGYLVPGWAPQTQVLAHGSIGGFLSHCGWNSTLESVVNGVPLIAWPLFAEQKMNAFMLTQDIKVALRPNKNNSNGLVEREEIASVVKCLMEGEDGKKLRYRMKDLKDLAAKALSENGSSTKNISQLALKWTKKTSVAN >OIW13418 pep chromosome:LupAngTanjil_v1.0:LG04:11994804:11998389:-1 gene:TanjilG_33067 transcript:OIW13418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METTLCLKCGIYGISPSIPITTSRAMVSALGCSASSSDKRSLFSRFSFRYPLKSLCPKRICSGGYDGFSIDDTVSEDKMDIIEVEGKGQNGNWVFNICHANSVWRNNGNEKDEKDEDDEEEEYNGCRIEYDDDENGEEVIFDRDSFSKMLKRVSLSEVRLYEKMSHLGNLAYSIPNIKPGYLLKHYGLRFVTSSIEKKKLAATAEQNQASTATYEEESTEKDEGEGKEPKNCGYKISASAAYEIAAAAASYLHAQTRSILPFKSSNDEIGEGSPEASNESVDDANKNKAQVASWKATSGSVTAVVAAKEEVKQAFADDMNSTSSSPCEWYICDDDRSCTRYFIIQGFDVLVHRGIYEAAKGIYQQMLPEIHAHLKSKGSKARFRFTGHSLGGSLALLVNLMLLIRKEVPIISLLPVITFGAPSIMCGGDSLLEKLGLPRNHVQAITMHRDIVPRAFSCNYPKHVAELLKAVNSNFRNHPCLNNQKLLYAPMGEFLILQPDENFSPSHDLLPSGSGLYLLSGPLSESNDTDMHLRAAQLVFLNSPHPLEILSDRSAYGSAGTIMRDHDMNSYLKSVRTVIRHELNQIRKASREQRRKAWWPIARPRGSGTSNVVGRSLLSSVNVIQDQPPFSGIIQTGRESLKWFGRLVGSNHMHLFVVFLLPARLLLLEAYSFISLR >OIW12525 pep chromosome:LupAngTanjil_v1.0:LG04:26999193:27001293:-1 gene:TanjilG_04689 transcript:OIW12525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSSLSTSTLLCPKSGTRAFSRSSSSSNQKNILFLHLGQPIFSPWNGLKHLGISTKSKPILFHRHRKGKLVYASLFGVGAPEALVIGVVALLVFGPKGLAEVARNLGKTLRAFQPTIRELQDVSREFKTTLEREIGLDDISSSTQNTYNSNAPNTTSTPSSTTSTSSSQIAVGPDGTRDRSRAYSAEEYLKVTEEQLKAASAQQLSQTPPPKEAQSEPQIQSPAKETATTVPPPPQKPGSETLPPDS >OIW13347 pep chromosome:LupAngTanjil_v1.0:LG04:15123904:15125653:1 gene:TanjilG_02867 transcript:OIW13347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKFSWLEEKDYLLNVSLVIVKNRGNVSYSKGLFAESFHRLLSTHTERKLEDKVALITGAATGIGKAVATKFINNGAKVIIADIQQQLGQDTAKELGPNATFITCDVTIESDISDAVDFAISEYKQLDIMYNNAGIPCRTPPSIVDLDLAVFDKVMDINVRGVVAGMKHAARVMIPRGIGSILCTASVTGVMGGMAQHTYSISKSAVIGIVKCMASELSKHGIRVNSISPFAIPTAFVMNEMSQIYSHLDAQKIVEIVHNSGVLKGANCEPNDVANAALYLASDEAKYVSGQNLVVDGGITSFKNLEFPAPDQVL >OIW13091 pep chromosome:LupAngTanjil_v1.0:LG04:21291115:21291957:-1 gene:TanjilG_08124 transcript:OIW13091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGRHDLSIPIIGSNHRPRGLDREIIETFPTFIYSTVKSLKIGMATLECAVCLNEFQDDEKLRLIPVCNHVFHAECIDAWLVNHSTCPVCRANLVSTPSEVVPFMTIQLPDQTDPEPDPVHVDEFSGRQGTVMKESPKLSNNNSVNQNRPRRSRSTGFRFTNLLPRSHSLVQRGENLERFTLRLPEEVRNQLVTSTLSRTKSLGVAFTPESSERRGYRTRSVGSGCGRNNLERLDQSDRRMFRWMSRAGSNISKKVTEFNKDDVGERSSDRLFSGKENDM >OIW13811 pep chromosome:LupAngTanjil_v1.0:LG04:7371381:7379198:-1 gene:TanjilG_31700 transcript:OIW13811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHSARAVLPGQTTTLAFAFKPSHYPSTNITSSLTFYMDQPSLPLKMKQKQFQQPRLYCQASPHDEVSLRSDESPVHGVSEIVVGVLGGGQLGRMLCQAASQLAIKVVVLDPQENCPASSLSYHHMVGSFDDSATVEEFAKRCGVLTVEIEHVDVATLEKLEKQGVDCQPKASTIRIIQDKYLQKVHFSQHGIPLPEFMQIDDLEGAKKAGELFGYPLMIKSRRLAYDGRGNAVAKSEEDLSSAVDALGGFHRGLYVEKWAPFVKELAVIVVRGRDNSISCYPVVETIHRDNICNIVKAPADVKWKIREHATEVASNAVNSLEGAGVFAVELFLTEDEQILLNEVAPRPHNSGHHTIESCYTSQYENHLRAVVGLPLGNPLMKTPAAVMYNVLGEEEGELGFQLAHQLIKRALTIPGATVHWYDKPEMRKQRKMGHITIVGPSLGNIESNLAIIVEGKKLDDKTAVAPRVGIIMGSDSDLPVMKSAAEILEMFGVPYEVRIVSAHRTPELMFTYAKSADKLGIQVIIAGAGGAAHLPGMVAALTPLPVIGVPVRGSTLDGVDSLLSIVQMPRGVPVATVAVNNATNAGLLAVRMLGVADNNLLSRMSQYQEDQKEIVLDKGDKLVNHGWESYLNKMSS >OIW12593 pep chromosome:LupAngTanjil_v1.0:LG04:26524339:26526760:-1 gene:TanjilG_04757 transcript:OIW12593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASATCSLSTLCTSFSTHCAITHIPKTPSSSSNLTFLSPSRFPSLSHNLSFSLSPLPKHSYSAVSVANSSELQISQTVEASPVQVPTWEKGLFAVVMIGGRQYIVHPGRWLVVQRLKGAKAKDKIALHKVLLVGTDTTTYIGKPIVTNAVVYATVEEQGLDDKVIVFKYKRKKHYKRTIGHRQPNTRIRINSIMGYENYPKVTLEDLKKDKDE >OIW13898 pep chromosome:LupAngTanjil_v1.0:LG04:8136313:8139725:-1 gene:TanjilG_31787 transcript:OIW13898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGERRKKGREERSEELILQPQWRRQNPKPPSPSPSSEVSLQPEWRRRGSNSTATTTFAYPWNQNYTSNLNPYRNNSISSFQNDSALPNIETLHISKQLPTSFLAPQKKDRVSPIPRPDNGGTSAILTTRLRVNHFHVNFNPDTTIMHYNVAVKPMFSSKRGRPQQLSKSDLIMIREKLFSDDPLRLPLEMTAHDGAKNIYSAVRLPQETFTVDISEGEDEKSGSYNVTITLVNTLKLRKLIDYLSGQGISIPRDILQGMDVVMKENPARCTISMGRHFYPMDPPLVMKDLHHGIIAVGGFHHSLKPTSQGLSLCVDYSVLAFRKRMSVLNFLHEHIDGFNLNEFDSFRKRVDEALIGLKVNVTHRKSSRKYIIGRLTPVNTRYVTFPNDNAKGWILSKDVSLLSFFRDKYGKDIVHKDIPCLDLGKGKKKNYVPMEFCILVDDQRYPKERLDGFAGKTLKAMSLAHPNERESAIQKMVQSSDGPCGGGVIHNFGMSVNKNMTSIVGRVIGPPELKLGDPTGKTIKITVDMEKCNWNLVGRSMVEGKPVERWGILDFTSFGSYRCKLKVNEFIQNLIGKYKKFGIDMREPIWYEESSMQILASNDSLSDLLQKINNICKYNQGPLQFLLCVMSKRSPGYKNLKWISETKVGIVTQCCLSNSANEGDDLFFTNLALKINAKLGGSNVELSNRLPYFEGEGYVMFVGADVNHPGSRDTRSPSIVAVVATVNWPAANRYAARVCPQYNRCEKILNFGEICLELVACYRRKNGVRPEKIVVFRDGVSEYEFDMVLNEELLDLKIAFERSNYFPTITVIVAQKRHQTRFFPEGWRDGSSSGNILPGTVVDTKVIHPFEFDFYLCSYYGSLGTSKPTHYHVLWDEHKFTSDELQKLIYEMCFTFARCTKPVSLVPPVYYADLAAYRGRLYHEARNGTLKSAAYSAPKGSSSVSPTASFEQGFYRLHADLENIMFFI >OIW12543 pep chromosome:LupAngTanjil_v1.0:LG04:26905404:26908853:1 gene:TanjilG_04707 transcript:OIW12543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDPFTSFSHTHRVVLLIDVSNSDSDSDYFTTLLSSLNTILSFPSLSSSLFSFHLFFSSLSPLLSLSKLPLPSPFDLPLPTLHSLSQSLSQSLSRSVPSQPNKASHIAASLLYIFHHYSWDPPIPNESSSITSSNSFVPSNLVLLFSPLSHSFDSFFEPHSFSDVSLFSGEFSRCFNSVRNVFDSRDIHCSFVRVGSGSGSCHNDEVLKVNELFRIGAAKLGWGFCSLDSIVLGSAIVPFSLIYPKIGTSWGSIQFDDDCSKKVQVKLSLNIFDVNHNPIGYNCCDLELVDFKILARPCQDVWFKPESSNVQGGGCERKERFWKICSDGVMKFEVKAVCRCDAFVNLRECLSDSILVRECFRESKKKHEPSSDDFYGNRVLQMLAAEFGSQWWRKPAPIWEILLSFLCKEGYWAFVSLTNANGDSVMCILRPFTVSSALLSVLGDLDLSSDFGVANMSQYVRAVDAEVHKSGHKLKNDNGLLDSHAMKSSDIAIEGHHRKKVMDMITLKNLTWSSFCDSVYGQFGMDLYEVYYAVGCNKSKKLLKFLKCWMKQVKKSGCYDPTLSEKPKPYQIIAEDINDKLAELPQNGEQPITPSSSVGVNTEASRIQDEAVLDFRLETSEAFFSNLPNKIHQGIESEDIDLVALAARLVSSSIYWLCQKVDKETVSESHSSSKGHNSRGSIVVSELTKLLLREPKELAAKHKGRNSLSQTSDTGAATQVTEHVILFRMEILQSEVGSGVGDSSKQKFVKQICLLFENIQCHMEGGFFGDWNLENYVSRIIKTRYSDTLEDMVHKIYNKMDLLLFADEDEAPNNLLNSEDSNKSLNQIVYRDEMGENDVSYEMVLAENGPIQSEKNDSGRLTMITSEDHNRKLIEAKERRERARRFSSFTSWMPDLHRVWAPKQKSMKLKTDPLRKLQKRKERRRASYDTVYETPMAANKHSSPWSRGSDDDSSGSQICGSISKALFQDDDL >OIW13458 pep chromosome:LupAngTanjil_v1.0:LG04:11038816:11042040:1 gene:TanjilG_22249 transcript:OIW13458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRGGKVSGKINYRKRVRSKKGSGSDDSDEDYVVSDDGEGVSDCPEDYGLDECQSEESLDNFVEEEEEIQRVCKFNRSKAKNSICDPMGNGSKTSRKRGRTTHAETLEQAEEQQDGDDEDDDEDFNYDDGDEEFTPEEEYCSDVEGETRGRKKKNNDVTMSRKVSQRKVPVTSTRVRNKEDEDEDFNCDDEDFNYDDDDGDEEFTLEEEYYSDVEEETRGKRKKNNGVKMDKKVLQRRVSASSTRGRKRRRSRASNQPSRKKRKNGGLRRKVRSNEVDDFIDNCPAIRRKSREIPSRVRRRILLEGFNSDSDHVSVSHDYNFTVSEEEREQVREAKALCRNLRRNLRSSSLQMKNEEVGVHEDLQQQWSPPGHKGKEKIEEPQGRKGKEKVEDLKTEVGKQWAKVESRCPMCKQRFTTINKPARSLAGLDLKESVIQVPERDQVYQPSEEELMSYIDPYDSVICSECHEGGDDGLMLLCDICDSPAHTYCVGLGREVPEGNWYCDGCRPVTLGSSSTQDQEPVPPTVTTQSPLVRPSHVLVPESIDLHLISSPCTSFSQGFGHLSSSRFSGRNVEGASPVSGGGTLSERRRIHRQIQQLLSVDRMTSTTGRINGISATTSTSNLFSSQIDQSRETAIQYRQTQDVGTSYHTFFEERLCHNASPLMQNGDIFSIGISNSRRPVQDSTTLIDDRLMNGVLWPAHVEIPTISDYGPVHQFSSRSNIVTDSNLSSAIKGESNFPLVKEQLQSMVKSHLKSFSRDVDLGYSTFKDIARSSTHTILAACGLEHNKTEEMW >OIW12767 pep chromosome:LupAngTanjil_v1.0:LG04:25077496:25079569:1 gene:TanjilG_24700 transcript:OIW12767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKVEEKVSNKQVLAASYITGNPKQSDMYLSTSTISLQLSSEASNAVLVKNLYLSCDPYMRGTKTTDNKTRLFYSFSPDSLIIGYGVCKVLDSKHPDFRKGDLVWGVTKWEEYSVITITDSLFKIDHTDVPLSYYTGILGMPGMTAYAGFYEVGVPKKGDHVFISSAFGAVGQLVGQLAKLMGCYVVGSAGSKQKVEILKSKFGFDEAFNYKEEQDLDATLKRYFPEGIDIYFDNVGGDMLEAALVNMRRRGRIVVAGMISQYDLDEPQGIKNLVTIIYKQIRIEAFTVYDYYHLYPKFLDTILPYIREGKITYVEDIAEGLENGPAALEAMFTGLSSGKQVVLLASE >OIW13936 pep chromosome:LupAngTanjil_v1.0:LG04:5791003:5795303:1 gene:TanjilG_09287 transcript:OIW13936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRSSTTMKWVSFFMLLLLPHLALSTPAQDGLVANGDFEATPSNGFPSEAIIEGPTEIPSWKSNGTVEIVSSGEKQGGMILIVPQGRHAVRLGNDAEISQEIEVEKGSIYSVTFSAARTCAQLESLNVSAASASQTIDLQTLYNVQGWNPYAVSFNAEEQSFRLVFKNPGMEDDPTCGPIIDTIAIKKLFTPDKPKDNAVMNGDFEEGPWMFRNTSLGVLLPTNLDEETSSLPGWIVESNRAVRFIDSDHFAVPQGKRAIELLSGKEGIISQMVETKANKLYTLTFSLGHADDKCKEPLAVMAFAGDQAQNMHYTPNSNSTFQISKLNFTAKADRTRIAFYSVYYNTRSDDMSSLCGPVVDDVRVWFSESNGLRGLGLVRLGLVILGLVWVMV >OIW14130 pep chromosome:LupAngTanjil_v1.0:LG04:3931897:3935621:-1 gene:TanjilG_21270 transcript:OIW14130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATIGHNNLNAKLVLLGDMGAGKSSLVLRFVKGQFLEFQESTIGAAFFSQTLAVNDATVKFEIWDTAGQERYHSLAPMYYRGAAAAIIVYDITSSDSFTRAKKWVQELQKQGNPNMVMALTGNKADLEDKRKVTAEEARVYAEENGLFFMETSAKTAANVNDVFYEIAKRLPRAQPAQKPAGMVLVDRPAEGSRAASCCS >OIW13778 pep chromosome:LupAngTanjil_v1.0:LG04:6807825:6814192:1 gene:TanjilG_31667 transcript:OIW13778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMTNHNLLICFTTLSLYIILSPWISIEAAPTPSLVTYLPNFKGTFPSKHYSGYISIDGNGENGKNLFYYFVSSERNPEKDPVVLWLNGGPGCSSFDGFIYEHGPFNFEAAKTKGSLPTLHINPYSWSKVSSIIYLDSPAGVGLSYSKNTSNYITGDLQTASDTHAFLFKWFEQFPEFLNNPFYISGESYAGIYVPTLAYEVVKGIRGGIKPVINFKGYIIGNGVTDGKYDGINALIPFVHGMGLISENIYEDLQAYCKGQYHNDDNVKCNEHIEKVYTAIDGLNVYDILEPCFHNPEAEAAKGNGTSLPLSFQQLGATDKPLPVRKRMFGRAWPFLAPVQQGLLPLWPQLLAQIRHVDCVNDEVASTWLNNAQVRKAIHAEQEKVAGPWEICTDRIQYYGNAGNSMIPYHKNLTKLGYRALIFSGDHDMCVPFTGTEAWTRSMGYKIVDEWRPWTSNHQVAGYLQAYDNNLIFLTIKGAGHTVPEYKPREALDFYTRWLEGKPI >OIW13656 pep chromosome:LupAngTanjil_v1.0:LG04:9526020:9527085:1 gene:TanjilG_07998 transcript:OIW13656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRSLEPIFLLMIVVVSVVPVTVKAAYSSIHEVLKSHGLPAGLFPESVKSYNLDQRGVLEVHLDRPCMAKYENRVLFETVVRANLSFGHLKGLEGLSQEELFLWLPVKDIIVDDPSSGLILIDIGFAHKQLSLSLFEDPPICRSQGISMKMAGRKSIGFQDQR >OIW13578 pep chromosome:LupAngTanjil_v1.0:LG04:9917234:9918808:1 gene:TanjilG_25677 transcript:OIW13578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPKLMFSSPKISSFSTPLPFFISNQLPFLLKPTLSFKPHSSLQKSQFFGTSLVLSQLGNSNVDFISLRKKLNVPFGPIRSAVKRRKELPFDNVIQKDKKLKFVLKVRKILVSQPDRVMSLRGLGRFRKELGLDKKRRVIALLKRFPAVFEIMEEGVFSLKFKLTPEAERLYFEELKIRNEMEDLVVTKLRKLLMMSMEKRILLGKIAHLMTDFGLPQDFRDTIVHRYPQYFKVVETERGPALELTHWDPELAVSAAELAAEENRIREVEEQNLIIDRVPKFNRVKLPKGLHLSKGEMRKITQFRDIPYISPYSDFSDLRSGTREKEKHACGVVHEILSLTLEKRMLIDHFTHFREEFRFSQQIRGMFIRHPDMFYVSLKGERDSVFLREAYRDSQLVDKDRLLLIKEKLRALVNVPRFSKGPSRRRVGDGTEDNDGREDEVDAEGEEEWSDTDNLIDGEFDDNDDDDDEWSDEDDDTPPDFDEDVGTPEIGRRKLSKQVDETTQNAEKDRVPVFPDGRPREQW >OIW14348 pep chromosome:LupAngTanjil_v1.0:LG04:81510:85556:-1 gene:TanjilG_31238 transcript:OIW14348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCFTKLSSYTVATLLSRTVHFVANNRSNLSFPIKLQYPLLTRSSYYHHRHYYCYSEFEFDLSRFLGVRCYSTKKGSKSGLKKKKGEEESEAVVMSEKENDAFYVVRKGDIVGIYNSLSDCQAQLGSSVCDPPVSVYKGYSLSKDTEQYLVSHGLKNALYTIRAADLKEDLFDMLLPCPFQEPTSTEGCTSNKDVSKKRSLQVLGHDDVNVTSLTSISEDPLRKQVKLDHAASTEAPLHETRTCLLEFDGASKGNPGKAGAGAILRASDGSLICRLREGVGIATCNAAEYRAMILGMRCALQKGFNDIRIKGDSKLVCMQISGSWKVKNENLSTLYKVAKELKDNFLSFQINHVLRVGIAD >OIW13874 pep chromosome:LupAngTanjil_v1.0:LG04:7950921:7952191:1 gene:TanjilG_31763 transcript:OIW13874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEQLGLLKVTVVQGKRLVIRDFKSSDPYVGLKVGNQTAKTKVINSCLNPVWNEEMNFTPTEPLVVLNLEVFDKDLLKADDKMGNAFLNLQPLVSAARLRDILRVSSGETTLRKVIPESDNCLVRESSINCVNGEVVQNVWLRLRGVESGEVELIVKLITLPQSSAQIATRS >OIW13155 pep chromosome:LupAngTanjil_v1.0:LG04:19311816:19317798:1 gene:TanjilG_07761 transcript:OIW13155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVSLSLRINGTENVAPLYICLGRQLQLNNKKEKQFSPADYQVSRMFKFQSSPEIDGDIVNAKYQLPEVYKFAEEAQSGSLYILVFTTVKDRISSREAKTDLVPSDEAIPRDFCLCGRVSLQYLYYTWDIDPSFVSSQMGETELTIDLAPYYIKREDREWRLSIEDPYHPDNETAIKKLPMQVYAEEYGAKDRPHYLTYSSRDILSPDLVSIMR >OIW13582 pep chromosome:LupAngTanjil_v1.0:LG04:9939669:9941606:1 gene:TanjilG_25681 transcript:OIW13582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSTKMKKLQKQSSTTQPSQSTQHPTTNAHLTYLSKRVHASTNDSKEVTWNDVAHVKILIETCLQMHMDRDETVNALLTHAKIEPEFTTIVWQALENDNIGFFKAYHLSLKLEKQVQVFNHLLMRMSNANNLLMTYPQLQQPSIAEATQSHLGSIVGDVSSSQEVFGVPEPINFHPIQPNFGNNMLMDDNALDMAHVIPRNGAMPMNPTPIASSDYFSFPASQISGLDTDASALDMPFKSNAESSAGPRFASDFDAGNSVLLDHNVWNSSLYDLTDMFNFGGEDDTSCVSYLKC >OIW12814 pep chromosome:LupAngTanjil_v1.0:LG04:24653665:24661592:-1 gene:TanjilG_24747 transcript:OIW12814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSSFSLFPFAVTFPLFFAFIATAADSSSCNNQIQMIKVQNWIDGKEGDLYNGMTAKFGTSLPEKADKTLRAPAVPSKPLDCCAASTTKLTGSVALCVRGTCDFTTKASFAVSGGATSVLMINDSEDVFEIQCSNDSKVDIPIPVVEVTKSTGDALNNFITLGKKVEILLYAPTRPIVDYSVGFLWLMSVGTLTCASLWPDITARDEDEGYECSNKGSSNAEKENSEDIVNIDVKGAIVFVITASTFLLLLFFFMSSWFIWVLIVLFCIGGIEGMHTCIVSLTLSKCPSCGQKTLSLPVVGEVSVFSLVVLLLCVAFAVAWAATRKSSFSWFGQDALGICLMITVLQLARLPNIKVATVLLCCAFVYDIFWVFISPKIFQKSVMITVAKGDNAGGEAIPMLLRFPRLTDPWGGYDMIGFGDILFPGLLVSFARRFDKETNKGILGGYFLWLVIGYAMGLCFTYMGLYMMKGHGQPALLYLVPCTLGVTVILALIRGDLKTLWSYNPDSPSPRADSDA >OIW13994 pep chromosome:LupAngTanjil_v1.0:LG04:6336484:6337593:1 gene:TanjilG_09345 transcript:OIW13994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVSSKPLSDASNLSFSSVLNPFQVPSQNSLSSPTTPSRSLIAPLQCGLRELRTRIDSVKNTQKITEAMKLVAAAKVRRAQEAVVNGRPFSQTLVEVLYNINEQLQTEDIDAPLTKARPVKKVALVVCTGDRGLCGGYNNAILKKAVGRIAELKTLGLDYTIISVGKKGNTYFLRRPYIPVDKFLEGGTLPTAKEAQAIADDTFSLFVSEEVDKVELLYTKFVSLVKSEPVIHTLLPLSPKGEICDINGVCVDAADDEFFRLTTKEGKLTVERDAVRTKTSDFSPILQFEQDPVQILDALLPLYLNSQILRALQESLASELAARMSAMSNASENASELKKNLSVLYNRQRQAKITGEILEIVAGANALV >OIW12669 pep chromosome:LupAngTanjil_v1.0:LG04:25798196:25799797:-1 gene:TanjilG_24602 transcript:OIW12669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPTAQAYQHRPLSIKLWPLSQSTRLKLVERMTKNLTNPSIFSKKYGLLSKEEAEEDAKQIEDIAFATANQHFEKEPDGDGSSSVQMYARESSKLMLEVLKRGPRVKEDEELVPEKASATGKTFFDISGGPRAFIDGEEAAELLKPLMGPNTYTKICFSNRSFGLDAAHVAEPILKSIKDQLKEVDLSDFIAGRPEAEALEVITIFSSALEGCDLRFLNLSNNALGEKGVRAFRSLLKSQNNLEELYLMNDGISEEAAKAISELIPSTEKLRVLRFHNNMTGDEGAIAISEIVKRSLALEDFQCSSTRVGSDGGIALAEALGACAHLKTLDLRDNMFGVEAGVALSKVIPAFSDLTEIYLSYLNLEDDGAEILANALKESAPLLEILDMSGNDITAKAVPSLAACISSKQFLMKLNLSENELKDEGAILISKALEGGHGQLNELDLSTNLITWSGARLLAEAVVQRPGFKSLNINFNFISDEGIDELKNIFKNSPDMLGPLEDNDPEGEDFDDEAEEDADHDELESKLTGLQI >OIW13235 pep chromosome:LupAngTanjil_v1.0:LG04:17403149:17403379:1 gene:TanjilG_02369 transcript:OIW13235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGGITEPSPSHIHRATTVNHLGRDCCSSPLEDKGQSPSLPRRALLCHHRESHPPGPSHEPCSLPPLPLKEEKFGN >OIW13310 pep chromosome:LupAngTanjil_v1.0:LG04:14313215:14315835:-1 gene:TanjilG_02830 transcript:OIW13310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQIDSLEGTERPLHESSNPQSLEKNDIKKDFDPTEGYLNDMALEDGKKGTENHPELSSNPNSIESDDSKKDTDPTEGYFNDIELEDTNKGTENPPELSSNTQSTENGDSKKDIDPTEGYFNDMELEDSNKGTENPTELSSNPQSTENDDSKKGTYPPQGYLNNMPLVDNNKATESPPEVPTNSQATEKDDRKKDTDAPEEYLNNMELKNSSKGTENPPEVPTDPQSTEKDDSKKDADPPEGYLNNTELEDGKKGTENPPGVSSNPQSSEKDDSKDTDPPCESSKPELNDKHTPHSLKAKPKIVKKSESGKLKMKKDEGSQQIRGKRRNRRKNKKAASNAADKKQISNSSQQMKTSDEPPLQEAEKNKEKESQNTSSSKKRPIKESHQAQKNKIAVLDRSEEKQKNKGKRKESDESFRSRTNKDNHNGMKSQSKEKTGDKLGGIIFMCNAKTKPDCFRYRVMGVSVGKKDDVLSVKPGLKLFLYDFDLKLLYGIYKAASSGGMKLEPRAFGGKFPAQVRFKIASDCYPLPESIFKKAIKENYNQKNKFKTELTIRQVRKLSKLFRPVEVQSASPLARSPRRAIVRDRDAPDSVRGSWSHSHRERAAGDPYTSINVNSYDVRYPERDIRIERLEEITRENHRAYGIEGDRRTMAIAPHVNPMREPYERDYEHLHNMDPSRFRRDVPAHVEFSLRSDPLRLNESEYQSYFHSAISDHTRDPYHSYRYGASPRDAYLPPLSREETSSSSYLVGGIRSSNLHRRETMDDRLYSTYSASSALSDYNQRQTYHGDRWDDPLLPVSSRYSFAGPSFSRR >OIW12566 pep chromosome:LupAngTanjil_v1.0:LG04:26718452:26728700:1 gene:TanjilG_04730 transcript:OIW12566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVASSERWIDRLQFSSLFWPPPLDGQQRKDQIAAYVEYFIQFTSEQFADDIAELIRNRYPSKDILLFDDVLATFVLHHPEHGHEVVLPIISCIIDGTLVYDKIIPPFTSFISLVSPKSENEYSEQWALAVGEILRILTHYNRPVYKMERQNGETERSTSGSHATTSDSVAQKSVHSSLTQQEKKPIRPLSPWITDILLAAPVVIRSDYFRWCSGVMGKYAAGELKPPTTASSRGSGKHPQLVPSTPRWAVANGAGVILSVCDDEVARNETATLTAAAVPALLLPPPTTALDEHLVAGLPALEPYARLFHRYYAIATPSATQRLLLGLLEAPPSWAPDALDAAVQLVELLRAAEDYATGIRPYARLFHRYYAIATPSATQRLLLGLLEAPPSWAPDALDAAVQLVELLRAAEDYASGIRLPRNWMHLHFLRAIGTAMSMRAGIAADAAAALLFRILSQPALLFPPLRQVDGVEVQHQPLGGYISSYKKQIEVPAAEASIEATAQGIASMLCAHGPEVEWRICTIWEAAYGLIPTSSSAVDLPEIIVATPLQPPVLSWNLYIPLLKVLEYLPRGSPSEACLMKIFAATVETILQRTFPPEPTLEENRKSKYIFGIGSASKNLAVAELRTMVHSLFLESCASVELASRLLFVVLTVCVSHEAQFSGSKRPRGEDNSAEEIIEDLQAISQRQKETKNRKMKKQGPIAAFDSYVLAAVCALACELQLFPLLSRGKNHSISNNVRDIASPVTISGSSHELQSGVDSAIRHTHRILAILEALFSLKPSSVGTPWSYTSNEIVAAAMIAAHVSELFRRSKACMHALSVLIRCKWDKEIHSRASSLYNLIEFHSKAVASIVNKAEPLEATLIRAPIWKDSLVCFNSKRQKNNSCFDPGETSASLEDSAPLKPNHKSGNTPCSNVASGCTLGKGVTGLTLDASDLANFLTMDRHIGLNCSAQIFLTSMLAEKQELCFSVVSLLWQKLIASPETQPCAESTSAQQGWRQVVDALCNVVSSSPTKAATAVVLQADRELQPWIAKDDDLGQKMWRVNQRIVKLIVELMRNHDSAESLVIVASASDLLLRATDGMLVDGEACTLPQLELLEATARAVQPVLEFGESGLAVADGLSNLLKCRVPATVRCLSHPSAHVRALSISVLRDILLTGSIRSSSKPRQINGNHNPSYQYLNLDVVDWHADVEKCLIWEAHNRLSNGLSMQFLDMAAKELGCTLSI >OIW14340 pep chromosome:LupAngTanjil_v1.0:LG04:220093:222564:-1 gene:TanjilG_25126 transcript:OIW14340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSVTHSVESLFSTPHRDFLIRNNDHQVKIDSLKGKNLGIYFSASWCGPCREFTPILVEVYNELAPKDEFEVIFVTADKDFESYNAYFSKMPWLAIPFSDSDTRKRLNELFDVVGIPKLDQDNEAKKNQTLTSILTSPSRDFVISSDGKKIPVSDLEGKTIGLYFIYIHEKPCINFTPKLVEIYEKLKAEGEEFEVVMICREDGYEGEEEESFKELLRSLPWLSLPFKDRSCEKLPRYFEASAIPTLVIIGPDGKTLHSNVVEFIEEHGIAACPFTPEKFDELIDIQKAKDASQTLESILVSGDRDFVIGKDGVKIRVSELVGKNILLYLSALWCSDRTDFLPKLIELYHKVKAKDNAFEIIFVSEDDDQASFDEHFAEMPWLALPYGDSRKAILNHKLKLFGAPELMAIGPNGNTVTTKVLKLLWEYGVDVYPFTKERVEEIEAEYEEIAKGWPEKLTHEKHEHELELCRRTIYICDGCDVEGNIWSYYCDECDFDLHPKCALEKGKGSKDDAKEEKKSKDEWVCDGEVCFKA >OIW13957 pep chromosome:LupAngTanjil_v1.0:LG04:5963580:5964134:1 gene:TanjilG_09308 transcript:OIW13957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTEILRPQDCFIERIRTPPPSAAAFSRRRSYVNNHNHNYNYNYSYNHYHVSSSVKALRKPVVRPDPKKRIEKKPSYDDSKMARRSGITMEKVTILRRVISLDSKIESEALKKQGGVYGQRLNSKQNRVVNGIPGCDVYAGSAFSMSPSPSALPLPSFHKKSLSSPAVIDDSATRDLRRLLRLE >OIW13969 pep chromosome:LupAngTanjil_v1.0:LG04:6091911:6092819:1 gene:TanjilG_09320 transcript:OIW13969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLIGHVAPGFGFLFIGLWHLYNHIKLHALNPKSYISSPWFPTSKSKYFELFLIIAGSTASISMELFIGPSRHHPLDHDGTIPSYHLHNFEHSLISMTFLVYATFAIILDNIHTEIRKALTNLLASIAFAQQLFLLHLHSADHNGPEGQYHLLLQLLIIVSLLTTVMGISMPKSFLLGFVRSLSIFFQGLWLMLMGFMLWTPTLIPKGCFKEGHNLVRCNDHEALNRAKSLVNIQFSLFFVLVTIFGMSLYLVLIQFYGENGIIVTYFSLGIDDEEEYRVDDKSSDDVESQKRLMNKYPLH >OIW14021 pep chromosome:LupAngTanjil_v1.0:LG04:6574251:6577543:-1 gene:TanjilG_09372 transcript:OIW14021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSISRSFVLWLHGLGDSGPANEPIRTLFTSPQFRNTNWSFPSAPNAPVTCNYGHVMPSWFDIHEIPVTADSPNDETSLLKAVQNVHATIDKEIAAGTNPNNVFICGFSQGGALTLASVLLYPKTLGGGAVFSGWVPFNSSTIIEQITPEAKRTPILWSHGMIDSTVLFEAGQAGPPFLEKLGVSCDFKAYPGLAHSISNEELGHLESWIKARLQSSS >OIW13329 pep chromosome:LupAngTanjil_v1.0:LG04:14708439:14713712:-1 gene:TanjilG_02849 transcript:OIW13329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMEKEKNLHRLQCSYALLDESYEIGKETYRGQQYSHIYFARLNLMRTLLHSLVSNWKPLSPVCPVLELEEGKECVIIGTLYKHMKLKPRVLDEYSKERSTVPLVKPHNFIHSDDYLVLEDEKGRVKLGGNFILPSVYATGVVVALHGKESGVGDFLVLDVLEAGLPPQIDRPLKSREDKYVVLVSGLSIGSSNSNPLQFQLLIDHITGHLGDEMEQGIASQIVHVVIAGNSVEIPAGLLNGQNLASKDLSRIAEPIKELDIMLNQIAAGLPLDIMPGPNDPSNFALPQQPLHRCLFPGSSAYNTFRSCTNPHCFELDNVRFLGTSGQNVDDLDKYSEAKDKLEFMERTLRWRHLAPTAPNTLGCYPYTDKDPFFIDICPHVYFIGNQNKYETRIIKGSEGQLVRLISVPKFNETGVAVVLNLKDLECHALSFGTQLSS >OIW14349 pep chromosome:LupAngTanjil_v1.0:LG04:88650:90387:-1 gene:TanjilG_31239 transcript:OIW14349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAKLRWGELEEDDGDDLDFLLPARQVIGPDDNGIKKVIDYKFDDEGNKVKITTTTRTRKLANARLTKRAVERRSWPKFGDAVHEDVGSRLTMVSTEEILLERPKPLGAKTEEPQAAGDPFQRGAVLMVCRTCGKKGDHWTSRCPYKDLAAPSEGFVDKPTASDATTAVTGATKGSYVPPSMRAGADRTSGSDMRRRNDENSVRVTNLSEDTREPDLLELFRPFGAVSRVYVAIDQKTGTSRGFGFVNFVSREDAQRAINKLNGYGYDNLILRVEWATPRTN >OIW13947 pep chromosome:LupAngTanjil_v1.0:LG04:5877865:5883488:1 gene:TanjilG_09298 transcript:OIW13947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVFGKQSSAAKNTAAEEAVVERERRREELKEAKGEVVEGESEAQNGGRNQKEGVVGEEKRTMPSRRRSSKFNPRLSNPTGHVQGEQVAAGWPSWLSKVAGEAINGMIPRRADTFEKIDKIGQGTYSNVYKARDTLTGKIVALKKVRFDNLEPESVKFMAREILILRRLDHPNVVKLEGLVTSRMSCSLYLVFEYMVHDLAGLATNPAIKFTESQVKCYMHQLFSGLEHCHNRHVLHRDIKGSNLLIDNEGILKIADFGLASFFDPNRRHPMTSRVVTLWYRPPELLLGATEYGVGVDLWSAGCILAELLAGKPIMPGRTEVEQLHKIFKLCGSPSDEYWKKSKLPHATIFKPQQSYKRCIAETFKDFPPSSLPLIEILLAVDPAERQTATAALHSEFFTTKPYACEPSSLPKYPPSKEMDAKLRDEEARRLRAAGKANADAVKKSRPRDRVGRGIPVPEANAELQVNIDRRRMITHANAKSKSEKFPPPHQDGTLGYSLGSSHHMDPGFDAPDVPFSSTNLSQPKANIQTWSGPLVEPAPVGAPRRKKKLGR >OIW13451 pep chromosome:LupAngTanjil_v1.0:LG04:11857626:11861350:-1 gene:TanjilG_05341 transcript:OIW13451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEETFVPLEGIKNDLRGRLMCYKQDWTSGIKAGIRILAPTTYIFFASAIPVISFGEQLERDTEGVLTAVQTLASTSICGITHSIIGGQPLLILGVAEPTVIMYTFMFNFAKERPELGRNMFLAWTGWVCVWTSILLFLLAILGACSIINRFTRIAGELFGMLIAMLFMQQAIKGLVDEFRIPKREDPTSTEFLPSWRFSNGMFALVLSFGLLLTALKSRKARSWRYGSGWLRSLIADYGVPLMVLVWTGVSYMPSGNVPHGIPRRLFSPNPWSPGAYENWTDMANVPVVYIIGAFIPATMIAVLYYFDHSVASQLSQQKEFNLRKPSSYHYDLLLLGFLTLMCGLIGIPPSNGVIPQSPMHTKSLATLKHQLLRNRLMSTARKSIAKNASLGQLYGNMQEAYHQMQTPLIYQDPSAQAQGLKELKESTIQAATSLGNMDAPVDETMFDVEKEIDDLLPIEVKEQRVSNLLQSIMVGGCVAAMPFLKKIPTSVLWGYFAFMAIESLPGNQFWERILLLFTAPSRRYKVLEDYHATFVETVPFKTIATFTIFQTLYLLVCFGLTWVPIAGVMFPMMIMLLVPVRQYFLPKFFKGLHLQDLDAAAYEEQTALPFNLATQSEFGGGASQVDESEILDGVITRSRGEFRRTTSSKVTSTTPTPGNDHKSHQSPRVSFNSRASELTTERSPRSGTRSPYSPNGREMRLSHLGRSPLNPDSNCSTTKK >OIW13612 pep chromosome:LupAngTanjil_v1.0:LG04:9742187:9745265:1 gene:TanjilG_07954 transcript:OIW13612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKFEEQQEGEASDSSGTVCNGCSFLLPTFTFKCLFILFITFSAFFSSLFWILPNYNIKLSFDAKDQIKHSATVQASFKLEKPVSQLIPYIERLEYDIYGEIGLPHTKVAILSMHQSVEPNWTDVVIGVLSDPMNVSINPVSLSVLRSSLIELYLQQSNLTLTTSIFGNASLFEILKIPSGITVIPVQSASIWQIPQVLFNFTLDNSISDVLDNFTAFKDELKFGLQLKFDECVYVLFTNGNGSTLIPPVSVQASVMSGFGGLLPQRLKQLAQTIRNSSAKNLGLDNSMFGKVKDIKLSSLLNDTMHAISPSPSPASSPQFSDHSKPPASPYRAPSYSPISPATSEQPPCFDCEVSSPAPSIATAHPPDPCPYSGFIYHSTPSPKSYSPLAAAPTSHSSGHTRVEAPDPSPIDDLSSVSKLRQGDETSKKVVSELLAPSSFSSSGDDLHSEILLLGFCMLLVSLDFLHDATF >OIW13482 pep chromosome:LupAngTanjil_v1.0:LG04:10865813:10868273:-1 gene:TanjilG_01050 transcript:OIW13482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGIKHRIVKVNGINMHVAEKGQGPVVLFLHGFPELWYSWRHQIQYISSQGYHAVAPDLRGYGDTEAPSSISSYTCFDIVGDIIALIDSLGVEQVFLVAHDWGAIIGWYLCLFRPDRVKAYVCLSVPYLRRNPKVKTVDSMRAMYGDNYYVCRFQEPGEMEAQMAEVGTEYVLKNIFTNRRPGPPIFPTGKYGTGFNPDMTDSLPSWLTQEDFAYFVAKFKKTSFTGGLNYYRNFNRNWELLAPWDGVKIKVPVIYITGDLDSVYTSLGFEEYVQGGNFKKDVPNLEKVILQKGVAHFNNEEAYDEVNNYIYNFIKKF >OIW12708 pep chromosome:LupAngTanjil_v1.0:LG04:25537007:25540778:1 gene:TanjilG_24641 transcript:OIW12708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDALCFDDKIEVKPGEGFLTSDVSEMDDKFGYPEVVPRVGDQYQAEIPSLIAASYASQLVEKKIRDSDMPRPLESVTGEEGRVVSENECIQFKVESRISLIGEGKTAGGISNIQPSSKFEETVINSHPKLKAEQDDVLLRDSLIDQSWTDIEHNSFLLGLYVFGKNLKFLKRFVGSKNMGSILSFYYGKFYRSEGYHRWSRRRKLKDRRCIYGQKIFTGWRQQELLSRLISRVSGDCQTTLVELTRNFGYGKMPFEEYVFALKDVVGIESLVAAVGIGKGKQDITGTAVKPTKTNHIFAVRPELPIGKACSSLTSADIIKFLTGDFRLSKTRSNDLFWGAVWPRLITKGWHSEQPKDHAVSGSKQSFVFLIPGVKKFSRRRLVKGDHYFDSISDVLNKVASDPGLLGMKIQAIERSADRENRQHIDGVSNKPQFCYLQPVNSSSPELTQFTIVDNTIVYDLDQCKVRQQGSSPVRTKRHSCGSQQGTSQEIEDRAEQPNSSNQVEEFSDKRTHIDSSDCTHIPDARNTTKEDNEHSKEINEGQCIQNVTMDCSKDLPHVMEKQKLTDCNHGEFNHCTESTSIDRKLDLNVSYQHDECEVSPNIRVDRKLDLNVSYQCDESEAIPNISIDRNFDLNVSYQDESEVSPNFSIDASDTKNHLLGEVSAENLDITMLIDLNLPPISPELETKTEVPSASSMVILQNSDSQCGNTYSSRSEITHLNEKHEFPYGHKEQQLTTVNCHQSGRYRPLTTKTLEALEYRFINSKRKIKNAESSHNNSKSQCLHVSSETIISAACDNGIGNVMADTRAEKENVNQAFSSRIDLNNEPHYSL >OIW13412 pep chromosome:LupAngTanjil_v1.0:LG04:12608161:12629198:1 gene:TanjilG_19764 transcript:OIW13412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIEMSVLFARTFFMGFSVTLMALLARLRVLVQQVVREFYPVSEDFVVLECVWKVDKFILLERKSKRENESQVFAFIKWITNAENFNKLYLPDSGKKRFIAGEISKLGKGNITSTIFSYRELCDATQNFHPSNMIGEGGFGRVYRGYIKSTNQVVAVKQLDRNGFQGNREFLVEVLILSLLHHPNLVSLVGYCAEGEQRILVYEYMVNGSLEDHLLDLRPGSRPLEWQTRMRIAEGAAKGLEYLHDVANPPVIYRDFKASNILLDENFNPKLSDFGLAKVGPIGDKPHVSTRIMGTYGYCAPEYASTGHLTARSDVYSFGVVFLEMITGRRVIDSSRPSNEQNLVLWAQPLLKDRRKFTLMADPMLEDNYPIKGLYQALAVAAMCLQVEADTRPWISDVVIALEFLAKKKLEIAIFKSM >OIW12498 pep chromosome:LupAngTanjil_v1.0:LG04:27159143:27160930:-1 gene:TanjilG_04662 transcript:OIW12498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKANNSMKWVLLDFIQKCNNLRSFNKIHAHLLTSAHVANDLVLTKVSNFFGKHVTDLNYPCNFLKHFDWKLSSFPCNLIISGYGAGHFPWAAILVYRFVVRNGFVPDVYTVPAVLKSCAKFKGIKEVTQFHCLVVRTGLWCDIYVQNSLVHVYSICGDTASAVKVFDVMLVRDVVSWTGLISGYVKAELFHNAIALFLRMDVEPNVATFVSIIGACGKLRFLNLGKGIHGLVIKCPHGKELVVSNALIDMYMKCESVTDAKRIFNEIPEKDVISWTSMISGLVQCQCPRESIDLFCEMQASGFEPDGMILTSVLSACASLGLLDYGRWVHEYIDHSRIKWDVHIGTALVDMYAKCGCIEMAQRIFNEMPSKNIRTWNAYLGGLAIHGHGQEALKQFGELIESGTRLNEVTFLAVFTACCHSGLVDEGRRYFNEMTSPSYKFSPWLEHYGCMVDLLCRAGLVGEAMKLIKTMPMPPDVQIIGALLSACNTYGNTGFSQEMLKSLQKFEYQDSGIYVLLSNLYATNKNWGEVRSLRRLMKEKGINKAPGSSLIRVDGKSHEFLVGDNKHPQSEDIHVLLNILANQIYLEGNINTIS >OIW13213 pep chromosome:LupAngTanjil_v1.0:LG04:18684801:18685427:-1 gene:TanjilG_17656 transcript:OIW13213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSKVLGAVFIVLLIVELAFAARVTEKLLGGKGGGGGGGEGGGGGGGGGLGRGSGYGSGYGSGGGEGYGGALGSGGGGGGGRGGGGGGGSGNGSSGYGSGYGSGYGSGYGSGGGKGEGGGGGGGGGKGGGGGGGSGGSGYGSGYGEGGGYGEGGGRGGKGGGGGGGGGGGGGGGGGGGANGGSAYGSGSGYGSGYGYGGGENGDEFP >OIW12769 pep chromosome:LupAngTanjil_v1.0:LG04:25063606:25065048:-1 gene:TanjilG_24702 transcript:OIW12769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFMMLHLFLLLLTTTITITITISTSHNLSYPHFQDLNVQQTIQETKLNPTQIPELPQHFTESEEQRPGKLKLKLHHRDKITNFTTTHDHRTRFNARINRDSKRVSALLRRLSDTVAGESSYKAEAFGSDVVSGMDQGSGEYFIRIGVGTPPRNQYVVIDSGSDIVWVQCQPCSQCYRQTDPVFDPVDSSTFAGVSCGSSICSRIDNAGCHEGKCRYEVSYGDGSYTKGTLALETLTIGKTVIQNVVIGCGHRNQGMFIGAAGLLGLGGGSMSFVGQLGGQMGGSFSYCLVSRGTGSSGSLEFGREVVPVGASWVPLVHNPRAPSFYYVGLMGLGVGGLRVSISEDVFRLNELGSGGVVMDTGTAVTRLPTSAYNAFRDAFISQTTNLPRASGVSIFDTCYDLFGFISVRVPTVSFYFWTGPILTLPAKNFLIPVDDVGTFCFAFAPSPSGLSIIGNIQQEGIEISVDGANGFVGFGPNVC >OIW13697 pep chromosome:LupAngTanjil_v1.0:LG04:9276235:9277689:-1 gene:TanjilG_08039 transcript:OIW13697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGNWAEGKRTLEYEDEEEEEEEVMMSYGEDHRRNKKRVVVTTDLYSSKRGSKAGGSVTPCCQVDSCKTDLSDAKQYHRRHKVCEYHAKAPTVLIADQHQRFCQQCSRFHELSEFDESKRSCRRRLAGHNERRRKNAVDYHGEGFH >OIW11404 pep chromosome:LupAngTanjil_v1.0:LG05:23870365:23873014:-1 gene:TanjilG_10722 transcript:OIW11404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYSDSISSFCNSLASFCNHLHSSTNALNQSIHRRSIPLDSASSTFLQCLNRRISVANTDLEMLDSMSFGTVSFEELLGHCNELYKKHNNDLVEIEERLKSFGYVPVDLVADVDDVEEEEDEEDGAEGIEFETLCSFYESLSAAASSFNKSFEEEEALFDESLSLKKLGLSDACLATLASEGYGQEFKHQYLPTGDKMVAYDERNFLSYEPDNKNLESAKSPSSVLKILESEFESLPGYIRGLASWEELLVAVDKINSSLSKTNGNSFLQDEVFSFDLGPRARSFLLLLVRMKRLAVESVGDDILYRIV >OIW12261 pep chromosome:LupAngTanjil_v1.0:LG05:2516097:2519713:-1 gene:TanjilG_06050 transcript:OIW12261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKINGGGKTMSDKYNVETAEILANEAQHLPIAEATPIYEQLLQLFPTAAKFWKQYVEANLAVNNDDATKQIFSRCLLNCLQIPLWRCYIRFIRKANDKKGIEGQEETKKAFEFMLNYVGADIASGPVWMEYISFLKSLPALNVQEETQRMTAVRKVYQRAIITPTHHIEQIWKDYENFENSVSRQLAKGLISEYQPKYTSARAVYRERKKYFDEIDWNMLAVPPTGVYKATFCFFAKFAYQ >OIW11493 pep chromosome:LupAngTanjil_v1.0:LG05:22941905:22943473:1 gene:TanjilG_26859 transcript:OIW11493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLALSPPVLSNMGIWPLEVEPISHNNNQNYFNSDYYSFPHQFSSPHSPLEVQTSTPSSDPTMVKKLSHNASERDRRKKINTLYSSLRSFLPVQDQMKKMSIPGTISQVLKYIPELQKQVEGLIKKKEELLLRISQQGDTLNKESQRKIALKSSSFIVSTTRLNDIEATIQISTYEEDNKISLSRILLCLENHGLVLLNASSSETFGGRIFYNLHFQVAKTYILESEIISEILLSMLEKNEGIF >OIW11461 pep chromosome:LupAngTanjil_v1.0:LG05:23358390:23359880:1 gene:TanjilG_26827 transcript:OIW11461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNYNSHTNSHTNSHNNGTKGFNQTQVVVVMVPFPAQGHLNQLLHFSRLILSHNIHVHFVGTPTHNKQAIFRAQGWNPKSVANIHIHDFEVPSFASPLPNPNNAKTKFPSHLLPSFEASSKLREPVAKLLQSLSSVAKRVVVIHDSLMACVVQDAIHIANCESYTFHSVSAFTMFLYFWDAMGKGKENENEKALRGKNSHDHYNIIPEVPSLEGCFSTQFIDFITSQYEFHKFSKGAIYNTTRAIESPYMELIESIVTTKSHWALGPFNPLSIEQKSYKGKKHFSMEWLDKKSPKSVIYVSFGTTVAFLDEQIKELAIGLEQSKQNFIWVIRDADKGDVFDVDEVRRVELPKGFEERIEVEGFGLILRNWAPQLEILSHPSIGGFMSHCGWNSCMESITMGVPIAAWPMHSDQPRNSVLVTELLKVGLVVKDWSQRDELVTASNVQNAVKRLMATKEGDEMRERVMNLKSVIFKSMDEGGVSSVEMNSFIAHITR >OIW12416 pep chromosome:LupAngTanjil_v1.0:LG05:719415:725321:-1 gene:TanjilG_04165 transcript:OIW12416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLPSTHSFTFSSSSLPFPNLLLQNRTRTRTRISFIRSSASETQTLPNSAIQRIAEKLRSLGITDDATATATPPPTTAAGKIFVPLPHQLPKYRVGHTIDSSWSTFDNPVPVPGTGISLLRQNELASVAKQQKKKEVEESRAPTLAELNLSKSEIRRLTTLGLGLGMKQKLKVGKAGITEGIVNGIHERWQRVEVVRIVCEDISKLNMKRTHDLLERKTGGLVVWRSGFKLILYRGADYKYPYFISDKVSRNDNTDDALQHSENGDDQNSDIRESHSSEFNSATSAEQSSNFNSAKPALIEGVGSPNKVRFQLPGEAELAEDADRLLVGLGPRFTDWWGYDPLPIDADLLPAVVPGYRKPFRLLPYGVSSKLTDDEMTTMRRLARPLPCHFALGRNRKHQGLAAAIIKLWERCEIAKIAIKRGVQNTNSELMAEELKYLTGGTLLARDREFIVFYRGKDFLPAAVSSAIEKRRNIGIYKDKLKAQNSSSVTVTPNLEHGTVECDSEGNGMAFQKDTAVPKQRKLAGVEAAIKKTSIRLSEALERKTNAEKLLAELNNTESLQEQEIDKEGITEEERYMLRRIGLKMKPFLLLGRRGVYDGTVENMHLHWKYRELVKVLCKPGSLEFVYEIARTLEAESGGILVAVERARRGYAIIVYRGKNYIRPASLRPQTLLSRRAAMKRSIEAQRRESLKLHVLKLDKNINQLKLQLDKDKEANSKQIEEVTKLDLAADKDKDGVCSNSINLDSPKEACVENQQPVQEEQLELIDDGGIQEQQLELIDDGGVQEQQLQLTGDGGIQEQQLQLIGDVSDSVVETEHCVSNNKEIEPSVTSSKSDPEPSAPMINRSSNKLPSRSVHLSNKERLLLRKQALMMKKRPVLAVGKSNTVTGVAKTINTHFKKHPFAIVNVKGRAKGTSVQEVVLKLEQATGAVLVSQEPSNVILYRGWGAGETSGTERNVNKVRKERGSRPIVSPELLEAIRVECGLK >OIW11189 pep chromosome:LupAngTanjil_v1.0:LG05:24996952:24997443:1 gene:TanjilG_28280 transcript:OIW11189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTTHGECDTVKATVTLSSEQQNSHRKTVKTIYKALRDSDTKKLSNLVGTELEWWYHGPHHCQYMMEMLTGKSTLKAFKFKPRRMKVIGDCVIVEGWEEKGEYWVHLWRFKEGIVDQIREYFNTLITLVVRDYEGGGGREARLWRSTSRARVQGSLPDLVLAV >OIW11199 pep chromosome:LupAngTanjil_v1.0:LG05:25836707:25838131:-1 gene:TanjilG_28290 transcript:OIW11199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAKAFKIYIFTGSIARRVFFRLIMLVSAVSIVSLLRAMSSFDLASLAPFNDCIAVSNSQFENVTSSPGSYLFQSRVLSTFWGSFDSMNCAKDANLTVNVVNELMGKQLLNYAAKSLCIGEGSSMAIMAMKQLGFSSVSGVHRHNLFSLMQKNIVYELDYKDASFDFVVSRDLDKVSVPALLVLEVERILKPGGIGALLVSSTGSNSNDLIRSATPVSSLLRSSSVVHVGHVDQLNLVVFKKRAENASAFYQYSIPEDCPSLILTNPLIELMEPLVSERPTTPEYEKSVPYLPKFVDVSAKKRLVYIDIGVGELLNANVSDWFIPSYPIDQKKFNAYFVHYNTSILLSYVKRPGITFVYHPGLAGKVNARLHDPDEEDMDPYEGEDEFDFLAWFQETVHYADFVVLKMNAGKVELKFLKDVFESGAICSVDELFLRCPENGGNDDDKNMTYKTCMDIYKGLRSNGVYVHQWWGD >OIW11428 pep chromosome:LupAngTanjil_v1.0:LG05:23662208:23662843:1 gene:TanjilG_26794 transcript:OIW11428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIWGLDPLAVSAVFCGAQYMGEQEISGIDCFMLKLCADQKDLVDRSDNTAEMIKHVTFGYFCQKNGLLVYLEDSYLTRIQSPGTHPIYWETTMSTKIEDYKVVDGVMIAHSGKSNVMITRFGDNIKAGHGITRLEESWVIDDVAFNVPGLSMDCFIPPNELQKDYPQEDLDWRSPLHK >OIW11642 pep chromosome:LupAngTanjil_v1.0:LG05:20844743:20848302:1 gene:TanjilG_24848 transcript:OIW11642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALECSFNMMMLLFCFGIIIVSSINEEGSSLLKFKSSIIDPQNNLHDWNSSDSTPCNWNGVHCKGSVVTSVKLYHLNLSGILSPSICHLPWLLELNLSKNFISGPIPEGFVDCNRLEILDLCTNRLHGPLLLTPIWKIKPLRKLYLCENYMYGEVSEELGNLVSLEELVIYSNNITGKIPKSISKLKHLRVIRAGLNGLSGSIPSEISECESLEILGLAQNKLEGSIPRELQKLQNLTNLILWQNSLSGEIPPEIGNIGSLELLALHQNSFSGDVPVDLGKLLRLKRLYIYTNQLNGTIPPELGNCTNAIEIDLSENRLIGIIPKELGQISNLSLLHLFENHLHGCIPKELGQLKLLKYLDLSMNNLTGTIPLEFQNLTYIEDLQLFDNHLGGMIPPYLGATRNLTILDISANNLVGTIPVHLCEYQRLQFLSLGSNRLFGNIPYSLKTCKSLVQLMLGGNQLTGSLPVEMYELHNLTALELYQNRFSGIINPGIGQLKNLERLLLSDNYFVGYLPSEIGNLSQLVSFNVSSNRLTGSIPGELGNCARLQRLDLSRNRFSGGLPNEIGNLVNLELLKISDNALYGEIPGTLGNLNRLTALELGGNQFSGSIPYRLGRLAALQIDLNLSHNNLSGTIPDSLGNLQMLESLYLNDNQLVGEIPDSIGNLPSLIVCNVSDNKLVGSVPDTPAFRKMDLTNFVGNSGLCRVGSDHCHRPVPSSHAAKPSWFRDGSSREKIVTVVCGVVGLVSLIFIVCICSAMRRRSPTFVSVEGQMKPQVLDNYYFPKEGFKYQDLLEATGNFSETAVLGSGACGTVYKAIMNDGEVIAVKKLKSHGEGANVDRSFLAEISTLGKIRHRNIVKLYGFCYHEDSNLLLYEYMENGSLGEQLHSKTSNCMLDWSDRYKIALGAAEGLCYLHYDCKPQIIHRDIKSSNILLDEVFQAHVGDFGLAKLIDFSYSKSMSAVAGSYGYIAPEYAYTMKVTEKCDIYSFGVVLLELVTGKSPVQPLEQGGDLVTWVRRAIQAAVPTSELLDKRLHLSAQKTVEEMSLILKIALFCTSTSPIKRPTMREVVAMLIDAREYVNNSPASPTSESPLDEGVSSKG >OIW11393 pep chromosome:LupAngTanjil_v1.0:LG05:23950943:23957923:-1 gene:TanjilG_10711 transcript:OIW11393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYLNNDDEFDSSSSYTVRSNRRFHQDDEPDFGLDRVCLIPYRWWIDAQCESDRVEGVLYTVSCNSDSEFEILLHLKKEEDREKIKRLELGFSERRYALVPEGTWLRALKRYNDFNNTMKDFRSLVHSEAEDCLLDLFPLQLRIFVSWETNSLVAKISQKENMDEFYKKACYIFDSEYNLVHIWDFSGQTTQLFMNDKVRLQDNSAFQPGKEVLLELQVHGLSDSMRDNGCSEKIADRSEMECSSHSGSVMMNGCTGSVIRNIKQTNSFQGNSYRAIRSLGLIGLHNLGNTCFMNSAIQCLAHTTKLVDFFLGDYRKEINYENPLGMNGELALAFGDLLRRLWVPGASPVSPRTFKSKLANFAPQFCGYSQHDSQELLAFLLDGLHEDLNRVKRKPYHEVKDADGRPDDELAEEYWRNHLARNDSIVVDLCQGQFRSTLVCPMCKKVSITFDPFMYLSLPLPSTMMRTMTLTVMSTDGITMPSIITVTVPERGTLKDLIGALSASCSLGDDETLLVAEIYKNRIFRFLEEPSESLVDIRDLDKLAAYRLQKNNEASPLVVFVHELAEKYYFSGKEGFDYRPFGIPVMARLSSISGGDDVRREFLKLISPFMMSNEDILDDCDKEEDASKKLTEDDELGETTSSAAVVSDEDSNSETDDFEFYLPLGIGNVKIKLNEPLPFTELPGMLQVFVLWSDKMLKKYDKYHLNLLPEVLTPQLLPKRTQESLSFYKCLEAFLKEEPLGPEDMWYCPTCKKPQQATKKLDLWRLPEILVVHLKRFSYSRFFKNKLETFVDFPINDLDLSSYIAHRNNQSLNRYMLYAISCHYGGLGGGHYTAFVRYGHDTWYEFDDSSVVPVSEETIKTSAAYVLFYKKV >OIW12051 pep chromosome:LupAngTanjil_v1.0:LG05:9067846:9068640:1 gene:TanjilG_24475 transcript:OIW12051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSAKSLPTPMSSSCKLTKTGSSPFDNPTLYRSIVGALQYATITRPDLAFSVNKVCQYMSNPLEHHWTAVKRILRYLQGSLTLGIQLRPASPHCSLSITAFCDADWASDPDDRKSVSGACLFVGPNIVTWWSKKQQTVSRSSTEAEYRSLALASQKLIWIESLLSELKFAHQCPLVLCDNLSIVAMAHNPILHHRTKHIELDLFFVRDRVQSNLLQIKHIPSEDQTADALTKPLSNSRFLALRKLLQVVDRFSTHPQLQGGILE >OIW11674 pep chromosome:LupAngTanjil_v1.0:LG05:20083762:20085645:-1 gene:TanjilG_18201 transcript:OIW11674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESRDLSRRKLVSGQFPQCGAIFMSNRSTLKECFEKRLFGLPVSQSGFVTRVKAGMILFLFEFEKRELYGVFEAISDGGMHIVPQAYVSSGRWFPAQVKITTIWTCDPLLENEFRDAIQDNYYTANKFNFGLSMDQVSSLLWFFSSKKLQIPKSLHHNKRKNIEQGYKYINGELKKRRFTKIDIAERSQGPCAEPEVERNLSSDFCKTSASIPSDSDAYDPDHPGFLHPDDSEAQSASGHGLRERLTMQEKKDNFYISAEDTEDYIPLFSPDHSDIEEEWFDFIECSEEEQVELGAFVGNKVPFIPVPQLPLRPISSNEGHNRTKLENLLASLHGSDSLSSFALPLSSASHLHSENGHKDLRSVLSKGMYAGNAKKRTSVFSRLNFSSTGSSSKTQNDESGKKLMNDISKLKQQGHAECEKMETVTQQKHEVEDCNVDKRTSVFMCLTSASYTVSQEVHCVKSMKKETNAGKKTKKKFNFSEWEYYDKVDRSQEMLAQASDYIMQSDGFEDVSVSGILEKLWQRHVNRREYCGEI >OIW12135 pep chromosome:LupAngTanjil_v1.0:LG05:6520008:6521955:-1 gene:TanjilG_02356 transcript:OIW12135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLSVYFGILLIWLASTLLLRVIFTKSPIKTHLPPSPCVLPVIGHLYLLSKLPHQAFHKISSRYGPLVYLLFGSKPCVLVSSPEMARQCLKTHETCFLNRPKRTNVDYITYGSADFVLAPYGPYWRFMKKLCMSELLGVRILQQHLPIRAEEIKLFMKSVMKKADLREEVNVGEEVAMLSNNIITRMALRRRCYDVEGEGHQLVELVKEMAELGGKFNLGDMLWFVKKLDLQGFGKRLESVRSKYDAIMERIMKEHEDARKKREEEEEMGRKGDQSVKDLLDILLDIYADESSEIKLTRENIKAFIMNIFGAGTETSAYTIEWALAELINHPNIMAKARLEIHSVVGKSRIVEESDIPNLPYVQSIIKETMRIHPTGPLIVRQSTEDCNIDGYCIPARTTLFVNVWAIGRDTNNWNDPLEFQPERFLNKEGVSPYLKGQNFELLSFGAGRRSCPGASLALHIIHTALAGMIQCFEWKVGKEGNETVDMEEGPGMALPRAHPLLCIPHARIHPFALV >OIW11936 pep chromosome:LupAngTanjil_v1.0:LG05:10124649:10133096:-1 gene:TanjilG_02143 transcript:OIW11936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEREHQIATLKSPLIENSGEDRFIVDDEEHNKSNGIGDRTEIIEEVKKQLWLAGPLISVSLLNYCLQVISVMFVGHLGELALSGASMATSFASVTGFSLLMGLASALDTLCGQSYGAKQHRMLGIQMQRAMFILMLVSIPLSFIWANTRSILLFLGQDPEISTEAGNYAQLMVPTIFAYGLLQCFNRFLQTQNIVFPMMLFAGLTTLLHILVCWFMVFKSGLGGRGAALANSISYWVNVLMLSLYVKFSPSCKETWTGFSKEALQNIPQFLLLAIPSAVMVCLEMWSFELMVLLSGLLPNPKLETSVLSVCLNTAATVWMIPFGLSGAVSTRISNELGAGNPKAARLAVFVVLAMTLIVAIIVGTVIILIRNVWGYAYSDEVEVVKYVAIMMPILATSNFLDSFQCVLSGVARGCGWQKIGAIVNLGSYYLVGIPAAIVLAFVLHIGGKGLWLGIVSALIVQAMSLLIITIRTNWDQEAKKATDRVYDTIIPERIA >OIW11964 pep chromosome:LupAngTanjil_v1.0:LG05:10496539:10508757:-1 gene:TanjilG_02171 transcript:OIW11964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYSSEEDSDISESEIEDYAQKPYEQIKAGKYKVKSLNGSLRCPFCAGKKKQDYKFKDLYQHASGVGKGSANRSAKQKANHLALAKYMEIDLASEAEETQVPDHLPQAINQPLPQDELYVWPWMGIIVNVQIKSDHSSYWLNEFAKFKPIDAHILLNEGDPVSQSVMHFHNDWNGFMNASEFEKLFETSHHGKKDWNSRKLQADSNIYGWVARKEDYECEGPMGEYLREKGKLRTVTAIVQEASESRNSVVANLAIQIDETNENLNKMQYKYNEKNMSLSRMLEDKDRLHSAFVEESRNMQRRARDEVRRILEEQENLSSELEEKKRKLDSWSRDLNKREVITDQERQKLEEDRKKKDLRNESLQLASKEQKIADENVLKLVEEQKREKEEALQKIIQLEKQLDAKQKLEMEIEELKGKLQVMKHLGDEDDAAVQNKMKEMNDELLEKQENLESMEHINNTLITKERQSNDELQKARKELIEGLNELLNGPRTNIGLKRMGELDNKVFLDACKRKFPLEDAGTKGAVLCSLWQENVKNSAWHPFKVVKVDDKLKEIINEEDEKLHDLKQEWGDEIYSAVVTALKELKEYNASGGDEGAVATEPAAAPASVIPGEPMDILTALQLVLRKSLAYGGLARGLHEAAKVIEKHAAQLCVLAEDCDQPDYVKLVKALCAEHNVSLLTVPSAKTLGEWAGLCKIDSEGKARKVTGCSVVVVKDFGEEHEAYNVVLQHVKSN >OIW11794 pep chromosome:LupAngTanjil_v1.0:LG05:16514629:16515012:1 gene:TanjilG_31196 transcript:OIW11794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPHCIFTRVVKKSIHCRTIEEFNDSDDEDEEDMVIPNVVWYSADVVILVSGYVVSPLVEEHRAREESMSYPLVIIMDSDTEMEEDPEEDPDELESSNS >OIW12001 pep chromosome:LupAngTanjil_v1.0:LG05:10017655:10023421:1 gene:TanjilG_16112 transcript:OIW12001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLDLLTKSLKLKTKQQELLIRVTILTLVYILAFITRLFSVLRYESMIHEFDPYFNYRTTLYLTRNGFYDFWNWFDSDSWYPLGRIIGGTLYPGLMVTAALIYRILHFLRFVVHIREVCVLTAPFFASNTTIVAYLFGKELWDSGAGLVAAALIAICPGYISRSVAGSYDNEAVAIFALLLTFYLFVKAVNTGSLAWSLASAFGYFYMVSAWGGYVFIINLVPLYVLVMLVTGRYSMRLYVAYNCMYVLGMLLAMQIRFVGFQHVQSGEHMAAMGVFFLLQVFFFLDWVKHLLSDTKLFQAFLRITVTGAVSVGAIALGVGTATGFISPWTGRFYSLLDPTYAKDHIPIIASVSEHQPTAWSSFMFDFHILMFLFPAGLYFCFKRLSDATIFIVLYGLTSMYFAGVMVRLILVATPAVCLISAIAVSATVKNLTRVVRAKTQAVQSGSNKGTSTAKASSKGLVDNSQPFQKNGAIVLLLGAFFLLSRYAFHCTWVTSEAYSSPSIVLAARGAHGNRVIFDDYREAYFWLRQNTPSDAKVMSWWDYGYQITAMGNRTVIVDNNTWNNTHIATVGRAMSSYEDEAYEIMRSLDVDYVLVVFGGVTGYSSDDINKFLWMVRIGGGVFPVIKEPDYLVNGEYRVDKGAAPKMLNCLMYKLSYYRFGELTTEYGKPPGYDRARGVEIGNKDIKLEYLEEAFTTQNWIVRIYKVKPPKNRW >OIW11977 pep chromosome:LupAngTanjil_v1.0:LG05:10674752:10675765:1 gene:TanjilG_02184 transcript:OIW11977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHRFLLHFRPILSQWSSIQTMSSLQNLEQAVKAEIEAKNYVKIPELVDSLESCQNSSNPFSFFSSFPQNLQVQIIDEMLQSFMPVRPRSKPKLAYSFLLSYTLQSSHPLPLSLAVLQRTIRSGCIPVPQTHVLLSSVWLDRRCQSHSVSNILCEMHSIGYDPDCGTCNYLLSSLCAVDQLAEAVKVLKGMGGAGCIPDFTSYGIVIGALCRVRKTIEAEDLVKTMVVKYGLTPGQGTLVKLFAALRANREIWKAAEMIEFLEKEGHSVGFESYELVIEGCLEKREYVLAGKVAVRMTERGFIPYIKVRQKIIEGLASIGEWEIACSVRQSFAALKS >OIW11703 pep chromosome:LupAngTanjil_v1.0:LG05:19203149:19206469:1 gene:TanjilG_12222 transcript:OIW11703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRSRWRQSWAPQPLTPLMEGPDPEMQEEGTKKESSWEVIREWFRTQKISPGGSFSQSFYGTIHAKTQDLRLLLGVLGCPLAPIPSAHDPTLSIHIKDTPFQTSTAKYIIQQYLAATGCLKQQKETKNMYATGMVKMICCETEISSGKNVKCLGTRSTENGCFVLWQMLPGMWSLELVVGGHKVVAGSNGKTVWRHTPWLGTHAAKGPQRPLRRIIQGLDPKTTASLFTNAQCLGENRIGAVDCFVLKVCADRAAVIERSEGPAEVIRHILYGYFCQKSGLLIYLEDSHLTRVQTQENDTVYWETTIGSSIGDYRDVDGIMIAHQGRSIATVFRFGELSMQHSRTRMEETWTIDDVMFNVPGLSMDHFIPPADIFDDN >OIW11583 pep chromosome:LupAngTanjil_v1.0:LG05:21790937:21794649:1 gene:TanjilG_26949 transcript:OIW11583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRNVFRSRKFSRSFKVTTPSDPSSAGERSSGEESIHCNAWSNMLPELLGEIIRRVEVTEEQWPHRQNVVACACVCKRWRDITRQIVRSHSHKGTITFPSCLKQPGPRDLPHQCLIKRNKKTSTFYLYLALTPSFTDKGKFLLAGRRYRCGTHTEYIISLDADDLSQGSNAYVGKLSSDFLGTNFMIYDSKPPHSGAKPSSGRTSRRFTSKQISPEVPAGNFEVGQVFYKFNLLKSRGPRRMVCSLKCPISSSSSSSSGESSDSISPDGHKMYNREHHYSILKNKAPRWHENLQCWCLNFHGRVTIASVKNFQLVATVDQSQPGGKGDEEMVLLQFGKIGDDTFTMDYRQPLSAFQAFAICLSSFGTKLACE >OIW11568 pep chromosome:LupAngTanjil_v1.0:LG05:21968982:21974544:-1 gene:TanjilG_26934 transcript:OIW11568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSSSSFYYSHYTLFLSFTLLILCGHLHARDPVSDGVHNASNDTFLRLPSNEVEPDSTCEPTYGFLPCTTTVFGNMFLILVYGFLMYTAATYLSAGSELLLEILGPGIVGGLFLPILGALPDALLILVSGLSGTNETAQSQVSVGMGLLAGSTVMLLTIIWGTCVIVGKCDLEGSIAIDSRDTRGFHLTSSGVSTDIWTSYSARIMVFSVLPFLIVQLPQLLNSTSGRHFAVLIALVVSICLLIVYCLYQQRALGRLLTENGEPNVKIIEKLFDTIDENKDGELSQGELKALVIGIQFEEVDLDHDDAVIKIMKDFDVSGDNRVDKKEFIGGTCRWIQKAKGSRVASGDDGAHTMKFLSDFHDETRREHDLLDVGGQNDEVEEGVGNARWTSIKAVLLLVLGSFIAAAFADPLVDAVNNFSDATSIPAFFISFIALPLATNSSEAVSAIIFASRDKRQTASLTFSELYGAVTMNNVLCLSVFLALVYARGLTWDFSSEVLVILIVCIVMGGIASFRTTFPLWTSIVAILLYPFSLALVYVLDYVFGWS >OIW11991 pep chromosome:LupAngTanjil_v1.0:LG05:10830175:10833805:-1 gene:TanjilG_02198 transcript:OIW11991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLQQLGGSISRALQQMSNATIIDEKVLNECLNEITRALLQSDVQFKLVRDMQTNIKKIVNLDDLAAGHNKRRIIQQAVFNELCKILDPGKPSFTPKKGKPSVVMFVGLQGSGKTTTCTKYAFHHQKKGWKPALVCADTFRAGAFDQLKQNATKAKIPFYGSYMESDPVKIAEEGVERFKKENCDLIIVDTSGRHKQEAALFEEMRQVSEATKPDLVIFVMDSSIGQAAFDQAQAFKQSVAVGAVIITKMDGHAKGGGALSAVAATKSPVIFIGTGEHMDEFEVFDVKPFVSRLLGMGDLSGFMDKIQEVVPMDQQPELLQKLSEGNFTLRIMYEQFQNILKMGPIGQVFSMLPGFSSELMPKGQLDSSNPKLMNESRMMRIARGSGRQIRDVMEMMEEYKRLAKIWSKMKGLKIPKKGDMSALSRNMNAQHMSKVLPPQMLKQIGGMGGLQTLMKQMGSTKDMMGMFGGGDK >OIW11864 pep chromosome:LupAngTanjil_v1.0:LG05:12216472:12219888:-1 gene:TanjilG_31614 transcript:OIW11864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFNRGQKVKGTVVLMTKNVLDINELTGAKSVGGIVSGGLNLVGGIVGGIVDAATSFLGQSIGFKLISATSSDGSGKGKVGKLAYLEKHLPSLPNLGANQEAFNVHFEWDSDFGIPGAFYIENFTQSEFFLVSLTLEDVPNQGTIHFLCNSWVYNKKKYDTDRIFFANKTYLPGKTPAPLVYYRQEELKTLRGDGKGERKEWERIYDYDVYNDLGEPDKNASLARPVLGGSSELPYPRRGRTGRKPSRKDPKSESRSDIVYLPRDESFGHLKSSDFLVYILKSASQNVIPQLQSAIRLQFNQPEFNSFEDVRGLYDGGIKLPTNILSDISPIPLFKELFRTDGEQALKFPEPNVIQVEKSAWTTDEEFAREMIAGVNPHIITRLQEFPPKSKLDPQVYGDNTSTITKEHLEPNLDGLTVEQAIAENKLFILNHHDTIIPYLRKINATDTRKAYATRTILFLQSNGTLKPLAIELSKPHPQGDNYGPISNVYLPADQGADGSLWLLAKAYVIVNDSSFHQLVSHWLNTHAVVEPFIIATNRHLSVVHPVHKLLLPHYRDTMNINALARNVLINAEGIIETTFLWGKYSLENSAVIYKEWVFPEQALPADLIKRGVAVEDPSSPHGIRLLIDDYPYAADGLEIWAAIKSWVEEYVSFYYESDAAIAQDAELQAFWKELVEVGHGDKKNAPWWPKLQTRKELIEIASTLIWTASALHAAVNFGQYPYGGYILNRPTLSRRFIPEKGSPEYDELAKNPQKVFLKTITPKNETLTDLTIIEVLSRHASDEVYLGQRDGGDIWTSDAQPIEAFRKFGKKLAEIEKKLIERNNDETLRNRYGPVKLPYTLLYPSSEEGLTFRGIPNSISI >OIW11775 pep chromosome:LupAngTanjil_v1.0:LG05:16985701:16992415:-1 gene:TanjilG_14315 transcript:OIW11775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLDTTTTTVTTTTTTATSPLPQPRLPHHYPFLQPPQQQEQPFPIHIPNALLPKQSHDPSNPFPFPFLSPARGGGGPLRAAYPQPPSLLYPHGVRAVASPHLDYVHPALHLTRPPGPPPHLQYPHFAYSPVAASVKGGAAVAQPKTTPRSGVPDSKNGYKDTSARESRDDTLTVVRGRRVKITEDASLYALCRSWLRNGINEDIQPQQKDVMNVLPKPLPASMEASNMPNKKEDQKDEDEEDEDEESVEHLSPQDLLKRHVKRAKRVRARSREERLQRIKRYRNRLTLLLPPPGEK >OIW12233 pep chromosome:LupAngTanjil_v1.0:LG05:2239709:2241091:-1 gene:TanjilG_06022 transcript:OIW12233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFFSSFASWAILSLVVVFGVIVLEAEARPRAFFVFGDSLVDSGNNNYLATTARADAPPYGIDYPTRRPTGRFSNGYNIPDLISQKLGSESPLPYLSPQLRGEKLLVGANFASAGIGILNDTGIQFVNIIRMYRQYEHFEEYQKRVAAQIGASQAKLLVTSSNPGNSLSACACGVRLCTSTLI >OIW11487 pep chromosome:LupAngTanjil_v1.0:LG05:23046168:23049948:1 gene:TanjilG_26853 transcript:OIW11487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINQKVVLETEANFSNNDAKSENVKGKKKLKKMRSIRLVKCSSMKSSIREKKSPNYMKGTSSSHAKESLQITQTLSTKKSLTRVYTLKLKKNVTRKSEMKKKLKSSRSMKFATFKSQKSTSKKSESESESTSSDIQLQSTYVGNKSQRVITRKLSLKPVRFLAKMPTFKSKNDSVLHKATCSSTLKDFHFPDHIDLPQEESGSQGVPAIRVCPYTYCSLHGRRHGDLPPLKRFVSMRRRQLRTQKSTKMDDYDRSVNRLKQIGNSRKATQQSRSVQNVKKLASDSGIRPRDTPKTAVTEGGTSGGEGEEMHKFRCDTEVFPNMTNIEQDLGNSLAVKGSISPSIKDTNMRSCCIATVKDTSDSEVTKSANDKNIAASKKNDESAIVESTSIDLLKSSASDIEILEEEATTKVYEVTQTSSAPKEPEPVCDTDVTYKTQERDQKYIKKWHLMYKHAILSITGKENHKLPFNGRDKEGRGRDSHTLNVGNRSSCQDCCETGQDVDDENENVIDQMQKAFDEILLQEPEDLFYDDDSKSRGIGSDEVFLEKSEGDGGKLYNSASRKSPKEETWPEVDNFGSRVEEITAQKIGAKAEQKTPKRWSNLKKLILLRRFVKALEKVRNFNLQRPRHLPSDANFEAEKVFLKHQTAEEKKNAEEWMLDYALRTVISRLEPAQRRKVALLAEAFETILPFQDAANGLQSLATKENRANPSQSLDDSSYDSKEETDKGKDCGYSSNILLGKALSSHNSVTGFADNASDDPMPELHDAMVFEERCVDNPEAKMVKDMPVSGAIDEDFIGKQSLTRNYDNEEKISSDNDNIHLEEIKDSRSWSLSELPEIVGNCNEEATTSEIVNEVADDSESTSNTEIPNSKPQSPGREFETKNLIDADTEQFSMTKSLILKGLPRLLGSNSVGSGAPSDQLDEPTLDRKERIEKARLETGTPEGLAAPAQSRAPKRADVAEPETDIEKHKLWYLVYKHMVSDSAEDDTKMLVDGAEEKESGNEGGRIRGTSVSYDSTPVMNEDLQSQGHGVANREVELQQLEAIKMVEDAIDSITSDVQDQLPDRQSLGDNTISDDCSKQSNRTERVHSEGLNQKQEKMELENEIAEEQEQAAPKEGNKPNQQLSKSWSNLRKVVLLRRFIKALEKVRKFNPRGPRYLPIEPDSEAEKVNLRHQDMLGRKGTEEWMLDYALQRVVSRLTPERKRKVGLLVEAFETIMPTFKN >OIW12357 pep chromosome:LupAngTanjil_v1.0:LG05:1404498:1410561:-1 gene:TanjilG_32473 transcript:OIW12357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQSKTPIKSTTTITITQSSKFIPKFHKLIKLHPSPNPKPLSFKLTSSNSNSPNPKNPFKTLISIPQWADNIKELGMQKNRTLYTHPQWLQHRSSLRHIRHFLSSLSSRVILSLIPPVLAFTAFAAAIAAYNSLVLTHCLPEFFPVLRASSLPYQLTAPALALLLVFRTEASYSRFVEGKKAWTKVIAGAHDFARQVMASVESHDDYPIKKALLKYIIAFPIVLKCHVLYGSDVRSDLQHMLEVDDLTVIMNSKHRPRCIIEFISQSIRLLKLEDSRRIVLESKISCFHEGIGICEQLMGIPIPLSYTRLTSRFLVLWHLTLPIILWDDCHWIVVPATFISAASLFCIEEVGVLIEEPFPMLALGELCKKAHKDIEEAIASESLIRAQLVAKRKSHSKAHSPNGRPNS >OIW11622 pep chromosome:LupAngTanjil_v1.0:LG05:21159934:21162413:-1 gene:TanjilG_31901 transcript:OIW11622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPGSAAGSGGGSSEGSSAKAMVAEQISQAVQSTSNLLHLMQHSSPAQVKLSMLPKNLLAKVPTIKNTEQILEQMPGVISSLDAHMDYGLQNIPNLKTVVQLLANMESSQLNSLTQTDPLHKELEPGNQPHGTD >OIW12459 pep chromosome:LupAngTanjil_v1.0:LG05:1257422:1259942:1 gene:TanjilG_04208 transcript:OIW12459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSPCCDKVGLKKGPWTPEEDQKLLAYIEEHGHGCWRALPSKAGLQRCGKSCRLRWTNYLRPDIKRGKFSLQEEQTIIQLHALLGNRWSAIATHLPKRTDNEIKNYWNTHLKKRLTKLGIDPITHKHKNDTLLSSDGQSKTVANLSHMAQWESARLEAEARLVRESKLRSHSLQNQLGSSTFASSSSASTSVLIINSHKQDPSSSASPRLDVFKAWNNGGWLKSNEVSNGVGDLESPTSTLSFCETAPPIMATTSGGGGGILGENTMPMIEFVACSGSSVKEEGDQEWKGYESSTNLTEYKDGIMENSMTTFTCGLHELTMSMEGATTWSGSHESLRTNDHAIAEQGFTNLLLNANSGDDRSLSEGGGDSNNRDDSSSGNGSGDDFCEDNKNYWNSILNLVTSSPSDSPMF >OIW11483 pep chromosome:LupAngTanjil_v1.0:LG05:23072002:23077786:1 gene:TanjilG_26849 transcript:OIW11483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKRKTSFPISIGNCDITVEANNFTCNSDSNATVISITRSGKIKVSVKSDVSENHKHDSEDFRSEDKDHKFVLVSPKDVDGASKSYLQEVLQMYMTELPAMNYAANTGKKSKFLERCVTNGKYRTLLLTSSFVENSRKVMAAITYQIIPADTEYAEIPLAAVSAIYQRKGFGHLLFLELWKRLESVGVRSILCWGDEESEGFWIKQGFIPIAQVDPKGKARKLPVKADIRKSLCFPGGSTLMVFHTRNELLANATNSMKCLSSHPNSLPSAIAENEKSGFSGGLPVDLNFSNQSSHRTENTDKSQPEVLLKDGSSRDYNKRNGFDRHNLKHCCSDIVPSSGENDDRQVTAAESSRNTNVKYCSQSTNSAKRVWEATLSSLKSKRVKGVSQLVNCQSDSSWGFISEAGRANPCVVEGHHVDPLIRKESEKFTRDNLHLEASINKELQSTKRSFQIMLMNIADDAKRTQLTRVIEDLGGTVSYDGSTTTHVVTGKVRKTLNFCTALCSGAWVVSSSWLKESFREGRFVDELPHILDDKDYLLKYKSDLKSAIFRAKASPHALFKGYNVCIAAHLQTPVKTLSAIVISAGGNVIRGLENVIEASTTIFVTCEEDTEEAMMAAKKGILTFSSEWFMNCVMRQELDLEAAQFAESL >OIW11419 pep chromosome:LupAngTanjil_v1.0:LG05:23718442:23724040:1 gene:TanjilG_26785 transcript:OIW11419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLFSTFPSLSSCKDRQQQIPDGSYQLSLQAGRKRPKLEVRRADTHASQVEMKGSSHSITLETDPGFFKNQDRLSSLAAETSKQAYFREVPIEFDSPDSLSDKWNKIVAEAVDPKILHTDGMESTPMNEMPGKKIVESGSKNQQCIAYIEAKGRQCVRLSIDDDVYCCVHSRYLGSLAKTEKPVSVDTPICDGTTVLGTRCKHHALPGFSFCKKHQPHAETGQTSNLTHNTLKRKHEENYYGSEGLFCKDLVLVNVGSPLQVVPVSVIGSDSFHGQSNLHHKPIHCGNGQNAMEALHCIGSPPYNDNDRCLEAPNWYSLYCEMHLPSWLKRAKNGKSRIISKEVFTELLRDCYSWEQKLHLHKACELFYGLFKSILAPRNQAPKEDLFQAALAEASKDTSVEEMFTKLVHSEKESLKLIWGFNDDTDASLSVEGLLLLPSTTNDNFDDENAIKCKICFAQFPDDQTLGNHWMENHKKEAQWLFRGYACAICLDSFTNKKLLETHVQERHRVQFVEQCLLLQCIPCGSHFGNIEELWLHVLSVHPVEFKRSKAPEKQILPTIEEEPPKQPEQGNSASLENNYENPGSTRKFVCRFCGLKFDLLPDLGRHHQAAHMGRNLVTNRPAKKKVHYYSHRLKSGRLGRPRFKNGLAAASYRISKANVNLEKSIETIKSLDKGEKTLHSQGAETENTVILEEYQCSAVAKVLFSEMHKTKPRPNNLDILSIARSACCKVSLKASLEEKYGILPEKLYLKAAKLCSEHDILVNWHQDGFICSKGCKVLKDQTFPLVSLSNGYPRPKSVSLPHPTTEELEVDEFHYIINSNQLKFGSSQKGVVLCGDLSFKKESIPIICVVDEEILNSQMQGVNEKDRNPFRPWESFTYITKPMLDPSLNLTSESLQLGCACSVSTCSPERCDHVYLFDTDYDDAKDIFGKPMRTRFPYDENGRIILEEGYLVYECNRMCRCNKTCPNRILQNGVRVKLEVFKTEKKGWAVRAGEAIIRGTFVCEYIGEVLDEQEAHIRRKRYDKENCCYLYDISAHVNDMSRLIKEQAKYVIDSTRYGNVSRFINNSCSPNLVSHQVLVESMDSELAHIGLYASRDIALGEELTFNYHYELVPGEGTPCLCGSLNCRGRLF >OIW12307 pep chromosome:LupAngTanjil_v1.0:LG05:3258259:3260334:-1 gene:TanjilG_06096 transcript:OIW12307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQSIYPYSHVGLDLYKERNMPHRTRPMPALLLFTGLNAVLCVTITPVYDYVCFHPYWERRRERRRREREAAVVNSSS >OIW12244 pep chromosome:LupAngTanjil_v1.0:LG05:2332596:2337634:1 gene:TanjilG_06033 transcript:OIW12244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTENCEKNVGTSNGLEVLDGQASEISSTGATMSNNAVSQHPEEIGETKKCLVQQEVSLELQGEGKAKFGSDEKRMMSNCSNDEKSVQKKAKVNHSDSGVACVDGEDDLLSNYTHAFPHEMDKRTIFRLKEMLRLVNLKRLQSVEEEKNRCKNEEDNNNLGAGEFSRKRLRSTTRRQVPKAPDYPWYAVNKRSCNFFRLVCKDISHGEEEYSIPVINECDFPPVVPASFTYIKSMQVSDNVKVPVRADGCRCKESCTEARSCSCVHLNGSAPYVPQDGGRLVAPRDVVFECGPKCRCGPDCGNRVSQCGIKYKLQRSDEVENDIDNSYFFEIDCKQTINEIEGRERRLCHVPLPTSSSVDNETTETEPEFCIDAGSYGNVARFINHSCEPNLFVQCVLSGHHDIRLARVVLFAAADIGPYQELTYDYGYQLDSVIGADGNIIQMSCHCGEPNCRKRLY >OIW12367 pep chromosome:LupAngTanjil_v1.0:LG05:117451:117786:1 gene:TanjilG_04116 transcript:OIW12367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTEMKMKMKKVCSSSVGGCSIIVVVLVVAALLLVEVSTIAEAVTCSPVELSPCLGSITSSSPPSSTCCQKVKEQRPCLCGYLKNPSLGQYVNSPGARRVASACGLPYPTC >OIW12389 pep chromosome:LupAngTanjil_v1.0:LG05:368908:378272:-1 gene:TanjilG_04138 transcript:OIW12389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLFLRLRRNQTLFRTLPRFRTIFSSSTTKVLETFNEQFEIGSRLITLETGKIARFANGAVVLGIDETKVLSTVCSAKGDAVRDFLPLTVDYQEKQFAQGLIPATYMRREGAPKERELLCGRIIDRPIRPLFPPGFYHEVQVLASVLSSDGKQDPDVLAANATSAALMLSDIPWGGPIGMIRIGRIHGQFIVNPTMDELSLSDLNLIYACTRDKTLMIDVQAREITEKDLESALRLAHPEAIKYIEPQIRLAAKAGKSKKEYKLSMLSDKTVEKVAKLAESSIEKVFTDPAYGKFERGEALENITQDVRKVFEEEGDEESLKVLPKAVDTVRKKVVRKRIIAEGFRLDGRHLNEVRPLYCESGILPMLHGSALFNRGDTQVLCTVTLGAPTDAQHLDSLVGPPTKRFMLHYSFPPFCINEVGKRGGLNRREVGHGTLAEKALLAVLPPEDDFPYTVRVNSEVMASDGSTSMATVCGGSMALMDAGIPVREHVAGVSVGLVTELDPSTGKITDYRILTDILGLEDHLGDIDFKIAGTRKGVTAIQLDMKPAGIPIDIVCECLEPARKARLHILDHMEQEVTVPRIKNDCTSPRLVTLKYSNDALRRLVGPMGVLKRKLEEETGARLSVGDGTLTIVAKNASVMERILEKIDFIVGREIEVGGTYKGVVTSVKEYGVFVELNGGQQGLLHISELSHEPVSQISDLVSVGQQLDVMCIGQDIRGNIKLSHKATLPSPGSFKTNVVAERSAASAKEKANIWAPVWNVPSTEEKSNSASELPIGSKHEASEAKSKSAASQIPVILIRSVAECDDEEKSNSVDLSSKGTTVNNGVQSNRKSKSRRSKNTADSPSSDSGPLPYKNVKVSKPSIQKADDKATVTAKDLKLGTEVTATVYQVCAHGLVLELGGGLRGMYRFKENNKSDFNIGDELRVVCSSFNSKGVPVLSLVDNE >OIW11733 pep chromosome:LupAngTanjil_v1.0:LG05:18501001:18503240:-1 gene:TanjilG_20217 transcript:OIW11733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAKGGEVRRLHIIYFLSHMGGRAEHPHLIRVLHLTRNGVYLRDVKRWLGELRGKDLPEAFAWSYKRRYKSGYVWQDLFDDDLLTPICDNEYVLKGSQIHPTTFESHSPDVKKTSTDILSEKKSPQVQVADKHQLHQQHPSLEEQSRIHESKTDTPTKVSSEISQDSSVSDEYDESSKVEEEKPLWTGKESFNEENQEKLENLSFPSLYHNLLTKNCNKDDHNKTDTAEYSFSSASSSSSSQSSYTKLRSNSTRVSSVFRNWISCSTVETNDAALVLMNSPLKGISKEPKNKPENKAQLCKGDSLGGSSRCFGTSWNHHHQQEYGDRKSCDGGETNMRSKKLGDFMNQTTKKSFRRPSCSNKEDQNMIFALKGQVTKVSDGWVITFKI >OIW12201 pep chromosome:LupAngTanjil_v1.0:LG05:5308724:5310853:-1 gene:TanjilG_28609 transcript:OIW12201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPMAKKATIEAETNNNPSPTLFELFTKMKNQSYQQECNTTNFPAKSPSHYSQFSSECWFDDASCILDMDYFVKTLSRIKAKGVRADLIGSIITHYASKWLPDLSSIDMAEKGLTQFEESPESVTASWMKKRFFVETLVGVLPPEKDSIPCNFLLRLLRTANMVGVEGTYRQELEKRISWQLDQASLKELVMPSFSYTCGTLLDVELVIRLVKRFVSLDSEGAKSGAALVKVAKLVDCYLAEAAMDANLSLSEFVTLAGALPSHARATDDGLYRAIDTYLKAHPSVSKEERKGLCRLIDSRKLTPEASLHAAQNERFPVRVVIQVLLSEQTKQNRFQDWSGSLFSGTRSSPNNGGGFENAPTRCLSKREINAQQVEIKKLKEDMHRLQRQCNVMQVQMEKIVEKKKGSLFKWKKFVMPSAFSKGLGMVEKIDENDEGEVGFGRNTPMDLKTKLVKCRTTNKWRKSMS >OIW11311 pep chromosome:LupAngTanjil_v1.0:LG05:24751363:24753449:1 gene:TanjilG_20460 transcript:OIW11311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFNFGVLITSSLFFLIAFQIHVVHASRPCKGRNLASWKKAYATFYEGSSTTYGGGCGYEDVVKQGYGLDTTALSTVMFNEGKTCGACFEIKCSISKWCKTRDTITVTATNFCPPNFEQDSNNGGWCNPPRHHFDLAIPAFLKIAEYKAGIVPIHYRRVTCEREGGIRFTISGNPYFYLVSVSNVGGSGEVVGVQVKGDQTPWTNMDRNWGQNWDTSANLQGQTLSFKVKLSDGSYSTSSNVFPENWQFGQTYLGRNFP >OIW11293 pep chromosome:LupAngTanjil_v1.0:LG05:24900105:24903333:1 gene:TanjilG_20442 transcript:OIW11293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANGDNPLSSYSLEKQFETFRTELEESGTLRERIRSVVSEIESTTRVMYATLLLVHQSRSVNELLEKAKSQIDVLKEKYKQLAQILGGCSGQYYRYHGDWKSETQSVVSLLTFMHWLETGNLLEHKEAEDKLGLNNSEFGLDVEDYLIGVCFMSNELPRYVVNQVTAGDYDCPRKVLKFLTDLHAAFRLLNLRNDFLRKKFDGMKYDLRKVEEVHYDVKIRGLTPNGESVGDKGVEG >OIW11592 pep chromosome:LupAngTanjil_v1.0:LG05:21683679:21686512:1 gene:TanjilG_15286 transcript:OIW11592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGFRVLHLVRPFLSFLPEVQTADRKVPFREKVIYTVISLFIFLVCSQLPLYGIHSTTGADPFYWMRVILASNRGTVMELGITPIVTSGLVMQLLAGSKIIEVDNNVREDRALLNGAQKLLGILIAVGEAVAYVLSGMYGSVGQLGVGNAILIIIQLCFAGIIVICLDELLQKGYGLGSGISLFIATNICETIIWKAFSPTTINSGRGAEFEGAVIALFHLLITRTDKVRALREAFYRQNLPNVTNLLATVLIFLIVIYFQGFRVVLPVRSKNARGQQGSYPIKLFYTSNMPIILQSALVSNLYFISQLLHRKYSGNFLVNLLGKWKDSEYGGGHSIPVGGIAYYITAPSSLADMAANPFHALFYLVFMLSACALFSKTWIEVSGSSARDVAKQLKEQQMVMPGHRESNLQKELNRYIPTAAAFGGICIGALTVLADFMGAIGSGTGILLAVTIIYQYFETFEKERASELGFFGF >OIW11543 pep chromosome:LupAngTanjil_v1.0:LG05:22251823:22252431:-1 gene:TanjilG_26909 transcript:OIW11543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMMLSRLQEPTPPLTPRSDSNPTTFVQADTSTFKQVVQILTGSSETTTPTPTPKPPQQQQQQQSSRNFNIPPKKQGFKLYERRNNTIKNNLMINTLMPNYANNNHAGFSPRKHEILSPSLLDFPSLTISPVTPLLNDDPLGKLSPSLGNSTLEEKEEEKAIAEKGFYLHPSPRTITPRDSKPQLLPLFPVTSPRLSESSSS >OIW12360 pep chromosome:LupAngTanjil_v1.0:LG05:73013:73966:1 gene:TanjilG_04109 transcript:OIW12360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKFNVVQKRRRTQIAEKKRKAHGDPSTGKLKVKSQPLSISGKRKRKLLKQWRRDQKDALQQGLITMEDVQMALSPSQQGETKDTRTSSAKIHLKKKKKLKTSFKIKAKGKNKRKSDVPAADISTDAMVE >OIW11889 pep chromosome:LupAngTanjil_v1.0:LG05:11847250:11848508:1 gene:TanjilG_25802 transcript:OIW11889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEEFDEVKKAQILEARARSISHNVRCTECGTQSIEESQADIAILLRKLIRDELRSGKSDKEIYSNLEDKFGETILYKPKFDMQTAALWLSPFLVGGAAVGVWAYNRHRQKTNVHIMALNLVRGVPLTPREKETMLDILTPPPSQRSPSSWLKRWLGQ >OIW11920 pep chromosome:LupAngTanjil_v1.0:LG05:11092789:11093148:-1 gene:TanjilG_18193 transcript:OIW11920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGLQRSAVSFRRQGSSGFVWDDKFLTEEFNKVNQQNEEQQHEQGEIKAHATTSLGSVNTTMERSRSTGGGSRGYRTGKVSPAIDPPSPKLSACGFCSAFGKHGDKGSQRSRPGKRRTR >OIW11758 pep chromosome:LupAngTanjil_v1.0:LG05:17734892:17735053:-1 gene:TanjilG_10960 transcript:OIW11758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEMFFTLAFSFVPLILYFPPIRSFNLFVETIEQTLKSTSVYTNRVNHDLRGA >OIW11815 pep chromosome:LupAngTanjil_v1.0:LG05:14965208:14965915:1 gene:TanjilG_07296 transcript:OIW11815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGGNKRKRQDMALAVSDSLSNEERIVYNIIRSKENMGIWSGDIKRETTIPENIFKKALKSLEAKQFIKQVVNIQNKARKLFMATEFQPSKEITGGDWYSDGKLDIEFIDTLKQLSLGYLSRQKVATVDMVLKFFKESGAFTVDVSNQNLEEILKTLVLDDKVSEVKSTGFDDFAGVPLGRVCYRIKSKVGGVREEKVGAMASIPCGVCPRINFCTPDGVVSPKNCVYYDKWLDF >OIW11527 pep chromosome:LupAngTanjil_v1.0:LG05:22445961:22447958:-1 gene:TanjilG_26893 transcript:OIW11527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSFLGRSEVSDLCLGKPPLRPLSESATVAEALAALKSSDESFISVWNKEGGGCVGKVCMVDVVCYLCKEESVLSPSSALKEPVSNVLSKVPGLVMHLEPYSSLLEAINLILQGAQNLVVPIIPTKKSGISRRKQHLQKSSTINSHNGCEFCWLTQEDVIRFLLGSIGLFTPLPALSIDTLGIINSDVLAIDYYSPASSALRAISNSLTQQTSVAIVDSDGTFIGEISPFNLACCDETVAAAIATLSAGDLMAYIDCGGPPEDLIRVVKARLKEKNLENMLQEFTISSPFDSDTLVSSSSSSDEESSIRTPARPGRHKRSYSYSTRMVRKAEAVVCHPKSSLVAVMIQAIAHRVNYLWVIEDDCSLIGIVTFSSILKVFREYLETI >OIW11327 pep chromosome:LupAngTanjil_v1.0:LG05:24637777:24639258:-1 gene:TanjilG_20476 transcript:OIW11327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQTHYFQILLILYLSFPIILTSSSSSSSTPQLLHRGFSLSPHSKTTSFQPFLTDPTANFSLGFLRQNHNHLVLAIIHVASSQPFWLANPTHIASWSDTTHVSFNGSLVLSDPTTNLFWSTATNGGDTLLLLNTSNLVIVNQNSGTHLWQSFDIPTNTLVQNQNFTTTMSLFSPNGLYSLGLGNNFMGLYVNHVVKSGKPLKRLMYWKRTALEAKAEIEEGKGPIYARVNIAGYLGMYQTSSTPVDVQKFNTFQQTSSFLMLRLEPDGNLRGYYWTGFSWVVNYEAIIETCDLPSPCGSYGLCDGSGCECLDNRTRFEPGGCFGSNNDNDDDDDLCSSRESYFVLRRSGVEPPNKELLGYLTTSSLEECEGLCEKNCSCWGALYNNGTGFCYVLDYPVQTMVRTGDGSKVGYFKMRKSEEGKNPVQVKIVVVIVVIVLVAVSVIIGGIGIWMMRLKRRKGVNGILKDENGASSGPYKNLESTSFRSIEMCNGQ >OIW11998 pep chromosome:LupAngTanjil_v1.0:LG05:10058231:10059124:-1 gene:TanjilG_16109 transcript:OIW11998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFIGVISILVLLKVAIIPYTFHLVLSTIPQLWVSAKSWLSLPFIYIIVNLIIIIIAASSNFHQKSTPFSDPPKGTYISSNISEISTSQVEPESHSNEPKEEAKEIEHEEQEKEEVKDFGLPFNKFIIESSLQKKQINESKEEVEGKDIGQEEQEVKDFGLSYSKFITHSSLLEKHTNDYFLLDSDDSMEATWRAIMEGQGNNMKPKLKKSDTWGARITKAEPFTENGDVDDHVTWAEKELKKSETFNDRVSLRRDKSISQEELNRRAEEFIKKFNNQMKLQRLESHQRFLDMVNHGI >OIW12285 pep chromosome:LupAngTanjil_v1.0:LG05:2870315:2871301:1 gene:TanjilG_06074 transcript:OIW12285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENDMEGVYWCNICSKMVNPMNESENKCPICETEFSDVMESLRGQNHESIADLRSTWVFSLYAPIFLGLMGAFIPSLASITTSQGSSSSGGSRGEEEVEQERENNELVLQRRRRASTSMMQLFRGLHVRMMSESDNPENNRNMGNNNSMLVIDPFNDSALILRGPNLNHTATSSPNETVVGSLSDFLVGSGLDLLLEHLAQNSPSGYASVNPPALKAAIEAMPNVINEEKLQCTVCLEDLEIGKEAKEMPCKHKFHGDCIISWLKLHSSCPVCRFRMPSEDSSAEANTEMGNEGNQNNEMVRAREERVRNRRTWFPRLESFNNFLPSP >OIW11697 pep chromosome:LupAngTanjil_v1.0:LG05:19355606:19356040:-1 gene:TanjilG_12216 transcript:OIW11697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSMFCLEPCTHSISFTWRERDFFEENPLETEIISCSFQPNRCGTIVLTHFPLAIGSHGAGIYVFVVLVTKRKPHEVTRTVHLVAHHKNVLAKRREKSSESWSLWKSKLICYWSNLMANLEMVVEMLSQLWRFSTGDRELTVQL >OIW11647 pep chromosome:LupAngTanjil_v1.0:LG05:20908058:20908393:-1 gene:TanjilG_24853 transcript:OIW11647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDSGDSGVDSRRSIGVCKMGGKGVIWATAEDLAKNRGKVLSLYRQILRSLNSPELQLSFAARLTRKAEVRGMFWVGSDERSLHNIADLIDAAEYSLSFLKKGQLPPRYIT >OIW12030 pep chromosome:LupAngTanjil_v1.0:LG05:9511871:9515807:1 gene:TanjilG_27327 transcript:OIW12030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFLTYTTLQEQSQGRKTILQGNHTIYSGEIPTLLRSSNDTSRINMSYLMVNQGSDVAQVHVVPAYTCLIEEVNHVKVNIVKKSVPVAHDAYSGIHCNEGINEGGHCTKSFIGPSPDNTKKQSSNIEVKVKNRSDSIDLFFDASEISNGSNGTHHVKVPPSETVAGNLDNQNDDDVISTATKRQTSIRDTSEGAIGGDSPSYLDDNVDSNSEAAAFVAALRKAIEEAQVRMKLAKESMRRKKEGFPNRVKRKSNIDLKAEKKEEDKISCKIVNLEEITRQAFGEADAFPKVSSGVGKPTMRIEKVRLHLGAKEMLVAEAMQGTLKKLKSTLAKHKEEFEQKADDNAKVLDLKEEDWKQENNEEKVPAANEAGACEELLVNTRRTSQEVVDETKLVQKTLHKGSIDKGLRVNGEGEVKSKKKMGKRLKNGKENLRAIQEFREIEKNIDQVQKGNEEKVEVSSEPEECELLQFLEPMDKRACSPHGLYSKSLEREIENLGCSNDRKGGCEAGFLDVNGEAEHSCQREGAKNMFSNTYVHAILEEIVDHIHDEEDIYLRIMKDSELDGNERVQDSEVSKNEIEGATLLMEENEGGREDNKEPVEENEKNPTEKAAMEAKLKTERAVVERATAEARARALQRALSEKAASESRNKSNKSIAEKLFGASRDNGMKQNFHSKSFRYGVCDSTDVFDGADGDSTQRCKARSERHQRIGRVAKALAEKNMRDRLVQKEQKERNRIAGALDADVKRWSSGKTGNLRALLSTLQYILGADSGWQPIPLTDIVMSSSVKKAYRKATLFVHPGKLQQWGASIQQKYICEKVFDLLKVRSCPSSLRDMRFSS >OIW11506 pep chromosome:LupAngTanjil_v1.0:LG05:22820796:22823049:1 gene:TanjilG_26872 transcript:OIW11506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSLLFKALSDQNSNHGDDQNMKMKPLCEDTKVHEVVRSSGRGRKTQGKGPKKQPQRGLGVEQLERLRMQESLMKMNETCSGFPVIPNFPDHHHHPVFPSFTSVQDPFNGSTSSNVPVRYGAPNHVQGVFQCSPQQVLNGNSIGVWDQGGTFIGNNRSGGVVGASSGICGVGFVVPNQLNRASSYGFGAPNLSPNLVGSPLETSKELSSMPNLHFAEPQCFDVCLNKKSRFNEVSVKGSYARKDMTFEIWPNCSGPEFLGLIPQAEAPNLVGETSNFYNKHDRNDVASATNIDESVEIVAVHRKGNSRVFMEYEFFPGKYDLGTTSKVLELATNIGAAEASPITAAPYGDSASNIDLSLKLSN >OIW12419 pep chromosome:LupAngTanjil_v1.0:LG05:750579:755830:-1 gene:TanjilG_04168 transcript:OIW12419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSIGSTRRGGGGSWSQSILPSTNPKSKVPRKQRRRTVLKDFIFSNFFTIGIVLSLSLFILIIFKFGVPKPITSHFRTRSNRYRKPVNTKPVFFSDGKGGNYTLLAASVDITTKGLYDKIEFLDVDGGAWKQGWSVTYRGNEWDSEKLKIFVVPHSHNDPGWKLTVEEYYDRQSRHILDTIVETLQKDPRRKFIWEEMSYLERWWRDAPDEMKESFINLVKNGQLEIVGGGWVMNDEANSHYFAIIEQIAEGNMWLNDTIGFIPKNSWAIDPFGYSPTMAYLLRRMGFDNMLIQRAHYELKKELAWHKNLEYIWRQSWDAEETTDIFVHMMPFYSYDIPHTCGPEPAICCQFDFARMEGSAYESCPWGEQPVETTQENVQERALKLLDQYKKKSTLYRTNTLLVPLGDDFRYVNVEEADAQFINYQMLFDYINSNPSLNAEAKFGTLEDYFQTLRQEAERINYTAPGEIGSGLVEGFPSLSGDFFTYADRQQDYWSGYYVSRPFFKAVDRVLEQTLRATDMMVALLLGFCRRSQCEKLSMGFSYKLTAARRNLALFQHHDGVTGTAKDHVVMDYGTRMHTSLQDLQIFMSKAIEPLLGIRFEKLDQSPSQFEPTLVRSRYDAQPTHKVNSIREGTYETAVFFNPLEQTREEVVMVVVDNPDITVVDSNWSCVQSQISPELQHHNSSIFTGKHRLYWEVSVPAMGLEPYYLVNGFIGCDKARPAKMKVFSKSSPIKCPTPYTCIQIEADVAEIENQHQRLTFDVRYGLLRKISLKNSSPNIVNEEIGMYSSSGSGAYLFKPNGDAQPIIEEGGQLLISEGPLMQEVYSYPKTGWEEAPISHSTRLYNGESTVQGFVVEKEYHVELLGDDFDDRELIVRYKTDINSKKIFYSDLNGFQMSRRQTYDKIPLQGNYYPMPSLAFIQGSNGQRFSVHSRQSLGVASLKEGWLEIMLDRRLVRDDGRGLGQGVMDNRVMNVVFHITVEANISTIPNLASSSLPYSPSLFSHRAGSHLNYPLHAFIAKKAHELSVKPPPRSFSPLAAPLPCDLHIVNFKVPKPSKFLQQPPEGSRFALILHRQHWDYSYCRKGRSQCTNLADNPVNLFTTFKNITVTKAKATSLNLLHEDPEVMGFTDQFADVAQEGHVAISPMEIQAYKLEFRSQR >OIW11549 pep chromosome:LupAngTanjil_v1.0:LG05:22202668:22204021:1 gene:TanjilG_26915 transcript:OIW11549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKNITHGFYLVKGRSYHPMEDYVVAQFKKVENNELGLFAIFDGHAGHNIPNYLRSHLFDNILNEPDFWKEPTDAIKRAYDVTDSTILEKSGELGRGGSTAVTAILINGQKLVVANIGDSRAVLCKNGVAKQISVDHEPITESEDIKNRGGFVSRFPGDVPRVDGRLAVSRAFGDKSLKKHLSSEPYVTVEMIHDDAEFIILASDGLWKVMSNQEAVDTIRDLKDARSSAKHLTEEALDRKSTDDISCIVVKFQ >OIW11500 pep chromosome:LupAngTanjil_v1.0:LG05:22859047:22861586:-1 gene:TanjilG_26866 transcript:OIW11500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSSTISLKPFLHSLLIDHHLQSTIGTVKWESLVFNKSSNFTTNSVLFAKGFKLNCLLGREMDIGTSSLVDGAAELLNEEVLVEEEVREPDISTMVLNFENKFDPYGAVSTPLYQTATFKQPSAIENGPYDYTRSGNPTRDALERRVNTSDLNEVASAIGPQTKLVWLESPTNPRLQISDIRKIAELAHAHGALVLVFLVIRLLKVIYVRNNYQ >OIW11224 pep chromosome:LupAngTanjil_v1.0:LG05:25979337:25984258:-1 gene:TanjilG_28315 transcript:OIW11224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMQRIMEFPDRIMDKRPRKKPRLTWDMHPPPQPQPPIPPPKVLPTTYHNQEVVNGVAPNHAYPSLFFRGLTRNGSPPWRPDDKDGHYVFAVGDSLTPRYRIIGKMGEGTFGQVLECFDNEKKEAVAIKVVRSINKYREAAMIEIDVLMRLARHDINGARCVQIRNWFDYRNHICIVFEKLGPSLYDFLRKNSYRSFPIDLVREFGRQLLESVAFMHDLCLIHTDLKPENILLVSSEFIKVPDYKFLSRAMKDGSYFKNLPKSSAIKLIDFGSTTFQHQDHSYVVSTRHYRAPEVILGIGWNYPCDLWSVGCILVELCSGEALFQTHENLEHLAMMERVLGPLPPHMIVRADRRADKYFRRGTRLGWPDSSTSRESMRAVWKLHRLPNLIMQHVDHSAGDLIDLLQRLLRYDPAERIKAKEALRHPFFSRDPKRHGYPL >OIW12124 pep chromosome:LupAngTanjil_v1.0:LG05:5899771:5902002:1 gene:TanjilG_02345 transcript:OIW12124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYTTTTLFLQSQLQSPFSSFSHSSNPFPYTSTLHHSPLSIFNPTRSLSLPATANYTNNPSDLSLTATTSDQKLLTLLRQRKTEEAWILYTHSTHLPNTTCLSRLVSQLSYQNSKSSLTRAQSIVTRLRHERQLHRLDANSLGLLAVSAVKSGHVLYAVSLVKSMLRSGYLPHVKAWSAVVSCLASPTDDYDGAKEALKLFKSVTRCIRMFPDPDMAAESRPDTAAFNAVLNACANLGDSKMFLKVFDEMPQWGVVPDALSYNILIKLCCRIDRKNLLVFVLERVLQLGIPFCITTLHSLVAAYVDFGDLGTAEKIVQSMREQRRDVCKILRETESNLLQDFGTSHDDDEEEECVLRKLLPNLMNPSGNDPPLLPKAYAPDTRIYTSLMKGYMKAGRVADTVRMLEAMRHEDDSASHPDHVSYTTVVSALVKTGSMDRARQVLSEMLRIGVAANRITYNILLKGYCKQLQMVKARELLKEMIDDAGIQPDVVSYNTLIDGCILVDDSAGALALFNEMRARGIAPTKISYTTLMKAFAFSGQPKLANKVFDEMVNDRQVKVDVIAWNMLVEGYCRLGLVEEAKKVILKMKENGFHPDVGTYGSLANGITLARKPGEALLLWNEVKERCEVRKEGNKSDSPVPPLKPDEGLLDTLADICVRAAFFRKALEIVACMEENGISPNKTKFTRIYVEMHSRMFTSKHASMARQQRRVERKRAAEAFKFWLGLPNSYYGSEWRLEPMDGYE >OIW11406 pep chromosome:LupAngTanjil_v1.0:LG05:23861999:23863600:1 gene:TanjilG_10724 transcript:OIW11406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKGKQVSMNVKIADSVPSSGWKMFPHFKVDLINKVDTKNSIAKDGQKEYSEGTRSSTTQTELEHQSHEDFGSVIAPSEDMQSPKQTYYEPIAPTFYPPIYDDGPKVEPLIHLSEVLDINSLGPEEVVFFPLLEEVCLRHPSLIESLTTKSPKYILWSFTALGQVLHFLKTMKVKNMNKEACKHLECLWEEAQLFGFNLTWLEPYIESALNVEAYLEKGEKVKNLKEHVVDLEIELRMLRTKLAVAEVILDIARRDLEEVEKDFEERDINAEMGYGK >OIW12333 pep chromosome:LupAngTanjil_v1.0:LG05:1813292:1815393:1 gene:TanjilG_32449 transcript:OIW12333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQLLYTKPLDCGMHLIPIPCSSFSLFHFLLLVHQRAMFNLRMVCLDIDECYVVLYNHFPVHHKFQYAAIFRRGEVHSVPSSLQGAASLSSTASLDNSLSDMYRSPPRPLPYDAEPRYFRSRREKGSSHLNEESEPLRSDVDMDLESLSPRDKWNECAGEDGSKEYCSKSSLKLSSAKSTTGVGLVYASSEEEDVCPTCLEEYTKENPKIMTKCSHHFHLGCIYEWMERSDSCPVCGKVMVFDETLTLD >OIW12283 pep chromosome:LupAngTanjil_v1.0:LG05:2845995:2848326:-1 gene:TanjilG_06072 transcript:OIW12283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMGLSVEGLGVNWGTQATHQLKPDMVVQMMKENGIQKVKLFDADSATMSALSGSGIEVMVAIPNIQLSDMVDYDRAMQWVRKNVTSYSFNGGVNIKYVAVGNEPFLKSYNNSFLNVTLPALQNIQNALNEAGLSSVKATVPLNADVYESPESNPVPSAGIFRPDLSDLMTQIVQFLHKNNAPFTVNIYPFLSLYGNDNFPFDYAFFDGPVNPINDNGILYTNVFDANFDTLVSSLKSVGFGDMPILVGEVGWPTDGDKNANLGNALRFYNGLLPRLASNKGTPLRPGYIEVYLFGLIDEDAKSIAPGNFERHWGIFRYDGKPKFQLDLSGNKGQNNVLMGAQNVYYLDPKWCMFNPEAQNLSALADNINYACTYADCTALGYGSSCNNLDANGNASYAFNMYYQVQNQNDLACNFEGLAMITTQNISTPTCNFIIQINPSYSSSLRPSLVGLFFVTISIIVFL >OIW11762 pep chromosome:LupAngTanjil_v1.0:LG05:17858539:17858739:1 gene:TanjilG_10964 transcript:OIW11762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEMFFTLAFSFVPLILYFPPIRSFNLFVETIEETLKSTSVYTNRVNHDLRGAWSRVLNCVSRSRR >OIW12375 pep chromosome:LupAngTanjil_v1.0:LG05:204719:215830:-1 gene:TanjilG_04124 transcript:OIW12375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSHGEEQNDAVIPYSHREEDEEEEEEEDEQLHQHQHQPHNSHPLTGDGASPGKIFIGGLARETTIAQFIKHFCKYGEITDSVIMKDRKTGQPRGFGFITYADPSVVDKVIEDTHVINGKQVEIKRTIPRGAGGSKDFRTKKIFVGGIPSTVTEDELRDFFSRYGEVKDHQIMRDHSTNRSRGFGFITFDAEEAVDDLLSLGNKIEFSGAQVEIKKAEPKKPNPPPQSSKRYNESRSSYGGGGGGYGGDAYEGFGGGFGVGGYRSGAGAYGGRGAAAYGGYGSEFGGYGGYAGAMGPYRDPSLGYASRYGGGFSRGYGGEFGGYGGPSDSYGAYGGAASGGGGGSSGAGAYQSGYDAAGLGGGYGGGASGGSFYGSRGGYSAGRYHPYGR >OIW11745 pep chromosome:LupAngTanjil_v1.0:LG05:17467954:17469728:-1 gene:TanjilG_10947 transcript:OIW11745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNWEIEKANISVRKFTYEFVACGFFGPIQDSIGSLKQLAFLALNSNRFNRSIPHSIGNLSNVNWLDRADNQLEGRIPISDGQRQLGLDPLLKAQHLSWEFLGLEKYGRIPTNFAWRKASFGEKTFIANIDTGVENVVGDMFQSVPKGDAIFMKRLEDL >OIW11617 pep chromosome:LupAngTanjil_v1.0:LG05:21102000:21107400:-1 gene:TanjilG_31896 transcript:OIW11617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGERKVLNKYYPPDFDPAKLPRARRPKNQQIKVRMMLPMSIRCNTCGNYIYKGTKFNSRKEDVIGETYLGIQIFRFYFKCTKCSAELTMKTDPQNSDYIVESGASRNYEPWRAEDEETDKMKQKREAEELGDAMKSLENRTLDSKREMDILAALDEMKSMKSRHATVTVDEMLEALQRTAADKEKRLEEEDEKLIKSVVFHNSNAFVKRVRDEDIENEEQTGQLSNANGETSNNNLKRQKDLPGNANDAPAKASLDDSGKQENSRGGGGKLNPLVRISVIKKPATSGLKSPAEPEQKKDEEDDKTNNSSGLLSLCQNYGSDED >OIW12410 pep chromosome:LupAngTanjil_v1.0:LG05:646806:665964:1 gene:TanjilG_04159 transcript:OIW12410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAGGSADALGLTNEDSFFLVIRNTVELEDTPLDAVFSEDDSEVLGDDSDSDGFLSEDSSCAHVIGSDGENEIDIENSNSGSSLSVQNKDIHAEFLKKAKRLKKLKEKNPGFSKFLDATVEHTNDEEISSDDDEGRLDGVQQMNEDPAHPRLVKLLTSASVDSLCQLVREQQSVPALTCLINAYRAACHNDSEGAHKSETFCKILMFLLHESDTIFRKLLGISSTSFKKEIVLEQKNSKKWLSLRPLIKSYFRSTVFLLNQITDSEILAFLICRLRASIIFLAAFPSLLRRLLKISVHLWATGDGSLSSQSFLIIRDIASVFSSSCFDSCFVKTYKAFTSHSQFVERRLFEHIQFLRNSFVELCSLDVQKSSNQAMICILHLAKILQKGWQTKKKELVKKICSWQYINCIDLWVAFISANIQDYDLQPLLYMIVQIINGVALLFPGPRYLPLRLKCIQWLNHLSGSSGIFIPVTSLVLDVLEYKITKDGGKPGKVLEPLSTLKLPKHWLKSRDFQEECVSSAIELLSEHFAQWSYHISFPELATAPLIHLKKLYEITSIESYKRAIKRFIDQVETNVDFVRKKRDDVPFSPKDHQSVETFLQAEKCSSSTSFSQYYKSIKSKAASRKTISNGKYYIHCIMWFYGTFSTIEVGSICMQLLKPNPMVVATKLLARRTYKDTGKQFNVIAASWIQFMIHDWIDHLEETSQIELSAPREVASECPLKSFKFYKTKETPTGFYDIKTGSTNIRTPWWDGSAIYGSNGEVLNKVRTFKDGKLKISKEGHLLHNENGIAISGDIRNSWAGVSTLQALFVQEHNAICDTLKKEYPEMEDEDLYRHGRLVTAAVIAKVHTIDWTVELLKTDTLLAGMRANWYGLLGKKFKDTFGHVGGSILGGFVGMKKPENHSVPYSLTEEFVSVYRMHSLLPDELKLRDISATPGPNKSPPIIKEIPMKNLIGLKGEKTLTEIGVARQIVSMGHQACGALELWNYPMWLRDIIPHNVDGTERLDLIDLPSLEIYRDRERSVAKYNQFRRALLLIPISKWEDLTDDKEAIEVLEEVYGDDVEELDLLVGQMAEKKIKGFAISETAFVIFLLMASRRLEADRFFTSNFNEESYTKKGLKWVNTTESLKDVIDRHYPEMTQKWMNSSSAFSVWDSSPNTHNPIPIYLRVPH >OIW11927 pep chromosome:LupAngTanjil_v1.0:LG05:10899507:10901167:-1 gene:TanjilG_21169 transcript:OIW11927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCQHLSLLSSTPTTHLLPLFSISIPPHRSCQPLSAVLRPVTCRAATPPPHQTDPPPQNHSGHLQELAASLSQIQDRVQIFFAVLFWMSLFFWYSAWDGRDKPNKGSRFRR >OIW12255 pep chromosome:LupAngTanjil_v1.0:LG05:2440662:2442093:1 gene:TanjilG_06044 transcript:OIW12255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADHLTDEQIAEFKEAFSLFDKDGDGSITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMARKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQINYDEFVKVMMAK >OIW11554 pep chromosome:LupAngTanjil_v1.0:LG05:22152338:22155331:-1 gene:TanjilG_26920 transcript:OIW11554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSRGGGGAAAGRIKNLASSFSSDYQPLITDIRKTMSFMKDIAVELEKDGLSDKVKELEDAVIELASLSGLSVHFSSAVQAFANRYQPGEELTDFHKVFEDEVSQFKDNPTTDPKKHPFVRQFKEAVWKVHHEGQPMPGEEQEDIVMTSTQSSILNMTCPLTGKPVTELEDPVRSMECKHIYEKKVVMTYLRSKQRSQCPVSGCPKILVADKVVNDPLLLIEIDELRKMTKETNIVEDFTMLDEDD >OIW11331 pep chromosome:LupAngTanjil_v1.0:LG05:24606538:24608262:1 gene:TanjilG_20480 transcript:OIW11331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSEQQNNQLVVQNSGSLSFSSHLSKEDEEMSKSALATFKAKEEEIERKKMEVRERVQIQLGRVEEETKRLATIREELEALVDPMRKEVAVVRKRIDSINKELKPLGHICQKKEKEYKDALEAFNDKNREKVQLITRLMELVSESERLRMKKLEELSKNIDSMQ >OIW12120 pep chromosome:LupAngTanjil_v1.0:LG05:7078203:7081382:1 gene:TanjilG_31227 transcript:OIW12120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVNPINDFLACEHKAIYICSLHISLGLPSDVTIQVGEVLFFLHKFPLLSRSGLLKKLIAESSNEDGSSCVLDLHDIPGGDKTFDFITRFCYGVKIEVTASNVVSLRCAAEYLQMNENYGEGNLVSKTEAFLNEVFSNWPDSIKAIQTCEEVQPYAESLHIVSRCIDALAVKVYSGPNLFNKPKVECDSPQNQALDPALWNGISSETNLLPQGDDWWYEDACLLSLSLYKRLILAIETKGMKPESVSGSLMYYIRRFVPLMNRQSSFNDKNNVNQQGTNTTSNSAISEADQKSFLEEIVGLLPNKKGVTPSKYLFRLLRAAMILHANQSCIDNLEKRIGSQLDRVELVELLIPNMGYSVETLYDVDCIHRIIDHFISMYQPATAATSPSITEEASLIVGADALTPMTMVANLVDAYLAEVALDVNLKLPKFQALASAIPDYARPLDDALYHAIDVYLKAHLWLIDSEREQFCRLMNVQKLSLEASTHAALNERLPIRVIVQVLFFEQLRLRTSISSWLFVDNLENSQNPSGNLGLLRSNGNNGQQQEQGSDNLRDRVKEIEKECSEIRKELQKLSKTKKSWNIFSRMIFRKSSSKFCRESNGCDINTSSSAMNGKPNHSK >OIW11638 pep chromosome:LupAngTanjil_v1.0:LG05:20799615:20806259:1 gene:TanjilG_24844 transcript:OIW11638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLILVGNVQGTMGSLASPVSLGNLMSVSSSRMSHSSVRRVSFSIGNCKGKKNWHCVSLSVCRYSVITTDFVADQGNSVSLDSNSSRESSSKGGDDADYVLKPPPKPVLKSSGSRGNAILGVNSRNSEVGGDAEERNKVIESLGDVLEKAEKLGSNNSKLDDSKRNNGPVNKPVVSNVNANSRVDKTVNSTKNQKAKTVKSVWRKGDTVSTVQKVVKEVPKPSSNKNERGTSQIGGGQIASQSRAPQLPPKPQPMLQTRPSIAPPDPPPAKKPVVLKDTGAADKPVKAKERKGPILIDKFASKKPVVDPVVAQAVLAPTKPGKAPPPGRFKDDYRKRGAPAGGGARRRKLDNAIPDDDTSELNVSIPGAARKGRKWSKASRKAARLQAARDAAPVKAEILEVSDKGMLVEELAYNLAISEGEILGSLYSRGIKPDGVQTLDKDMVKMICKEYDVEVIDADPVKVEALAKKREILDEGDLDKLKDRPPVITIMGHVDHGKVAASEAGGITQGIGAYKVQVPFDGKQLPCVFLDTPGHEAFGAMRARGARVTDIAIIVVAADDGIRPQTNEAIAHAKAAGIDKNGANPDRVMQELSSIGLMPEDWGGDVPMVQVSALKGENVDDLLETIMLVAELQELKGNPDRSAKGTVIEAGLDKSKGPLATFIVQNGSLKRGDIVVCGEAFGKVRALFDDGGKRVDLATPSTPVQIIGLNNVPHAGDEFEVVESLDAARERAETRAESLRNERISAKAGDGKVTLSSLASAVSSGKLSGLDLHQLNIILKVDLQGSIEAVRQALEVLPQDNVTLKFLLEATGDVNTSDVDLATASKAIIFGFYVKAPGSVKSYAENKLVEIRLYRVIYELIDDVRNAMEGLLDLVEEQITIGSADVRATFSSGSGRVAGCMITDGKITKGCGIRVIRKGKVIHVGLLDSLRRVKEIVKEVNIGLECGIGLEDYDDWEEGDRIEAFNKVQKKRTLEEASASMAAAVEGVGA >OIW11573 pep chromosome:LupAngTanjil_v1.0:LG05:21887079:21890057:1 gene:TanjilG_26939 transcript:OIW11573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRIFSRLIPKSRSITFLRPLSATSPSFRCLLLPPSPTLRSFSTRATSSSLNDPNPNWSNRPPKETILLDGCDFEHWLVVLEKPEGDPTRDEIIDSYIKTLAQVVGSEEEARMKIYSVSTRHYYAFGALVSEELSYKIKELPKVRWVLPDSYLNVKEKDYGGEPFINGQAVPYDPKYHEEWVRNNARANERNRRNDRPRNADRSRNFDRRENVVSRDLQNRGGPPPSNAGRFPPNNAGPYVPPPNGYAPPNNAGGFPPNNMGGPPPSSGGYPPNTPGGYAPQNASRYAPPHNAPGSYPPPPPPPPNSGYGPTGGMPQNNYTGNIGGVPPNQNIGGVPPNAGWSNTAPNRDY >OIW11712 pep chromosome:LupAngTanjil_v1.0:LG05:18939256:18942736:1 gene:TanjilG_12231 transcript:OIW11712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRKKHHGDSSTSELNNSNMVPSKGANSPSDARSCVSSIGDASGSVKEGDVDHEYLSTDQNVPYTAGGYYGYYYPGYGAVYGDSDSQGYYVGADAMDLQYPVMQADNGSYVYLMPGYQTGYSSYFPLSPTGVDGQYLYPPGSIFQQPLGSPGYFPASLPYGEFMPSAYTWDSSLTMQDGSQGNGYNELTEKPSGRSNLSSQNHANSFVSKSAPPPNLSNQSKVKGSSTLLDVSSTHSNRIQPKHVNKAPTLHSDTIAKGCLPINKFSACNQAKSGFLYPNNLLNVKSNTKGWISTDKLKLRSKVGGPLNEQNQGPRTSNAKGASISRGNSAGTLAIDGSGIGSSRIRIDQYNLPDFPTKYDHALFFVIKSYSEDDIHKSIKYNVWASTPNGNKRLDSAFQDAQKRTEDKGNKCPVFLFFSVNASGQFCGIAEMIGPVDFNKSMDFWQQDKWNGYLPVKWHIIKDVPNPQLRHIILENNDHKPVTNSRDTQEVSFPHGVEMLNIFKNYVAKTSILDDFEFYESRQKVMLEKKHIVELRTALESIDLSTTKNKEDPKLVEKVKD >OIW11430 pep chromosome:LupAngTanjil_v1.0:LG05:23650676:23655009:1 gene:TanjilG_26796 transcript:OIW11430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSVLRVHLPSDIPIIGCELTPYVLLRRSDNNYTTDDVPETAPLEGHFLSYKWYRVQSDKKVAICSIHPSELATLQCIGCVKAKIPVAKSYHCTPKCFSDAWQHHRVLHDRAASAVNENGNEEEELFGRFNNSGSGSIASVSATMSSASLTNGSAPMYPAAVTHRNGGETWFEVGRSKTYTPTADDIGHVLKFECVVVDAETKLPVGYANTLLTSRVIPAPSPSPRRMVPVDGMGHLDADGRITSSGTFTVLSYNILSDACASKNDLYSYCPSWALSWPYRKQNLLREIVGYCADIICLQEIQSDHYEEFFSPELDKHGYYGLYKRKTNEVYNGKPNSIDGCATFFRRDRFSHVKKYEVEFNKAAQSLTDAIIPPNLQKTALNRLVKDNVALILVLEAKVNNQPVDSPGKRQLLCVANTHINVPQDLKDVKLWQVHTLLKGLEKIAASADIPMLVCGDFNSVPESAPHALLAMGKVDPSHPDLAVDPLNILHPLNRLAHQLPLVSAYSSFARTGGLGFEQHKRRLDSKTNEPLFTHFTRDFIGSLDYIFYTADSLVVESLLELLDEESLRKDTALPSPEWSSDHIALLAEFRCCKNRYRR >OIW12181 pep chromosome:LupAngTanjil_v1.0:LG05:4474052:4475394:1 gene:TanjilG_28589 transcript:OIW12181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTIDVPESSNVVKGKEVLVAPARVGGWKKGIAIMDFILRLGAIAAALGAAASMGTSDQTLPFFTQFFQFEASYDSFSAFQFFVITMAIVGGYLVLSLPLSVVAIIRPHAAGPRLSLIILDTVFLTLATASSASAAAIVYLAHNGNQDTNWIAICNQFGDFCAQTSGAVVSSLVAVVIFVLLIVMSALALGRH >OIW12188 pep chromosome:LupAngTanjil_v1.0:LG05:4722940:4723893:-1 gene:TanjilG_28596 transcript:OIW12188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSNHEGGGSHREPPPTSPPSSSAINYSDKNTDSTSPPPQPPSATSYPTHAPTGAGYPPPYGSYYPPAGYPAGYPAGQNPHAYPQGYAAYTNGYPTGYQNHPPAAAYYAPPPTYTTAGTVNAGSRFLRSFILCGCIILTFIFLGSILMALMLRPEVPVYKLVAMSVTNFTTNPSLFGEWDTKMTIQNPNEKLKAYFSNFKVDIIYKDGVLSVNYAPGFALNTKEARDMDITGSSTKANESTLDKTTKDEMEKERANGSITITLRVASLNAFESGSFSTRTSQIVAICDGLKLVFPNNSTTGTLDNGGKPMLCNLYI >OIW11649 pep chromosome:LupAngTanjil_v1.0:LG05:20924558:20928123:-1 gene:TanjilG_24855 transcript:OIW11649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANMSINLVLYHGSLLPHELVPSQLRLSRTRVLATNRALQVCTERWSCSASSFCCYCFHFKGCSCRWKRLVRSCFTTESVIASDENYGNKQVVSLTPRLYDYILKNVREPKILRQLREETASMRGSQMQVSPEQAQLLAMLVQTLGAERCIEVGVYTGYSSLAIALVLPESGYLAACERDAESLEVAKKYYHLAGVSHKVDVKQGLAAESLEFLIMNGEAGSYDFAFIDADKRMTPKYFELLLQLVRVGGLIVIDNVLWHGKVADPLVNDSKTISIRNFNQQLMEDKRVSISMVPIGDGITICRKNRLIF >OIW11486 pep chromosome:LupAngTanjil_v1.0:LG05:23053005:23055666:-1 gene:TanjilG_26852 transcript:OIW11486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSQSQSPLHVVDFTDENMKPGTGAWFSACNVVRTALEDHGCFIAHYNRVGKELCDSVVCAMEHLFALPIETKVQKTSEKLFRGYLGQITWLPLYESLGVDNPLTMDGCQKFAHIMWPQGNNRFCESINDYAKLLGELDHMAKRMVFESYGVDMKRCDSFIESSNYVLRCMQYRTVKMNENELGMHCHTDLSTISIVHQLNNLNGLEIKIMEGEWCGVDASPNLFVVMAGDALQVWSNRRIRSCEHRVIMNAKKIRYSMGLFSFIDNMVHIPEELVNEQHPLRYKPIFNHDEYISFYDKEKIKDHNSRIEAYCGI >OIW12287 pep chromosome:LupAngTanjil_v1.0:LG05:2893461:2894606:-1 gene:TanjilG_06076 transcript:OIW12287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGF >OIW11728 pep chromosome:LupAngTanjil_v1.0:LG05:18399592:18401178:-1 gene:TanjilG_20212 transcript:OIW11728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEILSQMWSLLGLLTVMQNVLPSQLVSLLHSFYENLQDLLSPYSYFEIPEFNGYCGVDLNDLYRHVNLYLNAVNHSPAAACRRVTLSRSPSSNRISFAVAPNHTVHDNFNGHRLAWTHQVDTVQDSLDEKRSFTLRLPKRHRHSLLSPYLAHVTSRAEEFERVSRERRLFTNNNGSGSFESGWVSVPFRHPSTFDTLALEPELKKQIKEDLTAFSNGKDFYHRVGRAWKRGYLLHGPPGSGKSSLIAAIANFLCYDVYDLELTKVSDNSELRSLLIQTTNRSIIVIEDIDCSLDLTADRMLKKTNANAITTTNPKWKSGKSKTTSLRCSGEESGRVTLSGLLNFTDGLWSCCGEERLVVFTTNHRDSVDPALVRCGRMDVHVSLGTCGVHAFKELVKNYLGVESHVMFENVEGCIRNGGSLTPAQVGEILLRNRDDADVAMREVVSAMQSRMLVVGGGGRGGGEGWDQTDNEETAVGVGLRSPESVLMMGSPDNWDGRKRKQHGLNNCEKKVKFFVRLRSLTKSDSGR >OIW11887 pep chromosome:LupAngTanjil_v1.0:LG05:11833599:11833793:1 gene:TanjilG_25800 transcript:OIW11887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAETPLHGGEPQWLSREPPWFLEDTPTIARRPRSGYFGQLCLTTVVPSSRRDVISLSDMVMSR >OIW12193 pep chromosome:LupAngTanjil_v1.0:LG05:5013074:5023409:-1 gene:TanjilG_28601 transcript:OIW12193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHWLFRPNFYFLHFSLSIHTPAPKLFPSNHRISTSIMSYRPNYQGGGRRGGASSSGRGGGRRGGGGGGRGGGRGEQRWWDPVWRAERLRQQQAEKEVLDENEWWDKIEKMAQGGEKEMIIKRYFSIADQQTLADMAYKHELYFHAYNKGKTLVVSKVPLPDYRADLDERHGSTQKEITMSTAIERRVGNLLNNSQSVGSAPTSLPSASPDLGHKQSISTIKPVSSPQVDSSKEKHSVILKESQELMQAKDSLKEMKSFREKLPAFKMKSEFLKAVQKNQVLVVSGETGCGKTTQLPQFILEEEISCLRGADCNIICTQPRRISAISVAARVSAERGENLGGTVGYQIRLETKRSDETRLLFCTTGVLLRKLVQDPELTGVSHLLVDEIHERGMNEDFLIIILRDLLPRRPDLRLILMSATLNADLFSKYFGNAPTIHIPGFTFPVAEHFLEDVLEKTRYIIKSEFDNFEGNSRRRRKQQDSKKDTLTEMFEDIDVDTYYRKYSSGARKSLEAWSGSQIDLGLVEATIEYICRNEGGGAILVFLTGWDEISKLHDKLKVNNFLQDPGKFLILPLHGSMPTVNQREIFDRPPPNKRKIVLATNIAESSITIDDVVYVIDCGKAKETSYDALNKLACLLPSWISKASAHQRRGRAGRVQPGVCYRLYPKLIHDAMPQYQLAEILRTPLQELCLHIKSLQLGTVGSFLEKALQPPDPLSVQNAIELLKTIGAFDDNEELTPLGRHLRNIPLDPNIGKMLLMGSIFQCVNPALTIAASLAYRNPFVLPINRKEEADEAKQSFAGDSCSDHIALLKAYEGWKDAKRSGNEKQFCWDNFLSPVTLRLIEDMRLQFLNLLSDIGFVDKSKGANAYNQYSHDLEMVCAILCAGLYPNVVQCKRRGKRTAFYTKEVGKVDIHPASVNAGVHLFPLPYMIYSEKVKTTSIYIRDSTNISDYALLLFGGNLIPNKSGEGIEMLDGYLHFSASKSVIELIRKLRGELDRLLNRKIEEPGFDISAEGKGVVRAAVELLHSQTMR >OIW11788 pep chromosome:LupAngTanjil_v1.0:LG05:16222572:16222838:1 gene:TanjilG_31190 transcript:OIW11788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVESITSEDNRSEIAELKAHMSGIFNMLKTLQSEKGKINQTVNMGPQSNPAQLPKGNSGQGAGTSQQKEEATKWPFYGMPPNYVPHSE >OIW12338 pep chromosome:LupAngTanjil_v1.0:LG05:1764800:1769913:1 gene:TanjilG_32454 transcript:OIW12338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTVVPTSDEDPALSVFRFVSNLSWADAGPEVAEPQVTRLCLEAEEFIALGKWLELANLIVPSAEVIFSKVPEKDIESIFTIICNLVTKTENPDEATEIVKVIIPEKPIQPQIEKPAVRLKIWMNLYNLLESPHSRFYVYNKALELAVVGKVTEYIIPSFKKIDSFLKDWKIGIPDQRKLFLTISNILKDNKSTAKDSFKFLTNYLATFNGEDAHVLEKAKEGAVRAIVEFVKALDIYQCDLLDLPAVGQLEKDAEYSSLYQLLKIFLTQRLDAYLNYHSANSTLLKSYGLVHEESVAKMRLLSLVDLSSDGSAQISYELIKDTLQINDDEVELWVVKAITAKLIVCKIDQMNQVVIVSHHTDRVFSQHQWQTLRTKLVTWRGNIANVISTIQANKITEDGSQAAQGLVVR >OIW12144 pep chromosome:LupAngTanjil_v1.0:LG05:3465003:3465533:1 gene:TanjilG_28552 transcript:OIW12144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHYNFQDIGLESLEEMRGPSTLYDMKDTVFCPKPLRVMVFPDMPITITSSKRKFYQQSDDSDSKSWEEIVDIILEEGYCINHEPSSPPYFPGSPPVRATNPLIQDDQFGYGKNSSRPIFSISSSPSLTSPLSTSLGLSSPSSSSWRKGGCVRTKFGIKSTPVRVVGFNCNVHAVV >OIW12222 pep chromosome:LupAngTanjil_v1.0:LG05:2099008:2099706:-1 gene:TanjilG_06011 transcript:OIW12222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRVGTRISYSHSSSSNQNHKGNFALVLAESSKKTKKSTKTRCEIVKRENGRCEICAEIARKTQQKSSEVQKVDEEKRQEVVEENVVVIIDDINEEQKKDTLEEKMEGNVVEEKVENNKVEEEKIVIMDDGVDVETLLDDADKNAPLEGGERNGDGVVVEKVVEEKNEDLFSFDIIGESNLLSGWDEWKYEVETNWDCSYPSWWDSDEKSEFNFEGVESSNSNDWVESLWQL >OIW11970 pep chromosome:LupAngTanjil_v1.0:LG05:10560256:10565737:1 gene:TanjilG_02177 transcript:OIW11970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTFGVAANNTNPNKSYELIQPPSDSISSLCFSSKANFLVATSWDNQVRCWEIMKNGTVLNSAPKASISHDQPVLCSAWKDDGTTVFSGGCDKQVKMWPLTSGGQPTTVAMHDAPIKDLAWIPEMNLLATGSWDKTLKYWDTRQPNPVHTQQLPDRCYSLTVKHPLMVVGTADRNLIVFNLQNPQAEYKRIVSPLKYQTRCVAAFPDQQGFLIGSIEGRVGVHHLDDSQQGKNFTFKCHRENNEIYSVNSLNFHPVHHTFATAGSDGAFNFWDKDSKQRLKAMQRCSQPIPCSTFNNDGSIYAYAVCYDWSKGAENHNPATAKNYIYLHLPQESDVKGKPRAGAMGRK >OIW12081 pep chromosome:LupAngTanjil_v1.0:LG05:8664138:8665760:-1 gene:TanjilG_15321 transcript:OIW12081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYNKGMYRGNGDNHRAKLYALMLMLAFGGALLGTMMLHQIREKKSGYNLVVKDKENEILSLQLLLQKGRNHNKEIERKNAELKTKIYALSGQKVELDRKVLKMKSTIKSLKDELKVIESELEENQNEIKMLQGQGNNFGKVGVEKVTTLKENHMQKEAKINELKQNLEMSIDDVTIFPENLAANRTMAEQDTNEEMDRDSSESTIYGDVTNDATGLIEFKDGNIIDDDQIQD >OIW12111 pep chromosome:LupAngTanjil_v1.0:LG05:7278517:7278936:-1 gene:TanjilG_31218 transcript:OIW12111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAFNRSNSHVASQVDSTFCLGNTNDSIGDPLNWGVAAESLKGSHLDEVKRMVAEYRKPVVRLGGETLTISQVAAIAAHDQGVKVELSESARAGVKASSDWVINSMKNGTDSYGVTTGFGATSHRRTKQGGALQKELIR >OIW11858 pep chromosome:LupAngTanjil_v1.0:LG05:12435280:12443593:1 gene:TanjilG_31608 transcript:OIW11858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGGDGVAADASPAGPPHPLEWKFSQVFGERSAGEEVQEVDIISAIEFDKSGDHLATGDRGGRVVLFERTDVKDHGGSRSDLERMDYSANRHPDFRYKTEFQSHEPEFDYLKSLEIEEKINKIKWCQTANGAVFLLSTNDKTIKFWKVQEKKVKKISDMNVDPSKAMGNGSVGSSSSSSNSRPHIANGVSPDRSYSYLSNDFSFPPGGIPSLRLPSVTSHETSLMARCRRVYAHAHDYHINSISNNSDGETFISADDLRINLWNLEICNQSFNIVDVKPANMEDLTEVITSAEFHPTHCNTLAYSSSKGSFRLVDLRQSALCDSHAKIFTDKYVLYRFEEQEPAGSRSFFTEIIASISDIKFGKEGRYILSRDYMTLKLWDINMDSGPVSTFQVHEYLRPKLCDLYENDSIFDKFECCLSGDGLRVATGSYSNLFRVFGCSPGSTEATTLEASKNPMRRQVPTPSRPSRSLGNSITRVVRRGAENTGVDANGNSFDFTTKLLHLAWHPSENSIACAAANSLYMYYA >OIW11546 pep chromosome:LupAngTanjil_v1.0:LG05:22229301:22232336:1 gene:TanjilG_26912 transcript:OIW11546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNASSSYDGTVTPLILRETHDEDEEEKENTRPHVGEWWNKVLDLEEAMHQLKFSLPMILTNLFYYLITLVSVMLAGHFGQLQLAGSTLANSWFSVTGLAVMVGLSGALETLCGQGFGAKEYQMLGIYLQASCIISLIFSIIISILWFYTEHILVLLHQSPDIARTAALYMKYFVPGIFAYGFLQNILRFLQTQSIVTPLVFLSAIPLLVHIVIAYALVHWTSLSFTGAPVAASISLWISVLLLAFYVMYAKKFNKTWEGFSLHSLHYVIEYLKLALPSAAMVCLEYWAFEIMVLLAGLLPNPQVTTSLIAICTNTELIAYMITYGLSAAASTRVSNELGAGYPDRAKNAMKVTLKLSLFLGICFVLTLTFGHNIWIQLFTSSSKIKDEFASLAPLLAISILLDSVQGVLSGVVRGCGWQHLAVYVNLVTFYLIGLPISCLLGFKTNLQAKGLWIGLICGLACQTGILLIFTWGAKWTKLNLHVDKEKHHQSVV >OIW12065 pep chromosome:LupAngTanjil_v1.0:LG05:8787206:8788141:-1 gene:TanjilG_24489 transcript:OIW12065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIHQHQKNIERDVKKQIEGEEKLRQENQQDQSLKHSSPSPSESTSPTHEFSFTITLNSSSTTFLDDKSIAPPSSLAVDLSPADDIFFHGHLLPLHFSSHLPSSPRFSTNSMDSFTLPITELLQDESVTNDNSVRCSTSNRGNNIIDTNNKNNNIESNNIGTKGEGDKKFKHAFSLFGLTKGQKGCQDRDKGDKENHKTKLKFNVIHALKKYIRIVLFKGVREKVRFHGQSQSYSYSGNVNPRNKKILRGWRGQFSAPASMRTSPTNSGFLLATTDLPPASDSTMEELQAAIQAAIAHCKSSIANEEKRKC >OIW11558 pep chromosome:LupAngTanjil_v1.0:LG05:22129167:22131185:1 gene:TanjilG_26924 transcript:OIW11558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLSSKSILSPSRSREPPQISLQSSLSRRLRNNGSMKGGQASPMFPTIGGKRRGCGFDNPEPSSPKVTCIGQVRVKTKKQGKKMRSRSKRRGGEALSFRKNGGSEPDLVRQNSQSFQYQLQQQQQECLKHRNQRWVHLPLTICEALREFTCFFPCRSSCVSKEEKNSEGERSNNVEKNGHGNLRDGSCGAAFARWLVALQEGDGKGRDIELVMKEEDYGNRRSERSYSQRKHVLEDIDVEVVVEEKNKKFEEFEEEEEEKGRVSICVPPKNALLLMRCRSDPVKMAALANRFWESPVHEDHDDVEKDEEVDEDNEDEVAKEEEGGEKDHFEMEEDESTRVCFVNNKENNVIQLVEHEVRKSCEIERDDVVHHEPTQYEEVELEGEEKDEGELGSVIHQGENDSGVSISTLEEVHHADLEKSETEEEVEQPSLKNLKEGEENDASSKLYPTPSTFIASELQNGEAQAESEAATTMAESEVTTTMAEPEVTTTMAEPKEPATMAEPETEASVEDLTEEQEQKVTVFAEISSEREDPTHDDSGQENGSEKDEREGLPECLLQMMCEPKVSMEVSKETWVCSTDFVRWLPPEKLAGKPDGGVVQRRVSMGSKLNKKLSPQRFLQPPRYSCSFPVVPAEGILAGMIEQKVGPKANNGYKPFVLTRCKSEPVRLSARA >OIW12318 pep chromosome:LupAngTanjil_v1.0:LG05:1961674:1964053:1 gene:TanjilG_32434 transcript:OIW12318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSTFAFKTTSSFSMKPNNTRSLLNTSSITTLSPFTSSNTLLKKSLKITTISPKSHSHLLVPKKSFTCKSQDVRSESAKVQELSIYEINERDRGSPAYLRLSQKTIYSGCLQKRVGITAVQGSYLTYEDTYLAVTGGSGIFEGVYGQVKLHQLIFPFKLFYKFYLKGIKDLPQELIANKHVEPSPSVEPHPDAKACETHAIISGFTD >OIW12278 pep chromosome:LupAngTanjil_v1.0:LG05:2807808:2810781:1 gene:TanjilG_06067 transcript:OIW12278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSSPSSNHQNPELRKDIISNRWIIFSPARSKRPSDFKSKSPIPSNPNPNHNQPCPFCIGHEHECAPEIFRVPPDGPDWNLRVIQNLYPALSRDLRFGSNHTNRSGSVLDGFGFHDVVIETPVHSVQLSDLSPREIGQVFLAHSKRIKQVASNDDIKYVQVFKNHGASAGASMSHSHSQILAVPIIPPNVSTRLESMKSYFDQNGKCCLCESQSENLLINSSTYFFSMVPFAASFPFEMWIVPQYHSAHFHELDDEKAVDLGGLLKLMLQKMSLQLNNPPFNFMIHTSPLHANESELAYTHWFIQIVPQLSGIAGFEMGTGCYINPVFPEDAAKVLREVNVAE >OIW11795 pep chromosome:LupAngTanjil_v1.0:LG05:16522321:16522563:-1 gene:TanjilG_31197 transcript:OIW11795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVEASNEVTRGVVVEASNEGTCVFVVGKNGSCGEYDGGGCAYVLNIVVVEYGAFVLEMVVVYNIAIVQESGMVLYELVL >OIW11243 pep chromosome:LupAngTanjil_v1.0:LG05:26129484:26131156:-1 gene:TanjilG_28334 transcript:OIW11243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTVEESTDLLNEILVRLPLKSLLRFKCVSKRWLSHISTSYFTRSHTLHHYTPFPSHIVHNYIPNDTYNSSFLTMPCSTENTDPSNPFTADFNFLNVPLQQHTYIEQSCNGILLIQGSDEPNSYYVCNPVTKQFLALDLSPPTYPNHTGQEVFLSFDPLRSPHYKLIAIRYKRDSLSSPLHGILGPNNNTYFTFEISIYSSKTACWSGFGVSIPAEENTLHEYGIYCNGAVYWCNKSEKCLYFDVEKLCFKSFPMPQRGQIEDEQVSYIGHSGGHLHIIIEQSNILLFDIFELQEDSSSWLLKFHVDLQGLFDNSLGLFEESFDILCLFCQEKEEDLVLVLVTGYQIILYNILDRTYKRLIDFNPSLSRFVRALADSGCAFEFSKNLSWDGDSSYRLASTESFDPSRLHYLDS >OIW12219 pep chromosome:LupAngTanjil_v1.0:LG05:2074001:2078058:1 gene:TanjilG_06008 transcript:OIW12219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKGKKIKESETIVNDEDDNNCWWWLVQKGTAVTFVTIALFGFLIRVAVSTYPYSGAGNPPKFGDYEAQRHWMEITINLPIREWYRNSSSNDLSYWGLDYPPLTAYQSFVHGLFLRFFHPDSVSLFASRGHESYLGKLLMRWTVLSSDALIFFPAVLYFVIVYYNKPSRSRKSDIAWHTALLLLNPCLILIDHGHFQFNCISLGFTIGAVAAILSEKDLVACVFYCLSLNHKQMSAYFAPAFFSHLLGKCLRRKHPLLEVLKLGLYVLGTFAAVWWPYLYSTNSILEVLSRLAPFERGLFEDYVANFWCATSVLIKWKRLLTTDSLKLVSFAATIITCLPSMVQQIKSPSNRGFLYALLNSSFSFYLFSFQVHEKSILLPLLPASLLAMEDPSTFKWFVQFAMLSMFPLLCRDDLVVPYLALLALFTLIFNAPGQQIVKESNYLSYYLGTTTMHLILCFSAILHIVYLTMHQPEKYPFLFEAIIMNLCFSQFVLITLGSNIKQWMLNKPVKLEIEKKFN >OIW12372 pep chromosome:LupAngTanjil_v1.0:LG05:179033:181892:1 gene:TanjilG_04121 transcript:OIW12372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGEVISHKAYARIGLLGNPSDVYYGNTISLSLSNFYATVTLTPSLHLLIQPHPLHDFIQFSSLSHLVTRLNNEGYYGGVRLLMSISKVFFDYCNLNNIHLHHNNFTLSYDTNIPRQTGLSGSSAIVCAALNCFLDFYKVRDQIKVEERPNLILAAEKELGIVAGLQDRVAQDFGKENMDKLGHGIYIPMDLSLLPPLHLIYAENPSDSGKVHSKVRQRWLDGDEFIVSSMSEVANIAKEGKTVLEQKDYSKLAALINRNFDLRRSMFGDEALGDLNIKMVEIARKVGAASKFTGSGGAVVAFCPEGESQVKLLEDECQKAGFVLQPVQLVPSLLKEIDFKTLQ >OIW11364 pep chromosome:LupAngTanjil_v1.0:LG05:24226633:24229249:-1 gene:TanjilG_19620 transcript:OIW11364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPGYRFYPTEEEIISFYLHNKLEGLKEEIMNRVIPVIDIYGYNPSQLPQISGEASMRDTEQWFFFIPRQESEARGGRPKRLTTTGFWKATGSPNLVYSKDNQFIGMKRTMVFYTGRAQNGTKTDWKMNEYKKAIEVKASSSSNKTDTTQLRHEFSLCRVYKKSKCLRSFDRRPSPRRNTYNVQNVQDQHQIASTCYDHNTPQMVLETETGATIARSPDESSSSEDHGQPSHIVGESSQMEVDINEPLLNWEQVDWFLGSEP >OIW12348 pep chromosome:LupAngTanjil_v1.0:LG05:1646592:1661435:-1 gene:TanjilG_32464 transcript:OIW12348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFPVTSGASAAMAASGHRGITLSNTIHSEVASCLPLPSLPLFCGASDQDLRLGDYRSMMLNRAEVLAQSSKIAELLERTDVSYLKLRDDANGVPYTYVEPLELHDEVLRCNPEAFEYSTAGPIKEQISGSVLPEKTLSVPSFPLTSQTQNDYNASPNCQLDNFSTNDIVTLSSKKSKLKKKGGNGPSIAPDAAELQDAHIGRFREVLEELCSKAECNNDDRDEEEWLSVPLSDLRMLVNEIMSIREKKLLHLVPVELLVRLLKVLDHQIHRAEGLSIEDLDNSDSDLVSSILVALESIHAALAVMAHTEMPKQLYNEEIIERILEFSKRQIMDVMCACDDYEEVDAEFGSATKKRRTSKTMKLRKSTSSRAFTAENTILQKLCTVVGLLKDLLLIERLSDSCILQLVKTSFTTFLVENIQLLQLKSIGLLCAIFYLYTQHRTYVIDEMLQLLLKLPNSKRTVRAYHLREEEQRQIQMVTALLIQLIHCSANLPDALRQASSGNAVLEVSVDGSYSTKCHEALTDACCLFWSRVLQRFASVKTHDASELKSIMENLVTDLLTTLNLPEYPASVPILEVLCVLLLQNAGPKSKDVSARCMAIDILGTIAARLKRDALICSQEKFWILQDLHSQDTATQHYPKDTCCVCLGGRLENLFMCHGCQRLFHANCLGIKEHEVSSRNWYCQICTCSKQLLVLGSYCNSQCKDEVAKVDSEVSKHEIFQQLLLNFLQDITSPDDLHVFICWFYLCLWCKDDPNCQQKSKHYLARMKSRIIVRDSAIVSSMLTRDSIKKITLALGQNSSFCRGFDKILHVLLASLRENSPIIRAKALRAVSIIVEADPEVLGDKRVQSAVEGRFCDSAISVREAALELVGRHIASHPDVGFKYFEKIAERIKDTGLSVRKRAIKIIRDMCSSNANFSGFTRACTEIISRVSDDESSIQDLVCKTFYEFWFEEPSASQARVFGDGSTVPLEVAKKTEQIVEMLRRMPNNQLLVTVIKRNLSLDFLPQSAKAAGLNPVSLATVRKRCELMCKCLLEKILQVEEMSSGEVEVHALPYVLVLHAFCLVDPTLCAPASNPSQFVVTLQPYLKTQVDNRMVAQLLESIIFIIDAVVPLLRKLPPSTVDELEQDLKQMIVRRSFLTVVHACIKCLCSVSKMVGKGAAVVEHLIHVFSKCLDTEAIDNKQQVGRSLFCLGLLIRYGNCLLSNSSNKLIDVKRSLSLFVKYLSVEDLVVKVRSLQALGFVLIARPEFMLEHDIGKILEGALTSTADYRLKIQALQNMFEYLLDAESKMEIDKADDNVVDYSVGAGQSVPVAAGAGDTNICGGIVQLYWNNILGTCLDFYEQVRQSALKIVEVVLRQGLVHPITCVPYLIALETDPLESNAKLAHHLLMNMNEKYPTFFESRLGDGLQMSFMFMQTICRATENVNKKIQSKNLVSGKGKSEASSLTQARLGVSRIYKLIRGNRISRNKFMSSIVRKFDNPNWNRSLIAFLTYCTEVLALLPFTLPDEPLYLIYAINRIVQVRAGPLEANFKAWSSSLLRSEGQSMHNENGMPHQGPDETSLTTGLAMSMDLNGTLQLNLNAQSNFNDMRSVDLNGTIYQQPNDPLSNNCSSEAKLHAAGLTDSFSISKDDLEKVQADCLSAFALQLLLKLKRHLKVVYSLDDARCQAFSPTELPKPGEVISKQNIAFNVGEFQFSLPTTPQELLQRYQEFKNALKEDTVDYSLYTANIKRKRPTPSRPTSSRKTRRSGYMAVGDYDDGDYDEDWGGSARKLNFSGGRRGNLRSSSRQ >OIW11318 pep chromosome:LupAngTanjil_v1.0:LG05:24709776:24711870:-1 gene:TanjilG_20467 transcript:OIW11318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLVKEDLVANQTGTAKIIPEESDDLWLLYNIISQNDVVSAETTRKVHLESSNKSTTASRVKLTLHLKVTCRDFHKDSSTLRIQGRSLDANPYVPQGSFHTFTLELNKPFEIRKKVWDCDAVEALRESAEKVSCAEGDLVVVVMQQKHAEIYLLGKGVTTLCSKIEGSSLTSGRKASFKGPGNAFFREVFGSITKHVDFKLVKSVVIASDGSTKEEFRRFLLLEAKRLRMKSIEENKSRIVVVGLECNNNKGNDHLKEVLGDSTVMNSIKDSKVGIEIRAMRELWDMVCNNSDRACYGPKSVESAEKMKAIETLLINDDVYRSNEIGTRKKYENLVKSVKESGGKVLVYSSMHVLAQQLQQLTGVAAILRFPLPDLEEMDV >OIW12069 pep chromosome:LupAngTanjil_v1.0:LG05:8083798:8086280:-1 gene:TanjilG_15309 transcript:OIW12069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEPRAWVMIGIRTCSVGHKPHEKRKTLFYFNGNLGPAYDHGRPEDSYSMGIRQKLAEEFGSSPNKEGKLGKQHAKDVIVTPLRSDNYHVEIASSVFCGVFPGDGWSGRLEDSVLQGCIPVVIQDGIFLPYENVLNYDSFAVRIPEEEIPNLIKILRGFNDTEIKFKLANVQKIWQRFLYRDSIMLEAERQKTVFGHVDDWAVEFLKLTEDDVFTTLIQVLHYKLHSEPWRKQVGMNKTFGLRKQCLGNGWPLRNLRNQDSNVFLAMCKTG >OIW11427 pep chromosome:LupAngTanjil_v1.0:LG05:23664346:23667288:1 gene:TanjilG_26793 transcript:OIW11427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPGNLVVKDLIINAEVFSCLREIHGKSYEAFMLSKLVPIVGNICDSNLGLDGDTYDVIADEVDVIVNSAANTTFDERYDTAININTRGPCRLMAIAKKCKKLKLFIHVSTAYVNGQRHGRIMERPFRIGDCIARENSISEVSSKVLPTLDIEGEINLVTDYKGNIEDNILAQNMRELGLERARRYGWQDTYVFTKAMGEMMIDKLREDIPVVIIRPSVIESTFIEPFPGWMEGNRMMDPIVLCYGKGQLTGFLVDPNGVLDVLTSHSSTSGNTN >OIW12130 pep chromosome:LupAngTanjil_v1.0:LG05:6054795:6058719:-1 gene:TanjilG_02351 transcript:OIW12130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNLILEIPTRTLKETSEDFLTIKMPPTPPSPPTRVNSFSKNRSNIKSFLPELSSKICNTSSEIEKPALLALQGSYTMETKKPLILRTFSLTKLAASTGKTTSSLPVDSNPESTYGGNIVSVERGIQLPIHRSLSVPEFTKDENVPVGGMFRIVPTTPKLVENIATTTPTSIPVDTVENEDRSEDIPEEEAVCRICITELGECAETLKLECNCKGELSLAHQECAVKWFSIKGNRICDVCKKEVQNLPVTLLRVQTVRERRGQQAEISHYRQGEVIALLEFWQDALILVIVNMLAYFGFLEQLLVSKMGSTAVAMSLPFSCILGFLASVTATTMVRRSTVWVYATVQFALVVLTGHIFYSLVHIPAVLAILLGTFTGFGVVMCGATILAEIFKLSRRIELARSNQQPRSEHAVLPNQSSSAEFHQVQTDSHYPESNLGYSPAHVI >OIW12271 pep chromosome:LupAngTanjil_v1.0:LG05:2736576:2738630:1 gene:TanjilG_06060 transcript:OIW12271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLALGTSGGPLILRLYYIHGGKRIWLSSFLQTAGFPISLLPFSIFSIIRRRRLLVAGDNNNNSSAKQTMFTMKPLYLIAFAAIGLLYGVDNILYCYGLDRLPVSTASLVTATQLGFTAIMAFFIVRQKFSAYSVNAVVLLTIGAGVLALHGGGDRVGGESSKQYVMGFVMSLMAAAMFGVIMPLLEFLYSKMKGTITYSVMMESQLVIGVFATLFSVVGMIINNDFKEIPKEAKQFELGEANYYLVLVATCIIWHLNFLGTTGITFCASSLLSGVMVSLMMVVTEVLAVLIFKEQFKAEKGVSLALSLWGFLSYFYGEFKQAKKLKKNHIPKEELPQNHNGFPHP >OIW11825 pep chromosome:LupAngTanjil_v1.0:LG05:14117552:14122110:1 gene:TanjilG_07306 transcript:OIW11825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSTIALYASPPSSVCSTPHPCQINAHASYDFELGSRSMSPPSQTAASTLQKPAVMGGLSCLFSSSAVTKHVPLSSSFSSPAGDELGSELKEFSSSFSYSPSKFMGSTSWKKDHHHHSPVSVFHGPVSCSSSTVGSSSRSCTSSSIPGTSGLFNGFVRNALGSCLDYDSPSFQVDHDGGGGDLDELTFNLEDTFVEGGFEPYAKKLLLGAQLRHKIFCEEFVIKAFCEAEKAHRGQMRASGDPYLQHCLETAVLLALIGANSTVVAAGLLHDTLDDSFLTYDYISATFGAGVADLIEGVSKMSHLSKLARENNTACKSVEADCLHTMFLAMADARAVLIKLADRLHNMMTLDALPVAKQQRFAKETLEIFAPLANRLGISNWKEQLENLCFKHLNPDQHDELSSKLVDSCDDALITFAIERLEQALKDEGISYHVLSGRHKSLYSVYCKMLKKKLSIDDIHDIYGLRLIIDKEEDCYKALTVVHQLWPAVPGKLKDYIRRPKFNGYQSLHTVVIGEGKLPLEVQIRTKDMHLQAEFGFAAHWRYKEDDCQHSSFVLQMVEWARWVVTWQCEAMSKDCSPVGCAYSIKPPCKFPSHADDCPYSYKPDCGQDGPVFVILIERDKMSVQEFGANSTVMDLLERAGQAGSRLTTYRFSLKEEMRPRLNHVPVSDPKCKLKMGDVVEITPAIPDKSLTEYREEIQRMYDRGLTVSTTGPAASSMVGSRS >OIW11296 pep chromosome:LupAngTanjil_v1.0:LG05:24876101:24879374:-1 gene:TanjilG_20445 transcript:OIW11296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLYEHSDVLQWGLNILNGDPGYNTGYYSDVIQDDTSDIYNGHYFQNNYEIDCNHVENDEIIARTLQEEFSQLEIDECSRYVQEGEGQSQAPETETETEPAYDWHNSAMVNYCPGGHDYEQEGIDDVEPSSSCSSPCETGESSLELTDNYPLDEVGSRLSEMILIPHVPKINGEIPSIDEATSDHQRLLDRLQVYDFVEHRVQGDGNCQFRALSDQLYNAPDHHKFVRRQVVNQLKSNPEIYGGYVPMEYDDYLGNMSKSGEWGDHVTLQAAADKYGVRIFVMTSFKDTCCIEILPNFEKPKGGWFSL >OIW11702 pep chromosome:LupAngTanjil_v1.0:LG05:19225814:19227869:-1 gene:TanjilG_12221 transcript:OIW11702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERMFPPKKPSNMNSHDRPMCVQGDSGLVLTTDPKPRLRWTVELHERFVDAVTQLGGPDKATPKTIMRVMGVKGLTLYHLKSHLQKFRLGKQPHKDFNDHSIKDGMRASALELQRNTASSSAMIGRNMNEMQIEVQRRLHEQLEVQKHLQLRIEAQGKYMQSILEKAYQTLAGENMSSSINMKSMVPHQSILDMGVMKEFGSPINFSSFQDLDIFGGDQIDLQPNMEKPPLEGFMPMNEDLCLGKKRPNPYTGSGKSPLIWSDDLRLQDLGTPSSCLGPQDDPFKGDQIQLAPSSMDRGTEIDPMSEMYDTKTSHHGDEKKFDASLKLERPSPRRTPIQVERMSPMISTGSMAQGRSSPFG >OIW12380 pep chromosome:LupAngTanjil_v1.0:LG05:289513:294864:-1 gene:TanjilG_04129 transcript:OIW12380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGKHYRPPGKKKEGNAAKFVTRSQALKLLQISLPLFRKLCILKGVTPREPKKKFKGTHQTYYHVKDIAFLHHEPLLEVHRAIKTHERKIKKAEAKKNIERANRLRDQTPKPKIDRIIRQRYPRFVDALGELDDCLSMVHLFAALPASESKKIDVECVHKCRRLAHEWQAFISRTHKLRKAFVSVKGIYYQAAIEGQAITWLTPHSLQQVVSEEVDLPTMLNFLQLYEPLLGFVNFRLYHSINLKYPPLLDPRLEALAADLYALSRYVGRDTRRSAVNSESVDLVEHEQIEAKQSGAQSEDEKSELRLAQLHHQLPSNEHGPGALMHLVEEAAGDEEEEDQDTRECRKLFENMKFFLSREVPRESLLFVIPAFGGVVSWEGEGGPFGESDQSITHQVVDRDSQGHRFLSREYVQPQWIFDCVNARIILPTMDYVVGKIPPPHLSPFVDNEEEGAYVPDYAQTIKHLQAAARKEILPLPGVGKDLEDPQNLLAEGVIDRAEANEAAQRKQKMMMLEKQYHEDLKKELQHVTYTPSDSTVDKQVPTEVTKTGEESSYDVQNVDDMGKLLMSRKKRKLLEAMQISNDRKQAKHDLIKQRKKKLESAQS >OIW11215 pep chromosome:LupAngTanjil_v1.0:LG05:25928382:25930149:1 gene:TanjilG_28306 transcript:OIW11215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTTTNNPPNAAADESNPALALASDSGNDSSLSDADKKNRRAERFGITLQLSEKEKRNSRAERFGITSSTTQGSETSNAEELKRKARAERFGVPGPTTTAEEEAKKKARLARFSPASKATADPVEEDKRKARALRFSNPSSGSLSQVNGEGSIEPNTVIAGKAGGGD >OIW11965 pep chromosome:LupAngTanjil_v1.0:LG05:10522322:10525948:1 gene:TanjilG_02172 transcript:OIW11965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDNEEIPSTPATPGTPGAPLFGGFKSERNNNNNTKIVKKSLLKSCKCFSVEDLDLEDGALPKVSCSLPPPPVPLARKVGAEFIGTFILMFVGTAAAIVNQKTNGSETLIGCAATTGLGVMVIILSTGHISGAHLNPAVTISFAALKHFPWKNVPTYIGAQVLASICAAFALKGIYHPFMNGGVTVPIVGYGQAFALEFIITFNLMFVVTAVATDTRAVGELAGIAVGATVMLNILIAGPSTGGSMNPVRTLGPAIATNNYKAIWIYLIAPILGALCGAGSYTVVKLPDEDLNHQSKVPSNPGSFRR >OIW11874 pep chromosome:LupAngTanjil_v1.0:LG05:11727995:11729401:1 gene:TanjilG_25787 transcript:OIW11874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLEIIQKKRKAVQKFMKSDIAELLKNGHDYNAYTKVAELLFEQKLLSCYELIAKFVECISDHVEELSKNGDCPDECKEAIPSLIYAAARFADLPELRDFRTLFTEKFGNSLEPYINKEFVEKLSRDPPTREMKIKLLYDIAQEFSIEWDDKALEKTLYAQSLHGERPKVDKHRDESDYNKSKGKERNTTSQGKKDFNGETWIQQSSSEDEASTDMSSMDGTKSSSISLGSTSDDEVQIKSPSSYWLIPPPYRKQNTIDRGKNNLMKCNRKGKRSYVRGTSLPTEPTNAVETSTWHARKMSLDQEFKVGRGRVHPNLPEDYDDLAARVAALRGR >OIW11797 pep chromosome:LupAngTanjil_v1.0:LG05:16636941:16637372:-1 gene:TanjilG_31199 transcript:OIW11797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVESDEDSCGEMVEEHDEGSCGGLVEESDEASCGVVVEESDDASCGRVVEESDEAYCGGVVESDEASYGMVVGLNGACGEDDGGACACVLEMVVVENCACDMEMVVVETGVCTCAQETVVVVRGACACVLEMVVESGELQGI >OIW12334 pep chromosome:LupAngTanjil_v1.0:LG05:1808334:1810151:-1 gene:TanjilG_32450 transcript:OIW12334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQASDRFNINSQLEHLQAKYVGTGHADMNRFEWAVNIQRDSYASYIGHYPLLSYFAVAENESIGRERYTFMQKMLLPCGLPPEREED >OIW11220 pep chromosome:LupAngTanjil_v1.0:LG05:25959509:25959931:1 gene:TanjilG_28311 transcript:OIW11220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVCISNCINDARDPRVPVRATYVNLYKWPESDKEFVRSMSSNGRKGCSQVYGHSRVVESINCRQIYLRSYKFTREEENVDEKKRQKCFGRVKKEKHDKKTKKCSVWRKAKETSCSALFGIFRRFLSCSASVDVVDEKD >OIW12473 pep chromosome:LupAngTanjil_v1.0:LG05:1357881:1360094:1 gene:TanjilG_04222 transcript:OIW12473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEESVELPVISEETMSSSVEVRGHSAGKGSSENSNKKVVSRYLKPSTGSCHDICKYGREQAIGTKNRRSIPDRATRKKLYQSSKGGHGEIMISVSGLGASVDSKPANMSLAKLEKPVDSELQISDTSYSKKQESPIKSSDNKKQTRNEGLVKKKKTSLVKVKPSLLESGISSLARQETSSTAKEVKSSTESASKKVESPSKSTSRKVKTPSKSTSTKVETLPKSTSEEVETPSKSTSEEVETPSKSSSEEVETPSKSTSEEVETASKSTSEELETASKSTLEEVETPSKSSLEEVETPSKSTLEEMETPSKSTLEEVETPSKSTSEEVETPSKSNSKKVKRPSESTSKKMGTGTPLKSTSNIKSSSKSTPRVETSSKSTAKMVKSPSKSSTLKDNGTELSPKSVTSLKPISVPGKITSLNSSESFGGQRNRDIKMEKVAVPSKAASRKSMKPLMAPLSPKVSPKRVQSINSKKLKSLKVVSQLKNQQIANARKVVPEELNDEEVEEKTLYVIEMESVNKTLQSDHNASYNDEPSLPQISTPNFASSSKFQPVSQEGQEESEYTTTKHEEDSVSRNHEEYMENGKTLEVVVKGKPKPRKGGIVYSEDKDDQMLKLKFRKGRMIENKVENNSPRKLKFRKTKVYQKAQPKADSQRKTFKRRDEACADNTGATPGPEHVVLRHQDKKDKKGSQGLFNNVIEETASKLAETRKSKVKALVGAFETVISLQDEKPSSNTAT >OIW11845 pep chromosome:LupAngTanjil_v1.0:LG05:12735188:12742878:1 gene:TanjilG_31595 transcript:OIW11845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVYYWIPASSDSSCSTQLLDGDGVFNVAGIETFMKEVKLAECGLSYAVVSIMGPQSSGKSTLLNHLFGTNFREMDAFKGRSQTTKGIWMARCAGIEPCTLVMDLEGTDGRERGEDDTAFEKQSALFALAVSDIVLINMWCHDIGREQAANKPLLKTVFQVMMRLFSPRKTTMLFVIRDKTRTPLENLEPVLREDIQKIWDSVPKPQAHMQTPLSEFFNVEVVALSSYEEKEEQFKEQVASLRQRFHHSIAPGGLAGDRRGVVPASGFSFSSQEIWRVIKENKDLDLPAHKVMVATVRCEEIAHEKYAAFAANEEWCQLEEAVQSGEITGFGKKLNSLLDVYLSEYDAEAIYFDEGVRSAKQKQLQDKLLQLVQPAFQSALGHVRSGTLDKFKETFEKALKGGEGFSEAANNSIGSCMAQFDKACADVVIEQANWDASKVREKLQRDIDAHVASVRAEKISELTSTYEEKLKESLSGPVEALLDGASSETWPSIRNLLRRETESAVSGFSSALSGFDMDEDTRHKMILSIEDYARGVVEGKAREEAGRVLIRMKDRFTMLFSHDSDSMPRVWTGKEDIRAITKTARSASLKLLSVMAAIRLDDDADSIEKTLVVALVDSSNNAANRGITLVDPLASSSWEQEANKRNNNWLPPPWAIAALVILGFNEFMTLLRNPLYLGVIFVGYLLVKALWMQLDISGEFRNGALPGIISLSSKFIPTIMNLIKRLAEEGQNPANNNPPRNQSKNNHNALTDGAASTSASSNVTSLDNEREYTSPSKHE >OIW12455 pep chromosome:LupAngTanjil_v1.0:LG05:1193797:1197232:1 gene:TanjilG_04204 transcript:OIW12455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEAKDPAIKLFGKTIPVPEIPAGSGDSNKDPPLSSGDVFDQNHASSSNSSDRGEEELEIEQDTMGEKPTEDKMEDVTQSSEEVTNFDTASRSGEASVTPATEKEATTLETSRAEEEYGENSQEMTLKKPDKILPCPRCNSMDTKFCYFNNYNVNQPRHFCKNCQRYWTAGGSMRNVPVGAGRRKNKNSISHFRQITVPEAALQNPQSNGVHYPSLKSNGTVLTFGSDTPLCESMASVLNLADKTMNNCLRNGFSGPEEPIIHVPYSGEEKGNNNSDKSSVTSTKPSEGSTIDKSQEQVMQNFPGFPPQVPYITGSPWPVPWNPAQWSSPVPPPSFFPPGFAMPFYPAAAYWGCTVPPGAWNMNIPWLAQPSSPNSTATATNSGPNSPTLGKHSREENMVKPNDAAESDEHSKGGINKEKNLWVPKTLRIDDLGEAAKSSIWTTLGIENDKAGSFSRRGIFKAFPSKRDEKNRVMQNSPVLQANPAALSRSINFHESS >OIW11640 pep chromosome:LupAngTanjil_v1.0:LG05:20816834:20825273:-1 gene:TanjilG_24846 transcript:OIW11640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVHQNFLMENSSEVLGSKGLIKKNDFLRIIVQCLYSLGYNKTASCLESESGVSYKSPEFQFLESHLLNGNWDNCITFLNSIRDTFGETMDSALFLVLKQYLFECLSRGEDALALDILKKRISALKVDRSKVHSLAINVVLLNDVESGVRDGNVVHDLRRKCLTDLEKLLPPPISVPERRLEHLVETTVTAWVDSCLYHGSSNPISLYEDHKCGRDQIPTVTTQILAGHRNEVWFVQFSNNGEYLASSSNDCTAIIWKVLEDGEFTMKHILCGHMCAISLVAWSPDDTKLLTIGNTEVLKLWDVETGTCKHTFGNPDFVVSSCAWFPNSNHFVCGYSDPEKGICMWDCDGNEIKAWRGMGMPKVVDIAVTPDGEYLISVFMDKEIRILHMETNAERVISEEHSITSLSVSGDSKFFIVNLNSQEIHMWDVAGKWDKPLSFMGHKQCKYVIRSCFGGFNSTFIASGSENAQTHKRPSDREKE >OIW11435 pep chromosome:LupAngTanjil_v1.0:LG05:23577992:23582796:-1 gene:TanjilG_26801 transcript:OIW11435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPENATSFTALLELPPTQAVELLHSPESSAAAARKPPVINHKPYLVHGNHLTFPSNSELIERAAKFSVFAGENASSSPEEACLVVPVSSGDKVKNEPQETDSNPCSTQGCVSEHAVENKNQRNAKRKEREKKVKVSLKKSKSAADENSGDGEKLPYVHVRVRRGQATDSHSLAERARREKINARMKLLQELVPGCNKISGTALVLDEIINHVQNLQRQVEFLSMKLAAVNPRIDFSIDSLLAADGASLMDSNLPSMVTPLMWPEIPENGNRQHYQQQWQYDAFHQPLWGREEDNHNFMTPENSLLSYDSSANSGTSGFSFYCT >OIW12297 pep chromosome:LupAngTanjil_v1.0:LG05:3089596:3093550:-1 gene:TanjilG_06086 transcript:OIW12297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEPKLSQENLPPLPTSSWTIKNDDVSSFKSFLQVNEWFMNSSITQNENFLIQPLNPSFTPTPFFNLNSNNPFTNGFDYGSEPVLLNSNSSILMSFNNNSNILELSSNPEFNPTREVELGGGFDHNTMCVVGEQCHGSGASNSTFLNHGSVFQQLGTEVPAPAFRQGPVDKLGALEIRAAARLSAMEEMEKKRRIGLNDDSDDDDVDEIDKYEENVNNGGNNFEGNNNNGDNNNNNGGSQKGNRKKKVAPAKNLMAERRRRKRLNDRLYMLRSVVPKISKMDRASILGDAIEYLKDLIEKINDLHNELGSTPSGSSLTPPSSFHPVTPSLPTLPSRVKDELCLSSLATPKSHSPKVEVRLREGRAIDVHMFCACKPGLFLSTMRALDSLGLDVQQAVISCFNDFVLDVYRAEQCREGLDLLPEQIKTVLLQAADFRGMM >OIW12352 pep chromosome:LupAngTanjil_v1.0:LG05:1626695:1627417:1 gene:TanjilG_32468 transcript:OIW12352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSKSITDKKNSSSENLNPNSTPSKSASKNPVIVAMKQRKFVKVNKNNHNDAATAYNNLRASQEEFFNNRSYENEGIEEEEDTNSVSIEIDVENNNEEESKEIISMSSNVVKRRREMVLEEARNSVPENGVGRVMHLVKAFEKLLSIPNSKNEDDEDDNNNNKNKNVMKWALPGLQQKCDQINSDSLFCQSDLVLTSQNLGLDQKVSVSVSSSWDSSHARFEFHNAYIICDSCYISVLN >OIW11696 pep chromosome:LupAngTanjil_v1.0:LG05:19358246:19362009:-1 gene:TanjilG_12215 transcript:OIW11696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPTPLPFEDGFAAEKLFNQGFSYTYDDVIFLPNYIDFAADTVQLKTNLSRNLPLSVPFVASPMDTVSESAMAAAMASLGGIAIIHSNTTAAVQASLVRAAKSRRVPILHDPVFLPPSAEIASVDDFSDSPFILVTESGNPKSTLLGYVSRDDWLKTNQNDKGSRVGDYLVESPVTVPWSYDLAKIDATLNEKKANSVGLVRDDGELVDLVTREDVDRVKGYPKLLAQGSVGPDGEWIVGAAIGTREQDKERLEQLVKAGVNVVVLDSSQGNSIYQLEMIKYIKKVYPEIDVIGGNVVTSYQADSLIQAGADGLRVGMGSGSICTTQEVCAVGRGQATAVYKVSCTAYKSGIPVIADGGISNSGHIVKALSLGASTVMMGSFLAGSTEAPGAYVYQNGQRVKKYRGMGSLEAMTQGSDQRYLGDTAKLKIAQGVVGAVKDKGSVLNFIPYTLQAVRQGFQDLGANSLESAHNLLRSRELRLEVRTGAAQVEGGIHGLVSYEKKYF >OIW11900 pep chromosome:LupAngTanjil_v1.0:LG05:11431331:11432272:-1 gene:TanjilG_18173 transcript:OIW11900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDKKNNDKDVETTLTDIDHFLLENFKSLYIKDDEEKVHDDEEEEEEERHENGKFPKLGPFLFKAPPRDRYGSNRFNMKRDFSGSLEDATID >OIW11915 pep chromosome:LupAngTanjil_v1.0:LG05:11162772:11166313:-1 gene:TanjilG_18188 transcript:OIW11915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMEKLNLLLVLSLFAACHVSFSITDGLLPNGDFELGPKSSQLKGSLVTGHNAIPNWTISGLVEYIKSGQKQGDMVLVVPEGSYAVRLGNEASIKQKVELVKGRFYSLTFSAARTCAQEEKLNVSVVPTSEKSDWGVFPIQTMYGSNGWDSYACGFVADYPEMEIVIHNPGMEEDPACGPLIDSVALRVLNTPRRTRANLLKNGDFEEGPYIFPNASWGVLIPPHIEDAHSPLPGWMVESLKAVKYIDSDHFTIPNGKRAIELVAGKESSIAQEVITTIGKFYELTFDLGDANNSCEGSMIVEAFAGRDTIQVPYKSKGKGGFINGKLRFKAMRRRTRIRFLSTFYTMKNDGSLCGPVIDNIKLLSVRYPTRAL >OIW11722 pep chromosome:LupAngTanjil_v1.0:LG05:18289721:18300748:-1 gene:TanjilG_20206 transcript:OIW11722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFTVSAAIGQPKLSVWFPSTPQISSIPSLKLFSPFRCKSSGRLQINHRPLIVSAINPNSTGGKPLNEGSDVKETRDAAQGPPLLTILAGLFVFFLVCWTTGSIIMWLISLIVNAPIPK >OIW12139 pep chromosome:LupAngTanjil_v1.0:LG05:6845922:6846317:-1 gene:TanjilG_02360 transcript:OIW12139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKKTMRIHLTGPSIVRHSTQDCNIDGYCILARTTLFVKVWAIGRDTNNWNNPLEFQPERFLNKEGVSPLDLKGQNFELLSFGVGRRSCPSVSLALHIIHTAPANMIPCFECKVGEEGNESVDMKEGPGMA >OIW12329 pep chromosome:LupAngTanjil_v1.0:LG05:1857224:1862199:-1 gene:TanjilG_32445 transcript:OIW12329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTDMNDYKIIKEGEAEILMHAKNEVFYNKAQVNNRDMSIAVLRTFISKRKEEHDAMLSKRIKGAHKVSENNSSESVMEEEVPQETPPEDPKSNGECKVEEEISPEEAEPFSTMEGSVKTTEECNTTEEQINLTEVKARKELKPPRVLEALSASGLRALRYAREVEGIGQVVALDNDTASVEACRRNIKFNGSVAVSKVESHLADARVYMLTHPKEFDMVDLDPYGSPSVFLDSAVQSVVDGGMLMCTATDMAVLCGGNGEVCYSKYGSYPLRGKYCHEMALRILLASIESHANRYKRYIVPVLSVQMDFYVRVFVRIYSSAGAMKNTPLKLSYVYQCTGCDSFHLQPIGRSISKNTSVRYLPGYGPVVPQACTDCGKKFNMGGPIWSAPIHDQEWIASMLADVKSMKASYPAYDRISAVLTTVSEELPDVPLFLSLHNLCATLKCTSPSAIMFRSAVINAGYRITGTHVNPLGLKSDAPMDVIWDIMRCWVKTHPVKAQAEDLPGSVILAKEPVLQANFTRAVASLSKAQAKKVARFLPNPERYWGPKLRAGRTITSKHVSILGEAAVNGVLNQEDNEESKSKKPKTEDGITS >OIW11832 pep chromosome:LupAngTanjil_v1.0:LG05:13621164:13623497:-1 gene:TanjilG_14644 transcript:OIW11832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDFGEDSNNDSSVEVMSCPPPPFTMSSSRWWKTRGHQHPLDTILSAHLISLVSLNLERSGYADKILDDLARRDAPTLSVDMSNWNGIAKMLWVVKHATVRAMIGSKKGANEANAPSAMKVKTNPSSSSNPFVVAEVFPIQVAQARCSLTLTTIIEASIESSRNHYQSWRRIEKEKPYDKETTFFEALSKNVEERCIGERSAHASMEYMAKKATVKEFPCNAAIGTWENVSDQVQLAYPCIDRSWMVINAYVDNGILIQKDDDEATIQLPFSGYMH >OIW11871 pep chromosome:LupAngTanjil_v1.0:LG05:11681389:11682080:-1 gene:TanjilG_25784 transcript:OIW11871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNDEEDELGGGTVGNCSRTVWPTCAGSQEKQVWSGQHGSAPSGLDLRLSHLRLQPLPLNLLLSRPEADRLKSIQIRRRN >OIW11467 pep chromosome:LupAngTanjil_v1.0:LG05:23305144:23310306:-1 gene:TanjilG_26833 transcript:OIW11467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGMVMVWEDLTVELRSFGEDSSQYKKLLNGLTGFAEPGKIMAIMGSSGCGKTTLLDSLAGRVSANVIVTGSILMNRKKRNLYCREVSYVAQEELLLGTLTVRETLTYSANMRLPSKMSKEEIKKVVEETIMKMGLEECADNTIGNWHLRGLSNGEKKRVSIGLEILTKPHVLLLDEPTSGLDSASAFYVIQALRNIACNGGKIIICSIHQPSSEVFNLFDDLLLLSNGETVYFGEAKMALKLFYVERSKGHYGEAAFVLANIISSFPFLLVISIFCGVIIYFMVQFHAGATNLAFFCINLFCCLSVAETCIMTVASVVPNVLMGIGTGTGVLIFMMMPSQIFRRLPDIPKFFWRYPMSYLSFTTWAMQGQYKNDMLGLEFDPLLPGDPKLSGEQVLTIVFGVPLNHGKWWDLTALITLLILHRLILFLVLRYYKRPKSTMLWFYAKERMQLAKRCLMSTNKRYISSKNKAAPHPLSSQEGLMSPNFAI >OIW12397 pep chromosome:LupAngTanjil_v1.0:LG05:462478:469532:-1 gene:TanjilG_04146 transcript:OIW12397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHASEKRFPLHAKDYELYEEVGEGVSASVYRALCIPLNETVAIKVLDLEKCNNDLDGIRREVQTMSLINHSNVLRAHCSFTAGHNLWIVMPYMAGGSCLHIMKSSYPEGFDEPVIATLLLEVLKALVYLHAHGHIHRDIKAGNILLDSNGSVKVADFGVSACMFDTGDRQRSRNTFVGTPCWMAPEVMQQLHGYDFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDKRFSKSFKELVATCLVKDPKKRPSSEKLLKHHFFKHARPTSYLARTILDGLAPLGDRFRMLKAKEADLLVQNKALYEDKEQLSQKEYIRGISAWNFNLEDLKTQAALIQDDGIPNAEVLQMDKKQDNQYDDFKIPAETLSAVAPNHLDAAPTLDKEDGFNNLQDLESSLGSFPIKPLQALKGCFDICEDDVNNTSPRDNNESSGPSSSLPQNAINQNKKFSSGSLLPDNFLSPKKVVTDGDRDYLQTKYYSERNHSGPLLLRQKRDTNNLPLVDDTSEGAVVQRKGRFKVTSADPMGPSNSNSGPVFGGPSSPPNQKSVAASILHPLQCILQQNSLQREEIIKLIKYAENSSAKNTESAEAGAVDHSQVPPVTSREKELNFQVIQLQQRYMIVITIMICFFKFKFHNPLIFNSYVSIGTLVEELQKQRLRNVQLEKQLSSKANKVEK >OIW11273 pep chromosome:LupAngTanjil_v1.0:LG05:26261073:26263724:1 gene:TanjilG_28364 transcript:OIW11273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATREQRFERVTKNLKVARVFNTLVEEMKAMGIAAPDDSQCTEVMAPMAHSDRSPVLLLMGGGMGAGKSTVLKDILKEPLWAGAAGNAVIIEADAFKESDVIYRALSSRGHHHDMIRTAELVHQSSTDAASSLLVTALNEGRDVIMDGTLSWIPFVVQTVTMARNVHRRRYRMGPGYRVNEDGNVTENYWERTEDEQPEQFGGKKRKPYRIELVGVVCNAYLAVVRGIRRAVTTRRAVRVKSQLKSHKRFAEAFMTYCQLVDNARLYCTNVLDGPAKLIGWKDRDKTLLVDPDEIDCLKRAAKLNEDADSVYELYKHPNPALRAGSVWKDIVLSPSRLNIQLELKYSIQKIENIKNYNLKEHK >OIW11257 pep chromosome:LupAngTanjil_v1.0:LG05:26191297:26193937:1 gene:TanjilG_28348 transcript:OIW11257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATATRGIEREIGGLQLEEKKLVAEIKRTAKTGNEAATKVLARQLVRLRQQIANLQGSRAQMRGIATHTQAMHAHSSVAVGMKGASKAMAAMNKQMAPEKQAKVMLDFQKQSAQMDMTTEMMSDAIDDAIDDDEAEEETDELTNQVLDEIGVDIASQLSTAPKGRVKTKNTENVGSSGIDDLEKRLAALRNP >OIW12386 pep chromosome:LupAngTanjil_v1.0:LG05:345306:349560:-1 gene:TanjilG_04135 transcript:OIW12386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTTPFMDKQIMDLTYDSSSHNHQTKDFIDLIKTQNDDVQQHHEIEETKQNQINKNDIVPSYDFQPIRPLSASNYNSAPNLDTTFSNPRWNSSDHSNSNPIPNSSNPVIKSYSSLDSIEPAKVIAEKDQNAFESTILSEIDRTMRKHTDNLLHVLEGLSARLTQLESRTHRFENIVDDLKVQTGVQTMKDKQDIVEAQLQLAKLQVSAETDKPSDTQTSAIPDPLQQAASAPLQSQQHFPSIGNLPQSILAVPPLNAAPQLPSQQGLPPPVFPQNQIPAAPQRDPYFPQQVPPVPSQETVNQQYQLPLSQQPHPQPGAPPPNQQYQQTPHSQYPPQPPLHLPQQQPSHSPINPPQLQSSLGGHIVEEPTPYVTSQNYPPNLRQPPSQLPSGPPPSQQFYGAPPPPHHVAYEPPSSRPGSGFSSGYGTVSGPADQYRYGGSGQYGGSSAPKQQLPTASSGGTGYPQLPTARVLPQALPTASTASSAPGSPGAGNRVSIDDVVDKVASMGFPRDYVRATVRKLTDNGQSVDLNAVLDKLMTEGENQPTRGWFGGR >OIW11684 pep chromosome:LupAngTanjil_v1.0:LG05:19597683:19606353:-1 gene:TanjilG_12203 transcript:OIW11684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGNKEFQPGPQSVMQDHLDVMHASRRPPDFSTSDVKPVLNYSMNTGEEFALEFLRDRVNLRNPVFSNASDSNYTMGYMDLKGILGISHPGSESGSDISMLSMVDKYPKEYDRMNTSLHGDTSNYGSIRPIPKSSLNLDNRQYVHGYGSSRGYDSLSTMMKFLCSFGGRILPRPCDGKLRYVGGQTRILRIRKDISWQELMQKALLIYNQTHVVKYQLPGEDLDALVSVSSDEDLQNMMEECNHLEDREGSQKLRMFLFSMSDLEDAQFGLGSMGDDSEIQYFVAVNGMDLGSRKNSTMFGVGFSENDIHELDGQNIERETSRVALESIGVGNAPLTSKFDSLMNTQSAEPVLPSSSNSYETYPLFYGDQMMRHEEPSGQYLTNHGLNPSHGPVLGEIPYTTAPHILINQQGILNEGHPGGGLEIQNSEIPAMLAKKMGDSSIQQGDPGKAVSSETPVQLFDGYYKNIFPEASAVVTVPEGHSIPPTKNDRLQDYEEVFSTSSSAFSPSYVDSQSNAVDLSSLHPPPLPKRVYYSERIPREQVEFLNRSSKSDDANRSQIHVSDLLSDVNLPDSVRESGGNLQDRNMSNLAEESSITAKPLHADGYAIDNGAVENQIHPLLPDASNQMKSKPTEYLNPDLKQVLSNNGRSKDVINKDNVVSLETEFYSKDNHYKPLLDESKDGKSEYPALHQVPSVKHHDDPASNLPEIDWGDAAVMESNDDYRVQALPVTLNGNTTMKDESQDFPSNVVSKQAQGDILIDINDRFPRQLLSDIFSKAILEEDPSSLHPLTSDGVGLSVNMENHEPKRWSYFQKLAQGLDNVSLIDQDHPGLSPALRELGDNRTQHVTPLTTDEENQKDLHGRNGTESNALKSNYDHSEVKDTESMQFGAMIENLRAHESEYEDGNFESRNIGLPPVDPSLGEFDMSTLQVIMNEDLEELRELGSGTFGTVYHGKWRGTDVAIKRIKKSCFTGRSSEQERLTLEFWREADILSKLHHPNVVAFYGVVQNGPGGTMATVTEFMVDGSLRHVLLRKDRYLDRRKRLIIAMDAAFGMEYLHSKNIVHFDLKCDNLLVNLKDPLRPICKVGDFGLSKIKRNTLVSGGVRGTLPWMAPELLNGSSNKVSEKVDVFSFGIVLWEILTDEEPYANMHYGAIIGGIVNNTLRPTIPSYCDQEWRTLMEECWAPNPSARPSFTEIASRLRVMSAAALPTKTQGHKASK >OIW11458 pep chromosome:LupAngTanjil_v1.0:LG05:23376594:23379362:-1 gene:TanjilG_26824 transcript:OIW11458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVLCFLLLLAWYVSIFHLVGAAELQDQAILLAINQELRVPEWSDGNTSNYCTWQGITCGNHSMVEKIDLAHRNLRGNVTLISELKALKKLDLSNNNFDGLIPTAFGNLSHLEVLDLSSNKFRGSIPPQLGVLRSLKSLNLSNNVLVGEIPMELQGLEELQDFQISSNHLSGLIPSWVGNLSYLRLFTAYENSLGGKIPDSLGMNSELRILNLHSNQLEGPIPASVFAPGKLEILVLTQNNFSGVLPDEIGNCRALSNVRIGNNYLVGSIPKTIGNLSGLTYFEADNNNLSGEIVSEFSRCSNLTLLNLAENGFTGIIPPEFGKLMNLQELILSGNSLFGDIPNSILGCKNLNKLDISNNRFNGTIPNEICSVTRLQYLLLDQNSMRGEIPHEIGSCAKLLELQLGSNYLSGTIPPEIGHIRNLQIALNLSFNHLHGPLPPELGRLDKLVSLDVSNNRLSGNIPDELKGMLSLIEVNFSNNLFGGPVPTFVPFQKCPSSSFLGNKGLCGEPLNSSCGDIYDEHKNYHHRVSYRIILAVIGSGLAVFMSVTVVVLLFMIRERQEKVAKEADRIVDDGANDKPTVIAGGVFVDNLRQAIDLDAVVKATLKDSNKVSSGTFSTVYKAVMPSGMVLSVRRLKSIDKTIIQHQNKMIRELERLGKVSHANIVQPIGYVIYEDVALLLHHYFPDGTLAQLLHESTRQPDYQPDWPERLSIAIGLAEGLAFLHHVAIIHLDISSGNVLLDANFKPLLGEIEISKLLDPTKGTGSISAVAGSFGYIPPEYAYTMQVTAPGNVYSYGVVLLEILTTRQPVDEEFGEGIDLVKWVHSAPIRDETPEQILDARLSTVSFGWRKDMLAALKVALLCTDNTPAKRPKMKNVVEMLQEIKQN >OIW11460 pep chromosome:LupAngTanjil_v1.0:LG05:23363473:23366378:-1 gene:TanjilG_26826 transcript:OIW11460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSHASYNMRSKSSYESENNKDTVKESGGESPGKLGKMVSPSSKEFLFICFLVTLWYSSNIGVILLNKYLLSNYGFKFPIFLTMCHMSACSVLSYVSIAFFKVVPRQVIKSRFQFIKIATLSIVFCGSVVGGNISLRYLAVSFNQAVGATTPFFTAVFAYLATLKREAWVTYAALVPVVTGVVIASGGEPDFHLFGFLMCLSATAARAFKSVLQGILLSSEGEKLNSMNLLLYMSPMAVIFLLPAALIMEPNVVDVTLELGRENKFMWLLLLLNSVTAYAANLTNFLVTKHTSALTLQVLGNAKGAVAVVISILIFRNPVTVIGMGGYSITVLGVAAYGETKRRFR >OIW11299 pep chromosome:LupAngTanjil_v1.0:LG05:24850732:24851109:1 gene:TanjilG_20448 transcript:OIW11299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFQKKKILKSLITKVLKSLQFLSHNEAYSRRVYTISDIDDENEATTRVPKDVKEGHFAVIAIKGEEAKRFIVELDYLTDPDFLKLLEKSKEEFGFEQKGVIIIPCKPQELQKIIENRKVDNATM >OIW11736 pep chromosome:LupAngTanjil_v1.0:LG05:18653417:18653776:1 gene:TanjilG_20220 transcript:OIW11736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLSSSTIGSLILFHLLLASPSPYCVAHIECAEIIEYLQTCVGYLKHGGASDKPPSTCCDGVKAVLNKLKSVDDKRDACNCIKAIVQITKLKLENARNVPIKCGIKIPFQISPDFDCSS >OIW12007 pep chromosome:LupAngTanjil_v1.0:LG05:9918161:9922806:1 gene:TanjilG_16118 transcript:OIW12007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQKACVESVKNDLDFKCEKIVEGVDKVIECPTPQKTSEPLPTKSKEGQTQLPEKYKAIADLFSHVTCSLRLLHLRKKSPTFRNVCAQVEILAKRKFLDAHLAQMKYILPEGILIEKVLVYDSKSSCMKPDMNITLVFEVVEENYKDSADMALRRYFSSKLIDFFKMHPEATDIPEAILPEPSSKRTCSLISVDLPVNPSTTLSSTSSEIEPFPGKSHLCPSFGRHFSQKMDLSCFPSSETPLLSNMSACLDNQENESSCKKECVASSHRLSNPNNEGEQQESFSIRFQPSVINTPVHMISPPDSISGISSKSPDTKVVFCTDSLMTETPVQSAPQRLMPTSDVKLQNATTQNSISCYKPAKRVLDFSLTEGDDDLDIREDKIESSRHEFDSIPEPSRGCSEDFNFSGSVALPLVEDTCHEGSSLMLDMVNVIHSIFYSLKKTPITKEELLQKIIMNCLDFIEIREVEEQLEILEKLVPDWICKKLVPSGDIMYCIKEVYDLDSVRARVSEM >OIW11764 pep chromosome:LupAngTanjil_v1.0:LG05:17979835:17983428:1 gene:TanjilG_10966 transcript:OIW11764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENISSSIAPRSKWNLPASVFFKDARLIFKMDSLAKEILGIAFPSALAVAADPLASLIDTAFIGHLGPVELAAAGVSIALFNQASRITIFPLVSITTSFVAEEATIEKINIKAAEKQFNEDLKAKSRIGTPDDHLLEDIETAAAKENSETPTETMGANGVTNDLMATKIVPENVERDSTLKIETNHGDDANTNTVKSSSDASSSKSVPKSGRKKRHIAAASTALLFGTILGLLQAATLIFAAKPLLGAMGLKPGSPMEMPAVKYLRLRALGAPAVLLSLAMQGIFRGFKDTTTPLYIIVSGYALNVALDPVLIFYCKLGIKGAAISHVLSQYVMALALLLILMRKVEILPPSIQDLQIFRFLKNGGLLLARVIAVTFCVTLAASLAARLGPIPMAAFQTCLQVWLTSSLLADGLAVAIQAILASSFAEKDYDKVSAAATRTLQMSFVLGVGLSLVVGFGLYFGAGIFSQDVLVVKLIRLGLPFVAATQPINSLAFVFDGVNYGASDFAYSAYSLVMVSFASIASLFLFYKSKGFIGIWIALTIYMSLRMFAGIWR >OIW11194 pep chromosome:LupAngTanjil_v1.0:LG05:25701937:25710705:-1 gene:TanjilG_28285 transcript:OIW11194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKETEYYDILGVIPSASDDQIRKAYYHKAMQVHPDKNLNDPSAAEKFQILGEAYQVLSDPVQRNVYNQNGKHSVSRETMLDPTTVFALLFGSELFEDYIGHVAVASMVSSELADENEDPDNLLEKNEIALRIGDAYRTVQKEREEKLARFLKDFLGQYVHGDKKGFIHRAESEARRLSDAAFGVDMLHTIGYVYSRQAAQELGKKAIYLGVPFLAEWVRNKGHSWKSQITAAKGAFELLQLQEDIRKQFKMHGSGLENDIESHIRHNKDTLTNSLWKLNVVDIEVTLVHVCQMVLRENNVKKDELKVRAHALKVLGKIFQDNNSKGRETLKKKINAAYDDEGNSCDSSEDESPRTLSYRTPFFTQACILFKANHTHVHKICLQI >OIW12031 pep chromosome:LupAngTanjil_v1.0:LG05:9519940:9523392:-1 gene:TanjilG_27328 transcript:OIW12031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAISSFSASFSVRSDKPSFSTSPSNFSSSIHFLPKFLHFPRISVSPLPLKISCNASSTVSAQSTSPTAKTELKDFLHINDFDKDTILRLLDRAIDVKALIKSGDRSFQPFKGKTMAMIFAKPSMRTRVSFETGFTLLGGHAIYLGPDDIQMGKREETRDVARVLCRYNDLLMARVFAHQDILDLAKYATVPVINGLTDYNHPCQIMADALTMIEHIGRLEGTKVVYVGDGNNIVHSWLLLAAVVPFHFVCACPKGFEPDEKTVEKARQAGISKIEITNDPKEAVIGADVVYSDVWASMGQKEEAAYRRQVFKGFQVDKSLMDIAGSKAFFMHCLPAERGVEVTDEVIEAPNSIVFQQAENRLHAQNAVMLHVLGK >OIW12178 pep chromosome:LupAngTanjil_v1.0:LG05:4396772:4403737:1 gene:TanjilG_28586 transcript:OIW12178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHRHEKEKGVNVQVLLRCRPFSDEELRTNAPKVLTCNEYIREVAVSQSIAGKHIDRVFTFDKVFGPNAQQKDLYEQAVVPIVNEVLEGFNCTIFAYGQTGTGKTYTMEGECKRAKSRPNGELPTEAGVIPRAVKQIFDTLEGQNAEYSVKVTFLELYNEEITDLLAPEEISKGALEDKQKKQLPLMEDGKGGVLVRGLEEEIVTSASEIFTLLERGSAKRRTAETLLNKQSSRSHSLFSITIHIKETTPEGEELIKCGKLNLVDLAGSENISRSGAREGRAREAGEINKSLLTLGRVICALVEHLGHIPYRDSKLTRLLRDSLGGRTKTCIIATVSPAVHCLEETLSTLDYAHRAKNIKNKPEVNQKMMKSTLIKDLYGEIERLKAEVYAAREKVGVYIPKERYQQEENERKAMADQIEQMGITLETHQKHIDDLQRKYVDQVRQCSDLSTKLDDTEKNLSKTSKLLADKEEELRKCWYSLKEKDFIISEQRKAENALTHQACILRADLEKALQDNASLFFKIGREDKLNSCNRAVVDNFQIEIAQQVGSLCNTVATSLSRQHDHLECVENLCHSFLGIHDKAAVDLKKKVMTLRTLYISHMESVQNVVRLHKAGSDTTFEEISSLISSNGHSIEELLASEAAEASSIFDDLQSSLSTQQGELATFARELRHRFNVSAEQISDISDCTQEFVDKLLEEAKRLDNFATEADEMQMKSIAKFKKAYEEQAKSDTEKLIADMSSLVSNHIRRQMDLVDEKLVDLRESGIASKSFLDAHVSSMGDIVTLAQRKWQAFCTLAEKDTRDTADFSAVKHCRMEALLQQSFNTAESVFKHTKRTHEAVNEIETKHISAAVSLIRNASDSNLQHDIEVHSARVAAEEDVAKNCENVLQQFDDMSVEERESISGVLNVVKTHANTLETFRGDHSSQAAAIEEKARETFQQQYMDYEPSGDTPVKCDTDVPTKVTIESLRSTPMETLIQEFRENHSDESFDVKDLKPSLIPRPPLSQVN >OIW12251 pep chromosome:LupAngTanjil_v1.0:LG05:2406075:2412013:1 gene:TanjilG_06040 transcript:OIW12251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPKSGFNHSSRTFHSLKPPLNLPPPHIPISAATYALSLRRHSPFPDSLTALIDSATGNHLSFSDFIQRAQTLATNLTSILKLSKGDTALILSPNLINIPILCFALLSIGVVISPANPASTRSELTRLVRISKPIIAFATSAYADEIPEVKLGTVVIDSEEFESLVMMTRPGVRLGRVEVSQSDVAAILYSSGTTGNVKGVMLTHRNLIALVGVYDAVRVKREEPAVFLYTMPFFHVYGFTFSLRALVLSDTVVIMERFTLRRMLSAVERFRVTHLAVVPPLVVAMTKDGVTEGYDLRSLQGVACGAAPLGKDTVAAFKFKFPGVVIIQGYGLTESTAGIVRTMTAEETSRPGTTGRLVPGVEAKIVNPNTGEAMSPSEQGELWIRGPSIMKGYAGDPEATSATLVDGWLRTGDLCYFDDDGFMYVVDRLKELIKYKGYQVAPAELEQLLLSHPEINDAAVIPYPDEEAGEVPMAFVVRQPQSSLGEAEIIDFVAKQVAPYKKIRRVAFIESIPKNAAGKILRKDLNKIALQRSFSKL >OIW11906 pep chromosome:LupAngTanjil_v1.0:LG05:11329895:11330971:1 gene:TanjilG_18179 transcript:OIW11906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLISGLPEDIARECLIRVSYQQFPAVASVCKVWKTEIASPEFRRQRRSTGHAQNILIMVQARPEPENSETGSTKRIKNPVYFLSAFEPETGTWTELPPLPGFESGLPMFCQLTGVGPDLVIIGGLDPMTWKASNSVFIYNFLTAKWRRGTHMPGQPRNFFACVSDSHGRVYVAGGHDNEKNALRSVLAYDVASDLWVPLPDMTTERDECKAVFHHGRLYVVGGYSTEAQGQFGKSTDVFDFATWQWSLVDEFLDYATCPRTLVDSNDDDGDDVYICCDGELMVMENATWQKLASIPSETRHVAHVGVLDGVVLVIGSSGYGEEYESLVFDVKNNSWRKLDSPKGFRGHVQTGWILKI >OIW11870 pep chromosome:LupAngTanjil_v1.0:LG05:11674544:11675950:-1 gene:TanjilG_25783 transcript:OIW11870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLEIIQKKRKAVQKFMKSDIAELLKNGHDYNAYTKVAELLFEQKLLSCYELIAKFVECISDHVEELSKNGDCPDECKEAIPSLIYAAARFADLPELRDFRTLFTEKFGNSLEPYINKEFVEKLSRDPPTREMKIKLLYDIAQEFSIEWDDKALEKTLYAQSLHGVIERPKVDKHRDESDYNKSKGKERNTTSQGKKDFNGETWIQQSSSEDEASTDMSSMDGTKSSSISLGSTSDDEVQIKSPSSYWLIPPPYRKQNTIDRGKNNLMKCNRKGKRSYVRGTSLPTEPTNAVETSTWHARKMSLDQEFKVGRGRVHPNLPEDYDDLAARVAALRGR >OIW11547 pep chromosome:LupAngTanjil_v1.0:LG05:22209158:22212328:-1 gene:TanjilG_26913 transcript:OIW11547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLPRCFFVTLLQECFFACLVYLSLGLNNQTLSCNLNDLSALKEFAGNLTKGTIITSWSNDTVCCNWIGVVCDSNVTGDSGTGRRVTKLVLPEMDLNGTISPSLAQLDQLILLNLSINNLVGGIPIEFTKLKQLRFLDLSHNMLSGQVSGPLSGLESIKILNVSSNLFSDDPFFQLGEFPHLLALNMSNNSFTGRFSSQICSASKGLHTLDLSANHFVGDLEGLDNCSTSLKKLHLDSNSFYGSLPDSLYSMSALEQFSVSANNLSGILSKNLSKLSSLKAFVVYGNQFSGELPNVFGKLLQLEQLVAHSNSLSGSLPSTLALCSKLRVLDLRNNSLSSTIDLDFTRLSNLNTLDLASNRLTGYLPSSLAYCRELKVLSLAKNELNGSIPENYANLTSLSFVSMSNNSLENLSRSLSTLRLCKNLTTLILTKNFHGEEIPENVTAGFESLMVLALGNCGLKGHIPSWLSNCRKLGVLDLSWNHLSGSIPSWIGGMDSLFYLDFSNNSLTGEIPKSLTELRGLISPNCSRSNFTASAGIPLLVKRNKSASGLKYNQASSFPPSIYLSNNMLTGNIWPEIGHLKSLHVLDLSRNNITGTIPSSMSEMGNLETLDLSYNDLHGTIPPSFNNLTFLSKFSVAYNHLQGAIPSGGQFLSFPSSSFEGNLGLCRDIDSPCNAVSNGFRHKISSGSARKFGIGNVLGITIGIGVGLALLLAVIVVKMSKRDKDKPIDNNDEEFSSRSHRLSGALVSSKLVLFQNSDCKDLTVPDLLKSTNNFNQANIVGCGGFGLVYKANLPNGTKAAIKKLSGDCGQIEREFQAEVEALSRAQHKNLVSLKGYCRHGNDRLLIYSYMENGSLDYWLHECVDENSALTWDVRLKIAQGAARGLAYLHKGCEPYIVHRDVKSSNILLDDKFEAHLADFGLSRLLQPYDTHVTTDLVGTLGYIPPEYSQTLTATFRGDVYSFGVVLLELLTGRRPVEVIKGKNCRNLVFWVSQMKYENKEHEIFDPAIWHKDHEKQLLEVLAITCKCLDQDPRQRPFIESVVSWLDAVSYNGPQQ >OIW11253 pep chromosome:LupAngTanjil_v1.0:LG05:26177422:26181059:1 gene:TanjilG_28344 transcript:OIW11253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPSWNVTNNNNTSPCNWIGIKCDKVGSVVEIKLPNSGLDGTLNRFDFSAFPNLTTLNLNLNNFVGEVPSGIGNLTNLITLDLGSNNFTYQMPPEIGNLLVLQVLILSNNSFLNQIPFELSSLQNLWLLDLGGNYLEDPDQTLFKGMVSLKELNLTYNNLKKVPTFVSKCPKLISLDLSLNIITGQIPINLLVSPRNLTILVLTNNYLEGPIPEEIKNVSNLKQLQLGQNKLNGTIPKEIGLLSYLEILELHENVFQGPIPSSIGNLIRLQRLDLHDSGVNSSIPDEIGLCTNLTYIDMSVNNITGLLPLSMSSLTRINYLDISYNRLSGELHSYLLSNWSELTLLLLNTNELYGKVPSEIGLLHNLTYLFLYENQFYGPIPPEIGNLSSLEYLDLSHNNHLNGSIPSTIGKLHNLINLTLASNKLSGPIPPEIGDLESLQNLFLNENDLVGTLPSAINRLKNLSILSLYTNKFSGTVPEDFGPPFLTTATFSNNGFSGKLPSGICNGGNLVILAANANNFDGPIPASLRNCTGLSRVRLENNLLSGDITNAFGIYLNLDFIDLGYNQLSGSLSSNWGECINLSSFSISSNKVHGNIPPELGKLPKLQRLNLSDNNLTGNIPVELFSSSSLLLTLSLSNNDLSGNIPAEIGELSELLTLDLSANNLSGPIPNEIGSCNSLVSLKLSMNNLDGHMPNELGNLAKLQILLDLSHNSLNGEIISELDKLTALEVLNLSHNQLSGAIPSSLEGLISLQIVDLSYNKLEGPLPDQVAFHNASADALMGNAGLCNEPASNDGNVNLSPCGGDKSKKSSKRKVIIAVVIPLAALIILLVLLRIFIVRHYRKVDQDEKNMSSKGMNSFFIWNHRTELEFTDICTATEDFSDKYSIGIGGQGCVYKAMLPSGEIFAVKRLHQHEEKGFSGNQEKNFTSEIHALTNIRHRNIIKMCGFSYWDRSIFFIYEYVERGSLGMLLKKEEEAKNMTWDIRLNMIKGLANALSYLHHDCKPIIVHRDITVNNVLVDSDLEPKISDFGTARLLQDGESNWTAPAGSYGYIAPELAFTMKVTEKCDVYSFGIVALEILVGKYPNELLSSLESGGFDQHLVDILDKRLAPPAAISGQLLILAATLILKCIRKDPLLRPTMNQVSQAFLSPADFSDYVPFSKITLLDLLHM >OIW12304 pep chromosome:LupAngTanjil_v1.0:LG05:3212163:3222010:-1 gene:TanjilG_06093 transcript:OIW12304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDENNVHAKSFRIASERLKDGDVSNLKLKLIVERNYDGRINNLPTASKVIVLIVGDIDSTSQRGIILETQSGQLMNYMSDIILFVISKPDVFKSPASDTYIIFGEAKIEDLSSQLQTQAAEQFKAPNVSNAALKPESSTTAQDDEEDVDETGVDPKDIDLVLTQAGVSRSRAVKALKAADGDIVAAIMELTN >OIW12295 pep chromosome:LupAngTanjil_v1.0:LG05:3060096:3061632:-1 gene:TanjilG_06084 transcript:OIW12295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNNYNTIFSNQNPHGKIITSDPIEMQDPSQPIHQGNEKKRHYRGVRQRPWGKWAAEIRDPKKAARVWLGTFDTAEAAASAYDAAALKFKGNKAKLNFPELIPSNSPPQPPPPPPQPVSTQPFTLSSSSDEGFPNLMQYAQVLCSRDDDDLQRAASGLYYHQYNESFSAPTLFSTTSSANATSSSNNVVSDQHEFGDYGSYFFNEERNRRE >OIW11717 pep chromosome:LupAngTanjil_v1.0:LG05:18755544:18757481:1 gene:TanjilG_14350 transcript:OIW11717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVARPHANNNAFERPRPHANNFVVDRPRPYHLNFEGRSKDNLSTIYITNFPDDFRTSDLWHFFLKWGRVRDVYIPFKRNSFGQRFERNRDRSCGRKMTQRTVWVNSTQNVRDGRSFADAVRGEYRVRRLKHRCGGDQEGREGILDEINVECGPRCIGKRMNVVEDSQGDDTLNGNYCEACFLSHGHDSRANLCGGIFNAFMESDTKNHGPSHDLPLNLISPECGLDVGPETNYNLHDSPHPMDNSLDSYAPILVEPKTPGLGTAAEINGPGMDEDQAAGGFLDVQKKEKSSSENFQETEK >OIW12239 pep chromosome:LupAngTanjil_v1.0:LG05:2286943:2287386:1 gene:TanjilG_06028 transcript:OIW12239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARQVVVFALIFVAIVGMVVADESPSASPSTSPSAKTSPSSSPPPKASSSSSPSPKSAQAPTLSPPSEEEKSPSASHASSPSSNEAISSPPAPSSEAIEASFAPEPAVAEEPITAAEAAPADASSGASALQISAVVAATAIASVFAL >OIW11480 pep chromosome:LupAngTanjil_v1.0:LG05:23115360:23116316:-1 gene:TanjilG_26846 transcript:OIW11480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAGAQTGVCYGRQGDNLPPPNDVVNLLKEQKIQRVRIYDPNQQVLEALQGSNIEVVLGIPNAYIQNISTSQENANKWVQDNVKTYANVKFRYIVVGNEVKPGDPFTQFVVPAMQNIQTAIFEAGLGKEIKVSTAIESGALGLYFPPSNGTFGSDYLEAYLGGVIKFLVNNDSPLLVNLYPYIIHISNPDDISVEYALFTSTSIPVKDNSLYYTNLFDVYVDAIYSALEKTGGNSVRIVVSETGWPSSGGTETTLDNAKTYNTNLVQHVKVGTPKRPAIPLETYVYAIFDENQKSSEYEKFWGLFLPNKQPKYPINLN >OIW11614 pep chromosome:LupAngTanjil_v1.0:LG05:21343741:21345057:-1 gene:TanjilG_15308 transcript:OIW11614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKVKPSALLFLIFFSITSGGNLIMANEQKTWCVAKPSSDQATLLSNINYACSQVDCRIMQRGCPCSYPDNLINRASIAMNLYYQSRGRNHWNCDFRASGLVVVTDPSYGNCIYA >OIW11908 pep chromosome:LupAngTanjil_v1.0:LG05:11275834:11279421:1 gene:TanjilG_18181 transcript:OIW11908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDTNPERNSNTHTDHYPLLMDKLETHDVHDHIIDITRNDEGSSSSSHNDQHSGMRILQNDDELNGSTQVPTHQRSSFSASRYNSRNSSSSRSEEYGHHRRNPLNSGLWISVELVVTLSQIIASIVVLSISRNENPQAPLFAWIVGYASGCVATLPILYWRYRNHNQSIEEDTSQPSQGSFARNPSEPSSYTAISVSHVSDEENSRATESATGSARIPGLFNSSTVDLAYICQVITKMVDSKHIHYGNSIQFDRLVEHFKMVLDCFFAVWFVVGNVWIFGGHTSPSDAPKLYRLCIVFLTFSCIGYAMPFILCATICCCLPCIISILGIREDFSQNRGASAESINALPIYKFKMKENESSDVQDVSTAIDEGGVLAAGTEKERLISGEDAVCCVCLAKYLDDDELRELPCFHVFHVECIDKWLKINASCPLCKSEVGTSNEQSTSTTNSGSQQ >OIW11668 pep chromosome:LupAngTanjil_v1.0:LG05:20394030:20397672:1 gene:TanjilG_10814 transcript:OIW11668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERTRLSTRVRRSGSSPSDESVLDLERNCCGHSNLPSLSPPMLQPFASAGQHCESNAAYFSWPSRFNDATEERANYFINLQKGVLPETLGRLPKGQQATTVLELMTIRAFHSKILRCYSLGTAIGFRIRRGVLTDIPAILVFVSRKVHKQWLSPIQCLPTALEGPGGVWCDVDVLEFSYFGAPEPVPKEQLYTEIVDDLRGGDPCIGSGSQVASQDTYGTLGAIVRSQTGSRQVGFLTNRHVAVDLDYPNQKMFHPLPPTLGPGVYLGAVERATSFITDELWYGIFAGINPETFVRADGAFIPFADDFDISTVTTSVRGVGDIGDVKIIDLQAPISTLIGKQVVKVGRSSGLTTGIVLAYALEYNDEKGICFLTDFLVVGENQQTFDLEGDSGSLIMFKGDNGEKPRPIGIIWGGTANRGRLKLKIGQPPENWTSGVDLGRLLNLLELDLIRTNEGLRVAVQEQRAASATAIGSIVGDSSTPDGSIPKDKGEDKFESLGLQIQSIPLAAETNSQDMKPSILETEFHLEDGIKAGPSIEHQFIPSFIGRSPLHKSHTQDRAATENLSSLRNGRDEDLSVSLQLGDNEAKRRRSEASTSTGEPQ >OIW12377 pep chromosome:LupAngTanjil_v1.0:LG05:246139:263202:-1 gene:TanjilG_04126 transcript:OIW12377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLLSFMLMVMVMVMMKIHHVTSSTGPHIADVNLLLPPKLTFPVEYTLQGTHGCFKWSWDHHDILSVLPEYNSSSKCSTSARLRSIAPYTGRKETAVYAADVQTGIVIRCKVFIDNISRIQIFHQSIKLDLDGLATLRVRAFDIEENVFSSLVGLQFAWNLMPEADGLPHHLVNVPLKDSPLGDSGGDLDMQIKLEDIGVFSDLFVVKGIEIGHEIVSVNLLEPQLKNLADEILLTVAEAMSLEPPSPVFVLVGAVIPYTLKVIRANAPQVVTLPSPHHRWSVSNASVAQVDSKTGLAYAWNLGMTTIIVEDTRVAGHVQVSSLNVVLPASLYLYIAPLSSSGDPGEGIQSIPLMARWYVISGHQYLIQIKVFTHDHDDAQEIYITENDDVKVHDNQSDYWKTFWVSNDIALKHGWWNSKILKAYSPGLGKLTASLSYPVGADEKKEIMKVEQEIMVCDQVKFTLSNESRIIVLPWAPGVYQEAELKAIGGCAKAVNDYKWLSSDTSTVSVSVFGIIQAKKPGKATIKVLSAYDSLNYDEILVEVSTPSSMVMLHSFPVETVVGSNLQAAVTMKAANGAFFYRCDAFNYLIKWKAGSESFVIVNRTQDLETVPNTQLHSSIEGFPCSWTYIHASNPGQAVIHAVLSKEYHQYSHGPVVLKASLHIAAYLPLIVHQAGDGNKFGGYWLDLALTESSSLEELYLVPGTNLDVLLVGGPERWDKGVEFIETVEVLDETNTLVEDGVRVQWVSDSYKSLYRVLCQKRGTYKLLFKRGNLVGDDHPLPSVGEAWLLVTCSIPSSIVLIADETVNERELIRAAAQADRSSGQIRDAPITVANGRTTRISAVGITDSGEAFANSSSLSLKWELGSCEALAYWDYAFDSVKFNNWERFLVLQNETGLCIVRATVTGFPDSLGEDTLHQFPATENLLTDAIRLQLVSSLRVDPEFNLVYFNPNAKVNLSITGGSCFLEAITNDSQVVEVFQPPSGLQCLQLILSPKGLGTANLTLYDIGLNPPLRASALVQVADLEWIKIMPEEISLMEGSFQTIDLSAGINDGSIFHASQFVYMNLHVHVEDSIIELVDIDNISSLVGGHVNAPSFKIKGMHLGITTLYVSAMQHFGNLVQSQAMKVEVYAPPRIHPSEIFLLPGASYVLTMQGGPTLGGRVEYSIENDKIASIDRYSGRLLAISTGNTTIHARIFVNDNSVICEALSILRVGVPSTVTLHAQSEQLGVGNILPIYPLFPKGNLFSFYELCKNYQWTIEDEKVLNFKAAESLLGEKYGIQFTAPEESQVSGYLDENDIKFINVLYGRSAGKTNVAVSFSCELPTSGSKTLSKSYSSSFSVTVVPNLPLALGIPITWILPPHYTTTSLLPSSLESYTQLKSLNRKGTISYSLLRGSEKNDALQTDAIFIDGDRIKTTSSNNVACIQAKDRITGRMEIASCIKVAEVTQIRTASKEVLFNVIDLAVGAELDLQTSFYDALGNSFYEAYNTVPFFAETNYPDVLFINRTDDGKGNVHIKAIRHGKALVRVSISEAPQKSDYVLIRVGAHIYPKNPVLHIGSPLNFSIKGLNDKVSGQWFSTNESVVSVDALSGMAKAVGEGSVQVSFKYARSKLQTTVTVLRGNTITVHAPKELLTNVPYPSKGYNFSVKFSESLGAPRGSKGISFDCRIDPPYLGYVKPWMDLNFGDTYCLFFPYTPEHLVHSVPKLEGIRQEISLSVYATLKELEHVSGSASALFIGGFSIMEFGKNSMQLNLTSGSNKSSITILGNTDVEIHWNRRDLIMISPIHKENSGVGGYAQYECSPLQKYHLRSQRVEIDINHEPEETTTSSILTLNKAFWASILGCLLLSIFTVAIFIRYLDRPERLQQSSTPVTGSNAAPTTPDRSSPVVINEMSPRTPQPFVDYVRRTIDETPYYNREARRRVNPQNTY >OIW12421 pep chromosome:LupAngTanjil_v1.0:LG05:761700:766951:-1 gene:TanjilG_04170 transcript:OIW12421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSIGSTRRGGGGSWSQSILPSTNPKSKVPRKQRRRTVLKDFIFSNFFTIGIVLSLSLFILIIFKFGVPKPITSHFRTRSNRYRKPVNTKPVFFSDGKGGNYTLLAASVDITTKGLYDKIEFLDVDGGAWKQGWSVTYRGNEWDSEKLKIFVVPHSHNDPGWKLTVEEYYDRQSRHILDTIVETLQKDPRRKFIWEEMSYLERWWRDAPDEMKESFINLVKNGQLEIVGGGWVMNDEANSHYFAIIEQIAEGNMWLNDTIGFIPKNSWAIDPFGYSPTMAYLLRRMGFDNMLIQRAHYELKKELAWHKNLEYIWRQSWDAEETTDIFVHMMPFYSYDIPHTCGPEPAICCQFDFARMEGSAYESCPWGEQPVETTQENVQERALKLLDQYKKKSTLYRTNTLLVPLGDDFRYVNVEEADAQFINYQMLFDYINSNPSLNAEAKFGTLEDYFQTLRQEAERINYTAPGEIGSGLVEGFPSLSGDFFTYADRQQDYWSGYYVSRPFFKAVDRVLEQTLRATDMMVALLLGFCRRSQCEKLSMGFSYKLTAARRNLALFQHHDGVTGTAKDHVVMDYGTRMHTSLQDLQIFMSKAIEPLLGIRFEKLDQSPSQFEPTLVRSRYDAQPTHKVNSIREGTYETAVFFNPLEQTREEVVMVVVDNPDITVVDSNWSCVQSQISPELQHHNSSIFTGKHRLYWEVSVPAMGLEPYYLVNGFIGCDKARPAKMKVFSKSSPIKCPTPYTCIQIEADVAEIENQHQRLTFDVRYGLLRKISLKNSSPNIVNEEIGMYSSSGSGAYLFKPNGDAQPIIEEGGQLLISEGPLMQEVYSYPKTGWEEAPISHSTRLYNGESTVQGFVVEKEYHVELLGDDFDDRELIVRYKTDINSKKIFYSDLNGFQMSRRQTYDKIPLQGNYYPMPSLAFIQGSNGQRFSVHSRQSLGVASLKEGWLEIMLDRRLVRDDGRGLGQGVMDNRVMNVVFHITVEANISTIPNLASSSLPYSPSLFSHRAGSHLNYPLHAFIAKKAHELSVKPPPRSFSPLAAPLPCDLHIVNFKVPKPSKFLQQPPEGSRFALILHRQHWDYSYCRKGRSQCTNLADNPVNLFTTFKNITVTKAKATSLNLLHEDPEVMGFTDQFADVAQEGHVAISPMEIQAYKLEFRSQR >OIW12079 pep chromosome:LupAngTanjil_v1.0:LG05:8572027:8575932:1 gene:TanjilG_15319 transcript:OIW12079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASITLSHAAPSQLCSGKSGIFSPSQALLVKSLRTQIIRKGKEMRITCQATSIAADRVPDLEKRKLMNLLLLGALSLPTAGMLVPYATFFAPPGSGSSTGGVVAKDALGNDVLAEEWLKTHGPGDRTLTQGLKGDPTYLVVEKDRTLATYGINAVCTHLGCVVPWNKAENKFMCPCHGSQYNDQGRVVRGPAPLSLALSHADVDDGKVLFVPWVETDFRTGEAPWWA >OIW12080 pep chromosome:LupAngTanjil_v1.0:LG05:8649070:8650572:1 gene:TanjilG_15320 transcript:OIW12080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGDYVLVAKNLYLVVQRTYKNERIMEGLNITEEMLSPNSVTRQLNDQISLAKSFVVIAKESNNLQFAWELSAQIRNSQILLSNAATRRAPLTTTESESAIHDMALLLYQAHQLHYDGATVIMRFKAKIQALEEQMNSVSEKSSKYGQIAAEEVPKSLYCLGVRLTTEWFRNLIMQKKLKNKRQVEMKLKDNSLYHFCIFSDNILATSVVVNSTAINSKKPDMIVFHLVTDEINYAAMKAWFVMNDFRGVIVEVRKFEDFTWLNASYVPVLKQLQDSEVQNYYFSGNSDSGRTPIKFRNPKYLSMLNHLRFYIPEVFPALKKVVFLDDDVVVQKDLSDLFSIDLNGNVNGAVETCMETFHRYHKYLNYSHPLIRAHFDPDACGWAFGMNVFDLVEWRKKNVTGIYHYWQEKNVDRTLWKLGTLPPGLLTFYGLTEPLDPSWHVLGFGYTNVDPQLIERGAVLHFNGNSKPWLKIGIEKYKPLWEKYVEYSHPLLQHCNFH >OIW12231 pep chromosome:LupAngTanjil_v1.0:LG05:2219474:2222137:1 gene:TanjilG_06020 transcript:OIW12231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENPLTNEYARFFADDTDWYNDIVLGTLLPHFMWDPLPRFFQTWLRNYIGGVLLYFISGFAWCFYIYYFKRNAFIPKDAIPSRKAMLLQISVAMKAMPWYSLLPTISEYLTEIGWTKSFPRLYHVGWLPYVSYLAIYVVIVEFGIYWMHRELHDIKPLYKHLHATHHIYNKQNTLSPFAGLAFHPLDGILQALPHSIALFIVPVHFTAHLALLFVEAIWTANIHDCIHGKVWPVMGAGYHTIHHTTYRHNYGHYTIWMDWMFGTLRDPEEDEGKKM >OIW11948 pep chromosome:LupAngTanjil_v1.0:LG05:10305552:10310497:1 gene:TanjilG_02155 transcript:OIW11948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAGKTRTMIEGLVKQGSFKWLLGKMSYFDEELEDIENSPSAGKNWISELSAVANLVVRRCSKILKISSSELQESFNREASDSIKHPSQYARNFLEYCCFKALSLSTRMTGHLFDKTFRRLTFDMMLAWETPAAASQPLTNVDDDVSVGLEAFCRIVPAIPIIANVIISEHLFEVLSSSTGGRLQFPVYDKYLSGLERAIKKMKCNSESSLLSAIRSSRGEKILEIDGTVTTQPVLEHVGTSAWPGRLILTDHSLYFEAHRVVSFEKPKRYDLSDDLKQIVKPELTGPWGTRLFDKAVYYSSVSLSEPAVFEFPELKGHARRDYWLAIIQEILNVHKFISKYIMKGVARDEAIWKAVLGVLRLQAIQDISSSIPIQYDALLMFNLCDQLPGGDLILETLANMSNLRDSGRDNDSRAGSGMYSISATDMVSNLGFAFGTSSNNSNESRIAVGEISVGEKSSLERAVKESKNNYKKVVSARATVEGVKVDGIDTNLAVMKELLFPLNELRKSLKSLADWDDPWKSAGFSLFFSYIIYRGWVGYAVALVLTFFSAFMIITRYFNLGGPVAEVKVIVPPPMNTMEQLLAVQNVVSQAEQAIQDGNIILLKFRGLLLSIFPQATEKLAFVLLSTALILVFLPAKYITLILFLEIFTKYSPLRKASTEKCTRRLREWWFSIPAAPVILERDKEEKKKK >OIW11429 pep chromosome:LupAngTanjil_v1.0:LG05:23659281:23660929:1 gene:TanjilG_26795 transcript:OIW11429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRLATLSEEPINEQDTNNNTTSKKGFLKSYSLSTRNLFNSIKSHFFNKKHDLKILLSVLACPLFPVPPNPKLPFNQVSSSAEYIIQHFTAATGCRKLEGAVKNVFATGKVTMGVVDEVGGTGVLEKGCFVMWQMAPNKWQIEMVVAGHKVEAGCDGTIAWRHTPWLGAHAAKGGVRPLRRTIQASSL >OIW11446 pep chromosome:LupAngTanjil_v1.0:LG05:23491631:23498861:1 gene:TanjilG_26812 transcript:OIW11446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAAATIVVPLSLLFFASGLVVNLIQAVCYVLVRPLSKNLYRRINRTVAELLWLELVWIIDWWAGVKVQVFADRETLRLMGKEHALVICNHRSDIDWLVGWVLAQRSGCLGSSLAVMKKSSKFLPVIGWSMWFSEYLFLERSWAKDEKTLKSGIQRLSDYPLPFWLALFVEGTRFTQAKLLAAQEYASSTGLPIPRNTLIPRTKGFVAAVSQMRSFVPAVYDITMSIPKSSPTPTMLRLLKGQPSVVHVHIKRHLMKELPETDESVAQWCRDVFVAKDALLDKHIAEDTFSGQESQDSGRPIKSLVVAISWACLVIAGFIKFLQWSSLLSSWKGVAFSSFGLAVVTALMQILIRFSQSERSTPAKVVPAKKD >OIW11888 pep chromosome:LupAngTanjil_v1.0:LG05:11837643:11843102:1 gene:TanjilG_25801 transcript:OIW11888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGRQRVASRDHISASDKEGNLGRKTPSSLTASVRLPIVKPAWRRSLWHVVVASISSFLYGYHVGVVNETLESISVDLSFSGNTLAEGLVVSTCLVGAFLGSLFSGWIADGIGRRRSFQLCALPMIIGAGMSATAKSLWGMLLGRLFVGTGMGLGPPVAALYVAEVSPPSVRGTYGGLTQIATCLGLMSSLFIGFPAKGILGWWRVCFWVSIIPAAALVLFMEICAESPHWLLKRGRSVEAEAEFEKLLGAVHVKPAMAELSKYGDESDTVKLSELLYGCHSRVMFIGSALFALQQLSGINAVFYFSSTVFESFGVPSYLANTCVGICNLLGSVVAMILMDKLGRKVLLLGSFLGMAGSMGLQVIAASSFVSGIGAMYLSVGGMLLFVLSFALGAGPVPCLLMSEILPGRIRAKAMAICLAVHWVINFFVGLLFLRLLEQLGPQLLYTFFGSCSLLAVIFVKKFVLETKGKSLHEIEIALLAQGTS >OIW11951 pep chromosome:LupAngTanjil_v1.0:LG05:10327358:10332288:1 gene:TanjilG_02158 transcript:OIW11951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTISNAKLSEFSFLNSNSSSFSLSHFPRSSTLFLSHSNFPFPTLIFSSLRTTQHKNTPNSNPNPTHNPSSSKPNISAPWLPKTPSSDNSPKRVADSTTKDSFSNAAEKGHFQSSDKGQNAVERIVLRLRNLGLTPEDEKEAEAEGEAEGTEANVNDAVPVTGEERLGELLKREWVRPDSVLLLDEDKVNGELVLPWEREEYEVVDKKEKEKGEEGENEFRKRRAKAPSLAELTLEDELLRRLRREGMTIRERINVPKAGLTEDVMDKIHKTWRREELVRLKFHEELARDMKTAHKIVERRTGGLVTWSSGSVMNVYRGINYQGPASESQLNEREGDGFFVPDVSLGSLSRTKDSNETSTLEKTEPVVKDQEKSENMTEEEAEYNALLDGLGPRFVEWWGTGILPVDADKLPPTVPGYKTPFRLLPARMRSNLTNAEMTNLRNLARSLPCHFALGRNRHHQGLACAILKLWDKSLLAKIAVKRGIQNTNNKLMSEELKTLTGGTLLSRNKYFIVIYRGKDFVPTTVASVLAEREELTKQVQDVEEKVRFRAVDATPSGQDEATPFGPDEATPFGPDEATPSGQDEATPSGQDEATPSGQDEATPSGQDEATPKAGSLAEFYEAQARWGRDITAEERDKMLEEAAKAKSLRIVRQIEHKLALAQGKKHRAEKLMSKIEASMVPAGPDYDQETITDEERAMFRRVGLRMKPYLPLGIRGVFDGVIENMHLHWKYRELVKLITKQKTLAFVEDTARLLEYESGGILVAIERVPKGFALIFYRGKNYRRPITIRPRNLLTKAKALKRSVAMQRHEALSQHITELEKTIYQMKKELGMPQDLELEERWNTEDRNQSDNFSEFTESEDEDSDGFDDMEDSDWEDDED >OIW12196 pep chromosome:LupAngTanjil_v1.0:LG05:5164811:5165371:1 gene:TanjilG_28604 transcript:OIW12196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKKIFIRARSTCNRRQPLLQRSNSCGRTRTRLGEAVGDSAAVCCCCPAVVANVMILAIYKVPASLCRRAMEKKKKHKQKHLSKLSSTVAKEGLVQDKRSSKCRCCDDISIRGYPTYDNGDDIANVKSRNVVEQGEEKEVIELEKEMWARFSTTGFWRSPSQRDSTFSPEPIIGSVSVPNLEVLAA >OIW11386 pep chromosome:LupAngTanjil_v1.0:LG05:24023287:24026905:-1 gene:TanjilG_19642 transcript:OIW11386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATYYHGNSEIQGGSADGLQTLVLMNPGYIHYSDAPPQPPLSHAPTNLVFLNNNNNTNTNNNFNPHAPQSHQTQHFVGVPLSSSAAAAASQDLNHHHHSMHPHHDVSALHGFMPRMQYNLWNSVDTNLAAREIPRSQQGLSLSLSSQQAGFGSFLVDREVPTQVQPPDISGDDLGGSSPSPASVVTNGGASGIQSVVMSSKYLKAAHELLDEVVNVNNGINTELAKKSGGGCGGGSKVIGESSGGAASGDGGSIGGEGGGKRELSTVERQEIQMKKAKLISMLDEVDRRYRQYHHQMEIVVSSFEQVAGIGSARTYTSLALQTISKQFRCLKDAITGQIRAANKSLGEEDYFGGKSEGSRLKYVDHHLRQQRALQQLGLVQHNAWRPQRGLPERSVSVLRAWLFEHFLHPYPKDSDKHMLAKQTGLTRSQVSNWFINARVRLWKPMVEEMYMEEMKENEQNNGSEDKSSKSNEDPSMKNTASLDKVPATEIEPKSFNPKQQVSKSQNTPIAGESVRNQSGFSFNIGSSELDGITQGSPKKARNHEIMHSTNSVLSMNMDIKPNEQLSMKFGDERQGRDEYSFMGNQTNFIGGFGQYPIGDIGRFDAEQFTPSFQGNGVSLTLGLDSLPGTHQNFLPNQNIQLGRSMDISETNEFGAINTSPSNSTAAFENINMQNPKRFAAQLLPDFVAQIRH >OIW12403 pep chromosome:LupAngTanjil_v1.0:LG05:529842:534008:1 gene:TanjilG_04152 transcript:OIW12403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKSESGGYVRADQIDLKRLDEQLQRHLSRACTMEKNKEKEKEECEGERSTSRTRQEWEIDPSKLIIKTVIARGTFGTVHRGIYDGIDVAVKLLDWGEEGHRSDAEIASLRAAFTQEVAVWHKLDHPNVTKFLGATMGSSELQIQTENGHIGMPSNVCCVVVEYCPGGALKSYLIKNRRRKLAFKVVVQLALDLARGLSYLHLKKIVHRDVKTENMLLDKARTLKIADFGVARIEASNPHDMTGETGTLGYMAPEVLNGNPYNRKCDVYSFGICLWEIYCCDMPYPDLSFSEVTSAVVRQNLRPEIPRCCPSALSNVMKRCWDANPDKRPEMDEVVTMLEAIDTSKGGGMIPGDQPQGCLCFRRYRGP >OIW11384 pep chromosome:LupAngTanjil_v1.0:LG05:24053426:24058888:-1 gene:TanjilG_19640 transcript:OIW11384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPNAFSFLSKGWREVRDSADADLRLMKDRANSFKNLATSFDRGLDNFLNSATPPPFSIPAMNSPPPPEIEFVKKLRPKLSAMRRAYSSPDFSKNVLEKWRPKARIRIDLSAIRNAIVSEMEEVEESDENVVEFEREKRGRRLSLKEFWDWGEWKGDGEVRDWEPIRKLKSRFKEFEKNSEFVEKFKSSLKSMCRHPQESKEVQPLDVPELLAYFVKQSGPFLDQIGVRREICDKIVESLYSKRKNKLLLQSLPGEESAVAGNENVNDDLDLRIASVLQSTGHRYDGGLWTHPAKRDASDNERHVAIVTTASLPWMTGTAVNPLFRAAYLSQSGKQKVTLLVPWLCKSDQEQVYPSNVIFTSPEEQEAYIRNWLGERIGFKADFKISFYPGKFSKSRRSIIPAGDTSQFIPSRDADIAILEEPEHLNWYHHGKRWTDKFNHVVGIVHTNYLEYIKREKNGALQAFLVKHINNWVTRAYCDKVLRLSASTQDLPKSVICNVHGVNPKFLQIGEKVAAERKLGQIAFTKGAYFLGKMVWAKGYKELIDLLSKHKTDLDGFNMDVFGSGEDANEVHMAARRLDLNLNFQNGRDHADDSLHGYKVFINPSVSDVLCTATAEALAMGKFVVCADHPSNEFFMSFPNCLTYKTSNDFVAKVKEALENEPHPLTPGQRYQLSWEAATQRFIEYSELDGILNNEKDGAKSSTYNRKRITKSVSMPNLTQIVDGSLAFAHYCMTGNEFLRLCSGAMPGTRDYDKQHCKDLNLLPPQVENPIYGW >OIW11324 pep chromosome:LupAngTanjil_v1.0:LG05:24657708:24660388:-1 gene:TanjilG_20473 transcript:OIW11324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEHLASIFGTEKDRVNCPFYFKIGACRHGDRCSRLHTKPTISPTILLSNMYQRPDMNINVNLNVTDPNQPPLSEPPQSQSSLDPDKVQDHFEDFYQDLFEELSKYGQIQSLNICDNLADHMVGNVYVQFKEEDHAANALMNLTGRFYSGRPIIVDFSPVTDFREATCRQYEENVCNRGGYCNFMHLKKISRELRKQLFGRNKRWNDRGGSRSRSPPRSHAYEEHSHSGRGSGRRDVDRYHGSRDRRPRSRSPRRREKRSRSPVDRNTRRARESSAERRAKIEQWNRDKEQGESGNKNNTNSNDYDEQGAEQNGRESGDH >OIW11704 pep chromosome:LupAngTanjil_v1.0:LG05:19161122:19162732:-1 gene:TanjilG_12223 transcript:OIW11704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHRCCSKQKIKRGLWSPEEDDKLLKYVTSHGHKRLQRCGKSCRLRWINYLRPDLKRGSFTDEEEQIIIDVHRILGNRQTLSSLPKAQRSTFQTPSTIISSQSQTPNIVPYELSDLPYEPLTENPTNICSSSYMDPSEIRLSNNGNNNIWVSSIDAEDLRVQSLEEGLQSQVQVEKDKTCEKGIEEIKEAEKDNLETNASLENSNFDFGLLESLLSSDFMSHDLNYMDELAWNF >OIW12316 pep chromosome:LupAngTanjil_v1.0:LG05:1990718:1996212:-1 gene:TanjilG_32432 transcript:OIW12316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRISGGVTVCSGHRFLPLPNLTRPQLTNATRSRLVTVHLKSNGKLVFIKLNDRLKVVTKASDSSTTQSSSSSSSLTVPDDEFSISKVSFGVIGLSIGVSLLSYGFGAYFNILPGSEWSAIMLTYGFPLAIIGMALKYAELKPVPCITYSDAQRLQEKCATPILKQVKSDVIRYRYGDEQHLEEALKRIFQYGQGGGIPRRSAPVLEMIREEVTQDGKYSLVLVFKARALKLSDFEQRQAKFTSFFGPGVTAEVGKGENDLYEVRLISNTDPNASPS >OIW11720 pep chromosome:LupAngTanjil_v1.0:LG05:18231199:18234611:-1 gene:TanjilG_20204 transcript:OIW11720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEATQRYAVVTGSNKGIGFEIVRQLASAGIKVVLTARDEKRGLAALEKLNALGLSHLLLFHQLDVADAASVASLAHFVKSEFGKLDILVNNAGIGGVVIKDHDIISSALLNAAALPEDDARKAWTQTYELAEECLKINYYGAKITAESLLPLLQKSESPRIVNVSSTLGKLKFVSNEWAKGVFSDANNLTEEKVDEVIKEFLKDFKEGALESKGWPRTLGAYTISKAAMNAYTRILAKNYPTISINSVCPGYVKTDITSNTGFLTAEEGAASPVSLALLPNGSPSGLFYQRTEASSDW >OIW11657 pep chromosome:LupAngTanjil_v1.0:LG05:20604593:20610850:-1 gene:TanjilG_24351 transcript:OIW11657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEVLSEEHILKIKEVYDLFDKDGCIVVEELATMLSDFSRVKEVHDNATCCGMTFDVFLGNTFVHAYAKCKCFDGARVLIAFFTNNEYEKGVALFSQMEKAMETLRKLQNMGFKPNQITISSNLPACSILESLRMGKERMPMEPTARAWVRFFVLAEFIRMWNWQTFQPSNYLRLSPTTLGNYVSLFNILVTAKLWSEASETGILMKERNNSKSPCLLAICKNLSIDSRTDTLNVVHALEGHISVADLTEGIRPGYNTVFSSYGSSTMRPHNIRSTWNLRVMVPLVSASPLNHKANGNEYDEE >OIW11227 pep chromosome:LupAngTanjil_v1.0:LG05:26003041:26014908:-1 gene:TanjilG_28318 transcript:OIW11227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARARADYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTVELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKILVGNKADMDESKRAVPTSKGQALADEYGIKFFETSAKTNLNVEEVFLSIARDIKQRLADTDSKPESITLEAYPSELSLTAWICLLGTVEGGIVALVMERGNPSIWSLTWDTRLLAAMYSGVVCSGLAYYIQGVVMRTRGPVFVTAFSPLCMVIVAILGSFILAEQMFLGRVIGAIIIIFGLYLVVWGKSKDYNSPRPISIELSPINEEHILPTKQVVEEGNVIKEHFDIIVRDEQV >OIW11990 pep chromosome:LupAngTanjil_v1.0:LG05:10820151:10821524:-1 gene:TanjilG_02197 transcript:OIW11990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELDISCYKYGINNATSPVSDIDIKALSLALTKLHKFEKLDCIDLSFSSISDELLYSVAKASPPLRKLLLQGIRDYSYAGIYCLFSKCQFVQHMDLYHGAEFLEDEQIRELPMLLRNLMHINLSGCYNRTAISFFALIMNCPLLNEIIMEETYLGEIEHGLVALEMANCSDITAEGVKQVVKGCKLLRDINLTSCYGVDPSVVAWMVSSRPSLTRIFAPPHFRLSESQKELFLGHGCLVEVCHI >OIW11328 pep chromosome:LupAngTanjil_v1.0:LG05:24628901:24629851:-1 gene:TanjilG_20477 transcript:OIW11328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGIVSCYNEHAIRVSDSYCSRNSSTSNQPYICPKQNPSTPHSITCIYKLNLISHQTQFLITLTWTKKLIHQGFTINIHPYDSDSRSSLLLQKNKGTETFQVYNFEVQLIWDISDAIYYEGPEPVKGFYIIVLINSELGLLLGDKEEEWLKNMKIVNNSSMVSRSELFFNSTNVYATKAKFCETGISHDIVIKCGVVDEGSKSYNHYHNHSYSYNHNNYGLCVYMDKKTIFEVKRLRWNFRGNQTIFVDGLVVDFMWDVHDWIFNQNKGSIVFMFRTRSGLDHRLWLEEKNLKTNKEFQDRGIGLSLLICACKNPD >OIW11669 pep chromosome:LupAngTanjil_v1.0:LG05:20357497:20363984:-1 gene:TanjilG_10815 transcript:OIW11669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAFGDGPPNPQNSKQVGDYPSEGEVFDASQYDFFGNNFVEEIELGGLEDEEGELAPVEFDEEEEIFFNREEAEDIRSISEVDDLSATFSKLNKGVISGSRSTGLVGEHGSRENSSAAEWTHRGDIFNFCEQHDYDTDGGQDIKRWSSQPHTSLAQLQESNDLYRTSSYPEQQQHQQLSSEPIMVPKSSFISYPHSGGRSQHASPNQSPGHLNIPYGASGSQMLFSSPNGSHLSNPQIPFTGLNHGSPLGGNMHRFASGSPVNNQMPNQWVNQGELYPGDHPKIMNNLLQQQLPLRNGSIPPHLMLQQSQHRMHHPSQPSTGYLQGLQSHLSNPNISSGFPMINKYDQMLGMMELRNQIPKLAQRGRQNLHYSPQGFDMSNHRSNSGWPRFRSKCMMTEEIENILRMHLAATQSNDPYVDDYYHQACLAKKSSGAKLKHHFCPNQIREHPLRASDNNEPHAFLQVDALGRVPFSSIRRPRPLLEVDPPNSLPTRNTDQNISEKPLEQEPLLAARVTIEDGLCSLLDVDDIDRFLQFNQLQDGGIHLKQKRQGLLEGLAASLQLVDPLGKSGHSVGLDAKDDLVFLRIVSLPKGRKLLAKYLQLLFQGGDLMRIVCMAIFRHLRFLFGALPSDPVAAETVSNLARVVAKCIHEMDLGALSICLASVVCSSEQPPLRPLGSSAGDGASLVLVSVLERATELLTDPHAANNYNITNRSLWQASFDSFFGLLTKYCVNKYDSVMQSLLIQGTPSMAVIGSDAARAISREMPVELLRASLPHTDDRQKKQLLDFAQRSMPVVGFNSNSGCNGSHLNSESVPS >OIW11603 pep chromosome:LupAngTanjil_v1.0:LG05:21471562:21476269:-1 gene:TanjilG_15297 transcript:OIW11603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMYNFFSGFVLFFVIHHLFIAVIRVKGKGLGFGECDLFKGTWVKDEDLYPLYQPDTCPFIEREFRCEGNGRPDLMYTHYRWQPLACNLVRFNALDFLEKMRGKSIMFVGDSLSRNQWQSLTCMLHSSLPDSTYTLDRVTDVSIFTFTEYNVKIMLDRNVYLVDVVREDIGRVLKLDSIEGSKLWRGIDMLIFNTWHWWSRRGPTQPWDYIQEGSEIMKDMDRMEAFEKALKTWGAWVDANIDPAKVKVFFQGISPSHYNGSLWNEPSAKSCVREITPVVGSTYPGGLPPAVTVLKSVLRTIKKPVTLLDITTLSLLRKDGHPSIYGLGGATGMDCSHWCLPGVPDTWNEILYNLI >OIW11582 pep chromosome:LupAngTanjil_v1.0:LG05:21796298:21799068:-1 gene:TanjilG_26948 transcript:OIW11582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVGPISQDWEPVVIRKKAPNAAAKKDEKAVNAARRAGAEIETVKKSTAGTNRAASSSTTLNTRKLDEETENLHHERVPTELKKAIMQARLEKKLTQAQLAQIINEKPQIIQEYESGKAIPNQQIIGKLERALGAKLRGKK >OIW12440 pep chromosome:LupAngTanjil_v1.0:LG05:975273:985143:-1 gene:TanjilG_04189 transcript:OIW12440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIYSEGILWRRQGGGKLIEVDKSDIMGVTWMKVPRTNQLGVQIKDGLFYKFTGFRDQDVASLTTFFQNTFGIIVEEKQLSVTGRNWGEVDLNGNMLAFMVGSKQAFEVSLADVAQTQLQGKNDVILEFHVDDTTGANEKDSLMEMSFHIPNSNTQFIGDENHPPAQVFRDQIMSRADVGAGGEDAVVTFEGIAILTPRGRYSVELHLSFLRLQGQANDFKIQYSSVVRLFLLPKSNQPHTFVIISLDPPIRKGQTLYPHIVMQFETDLVVQSELSMGEDLYNTKYKDKLDMSYKGLIHEVFTTILRGLSGAKVTKPGKFRSCQDGYAVKSSLKAEDGILYPLEKSFFFLPKPPTLILHEEIDYVEFERHAAGGSNMHYFDLLVRLKSETEHLFRNIQRNEYHNLYGFISSKGLKIMNLGDAQAPGGMAKVLETDDDDAVDPHLERIKNEAGGGDESDEEDEDFVLDKDDGGSPTDDSGGEDSDGSQSGSDDEKEASLYLPKPAKKEPKKDLSSKASTSKRKPKEAEEDGKKKKQKKKKDPNAPKRALSGFMFFSQMERENLKKTNPGISFTDVGRVLGEKWKKMSAEEKEPYEAKARADKIRYKDEISGYNKTPQPTNVDSGNESDTQSEEAKKLALETYVPNPEEISEDLNLHVHKAMEEYELELTNSTTRRVLRQGKRARGPCQASNPIDRCWRCRRNWANDRFRLARCSKGFGRRATGGLGGPIYVVTDPSDKDLANPTPGTLRYAATRKGKLWIIFKTSMVITLQQELMISSDKTIDGRGANVQIKGGAGLTMQFVNNVIVHNLRISKIVAKSGGMIRDSFDHVGFRTRSDGDAVSIYQSSNIWIDHLSLSQSEDGLVDVIQGSTGITISNCHMTKHNDVMLLGASDSFSGDKIMQVTVAFNHFGQGLIQRMPRVRWGFVHVVNNDYTHWIMYAIGGSSGPTLLSQGNRFIAPNNAAAKEITHRDYASPDVWKNWQWSSVEDHFMNGAKFIESGQHVKNLPYKKQFIMKPRPGIHANRLTRWAGALPCFAGLPC >OIW12087 pep chromosome:LupAngTanjil_v1.0:LG05:7973255:7974191:-1 gene:TanjilG_24835 transcript:OIW12087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLKEMQTHKDVSGMFGSSTLMATRTEVAPGKPEKAEDGSSSSTKGKEKVLVEVVEEVDEGVDEEMEHGQSEKEAVTFECNDSNQEEIEGYVVEFAVVEDDVGEEEEDI >OIW11284 pep chromosome:LupAngTanjil_v1.0:LG05:26327947:26328978:1 gene:TanjilG_28375 transcript:OIW11284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSELIEGLPDAVSIRCLARVPFYLHPKLELVSHSWRAAIHSPELFNARHEIGSSQDLLCVCAYDPENLWQLYDPLRDLWITLPVLPSKITHLAHFGAVSTAGKLFIIGGGSGGVDPLTGDQDGCFATDEVWSYDPVLRHWATRASMLVPRSMFACCVLNGKIIVAGGFTTCRKSISHAEIYDPDNDVWTPMPDLHRTHNSACSGVVIEGKVHVLHKDMSTVQVLDNAGQGWTVEEFEWLQGPMAVVQGALYVISHGLIKQDKEVRKVLDSASEFRRRFGFAMIGLGDELYVIGGLIGPDRMSDIQPLSDVDVLTLGSERPTWRRAASMTRCQGTILGCTVLRI >OIW12092 pep chromosome:LupAngTanjil_v1.0:LG05:7696658:7699788:1 gene:TanjilG_06297 transcript:OIW12092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVALNEAASWYMLRFFFISLLSFNVCHGKLDFSGTDTAEEEANALPDTELDSCNGIFLTYTLISREKEYPHVKNMSKQAWAFKSEATLMNVGDEELNDWQMYIGFQYSEILVSADGAVAIDADDFPAPVGNGTTLAGNPMMDLKTAIETAGDVNQMSVKVKMSGTQFGLGKEGKPMPKNIKLVNDGFKCPAASRKGSRMFVCCKKDPKAKAKLSKKTKFPPRRNGDLTIAYDVLQAFSNNYLAQVTIDNNHPLGRLDHWNITWEWQHGEFINTVRGAFARIKDPSECVYGPAARYYGDLDFSQVANCQKKPIISDLPSERKDDEKIGKLPWCCRNGTVLPPIMDKNKARSLFQIQVFKMPPDDNRTEITPPMKWHVDGVINPRYQCGPPIRVDPQEFPDPSGLSAIQTAVASWQVVCNITTPKPQTNRCCVSFSAFYNESAIPCNTCACGCDVTRKCNDKASPMLLPPDALLVPFVNRTKKALAWAKLKHNNVPTKLPCGDNCPVSINWHVNTDHKVGWTARITIFNWEDYTFDDWFTALKFDRYFEDFQDVYSFNGTRIPGLKTIFLQGVKGLNYLAGETNGTHEYDPRVPGKQQSVLSFNKKNIKDFDITRDGFPTTVYFNGEECALPPIRPMKSLGHHRSSINLLAIIISAFVTFLLMH >OIW11266 pep chromosome:LupAngTanjil_v1.0:LG05:26231964:26233568:-1 gene:TanjilG_28357 transcript:OIW11266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGEQIQVLNALDVAKTQWYHFTAIIIAGMGFFTDAYDLFCISLVTKLLGRIYYHVEDAPKPGSLPPNVSAAVNGVAFCGTLSGQLFFGWLGDKLGRKKVYSMTLMMMVICSIGSGLSFGHTPKSVMATLCFFRFWLGFGIGGDYPLSATIMSEYSNKKTRGAFIAAVFAMQGFGILGGGIFAIIVSAAFKARFHAPSYEVDPIGSTVPQADYIWRIIVMVGALPAALTYYWRTEMPETARYTALVAKDMKQAAADMSKVLQVEIQAEPRIKDQRNSFGLFSKEFLRRHGLHLVGTSVTWFLLDIAFYSQNLFQKDIFSAVGWIPSAETMNALEEVYKIARAQTIIALCSTVPGYWFSVFLIDRIGRFTIQLMGFFFMTVFMFALAIPYDHWTKKENRIGFMVMYSFTFFFSNFGPNATTFVVPAEIFPARFRSTCHGISSASGKLGAMVGAFGFLYLAQNKDKSKADAGYPAGIGMRNSLIVLAVVNVLGFFFTFLVPEANGKSLEEMSGENEEEEKVPRDASHSNNRTAPIV >OIW12257 pep chromosome:LupAngTanjil_v1.0:LG05:2451183:2454225:-1 gene:TanjilG_06046 transcript:OIW12257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLQQNDFDRLLFFEHARKTAEAEYSLNPRDADNLTRWGGALIELSQFQSLPESKKMIQDAVSKLEEALAINPKKHDTLWCLGNALTSQAFLIPDQDEAKSYFDKAAEYFQQAVDEDPTNELYQKSLEVAAKAPELHVEIHKQGFGQQLQAAEAAGPSSSSGTKTQKKKKSSDLKYDIFGWVILAVGIVAWVGFAKSNIPPPPPSL >OIW12207 pep chromosome:LupAngTanjil_v1.0:LG05:5487430:5492180:1 gene:TanjilG_28615 transcript:OIW12207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKECGNCDNDHVNSEREIFKAWAKEVHECEEHFKVNVKSGLSSDEVENRRKVYGYNDLEQHEGESIWKLVLEQFNDTLVRILLAAAIVSFVLAWYGGGDGGTEITAFVEPLVIFLILIVNAIVGVWQENNAEKALEALKEIQSEHATVIRDGKKILNLPAKELVPGDVVELRVGDMVPADMRVVELISSTLRLEQSSLTGESQVVNKTFKPVASDADIQGKTCIVFAGTMVVNGNCICLVTNTGMETEIGKVHKQIHFAAQSEEDTPLKKKLNEFGEMLTLIIGVICALVWLINVKYFLYWEYVNGWPRNFKFSFEKCTYYFEIAVALAVAAIPEGLPAVITTCLALGTRKMAQKNALVRRLPSVETLGCTTVICSDKTGTLTTNQMAVAKLVAIGSEPDTLRSFKVEGTTYNPSDGKIEDWPAGQLDANLEMIARIAAICNDAAIAWSENKFVTSGMPTEAALKVLVEKMGLPEESKDILSASTSTLLRCCEWWNEHDRRIATLEFDRDRKSMGVIVDSGPGKKTLLVKGAVENLLERSSKIQLRDGSVVKLDDNARNLVLEALNEMSTRALRCLGFAYKDELPIFDSYSGDDDHPAHQLLLNPSNYSLIESELIFVGLVGLRDPPRKEVHQAIEDCRAAGIRVMVITGDNKNTAEAVCCEIGLFGPNEDISSRSITGKEFVGLHDKKGYLRQSGGLLFSRAEPTHKQEIVRLLKEEGEVVAMTGDGVNDAPALKLADIGIAMGIAGTEVAKESSDMVLADDNFSTIVAAVGEGRSIYNNMKAFIRYMISSNMGEVASIFLTAALGIPEGLIPVQLLWVNLVTDGPPATALGFNPPDKDIMKKPPRNKDDSLINLWILFRYLVVGMYVGLATVGVFIIWYTNGSFLGVDLSGDGHTLVTYNQLANWGQCSTWNNFTVTPFTAGARVLSFDNDPCGYFHAGKVKATTLSLSVLVAIEMFNSLNALSEDGSLLTMPPWVNPWLLLAMLVSFSLHFFILYVPFLAQIFGIVPLSFNEWLLVLVVAFPVIIVDEILKFVGRCTSESQTSQARRSKQKSE >OIW11992 pep chromosome:LupAngTanjil_v1.0:LG05:10842294:10847514:-1 gene:TanjilG_02199 transcript:OIW11992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAVCGSKRVFFEELPPSPPLSKRLRFSSSTSPIPLPHHSLIDQLRNLFPHMDHLLYERAVQESGNDLEAAIKRLNELCLETDDGNTGTSGAREYGTKLNKFSTCFKHETNLEIDAFLRNFAAKVEGDGNASASEVQPAMNNLPVNGAEWVEFFVTEMSVATNVDDARGRAARILELLEKSISARASADAADALKEENLMLKQQMEVLLKEKNSFKNAFRIQHERVSDYEDKNQELQRLKQSVSEYQEHIRSLEMKNYTLRLHLERAYQRNNPFPGRFPPDFF >OIW12396 pep chromosome:LupAngTanjil_v1.0:LG05:451681:460231:-1 gene:TanjilG_04145 transcript:OIW12396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVVCNWESKVAEVEAFRRKTMSAAGIQRRKEDEGQRRNNLFGYDDEEDEGNKLICVTSGVSYLGHALVNQLLLRGYSVRIIVDNQEDIEKVKEMEMSGEMKRRRKSNIEVIMVKLSDNVQCLEKAFEGCSALFHTSSFIDPVGLSGYTKSMAEIEVRVSENVIEACTRTPSIKKCVFTSSLSACIWQDIAQSNLNHVINHSSWSNESLCIDNKLWYALGKMRAEKSAWRIANEKGLKLTTICPALIIGPQFCHRNPTPTIAYLKEYSLIRSEIN >OIW11322 pep chromosome:LupAngTanjil_v1.0:LG05:24671373:24676034:-1 gene:TanjilG_20471 transcript:OIW11322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANIVSIKARQIFDSRGNPTVEVDLTCSDGTFARAAVPSGASTGIYEALELRDGGSDYLGKGVSKAVENVNTIIAPALIGQDPTQQTAIDNIMVQKLDGTVNEWGWCKQKLGANAILAVSLAVCKAGASVLKIPLYKHIANLAGNKKLVLPVPAFNVINGGSHAGNKLAMQEFMILPVGASTFKEAMKMGVEVYHNLKSVIKKKYGQDAVNVGDEGGFAPNIQENKEGLELLKSAIAKAGYTDKVVIGMDVAASEFYTSDKKYDLNFKEDNNDGSQKISGDALKDLYKSFVTEYPIVSIEDPFDQDDWEHYSKLTGEVGTNVQIVGDDLLVTNPKRVQKAIDSKSCNALLLKVNQIGSVTESIEAVKMSKRAGWGVMASHRSGETEDTFIADLSVGLSTGQIKTGAPCRSERLAKYNQLLRIEEELGDEAVYAGANFRTPVEPY >OIW12464 pep chromosome:LupAngTanjil_v1.0:LG05:1284322:1285866:-1 gene:TanjilG_04213 transcript:OIW12464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANIFLVPCQPNLPMKHRSCCHKKTTPKLYSVIRNERTSSFSYKSTSNVPFYELPGASFDQYLDNKQRVFQAVFSDKGTTKKLNEEEWRIKLPPIQSIFLNVQPTADVRLTFKSNGVDYPHDIPNHITKILQIHFIRWELEGPFYLETSHLSLDVRGAIYPERIGRRSWLKNQIEMKISFCVSPTMSMIPENVMQGSVELVFRKIMDEMKQEFQGRLLADYSRFKGNKSMKNLV >OIW11450 pep chromosome:LupAngTanjil_v1.0:LG05:23467195:23468250:-1 gene:TanjilG_26816 transcript:OIW11450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRKTTALKGELGDVHTRIMKKNYEQVPEWWFVSILTVMVIMALVACEGFGKQLQLPWWGILLSLAIGLLFTLPIGVIQATTNTKLGINVITELIIGFIYPGKPLANVAFKSYGYTSITQALGFLGDFKLGHYMKIPPKSMFIVQLVGTVVASFVYFGTAWWLLTSIENICDETLLPVGSPWTCPGDTVFYNASIIWGVVGPQRMFTNDGIYPGMNWFFLIGILAPVPVWLLSLKFPNHKWIQLINMPIIIAGASNIPPARSVSYITWGVVGIFFNFYVYRKFKGWWARHTYILSAGLDAGVAFLAVILYFSLQSYGIFGPTWWGLEADDHCPLANCPTAPGVYAEGCPIL >OIW12187 pep chromosome:LupAngTanjil_v1.0:LG05:4711742:4714833:1 gene:TanjilG_28595 transcript:OIW12187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACSATSASLLSINPRSFFSPKLPSSPSTLSFPTSPNALPKSLTSISINRPSHSRRSFVARASSELPLVGNAAPDFEAEAVFDQEFIKVKLSEYIGKKYVILFFYPLDFTFVCPTEITAFSDRHAEFEELNTEILGVSVDSVFSHLAWVQTDRKSGGLGDLKYPLISDVTKSISKSYGVLIPDQGIALRGLFIIDKEGVIQHSTINNLGIGRSVDETKRTLQALQYVQENPDEVCPAGWKPGEKSMKPDPKLSKEYFAAV >OIW11930 pep chromosome:LupAngTanjil_v1.0:LG05:10077997:10079396:1 gene:TanjilG_02137 transcript:OIW11930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTRIGIQTQTMQANKSRHGTTSATTSQNVADVQELKRILEYFQSKVRESLEVLKPHFTHEIPVSAIATIQDLGLVGAMDLDAPMENETLPQQPQQLLQAPQQQIYPHQFPQFQQPYQPQQHQHQNQQQPQQFQPQLPRHRTFNP >OIW11819 pep chromosome:LupAngTanjil_v1.0:LG05:14573363:14574001:1 gene:TanjilG_07300 transcript:OIW11819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKMIHILLFVLLSVLLSSISNASVNDFCVADLKAPDTPSGYHCKSPTTVTADDFVFHGFVAGNTTNTFNAALTSAFVTDFPGINGLGISAARLDIAHGGSIPMHTHPGATELLIMVKGEITAGFMTPTAVYSKKLKPGDLFVFPQGQLHFQANSGKGQATAFLAFSSSNPGAQLLDLLLFGNNLPSDLIAQTTFLDVAQIKNIKARFGGRG >OIW11418 pep chromosome:LupAngTanjil_v1.0:LG05:23742588:23745245:1 gene:TanjilG_26784 transcript:OIW11418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEIKVTRVGQGQTKIKNVPIAVTPEGFWCCPSPVVFQKSLKAQNPMNKPKAPCSPPLKTSVQKKPAPVPVSERRASAAAPTLSKLLLSDDQQCSSGPERTPARISVAADRGPRPKIETLPRKVAIEFGEPGTCDMKVVLLGKQGFCVKLSVNRDVLTEKSSFFADKLSEQAGLSCLQIDDCEDVEIYVETVGLMYCKDMKQRLMKQSVSRILRILKVAEFLGFSSCIQSCLDYLEAVPWVGDEEEEKVVSTVLRLQAGVRVNPVLKRVSSDISNVPKDTLFQIIELVLNSNEERGRREMKSIVLKLLRENNNSLSSQAVSSVICNDMIYGSCRSCLDSLLSLFKQAAEPAFSDKPSDDRDPIVKHIALEADNLSWLLDILIDKLAADEFALMWANQQELASLHVKLPIVSRYHVSCISGRLFVGIGRGQLLPSKDMRQLLLQTWLQPLMNDYNWLQHGCRSFDGKLVEEGIGRTILTLPLEDQQSILLSWLGSFLKTGYSCPNLQRAFEVWWRRTFIRPHVESQGNAMSDSSILLSKQHE >OIW11581 pep chromosome:LupAngTanjil_v1.0:LG05:21814316:21822452:1 gene:TanjilG_26947 transcript:OIW11581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRQAGRYMAAYRKLAEKYPSFRERSEITDLIVEISLQPWKAFRPDGVIIFSDILTPLPAFGIDFDIEDVRGPVIQSPIRSEEGLKSLHPIDLDKLRFVGESLKILRQEVGGQAAVLGFVGAPWTIATYIVEGGTTRTYTTIKSMCHTAPHVLRTLLSHLTQAIADYIIFQVESGADCIQIFDSWGGQLPPDMWERWSKPYIKEIVNLVKKKCPKTPIVLYINGNGGLLERMKDTGVDVIGLDWTVDMADGRRRLGNEIGVQGNVDPAYLFSPHAALTEEIQRVVRSAGPRRHILNLGHGVLVGTPEEAVAHFFEVARSLEFESFFQNKATEDATLVI >OIW12109 pep chromosome:LupAngTanjil_v1.0:LG05:7347492:7348664:1 gene:TanjilG_31216 transcript:OIW12109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METFLFTSESVNEGHPDKICDQVSDAILDACLEQDPESKVACETCTKTNMVMVFGEITTKANVNYEKIVRDTCRGIGFISPDVGLDADNCKVLVNIEQQSPDIAQGVHGHMTKKPEDIGAGDQGHMFGYATDETLELMPLTHVLATKLGAKLTEVRKNNTCPWLRPDGKTQVTVEYRNDGGAMVPLRVHTVLISTQHDETVTNDQIAKDLKDNVIKPVIPAEYLDDKTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYIVRQAAKSVVASGLARRCIVQVSYAIGVPEPLSVFVDTYKTGKIPDKDILALIKENFDFRPGMIAINLDLTRGGNFRYQKTAAYGHFGRDDPDFTWETVKILKPKA >OIW11268 pep chromosome:LupAngTanjil_v1.0:LG05:26239320:26241665:-1 gene:TanjilG_28359 transcript:OIW11268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFASFFGRLLFATLFILSAYQQFNEYGVDGGPAAKALRPKFDAFRYRVHSQVGVQLPEIDIKYAVAGAIALKGLGGVLFIFGTNLGAILLILHQLIATPILYDFYNYNSEDKEFIKLFIQFTQNISLLGALLFFIDMKNSFPKRQPKKKTPKTKTY >OIW12300 pep chromosome:LupAngTanjil_v1.0:LG05:3152567:3153361:-1 gene:TanjilG_06089 transcript:OIW12300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNFERAPQEPYPPPGYGSPPYPPPQPGYPSGPPHEGYPPPPPPPGYTGYPPPLPPQHHRQHGYQDFVV >OIW11351 pep chromosome:LupAngTanjil_v1.0:LG05:24318302:24319039:-1 gene:TanjilG_19607 transcript:OIW11351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANKEFKIPPVSFPAGIPAPNLPQRRVPNPPFQPNSSIPFMSFDIGSAAASTSSGPIYTGPIGGSGGGSANFEDEEPLLDELGIHPDQIWSKIRSVLNPFRVNHTIHKDSDLSGPILLYMSFCLFQLLAGKIQFGVILGWIVVSSIFLYVVFNMLAGRTGNLDLHTCTSVVGYCMLPVVIFSALSLFVPQGGVVAVAIAAVFVLWSTRASTGLIVSLTDGGDEHRGLVAYACFLIYSLFSLLVVF >OIW12165 pep chromosome:LupAngTanjil_v1.0:LG05:3962089:3966136:-1 gene:TanjilG_28573 transcript:OIW12165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSFSGTCEIVEVKREINTGKAARVYRFNSRFSAAEKNQKLPVLKLGYKNNPDNDINKLFESFTLKPSSRGLGILQDSTSPKMKSALKKPITVDVPQSPRVGTCEPATLKQALRDMCISKASEMAATKRLSKSTAASPRISEVGKIHALYNSVVVEGRRSGPSNLGCKGSTIEISIVSEEIKSLSSDKISQTHQTDKSTSLVQDNHSSTIAVAFTENGTGTSLMHSDSVCSSSKVGEQLQPLDSAHVEKQTSASSPSIYNTDGSKLELPERVPSPKKLGNKASVLKNGRKGRLQMVSSSSSSVNGNGVCKLSHKRNAPHIVKSIIKNKSLDKKKLKQGSGSVLCNPTSDEVNYKSVPGAARLVCKRCLSSIENTSKENNQGVIALGSISPGDGVNLNNVHPGSASTGCDSSREVAKVKRNTRLKEQLQFSQSSKSSQGDYSSSTSNSDDSNISGSTCGNRPHMSKDVRWEAIRHAQIQHGVLGLRHFNLLKKLGCGDIGTVYLAELIGTNCLFAIKVMDNDFLARRRKMPRAQTEREVLRMLDHPFLPTMYAQFTSDNLSCLVMEYCSGGDLHVLRQKQLVRSFSEPAARFYVAEVLLALEYLHMLGVVYRDLKPENILVREDGHIMLTDFDLSLRCDVSPTLFKSPDKDPAKILGPCPKPSCIEPFCIEPACQVTCFSPIFLHPGARARKLKADLAAHIRSLPQLVAEPTDARSNSFVGTHEYLAPEIIKGEGHGAAVDWWTFGVFLYELLYGRTPFKGSNNEETLANVVLQSLRFPDTPFVSFQARDLIRGLLFKEPKNRLGSEKGAAEIKQHPFFEGLNWALVRCAIPPEIPDFCEFGVSDMTSLSQSNGSKYLECKVGEEVEFELF >OIW11609 pep chromosome:LupAngTanjil_v1.0:LG05:21404474:21409244:-1 gene:TanjilG_15303 transcript:OIW11609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVVKEKPSSGYGKPPWIFRGRYTLGGFFLASYEDSPAGVFDELVVIAGLVWNRPTSCAWATKVYVNSDEACNHGRKEVGLPSQMARFSKTIEAISRQPRERMSGFSNIIGINDIFCNPKDHMNVQVTNVKCVDQADSCNISLTSSAVPALSWMGPAIKMSLPSFSGATVYNPNLLKYSCQIECRVQPVQPMKVSGAMPLTNGDKDQPSEDHGANNHVVGDDQNDRQNLINNVMLSKPILALKFNQMRMQVEAPIVLSQCSNSTETSVSSVPLSNIERFV >OIW12168 pep chromosome:LupAngTanjil_v1.0:LG05:4022597:4023139:1 gene:TanjilG_28576 transcript:OIW12168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEHRCQAPEGHRLCANNCGFIGSPTTMNLCSKCYRDIRLKEQEEASTKSTIETALSTSSTSSTSSPPLSTSSPAVVLIPSASISAATVSKGAASSGLVSAAVQPNRSGLVSAAVQPNRCASCRKRVGLTGFKCRCGVTFCGTHRYPEKHECSFDFKTVGREEIARANPVIKAVKLERI >OIW12286 pep chromosome:LupAngTanjil_v1.0:LG05:2874832:2876408:1 gene:TanjilG_06075 transcript:OIW12286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFHIKPISKLHFLILITIPIPANYLSSGIAAFTTSSSEDNADIVNNLSKNPSPNDEIELHDGVTNEKSFWGAVGLIIGTAVGPGMLGLPALTIKSGPFPSTIIIFFSWLYVISSIIIVAELSFSAMEEDGIEEVSFTSLATKALGNHFGAFVALVYTSLSFSLLVACVAGIGSIFSPLFPRVNTLIIHALFPLFVGTLIVFFPFKTIDVANRLLCFLMLFSITSLVAVGLSVARANIISSFVLASWKLSSIAPIIPVAVLTLGFHVITPFICKIAGNTVHEAKKAILIGGTVPLLMVLSWNLIVLGLVGTNTTAAASGEPISLLLSVNPSALSAVQGFAFSAMATSLIGYAVSFPKQLLDTLELISGKAKACDEQGIGKVGFAFYSGMSGYSGKVWFGSPRNLDIIGSKMRSNEETFEPFKVIVTLLVLGFSVLIASFFRSTFSKALDFAGVYANCFLFGIIPPVMAYIHQSKKKTR >OIW11625 pep chromosome:LupAngTanjil_v1.0:LG05:21201164:21202987:1 gene:TanjilG_31904 transcript:OIW11625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRKSTVSWTAMLTAYAQNGQISNARRVFDEMPERTTASYNAMISAYIKNGCNVEEAYKLFCVLSERNLVSYAAMIMGFVKAGMFHQAEKLYREAPVEFRDPACSNALINGYLKMGRINEASAVFESMTVRDVVSWSAMIDGLCRDGRIAGARSLFDKMLERNIVSWSAMINGYMEKGFFEEGFDLFLNMRRECLFEVNSTTMTMMFKACGICCRMREGMQIHALVSHMGFEFDNVLNNSIITMYCIFGCLDMAKRMFCTMSKKDIVTWNSLISGYVHNNEIEAAYRLFERMPEKDLISWTAMITGFTKSGRVVKAIELFDMLPEKDDFVWTAIISGYVNNKDYGEALHWYAHMNREGCRPNPLTISSVLAASAALVALNQGLQIHALVLKMNLEYDLSIQNSLISFYSKCGNVIDAYKIFLDVIEPNVISYNSIINGFAQNGFGEEALGIYKKMQSEGHEPNHVTFLAVLSACAHGGLVEEGWNLFNTMESQYGIKREADHYACMVDLLSRAGLLDEAIYLIRSMPLKPHSGVWGAILGASKTHGNLELAKLAAQQITELDPANAIPYVVLSDLYSAAGKKIEGDLVRKTKNLKGIKKSPGCSWIT >OIW11239 pep chromosome:LupAngTanjil_v1.0:LG05:26077988:26079227:-1 gene:TanjilG_28330 transcript:OIW11239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDKNKNLLASQDLATNLTALVVDDNIINRKIHQKLLKNAGLKNQGVGNGKEAVDIHCYGQSFDLILMDMDMPIMNGIEATKKLRSMGICSMIVGVSSRSMESEIQQFMEAGLDDYQVKPLTITKLSSILHKIKH >OIW11425 pep chromosome:LupAngTanjil_v1.0:LG05:23672382:23676142:1 gene:TanjilG_26791 transcript:OIW11425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVEGVCVVWQGAILGGILFWILSSSYLNLTQKLRSFLQPWVIHHVQTKTHIVLLIQSYQHGFLDALFSGLSCVVSVPFYTAFLPLLFWSGHGQLARQMTLLMAFCDYIGNCIKDVVSAPRPPSPPVRRVATTKDEEDNALEYGLPSSHTLNTVCLSGYLLHYVLIHTQIQGPYVNYLGVSLVCLFVTLICLGRIYLGMHSLVDILAGLVLGLGILAFWLIVDEHIDSFVISGQNVTSFWVALSFLLLFAYPTPELPTPSFEYHTAFNGVALGIVTGVQQTYHQFHHGAVPHLFSGDLTLPAFMGRMMLGIPTILVVKFCSKTLAKWIIPVVANTLGIPIKSSSYIKSDKKIKEGGYLLSKHGVFDVDTGIRFVQYAGLAWSVVDLVPSLFTYTNL >OIW11896 pep chromosome:LupAngTanjil_v1.0:LG05:11920105:11920824:-1 gene:TanjilG_25809 transcript:OIW11896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLFCKHGAKVVIVDIRDQLGQALVETIGTEFASYVHCDVSKEKDVENAVNTTISKYKKLDIFVNNAVITDDAKPSIVDNDVTDFERNLSVNLTGPFLGTKHAARVMIPAKKGSIIMVGSVASSVGGVATHAYTSSKHGLVGLTKNTAAELGKFGIRVNGLSPYFIANEFAREFFKLDDDGCSSVYSNLEGVALQEEDVAQAALFLASDESKYISGHNLAVDGGFTNINPIFGVFSRS >OIW11834 pep chromosome:LupAngTanjil_v1.0:LG05:13402398:13402550:-1 gene:TanjilG_14646 transcript:OIW11834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIAVWILRDGMFVISLLCYVVNIEIEMDLKDGMREKHSPSCLQVLVTIT >OIW11556 pep chromosome:LupAngTanjil_v1.0:LG05:22137224:22138928:-1 gene:TanjilG_26922 transcript:OIW11556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVMDLIEECWFFENMLNIRPTMPRCYSDPCPSTGLISPDILVKDSDISSYSSSKKAPKDGVFVHTKKIHRAPSLPPFRVREEDDKNGSKLVHQPSDPAVAGAPSIPHCAQMKGHHRSDCNRRKSKLLRTPSLPPSIGRGERFQVTDPRSSRSPKQPSTPPHIEVLPPRQTSKSCSIPRPTTNGEVESRRRFLNQTTMRRSLSDLELEEVQGFKDLGFSFEKEALSPSLANIIPGLQEKNRDETEEDKAARGPYLSEAWLVQTCATPMPPNWDLDKSATCMKEQIKFWARAVASNVHH >OIW11953 pep chromosome:LupAngTanjil_v1.0:LG05:10342597:10346574:1 gene:TanjilG_02160 transcript:OIW11953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCSKEEALVRLFYNASSSFMLLFLFLFSSSILLYKFLNFIGSYPLIQRDEQYEYFSSEYDEEEEEIQERFSYEDCNITERDHLVADIICGGESLVFLHNNETKTQRTCSSSEEFISLKGSFNEDSEENYTSETLSFHKSPLVSDFATEEAEEEEEEFPITRDADSVRNSDRTTSHKALNLYKSDLVDSDKNYDENHVGFGIINNKKVQESNFIRDERFFVSAPTHLESKKLIIEEKDDEEIYGDSCTVGSTSKSSSEWRSSINCRDSGTEDPFSSSSRRSCPKWESYTVFQKYDEEMSFLDRLSAQKLHETESLRSIKVSPRSISERIVYKISTMNRTPAGNICNNPYSELEAAYVAQICLTWEALNWNYKNFLTKRVSRRDADAGCPATIAQQFQQFQVLLQRYVENEPYEHGRRPEIYARMRLLAPKLLLVPEYRESDDDQKEDGFHSKISSPSFLMIMEDGIRTFMSFLKADKEKPCQILAAYFRRNRRGLVDPTLLRLMKKKKMKVKDLKRSRKCLRKRKLKVEEEMEILMALIDLKVVSRVLRMSDLNEEQLHWCEEKMSKVRVMDGKLQRDSTPLFFPAHC >OIW12117 pep chromosome:LupAngTanjil_v1.0:LG05:7133627:7133878:1 gene:TanjilG_31224 transcript:OIW12117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRVQGVFYRNWTVDNAKQLGLKGWVRNRRDGSVEALFSGSPDVVQEMVQRCRHGPPEAIVTGLEVFPSNDDPGTGFERKQTV >OIW12223 pep chromosome:LupAngTanjil_v1.0:LG05:2117752:2119459:1 gene:TanjilG_06012 transcript:OIW12223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSMISSPTVTTVKATMVAPFTGLKSIATFPVTRKANNDITTLSNNGGRVQCMKVWPPIGLKKFETLSYLPPLTTESLAKEVDYLIRNGWVPCLEFELEHGFVYREHNKSPGYYDGRYWTMWKLPMFGCTDSAQVLKELEEAKTEYPNSFIRIIGFDNKRQVQCVSFIAYKPPSF >OIW12035 pep chromosome:LupAngTanjil_v1.0:LG05:9597046:9597810:1 gene:TanjilG_27332 transcript:OIW12035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKSGMGIDDRKQVKRTAQASSRKGCMRGKGGPENASCTYKGVRQRTWGKWVAEIREPNRGARLWLGTFETSHDAALAYDAAARKLYGPDAKLNLPEVYATSQCPTSNTTQTQISQAQQHLPQLQHNFGVPNTNSHNLNMNINQGGAISLASHQVSGVAPTYTNNSIMSLPLQANVISMDHFSKPMDNEDFHSILGTSNEIMPVYDESIWREAAMSLDIAMSLDFPMTPDIGIYADGNLAEISAWDSLQTPWCM >OIW11513 pep chromosome:LupAngTanjil_v1.0:LG05:22718831:22723346:1 gene:TanjilG_26879 transcript:OIW11513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHKANVSKELNAKHKKILEGLLKLPENRECADCKAKGPRWASVNLGIFICMQCSGIHRSLGVRSATLDTWLPDQVAFIQSLGNEKANSYWEAELPPHYDRVGTENFIRAKYEEKRWVSRDGKPKSPSRLQEEKTHSHWQRPVERSGHGYVAASENTFEERKKIQPSNTISATRVCVPAPPKGPDHATPVTKPQHVEKVEQVAPQPPAETSNQATDTAQNTPPKVDYAVDLFNMLSMDSPSVNGSQAAAATADDNNWAGFQSAPEASTTEKSNPIKVFESIPQSASGIEDLFKDSPLVTPSLAPAKPDKDVKNDIMSLFEKSNMVSPFSMHQQQLAMLAQQQSLLMAAAAKSTVGDPKYTANPQQPRSNVPGQSWPPAGYPVSGSMPMVGQGDLQNLMQTRNMTPGHPPPSSVLYPSSGFYPMGQVAPVNGTITTGESKPRSAPVSSTTLQSAKDYDFSSLTQGMFAKQ >OIW12067 pep chromosome:LupAngTanjil_v1.0:LG05:8746442:8750694:-1 gene:TanjilG_24491 transcript:OIW12067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMEDVEDNAPSKSSSTSAEAELISLAETPSKEDVEVINSLDNQSSIEAAMNSLSNSISEPEIHLPVIELSELAMSPNADEGQTLGQGEYLPIDNSISASDATAVRVAEESYLGSVAADSEPGILEDIFKVQRVDGSAVTFSSDLDNLIKLSASSSETKGLNNEVEELKIEPPETMVTDVLIDSSASSSTPNLMVLVTEESLKGSVAANSEPGTLGDMFKEQHGDGSNVSVESDVDNQMKLLTLSRETEELKNEVDSPQTKVTNVAVGVVDSATLAKQIAARKALIDTTAPFESVKEAVSKFGGIVDWKAHRMQSVEKRKIVEQELEKVQEEIPVYRKRSEAAEQAKVQVLQELDSTKRLIEELKLNLERAQTEEHQARQDSELAKLRVEEMEQGIAEDSSIAAKAQLEVAKARYTAAITELTSVREELDALRKEYASLVVEKDEAMAKAGEVVAASKQVEKTVEDLTIELISGKESLESAHAAHMEAEEQRIGTVMARDQDFLNWEKELKQAEEEVKRLNQKIEASKDLKSKLDKASILLLDLKVELKAYIESNSEVDREPEKITHNEIQVAVASAKKELEEVRHNIEKATSEVNHLKVAATSLKEDLEQEKSSLASIRQREGMASITVSSLDAELEKIRSEIALVQMNEKQSRERIVNLPRKLQQAAEEANHANLLAQVAREELRKVKEEADQAKAGASTMQSRLLAAQKEIEAARASERLAMAAIKALQESESARSKNGFDASSGVTLSLEEYYQLSKQVHEAEEEANMKVAAANSEIEIAKESELKTLKKLNDVIREMAERRESLKAAMDKAEKAREGKLGVEQELRKWRSEHEKRRKVDELGQGIVNQNKNPKASLHDGSKEAKNLDQYHNASIPVQYLSSPKSYVHANSNATESSLDTRIVKKKKKSFFPRILMFFARRKAHSTH >OIW12032 pep chromosome:LupAngTanjil_v1.0:LG05:9538768:9540831:1 gene:TanjilG_27329 transcript:OIW12032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAACCVPIKNHSLPNITEGEGLHRDVVCSPSWSFQWDSQGHVAGEIENTPYHVSRVVRRNVSMELKGSLSSERGNLSDEGSTLESSVTPISLKSPADEALVANLMTPSPDLSISSNFSTVVKNPSESSRRNLSFSISSVLSTPTADPLPNHNYHHLPNSMPSRWAHRSPGHPLLRQVSDSRILGLKSPDNSISEGRPSFVLSACSNEMAAGSQCGSSDGWSIRTFSELVASSQRERWSFDSEHFGSGRHKISGSSSRFSYSPSMDLQSCGACSKLLTERSTRNSQKFIANNDLSVVAVLVCGHAYHAECLETMTQEADKYDPVCPSCMAGDKHLSKLSRKGFRTDSDIKAKNHRISRNSVIDSYVDGDFDVFYHQKDIDRGGEVSKMEPSSSASSSFGKPFLRRHFSLGSKWNRSLSENDSGRKKGFWARYRKD >OIW11892 pep chromosome:LupAngTanjil_v1.0:LG05:11870365:11870526:1 gene:TanjilG_25805 transcript:OIW11892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQTQEVKMREKQEDDDGPPPGWQQPIHTTTPSLPTPPPPRPSGDSEFYHFCH >OIW12142 pep chromosome:LupAngTanjil_v1.0:LG05:3439503:3440047:-1 gene:TanjilG_28550 transcript:OIW12142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVKETEVRNVMDMNALYGGFAAALDNVPVWVMNVVPSSMKNTLSGIYDRGLIGTFQDWCEPFSSYPRTYDLLHANYLFSHYKNQGEGCLLEDIMLEMDRLTRPLVMSVLKDYPTVPTMVMCI >OIW12037 pep chromosome:LupAngTanjil_v1.0:LG05:9670288:9673275:1 gene:TanjilG_27334 transcript:OIW12037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRYSLLSLLLFAAVATAVISDQATDSDDLLIRQVVDDDDLLNAEHHFTKFKTKFGKTYDTEEEHNHRFAVFKSNLRRAKRHAKLDPSAVHGVTKFSDLTPREFRRQFLGLKRRLRLPADAHKAPILPTNNLPEDFDWRDKGAVTGVKDQALFDDQGACGSCWSFSATGALEGAHFLATGKLESLSEQQLVDCDHVCDPEEYGACDAGCNGGLMNNAFEYILQSGGVQREKDYPYTGRDGKCHFDKSKVVASVSNFSVVSLDTDQIAANLVHNGPLAVAINAVYMQTYIGGVSCPYICGKHQDHGVLLVGYGADEYAPIRLKKKPFWIIKNSWGENWGENGYYKICRVRNICGVESEVSTVAAISTSSN >OIW11636 pep chromosome:LupAngTanjil_v1.0:LG05:20784952:20788162:1 gene:TanjilG_24842 transcript:OIW11636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLAFLSNDVLNFLLQWFDHMSECSHSEQQKQSEPIVEDDFSKECEYSIPSVESENLHSCKSSGKPSGTATETATATPSVVDNQKEFSAIKVVREQTSSIDEMRRILKSLNHYEALGFTRHKKIDAVVLKKEYRKKAMLVHPDKNMGSSLASESFKKLQCAYEVLSDSVKKIDYDEKLKKEESMAKTVCQSSHGSSKKHNPDYRSEDSRRIQCTKCGNSHIWVCTNRSKVKARWCQDCCQFHQAKDGDGWVEYKGSLVFDRPQKVEIPCAFVCAESKIFDVSEWAICQGMACRPNTHRPSFHVNMVGLEKSQRCNSSRFPWDLDAEMMMDEDEEAFDLWFQQALASGLFCDTSKRRKSWSPFKLSQKKGKKQSRRTSC >OIW11818 pep chromosome:LupAngTanjil_v1.0:LG05:14648390:14649001:-1 gene:TanjilG_07299 transcript:OIW11818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRILLLLSLFLSTSHASDFCVADLKGVKSPAGYPCKSHVTVDDFVFSGFRAGNTSNVFKAALTPAFVDEFPGVNGLGFSAARLDLDIGGIIPMHSHPGGSELLIMVSGQITAGFVASDNSVFLKTLSKGDLMIFPQGLLHFQLNAGNTKATAIFAYSSTNPGAQLLDLALFGNNLDSTLVQKSTFLDAAQVKKLKGAFGGSY >OIW11946 pep chromosome:LupAngTanjil_v1.0:LG05:10274135:10274692:1 gene:TanjilG_02153 transcript:OIW11946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSERSKSLHNFNLPCLKWGSQKFLKCVNVTLENHQSQPSSSSTDPRSSRIKSKSNQPHINKIQSFPTKKLKVSKFEDTGDDGGAVNARPWNLRTRRAACKAPQSKTPHEEKCKFFDVGIASSLVKEDKKKKKMMNETKRAEFRVYLSKEEVEHDFMALVGTKPPRRPKKRPRIVQRELDVSNNI >OIW11810 pep chromosome:LupAngTanjil_v1.0:LG05:15189845:15190471:1 gene:TanjilG_07291 transcript:OIW11810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHIFFLLPLLLSASHASVNDFCVADLKGPDGPSGYPCKPPAKVTTHDFVYAGLAAEGSTANIINAAVTPAFVAQFPGLNGLGLSAARLDLAPGGVIPLHTHPGASELLIVTEGLVTAGFIASDNSVYVKKLSKGQLFILPQGLLHFQLSYGKRKAVAYAVFSSSNPGLQILDFALFASNFSSSLITQTTFLDPEQVKKLKSVLGGSG >OIW11231 pep chromosome:LupAngTanjil_v1.0:LG05:26028298:26031475:-1 gene:TanjilG_28322 transcript:OIW11231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGIVSALTGRVGPNGFGSASTAEKVTEGIDATNLTVIITGGASGIGLETARVLALRKAHVIIGARNMVSANEARQHILEENHSARVDILKLDLSSVDSVKSFVENFIALDLPLNILINNAGVMFCPFSLSEDGVEMQFATNHLGHFLLTSLLLDKMKQTAKETGIEGRIINLSSIAHNFTYRNGIRFDKINDRKGYTTNRAYGQSKLANILHTNELSRRLQDEGVNITANSVHPGVIMTPLMRHSSYLMMKFLKIITFYFWKNVPQGAATTCYVALHPNMKGVSGKYFVDCNEFKPSSFASNLQLGKKLWDFSNNLTVQSQNLKHIC >OIW11886 pep chromosome:LupAngTanjil_v1.0:LG05:11816615:11819483:1 gene:TanjilG_25799 transcript:OIW11886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIVASLSTPLLLHAAKSHTGNFQHSHLSLLSGRRNHAAFVVKASSESSESTTLTIFKSVQNAWDKPEDRLGLFGFSFAAIVALWASTNLITAIDKLPLIPGALELIGILFSSWFTYRYLLFKPDREELFQILNKSVSDILGQ >OIW11916 pep chromosome:LupAngTanjil_v1.0:LG05:11147301:11151409:-1 gene:TanjilG_18189 transcript:OIW11916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHAQFHMGSGSRTAPRTLEFGKTHVVRPKGKHEATIVWLHGLGDNGLSSSQLLESLPLPNIKWICPTAPTRPVAILGGFPCTAWFDMGEISEDGVNDWEGLDASAAHIANLLSTEPADVKVGVGGFSMGAAMALHSATCFAIGKYGNGIQYPINLRAVVGLSGWVPGSRTLRNKIEVSYEARRRAASLPILLSHGISDDEVLYKYGEKSAQSLSSAGFHYITFKSYEGLGHYTVPREMIDVSNWLNSRLGFEGSS >OIW11651 pep chromosome:LupAngTanjil_v1.0:LG05:20959240:20962710:1 gene:TanjilG_24857 transcript:OIW11651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFTSLSSTASLAVAPNNLSSSASISPFPFAGGRRQRSNNVVLARKNRNPRVSAMAKELHFNKDGSAIKKLQNGVNKLADLVGVTLGPKGRNVVLESKYGSPKIVNDGVTVAKEVELEDPVENIGAKLVRQAAAKTNDLAGDGTTTSVVLAQGLIAEGVKVVAAGANPVLITRGIEKTAKALVAELKKISKEVEDSELADVAAVSAGNNYEVGNMIAEALSKVGRKGVVTLEEGKSAENSLYVVEGMQFDRGYISPYFVTDSEKMAVEFDNCKLLLVDKKITNARDLINILEDAIRNGFPILIIAEDIEQEALATLVVNKLRGSLKIAALKAPGFGERKSQYLDDIAILTGGTVIREEVGLTLDKAGSEVLGHASKVVLTKDTTTIVGDGSTQDVVNKRVAQIKNQIEAAEQDYEKEKLNERIAKLSGGVAVIQVGAQTETELKEKKLRVEDALNATKAAVEEGIVVGGGCTLLRLAAKVDAIKDTLDNDEEKVGADIVKRALSYPLKLIAKNAGVNGSVVSEKVLSSDNPNYGYNAATGKYEDLIAAGIIDPTKVVRCCLEHAASVAKTFLMSDCVVVEIKEPEPAVAGNPMDNSGT >OIW11342 pep chromosome:LupAngTanjil_v1.0:LG05:24505313:24505744:1 gene:TanjilG_31110 transcript:OIW11342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDSTAATVTTTLRRHSSLTIQNSTQTLSLLSKSLLNLKLDALNNSPLSSYTSLRDMLPSTAAAVNSPTASSSGYEISIRNHLVKQAAWAYLQPMSASPTSSAAPHFLRRLCHRFSAFITFVNHHLSPGLSRVFHRILHLLCN >OIW11672 pep chromosome:LupAngTanjil_v1.0:LG05:19902606:19903016:1 gene:TanjilG_18199 transcript:OIW11672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRPNESTFGNIIIDSGATVTFLKTSWYEKVEAAVLEVIGHQSVPVRNPIRPFRLCYKDGSITKFPDIALQFYGSIKELKLSTLNIFVTLDHLTCFAILPNEKISLIGNLLQVFFNIEYDLEERTVSFAKANCLKE >OIW12050 pep chromosome:LupAngTanjil_v1.0:LG05:9075046:9075216:-1 gene:TanjilG_24474 transcript:OIW12050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSLCTFDALGLCDSCDDMRLWFRESSPYRNLLCHRLIVTPLCVLITYKPSVRLKF >OIW11305 pep chromosome:LupAngTanjil_v1.0:LG05:24795858:24797305:-1 gene:TanjilG_20454 transcript:OIW11305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGNIIYITGTQLLSLRKQPNIAIVDVRDDERNYDGHISGSLHFASHNFSESMPNLIHQVQGKDTLVFHCALSQVRGPSCARKLVNYLEESKVDAGIKNVMILERGFNGWEASGRPVCRCNNVPCKEGGSA >OIW11848 pep chromosome:LupAngTanjil_v1.0:LG05:12643360:12646692:-1 gene:TanjilG_31598 transcript:OIW11848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSETPKLFTNKPRKEQLKQFRGQHKPSSMPTYTAPPPPPPPPSTPPSPPPQPPKESFARRYKFLWPMLLAVNLGVGVYLFMRTKNKDTSEEEKDPSPKVATAHIAATSVSSTPITNPVIKREPIPKDQQLELFKWILEEKRKVKPKDAEEKRQIDEEKALLKKYIRSKSIPRI >OIW11488 pep chromosome:LupAngTanjil_v1.0:LG05:23034972:23038274:-1 gene:TanjilG_26854 transcript:OIW11488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTIVAFMLKRYSSVKVRAEMAYRRKFWRNMMRTALTYEEWAHAAKMLDKESPRLNESDFYDVELVRNKLQELRHRRQEGSLRDIIFWMRADLVRNLGNMCNPELHKGRLQVPKLIKEYIDEVSTQLRMVCDSVSEELSLEEKLAFMHETRHAFGRTALLLSGGASLGASHVGVVKTLVEHKLLPRIIAGSSVGSIMCAVVATRSWPELQSFFEDSWHSLQFFDQMGGIFTVVKRVTTLGAVHEIGHLQMMLRHLTSNLTFQEAYDMTGRVLGITVCSPRKHEPPRCLNYLTSPHVVIWSAVTASCAFPGLFEAQELMAKDRSGEIVPYHPPFNLGPEEGSTPVRRWRDGSLEIDLPMMQLKELFNVNHFIVSQANPHIAPLLRLKEFVRTYGGNFAAKLAHLVEMEVKHRCNQILELGFPLGGLAKLFAQDWEGDVTVVMPATLAQYSKIIQNPSYGELQKAANQGRRCTWEKLSAIKANCGIELALDECVAILNHMRRLRRSAERAASASHGLSTAVRFNASRRIPSWNCIARENSTGSLEDLNDVNSSLHQVVTGSIAANGKSWKSHRSIHDGSDSESESVDLNTWTRSGGPLMRTASANMFIDFLQNLDGDTELNRSIVAYDFQYHSPRLTAQDRNSETESDQMGNNVVNGSSILITEGDLLQPERIHNGIVFNVVKREDLTTSHRSHDLENYNNEVAECVQIECPEKMDAASSTSECGDDESIPTKPLTETSQYDSMEHSGADLDKDHSYVDS >OIW12094 pep chromosome:LupAngTanjil_v1.0:LG05:7763110:7765320:-1 gene:TanjilG_06299 transcript:OIW12094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFPENTNWLFDHGLINDTTVTDSAFTWPAIPSNNNRALISPCGIGNMYQTTHPSSNVGVEHELEGSLGGSDRLKDPGWKKRGRSDSCAGSSSKACREKLRRDRLNDKFVELGSILEPGRPPKTDKSGILIDAVRMVTQLRGEAQKLKDSNLSLQDKIKELKAEKNDLRDEKQRLKAEKKKLEQQVKSMSPQPGFMHPPPAMPAAFAPPGQATGNKLVPFMAYPGVAMCQFMPPAAVDTSQDHVHRSPVA >OIW11995 pep chromosome:LupAngTanjil_v1.0:LG05:10869863:10871196:1 gene:TanjilG_02202 transcript:OIW11995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGEFIVQDGYVVKEETHLTVLKTCLFFAGDGFKVYDCKGQLVFRVDSYGPNTCDKDEVVLMDPRGHCLLTLCPKKPSLHQRWEGFKGERMNGDKPIFSVKKSSIIERSQTSITVEVYDNSSVEYHIEGCFSQRRCKVFNTVKKKSMVEIHRKVDPTTGVMLGKEVFSLCVKRGFDMVFAMGLVLVLDQINGENYFDSVTTKVVVHPIVEDYRVNQLSLSNPWSLKSIRGRIPYCTFIRDLVNFICIKDRI >OIW11221 pep chromosome:LupAngTanjil_v1.0:LG05:25963050:25965426:-1 gene:TanjilG_28312 transcript:OIW11221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKQLTGIRNDSPLHSAIRSGNLELVLEMISGKGDEELRKLFSKQNNSGETALYIAAENGHLDILKELIRYHDVVLASIKARNGFDAFHIAAKNGELEILKILMEAIPEVSMTVDQSNTTALHTAAAQGHIEVVNFLLEKGSSLVTIAKSNGKTVLHSASRNGHVDVIKALLISEPEIATRIDKKGQTALHMAVKGQNLEMVDELVKLNPSSANIVDAKGNTALHVASRKGRLQIVQKLLDCRGIDTDVINKSGETALDTAEKNGRSDIARFLLANGAQSAKSIKSPNSNTALELKRTVSDIKSGVHNQLEHTMKTQRRMQGIAKRLNKMQAEGLNNAINSNTIVAVLIATVAFAAIFNVPGQYPNDQTNLAPGMSPGEANIAPNIGFKIFIIFDSSALFISLAVVLVQTSVVVVERKAKKQMTAVINKLMWLACVLISVAFLAMSYIVVGDQKWLAIAATVIGTVIMAATLGTLFYWVIAHRLEASRLRSLRTTMSSRQSLTMSMMSGSETEHQTVYAI >OIW12428 pep chromosome:LupAngTanjil_v1.0:LG05:852406:852753:-1 gene:TanjilG_04177 transcript:OIW12428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTLQRSSSTFRRQGSSGRIWNDRVFVEQKANGNVSPSPSLSPSSSFIRKNIIENDKENVSQIERNNRVRLHDEEVASSSRSLSTQPSSRTQNKVHRSFLSSIFGRCLSSPTIHD >OIW11383 pep chromosome:LupAngTanjil_v1.0:LG05:24068038:24071155:1 gene:TanjilG_19639 transcript:OIW11383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLLIHPPCTTLFFLFLLLNTSLSATDPNDVAILLQFKKTLKNPELLSWPETNNPDPCGPPQWKYIFCVGNRVSQIQAKNLNLTGPLPQNFNQLTFLTNLGLQNNRLNGPLPTFRGLSNLEKAFLNYNDFDSIPYDFFEGLSSIEEFALDYNNINASSGGWNFPPSLENATQLKKLSCMNCNLVGPMPEFLGKFISLTDLKLSLNDLTGEIPGSLNGTSLQILWLNNQKGNGLSGSIEVVTTMVSLQSLWLHGNKFTGSIPERVGELVSLKDLNLNGNKLVGLIPQALGNLQLDNLDLNNNHFMGPIPNFKAAKVTYDFNGFCQTKPGVSCSFEVMALLSFLNGLNYPSNLVNSWTGDDPCKGEWFGINCNANGKVSMINLPSMKYNGTLSPSVANLGSLVEIRLRDNNLSGIVPSNWTSLTSLKLLDLSNNNISPPLPEFSNAGLKFITVGNSLLNVNSEAPSPGSKSPSLGSKSPSSGSKSPSSGYGNDEPSSTSRPVDSNSNSSVSHESKNSKRKVLVSIVAPIVGVMAAAFVLIPLYAYCFRRRKDSFKAGSSLVIHPRDPSDSDSAVKIAIANNNNGSISTVMGSGSGTIDGSGSGSSHTIEAGNLVISIQVLRNVTKNFAPENELGRGGFGVVYKGELDDGTKIAVKRMEAGVISSKALDEFQAEIAVLSKVRHRHLVSLLGYSVSGNERILVYEYMPQGALSQHLFHWKSLKLEPLSWKRRLNIALDVARGMEYLHTLAHQSFIHRDLKSSNILLADDFKAKVSDFGLVKLAPDGEKSVVTRLAGTFGYLAPEYADKDKLLAAIDPALDRKEEAFETVSIIAELAGHCTAREPGQRPDMGHAVNVLAPLVEKWKPFDDDTEEFSGIDYSLPLNQMVKGWQEAEGKDISYVDLEDSKSSIPARPTGFADSFTSADGR >OIW11261 pep chromosome:LupAngTanjil_v1.0:LG05:26216342:26218782:1 gene:TanjilG_28352 transcript:OIW11261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKLKLAQLGERLKTGGAQMGRIVSGKMKEILQTPTPESKMVDDATLEALNEPNWSLNLRICGMINSEEFNGSEIVKAIKRRINHKSPVTQRLSFDLLETIAMNCEKVFSEVASEKVLDDMVRLVQNPEGDGNNRKRAFQLIRAWGESQDLAYLPVFRQTYMSLKEREEPLDFGGDNSPTAPYTLESYLDQQRLNPPGIYPIPPSGSLHALNDSSFSSDQHISIEEKKEHLVIARNSLELLSSILNTEEEPKPLKEELTLNLLDKCKQSLSIIKGIVESTTNDEVTLFEALYLNDELQQLVSKYEELEAAGQQQPQNADTAKNNAEAIQNPNKQLERPESEESEAIRNVERKLPEKFNALEINANDEDRHVETKIEDSTKENGAGSSRKINNE >OIW12208 pep chromosome:LupAngTanjil_v1.0:LG05:5513663:5516259:1 gene:TanjilG_28616 transcript:OIW12208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRYDDKYANTRLYVGKLSSRTRSRDLERAFSRYGRVQDVNMKQDFAFVDFSDPRDADDARYHLDGRDFDGSRIIVEFAKGAPRGSREYQGRGPPPGTGRCFNCGLDGHWARDCKAGDWKNKCYRCGDRGHIERNCKNSPKEFRRGRSYSRSPVRSRSPPRRHSRSYSRSPVRRARSPVREDRSESPRYRSPVTNNSLPPSRTRRHSPSPDDASPHKSDGASPSNGILANKQDGSDYSNGGRREGSGSPASPARDDEDRDYTSPKNNGNSRSPSPSPRDDRSPIEDEGRSPRGSESA >OIW11226 pep chromosome:LupAngTanjil_v1.0:LG05:25997472:26001661:1 gene:TanjilG_28317 transcript:OIW11226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSHGMRGSELRNEETHVPVTDALDLRMFVGTWNVGGKSPNDSLNLRDWLKSPSQPHIYVIGLQEIVPLNTGNVIGPEDIGPISKWVGLISEALNNNHNKRATHNERDYWVVVERKQMVGIFLCVWIHIDLYTYVSNINVSCVGRGIMGYLGNKLRIEQRAGRVFKGWNEGRIYFAPTYKYLANSDNYVAQTSKSKEKKRTPAWCDRILWKGEGLKQMWYVRGESKFSDHRPVYSLFSLQLDLRSKYLTPTPFSSTFASKVQVEEQILVLEGYKVS >OIW12430 pep chromosome:LupAngTanjil_v1.0:LG05:857486:861597:-1 gene:TanjilG_04179 transcript:OIW12430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRHFLLISLCFLCFLHLSVSSIRLPTTTDDEHKTTTDGSVLRLKKVKFDPTRVTQLSWEPRAFLHKGFLSDEECDHLIVLAKDKLEKSMVADNESGKSIASEVRTSSGMFLSKAQDEIVSNIEARIATWTFLPIENGESMQVLHYEHGQKYEPHFDYFHDKANQVMGGHRVATVLMYLSNVEKGGETIFPNSEGKLSQPKDDTWSECAHKGYAVKPEKGDALLFFSLHLDATTDTKSLHGSCPVIEGEKWSATKWIHVSDFEKPIKRRVDSSGCSDENENCPKWANIGECEKNPLYMVGNGEVKGYCMKSCNVCTS >OIW11503 pep chromosome:LupAngTanjil_v1.0:LG05:22841099:22843300:-1 gene:TanjilG_26869 transcript:OIW11503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEKDFYNNYVNLELRKVAVSTIATMDSYTDNLDDESPEKFKLDLTDVEEVSKVATTCLIIAAKTREGSVAFFMDTIHADFDVDEMVLLIENTLPWEAYPVTPFCFLDYYYPPFEETGGFRRRGINEIIVQSQGEEDFMTYEPSHIAFSSLAAAADIIYPTIQIPDVGEGIRMGMMALCVTKLVELCSEKNIKIESASSESATVAMPHRAAAKGKGKGKGKGKGKGKGKGKGKDKGKAKMAEYEEEEEEERPNLEMFRIEAPKSLMNIFLQWPTEIAHRRGG >OIW11923 pep chromosome:LupAngTanjil_v1.0:LG05:11031753:11032975:1 gene:TanjilG_18196 transcript:OIW11923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHSKKFRGVRQRHWGSWVAEIRHPLLKRRVWLGTFETAEEAARAYDEAAILMSGRNAKTNFPVSDKPNTNNHNYTTTSSSSSSSSKSLSAVVSAKLRKCCKSPSPSLTCLRLDTENSHIGVWQKRAGSRSDSNWIMMVELERKNNAIGVVNSDDSELQIQEPEMQEKVIKPEENNNSNSNNNNINNNDDDNNGGLDEEEKIALQMIEELLNRN >OIW12274 pep chromosome:LupAngTanjil_v1.0:LG05:2766018:2771996:1 gene:TanjilG_06063 transcript:OIW12274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLSSMDALVICRPSNYVLKESFEISRGQGHGGGDVELGAYALNSGELGLDFFFKKVQDLDKQYAKLDKLLAKLQEAHEESKSVTKAPSMKNIKQRMEQDVDEVKKTAHHIKTKLEELDKENLANRQKPGCGKGSGVDRSRTATTISLKKKLKDKMAEFQTLREAIHQEYREVVERRVFTVTGTRADEETIDRLIETGDSEQIFKKAIQEQGRGQIMDTLAEIQERHDAVRDVERKLLDLNQIFMDIAVLVDAQGDMLNNIETQVSSAVEHVQRGNSALHKAKKLQRNSRKWMCIAIMILLIIIVIIVVSVIKPWGNKNGA >OIW11933 pep chromosome:LupAngTanjil_v1.0:LG05:10102289:10104907:-1 gene:TanjilG_02140 transcript:OIW11933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATDDSTKRNSNVRLLEELESLSETLYHAHTATTSRRTASLAIPRTSVPFLISTEDDKDTDATKVHNKTRSRRMSLTPWRSGPKLEDTNAPRIQPDIKKFDETSTSGDKRRIWNWKPIRALSHIGKQKLSCLFTVEVLTAQGLPSSMNGLRLAVCVRKKETKDGSVQTMPSRVAQGVADFEETLFIRCYVYCKHGSGGKQLKFEPRPFSIYLLSVDAKELNFGRSYVDLSRLIQESIEENQQGMPVRQWDTNFSLSGKAKGGELVLKLGFQIIEKGGGIETYNQEENLNSSRFRRLTFSFARKQSKTSFSVPSARITSKNDAWTPSQTWSTRDFQGMNDLNLDDPSPVHDSYLTTKKLDAGKEKVEEFDFPVFEILDKGVEVQEKKEYEGEESEKSFGVKSSSSEIVKDIVHDQLRLISRLTELDSISQQIKALDSTIGEDDEFIITMETKSQRLDSDEETVTREFLQMLKDKEIRGHKINQSEIPSLQLELHDHVSADGESKVYLPDLGKGLGCVVQTRDGGYLASMNPSDDAVDRNDTPKLAMQMSKPLLLESHQSLSGLELFQKLAGIGLEELSSQIFGLMPVDELIGKTAEQIAFEGIASAIIKGRNKEGASSSAARIVSALKGMGNAMSSGRKERISTGFWNVDEEPLTAEEILAFTMQKIEFMVVEALKIQADMAEEEAPFNVSELISEAENIDKDMLSSAISLEDWIKYQSYNNINTESSDIEPSNITLIFVVQLRDPIRNYEAVGGPMLVLVDAKSADTKGNGYDYKDDDDDEKRFKVTSMHVVGFKVRSGTSTTTAKKNRWENEKLRLTAMHWLVEYGFGKARKRGKHALVKGRDVLWSISSRVMADMWLKTMRNPDIKLAKE >OIW11811 pep chromosome:LupAngTanjil_v1.0:LG05:15039327:15040854:-1 gene:TanjilG_07292 transcript:OIW11811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSTSGASVRNRSPFTSTQWQELEQQALIFKYMVTGTPIPPDLIYSIKRSLDSSITSRLFPHQPIGWGCFEMGFGRKVDPEPGRCRRTDGKKWRCSKEAYPDSKYCERHMHRGRNRSRKPVEVSSATSTASTTTNTTPPIPSYNRNNLSMTNPNNINSMISASPFPFSPLPSSIITSESQPFSQSYQSSSLNPFFYSQSTSSRATDSESDFLSPDATTHQLFMDSGSYSHNEKDYRHIHGMREGVDERAFFPEASGSARTYPDSYQQLSMSSYKPYSNSHFQNMNENTSKQEQHCFVLGTDFKSDRLSKEKETETTTSQKPLHHFFGEWTPKNTDSWLDLASNSRIQTDD >OIW11678 pep chromosome:LupAngTanjil_v1.0:LG05:20123196:20123929:1 gene:TanjilG_18205 transcript:OIW11678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLDYLGIGQPDPNILPDPLFQMRLNFPENINTSTDEFADFVVSKPSLLRGKGLLFADQQLMVDKKTARLVSAYASDDESTFRMGYAENV >OIW11658 pep chromosome:LupAngTanjil_v1.0:LG05:20619609:20621333:1 gene:TanjilG_24352 transcript:OIW11658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTSIMSTLLNSVSPTAIVSSAKTKQRGYCFFYSQVQNLHSFSLNKGFSRVLDVTQITLSPKDSVFTIPNWRVGKNDQKSKELRINNAFFHLEYMVDKGHKPDVTRATQLLYDLCKSNKARKAFRVMEMMVNSGIIPDPASYTYLVNFLCKRGNVGYAMQLVEKMEAHGLPTNTVTYNTLLKGLCTQGNLNQCLQLLDRLTKKGLVANAFTYSFLLETAYKERGADEAMKLLEEIIGRGGEPNLVSYNVLLTGLCKEGRFEEAIRLFRELPAKGFNPSVVSYNILLRNLCYEGRWEEANELLAEMDNEDQSPSIVTYNILITSLSLHGMTEQAFEVLDEMTRSGFKASATSYNPIIARLCKEGKVEPVVQCLDQMISRRCNPNEGTYNAIGMLCEQGKAPEAFVIIQSLASKQNYPLHDFYRNVISILCRKENTYPAFQMLYEMTKDGFTPDSYTYSSLIRGLCKEGMLDEAIHIFGILEENDYKPDIDNYNALILGFCKSRRTGLSMEICQIMISKGCMPNETTYTIFVEGLAYEGETDMAAELLKELYLKEVLCQSTVERLSMQYDLKELAV >OIW11776 pep chromosome:LupAngTanjil_v1.0:LG05:17007848:17008348:-1 gene:TanjilG_14316 transcript:OIW11776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSQCYRPSQEITRETKCHDNTYGHKASQVAGIGFKENHHGHEHNGNNSNNHGHFYGHEVSQVAGIGFKENHHGHEHNGNSNNHSGHFYGHEVSHVAGIGCKENHHGQHSTNHSHGHNNVGHHSSSSHGNGIGYCNGKTEKKVENKCEYKKKSYSKKSCNDSDSD >OIW11627 pep chromosome:LupAngTanjil_v1.0:LG05:21287885:21293660:1 gene:TanjilG_31906 transcript:OIW11627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADIAKSIGKYIAEKLGRELGYVISYESNFDSLIAEVKRLEEAKDVIYHSVEAANRNGEVIEIMATNWLEKVDAIVIEAEEFQKNEGHAKAECSCGHLPNLWARHQLSMKAKDIAKDIPGVIKDGEKITKVSYPPPLLVGITSSYARGYEALDSRTSILNHIMQTLMDPHVFKVGLWGMGGVGKSTLVKELAWKAVKDCSFGTVVSVELTEYPDVKTIQVKIAETLGMKKFDVETLDVRASRLRQRICKEESILIIMDNIWERLDLIEVGVPFGDDHKGCKLLFTSRNLNILTQEMSVEEQCCFKLEVLSEKESWSLFEKQVGDALKDNNIRPTALKVAKACCDLPLLLITVANALKNKRLPAWNDALQQLTRFNHKGLAATVYSAIELSYNHLAHDELKLLFLLLGSMGQTTFWIQYVLVAFWSLGLFEDVDSLAHARNRLYCLVTELKSACLLLEKGKDTESFKMHDVVQGVARKLASRDRPIFAVKQYSELKKWPEIYKKCYRMFLPECRIHDLPKSLECPELEILELGSQNNFLKVPDSFLEETKELKCLYLSGLDCIPSIASSLCRLPNLCALHLCECMLEDVAILAELKNLEILDFSDSEIRELPPAIGNLTRLRLLGLSNVSGLRAIPAKIISRLTRLEELCMENTFIQWEDEERKGKNACLGELSHLHQLTFLEVMIHDASVLPKGLFIFGQLEKYRIFIGDDCKWSSGNGTISKTLKLNQGKTKNIHLHIGIKLLLSNVEELCLTNLHGVISFLYQLNEEGFPQLKHLDVQSSDDIQYIIDLKEWHHSRETFPKLESLILHNLPNMRKICSGPLLVRSFGMLKVIKVKSCDQLDNVFSYSLVKDLSSLLEVEISKCKIMSKIITDEDAEIDKIEFPQLHSITLDYLPNLASFCSKPMPTDMKLRSMIEYVDDSTILRPLFDEKLTKLEITFCNKLMNIVSSYVLKHLETMIVTSCDSLEVVFDMERLNSCSVKQHGLPTQLRTLTLKDLPKLKHIWSKDPCGVLGFQNLCTVEVSDCQGLNHVFPLSVGMELKNLQALKISSCGIEHIVARDEMPELAPKFVLPKLKSLKFWILPKLRSFCHGIQTLECPNLKELDVFDCDEMEIFATESLICQDIFVDDQPLFSFEKVIQSVEDLSLSSKGIRFICCNNQQSDFDFFKVKALCLQRLGRHVEFPIKFFQRFTNLEELTLFSCSFQSIPHIEHEVISKWKTLTLHSLWYLENICQENSQMEQILQNLQTLHVVRCWTLLTIAPSHVRFQNLNTLLVNRCDALVNIISSKAARGMPTLQKLVIFNCKMIKEIVFSEDDDANEIAFMKLEFLQLECLPSLTSFCNGNLLFKFPLLKTLFVMECPMMETFSQGIIRAPLLKEVSLTSEGDEFRWNGDLNTTIEFFFTEQVI >OIW11489 pep chromosome:LupAngTanjil_v1.0:LG05:23016009:23016671:-1 gene:TanjilG_26855 transcript:OIW11489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLINSLNPKKLKLSPKRLFRSKKNRSTVSRSDPPSFGSGSLSSSSEGSIHKTETGATGSQTPTSVLPDVSDEWTAISGDLHFDLSNAFRFIDRDNDGVVSRNELEALLTRLTAAPEVAMMLSEVEFDGEGCITVEALMNRVGFGSGSVESPDELMEAFAVFDTDHDGRISAEELLRVFQAIGDERCTLEECRRMIESVDRNGDGFVCFADFSRMMELQR >OIW12054 pep chromosome:LupAngTanjil_v1.0:LG05:9019207:9023188:1 gene:TanjilG_24478 transcript:OIW12054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTNSHQVMRTLAPLKEPDLTLNISLPFISDSQPKVGSYNKTLYNDMCSTSESGSSGSDLSHENNNNNSFFQLGHHHSEPSLRLGFETTCLNLKPLIPLQQGVSRNSNHYFQPHFYGRDFKRNVRIVHGMKRNVRAPRMRWTTTLHAHFVHAVQLLGGHERATPKSVLELMNVKDLTLAHVKSHLQLVSDKEKTTVLYCSMKNAGPGQITHMGLSSTKQEITNNLHNGVSTCDVRTNLPHPLEKIHRTQWKSTIETNTNNSRQNLEMGLIYSHLMGNETMIHEQNYGALSNCMEETLDCSPLSRSEAILDLEFSLGRSNWEAEKC >OIW12238 pep chromosome:LupAngTanjil_v1.0:LG05:2280466:2280837:1 gene:TanjilG_06027 transcript:OIW12238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASALQKLLRKPPIRFITPIHSSQPPNPFNPIIDLHRECHPPTPPTSTPSSEQFPGSTVIFPSFPFGFASKPIFESGFCPPEAEKSGLEDSKIMWADSVKKKRKKKMNKHKYQKLRKRMRRQT >OIW11515 pep chromosome:LupAngTanjil_v1.0:LG05:22683375:22686409:1 gene:TanjilG_26881 transcript:OIW11515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARTIAIRQSSIIARLSSPKPNSLVPRRAMSGGGDHHGPPKVNIWEDPLSPSKWKEEHFVIASLSGWGLLFYGGFKLFSGGKDKKEEKVGETPNKA >OIW12237 pep chromosome:LupAngTanjil_v1.0:LG05:2278889:2279308:-1 gene:TanjilG_06026 transcript:OIW12237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLRRMMSQNHDPTSMEKRAKQSCSGEQELDKYKLIHEESSEIGCMIEQACTRHKWMHHFQQLSSNMVHHNFTNIEQHHPLDLSDNNQSVMLIQFAKVTQVNTLQILDKEQDSISSSYYNIHKSRLESSNVGPIKAFVA >OIW11602 pep chromosome:LupAngTanjil_v1.0:LG05:21485882:21496359:-1 gene:TanjilG_15296 transcript:OIW11602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDIGKTLGKKDWDFSVDPCSGEGNWTSFVQEKGFQNVVSCHCSFDTGSVCHVVSINLKSQNLSGTLPKELVRLPFLQEIDLTRNYLNGTIPPQWGSMNLVNISLLGNRLTGSIPKELGNITTLKKLVLEFNQLSGNLPPELGNLPQLEMLLLTSNNFTGNLPATFAGLTTLKHLRIGDNQFSGTIPNFIQSWTNLERLVVQGSGLSGPIPSEISFLKNLTDLRITDLKGSDSSFPQLSNLTNLETLVLRSCNLIGTIPEYLGNVTSLRSLDVSFNKLSGDIPSTFGDLENMNILYLTGNLFTGPLPNWIDRPDYTDLSYNNFSIEDPGQLTCQQGSVGTVPCLGNINCPKTLYSLRINCGGKPVNTNGSMIYDDDSLEAGPARFHRTGSNWAFSNTGHFFDSGLVDYYTWPNTTKLAMDNAELYMDARVSPLSLTYYGFCLGNGSYTVNLHFAEIMFTDDQSYKSLGRRVFDIYIQKKLVLKDFNIAKEAGGVGKAIIKKFTAIVTSNTLEIRLHWAGKGTTAIPFGSVYGPLISAISVDPDFPPPMENGSSVTVRSIVAIVVAGALFIIMLFGILWWRGCLGQKSSLERELKGLNLQNGFFPLRHIKAATNNFDPAFKIGEGGFGPVYKGVLSDGTAVAVKQLSSKSKQGNREFINEIGMISALQHPSLVKLYGFCMEGDQLLLIYEYMENNSLAHALFAQEKSQLKLDWSTRQKICIGIARGLAYLHGESRLKIVHRDIKATNVLLDKDLSPKISDFGLAKLNEEGHTHMTTRVAGTYGYMAPEYAMHGYLTDKADVYSFGIVALEIVSGKSNTKSWPKEECFSLVDWVLLLKEKGDLMDLVDERLGVDFKKEEVMVMINVALLCTHSSPMHRPAMSSVVSMLEGRTDVQEVVPDTEVFDDKKLEAMRQYYQHREKSKTWQTQEESISIDENFAAMSDTDLIFVNQDDYSSSYEENTITM >OIW12012 pep chromosome:LupAngTanjil_v1.0:LG05:9870072:9873412:-1 gene:TanjilG_16123 transcript:OIW12012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDKASADSFWVETINGKVQNPIKKKRKYKKQKKEFDGWGSTSLIRFLQSIGRDTSNEMTQSEVTTIVNEYVKQNNLIHATKKKRIMCDQRLHLLFGRKSIGRLKISDLLEPHFAENRDKSDDDIFFNSDDEEEEDDTLGTCQTPKSTNSERKSQPKKLAKEKPRSCFAAIIPFNIKLVYLRKSLVEELLKDLETFETKVVGGFIRIKCDPNDYLQKNSHQLLQVKGVNKGAGIIGGILLEISGFFKDVSIKMLSDDNFTEEECKDLHQRVKDGLIKKPMIVDIEQTARALHEDMTKHVCTELLWNLVFQLLHSCFISVMCQIV >OIW11812 pep chromosome:LupAngTanjil_v1.0:LG05:14983837:14984013:-1 gene:TanjilG_07293 transcript:OIW11812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELTTTTMTELTAMAMMELTAMAMMEREREAKEGEGESERERKRSEGGRVRVNERDRG >OIW11735 pep chromosome:LupAngTanjil_v1.0:LG05:18631115:18632947:1 gene:TanjilG_20219 transcript:OIW11735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKFLKCCCAENLFSQKDEALPIETIFNLPAAIPSFPQGDGFATGVIDLGGLQVTQIAAFNKVWSTHDGGLDNKGATIFEPKNIPEGFYMLGSYSQPNNKALYGWVLVAKDVSSKTTNPTLKQPSDYTLVWNTESLNINEEGHAYVWLPTAPNGYKAVGHVVTTTPDKPSLDKIRCVREDLTDQSEQYSLIWSNNGFFIYDVRPSNRGTQAPGVRIGTFVAQTVETATNVSISCLKSINAKRTSPMPNLQQIDAIIKLYSPLLVLHPDEEFYPSSVNWFFSNGALLYTKGQESKPIRIEPNGTNLPQNGTNDGAYWIDLPADSDNKERVRKGDLSSARSYVHVKPMYGGTFTDLALWAFYPFNGPAKAKVKFIKNINLGKIGEHVGDWEHVTLRVSNFNGQLWEMYFSQHNKGTWLDASQLEFEFGNKPNAYSTLHGHASYPHVGLTLLGKDGVGAKDDTEQSNFVFNLAAYELISAEYLGSAIIEPPWLNFNRQWGPSIDYDLDKELEKVRRKLLGPLKSLFDRIIRILPKEVLGEEGPTGPKDKNNWSGDEV >OIW12101 pep chromosome:LupAngTanjil_v1.0:LG05:7502270:7511888:1 gene:TanjilG_31208 transcript:OIW12101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSVKSESESMITVNDSKGDVINGYIVYTRRKRTLPSHNGNEAKRIKIEEEKVNADDGNVEIEVKSEGGVFGESGGSIEVEVVQDDVVICRRGVETTRVAHRNKNAIVVNKKPLTVKELFDTGLVDGVPVIYMGNKKDQTSGLRGVIQDGGILCSCSICNRRRVIPPSQFEIHACNTYKRATEYICLENGKSLLELLRACRTAPLHTLEATIQNFVCSLPEEKYFTCKDCKACFPSSSGGRVGHLCHSCLESRKSEDSSINAVGKRVRTPKAASELCISSKTKRHGKKRTMSAKLSVKLKTAPITSNSKCLSPRNKSQWRITKKYQRLHKLLFEDNGLPDGAELAYYARGQKLLEGIKRRSGIFCGCCNTEISPSQFEVHAGWDSRKKPYAYIYTSNGVSLHELAIFLSKDRQCTGKYKNDLCIVCWNGGKLLQCDGCSTSFHKECASLSSIPRGEWYCQICQNMFHSETSLALNADAVAAGRVAGVDPIEQIAKRCIRIVKDNNIEAEISGCALCRGSDFSRSGFGPRTVIFCDQCEKEYHVGCLRDHKMAYLKELPEGNWFCCNDCSRIHSNLENLLVQGDEKLPESFLHVIKKKQEERCLEPFNEIDVRWRLLNGKIASSETRPLLLEAVSMFRECFDPIVDAATGRDLVPPMVYGRNVQTQDFGGMYCALLMVNSSVVSAGMLRIFGGDIAELPLVATSFKNRGKGYFQTLFSCIERLLAFLNVKNLVLPASEEVASIWTTKFGFSMMKPDQLIDYRRNCNQMMAFKGTIMLHKVVPPCGIINTQS >OIW11938 pep chromosome:LupAngTanjil_v1.0:LG05:10155696:10156976:-1 gene:TanjilG_02145 transcript:OIW11938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFEPGAIVFTMLIKGLCLSGDIKKALQFHDTVVAYGYQLNEFSYAILINGLCKVRQTKAAMQVLRKIGGKVIQLDVVIYSTIIDRLCKDKLVTDAYNLYFEMVANGISPDVVTYISLIYGLCLVGQWKEATDLLSEMVLKNIKPDVFTFSTLIDALCKEGKVKEATNVLALMIKDGVKPNVVTYNILLDGYCLINEVNKAECILDNMAQGGVTPDVQSYNIMINGYCKNKMVGEAMNLFKEMHHRNMVANAVTYNSLIDGLCKSGRISYARELLDEMNDRGLPASVITYSSLMDALCKIQQLDKAIELDVKIRDQGIQPDVCTYTILIDGLCKGGRLKDAKEVFQDILIKGYQPNVYTYNVMINGLCKAGLLDEALTLQSKMEDNGCTPSAITFNTIISTLFENNENEKAKELLGEMLARGLLRG >OIW12214 pep chromosome:LupAngTanjil_v1.0:LG05:5701326:5706452:1 gene:TanjilG_28622 transcript:OIW12214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPKQKSKADVAKKQKVIEDKTFGLKNKNKSKNVQKYVQNLKQSVQQPDSSKLAAKKKKEEEKAKEKELNDLFKIAVSQPKVPVGVDPKSILCEFFKVGQCTKGFKCKFSHDLNVQRKGEKIDIYSDKRDDETMEDWDQETLEKVVESKKNEYNQNKPTDIVCKHFLEAVERKQYGWFWVCPNGDKNCHYRHALPPGYVLKSQMKALLEEESDKITIEEEIENQRAKVATTTPMTPELFHQWKKKKVDERDASLAAQQADRAKNDRMSGRELFLADATLFVDDDEAYEKYQREPESENTEQKENGNSAEHGPSASATAGSDADDVEDDDDELDMDELDELEASLSKTSIQIKEPGAEA >OIW12444 pep chromosome:LupAngTanjil_v1.0:LG05:1037817:1039353:-1 gene:TanjilG_04193 transcript:OIW12444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRRSRQQSSGSTRISDDQIIDLVSKLRQLVPEIRHRRSDKVSASKVLQETCNYIRNLHREVDDLSERLSQLLTTIDSDSAEASIIRSLISQ >OIW12056 pep chromosome:LupAngTanjil_v1.0:LG05:8971421:8975059:1 gene:TanjilG_24480 transcript:OIW12056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFALPQTFSNNLKEKLPENVGLRGPCDIVWTVGLTTRDGAMYFTRGWPQFVKDHSLKENDLLVFKYNGGESLFDVLIFDGESFCEKVDSYFVRKCDLTENGVGCLSKKRDTDNRVNEVNTPSNAGVECAGPEKSVQNNGFVVPAAIPFEARSSERTINAGVESASPGQLIHSNGNTVPISVPSQTTDTSVRNIASAATHVQANQRGRPPKVSSAHQGVVDTDNRVEEVNTLSNAGLEYAALEKSVHGNSVIVPAPASFEAPSSERTTHETARELVSAVTHVQTKRKGKPPKVSNTPSNAGVDCAALEKSVHHNTVVPVAVPFETPIGKRTFDAVVESANPQQFIHANAGVDCAAIEKSVHHNTVVPVSLPFETPIGERTFDAIVESASPQKFIQANGDIVPTTDPLQTTDRRFKIRKLVSAVKHVHTKQRGSPPKISSAGQGVADWVTDSDVDSSGQSAAHIELYTSNRRPVTEHEINSAQHLAQAACTKKSLLIVMQPEQVYTGFYVSIPSRWVVEHLPPRSQDLVLRVNKTEWHVKYCYDRIHYTGELTLGWRKFALDNNLEEFDVCVFQPMGKVYGTWLIDVKIFRVVKDITPPTIPKPPLKRGRKRAISNIQTE >OIW11914 pep chromosome:LupAngTanjil_v1.0:LG05:11186104:11186856:1 gene:TanjilG_18187 transcript:OIW11914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSGLKPNIVTYNALINGFCKNKMMKEATKLIDDITTQGLVPTAITFNTLIDAYCKEGMLEEGFALRSSMLDEGVLPNISTYNCLIAGLCRKQNIIAAKALLNDLGSKGLKADTVTYNILIDGQCKNGKSRMAEDLLNEMRNVSLKPNHVTYNTLMDGYCMEGNLKAASNVRRRMEKEGKRGNVVTYNVLIKGFCRRGKLEDANRLLNEMLEKGLILYRTTYDIVRLEMLDKGFIPDIDGHLYNISGMS >OIW11621 pep chromosome:LupAngTanjil_v1.0:LG05:21150382:21150537:1 gene:TanjilG_31900 transcript:OIW11621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYQNSAGKSKMEVTYAWINNEEMEEGDGKRKGVHKLGLLCIGVYYVLGFAD >OIW11361 pep chromosome:LupAngTanjil_v1.0:LG05:24256225:24261202:1 gene:TanjilG_19617 transcript:OIW11361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALHFSTVASRHFSISLSHNRTFSSSAYSTHKPTKLSSSWTGSSFSSSRNNLFTNEIWGWVNSKSVSLRRCRDILPGMVRAEMFGQLTSGLEAAWSKVKGEELLTKENIVEPMRDIRRALLEADVSLPVVRRFVQAVTDQAVGLGVIRGVRPDQQLVKIVHDELVKLMGGEFSELVFAKSGPTVILLAGLQGVGKTTVCAKLANYLKKQGKSCMLVAGDVYRPAAIDQLAILGKQVDVPVYTAGTDVKPSEIARQGLEEAKKKNIDVVVVDTAGRLQINKSMMDELKEVKQVLNPTEILLVVDAMTGQEAAALVTTFNLEIGITGAILSKLDGDSRGGAALSVKEVSGKPIKLVGRGERMEDLEPFYPDRMAGRILGMGDVLSFVEKSKEVMQQEDAEELQKKIMSAKFDFNDFLKQTRSVARMGSVSRVIGMIPGMAKVTPAQIRDAEKNLQITEAMIEAMTPEEREKPELLAESPERRKRVAQDSGKTEQQVSQLVAQIFQMRVRMKNLLGVMEGGSMPALSNLEESLKTEEKAPPGTARRRRRPESRKQFANSTSARPDARGFASES >OIW12022 pep chromosome:LupAngTanjil_v1.0:LG05:9709048:9710945:-1 gene:TanjilG_16133 transcript:OIW12022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKQVLKTHDLNFANRPPFLGLKKLSYNGLDIGFAPFSPYWKEMKKLCVLHLFSLQRVNSFRPFREDEVAKLIKKLSQHEDGGLGKVINLSETLISFTNTLICRIAFGKKYGFEEDELLGSDQKSSRLHVLLNEVQALMTEFYFSDHFPLMGWVDRVRGVLQRLDRTFKDLDMIYEQVIHDHMDLDRAKSDGHEVADIIDIFLKIMNDQSFSVDLTLDHIKAVLMDIFTAGTDTVAATIIWAMTALLKNPKEMKRVQEEIRQLLGDTDFINEDDIQRLPYLKAAVKETLRLFPPSPLLLPRETIEKCNIEGYEIQQRTLVYVNAWAIARDPENFEDPERFNPERFIGSSIDFKGNDFEFLPFGAGRRMCPAMNMGVVTVQVALANLLYMFDWEVPSGINKEDMLDTRVKPGITMHKKKDLYLVAKKHTT >OIW12070 pep chromosome:LupAngTanjil_v1.0:LG05:8091078:8094993:-1 gene:TanjilG_15310 transcript:OIW12070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSFHAMAVDKLNIVYFQCDNGWYGVDCSIPSVISSIRDWPNWLLPARIDVPDNLHNSGKIMNLPAVVAKKRPFIYVYDLPPDFNSLLFEGRHFKLECVNRIYDGNNATVWMDQLYGAQIALYESMLASPHRTLNGEEADFLFVPVLDSCIITRADDAPHLSMRGCGRVFESSWRWRNRRALMILSFDTMYEFEPRWRKKLQLVQQWPKRSFHTASNHIESMGGSNILLFSNLWDSVGLCSGFRIIGMEVLVSEEHMGLRSSMTLEYYKNAYNHIVEQYPYWNRSSGRDHIWFFSWDEGACYAPKEIWNSMMLVHWGNTNTKHNHSTTAYWADNWDKIPSDRRGIHPCFDPHKDLVLPAWKVPDVNVMTSKLWAW >OIW11584 pep chromosome:LupAngTanjil_v1.0:LG05:21787409:21789268:1 gene:TanjilG_26950 transcript:OIW11584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQQLSSTAATIRPPLTPEQNNTLRNLIDKVMSHHHDYYRAKSFAAEKDPLAVFVSPWATTLERSLHWIAGWRPTTAFHLVYTESSVLFESHIIDILRGIRTGDLGDLSPAQFRRVSELQCDTVKEENAITEELSEWQESASEMMGPRADINDKIGRLVSIIKKADDLRLRTLKSMIELLSPQQAIEFLIASAELLVGIRGWGLNHDR >OIW11957 pep chromosome:LupAngTanjil_v1.0:LG05:10381448:10382872:1 gene:TanjilG_02164 transcript:OIW11957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LQYEKDKLHGGLLASGFDEASCISRLQSHLYRKPSPRKPSRYLISKLRNYEELHRRCGPNTISYNKSMTNIENSKNNNVDATMCKYIVWSPTNGLGNRIISMAATFLYAILTNRVLLVRFGEDMHGLFCEPFLNSTWMLPNNSPFWNQEQIETYDSFLEKGRSKTNKTKEDLPSALFLYHQYTKEDTEKFFHCDNTQHLLRDIPLLILQSDQYFVPSLFMVTSFNLEINKMFLQKDTIFYHLGHYLFHPSNAAWGLISRFYKTYLAKADKRIGLQIRVFDPQISSQQEIMDLVLSCTIENKILPKLDTQNSVSYSENQTLKAVLVTSLYPDYGEKLRAMYLNKASISGELIGVFQPSHEQQQKFHDNMHDMKAWTEMYLLSLCDVLVTSSFSTFGYVAQGLGGLKPWLLVRPHMKKPNSTSCEQDLSSEPCFHFAPMHECNGKSQNHFGSSFPHLLACKDFNRGLKLVSDSALL >OIW11344 pep chromosome:LupAngTanjil_v1.0:LG05:24453580:24458767:-1 gene:TanjilG_19600 transcript:OIW11344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFLRSSSDRRKSASKATRSTVRGGGKSKIVVNQRLQLNPDNNHQPESYEDLKSNHFGPWIFRELEKHLPSNMLNASRDKKVKYMSGILDEYLSPAERFRGQKQKEFRQKIISNYPPLHKELYTMHPTTFFVPEFLRAINDNTEDSFRRIMSEPAPGIFAFEMLQPRFCELLISEFDNFERWVHDQKVRVMRPNTMNHYGAVLDDFGFQTMLAKLMEDFICPLSKVFYAETGGANLDSHHGFIVEYGNNRDVDLGLHVDDSEVTLNVCLGTKFSGGDLFFRGMRCEKHVNTESRSEEIFDYSHFPGQAVLHHGRHRHGARATISGHRVNLLLWCRSSVYREIRKYQKGFCSWCGECKREKEERMHTLIDNTKLVSHYVIFILHAHALLSRKMT >OIW12157 pep chromosome:LupAngTanjil_v1.0:LG05:3736426:3738825:-1 gene:TanjilG_28565 transcript:OIW12157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVISGVSKSDSLDILQPANLTSDMILEMEEEFALLRNAFSKTSITDEHIAFLTKQWYISVLARIRINAFRIELVGGLYEDLLSSLVASVEAEAAVGNAVYILPSLYNHDCDPNAHIIWIDNADAKIKALRDIDEGEELRICYIDASMDHNARQEILLQGFGFQCNCSRCLHGD >OIW12460 pep chromosome:LupAngTanjil_v1.0:LG05:1263588:1266422:1 gene:TanjilG_04209 transcript:OIW12460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNLILLLAFLTLSLMCSIANSMRFELKYGDTKCISDEIQSNSMTVGKYTVVNPNEGYPIPETHKLIVKVVSPHGNNYHHVDNVDSGNFAFTAAEAGDYTACFRLPDSRAMPTVIVEFDWKSGVAAKDWSKVAKKGQVDVMEFELKKMYESILSIHDEMFYLREREVEMQELNKATNTKMFTFSFFSLMVCLSVAALQLWHLKTFFERKKLL >OIW12466 pep chromosome:LupAngTanjil_v1.0:LG05:1303911:1308757:-1 gene:TanjilG_04215 transcript:OIW12466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGSTGRGGGGGVDMFLSNYKLGKTLGIGSFGKVKIAEHVLTGHKVAIKILNRRKIKNMEMEEKVRREIKILRLFMHPHIIRLYEVIETPTDIYVVMEYVKSGELFDYIVEKGRLQEDEARNFFQQIISGVEYCHRNMVVHRDLKPENLLLDSKCNVKIADFGLSNIMRDGHFLKTSCGSPNYAAPEVISGKLYAGPEVDVWSCGVILYALLCGTLPFDDENIPNLFKKIKGGIYTLPSHLSPGARDLIPRMLVVDPMKRMTIPEIHQHPWFQARLPRYLAVPPPDTMQQAKKIDEEILQEVVNMGFDRNHLVDSLRNRLQNEGTVAYYLLLDNRFRVSSGYLGAEFQETMDSGFNHIHSREVASPVVGNRFPGYIDYQGAGMRPQFPVERKWALGLQSRAHPREIMTEVLKALQELNVSWKKIGHYNMKCRWVAGIPGHHEGMINNSVHNNHYLGNDFSIIENDAVSKSNVVKFEVQLYKTREEKYLLDLQRVHGPQFLFLDLCAAFLAQLRVL >OIW12456 pep chromosome:LupAngTanjil_v1.0:LG05:1198834:1202441:-1 gene:TanjilG_04205 transcript:OIW12456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSESEGQPPTAFVTDVLLWKRWHVSLGIIVVATVAWFLLEWTDLPFLTICSDVLLILILVLFLHANYAALRNKQPPTLPELVVTEELVNTVAASFRVKINNALLIAHDITIGKDFRIFFKVVVCLWLLSVIGSVFSFFTLAYIGTLMMITIPALYRKYGTYVDKCCGVIQDQFSKHYRIVDENVFNTLPRNVSKDKES >OIW12014 pep chromosome:LupAngTanjil_v1.0:LG05:9857030:9862030:-1 gene:TanjilG_16125 transcript:OIW12014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAPVLVLKDSLKRESGTKVHHANIQASKAVADIIRTTLGPRSMLKMLLDASGGIVVTNDGNAILRELDIAHPAAKSMIELSRTQDEEVGDGTTSVIILAGEMLHVAEAFIEKNYHPTVICRAYNKALEDAIAVLDKIAMVIDPNDRATMLGLVKSCIGTKFTSQFGDLIADLAIDATTTVGVDIGQGLRDVDIKNYIKVEKVPGGQLEDSRVLKGVMFNKDVVAPGKMRRKIVNPRIILLDSPLEYKKGENQTNAELLKEEDWNLLLRMEEEYIEEICMQILKFKPDLVITEKGLSDLACHYLSKHGVSAIRRLRKTDNNRIARACGAVIVNRPDELQESDVGTGAGLFEVKKIGDEFFAFIVDCKDPKACTVLLRGASKDLLNEVERNLQDAMSVARNIIKNPKLVPGGGATELTVSATLKQKSSSVEGIEKWPYEAAAIAFEGIPRTLAQNCGINVIRTMTALQGKHANGENAWVGIDGNTGAITDMKEGKIWDAYNVKAQTFKTAIEAACMLLRIDDIVSGIKKKQAPGSGPSKPKIETEADADGEQILPD >OIW11377 pep chromosome:LupAngTanjil_v1.0:LG05:24098297:24099739:-1 gene:TanjilG_19633 transcript:OIW11377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHRTSFPMTYPNIILCFLCLFSFISSSSSSSNPNTITLPLSPLFTNHPSSPPDPFQSLKLAASASLTRATHLKHSNKTNLNDPSNVTTEVHSKSYGGYSIDLQFGTPTQTSSFVLDTGSSLVWFPCSSRYTCSSCSFSNIDPTTIPKFIPKNSTSSKIVGCANPKCGWIFGSNVESRCNGCNPKTQNCSFNCPTYIIQYGLGSTAGLLLSENLNFPAKVVPDFLVGCSLLSIRQPSGIAGFGRGLESLPSQMGLKRFSYCLVSHKFDDSPENSDLVLQIGSSGDGKTSGLSYTPFQKNPEVNSVFKEYYYVSLKKIMVGGKRVKIPIGLLEPGSDGNGGTIVDSGSTFTFMERQVFELVAQEFEKQIGNNTRAKDVETQSGLSPCFTVDNSGGNVDLPQLTFGFRGGAKMVLPVANYFSFVDSDKVVCLTIVSDGVVGPARGSGPAIILGNYQQQNFNVEFDLENERFGFGPRTCNKST >OIW11420 pep chromosome:LupAngTanjil_v1.0:LG05:23710841:23714193:-1 gene:TanjilG_26786 transcript:OIW11420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERQGHDYAAASAMAYAQQQRQASNMQQHQQFGFHPQHQQFPSSVHGPPFIPPGPGPAHPSLQQYPYHHALQQQQLQQLHSHAAPPPHLLQQQHQGPPAFPSHFTPSIAPAPFYDSAPPPVAPPTDPELHKRIDKLVEYAVKNGPDFEAMICEKQRDNPSYSFLFGGEGHAYYRYKLWFSTRPPGGPFNSPFQSSSMSMIHPPPNPMMSSSPLNAPPMNSAGIGSSPSMLGPPPYPQFYDQQHHHQHPQSFGLHGRPEYDQSSQSFKGLSGPLPSDVAMELSNVLNNLNGTKESIKGAKLWFTQRSPFAPALAQALRDRVYQLDDVERQLHIIYVANDILFDSLNRRTSTHDLDNEAFAFKPALGSMLARIYHNPQSNEEYRNRLHQMVEFWASKEIYDQETISLLKGEMIGGLQTHSFPGASKDFSSVSADSGAGLLQTPPNHIVQQWHADRLGSNSGVLEQDRPDKHPALGQSMSIPLAAQPYLPNSAPPGAFPGSMPIPSSGQPANQPPGTHLLPPPLSGTSEQLPPYPLFPPGLIPGMVRKMQIGSGVPYSPMSPLDIPTMIPPSTVPPSEILQRVSKFFKEIGEVNPSEGPMNSESRDEDGDDYDREYEREPLVRKGGACIPPPPNLQQVDPDTGTFSDGTVDMKPGSSSSGRLGLGATANPNEVSQYDDVYTSYRKQRSTNYHSSMSTRAVAR >OIW11959 pep chromosome:LupAngTanjil_v1.0:LG05:10390368:10394208:1 gene:TanjilG_02166 transcript:OIW11959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDNGYKSQYIGGQKEKFVRLDELDSNLSLPSSSTGMKKLRFNLDCLPFRRRGKKNTSKSFRQGVKRGSDGLVTLGRSLRSGVTWSVFPEDLKVSERKVFDPQDKNLLYWNKFFELLCIFSVACDPFLFYLPYFNHKSFCLAIDNKLASFSVTLRTLFDCIYLIRISFQFRTAFIAPSSRVFGRGELVIDPSQIAKRYLQRYFIVDFISVLPMPQIIVWKYLYKTRRAEVLATKTALLRLVILQYFPRFLRFLPLASEVKKTAGVFSENALLGAMYYLIWYMLASHITGSVWYLLAIERNDTCWKQACEDVGNCNIHFLYCGSSNRHIPGYESWRNMSETVLKSRCFGEENTQFNYGIFNQAIQSGIVASVEVFPKFCYCLWWGLQNLSTLGQGLLTSTYPGEVLFSIVIAIMGLVLFSLLIGNMQTYLQSMSVRLEEMRIKRRDSEQWMHHRLLPPELRERVRKYDQYKWLNTRGVDEENLVQSLPKDLRRDIKRHLCLNLVRRVPLFANMEERLLDAICERLKPSLYTEGTYLVREGDPVNEMLFIIRGRLESVTTDGGRSGFFNRGLLKEGDFCGEELLTWALDPKSAANLPSSTRTVKAINEVEAFALEAEELKFVASQFRHIHSRQVQHTFRFYSQQWRTWAAIFIQAAWRRHMRRKVAEQRRKEEEEDLYDSDDSGNDSARALFPNSEGSSSRRFGLGSTIYASRFAANLRGRNLRVSSSSDLAKIQKPPEPDFSALED >OIW11850 pep chromosome:LupAngTanjil_v1.0:LG05:12576116:12577222:-1 gene:TanjilG_31600 transcript:OIW11850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLITLPKLPFFFFFFLFHISFSQTTPTLVRNAHVINFRSPNLYPESLTWDPQGHHFLLGSLRHRTIVAVSDAGKVETFISDPALPSDVSFFGLAVDSPRHRVLAVVNRHDPPFNALAAYDLNSRQRLFLSTLPSFNDSPSAANDVAVDNHGDAFVTNSAGNFIWKVTADGDASVFSSSPLFANNHDHNADQNAPYGVLGLNGIAYVSNGYFLVVQSSTGKVFKVDEKDGTAKTVVLNEDLIGADDIAVRSDGVVLVVSPTNKLWFLRSQDSWAEGVVYDKVELDLRRFPTSVTVGTKERVYVLYGHLSEGKMKDSERESFGIAEVRSKKEGSDERIWMLILVGMGLAYFLFWKFQMSKLVNKMNQKIN >OIW12474 pep chromosome:LupAngTanjil_v1.0:LG05:1369481:1370227:1 gene:TanjilG_04223 transcript:OIW12474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILIKQYRCVHSSSCQCTKGHLSEDVIFLVFNHLNWNPKLIATLSCACKWFDDLAKRVLWKEFCRTRAPKMMLDLQSSGSHSVDGNWRALGKLLIYCSGCKKGGLFNNVQIPGHFVYRTRFSRTSGKSFLLPQCRTDVLYVCDPCEHLDQGEEGDLGFFRGIFKSFATTNIKRMLINKGAKLHPTEVCPYCKAKLWSMLQANMIPQSASSRLGSYEDGIEYYVCLNGHMLGICTLLPLSDSEEASEKE >OIW11465 pep chromosome:LupAngTanjil_v1.0:LG05:23323465:23331026:1 gene:TanjilG_26831 transcript:OIW11465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDCSRNGGHDFNMASRKAEEAAWRRYDASQWLESQVGPLGISNQPTERELVSCLRNGLVLCNVINKIHPGAVPKVVDIPVASQPLSWDSQPLPAYQYFENVRNFLVAAEELKIPAFEASDLERDSVDMGSASKVVECILSLKSFQESKQINNENDSNKQIKSPLQMQSASRMHSKATTAYPSDACRPLDLSATLEKTPPIESNFQQREESVESIVRLLVDRMLDAKENINGNIHASLSNGLLDPIKLFNQILSNGGGEQPLKKLPEDIIKERTISQPDSTSTPASSYALPASKCPKCHRTCIGKCTCSQQQLLEMQKKELLDLKALKLKLKVEFEEMQSQFQRFFNDLGSQVQEMSVNALGYHKVVEENRKLYNMVQDLKGNIRVYCRIRPSFRANTKSIMDFVGENGSLLILDPSKTLKDGRKHFQFNEVFGPTASQDEVFERTQPLIRSVMDGYNVCIFAYGQTGSGKTHTMSGPSGGTSKDMGINYLALNDLFQLSNQREDIIKYDILEIRSCNGDGLSLPDATLRSVKSTSDVLTLMKLGEVNRAVSSTAINNRSSRSHSVLTVHVHGKDTSRSTIHSCLHLVDLAGSERVDKSEVTGDRLKEAQFINKSLSCLGDVITALAQKNSHIPYRNSKLTLLLQDSLGGHAKTLMFAHVSPEADSFSETMSTLKFAQRVSTVELGAARLNKETSEVMELKEQLENLKIALANQEAQNAMFNRTKEPYTPSEEPALVSEKTQVRHRRRSIESCSSPKIDKSVNPEDKSGTESSSTPRSLVSENTQFRYRRRSIESCSAPKIDKSINPEDKSGTESSSFIPRLLVSENTQFRHRRRSSIENCSSPKIDKSVNPEDKSGTDSSSSIPRSRRLSLEGPKSIKKDTVQTKVAADVSKTLRYESVSLQRHISLQDPESVSKSYGHFSNGNSRSELLANAPSSPTSITYQKRLIKIDSEVQIHPIKLPQTPELGRKDADRATFNDLAAFCNDSQKTKVTSSTNGKESHIRRSLRTIGKLIIGTDKRSQHNVAEVKSPINVTSHTNDVKTPRATTQRTKRRHSLTGMEAPRPNSRRSSLEGNPVVPNVRDRNARTPPPVRPTTKISARWA >OIW11883 pep chromosome:LupAngTanjil_v1.0:LG05:11790505:11794127:-1 gene:TanjilG_25796 transcript:OIW11883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSIGVLLVAQVMPYLEQQLNTRYQLFRIWDYPQTTHLFANHAATIRAVVGGANGGADAELIDALPKLEIVSTFSVGMDKIDLEKCKEKGIRVCNTPDVLTDEVADLSIGLILAVLRRICDCDRFVRSGNWKLGDYKLTTKFSGKTVGIIGLGRIGSAVAKRAEGFNCSISYYSRTEKQDSKYKYYPSVVELASNCHILVVACPLTDETHHIINREVINALGPKGVLINIGRGKHVDELELVSALLEGRLGGAGLDVFENEPHVPEELFGLENVVLLPHVGSGTVETRTAMADLVLGNLEAHFLGKPLLTPWI >OIW11233 pep chromosome:LupAngTanjil_v1.0:LG05:26034526:26038751:-1 gene:TanjilG_28324 transcript:OIW11233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILSLLSLHVKGPEYFDNRVAALAVAVCSFMVALPESTHLMTKRIAFGQLVIVYVSTVIHGAQRGVVMHPIPVASSTALGAIASVLAMLLPYPRLAYYETRQFYRLYTENAAERLNCTLEAITASDNSTALGFSNQAKSLSIVGAKLRRRIRSNLDGMQWERPQTRFCNPRSIDLEEKLQDLETPIRGMDIALSSCTSFPVSVIDEKLRGVLLNCRGKFSQKLDQKARCFAPFDAKTTAESKKEIFNRNLTIADKDLPTSFFLYCVQLLLDDLLLAKKTEHIVEKTQKTRMIREFVTSFMPSKHNLVFAFKCSLSLGLAMLFGLTYNKENGYWSGLTIAISFVTGRQPTFSAANARGQGTAMGSIYGVICCFIFKRFGDLRFLSLLPWVVFSSFLRHSRMYGQAGGISAVIGALLILGRKHYGPPTEFAVARIAEATIGLICFILVEILSQPSRAPTLAKSELSKSLRTLQDCVGSIPTTIPSQRDIPSSSSQALREGQHRLKARVCRLEEFTAEAKLEPNFWFRPFHSGCYTKMLESLSTMVDLLIFVAYSMEQVTRLSQKDGVCRVDFQDRVKDNIELFKNRIGIRLKCLEAIIRMKSIRKLVNDLKIKNLPCDVESGEYANAEAYTTLSGNDEVDGITGSFLQLLEELANKTQFNKDEEMLKDQLLLHYSCMGFCINRLMRETLKIESEVKELVIWENGSIEVNFKEIYCKISTLCSR >OIW11911 pep chromosome:LupAngTanjil_v1.0:LG05:11225136:11227341:1 gene:TanjilG_18184 transcript:OIW11911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSTTETTTTPLLRHHTPRWLPSTIKLKTTLSSELSGAVGDLGTYIPIVLALSLVNNLNLTTTLTFTALYNIVTGLLFGLPMPVQPMKSIAAVAISESPPLTIPQISAAGLAVAAVLLVLGSTGLMSILYKYLPLPVVRGVQLSQGLSFSFSAIKYIRFQQNLATSKSGDQRPWIGLDGLIVALAALGFLVLTTGAGVDHVSQEQEQHQQGVNDRRNRVQHRLKILSAIPSALIVFLFGLLICFLRDPSIFKDLKFGPSKISVVRITWEDLKIGFLRAAIPQIPLSILNSVIAVCKLSGDLFPDKEASAMKVSVSVGVMNFVGCWFGAMPCCHGAGGLAGQYRFGGRSGASVVFLGVGKLVLALIFGNSFGRILGQFPIGILGVLLLFAGIELAMASKDMNTKQESFVMFVCAAVSLTGSSAALGFFVGIVLYLLLKLREVDCDCFFGFGTSNNTKSSLDEESSLIG >OIW11652 pep chromosome:LupAngTanjil_v1.0:LG05:21009740:21011269:-1 gene:TanjilG_24858 transcript:OIW11652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAKALDLVETTLVSHERKHPLPKTSDPRVQIAGNFAPVPESPVQHSLPVTGKIPKCIEGVYVRNGANPLYEPSAGHHLFDGDGMVHAVRFKDGSASYACRFTETQRLVQEKELGKPVFPKAIGELHGHSGIARLLLFYARGLFGLVDGNNGMGVANAGLVYFNNHLLAMSEDDLPYHVHVTENGDLKTVGRYNFEEQLKSTMIAHPKVDPVTGDLHALSYDVVKKPYLKYFSFNKEGLKSHDVEIELKEATMMHDFAITERFVVIPDQQVVFKLSEMVRGGSPVIYDKEKVSRFGILDKNASDGKSVMWVDAPDCFCFHLWNAWEEKETDEVVVIGSCMTPADSIFNECDENLKSVLSEIRLNLKTGKSTRRAIMDETEQVNLEAGMVNRNKLGRKTQFAYLALAEPWPKVSGFAKVDLFSGEVKEFIYGDKKFGGEPMFLPRDPNSEREDDGYILTFVHDEKEWKSELQIVNAMSLKLEATIKLPSRVPYGFHGTFIHSKELKNQA >OIW12454 pep chromosome:LupAngTanjil_v1.0:LG05:1186950:1187594:-1 gene:TanjilG_04203 transcript:OIW12454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSIPSSDLVSKSRNRKTQTCSSSSSNSLQIPLCDRSQSAMVDVVIFIAVIFAIGFLFFPSIEFLITGSIRIGKLVFCVMKEEFEVAPTIYIFIGLSITCAALATWGVVVCTSRKCGNPNCNGLKKAAEFDIQLETEDCVKSSNSIAKDGAVGIVKKGLFELPRDHHRELEAELKKMAPPNGRAILVLRARCGCSVGRLEVPGLKKQNRKVKK >OIW12470 pep chromosome:LupAngTanjil_v1.0:LG05:1334179:1336649:-1 gene:TanjilG_04219 transcript:OIW12470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTIARVSANVGTIAIVISLPTKRRVDESENLTLDHIRHSLIRLEDSIIFSLLERAQYCYNEDTYDPDAFSMDGFHGSLVEYILKETETLHAKMGRYKSPDEHPFFPDGLPEPLLPPLQYPKVLHPIAESININDKVWNVYFRVLIPQLVKEGDDGNCGSTAVCDTMCLQALSKRIHYGKFVAETKFRAAPDAYKAAIIAQDKEKLMDMLTYPEVEEAIKRRVEMKAKTYGQEVVINMKEHRTEPVYKINPSLVADLYSDWIMPLTKEVQVAYLLRKLD >OIW11244 pep chromosome:LupAngTanjil_v1.0:LG05:26132930:26133476:-1 gene:TanjilG_28335 transcript:OIW11244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKRVRVMEAENKGKGLMAPQDLATNLTALVVDDDKLTRMLHQKLLNKAGVKNHAVENGKEAVDIHRSAQSFDMILMDKDMPIMNGIEATKKLRSMGIGSMIIGVSSSSKEEEIREFMEAGLNDYKVKPFTFTMLSSILDKINS >OIW11746 pep chromosome:LupAngTanjil_v1.0:LG05:17510593:17510850:1 gene:TanjilG_10948 transcript:OIW11746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRLKSPFKNGKNSVNKTTFLVSATSFTPEIKNKGDEVAVTIKTLQQTVKALEAKIEIMKKIGHSLQLKQKDEAIRKLSNNGGKK >OIW11316 pep chromosome:LupAngTanjil_v1.0:LG05:24716102:24716971:-1 gene:TanjilG_20465 transcript:OIW11316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNKAAIFRTMGTYGTPLAIGLSGIIISTLLLAAYHFLFFKCCSRYRHWSQERNDNRNHSDINSSCCGVQEEILKKIPVIAYSINLIGLDQGECSICLGELEDGDLLRLLPSCNHAFHIPCIDSWFKEHESCPFCRSQITCDIEESIMPSNSEDEGQQRVLHEFTPIDYHNSDNHNQLMDDVSNSTLTLRFQLRHSISISSNLPKDLGVLKRSLSMDESYLYTGISRISIKRDQEMASASSTKYIVMNQCKSRSLRHFDRMSSVLKRSFSQFRNNSYRSRSSNLCILPN >OIW12149 pep chromosome:LupAngTanjil_v1.0:LG05:3593492:3603557:-1 gene:TanjilG_28557 transcript:OIW12149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METKPSEISSVKMFDGYNKRFKHFSPTLGCSMTFSIYFPSSPSPSHKFPVIYWLSGLTCSDENFIIKSGAQRAASNEGVALIAPDTSPRGLNVEGEADSWDFGVGAGFYLNATQEKWKNWRMYDYVVKELPELLSDNFPQLETSKASIFGHSMGGHGALTIYLKNLDKYKSVSAFAPIANPTNCAWGQKAFTNYLGDNKSDWEDYDATHLITKFNNVSTSILIDQGEDDKFLPDQLLPRKFEEACKNANVPLQLRFQPGYDHSYYFIATFIDDHIKHHAQALKL >OIW12126 pep chromosome:LupAngTanjil_v1.0:LG05:5984597:5985259:-1 gene:TanjilG_02347 transcript:OIW12126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAARKLKSHRRRQRWADKSYKKSHLGNEWKKPFAGSSHAKGIVLEKIGIEAKQPNSAIRKCARVQLIKNGKKIAAFVPNDGCLNYIEENDEVLIAGFGRKGHAVGDIPGVRFKVVKVSGVSLLALFKEKKEKPRS >OIW11530 pep chromosome:LupAngTanjil_v1.0:LG05:22404095:22408737:-1 gene:TanjilG_26896 transcript:OIW11530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSLSDIEERDLKGLLESFASTFSLEDIATAYCGANRDVNLAAEILCTSKDEFKGATASETTEKLSPMSSTASEPAKKISAMSSSASVVPKSFDGQRNFGAGKSKFQPASLGSVTGFVGKDYLRHKKSTKPYQEVKKPLKLDATELPESVIWGEKSSMGTESSKGHMKDEVVNFLIKMLGDGFELEKEKIVDVLALCGFDVEKTMEKLLDMSFSTLKKCDDISNLAVENPRDQHSAANYASKYIAIVLLTIFHLMINTSIYVNALCSEELHNSTDSPKSNKDRVGLQKEILESLFDYPERPEELSQRRLPVREIPNRRYHISVAKLIEDEDTATIQCTTVVDPQVVKEESDDENSYKVLRKAVKENWTTMKEYYRSAVEAFSMGDYARVDRLLEQGLFYNKKAREADEKSAQKVLQTNETNDDDSMPLDLVEHEPKDALRLLKFHLTSLSGISSIKYLRAVVGTGHEDIKGARKRLMTKLLKKNSIEWTEEDNGRILCIQVDIIDPKSLSFGPKK >OIW12269 pep chromosome:LupAngTanjil_v1.0:LG05:2697806:2701414:1 gene:TanjilG_06058 transcript:OIW12269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHIVGYPRMGPKRELKFALESFWDKKSSAEDLQKVASDLRASIWKQMADAGIKYIPCNTFSYYDQVLDATAMLGAVPPRYGWSGGEIGFDTYFSMARGNASLPAMEMTKWFDTNYHFIVPELGPDVNFCYASHKAVHEYKEAKALGVDTVPVLVGPVSYLLLSKPAKGVDKSFSLLSLLPKVLAVYKEVVDDLKAAGASWIQFDEPTLVTDLDSHQLQAFTAAYSDLASNLSGLNVLVETYFADIPAEAFKTLTCLGGVTAFGFDLIRGTKTLDLIKGGFPSGKYLFAGVVDGRNIWANDLACSLNTLNALEGIVGKDKLVVSTSCSLLHTAVDLVNETKLDNEIKSWLAFAAQKIVEVNALANAMCGKKDEAFFSSNASALASRKSSPRVTNEGVQKAAAALKGSDHRRATNVSTRLDAQQKKLNLPVLPTTTIGSFPQTVELRRVRREYKANKISEEEYVKSITEEIRKVVVLQEELDIDVMVHGEPERNDMVEYFGEQLSGFAFTVNGWVQSYGSRCVKPPIIYGDVSRPKPMTVFWSSTAQGMTKRPMKGMLTGPVTILNWSFVRNDQPRSETCYQIALAIKDEVEDLEKAAITVIQIDEAALREGLPLRKSEQAHYLDWAVHSFRITNVGVQDTTQIHTHMCYSNFNDIIHSIIDMDADVITIENSRSDEKLLSVFREGVKYGAGIGPGVYDIHSPRIPSTEEMAERINKMLAVLETNILWVNPDCGLKTRKYTEVKPALENMVAATKVIRNHLGK >OIW11849 pep chromosome:LupAngTanjil_v1.0:LG05:12597924:12598478:-1 gene:TanjilG_31599 transcript:OIW11849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTYGTISTSPNNKNNNNLEYISRARQTIKAGLGTRRPWKLMFNHRSFNVPTAVTAAVSRLRFNLSYFRMNYATVALFILFLSLLWHPISLIVFILIMAAWLFLYFLRDQPLVLVGHVVDDRVVLVVMAVITVVVLLLTHATVNIMVAVSVGVVVVVVHAVFRKTENLYLDEEEEGLLNNAAAS >OIW11306 pep chromosome:LupAngTanjil_v1.0:LG05:24784108:24787048:-1 gene:TanjilG_20455 transcript:OIW11306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGILHDDVVIIRPPEKEGDTTVLTVNCPDKTGLGCDLCRIILFFGVTILRGDVSTDGKWCYLVFWVVGKPKTRWSLLKKRLIGACPTCSSASGISYYCTDLNPPKPPNVFLLKFSCHDRRGLLHDVTEVLCELELTIKKVKVSTTPDGKVVDLFFITDTRELLHTKKRKDDTIEQLTTILKDALLTIDIELVGPEIPACSQASSFLPPSITEDIFDLDLPDSARSGTFTSDSVSVVMDNSLSPAHTLIQIMCHDHKGLLYDIMRTLKDYNIQISYGRFTSKPRRKCEIDLFIVQTDGKKIVDSSKQKSLSARLRTELIRPLRVAVVSRGPDSELLVANPVELSGKGRPLVFYDITLALKMLDTGIFSAEIGRHVIGDREWEVYRILLDEGEGLSVPRNKIEKGVWKMLMGWE >OIW11694 pep chromosome:LupAngTanjil_v1.0:LG05:19399767:19402157:-1 gene:TanjilG_12213 transcript:OIW11694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNFQTCPFTILNMVFIYVFLFSTRVSGNSLLCDIEEAKLSDPNEALHYKIASIASVLVAGALGVSLPLLSKKIPTLDPQNDIFFMVKAFAAGVILATGFIHILPEAFESLTSPCLKENPWGKFPFTGFVAMLSSIVTLMVDSLATGYYQRQHFKNSKQFPIDEEIGEEHVGHMHVHTHATHGHSHGSVNSSEDSISSELIRKRIISQVLEIGIVVHSVIIGISLGTATSIDTIKPLLVALSFHQFFEGLGLGGCISQAKFESRSTAIMGTFFSLTTPIGIAIGMGISSVYKENSPTALIVEGVFNSSSAGILIYMALVDLLAADFMSPTLQNNLKLQLGANISLLLGAGCMSLLAKWA >OIW12076 pep chromosome:LupAngTanjil_v1.0:LG05:8430937:8434951:1 gene:TanjilG_15316 transcript:OIW12076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNYWLSFMDSDSDILFPFNSFIFGSNLLHYNDSSRNFYVPGSLSIPQAFERVTTLAGALLLWFSSCSSSNLVQDIAGSMNHGSQFGTATMGSVKVKPNVAGFGFPFRLKRKSSSRALSLGKISSFAMRLIWREAKKFQSFHVLSLAAALVPPIQNLSSNLLAGPLQNPDVQMHGSIDQIPREVESQGCARLSIHELNMTKPAVEPKTGIEFPVVLENVSPGNQSSSFNSEVLVGTGSRTMTIVKIKSLNLYAFGFYVHPYSLCEKLGPKYASISADELNSHHGFYHDLLREDINMTVKFVVNCKGMKINSVKDAFEKSLRARLVKTNPFTDFHCLTAFGSYFSEDIPLPLGTVIKFRRTVDGDLITEIGGNQIGSVHSKDLCRAFFDMYIGDVPVSEQTKEEIGRNVANIIRKC >OIW11820 pep chromosome:LupAngTanjil_v1.0:LG05:14567096:14567296:1 gene:TanjilG_07301 transcript:OIW11820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDEHNMRDDLEDSMEIDLDQIASSAGNTSSRAIRLDQNGLVRLIMIPWISRLVRPLSLDQTVQAA >OIW11637 pep chromosome:LupAngTanjil_v1.0:LG05:20791361:20797305:-1 gene:TanjilG_24843 transcript:OIW11637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTKREEMNEDGVTSPNPKPPSSPPTPAASSVGASSPANNRESRYRSEKSSADSQLFVDSVQGPLNRSCRPWERGDLLRRLSTFKLAGKKHKVAGSLACAKRGWVNVDVSKIECELCGAQLDFALPSISFKALIGGFKDRCDGLLQFYSLPIVSSSAVEQMRFTHSSQIDRFLAQLQVPTAGELGYRVDSVSRMGVTREQALHSYSYAQKLISLCGWESRWLPNVLDCEEHSAESAKHDYSSGPAKGSAQGPAVSRKQFSTSSWKDTGDNDVLGSEFNCESRSPLLDCSFCGATVRVWEFLTVPRPAHLAPCGTDTPQTSKKVASTRGISAASGINEWATTDGVEKDRTGNHDDATTSDTRKLVSNKSLDLDLKMASGPSLSTPDHVRDAYIGRDLMIGQPPGSEGGDHAASYESQGPNACKRKFDDGGTTATRSHLCMQQADIAERTKVDREYNEVIGGQQFSAFPSKRARDTNLSGTLQFPFRSPSGDVPSNSLDIQIETGTNIVNQLNTEKDHVIGNLSTRDSAHASSIIAMNTIYHSSDAESMESVENIPVNDNAVNFPSVDFNETSELNSGYQMQQSACFQPLLETAGAETGVSSSNACGEVLNKEILTAHAKGGPSFGMSGGSVGMGASHEAEIHGTDMSVHRGDSLGDVEPIAEIIESQGQGGEFVPCHGHVGDFVPEEMTREDNQGDSQAVVSQSTPRTESGSKIIVPTKAEYVESDEKPSGSMQMPGYENGAHPSLSCNAVVCSAYEASKEEVTQTRKASHNDDGACHESGYVDGTPYRDNINGGVEFNPIKLHNDYCPWVNGDVAVAGSDSPCSSSCVGTVALCGWQLTLDALDSFQSLGHFPVQTLESESAASMCKGEKLTSTQKLLAQNSYVRSRGRN >OIW11459 pep chromosome:LupAngTanjil_v1.0:LG05:23369591:23374575:1 gene:TanjilG_26825 transcript:OIW11459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWDLDPWSSSINEDVIEEDILNEESCIHVLKILITKADTEIEGLEKDLTSLQNELAWAEYEKWPEICCGTLTERIKLLDVAINTLKKNDHANDTEVQLLLDKPAETVHEIVEALHRDHFEDIHGQHIEMVIFNPVLNDAEHALDKGCSSIGSNIIIKEEGKEPCGTPENSRTSELLLELHGKSSNDPEKEEGKELRGTSEDSRSSELLLELHGKRLNDAEKIQELVTKSLVTCPGLGSVSCAADHSEVVKLSGTANNMLTKSEEVRSQLIAIGAKGRKMYLSSRLSASQFENYDLDIKNCDLARKPARRACKKGSKVAPDEDLDSMELALQVVYPQELCLADTESCSFEGSYGDKSQDTRLIHAENSALISLLKKPTQSALFPGIQLIDEEKQPPQGVKSKIVAKKSNLSFPSKLKSQGKRKADSEAFSDIEAYDSPTEVDPNTRIVVSTKWKQASTGTASLNESKITERAVQPVPDETECRAIVPYIIEAHDNPTEVDPSTLLVASTKREWESKTSSGTASLNESMNGNITKRAVQPGPDGSECRAIVPYISEARDNPTDVDPSKMLIVSTKRRWKSKTSTVTTILSESMNSNITKRAVHPGLDGTEGCAIVPYISEAHGKPTKVDPSTSIVASSKRQCKSKTSTGTANLNEPMNRKTTKRAELGLDGTESHSVVPYNSEFSELQKRRLSKWPITAEIENSTVNLDSPNPDRVSMDNGSQVDLHIVESYSLEDSHNETTASQLITLEKLNLPALRAMAKQYDLKKYYKLRKAQLLQQLVERMSNC >OIW11847 pep chromosome:LupAngTanjil_v1.0:LG05:12683472:12691229:1 gene:TanjilG_31597 transcript:OIW11847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRNMLLVLVVLSFSLSSHVSASVSYDHNAITINGQRKILLSGSIHYPRSTPQMWPGLIQKAKEGGLDVIQTYVFWNGHEPAPGKYYFEGNYDLVKFIKLVQQAGLYVHLRIGPYVCAEWNFGGFPVWLKYIPGIDFRTNNGPFKFQMQKFTTKIVNIMKAERLYESQGGPIILSQIENEYGPMEYEIGAPGQAYTQWAANMALGLGTGVPWVMCKQDDAPDPIINTCNGFYCDYFSPNKAYKPKMWTEAWTGWFTEFGGPVPHRPAEDLAFSVAKFIQKGGSFVNYYMYHGGTNFGRTAGGPFIATSYDYDAPLDEYGLLRQPKWGHLKDLHRAIKLCEPALVSGDPTVTWLGNYQEAHVFKYKAGGCAAFLANYNSWSYATVAFGNSRYNLPPWSISILPDCKHTVYNTARVGSQSAQMKMTRIPIHGGLSWSAFNEETTSTDDSSFTLVGLLEQLNTTRDLSDYLWYSTDVVINSNEGFLWNGKSPALTVSSAGHALHVFVNGQLSGSAYGSLDIPKLTFSESVHLRAGVNKISLLSVAVGLPNVGPHFEKWNAGVLGPVTLDGLNDGRRDLTWQKWSYKVGLKGEALSLHSLSGSSSVEWLQGFLASRRHPLTWYKTTFDAPIGVAPLALDMGGMGKGQVWINGQNIGRYWPAYKASGSCEYCNYAGNYDEKKCTSNCGEASQRWYHVPHSWLKPTGNLLVVFEELGGDPNGIFLVRRDIDSVCADIYEWQPNLVSYQMQSSGKVSIPVRPKAHLSCGPGQKISSIKFASFGTPIGSCGNYHEGSCHAHNSYDAFQRNCVGQSLCTVTVSPEMFGGDPCPNVMKKLSVEAICT >OIW11454 pep chromosome:LupAngTanjil_v1.0:LG05:23423245:23425530:-1 gene:TanjilG_26820 transcript:OIW11454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNNHFGSTSHGTQYDDQEDEEIVREIHALTPPPPPTRHSHRPSSMSLASTEGGASSENFTSMSREFNALVIAGSSIEHNNITTPMMMNYGHENEVIGGNNNNNNNNSNNNLRRIGEDDLMEETNPLAIVADNHPFDPVPSSIRPSSGGHSSEEVVSVERVKKEEVDAKISAWQNAKIAKINNRFKREDAVINGWESEQVQKASSWMKKIERKLEEKRARGLEKMQNNVAKARRKAEEKRASAEAKRGTKVARVLEIANLMKAVGRPPSKKSFFKLV >OIW12115 pep chromosome:LupAngTanjil_v1.0:LG05:7163379:7165959:-1 gene:TanjilG_31222 transcript:OIW12115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRASPPSSSKTYTLRDDELIQNFLYKKIHAEVVPTHLTILECDLYGSKNPWEIWEAFEGSSYDGRDLYIFTTLKKKSLNGARFLRIIGCGSWEAEDTGKKVVVEGTNQCIGLKKRFRFEKSDTEHDGAWIMHEYSLHPSLQTNPPTNNYVLCRFRKNGRHNQPKQGTKRTCDEMNTLTREKRKTAVTDTAEKSIAVIALAANGVSSVSFWEDTHHGARNQQENKEKNEAPETEPHFVEQDEDYWKQSFPSLLLEGQEHLIQADGKDHQMIPNQLSIDLLKEMMIFP >OIW11710 pep chromosome:LupAngTanjil_v1.0:LG05:19003070:19005708:-1 gene:TanjilG_12229 transcript:OIW11710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCNSKAKVTVGLEIATTATTTTTITAPHMAKINDRPVLQPTCNRVPTLERRNSIKKVTPKSLSPPSPPLPSKTSSLTPPVSPKLKSPRPPAIKRGSDNNGLNTSSEKIVTTPRSSIKTPTLERKKSKSFKEGSYGTGSIIEASLNYSSSLITDSPGSIAAVRREQVAFQQAQRKMKIAHYGRSKSAKFERLVVPLDPSITLTTKIAEEQKRCSFITANSDPIYIAYHDEEWGVPVHDEKMLFELLILCGAQVGSDWTSTLKKRLDFRSAFSEFDAEIVANLTDKQMMSISSEYGIDISKVRGVVDNANKILEVKKNFGSFDKYIWGFVNHKPISTQYKFGHKIPVKTSKSESISKDMALAMHFICSQTTLYRRALSIDPIPSEK >OIW11693 pep chromosome:LupAngTanjil_v1.0:LG05:19411648:19412883:-1 gene:TanjilG_12212 transcript:OIW11693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKQPKDEFYVNLGLAVRTLREDMPLIFIKDLNYDIYRDDITFKDPLNTFTGIEKYKLIFWALRFHGKILFREVALDVYRVWQPSENVILIRWNLRGIPRVPWEAKGEFQGTSRYKLDRNGKIYEHKVDNLAFNFPQNIKQVSVLDLVTACPASPNPTFLWGPIDAYSSSSWIAFYKAVRETLDQEGSTLLQEGLATCS >OIW11347 pep chromosome:LupAngTanjil_v1.0:LG05:24393829:24394074:1 gene:TanjilG_19603 transcript:OIW11347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLFCTDAFLIHVMYDKKKFFLFMFPSPLLFSPSSQYIMLFNKAFWIVGVGLSLLLFFNGSIKIKEKCNATSFQDPMVTNL >OIW12451 pep chromosome:LupAngTanjil_v1.0:LG05:1143223:1144248:-1 gene:TanjilG_04200 transcript:OIW12451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRNTPTAAKSVEPETETPTRIQQPLNNTAAAVNIKPLSFSNGVLKRHHPHHHHHNLVSPTATVPVTAVTYKECLKNHAASLGGHAVDGCGEFMTSPNVTAGDPSSIKCAACGCHRNFHRRDPEEPPIPTTTTHVIEYQPHHRHHPPPPPPFQQPPPLPLSRSPNSVSPPPISSSYYPSAPHMLLALSGGLSVPPESTAAPANHAAVAAAMAAASAASPRKRFRTKFSQEQKEKMQEFAERVGWKMQKRDEDLVMEFCNEVGVDRSVLKVWMHNNKNTFGKKDVTTNATNGVVLEHVHNNVNDSVSGGDQDHNNNNNDNNNNNNNNHGANVVCGTNGSSSS >OIW12004 pep chromosome:LupAngTanjil_v1.0:LG05:9970023:9975047:1 gene:TanjilG_16115 transcript:OIW12004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCALQAIGNDSLKVSEAGKDSVSTIYQQQNKLTSLENCEESSVSRINSGSRCAVISFLSFERDGTWRVIAVPVKVLNHINLASGMNMDGLQLLFPPPLDRLKIDQCKGPRGPPPPYAYSAKPFTRKNITGSNVHRRCQNKIANKASKSNELPGNSFSTSSPVCGSGSFLDSSVVVSSSDKLTSNSNADKSVKKNSRKKIRKKARQSKKQSCESGSTEPEVLKEESVCVSLTSETCSSNDVDKEVGLMSYTTEPESSSSDDRLIKNDYERNETIDSVNITEATKSCNSFIDEAKMMKATVPIIQNSAGEYATFESKNQFQDRGPDLAVLDVETMDIQQAYLYCSNDIEDSLVLDSVSNGSKSDEIIKAGDMGKQSNKARRRTASSSGDGHFLDQNVTNGNRTNSEHNEGVRHGGQNCIGNDTGAKQKRTESKTSSFNNFGGVGILHGRTGKENSHSVWQKVQKKSSAECGDGDFKKVNTTLSHSGSPVMGDPSVIRKSSSSSENFLSKMEDTKQFKNKAGRKSKGKMEQVSKKEQCSYSRKGSIFNRSMLNDNAKGSVQQNDISYQENNQQRLSCVSGFNSDINCLTTGFQTNGVEQITSVHIAEYNPEESDRQTSVCHTITNMKSENTDIQHRSLAIPGKNINQSDMCEEQHSVSYNHLGDEVAQTEKEVSSIDNNAQNHSSGSTLWRWIPIAKKVTAVAKSESNSSFTEYSDAPPCKVSYLESSVEPKVASSFQNQDSSQNVSKSCLGRIYSEVSCIDEGENQKTGKQVACTLTEHRDKHVVANHIIHECQNQDILENDSCRISQAVNDVHRAQLACEAVHVVTGGPIAEFERLLHFCSPVVCQSPDSVSCLTCSHDHADGASLCSHKIPDLSLRYLWQWYEKPGSYGLEIRAQENEYSKRLGGVGQFPFCAYFVPSLSAVQLFKKRRNQCLNSGDKCGINGISEHSSTASPHPIFSVLFPQPRYQDACVQTPTNASSINDASNSCMDSTCSDDLELLFEYFELEQPQQRRPLYEMIQELVSGVIPIKSKTFGDPTKLDSINLQDLDPRSWYSVAWYPIYRIPDGNLRASFLTYHSLGHLVRRSTNSDSPTAGSSIVSPAVGLQSYNAQGECWFQPKQSALATEILGSNPSLLLKERLRTLEETASLMARAVVKKGNQICTNRHPDYEFFVSRRRY >OIW11206 pep chromosome:LupAngTanjil_v1.0:LG05:25872737:25875587:1 gene:TanjilG_28297 transcript:OIW11206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRKGNWFSSVKKALSPDSKEKKDQKSSKSRKRWFGKQKLETLGSFSGTDNALSLPPPEEIKLTNHENENIHDNVVEVATTMVAEEPVPVPVPVVQTVARVEPLTEKTVANVEGVKIAWFAGKPKDEVAALKIQTAFRGYLARRALRALRGLVRLKSLMEGAIVKRQATSTLRSMQTLARMQSQIHFRRIRMLEENQALQRQLLQKHAKELESIRIGEEWDDSLQSKEQIEAKLLSKYDAAMRRERALAYSFTHQKNGKTTCRSINPMFMDPTNPSWGWSWLERWTAARTWEDRSQMDKDLNHRSSIRSSIRSITGAEISKSFARFQLNSEKHSPTACQKPVSPNFQSHPTPSKPPSPSVAMKLKKASPKGSSVVDDDSKSMVSVQSGQFRRHSIASSSVRDDDSLASFPSVPSYMVPTQSVRAKSRTQSPLATEKGSFRTAKKRLSYPASPARPRRHSGLPNVERSLNEEFTVAN >OIW12211 pep chromosome:LupAngTanjil_v1.0:LG05:5596013:5598170:1 gene:TanjilG_28619 transcript:OIW12211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAGVQEVLPPSLTSNSPQPPLFDGTTRLYTSYICPFAQRVWITRNYKGLQDKIELVGIDLQNRPAWYKEKVYPENKVPSLEHNGKILGESLDLIKYLDANFEGPSLIPTDPAKEEYGEQVIAHVDTFTKDLFTSLKGDAVQQASPAFDYLENALGKFDDGPFLLGQFSWVDVAYIPFVERFQTVFADVFKHDVTEGRPKLAAWIEVPGNLFLGLWKLH >OIW12234 pep chromosome:LupAngTanjil_v1.0:LG05:2248035:2254236:1 gene:TanjilG_06023 transcript:OIW12234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHHFLQSLTAQIRRGSLIRVVGPRYYSASAPSTDQYAKRNYANNVSEYNTVLGSLTAQRRYFLLRDAYDDMMLDGVKPSRDTFHSLVAGTMKGARMQDAFYFVDQMKIFGLVPDVNLYNFLISTCGKCKNSDQAVKILEEMKSMEVKPNVQTFICLLHAFAAHGRLDRVYAVVRDMTAAGLGLDKFCYAGLIVALKNKTPIPADFAAKVIEFVERSKMWSSVETNGANAENVMIGVSDEELYNLPTAEYVHRRGAFLVRAFAAYHTAFYAAADVKNVELTEKLLEVLNKDGKTPDVYILMQVIRCYCNAGNIDRGLQTFEEYYNSGKLIAAELFVTLAEGAMVGYSEKGMQIAQDILVRMNERRFFLNNKMGSDLLLLAAREKTGGYTTANYIWDLMQARNITPSFPAVEAYYRGLKDREIPEDDPRLLLVTRTYDNLRGRLGNRINYNA >OIW12138 pep chromosome:LupAngTanjil_v1.0:LG05:6808891:6810030:-1 gene:TanjilG_02359 transcript:OIW12138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNGGGKTGRLLEQPSTQRRKVGGDDFAQAIAKVAVAQVCESEGFHAFQQSALEVLSDVSARYILNIGKSVHHSANLAGRAECNVFDVIQGLEDMGSLQGFTGASDIDHCLESSGVVREIVQFVNEAQPIPFAHPIPRFPIVKERVPTPSFLQRGEEPPEEHIPMWLPAFPVPETHSESPTRNGKGTEPHANKVEHERENGKGERPQLNLQQQMVSNISEKSTLVDPSDAKATRVAVEGNPFLAAPLKIGDKEVASVAPPAKLFNVIALDNPVVENFVEDKSISVLETFAPAIEAMKSTHYDSEEDRTKILLNEKPTVRFKIGMGNKFLGRSTDLSHKKDERQKTLSWFTMEDEKDDRKRRAEKILRESLENPDQLVQL >OIW11610 pep chromosome:LupAngTanjil_v1.0:LG05:21382712:21385856:-1 gene:TanjilG_15304 transcript:OIW11610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGIKNPYGTSLVVPSVQELAKHNTMMSNLPPRYIQPQHHHNLVITEPDHTLQIPVIDLQRLLSIEFGSSELDKLHLASKDWGFFHLVNHGVSSSLVEKVKLETQDFFNLPMLEKRKFWQTPEHVEGFGQAFVISEDQKLDWCDIFYITTLPIHMRTPHLFPLLPLPFRDTLELYSKEMKNLAMIIVDHMGKALKMEEMEMREIFEDGLQSMRMNYYPPNPQPEKVIGITPHSDPVGITILLQLNEVEGLQIRKDGMWVPVKPLPNAFILNIGDMLEIISNGTYRSIEHRAIVNSEKERLSFATFYNPRYDGVVGPAPSLITEKSPPLFKRIYLKDYLKGLFARKLDGKSYIDAMRIEHHH >OIW12096 pep chromosome:LupAngTanjil_v1.0:LG05:7825233:7826079:-1 gene:TanjilG_06301 transcript:OIW12096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRGKTLGSSTAKKATSRSSKAGLQFPVGRIARFLKAGKYAERVGAGAPVYLAAVLEYLAAEVLELAGNAARDNKKTRIVPRHIQLAVRNDEELSKLLGDVTIANGGVMPNIHNLLLPKKTGTSKTATADDE >OIW12062 pep chromosome:LupAngTanjil_v1.0:LG05:8840541:8848199:1 gene:TanjilG_24486 transcript:OIW12062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRFCFRTKPLIRFSRHHYRKISSSSPLPSPLPSSSSSSTRNPIDIPDSNLGNSQNVPSTIANRNHRLSTLPPQSTSRASVIALSAAVASAIFASVAILSLDYKSDRGSDVGKASNPLYTGAENVIHKSADSFNRIFHHVKRTGVAATVLWQSLSSVLSSANHEVRSGFEIRVAALLADIAAANSTRRAAIVGAGGGAVVDWLLESVAVAKDGGGTQAESARALAYLIADPNVSASVLGRPHAITNLLRFIFSCHPRRSKSKQQHARRSSFDTFDSLKGKSMLVAAIMDIVTSSCSNAEMISFKSSLPGNAETRDIAAALQVIEEGGLHLDEPPEDEDDDGGTGKKGIGIKILEGTTVLGLSRTSLAMKSDNSNSSHEELSNHSPTPLIYRNKYGRFVAQKDMSSAVVPGLWDDLHCEHVAVPFATWALANWATASQLNRSHIQELDQDGNAIMSALMAPERSVKWHASLVVRLLLEDRNIPLNDSVSDWSSSLLSTISQASRHQDISLAQVAFPAFLLSIERCPGAQKIVMEKGLNSMRDIVKQTTKHKQVQEAMAKALELLCTGDLHLSLEDSQKWSGILLPWVFGTFSSDAIRFSAIKILSQILEDYGPTSVPVSQGWLAMLLTEVLRSIKKNDKVASQPKSDDVKTSINNSNIASAAQIANQLASAVVNLAAKQLRIASNSVDASPLADFLALEPFSAPLKVFRKGSSVPKLDAADSASATMKGIKALTEVCAEDSLCQDKIVDFGILCLLRRFLLSDDYEKLAAIEAYDASSRVHEGQERISNVDGKQPISDTNDPSSVRVPPTPHIRRHASRLLTILSLLPKVKKVIRADETWCKWLDDCANGKIPGCNDLKTQSYARAVLLNIFCDDQFDGRSKGGNRPDGGVENNKNSCPRYADTLLLINSHLPHWRCPKETDQQGTSSKDIYVATSAVCEDGTKPLIDSNCSSSIGSTKSSVDANCPPLDVVFVHGLRGGPYKTWRIADEKSSTSSTLVEKIDEEAGKLGTFWPGEWLSSDFPEARLFTIKYKTNLTQWSGASLPLQEVSTMLLEKLVAAGIGDRPVVFVTHSMGGLVVKQILHKAKEEGFNNLVNNTAGVVFYSCPHFGSRLADMPWRMGLVLRPAPTIGELRSGSPRLVELNDYIRQLHKKGMLDVLSFCETKVTPLVEGYGGWALRMEIVSIESAYPGFGELVVLESTDHINSCKPVSRSDPSYTETLKFLQKLKAMHLS >OIW12275 pep chromosome:LupAngTanjil_v1.0:LG05:2776886:2781251:-1 gene:TanjilG_06064 transcript:OIW12275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLTSEKKELEINNNNSDNSIDQLSLDAANKEAEERQARDLKAGLHPLKHKFVLWYTRRTPGVRSQASYEDNIKKIVDFSTVEGFWVCYCHLARPSSLPSPTDLHLFKDGIRPLWEDSANCNGGKWIIRFKKVVSGRFWEDLVLALVGDQLDYGDNICGAVLSIRFSEDILSVWNRNASDHQAVMGLRDSIKRHLKLPHSYVMEYKPHDASLRDKSSYRNTWLRG >OIW11731 pep chromosome:LupAngTanjil_v1.0:LG05:18476627:18477121:-1 gene:TanjilG_20215 transcript:OIW11731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVQSSSMKRNYDITMSRRTRKQSFQVQVGNEEPPLPPISVVFDTTKDDTSLENSVLHEVKEDENENDHKSLKQLIIGDEKDAIMKCNSDEGGGKGGRNSLSEHFTEEEKNLQLVRVQQKDNIQGMKFKKLVRRYAKVLGHLMKAKRDPHLGEAGKKPPFKLSS >OIW12057 pep chromosome:LupAngTanjil_v1.0:LG05:8941424:8947067:-1 gene:TanjilG_24481 transcript:OIW12057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEIDNHHNHQSPPSVQAPPVGPPPRNFGFSMGPTWNPAEQLLQLNYCIYSNPSWPQAILLAFQHYIVMLGTTVMIANTLVPSMGGDHGDKARVIQTLLFMSGINTLLQTWFGSRLPTVMGASFAFILPVFSTINDYTDETFSSGHERFIHTIRTVQGSLIVSSFINIFLGYSRVWGNLTRLFSPIIIVPVVCVVGLGLFARGFPMVASCIQIGLPMLILLVVTQQYLKHLVPVAHHVLEKFALLLCIGVVWAFAAILTVAGAYNTAKVPTQMSCRTDRAYLLSSAPWIKVPYPFQWGTPIFKASHVFGMIGAALVSSAESTGTFFAAARLSGATPPPAHVLSRSIGLQGISMLIEGLFGSVVGTTASVENVGLLGLTHIGSRRVVQISCAFMIFFAIFGKFGAFFASIPVSIFAAIYCVLYGIVAAVGISMIQFANNNSMRNMYVLGLALFLGISIPQYFVMNSAPDGHGPVRTNAGWFNDILNTIFSSPPTVAIIVGTILDNTLEAKHTVTDRGLPWWVPFQVRKGDVRNEEFYRFPLRLTEYVPSRFR >OIW11924 pep chromosome:LupAngTanjil_v1.0:LG05:10994057:10997570:-1 gene:TanjilG_18197 transcript:OIW11924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQILLHGNLHATIFEVDRLKSEGGGGGGNFLSKIKQNIEEKVGIGKGVTKLYATIDLEKARVGRTRIIENEENNPKWYESFHIYCGHSASNIIFTVKDDNPIGASLIGRAYVPVGEVLDGEEIDRWVELLDEDKNPIQQGSKIHVKLQYFDVGKDLNWARGIRSAKFPGVPYTFFSQRQGCKVTLYQDAHVPDNFVPKIPLSGGKNYEPHRCWEDIFDAITNAKHMIYITGWSVYTEISLVRDSRRPKQGGDVTLGELLKKKAGEGVRVLMLVWDDRTSVNLLKKDGLMATHDEETAQFFEGTDVHCVLCPRNPDNGGSIVQDLQISTMFTHHQKIVVVDSELPSGASDRRRIVSFVGGIDLCDGRYDTAFHSLFRTLDTVHHDDFHQPNFPGADITKGGPREPWHDIHSRLEGPIAWDVLFNFEQRWRKQGGKDLLVSLRELEDVFIPPSAVTFPEDHETWNVQLFRSIDGGAAFGFPDTPEEAARAGLISGKDNIIDRSIQDAYINAIRRAKNFIYIENQYFLGSSFAWAPEDIKPEDIGALHLIPKELSLKIVSKIEAGERFTVYVVVPMWPEGVPESASVQAILDWQRRTLEMMYKDVVQALRAKGSDEDPRNYLTFFCLGNREVKKQGEYEPSEKPEEDSDYQRAQEARRFMIYVHTKMMIVDDEYIIVGSANINQRSMDGARDSEIAMGAYQPYHLATRQPARGQIHGFRMSLWYEHLGMLHESFDNPENEECIRKVNQIADKYWDLYSNESLERDLPGHLLRYPIGVASEGDITELPGFEFFPDTKARILGGKVDYMPPILTT >OIW11410 pep chromosome:LupAngTanjil_v1.0:LG05:23840067:23841280:1 gene:TanjilG_10728 transcript:OIW11410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKGKNYSVNVKISDSVPSNGWKIFPHFKVSLIDHLVDTKNSITKVEQKEYSEGTTSFTRTELGTTSFTTQTELELQSHDDFGSVITPSEDTQSSKQVYYEPIAPTFYPPIYDDGSKVEPLIHLSEILDINSLGPEEVVFFPLLEEACLRHPSLIENSVKKSPKFILWSFTALGQVLHYLKTMKVKNMNKEACKHLECLWEEVQLFGFNLTWLEPYIESALNVQTYMEKVEKVKDLKENVADLEIELRMLKTKLAVAEVVLDIARRDSEEIEKGFEEMDINAELGYGT >OIW11263 pep chromosome:LupAngTanjil_v1.0:LG05:26222796:26223736:1 gene:TanjilG_28354 transcript:OIW11263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSILWCTLFCLLLSSVVAAAHRPGFLYTRSTGRCTPQFWSGRREAWPRMVPETSTVSNVFGSRVYERYRVDLTLVEATGRNDEEENPFGGLVKEGSAALLNSYAREGFPYKPWQIKTLIIQALVSEVAAASQAKQFSLANQACF >OIW12437 pep chromosome:LupAngTanjil_v1.0:LG05:959411:963686:1 gene:TanjilG_04186 transcript:OIW12437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFGNNAIEVGSLDVGKSKVDGNEEKKRGCWPKFTFCGNCIPSTSKVDTSISATSTQNVGKKSSFVKSTNETVPPPESSSPTSNAESIPSTPKFSEELKVASSLRKFTFNGLRVATRNFRPESLLGEGGFGCVFKGWIEENGTAPMKPGTGLTVAVKTLNHNGKQGHKEWLAELNYLGDLLHPNLVKLIGFCIEDDQRLLVYEFMPRGSLDNHLFRKGPLPLPWSIRMKIALGAAKGLAFLHEEAKRPIIYRDFKTSNILLDAEYNAKLSDFGLAKDAPEGEKTHVSTRVMGTYGYAAPEYVMTGHLTSKSDVYSFGVVLLEMLTGRRCIDKRRPTGEHNLVEWARPMLGNWRMFLRIMDPRLEGHFSVKGAQKASQLASQCLSRDPKARPLISEVVKALKPLPNLKDMANSSYNFQDARVDRTLSMPNCKNVIKTQLVSLPKKGQAIRTLSSSSAQHGSPYPHYNKSPKSDERK >OIW11198 pep chromosome:LupAngTanjil_v1.0:LG05:25832246:25835129:1 gene:TanjilG_28289 transcript:OIW11198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNITTTNGGSHRRRISNATRRSSHPLPPPPLTPHPEITAHQFLYPGPSQSQYPHPNPNAPIHYPQPQYHYPGGYYPPPPPPMPHHNPLHYPYPVMAPPAPYVEHQRAVTIRNDVNIKKETLQIEPDDENCGRFLVNFTFDATVSGSITIYFFAKEGEGCNLTPMKENHIAPVTVHFEKGLGQKFRQPAGTGIDFSMFEESELLKVADLDVYPLAVKADASSSNHDESNETPTPGNSNTNSQITQALFVKDKGEFRVKVIKQILSVNGMRYELQEIYGIGNSMESDMDENEQGKECVICLSEPRDTIVHPCRHMCMCSGCAKVLRLQTNRCPICRQPIERLLEIKVGSEE >OIW11319 pep chromosome:LupAngTanjil_v1.0:LG05:24703380:24708836:-1 gene:TanjilG_20468 transcript:OIW11319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKGRRRRSSDSDDSDSDSYKRRPSTSTSSSRRRSRRRDSDSSDDSDDGGKKRKSGSEITEDDINQYKAKKAQRRALKVAKKLKTNTVSGYSNDSNPFGDSNLNEKFVWRKKIERDVSQGVSIDEYSVKSEKRRQSERMAEIEKVKKRRDERALEKAQREEEMALLARERARAEFQDWEKKEEEFHFDQSKVRSEIRLREGRARPIDVLTKQLNGSDDLDIEINEPYLVFKGLTVKEMDELRDDIKMHLDLDRATPTHVEYWEALLVVGDWELAEARKKDALDRARVRGEEPPSELLAEERGLHSSVESDVKDLLQGKTHAELEALRTHIESEMRTGTAKVVEYWEAILKHLHIYKAKACLKEIHAKMLRKHLHRLERPVEGDHKLENTLAIIPEEEEEEEDVEDDVKVQSADESFSPEPIRDDQEAEDEAGSFSPELFHGDENEEEAIDPEEDRAILERKRKAVLEEQQKRIQEAMASKPAPLEDNFEMKALKAMGAMEDGDAVFGSGAEVNLDSQVYWWHDKYRPRKPKYFNRVHTGYEWNKYNQTHYDHDNPPPKIVQGYKFNIFYPDLVDKIKAPHYTIERDSSNGETCIISFHAGPPYEDIAFRIVNKEWEYSHKKGFKCTFERGILHVYFNFKRHRYRR >OIW11402 pep chromosome:LupAngTanjil_v1.0:LG05:23879108:23879896:-1 gene:TanjilG_10720 transcript:OIW11402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKTKQRLTGKVPGNKSVASDHLINSPESDIQHEDGDWVIVKKQRVTILVPPAPHSERSLTENQGPTNLHLMPPEIASSREELPKETSTVHPSGNEHEETNLLAPQKEIQAVKRGPPPLAKSTLAKPVRINQRMESENPQQASILKPHNLLGVYEASKVIKQPGKLLAPRRSLNLVASLNQGLRASNLERKLERAGGLSKWLTSLGLEQFVRIFQGRSLNKYYLANLTMKKLKDMGASAVGPRRKLIHAIECVCQPYCFQAL >OIW11433 pep chromosome:LupAngTanjil_v1.0:LG05:23602035:23604124:1 gene:TanjilG_26799 transcript:OIW11433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLRIRSRDGLERITIDNPNITISQLQTIIQTQLHIPIQNQTLSTNQNLLLSKTHNDLNRFTDMSNPNTPLSTLNLTHGSIIFLIYDGERRVIGPATAFSPAGSFGRKMTMDDLIAKQMRVSRQEAPHCESVSFDRDCADAFQRYVNETLAFAVKRGGFMYGRVSEDGRVEVDFIYEPPQQGLEENLVIFRDHEEEKVVEAIALGLGMRKVGFIFTQTVSQDKKDFTMSNREVIQAVEFHAESGLKEWVTAVVKLEVNEEMGGAADVHFEAFQMSDVCVKLFKEGWFETEIKEEDDPKLSKMKKDVVVGVKDTKEVDNDFFLVVVKILDHQGPLSSTFPIENRNTQVTMRALKNHLDRTKSLPFVKRISDFHLLLVLARFLDLAADVPALTECVQTQTAIPEGYQILIESMADAA >OIW11373 pep chromosome:LupAngTanjil_v1.0:LG05:24123364:24123909:1 gene:TanjilG_19629 transcript:OIW11373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSRKKLLLNTVSVKLGCGSCRRPKLSNIFHPKPKPQNPTYSKNKLYNHSSSSHSTTPTKTTTTFSTCYIDSSNFSESDTHVMAQNTVGGFGRSGREGVAVEKDSDDPYLDFRHSMLQMILENEINSKDDLRELLNCFLQLNSPYHHGVIVRAFTEIWNGVFSVRAKSPRFHFNRKAREF >OIW12426 pep chromosome:LupAngTanjil_v1.0:LG05:827470:829290:1 gene:TanjilG_04175 transcript:OIW12426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKNQTFTLNFILFSFITFWVFFVYSQDEDNVRCLKGIKKTLHDPQNRLSTWQFENNTVGFICDFVGVSCWNQRENRVIELDLRDFKLSGQIPDSLKYCGNSLQKLDLGSNLLSSEIPSEICSWMQFLVHLDLSDNQLSGNIPPTFENCSYLNALMLQNNDLSGSIPYEFGGLNRLKKFSVANNHLSGAIPAFFSGYDKEDFVGNDGLCGGPLGSKCGGLSKKSLAIIIAAGVFGAAASLLLAFGVWWWYHLRLSGRSKRGYWVGGTIGDENWVARLIGFKLVQVTLFQRPIVKVKLGDLLAATNNFSAKNVIISTRTGTTYKADLLDGSTLAVKRLNTCKSGEKQFRMEVNRLGQVRHPNLAPLLGFCVVEEEKLLVYKHMSNGTLYSLLHENGSGVLDWLMRFRIGLGAARGLAWLHHGCHPPIIQQNICSNVILVDEDFDARLMDFGLARLMTSNSNSNFVNGDLGELGYIAPEYPSTLVASLKGDVYGFGVLLLELVTGRKPLEVSNSEEEFKGNLVDWVNMHYSLGRIKDCIDRAISGRGHDEEILQFLKVSLNCVVSRPKDRWSMYQVYHSLKGISKFHSFSEHDDEFPLIFGKPENKSA >OIW12458 pep chromosome:LupAngTanjil_v1.0:LG05:1241340:1243322:1 gene:TanjilG_04207 transcript:OIW12458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAMRKVCRYMQEDQVPKLPPGFRFHPTDQELIIYYLSEKVLDNNFSSIAIAEVDLNKCEPWELPSMAKMGEKEWYFFCVRDKKYPTGQRTNRATNAGYWKATGKDKDIYQERALIGMKKTLVFYKGRAPKGEKTNWVMHEYRLDGENSMNNLSKSSKEDWAVYRVFQKISTGKRMHVPMLSELSPVIDSPLPPPLTTNAIGESSYVTNVNTFSGPNQSEDMKAKNNDIIVDSFETPPMLPPPSYSPYNSEIFPSTWDFTNTTLPTQFANNQVGNNAQYSDDAYFMNQDQSMMSMLMEDHGSSTMHNNNIDNNNNTNNNNTNDNNNDNNNKKAKESDIDADNSSVVHNNEMFPTSSVGNKGCSSASLGHVDSTGFKWNF >OIW11993 pep chromosome:LupAngTanjil_v1.0:LG05:10856211:10857241:-1 gene:TanjilG_02200 transcript:OIW11993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIAVKRLKVWSNEVDMEFAVEVEILARAQHKNLLSLRGYCAEGQERLIVYDYMPNLSLQSHLHGQHSAASLLGWNRRMKIAIGSAEGILYLHHQATPHIIHEDIRGSNVLLDSDFKARVADFGFAKLVPDGATHVTTKVKGTLGYLAPEYAMLGKANTSCDVFSFGILLLELASGRKPVEKVSSTETRSIFDWALLLVCEKKFCELVDPRLNGEYVKEEVKRVVLVAMICAQSEPEKRPTMLDVVELLKGKSKEKFSQIENSEMFRSTPTGIKT >OIW12160 pep chromosome:LupAngTanjil_v1.0:LG05:3783715:3806567:1 gene:TanjilG_28568 transcript:OIW12160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMPGRRSNYSLLSQIPDDQFSAGAASSGNTAPSSSGDGKTNRSKFEWDIVSDHRVAQQQANNRIGNLFNTTGLQRQSSGSSFGESSISGGADYNAPALFAAAVSDVDTFGGEVRNRLLETPALVGGSSGKSWAQQTEESYQLQLALALRLSLDATCADDPNFLDSGLDESALRSSSSVETVSHRFWVHGCLSYSDKIPDGFYLIHGIDSFVWTVCTDLQENGRIPTIDKLRSVDPCINSSLEVVLVDRRSDPSLRELQNRVHNISSSSITTTEVVDQLSKLVCNHMGGSASVGEDDFVSCWRDCSNDLKDCLGSVVVPIGSLSVGLCRHRAILFKVLADAIDLPCRIAKGCKYCKRDDAASCLVRFGHVNDREYLVDLLEKPGYLCEPDSLLNGPSSISFSSPLRFPRVKPAEPTIDFRSLAKQYFSDCLSLELVFDNSSAGAVTDGDPGFPILDQFDGKYKDRINARQLTNDSNRSSYLLLHKQVSHSNTHDHDSETTFAKDPPLIKHKRPPVGIRTPLALTNPNNDIIEERLFFEGSQLNPIKPTREIALDMEDLDISWSDLALKERIGSGSFGIVHRAEWNDSEVAVKILMEQDFHAERVNEFLREVAIMKRLRHPNIVLLMGAVTQPPNLSIVTEYLSRGSLYRLLHRPGAKEALDERRRLCMAYDVAKGMNYLHKRNPPIVHRDLKSPNLLVDKKYTVKVGDFGLSRLKANTFLSSKSAAGTPEWMAPEVLRDEPSNEKSDVYSFGVILWELATLQQPWGNLNPAQVVAAVGFKGKRLDIPRDLNPHVAALIEVCWANEPWKRPSFSSIMDSLRPLIKPPTPQSSHSNMPLLS >OIW11843 pep chromosome:LupAngTanjil_v1.0:LG05:12872543:12873352:-1 gene:TanjilG_31593 transcript:OIW11843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNNNNNFRNGNNTTQACAACKYQRRKCAPDCILAPYFPHDRQRQFLNAHKLFGVSNITKIIKVLEPHEKDQAMRTIIYQSDMRANDPVGGCYRYIQVLHNQIEYHRTELELVLQNLAILRAQAHHQQQHPQHVYDPTLTNVNVGINGEDVMSAGELGDPLSFYNSAAAQNHYHYIQQVPQQEQYIMLQESNENNCSNNNNNTMLQDHVNSWAMQNSMSLSSLSLQGQSSNASLGDEYDHRSMLEMPSEDRNDIRFEVEDLGHHRFVDH >OIW11449 pep chromosome:LupAngTanjil_v1.0:LG05:23472106:23474208:1 gene:TanjilG_26815 transcript:OIW11449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHLSIDTGDSFASLLELAANNDVEGFKRLIECDPSSVDEVGLWYGRRKGSKQMINEHRTPLMVAATYGSIEIMKMILSLSDADINRSCGLDKSTALHCAASGGAEDAVDSVKLLLAAGADPNSVDANGHRPVDVIVFPPKLECVKNSLEQLLQTDDSIAGCNLRVITTSSFNSYSPPLSNSPENGSPSASDFQLKMKPKDAPVSSASEKKEYPIDPSLPDIKNSIYSTDEFRMYSFKVRPCSRAYSHDWTECPFVHPGENARRRDPRKYHYSCVPCPDFRKGACRRGDMCEYAHGVFECWLHPAQYRTRLCKDGINCSRRVCFFAHTAEELRPLYISTGSAVPSPRSSTSSAMDFAAAMSMLPGSPSSMSVMSPSPFTPPMSPSANGMHSSVPWPQPNVPALHLPGSNLQSSRLRSSLHARDIPMDDFDLFSDYDQQHLLNELSCLSPQHPMNSNNLNRSGRMKPLTPSNLDDLFSAESSSPRFADGSLASNVFSPTHKSAVFNQFQQQQNMLSPVNTNFSPKNVEHPLFQAASFGAQSSGRMSPRNMEPISPMNSRMSMLAQREKQQQFRSLSSRELGSNSAAAAAASANSWSRWGSPNGRADWAAGIDDFNKHRRSSSFELGNNGEEPDLSWVQSLVKESPTDIKDKLATNVPNVEAAGSSGEGSNINTSQKESVDHAVLGAWLEQMQLDHLVAQQN >OIW11789 pep chromosome:LupAngTanjil_v1.0:LG05:16238467:16244680:1 gene:TanjilG_31191 transcript:OIW11789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKQQRDFTAAEKMDPAPSSSANLKKKRRVAPSTLLSLDCDILAMIFTFLDMFDLVHCSVVCKFWNAIIESRSLREFYQRKVKKDCSSEIPEKPLLNAILGGIAMKHHKLALQSGVCLVDQWKGHSTMVSQCRMKMGMVVTGVGDKVIRLWSLDRYKCIEEYSVPDMFALVDFDFDEGKIVGLIGSRLCIWRRNGKRSIFPAPEGTFVKGSCMRTKEDLMLPARRRPPSPKHAAKNPDTIHTFQPRPYFDPEAVIGCNDGTVRVFDMYSRRCSQIIRMHSAPITCLCLSEDQLIVSGSTSGSIAISDPSSVQQVATLRSSDCRGKNLYLLIGIPVNRIALRITIKLSAHPFY >OIW11353 pep chromosome:LupAngTanjil_v1.0:LG05:24299137:24301197:-1 gene:TanjilG_19609 transcript:OIW11353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIGDCEMNSQGDQGEVESALQMEHHQGGMKSFSSLGRQSSIYSLTLDEFQHTLVSENGKNFGSMNMDEFLTSIWNAEDNINQPINNHNHNHNHNNISLTETTSAEKDITTIRKQPSLPRQGSLTLPAPLCRKTVEQVWSEIHHQQQQHSNNNDNGNVQNTEAAPRQPTFGEMTLEDFLVKAGVVRETCAMNPTQVSHQQQQYGVYTNNNTTMPPFVIGGGVGNVVAPPYTAVAQGGGELGNVKKNSTSGYHPPPPPPPPGICFPGSRMVNGGGGGYGVAMAPPPNIGIGGPVSPASSNENSAGQYGIEMRGRKRMVDGPVEKVVERRQRRMIKNRESAARSRARKQAYTVELEAELNILREENNQLKQALAELERRRKQQCFEEVNVGIQTKAQKAKEKLRAMRRNLSCPL >OIW11798 pep chromosome:LupAngTanjil_v1.0:LG05:16736561:16736854:1 gene:TanjilG_31200 transcript:OIW11798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKTPWHTMTEMHMLPGSTMTETPWSTMTGTRMPVTEASRDILTEIRIEDALGTIRVISTITGNDTSNQYHDRIDISLNMFGIFKGVASSTSTDVFT >OIW11540 pep chromosome:LupAngTanjil_v1.0:LG05:22280452:22284323:-1 gene:TanjilG_26906 transcript:OIW11540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALEVVGFEMVQGPVENGAEGGKSVLHEKDNGQVEQPLGGGEPIKFGSHGDESAKGDGNNVSDANVPKDAVEEWPAPKQIHSFYFVRCRPYDDPDTKSKVDYLEKELNKKSQARFQVTERLKAKRSERSELISQIKSLRGDRRQYQSTVNEKLKVIEPLQQALGKLRTANNAGQGGLCSSEEELNDVIYSLQYRIQHESIPLSEEKQLIREIKQLEGTREKVIANAAMRAKLQESVGKKEAIQDQVKKLFRVLTLIVMQQMGGDLSGVMKEKHAVAAKIKKIDEELQIIDKDIQALQDELNAITEKKDKDFESIQQLRKQRDEGNGYFYQSRQLLNKAKELAAKKDVNALEEFAQAEIEKFLSLWNSDKTFRNDYEKRILTSLDMRQLSRDGRIRNPDEKPLVEVPKPAEAVVLTKTTPKQPKEEPKPSPPVTLPTPVVEKESKNKGRDSKSKPDSKDLAEADEFEFENPHKEIPIKKPELDPAKLKEIKREEEIAKQKLALERKKKLAEKAAIKAAQRAQKEAEKKLKDREKKAKKKTSGTETVPDAEEPEEAVVEPEVDDNIEPVVPVKEKVPKAGIRSRSRAVGTAPIQKAILKRKKSNNYWVWAAAAAPLVLILLVLGYTQLF >OIW11525 pep chromosome:LupAngTanjil_v1.0:LG05:22477719:22483075:-1 gene:TanjilG_26891 transcript:OIW11525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKTTLLYQENDDDDDECFYESQDRLLSLSSSCSCSTSNSDNDDETNDNYNYAPLTLNNYDVWISQPSSISERRNRLLRHLGLAGDVSLSRGYAVSVDNNADISRSVSSDQLTRCHQPPPPETDSTAADSSSAMFRSKSDSAVDRENCNIKRCSCSSCGLCSSSASILSVNSRGINGAAAGGNGSPNKPPSGKNLRKVDEIQSESRNLSVDLSHDLENVDENEKMLEEGEACTIRDLDNGKEFVVKEVREDGSWNKVKEVGTGKQLTMEEFEMTVGHSPIVQELMRRQHVEEGKEGGYNDNKDNVDSIVNGGGIGGSGGSVSGGGSFKLKKKGNWLKSIRSVASSMAGHGRERRSSDERDTSSEKGGRRSSSATDDSQDAASFHGPERVRVRQYGKSCKDLTGIYKTQEIQAHNGSIWSIKFSLDGKYLASAGEDCVIHVWQVVESERKGELLMDKPEDANVNMLFVVNGSPDTTTLLSPMKDNNPEKKRKGRSSFSRKSLSLDQLVVSDTVFALTEKPVCSFQGHLHDVLDLSWSKSQHLLSSSMDKTVRLWHLSSKSCLKIFSHSDYVTCIQFNPVDDRYFISGSLDAKVRIWSVPDRQVVDWIDLHEMVTAACYTPDGQGALVGSYKGSCHLYNTSENKLQQKSQINLQNKKKKSHQKKITGFQFAQGSSSEVLITSADSRIRVVDGVDLVHKFKGFRNATSPISASLAASGKYIVSASEDSHVYIWKNEADCRPNRSKGVTVTHSYEHFHCKDVSVAIPWPGTGDTWDMHATLSVEQSELDHNADEASSANHPPTPVEENFGTEGLRSSSAYNSSPCHGTIAGATNSYFFDRISATWPEEKLLLAARNRSSPRVSVDFPNGVSQNMSAWGMVIVTAGLRGEIKTFQNFGLPLRI >OIW11909 pep chromosome:LupAngTanjil_v1.0:LG05:11266030:11271023:-1 gene:TanjilG_18182 transcript:OIW11909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSYGNLAKRVVETEMPIMVRMQELLRGAKNAVSLAQGVVYWQPPKEALEKVKELVWEPSISRYGADEGIPELRAALVKKLRLENNLHKSSVMVTAGANQSYGTHFKTCAVQAFVNLVLTLCDAGDSVVMFAPYYFNAYMSFQMTGVTNILVGPGNPETLYPDADWLEKILSETKPVPKLVTIVNPGNPTGTYIPEPLLKRIADLCKNAGTWLVVDNTYEYFMYDGLKHSCVEGNHIINIFSFSKAYGMMGWRVGYIAYPSEVKGLATQLLKVQDNIPICASIISQYLALYSIELGPEWVQERVKTLTTNREIVIDALSPLGEGAIKGGEGAIYLYAKLPEGHGFDDFEVVQWLANRHGVAVIPGSASGSPGNLRISFGGLTESDCKAAAERLKNGLEELVRDGLVQD >OIW12248 pep chromosome:LupAngTanjil_v1.0:LG05:2376691:2379200:-1 gene:TanjilG_06037 transcript:OIW12248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLAEDLGPSPSSGSYLPFLHTLIFIVSHNSSLLSSIVSKKDGAASTGSSSLRALALIGAGVSGFFGFATTASADEAEHGLACPSYPWPHEGILSSYDHASIRRGHQVYTQVCASCHSMSLISYRDLVGVAYTEDEVKAMAAEIEVVDGPNDEGEMFTRPGKLSDRFPQPYANEAAARFANGGAYPPDLSLITKARHNGQNYVFALLTGYRDPPAGISIREGLHYNPYFPGGAIAMPKMLNDGAVEYEDGTPATEAQMGKDVVSFLTWAAEPEMEERKLMGFKWIFVLSLALLQAAYYRRLRWSVLKSRKLVLDVVN >OIW11823 pep chromosome:LupAngTanjil_v1.0:LG05:14273489:14275195:-1 gene:TanjilG_07304 transcript:OIW11823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMEPHQTSDHILDWLESSVSYFPSFLDEPYNSGEVQEYQLWDQEDQHTVSQYHIDANIDSLNAINPNSNTNTIAAATSTTTSTTPPEPYDSSNIPLFDMPKKKNATDVLSLKPTQNHKYKKIKSRPMNKRENRDTVIKETTTVIRKSSGNKKGSAKANANNLNNRNNKEGRWAEQLLNPCAVAISGGNLNRVQHLLYVLNELASPTGDANHRLAAHGLKALTHHLSSSSLSSSSSATSSGVITFASADPRFFQKSLLKFYEVSPWFSFPNNIANASILQVLAEAPNSTRTLHILDIGVSHGMQWPIFLEALSRKPGGPPPLVRLTVVTAENEQNKETPFSVGPPGDNFSSRLLGFAKSMNINLQIKKLDNHPLQSLNAQIIDTSPDETFIVCAQFRLHHLNHNASNERNEFLKVLRNMEPQGVILSENNMECCCNSCGNFADGFSRRVEYLWRFLDSTSSAFKGRECDERRVMEGEAAKILTNQREMNEGKEKWFGKMKEAGFIWQVFGEDAIDGGQALLRKYDSNWEMKVEENNTSVGLWWKGQPVSFCSLWKLDGNYQSRFRDQL >OIW12169 pep chromosome:LupAngTanjil_v1.0:LG05:4058169:4058522:1 gene:TanjilG_28577 transcript:OIW12169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSIKLSSDLCMEEEDEDLFEIDLEAVNCIPPPHYWDRHYISTENNALLANCLMPISDISNAVPACNNAVCFEGKTKVLFTREPMSLGEYLRLPFLGAIEILEEKMKAQFNFQFQH >OIW11234 pep chromosome:LupAngTanjil_v1.0:LG05:26050971:26053650:1 gene:TanjilG_28325 transcript:OIW11234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKGEGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVGFTDSERLIGDAAKNQVAMNPINTVFDAKRLIGRRYSDASVQSDIKLWPFKVISGPAEKPLIQVNYKGEDKQFAAEEISSMVLMKMREIAEAFLGSAVKNAVVTVPAYFNDSQRQATKDAGVIAGLNVMRIINEPTAAAIAYGLDKKATSVGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKNKKDISGNPRALRRLRTACERAKRTLSSTAQTTIEIDSLYEGVDFYTTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKRSVDDVVLVGGSTRIPKVQQLLQDLFNGKELCKSINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVFEGERTRTRDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEDIEKMVQEAEKYKSEDEEHKKKVEAKNALENYAYNMRNTIKDDKIAEKLAADDKKKIEDAIDQAIQWLDSNQLGEADEFEDKMKELESLCNPIIAKMYQGGAGPDVGGADYADAPSGGSGAGPKIEEVD >OIW12315 pep chromosome:LupAngTanjil_v1.0:LG05:1998524:2003329:-1 gene:TanjilG_32431 transcript:OIW12315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIDAQLTVKKSKRTTNPGIRIVGSRIYDSANGKTCHQCRQKTTDLVASCKNVKNEKPCTIKFCQKCLFNRYGEEVDQVELLSNWSCPKCRGNCNCSFCRKKQGLVPTGQLVKTAKASGFKSVDELLHNANSVSVSPMEEAGTEKGLEIILFVETKKENSVDGNSSFKLDTLKNQKKSPEISKKRKRKGLVEISNENSVDDALKNRSPKKSKICSKVPEKESKKNMNDGTKHVHEDSEKEVKEDRNSDIVVQKVEEVPLPPGTILNDILDIEFVPEDIGSALQFLEFCKVFGKALEFKKGEGEAILREMVRKKSSRRGENTLTVQFHTRLLTEILSDSGIGSPSLTTGNGKNSWLKALEDLISESHVLKEFQLDWLKEGISGYHNLDLSKKLNLLNFLCDEALGTEKLRSYMDEQNLVFAEEIKEAKSKVASANEKVKCLKQTLQDEIAKAVLSNVPISEHEASLYQIKIETAKARDELLQAKGTIPKSKQISDAMRIDPVFLDDNGQIFWKLKSYSAKNAVLLQATIHDHACSYIAILNTYSSRTKVHKVHKVPYMLLNESNAAIL >OIW11606 pep chromosome:LupAngTanjil_v1.0:LG05:21448504:21450742:1 gene:TanjilG_15300 transcript:OIW11606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATHTPKNILITGAAGFIASHFANRLVRNYPEYKIVVLDKLDYCSNLKNLIPSKSSPNFKFVKGDIGSADLVNYLLITESIDTIMHFAAQTHVDNSFGNSFEFTKNNIYGTHVLLEACKVTGQIKRFIHVSTDEVYGETDEDAIVGNHEASQLLPTNPYSATKAGAEMLVMAYGRSYGLPVITTRGNNVYGPNQFPEKLIPKFILLALQGKPLPIHGDGSNVRSYLYCEDVAEAFEVILHKGEVGHVYNIGTKKERRVIDVAQDICRLFSMDPDASIKFVENRPFNDQRYFLDDEKLKILGWSERTTWEEGLKKTLDWYTKNPDWWGDVSGALLPHPRMLMMPGGLERHLDGSEDEKPESYVSTNTRMVVPPSKSVGASQKHPLKFLIYGRTGWIGGLLGKLCEKQGIPYEYGKGRLEDRSSLVADIQSVKPTHIFNAAGVTGRPNVDWCETHKTETIRANVAGTLTLADVSREHGLLVINYATGCIFEYDAAHPEGSGIGFKEEDKPNFMGSFYSKTKAMVEELLREYDNVCTLRVRMPISSDLSNPRNFITKISRYNKVVNIPNSMTILDELLPISIEMAKRNLRGIWNFTNPGAVSHNEILEMYRDYIDPNFKWSNFTLEEQAKVIVAARSNNEMDASKLKNEFPELLSIKESLVKLVFEPNKKTA >OIW12059 pep chromosome:LupAngTanjil_v1.0:LG05:8910080:8913029:1 gene:TanjilG_24483 transcript:OIW12059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLKPFRLLSLCFITKPIPSIFHFSPERCFPFSTSLTPKSSRLPNYFDDVVSDSSAVYSHTLKFQRPAIIQWSRKLENSASFIGTIMREPEIVISKSGNFGVYTVLKVQRSNEPNSSSFRVVLMMQNQMAELALEHLKLNDFIYVSGRLGSYTRPDATGNLIMNYKLLVKELNFVAQDIAHKKLESTEAEVAIQNNQNRIYLWQAFFVNPNEWWDQRKRKLNPKQPDFKHKDTGEVLWMSKYDPPWVKKQLQLLDSKIAEAGPIGRKSRVTTWVYDE >OIW11531 pep chromosome:LupAngTanjil_v1.0:LG05:22395225:22402308:1 gene:TanjilG_26897 transcript:OIW11531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVPFGAVIGRYPSSDGTTQMGGIIRHNRKCRDIAVLVIFICFWVAMIVNSSFGFNQGNPSRLTYGLDYKGNVCGDKHAGLRELELKYWLNPNQIHQSGLKDSQFKLADARSICLLDCPIPSEDSLNWVCDYPDGDIRLSTDDWIDRNYDYFEFLTPELRNSTLQLQGPCYPVIFPSVNVYWSCQLIARASNVSLKHWQQMGGVSINENIVIDKSIHKYINSRSAVLKRYMADIGKAWPVLIVCGGILPLFLSVIWLLMIRHFVSAMPWITVVLFNILIVSVTMFCYLKVGWIGNDAISPIIGEHDPYIHVFGRVAAKVIGEVQALIIFPVIPYAILAVFYMFWISAALHLFSSGRVVQNNCNSNCCAYDLLEKKVNCDRCCGYSIRYTPHIGVSILFHLLGCYWATQFFIACSSTVIAGSVASYYWARGETSPEIPFRSVISSMKRLMCYSLGSVALGSLTVSFVESIRFLLESIRRKLKVSTHVPDSWIGKAAYRSSHCFQRCIEWTIKSVNRNAYIMIAITGKSFFRASAIATELIMNNILRIGRVNVIGDVILFLGKLCVSLSSAVIAFLMLDSHKYKSAHKISSPLFPVMICWTLGYIVATLFFGVVEMSIDTIILSFCQDSEEHGTAQYAPPLLIETLSDHNEIQRLTQGPQ >OIW11308 pep chromosome:LupAngTanjil_v1.0:LG05:24774653:24776120:1 gene:TanjilG_20457 transcript:OIW11308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRNIALGRPEEATHPETLKAGLAEFISTFIFVFAGSGSSIAYNKLTNDGPATPSGLIAASIAHAFALFVAVSVGANISGGHVNPAVTFGAFVGGNITFLRGVVYVIAQLLGSIVASLLLTFVTGLGVPAFGLSAGVGVGNALVLEIVITFGLVYTVYATAVDPKKGNLGIIAPIAIGFIVGANILVGGAFTGASMNPAVAFGPAVVSWAWTNHWIYWVGPLIGGGLAGFLYEVLFINHSHEQIPTTNY >OIW11365 pep chromosome:LupAngTanjil_v1.0:LG05:24220974:24223062:1 gene:TanjilG_19621 transcript:OIW11365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMTVHGSLAGLAFMFSSSILLQILACAVYNNWWPMLSALMYVIVPMPCLFFGGGSTQFLMSRDGGGWIDAAKFLTGASSVGSIAIPIILKHAHMIETGAMLIELVSFFIFICTVLCFHQASLDDDW >OIW12273 pep chromosome:LupAngTanjil_v1.0:LG05:2752279:2754601:-1 gene:TanjilG_06062 transcript:OIW12273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSPKTDSHSEFSSDSTVNSVADVISPPNSSNAVVPSSSSTSPAVCLLRFAGDSAGGAFMGSIFGYGSGLFKKKGFKGSFVEAGSHAKTFAVLSGVHSLVVCILTRLRGKNDVINAGVAGCCTGLALSFPGAPQALLQNCLTFGAFSLIVEGLNKPQPALAHSVFRKASMENNACPPLAIPLQLPLPDEMKGAFSFFYESLKNRSKGTFPTSH >OIW11754 pep chromosome:LupAngTanjil_v1.0:LG05:17657594:17658070:-1 gene:TanjilG_10956 transcript:OIW11754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQKTEKEETEFKVVPETITTCINNCGLTGNPTTNNMCQNCFTATTVTNVISPPSSRSVTGNGDGVVSCSRKRSYTEQRDCDGIEETAPCEVKRVVNRCSGCRRKVGLAGFRCRCGEVFCGEHRYSDRHDCSYDYKGAGKEAIARENPVVRAAKIVKV >OIW11708 pep chromosome:LupAngTanjil_v1.0:LG05:19071987:19093918:1 gene:TanjilG_12227 transcript:OIW11708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSCADILTNDVRDATILAGRPFWEATFGRKDRKISLASEANFIPHGHENIIVLLQFFEARGLNILDLVALSSSHTIGRSTCYSFIDRLQVCSSSSFQRIRQILTITKTWLPVILKIIKHCKDHSPSLVTGQLLGLDVGSVLEVTNCFPFPMREEDEEVEADGANYQLEMMRCLREVNVDNNTVGWYQSTLLGSFQTVELIETFMNYQENIRRCVCIIYDPSRSDQGVLALKALKLSDSFMELYRNNNFTGEKCGFSSRGCCFMLILFLIYLYQIKVSNSALISAFMTELEPDTPVTQFQFYYRNLSRQQAQQQAWLQKRRTENLARKATGEEPLPEEDPANPIFKPHPEPSRLESFLITNQISNYCNQINGVSGQSFNRLYLMKALHED >OIW11379 pep chromosome:LupAngTanjil_v1.0:LG05:24085613:24086359:1 gene:TanjilG_19635 transcript:OIW11379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMDMPYDGRRHSGQPQQGFQPRPGLTTGYSNKHHDAFEFNYVYRPATPEKSKLNYIMTFIYILSLCFVVMIVIAAITLNPITPICHVNDVMVKNFTTKPTLTGDWYTNVTVYNPNKGRFGHFQDFIVYFMHNNDVIAGSSMPGFNVEKNKHEIVEVNASTKNENVIALIHPELKLEELGKERDNLFVVVDIKIVPVTVFMPKIGSYMEAKGVAFCPGMKIKFQKDTVTEGRLDKRDGQPNFCRIMIL >OIW11262 pep chromosome:LupAngTanjil_v1.0:LG05:26220048:26221923:1 gene:TanjilG_28353 transcript:OIW11262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANKLLALSLFLLFSGCFASTFRQQPQQNECQFQRLNALEPDNSVKSEAGTIETWNPNNDQLRCAGVALSRCTIQRNGLRRPFYTNAPQEIYIQQGRGIFGLIFPGCRETYEEPQEQEQGQGPRPQDRHQKVEHFREGDIIAVPTGVPFWMYNNEQTPVIAITLIDTTNLDNQLDQIPRRFYLSGNQEQEFLQYQQKEGGQGQQQEGGNEGGNVLSGFNDEFLEEAFSVDREIVRNIKGKNDDREGSIVEVKEGLKVISPPTLRPRQGREEEEEEEEEEEERRGDRRRHRPHHHEEEEEEEEWSHQVRRVRRPHHHREDRNGLEETLCTLKLRHNIGQSTSPDAYNPQAGRLKTLTSLDFPILRWLGLAAEHGSIYKNAMFVPYYNVNANSILYVLNGSAWFQVVDCSGNAVFNGELNEGQVLTIPQNYAVAIKSLDDNFSYVAFKTNDIPQIAALAGLTSSIRALPLDVVAHAFNLDRDQARQLKNNNPYKFLVPPPQSQLRAVA >OIW12162 pep chromosome:LupAngTanjil_v1.0:LG05:3829252:3837542:-1 gene:TanjilG_28570 transcript:OIW12162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSRRPFDRSRDQPGPKKPRLMEQLGDRASNNPSVRSFPQRQVTSSASRFRTNDRDLERINFDRGGYQPQPLAPHQELVHQYKTALAELTFNSKPIITNLTIIAGENQIAAKAIAATVCANIIEVPSEQKLPSLYLLDSIVKNIGRDYIKYFAGRLPEVFCKVYRQVDPSLHQSMRHLFGTWRGVFPPQTLQTIEKELGFAPAVNGSASASATLRSGSQSLPPQSIHVNPKYYLERQCLQQSGRTKGVVDDMTGATANSNEDPERADRALGAARQWVGPSVNMDLYENNERTYRDALNDPVPENSIVASYGGNEYGSDISRNLGSGMGRAGSRVTELGRDKSSLKSSSGVAGTISGKRNGFSLRHNFSSTEAHLQPTQQFPSIQNNVMSSSWKNSGEEEFMWDEMHSGLTGHGAPKATNNGSKDPCTNDDENLEVEDPLQIRNPFGGNVDMEISTEYLATDKQLPASRRHPSLSWKLQEQHSVDELDQKPGQSDGFVFTQGLKGRTISSAARMGNRPFLPNATIGLAGTVVEQFHSMGAESPSGQPPLGQESSSPPASVHYHPYKMQDLAKQNRPQTLKTSKFLGGLQSQYIKDSLPTLPSNDQVGNLPRSQQKELQGPFSSTKTFQPQHPQLLGSSHTEVMAKTEKSPLSKVSLAIETPEQSSTSSMEDETVKSGIFSNMPITRTLPTTTQDTRNFPSKLGVRPTRSGGPSPAKLISSVSAITSPSSLGSHNDSSSALPEVPQRKSAKLPIVSPLPPPSSNVSSTSAQTSSATNNTANSIANLLSSLVAKGLISAETESPPKVASKMQTRLEDQSKSVTTSSSLPVASVSGSAAVLEPSTKDKEDDTAKTPISLSESTSTEIRDFIGFEFKPRLIRELHPCVIKGLFDDLPHQCSVCGLRLKFQEEFNRHLEWHATREGEQNGSRRWYLKSSDWVDGKAYYPSEHECADSVDDTYSEEEDKCQEDAMVPADENQCLCVLCGELFEDFYSQGNGEWMFKGAVYMTNSDSNSDLEIGNASTASGPVIHARCLSELHI >OIW12195 pep chromosome:LupAngTanjil_v1.0:LG05:5101912:5104767:1 gene:TanjilG_28603 transcript:OIW12195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCVLHAFSNATEIHYCDRNAEYNVKVKGVEISPEPIARGQPTTFSIAATTGQALSGGKLVIDVSYFGWHIHSETHDLCEETSCPVSVGDFVIAHSQVLPIFTPPGSYSLKVRLYDGNQHEKTCITFGFGIGFGSDSSVADM >OIW12048 pep chromosome:LupAngTanjil_v1.0:LG05:9082712:9084760:-1 gene:TanjilG_24472 transcript:OIW12048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSLSSLTASFSSLSFSSYISQKPNTLSLVRTESPTRVPKLKFPSLAVTATVIDSTAEPETADLRKYVKTRLPGGFAAQIIIGTGRRKSAIARVVLQEGTGKFIINYRDAKEYLQGNPLWLQYIKVPLLTLGYENSYDVFVKAEGGGLSGQAQAITLGIARALLKVSEDHRKPLRQEGLLTRDSRVVERKKVGLKKARKAPQYSKR >OIW12018 pep chromosome:LupAngTanjil_v1.0:LG05:9826036:9827612:1 gene:TanjilG_16129 transcript:OIW12018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLGYAYPAYECYKVVEKNKPEIEQLRFWCQYWILVAVLAVCERIGDAFISWVPMYSEAKLFFFIFLWYPKTKGTKYVYDSFFRPYVAKHEPEIDRSLSELRTWAGDIAVLYWQRAASYGQTRIYDILQFVAAQSTPSPRPAQQRSGPGGRVHQPAPTNRQPAATAQPQDEEPPSPTSSISSTQLQKEVQEVLASPKVPKATSLASLLIADLNNQKANSKPPVEVLITQKSNPIPETTNQSASTEAERKQNEAAAASSSSSANENGNPPTKETIMEESIRVTRGRLRKIRSVVTR >OIW11705 pep chromosome:LupAngTanjil_v1.0:LG05:19154670:19158574:1 gene:TanjilG_12224 transcript:OIW11705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLPPGFRFHPTDEELVAYYLERKITGRSIELDIIAEVDLYKCEPWDLPDKSFLPSKDMEWYFYSPRDRKYPNGSRTNRATRAGYWKATGKDRAVHSQKQQVGMKKTLVYYRGRAPHGVRTNWVMHEYRLLDSLPATSHSSLKDSYSLCRIFKKTIQIPTKPKEDEQANDAKKELVWVSEEQVLRKESGGIDVSREMETMDEKILNHHEQYPKFPCDASSSDLTQGTCTPTETCIGDDFQAQFVCDEANSAANSYSMGIGYPSNLFQDIEIPMYAGMHYNFSHSPLVMEDFPQINLPETKSMKPEMIGDCMLYDRYRDCMTGTLEEIISLCSSQDNSVALPML >OIW11619 pep chromosome:LupAngTanjil_v1.0:LG05:21135738:21143319:-1 gene:TanjilG_31898 transcript:OIW11619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEPRESDIPVLFLFLVVLPLVAYILLGKWSDTTKKRDRITLLAQLAAEEALRSEEMAVPDVIPMVSSPKSELPLCARCSAPAKTRCSRCKCVRYCSGNCQIIHWRQIHKHECKQLETDKSGSFPLAVSVDEFSHGNGFYDHLGSQLFGRNSKQTLRESSALDNLIHPLTGHDASATADFPPLNNSEVSTFERRTSFKSNRGTQRRDNESSRESSEYKATSSPSSSVVSKEAFTRKSRNNDYSISEEDISKKVNAGGSGVYFYGQDVSRSTTLEDCNHQSTYGNAFIPRNNYGCSSAGNNDENADECDTDIHTNGGNVVKGGNCYSDEAAQCSSETTVKGSVKAKKASHPLKIKSSKSPKSTSKMSTDLCCPEIDKKGKAADEPKVFEVRDAIPLHASHGVASTGFMKMIGLRKSTKLTAPVSSEVSGVRFKKIKKMKMLFPYEEFVKIFQSEVFGLCPRGLLNCGNSCYANAVLQCLTSTKPLVVYLFCRSHSKSCCARDWCLMCELEQHIMTLRENGAPLSPSRILWHMRSINRHMGDGSQEDAHEFLRLLIASMQSICLEGLGGEKKVDPRLQETTFIQHTFGGRLQSKVKCLNCNHESERYENIMDLTLEILGWVESLEDALTQFTSPEDLDGENMYRCGRCTSYVRARKQLNIHEAPNILTVVLKRFQEGRYGKINKCITFPEMLDMIPFMTGTGDLPPLYMLYAVVVHLDTLNASFSGHYISYVKDLQGNWYRIDDTEVLPVLIDQVMSEGAYILFYLRSCPRPPLELTGKVMQQSVPESSKHYPVEVQKPSKSGHSRHESHFIVPELSPIARPTHISNTYNGGYLRRSAANRNALPVTQTYAESIRPEFSDATSSDWSIFTSSDDGSFTTESTRDSFSTVDYGDSCNMDPISSIFNYSRENSYNKFSYSRPLTRFLPQKGHIEKVQRIDQSKKGTNSSIEYLSNGAIAMPQSFQYLVDRGI >OIW11289 pep chromosome:LupAngTanjil_v1.0:LG05:26363252:26366804:1 gene:TanjilG_28380 transcript:OIW11289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIKEPLIQEHKNNPWMVYFTTFVAVCGSYEFGACAGYSSPTQYAITQDLSLSLAEYSLFGSILTFGAMLGAITSGPVADFIGRKGSMRVSSAFCVAGWLVIYFSEGPVPLDIGRLATGYGMGVFSFVVPVFIAEIAPKDLRGALTTLNQFMIVAGVSVSYVIGTILSWRALALTGLIPAAVLLLGLFLIPESPRWLAKRGREKDFEEALQILRGKDADISQEAQEIKDYIATLERFPKPNLVELFQRRYLRSLTIGIGLMLCQQLGGINGVCFYTSSIFELAGFSSAIGSIIYACLQVVITGLGAVMIDKAGRKPLLLVSGSGLVMGCIFTAVAFYLKAEQVSVGAVPALALTGILVYIGSFSIGMGAIPWVVMSEIFPINIKGQAGSLATLVNWFGAWLCSYTFNFLMTWSSYGTFILYAAINALAILFIVVVVPETKGKSLEQLQAAINA >OIW11968 pep chromosome:LupAngTanjil_v1.0:LG05:10544070:10546036:-1 gene:TanjilG_02175 transcript:OIW11968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKSAFEGTILRQIRQQIQFDLQSYPTKQPIIKFDSFTVDGRPGERCIRLNRQYEDEDIKVEVTMFDGAAPAPSANASDGVPNSDDMQLHITLIVSISKEERNRFLEIVCSAWPDSIEINRLLTHTNKKMLAEPYAGPEFKDLDDELQDSLYDFLEVRGIDDKLAIFLHDYMKNKDKTEFRGWMERVKSFIERK >OIW12174 pep chromosome:LupAngTanjil_v1.0:LG05:4145738:4147949:1 gene:TanjilG_28582 transcript:OIW12174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGKRRRKLKLLNDAITDSRRTGTEVKNEKLELQTWSDLPVELLELIFSRLTLDNNIRASAVCKSWHSVATAVRVLNQSPWLMYFPKFGDWYDFYDPVHRITYSLQLPELSGSRVCYTKDGWLLLYRPRTHRVFFYNPFTRQVIKLPRFEMTYQIVAFSCAPTSSDCVLFTVKHVSPTVVAISTCYPGATEWATVNYQNRLPFVSSIWNKLVFCNGLFYCLSLTGWLGVFNPAERTWSVLSVPPPKCPQNFFAKNWWKGKFMTEQDGNIVVIYTCSSENPIIFKLDPTLMQWEEMITLDGVTLFASFLSSHSRTDLPGNMRNNVYFSKVRFYGKRCISFSLDDCRYYPRKEWHDWGEQDPFENIWIEPPQNFSGFT >OIW12335 pep chromosome:LupAngTanjil_v1.0:LG05:1780202:1806371:-1 gene:TanjilG_32451 transcript:OIW12335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESLNKLVDVLFVDATPSPENLVLKLRSDDDVRSALNAFYSVLRRGLDVVDGEILRFESWNISQIQAISSFSNAIAFATRSLPVEQAEGILVAILQRSIEFAVCYLEKSEFDGEDLGIQNNMIHLLETALVDAMDMVADMSRPTSASALVDILPVVDAYCGNFVDDYTKCHLEGFRCSKEEKTVDWLLKTLDSEFVPCDRQGFGFNALTYCQDLNKFVFLSQHWAVVHGQCTPRLVSLCNKLIKIKYAFNEKAVSQSFQTRLSFTLRMLKLLTVLTKDVPYVEYDGSLVREVASYADVLSSLFRIQFEFVNKDATIEGSSESIVLMVVEEFLHNVQVIFGNSSVTQNIQACIIASILGSLESSVWRYDKSSPNLNPPLAYFPGFIEKALKLINDLRRQRHWVLLERKDFDAEFFGSSTGSHNDSPSCLFHLESVPLGKSYTSDELLELIFPSSSQWIDNLMQLALFLYSEGLKLMPKMERSYSSSAKVTGASDIEHVICHDDEALFGDLFSETGCSVACTDGYEQPPAVAAAVISISSYHNMLFQAATELLNFLKTCIFSPEWHPSLYVDGCNTLSSRHIDILLYILNCQGCCSEDKSCTPHEDSKIGHIHELCYDLFYNLLMNRALPDLLEDYLVEKILIVESGAFCYDDKTLSLLAHTLFCRVGSSGSQLRTKIFRGYVSFVVEKVKSVCAKCPSFKDLVWTLPSLFHIEVVLIAFHLSDEAEKAMMINLIFSTFKEVANLAMDLYNTQLTCWALVVSRLILVLRHLIFHQQTCPTSLLLDVRSKLRKEPQSESSLSNMVNDHLSSWPSTALKNVMGALVGEEVITCLTEQLIDFSGFSASLGRDGLTIDGLTSKWKDIYSTVSLVLGLWRGKRASAVVDLIVERYLFTLCWDIPCAGSGEHPITSWSWDHPFDLCDMLHFSYFSHSVLGHQQVLGKFTTCPDIVLSLLQHLNAMSMHDVIEEFGWDFLRSEMWLSLVLSITNIGMLKYCMDNGISGQGLNWTDNHFEDQKYVELAGNMVSSMIDSGQFPLLVRLFSSLLTKYVQIHQKAFLATISCRHKQASGFSPLLLLKHTRIDKFLQDELLERSGCNAGVLESCLTLLSRFDAAIDKKASGIVSRTSWECMFHGFPFHLSTSSAVMFSCIVSIRGLIYALDGLLKINEAGGNADMDVEVLREILNAVMNVKFDRILESIHVKCDTIYHSLNAGLEWSDYANLILIKQMEEFIRDINAGGVSDGSIREWIICQVMEIVSSHRNDPSKSVILNFYLGVESVPVQINKLLKLHHSDCLFLIDSLDTCYSESVNLKVLGFFLDLVSGELFPDLKKKIQRKFLDKDIHCLAQWLEKRILGSIMDSSSELNCANGSSSSLRETTINFALCLVSSSSEKQSKELQLHMFESAMLSLDTAFLLFDIHVARSYFSFIVQISRGESLMKQLLTRTVMLMEKLVGNDNLLPGLKFLFIFIETVLSDCGSGIISSRRITKKCSSGNVPGVVGHVAVQPVGSRKNTETCTLSANQVGSASLECDTTSLDEDDATSDGEVVSIDKDDEDANNDRDLASKVCTFTSSGSNFMEQHWYFCYTCDLTVSKGCCSVCAKVCHRGHRVVYSRSSQFFCDCGAGGVRGSNCQCLKPRKFTGSSTAPVHGTNIFQQYLPFSEDGDQLPDTDSDFEEDISSDVDNSVRLSIPKEFQDGILLLLEELDIENRVLHLCTSLMPSIITRRASHHNNDKKIILGEDKVISHGVDLLQLKKAYKSGSIDLKIKVDYSNAKELKSHLASGNLVKSLLSVSIRGRLAVGEGDKVAIFDVGQLIGQATIAPVTTDKTNVKPLSKNGVRFEIVQLAFNPVVDNYLVVAGYEDCQVLTLNPPGEVIDRLVIDLALQGAYIRRVDWLPGSQVQLMVVTNRFVKIYDLSLDNISPIHYFTLPDGMIVDATLYPASQGKLFLVILSENGNIFSLELSVNGNFGAIPLKEVIQLQGKEIHAKGSSLYFSSTYKLLFMSFQDGTTLIGQLSSDAASLVEFSSVYEAQESKLWPAGLHHWRELLVGSGLFICLSSVKSNSPLAVSMGEHEMFAQSMWHPVGPTSPIVGVTVYKALSKDKIHCLVLHDDGSLQIYSHVPVGVGASLSTASEKVKKLGSSILNNAITNPEFPIDFFEKTICITSDVKLGGDAIRNGNSEGAKQRLLNEDGFLESPTPTGFKVSVINSNPDIVMVGFRVHVGNTSASHIPSSITTFQRVIKLIEGMRSWYDIPFTVAESLLADEEFTIIVGPAFNGSSLPRVDSLEIYGRIKYEFGWKEKIDAVLDMEAGVLDSNSSHTGSGKKHRSVQSASIQEQVIADGLQLITKFYSSCRQFVCSSLEEARMDLGRLKCKQLLEAIFESDKEPVLQASACHVLQTVFSKREIYHQVKDMMRLLGVVKSSSLLLARLGIGGTVGSWIIDEFTGHMRAACKIALQRRSNFAMFLETDGSEVVDLLMQVLWGILDFGQPDTQTLNSIVMSAVELIYCYAECLASHGKDAGVRSVAPAVELLKKLLFSSNEAVQTASSLAISSILLQVPFPKQTMLATDDAVEGLVSIPAPADTGVGNNQVMVEEDATTSAVQYSCDGCYTVPILRRRWHCNICPDFDLCEACYEVLDVDGLPPPHSRDHPMTAIPIEVDSVENGIEFYSISEYVSDPNLSVPDDSTTKNSSPSIHVLETNDSERFSASLADPVSISASKQAINSLLLSGLLEQLKGWMDTTTGVRAIPVMQLFYRVSSAVGGPFIDSSKPDSLDLEKLIKWFLDVINLNRPFLARIRSSFGEVAIVVFMFFTLMLRNWHQPGGDVSMPTQSGTTCTLDKNDIQFPPSTSATAKTLVDDLEKKDFASQLIQACDSLREQSFVNYLMDILQQLVPVFKSPVNNESMHSLNTGTGCGALLTVRRDLPAGKFLPFFSDSYAMVHGTDIFIDYHRLLLENAFRLVYTLVRPEKHDRTGEKERIYKMSYGRDLKLDGYEDALCSYIINPHTNFVRSYARRLFLHLCGSKSHYYSVRDNWQFSVEVKRLYKHISKSGGFQNPIPYERSVKIMKCLSTMVEVAAARPWNWQKYCLRHGDILSFLMNGVYYFGEESVIQTLKLLNLAFYTGKDNSHTSQKTESADVNSNKSSTTPQESKKKKKGENGSESGSEKSYLDMEAVVDVFTDKSGVAFKQFIDCFLLEWNSSTVRAEAKLVLCGVWHHAKPAFKDTMLMSLFEKVKFLPLYGQNIVEYTELVTWLLGKSPDTGSKHQIYELMDRCLTPSVIKCIFETLHSQNELLANHPNSCIYNTLSGIVEFDGYYLESEPCVACSSPEVPYSRMKLESLKSETKFTGNRIIVKCTGSYTIQTVTMNVHDARKSKSVKVLNLYYNNRPVVDLSELKNNWSLWKCAKSCHLALNQTEVKVEFPIPITACNFMIELNSLYENLQELSLEPLQCPRCSRPVTDKHGICSRCHENAYQCRQCRNINYEHLDSFLCNECGYSKYGRFEFNFMAKPSFTFDNMENDEDMKRGLAAIESESENAHKRYQQLLGFKRPLLKIVSSIDESEMDSQQKDPVQQMMVSLPGSSCKINRKIALLGVLYGEKCKAAFDSVSKSVQTLQGLRKVLMNYLHQKHSDNGVASRFVVSRSPKNCYGCATMFVTQCLKLLHVLARHPNSKKQLVSAGILSELFENNIHLGPKASRFQARAVLCSLSEGDVNAVTELNSLLQKKVMYCLEHHRSMDIAVTTCEEMLLLSEVSSLADEFWESRLRIVFQLLFSSIKLGAKHPAISEHVILPCLRIVSQVCTPPKPDTPDKEEGLGKPSVQTKDESNPNVYGSLTSAVVVTKSYSDPSERNWNAAPKTRDIQLLSYSEWERGASYLDFVRRQYKVSQAVKGAGQRSRGQRHDYLTLKYALRWKRHTSKSAKSDLSSVFELGSWVKELLLSASSQSIRSEMCMLLSLLCAQSSSRKYRLLNLLVSLLPATLSVGESAAEYFEILFKVTDSEDARLFLTVRGCLRKISTLITQEASKVESLERSLHIDISQGFILHKLIELLSKFLEVPNIRSRFMQDDLLSEVLEALIVIRGLIVQKTKLISDCSRLLKDLLDSLLLESSENKRQFIKACINGLQIHGEERKGRACLFILEQLCNLICPSKPEPAYLLVLNKANTQEEFIRGSMAKSPYSSSEIGPLMRDVKNKICHQLDLLGLLEDDYGMELLVAGNIISLDLSIVQVYELVWKKSNPSSNNVTNSNLLSPNTVASSTDFPPMTVTYRLQGLDGEATEPMIKELEEDREESQDPEVEFAIAGAVRECVGLEILLGMIQRLRDNFKSNQEQSVAVLNLLMYCCKIRENRQALLKLGALGLLLETARRAFSVDAMEPAEGILLIVETLTLEANESDNISITQSALTVTSEEAGTGEQDKKIVLMFLERLSHPLSLKKSNKQQRNTEMVARILPYLTYGEPAAMDALVQHFSPYLHDWGAFDRLQKQHLDNPNDESIAQQAAKHRFTLENFVMVSESLKTSSFGERLKDIILEKGITETAIRHLKDNFANAEQADFKTSAEWGLGLKLPSIPLILSMLRGLSMGHQLTQGCIDEEGILPLLHALERVWGANEIAERAENLLDMLSNKGGNGDGFLEEKVRKLRHATRDEMRRQALQKRQELLQGLGMRQELSSDGGKRIVVTQLVFEGLEDVQEEEDGLACMVCREGYNLRPGDLLGVYSYSKLVNLGVGASGSARGDCVYTTVSYFNIIHFLCHQEAKRADAALKNPKKEWEGATLRNNESLCNSLFPVKGPSVPLAQYSRYVEQYWDNLSALGRADGSRLRLLTYDIVLVDCSRVKSGYLPPEYAINGILIDKCDVYLFGLIMLELVLVCGKKLINALIERRDLNKAEDKDRSNDDCDGEEDWKCYYSEIQDQARLICNNAKGYADKFIDPYIFWKIIPECWKAYMNIATRCLHPEAMERPTMREVQLKLEHALEIQDAAEDANNQGIVA >OIW12323 pep chromosome:LupAngTanjil_v1.0:LG05:1917817:1919778:1 gene:TanjilG_32439 transcript:OIW12323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVTRPLSMYRKCPSTLEVPPPDAPYSGYLVITDEEAESQDSFCWNIFRYKKLKKFPFPQDKFFTITHQSEYQQVSSTKVWFLPVPDHPLSSNRYYVIRARGTHKGKTYKCSREGDIINCCFSNILSDKDPKPFNLKDLYQIFKIHTHQSGGFFSKSIAPDGIPPTFLRKKGWRIRISGSYKSCKLSEALGVDASLRDKLPNFNFPISRKRSPPIIVGKWYCPFIFIREQKRVKQQMNKSMFYSMTLEQRWEEIYTCSNDKSEKDVVVNVNACVEREVVMVSGIEAIRNRRVDANGFFYFKACNAYNRKRVSVGLSSAIVENMRWVQEEGGWAYGHGREKMVRVREEVKSENEWQRFGFYVLVESFCLRTLDGKLLLRYDFRHTHKVKCKWE >OIW11370 pep chromosome:LupAngTanjil_v1.0:LG05:24149020:24149439:-1 gene:TanjilG_19626 transcript:OIW11370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRVRTKTVKKSSRQVIERYYSRMTLDFHTNKKVLEEVALIPSKRLRNKIAGFSTHLMKRIQKGPVRGISLKLQEEERERRMDFVPDVSAINIDYIEVDKETLDMLTALGIHDVPGIVKVDPVPVNNPLGFGRGAPRRF >OIW11413 pep chromosome:LupAngTanjil_v1.0:LG05:23779678:23781101:-1 gene:TanjilG_05184 transcript:OIW11413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVADSLPPYGWGRNTYFKLTLINQVDGNKSVVKETLQKFNGGHRSWGSPFLNLNDFLDSKQGYLVNNTCIIEAHICVSDVALNTNIQNENLNNIAPTNGSRSGKQEIESSDESETHSSTKEVQGSDLTLRDILDFEKLGNEEKTYVPLLEEVCIYHPSLIRSQKKKSDLFKVWAFISLGKVLHFLNTTKVKDMNEDACNQLCILWDELNKSSGFDLTWLEPHVHNALGVKVQLERTLALKTLQNSVVAMEIKMKRLRGELAATEAEFEVARKALIEARKGFTEIDFNATLGYDMFR >OIW11274 pep chromosome:LupAngTanjil_v1.0:LG05:26265696:26266625:-1 gene:TanjilG_28365 transcript:OIW11274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEEPIVAVEVPESTIVNAADQSAVETTEPEKPAVKEPKPKAPRKPKNSSHPTYEVMIKDAIVSLKERTGSSQYAIAKFVEDKYKDLPSNFRKILLNQLKKLVSSGKLTQLKNSFKLPSAASTPNPTLAKKPAAPKPKAKPASKPKPAAKAKPAAKPKAVVKAKPAAKPKSVAKVKPVAKPKAAAAKAKTKAAAKPKVKPAAVKPKVKPAAVKPKAKATAVKPKAAARPAKASRTSTRTSPSGRKPPATRVTPAKKTVQAKKAAPAKSVKSSAKRVAPKRG >OIW11960 pep chromosome:LupAngTanjil_v1.0:LG05:10396229:10410425:1 gene:TanjilG_02167 transcript:OIW11960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SSLSRFVSRGCKLVGCGSAVPKLQISNDDLAKIVDTNDEWISSRTGIRNRRVLSGNENLTNLAVEAAGKALQMAKVDPDDIDLILMCTSTPDDLFGNGPKIQKELGINKSVLAYDITAACSGFILGLISATSHIRGSGFQNVLVIGADAISRFVDWTDRGSCILFGDAAGAVLVQACNPEEDGLFSFDLHSDGDGQRHLNATLQQSEAGNAFDPNGSLLDFPPRRSAYSCIQMNGKEVFRFAVRKVPRSIESALSKAGLSVSSIDWLLLHQIGDESWQKKALVVVREYDEERHKVSLEKMEKLCEVGQRGKPSLVTDLMGDPICRIRHFPLHVMLVAEYGEEGEVVGVIRGCVKTVSRGNSIYVKLAYLLGLRVSQQHRPFGVYFLYGLHMEGKYGRKLMKGLCGFVHNMARDEGGCGVIVAELGQRDPVKEAVPHWRKFSWAEDMWCIKNLEDSREISEKCGPFDWSTSRSSSPVIFVDPRDF >OIW12028 pep chromosome:LupAngTanjil_v1.0:LG05:9450362:9452898:-1 gene:TanjilG_27325 transcript:OIW12028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLEGIPDISKLQPEEVGSGSWIFSTIEISAARMIQPLVHCHLSLLIPLEQSQLMSYITPTCGSLVAALSVAGISAVNMANSALFGRFVKRHFWDEGTSLERGGERHSNSPFAGELLEAKEVRKLHSNNLVIGSTKAIKAMPTLDPPTVVEALHLESLVESDS >OIW11200 pep chromosome:LupAngTanjil_v1.0:LG05:25842049:25846460:-1 gene:TanjilG_28291 transcript:OIW11200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTVANNSGSNGVMSPASSTAAATAQSPAGLKTHFKTPEGRYKLQYEKTHPSSLLHYAHGKTVTQVTLAHLKDKPAPSTPTASSTSSFSTSSGVRSAAARLLGGSNGSRALSFVGVNGSSSKSNGGSIRNGSMASSTSSSSMANPNFDGKGTYLIFNVGDAIFISDLNSHDKDPIKSINFGNSNPVCHAFDQDAKDGHDLLIGLSSGDVYSVSLRQQLQDVGKKLVGAQHYNKDGSVNTSRCSCITWVPGGDGAFVVAHADGNLYVYEKNKDGAGDSSFPVIKDQTQFSVSHSRYSKSNPVARWHICQGSINSISFSNDGAYLATVGRDGYLRVFDYSKELLVCGGKSYYGALLCCAWSMDGKYILTGGEDDLVQVWSMEDRKVVAWGEGHSSWVSGVAFDSYWTSPNSSDNGETIMYRFGSVGQDTQLLLWDLEMDEIVVPLRRPPGGSPTYSTGSQSSHWDNVVPLGTLQPAPSMRDVPKVSPLVTHRVHTEPLSGLIFTQESVLTACREGHIKVWVRPEVVVSQSSNSETLLATSLKEKPSLSSKITNSSYK >OIW11212 pep chromosome:LupAngTanjil_v1.0:LG05:25905906:25909620:1 gene:TanjilG_28303 transcript:OIW11212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAITRLINRTTSSSFSYLARRSFTHAPQRVDPKDRNVQWVFLGCPGVGKGTYATRLCNLLRVPHIATGDLVRHELSSKGPLSQQLSEIVNQGKLVSDEIIINLLKARLAAGEAKGESGFILDGFPRTINQAEILEGVTDIDLVVNLKLEEEILLEKCLGRRMCSECGGNFNVASINMKGENGRPGTVMPPLLPPAHCMSKLITRADDTEAVVKERLRIYNETSKPVEEFYRSRGKLLEFDLPGGIPESWPKLLHALDLDDYEEKQSAAA >OIW11437 pep chromosome:LupAngTanjil_v1.0:LG05:23560559:23564611:-1 gene:TanjilG_26803 transcript:OIW11437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEIEKRKVSRDSQAKSSRRTERRENKLQQDNSSKILNEKGTESKTPETRPTSNKFISESITASANSETYENVVIHYLDDANRSEEALLQMKVNDMVANENKNGVLDDYSSDLEKEQKEGNGVVSDTDTAKDSVSSRADSATNEDDKIEKASKGPKSKVKVNPSEGNRGSRERSDRKTNKLQQKVPNNSPKKPMNSSKGPARATSKNTSLTNSKAVKVPVKVSSESSDGVDEKPVPEVKEIEILEGSSNGAESFGSDDESHETVIVEENGEHEDKAGVESKIEEMESRIEKLEEELREVAALEVSLYSIVPEHGSSAHKVHTPARRLSRLYIHACKLWTQDRRATIAKNTVSGLVLVAKSCGNDVSRLTFWLSNTIVLREIVSQSFHKRNDEKSTSPKWKGTPNGKRGNGFMQHVEDWQDTRTFTSALESVESWIFSRIVESVWWQALTPYMQSPTGDSSSNKSLGRLLGPSLGDQKQGNFSINLWRNAFQDAFQRLCPVRAGGHDCGCLPVLARMVMEQCIARLDVAMFNAILRESALEIPTDPISDPIVDSKVLPIPAGDLSFGSGAQLKNSVGTWSRWLTDMFGMDVEDCLQENQENNENGDSEPKSFVLLNDLSDLLMLPKDMLMDGQIRHEVCPSISLSLIIRVLCNFTPDEFCPDAVPGTVLEALNAETFVEQRLSSESGRTFPYTAASVVYRPPSTANVAEKVAEAGGRSHLERNVSAIQRRGYTSDEELEELDSPFTSIIDKQPLSPTVTGNHKEQGSRPTTNARYHLLREVWSTGTL >OIW11451 pep chromosome:LupAngTanjil_v1.0:LG05:23463108:23465210:1 gene:TanjilG_26817 transcript:OIW11451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHLSIDTGDSFASLLELAANNDVEGFKRLIECDPSSVDEVGLWYGRRKGSKQMINEHRTPLMVAATYGSIEIMKMILSLSDADINRSCGLDKSTALHCAASGGAEDAVDSVKLLLAAGADPNSVDANGHRPVDVIVFPPKLECVKNSLEQLLQTDDSIAGCNLRVITTSSFNSYSPPLSNSPENGSPSASDFQLKMKPKDAPVSSASEKKEYPIDPSLPDIKNSIYSTDEFRMYSFKVRPCSRAYSHDWTECPFVHPGENARRRDPRKYHYSCVPCPDFRKGACRRGDMCEYAHGVFECWLHPAQYRTRLCKDGINCSRRVCFFAHTAEELRPLYVSTGSAVLSPHASTSAAMDFAAALSMLPGSPSSMSIMSPSPFTPPMSPSANGMHSSVPWPQPNVPALHLPGSNLQSSRLRSSLHARDIPMDDFDLFSDYDQQHLLNELSCLSPQHPMNSNNLNRSGRMKPLTPSNLDDLFSAESSSPRFADGSLASNVFSPTHKSAVFNQFQQQQNMLSPVNTNFSPKNVEHPLFQAASFGAQSSGRMSPRNMEPISPMNSRMSMLAQREKQQQFRSLSSRELGSNSAAAAAASANSWSRWGSPNGRADWAAGIDDFNKHRRSSSFELGNNGEEPDLSWVQSLVKESPTDIKDKLATNVPNVEAAGSSGEGSNINTSQKESVDHAVLGAWLEQMQLDHLVAQQN >OIW12441 pep chromosome:LupAngTanjil_v1.0:LG05:994405:997646:1 gene:TanjilG_04190 transcript:OIW12441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIEKTLVDRKVGDFCELQRDTITNSKSRKKNRRRPKKTVSPVQILFETCKDVFSSGGVGIVPPPQDIEKLQSVLDGIKPEDVGLTPNVPYILTNAEQRISRITYLHIYECENFSMGIFCLPPSGVIPLHNHPGMTVFSKLLFGTMHIKAYDWVVDLPAGSPTIIKPSENLVPETRLAKVKVDADFTAPCNPSILYPADGGNLHCFTAVTACAVLDVLGPPYSDPDGRHCTYYQNHPFSRFSVNGIFIAEEEKKEYEWLQERVLPDDVEVVGKMYNGPMIVDN >OIW11724 pep chromosome:LupAngTanjil_v1.0:LG05:18335802:18337171:1 gene:TanjilG_20208 transcript:OIW11724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTSKSVYLVLPRVNLLYVWILTGTIKSVMKYIYLHVSLRDPLLQGAADHELREIIRAVKRKKASHAGIFDMAKTANSPMIHIGR >OIW11618 pep chromosome:LupAngTanjil_v1.0:LG05:21111343:21114508:-1 gene:TanjilG_31897 transcript:OIW11618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSKSPTEQYTYVMNCKQTTFSSEIPLVDLSKPDAKSLIVNACEEFGFFKVINHGVSMEAISKLESEAMNFFSLPLIEKEKAGPAYPFGYGNKSIGHSGDVGWLEYLLLTTNQEYNSPTLSSAFGQNTEKFRTVLDDYMCAVRKMACEILDFMAEGLNIQPNNVFSKLLMDKDSDSLFRMNHYPACPEQGEDDDENMIGFGEHTDPQIISLLRSNNTSGLQISLRDGSWISVPPDHSSFFINVGDSLQVMTNGRFRSVRHRVVANGLKSRLSMIYFVGPPLSEKIAPLPSLMKGKESLYKEFTWFEYKNSNYGSRLADNRLGHFERIAAS >OIW11472 pep chromosome:LupAngTanjil_v1.0:LG05:23230461:23231510:-1 gene:TanjilG_26838 transcript:OIW11472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLYRRGTRAMHDLTICHVADDIDEHDFRLFVRLIHRSGLTATSDVVFLFSSRSISDSFARVIQQENNAFSSLVSLHREFEESESNFNLSLFYTNDKFEMAETLWGKRIRRNFSNVEEREGELPGIEGGGLLLSIGSVLSFDATELDPENSLAGFLDRVPMSLRRWACYPMLLGRVRRNFKHVMLVDVKNMLVLKDPFGSVRNRSPESVFLFTNKNSDKTRSKTQKRVNSGVVIGGARGVRRLCNAVVVEIVRAAIQRSKKKNSVSDSVILSQLAGNEFMRKGSNNVNIIASSESITEASSLVGRNSGTATSLLNHAIIERGADNHEISYVIKKQICSYMDSSVYRDC >OIW11388 pep chromosome:LupAngTanjil_v1.0:LG05:24001394:24015641:-1 gene:TanjilG_19644 transcript:OIW11388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDPSRTPLGQMLLDEITPILMLLSTPTVEDSCLKNGLTFLQMLSPFSSFNNIDVPVRTASDQPYRIHKFKLRLFYASDVRSPDLKGAKEHLKHVITEAGEKVFSELTSDEEEIDQHQQLASSEYRNTPSWFQLLNKELVRVVSFSDHEAFDHPVACLLAVSSKDEQPINRFVDLFNTNKLPSLLNDGAMDPKISKHYLLVHDNQDGHADRASKILTEMRSTFGTSNCLFLCINSTPDAPIKHEENPWAAFVSDASPSKDLGCFLNIDDISEIKDLMQDLSSKHIIPNMEQNIRVLNQQVSASRKGFRNQIKNLWWRKGKEDGADSLNGPMYNYNSIESQIRVLGDYAFMLWDYELALSNYRLISTDYKIDKAWKRYAGVQEMMGLTYFMSDQSRKEAEYCMENAFNTYLKLGLPGQQNATRCGLWWVEMLKAWDQYKEAATVYFRICGEDILHSAVMLEQASYCYLLSRPSMLRKYGFHLVLSGEQYKKCDQIKHAIRTYRSALSVFKGTTWSYICDHVHFHIGQWYASLGMYDVAVKHMMEVLACSHQSKSMQELFVGDFLKIVEKTGRTFEVTKLQLPVINVSSLKVIFEDSRTFGSPLAANTRESLWRSLEEEMISSFSAAKTNWLELQSKLVSRKNSQSNVCVAGEAVNVIIEFKNPLQIAIPISGVTLICKHSAITDEVRLDENKSIVEDDNDIDHFKDMSSNNSSILMSEVDFLLGGGETTMVQLSVTPRVEGTLEILGVRWKLSGTIVGFLKFELSLPKNIVKSRRKGKRSPNDKFKFMVIKSIPKLQASINSLPGKVYAGDLWQLVLELKNPSEFPVKNLKMKISHPRFLIIGNQEDIKSEFPACLTKKIDSGQSDAHANHSILSDTVFLFPEGTSVQGKTPFLWPLWFRAAVPGEISLYLSIYYEMEDISSIIKYRTLRLHYNVQVLPSLDVSFQISPSRLRIHEFLVRLDVVNKTSSECFQVYQLSSIGHHWQISLLQPPDTIFPSQSLMAGQAISCFFTLKNSSKLSTSEDNLSTMNVTSDVILVPQSSEDLLYDVNSASLVKFHHYERLQQELSDEGDLNTVDFLLISQTLKSTNNPGFSDPPRVMSHHACHSCIASTGPISWLLDGPQTLHHDFSESFCEIDLKMHLYNSSGATVFVRIDTSDSAGIGGHTNSFNAVQSAIPDNQAGWHYVPPVNELKVTTSNVLETQPGKALPLESASPFVWSGSSSTNLHLEPMSLVEIPLQICVFTPGTYDLSNYALSWKLPSKVRGDSNQTKLRSGKCQGYKHYLTVLQSI >OIW11408 pep chromosome:LupAngTanjil_v1.0:LG05:23851073:23852674:1 gene:TanjilG_10726 transcript:OIW11408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKGKQVSMNVKIADSVPSSGWKMFPHFKVDLINKVDTKNSIAKDGQKEYSEGTRSSTTQTELEHQSHEDFGSVIAPSEDMQSPKQTYYEPIAPTFYPPIYDDGPKVEPLIHLSEVLDINSLGPEEVVFFPLLEEVCLRHPSLIESLTTKSPKYILWSFTALGQVLHFLKTMKVKNMNKEACKHLECLWEEAQLFGFNLTWLEPYIESALNVEAYLEKGEKVKNLKEHVVDLEIELRMLRTKLAVAEVILDIARRDLEEVEKDFEERDINAEMGYGK >OIW12055 pep chromosome:LupAngTanjil_v1.0:LG05:9009541:9013521:1 gene:TanjilG_24479 transcript:OIW12055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTNSHQVMRTLAPLKEPDLTLNISLPFISDSQPKVGSYNKTLYNDMCSTSESGSSGSDLSHENNNNNSFFQLGHHHSEPSLRLGFETTCLNLKPLIPLQQGVSRNSNHYFQPHFYGRDFKRNVRIVHGMKRNVRAPRMRWTTTLHAHFVHAVQLLGGHERATPKSVLELMNVKDLTLAHVKSHLQLVSDKEKTTVLYCSMKNAGPGQITHMGLSSTKQEITNNLHNGVSTCDVRTNLPHPLEKIHRTQWKSTIETNTNNSRQNLEMGLIYSHLMGNETMIHEQNYGALSNCMEETLDCSPLSRSEAILDLEFSLGRSNWEAEKC >OIW12337 pep chromosome:LupAngTanjil_v1.0:LG05:1771867:1772184:-1 gene:TanjilG_32453 transcript:OIW12337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKIFFLLLLIILIHLHLTKAIPMKINNNNKGCGEECMINMDEEFSLSSHAGARMLNDLSTSIVGKSGNSNGASINCPQSTGYRSCTPSKNGGPTQQCGTYTRTC >OIW11929 pep chromosome:LupAngTanjil_v1.0:LG05:10913991:10916526:-1 gene:TanjilG_21171 transcript:OIW11929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHMGEPTLKTELVVASKSSINQEKAVNKPSTSDDFWTTSTLDMDNSAVQSQGSLSSISQTNQVAAPQVGSLKTGNHTEFVNHGLTLWNQSRQHWVGNKKPEARTQQLREPKLSWNASYESLLGNNKPFRQPIPLSEMVDFLVDIWEQEGLYD >OIW11716 pep chromosome:LupAngTanjil_v1.0:LG05:18698840:18704301:-1 gene:TanjilG_14349 transcript:OIW11716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYVLVTGGVVSGLGKGVTASSIGVLLKTCGLRVTSIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDIKLTCDNNITTGKIYQSVIEKERRGDYLGKTVQVVPHITDAIQDWIERVARIPVDGKEGPADVCVIELGGTIGDIESMPFIEALGQFSYRVGHGNFCLIHVSLVPVLNVVGEQKTKPTQHSVRQLRGLGLTPNLLACRSTKELDDNVKAKLAQFCHVPLSNIVTLHDVPNIWHIPLLLKDQKAHEAILNALNLLSITIEPNLKEWTTRTKVYVDFHENVRIAMVGKYTGLSDAYLSVLKALLHAAVARNRKLIVDWVAAEDLEDATSKQDPNAYKAAWGLLKGAHGVLVPGGFGDRGVAGKILAAKYARENNVPYLGICLGMQIAVIEFARSVLGMDDTTSTEFDPEAKNPCVIFMPEGSKTHMGGTMRLGSRRTYFQVADCKSAKLYGNVGFVDERHRHRYEVNPDMISQLESAGLSFVGKDETGSRMEIIELPSHPFFIGAQFHPEFKSRPGKPSPLFSGLIAAACELDRTATSPSRGHSKLTNGIHNGQSPKLKSHQNGNGFKTTNGSLNGIYTNGNGNSNGVHL >OIW11536 pep chromosome:LupAngTanjil_v1.0:LG05:22344930:22348017:1 gene:TanjilG_26902 transcript:OIW11536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIGEKFSKSNKGKIIEAVHGDHHVMEMSGYNFCQPDVGKLEPASKIKSSHDVINVDGQFYDPAYGDSFVDLFSEVDQHAFLQAHFDNVDIPPGIEAPIPWVSGYNVGSKKAGNNSLYPWSHMQPDAYNSHLSGSSQSSLSLEPTNPRTQGSLVGSSNLQFKFGSVDHPSGIGLTSPQLFSQSTPSMKKSTISQRRGRNLNMLLGVESSKSQSVSGSFQSKKKPIIFGSTNDHGFIDNSEAMMLPPAKKVIVSSSSYHPHFVGHGGSFHPSGIVSESPWLKSSHIIKPSFTNHTTNPNFYPFDPVHAPPMHVFGNTQIHNSASDGYNGTAVDSPIATISDAERYEILRKFQSFKQFDTVVDTSDHHFIRQNSSMKQHPKNWAKKIQEEWKILEKDLPDTIFVRVYESRMDLLRAVIIGAEGTPYHDGLFFFDVFFPSAYPKVPPQVHYHSGGLRLNPNLYHCGKVCLSLLNTWSGSKDEKWVPGVSTILQVLVSIQGLILNMKPYFNEPGYERTSGTKQGESSSLKYNEDTFILSLRTMVYMMRKPPKNFEDFVIGHFYNRARDILVACKAYMEGAQVGCLVKGGVQDVDEGDKSCSKQFKDSLTGYVNMLVNEFAKIGVKHLQVPISSTNTEQAIGWVT >OIW11817 pep chromosome:LupAngTanjil_v1.0:LG05:14659580:14660191:-1 gene:TanjilG_07298 transcript:OIW11817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRILLLLSLFLSTSHASDFCVADLKGVKSPAGYPCKSHVTVDDFVFSGFRAGNTSNVFKAALTPAFVDEFPGVNGLGFSAARLDLDIGGIIPMHSHPGGSELLIMVSGQITAGFVASDNSVFLKTLSKGDLMIFPQGLLHFQLNAGNTKATAIFAYSSTNPGAQLLDLALFGNNLDSTLVQKSTFLDAAQVKKLKGAFGGSY >OIW11854 pep chromosome:LupAngTanjil_v1.0:LG05:12504979:12511784:-1 gene:TanjilG_31604 transcript:OIW11854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFMNVNVTGYAEDFSWTCLKDFDITSLCYQRSIIDIVNLVFISVFFTSLLISIIKRCSESSSNKKKWVSQVASIFCALVSIAFVSIGLWNLVAKSVNFKHLSLLDCIVKGFIWISFTLSLLLQRNKWIEVVNSIWWGSICVLVSAFNIEILIKEHVIEIFDLVQWVIHFLLLLCSLQNLGYFVSQTVPDTPSLSEPLLARKVENNLPGLAHASLLSKLSFSWVNSLLSLGYSKPLALEDIPSLVFEDEADLAYRKFMQAWVSLSRERGKNNTKNLVFWSVVRTYSKENILNGFYVLMRTIAVVVSPLILYAFVNYSNRTEAELKEGIFLLGFLILSKVVESLSQRHWCFNSRRSGMKMRSALMVAIYQKQLKLSSSARRRHSAGEIVNYIVVDAYRMGEFPRWFHLLWSYVLQIFLSISVLFGVVGLGALPGFVPLLICGILNVPFARIIQNCQAQFMIAQDERLRSTSEILNSMKIIKLQSWEDKFKDLIETLRSNEFIWLSKAQIIKVYGSFLYWMSPTIVSAVIFLGCVLLHSAPLNAGTIFTVLATLRIMAEPVRMIPEALSVLIQVKVSLDRLNTFLLDDELNNDDGGRDIKKLSVNGNAVEIQGGNFIWHQESLSPTLANVNLEIKRGQKIAVCGPVGAGKSSLLYSILGEIPKLSGTVKIDGTLAYVSQTSWIQSGTIRDNILFGKPMEKTRYENAIKVCALEKDLNDFSHGDLTEIGQRGINMSGGQKQRIQLARAVYNDADIYLLDDPFSAVDAHTASTLFNDCIVTALRQKTVILVTHQVEFLSEVDKILVMEGGKVTQSGNYEDLMTAGTAFEQLVSAHKDAMKELDQNNENNKSSENEIIVHTEESQDEWISRNAQLGIQLTEEEEKEIGDAGWKPFWDYITFSRVSTLLCLVILAQSVFVALQTASTFWLALAIEMPKVTSGTLVGVYSIISFISSVFVFLRTFSGAHLGLKASTAFFSSFTKAIFAAPMLFFDSTPVGRILIRASSDLSILDFDIPYSVLFVASVSIDILVTIGIMASVTWQVLIVAIPAMIASKYVQGYYQASARELIRINGTTKAPVMDFTAETSLGVVNVRAFNMVDRFFKKYLKLVDTDATLFFHSNVTMEWLVLRIEILQNLTVFTAALLLILLPKGYIPTGLVGLSLSYAFSLTGSQVYWTRMFCNLSNFIISVERIKQFIHIPAEPSAIVVDNRPPSSWPSKGRIDLQSVEIRYRPNAPLVLKGITCTFNEGDRVGVVGRTGSGKTTLISALFRLVEPASGNILIDGINICSIGLKDLRMKLSIIPQEPTLFKGSIRTNLDPLGLYSDDEIWKALEKCQLKETISSLPSLLDSSVSDEGGNWSLGQRQLFCLGRVILKRSKILVLDEATASIDSATDATLQRVIRQEFADSTVITVAHRVPTVIDSDMVMVLSYGKVVEYDEPSKLLGTNSSFSKLVAEYWSSCSKK >OIW11498 pep chromosome:LupAngTanjil_v1.0:LG05:22878982:22881895:1 gene:TanjilG_26864 transcript:OIW11498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSKVIASSIVLALLAITFAFFKPQQHLFAPPHVPGSKDHLHTAHTIHVTGAVGPESLVFDRHGAGPYTGVADGRILKWEGQEKGWIQFAVTSSNRTGCVRPFAPELEHVCGRPLGLKFDKKTGDLYIADAYLGLKVVGPTGGLASQVVTEAEGQPFVFTNDMDISEDEDVIYFTDSSTIFQRRQFMLVLLSGDKTGRLMKYNKSTKEVTVLLRGLSFPNGVALSKDGSFLLVAETTTSRIIRLWLHGPNAGKVDTFAVLPGFPDNVRRNPKGEFWVALHAKASPFAKWVSSNSWAGQALLKLGFNFKQLHSTFAGKKPHATAIKLSDKGEILEVLEDCEGKTLRFISEVEEKDGKLWIASVLMPFIGIYSF >OIW12266 pep chromosome:LupAngTanjil_v1.0:LG05:2641480:2643079:-1 gene:TanjilG_06055 transcript:OIW12266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDVVPVKDRTEDPQLHTQDSKESSQHQDINVLVKIKSPSFTLSKAKENRGSIEVLKQPTGAFGALLGSSASKRKLNPENKDNEDVKLQQFRSSVNLPFHSFLGGTQVSKPVVKSPSLASETSNPQKPVPVHVSTSTLDEIIILESDTAVEDMEQNNVENSNDHREINSNVSTSGKEDEVEPMSLSELSSSFQKCFQSNNQNIKTRLLKKTEQVGGLLQLKPFDYEEAMKHVKFGENKKHASFQNDGDVGRDDSGSKKKHSTTGQEQTTDLTKQLQQGRRRQAFPASGNRSATFR >OIW12042 pep chromosome:LupAngTanjil_v1.0:LG05:9237995:9246046:-1 gene:TanjilG_24440 transcript:OIW12042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQRTNLSPVHPLQISKDLPGPDTVIPLSPQWLLPKSGESKPVAKTVENHVNPNSPFGNRSETVKAAGNGEDVHDAQKKKDVFRPSMLDSESGRRDRWRDEERDTKSSIRKDRWRDGGDKDLGDARRVDRWTDNSSAKNFGEARRGPSDKRHESGNKETNFDQRRESKWNSRWGPDDKEPEGIREKVNDSGRDSDGHHDKGLSHISNHVKDEKEGDHFRPWRPSFSQSRGRAEPTHPPNTTPIKQGSTFSYGRGRGENTPPAFTLGHGRVGSGGSSMNNSTYSGTLLDKVVTEHGEPSAFRYSRTKLLDVYRLTDMGTDRKLVDDFVQVPNLTHDEPLEPLALSVPNSEELSVLKGIEKAEIISSSAPQVSKDGRGSTDFTHTRRMKSQDRVEDEDSYTVADGFPINSDSSFEGNSSVHHGTAWRATPSGEHSSPLLHDSRDVPSDVRSIKADKSSIHKESNLAYLSDSKDASKWRQASDDPIIKRKLSGIFDSELENRRVPQTAPEDLSLFYKDPKGQIQGPFKGIDIIGWFEAGYFGIDLTVRLENSAADSPWFSLGDVMPHLRAKARPPPGFPAPKSNDVIDIPGRPNSSTFGNTLPGLSEADMLRNDSRHMHSPVTESENRFLESLMSANKGSTQLDGLTLPEGSQQGFVGNNSGSLDPAGVDSGNNLLLLAKRMAFERQRSLPNPYTFWPGRDAAAVVPKSDIVPDASLHSKNLSSVSGNSHLPQSQNSELLSIIQGLSDRTSAGLNNGITGWPNYPPQGGLDSLQNKVDLHHDQNFPQVPFGIQQRLQAQNPLSSNNLLSQATDNPSSMLAAEKLLSSGLSQDPQALNLLQQQYLLQLHSLAGAPTPQMPLLDKLLLLKQQQKQEEQQQLLRQQQLISQVLQDQHSNQRFADSSYGLSQGSGIPMVNLHVDPSQLRPPQEIFAMNSQMPIPTVHDELSSNYLNLLPKVSQDTSYNVRNEASVHLPHQLFENTGQKNWGSTLPEQIDEKHQKEAFPTSTLVERSQLHDQNRSEEEPHIVQKPLSVMTVASQPDENFGHLQHVMPVAISSVASRGIELPPVSYIGKDVEIKSHIAVEEKQAGRDDFNVESSVVDAQNVEASEPKKPTDKKSKKQKSSKSQSSDQAKGSLKNVTLQQSEAEKPNFSDVKPNQTKVSVTAAADNQEAASLPASVPESIPEKVVVSESIKTIGSVPKQNTELPAGRAWKPAPGVKAKSLIEIQLEEQKKAATEKHVSEIATSVNSMNLTTPWTGVMANPETGKITSESHIGGHTGYLIKPETSENLKSKKSPLHDLLAEEVLKKFNERDSNIPDDTSSQNVAVQSELPDHSNFVEAKESKRSRKKLAKSKSSGAKVSVPVASNEIPIASSPVERAKISRPLQVEKEVLPAIPGPSLGDFVPWKGEREAPSPSPAWSTDPGRVPKPTSLRDILKEQEKKASSVVPTSPMPTPQKLQPAQANWNSVSSRSISASSPSKVASPIQINSHASSQSKYKGDDDLFWGPIEQSKPETKQSDFPQLASQGSRGSKNVPLKGNSLASLTRQKLASSKPSEQSLSSSASSQSMLKSKSDTMTKHSEAMGFRDWCENECERLIGTKDTSFLEFCLKQSKSEAKTLLTENLGSYDRDHKFIDKFLNYMEMLPSDVLEIAFQRSDQKVGGLGGGRTPSANAAYAVAANVHDVGNTEGSSKGGGGGGGKKKGKKGKKVSPSVLGFNVVSNRIMMGEIQKVED >OIW12006 pep chromosome:LupAngTanjil_v1.0:LG05:9925379:9925999:1 gene:TanjilG_16117 transcript:OIW12006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTSLVAFSFMSFTLAITLLIGITTAAPEPVVDISGQKLKTGLNYNILPLIKGSGGGLTILPSNNNTCPLYIVQEKVELLNGKSVTFTPYKANKHGVILTSTDLNIKSYVTTTTCSQSPVWRLLKVLSGVWFLSTGGVEGNPGSVTIVNWFKIEKDEKDYVLSFCPSVCKCQTLCRSLGIYIDDDGNKHLALSDKVPPFRVMFKRA >OIW11664 pep chromosome:LupAngTanjil_v1.0:LG05:20670686:20672233:1 gene:TanjilG_24358 transcript:OIW11664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIVITADTVQRAVDSLLKWRTSQSQTQTPKLFDQDDEFVYLILTLKKVPPKGRVNPHKVPLPHSLTSEFSERCLIIDDRPKSNLTKADSQKKIKSENIPISKVLKLSKLASDYRAFEAKRKLCNSYDMFFADKRIVPLLPRLLGKQFFKKKKVPVPLDLKKNNWKEQVEKALSSGLLTLRTGTCSVVRVAKLSMERDEIVENVIAAIGGVVEIIPKKWGNVRSFHVKLLESLALPVYQAVPDIKLRIDGSKAEEEEAELKKKEESEKEEESEGGEVSDRKAGKKKGRIHQVRYMDDDKVDEDAVEDKSGGLDGNKDAVDSDIDEKGSAELVSKKRKKGVKVGNGAVSELSSVKKLKKSAKESSKQKKKEVKENSAKQSKTEDEESAGKKKKKAIKQNKSEDEESADKKKKVIKQNETVEEESAGKKKKVIKQNKSEDEESAGKKRKVIKQNKSEDEESAGKKKKVIKQSKSEDDVSGKKKKVIKQTKSEDDASGKKKNKGVDGKAKVKRGKKSA >OIW12221 pep chromosome:LupAngTanjil_v1.0:LG05:2083923:2085019:-1 gene:TanjilG_06010 transcript:OIW12221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMSCNGCRVLRKGCSEDCSIRPCLQWIKKPESQANATVFLAKFYGRAGLINLINAGPQNLRPAIFRSLLYEACGRIVNPIYGSVGLLWSGSWQLCQAAVEAVLNGEPITPITSEAASNGRGPPLKPYDIRHVSKEVQAVKSRTRFKRTGGGDGVKPDVDNVSRFGPVEPESEEVVNRSASHESALSHQSEVAAAAEGESKYSDSAVSAETSVLFHDEPESDRKLGDRAEENAEEVRLELTLGFEPVSRVHHVVPVKKRKIELFNKLW >OIW11985 pep chromosome:LupAngTanjil_v1.0:LG05:10788348:10792709:1 gene:TanjilG_02192 transcript:OIW11985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEESWENWHLHMEMNDDIFLEKCHNEDDDEFLREIFLEQPPFSFENETNHSSFQNSPTTIVAAATTAIVDGGVIPPMVASNEHGGEKRPSNTLTPRTCILSFGDSTMKPITHEQHQNNYEHLLGDEEKPSSNNQNKRKFGELRPRERVNKNHVGGKKGTNSSQTMDHIMAERKRRQDLTERFIALSATIPGLNKTDKASILRAAIDYVKQLQEKVEKLEKEEKNGSESVILIKKSDISGNEESTCSETSCEDCCTLPEIEARVLGNEVLIEIHCEKENGIELKLLDQLENLHLCVTGTSVLPFGNFTLGITVVAQMSNTYNMTVNDLVKNLRNVIRNSHMPCNSDPY >OIW12254 pep chromosome:LupAngTanjil_v1.0:LG05:2434692:2436830:1 gene:TanjilG_06043 transcript:OIW12254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVNNNNPSKGIGSSSSTFGNGSTSNPGFSQSQIGAGFQGQFPISQAHAIAQAQSKAVHAQAAAAAHAQLQAHLQAQGLMNLNQSQSGGGLGNVSGSAPGNPSGKRMPLKPPMRPVGFSPGNSFSPLRPMELTPAALNRRKKQKLPEKQLHEKVAAMLPESAMYTQLLEFESRVDAALSRKKVDIQEALKNPPCIQKTLRIYVFNTFSNQNCTIPKKVNAEPPTWTLKIVGRILEDGVDPDQPGVVQKSSALYPKFSAFFKRVTISLDQKLYPENHVIIWDNSRSPVPHEGFEVKRKGDKEFTASIRLEMNYVPEKFKLSQALTEVLGIEVDTRPRVIAAIWHYVKARKLQNPNDPSFFHCDPPLQKVFGDEKMKFTMVSQKISHHLFPPQPILLEHRIKLSENSPPGTACYDVTVDVPFPIQRELSALLANVEKNKEIDTCDEAICGIIRKIHEHRRRRAFFLGFSQSPVEFINALIESQSKDLKLVAGEPSRNAEKERHSDFFNQPWVEDAVIRYLNRKPDAPGST >OIW12280 pep chromosome:LupAngTanjil_v1.0:LG05:2818591:2820882:-1 gene:TanjilG_06069 transcript:OIW12280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGRTLSPMLQRQLENLDKDEDSRKSAMRALKSYVKNMDFKTIPTFLAQVSETKETGSLSGEFTISLYEVLARVHGVKIVPMIDSIMQSIVQTLASSAGSFPLQQACSKVVPAIARYGIDPTTPEDRKRLIIHSLFKPLSDSLSSSQECLSSGAALCLEALVDSDNWRFASAEMVNKVCQNVAVALEVKSTQTNSHMGLVMALAKRNPLIVEAYARLLIQSGLRILNADAELSEGNSQKRFAAIQMMNFLMKCLDPRSIFSEVELVIDEMEKCQSDQMAYVKDAAFEALRTAKKVAIDKKSRSLTSPTSVTGSNFSRRDYMGGGSFSGDVDLSPQSISPESQNLDFLPRYESVLESPISTDQPLMSSNYEQRSVNRKLWSQENGGVDVSLKDGMFSEAGQLSNHSVDHEPSNGDRGVAEEFSGFMHRNQLNRVSRSTTSSPLRSHTQVSVDSIQIFETPRRLIHSLQDLDVVNSDCSEKRNRRTRSRLSGSIDWSPVSSSRYDQTGFADHVNYDDGEDNESLYADVPFENASESVSSTDGLSGAAVDMQIPPKVVPENRLLKTRRKLVCGLSFVLVAMVTPLFWINNQEEAHYLVPT >OIW11502 pep chromosome:LupAngTanjil_v1.0:LG05:22846129:22848023:-1 gene:TanjilG_26868 transcript:OIW11502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCKAEFKHLTEAEKKEVESKFEIESEFMPEKSFYNDPANLQLRQVALSKIATLDSYTDNLDGLNPEKLGSDLTNVEEVSKVATTCLIIAARMRGGSVDFFMDSVPVDFDIEEKELQIENQLPWETYPLTPFYFLDYFYPPLEETGGFRRRGINEIIVQAQADEEFITYKPSHIAFSRCDRAYCYGASPLVTNLVELCFDKNIKIESASSSSVAVATPYHAATKCKGKGKGKAKMSELDQIHEEEEEEMFRSEAPKSLMNFYL >OIW12346 pep chromosome:LupAngTanjil_v1.0:LG05:1691053:1691834:1 gene:TanjilG_32462 transcript:OIW12346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTTTPPKVPIQKQITQPECNTPPPVQPLDQNCHTTSNELRKVVTPDRLRVPKAFKYPERYRSPTDMMMSPVTKGLLARGKKGAPILPPGKMQPKIPDMCLPMKMDEKLNST >OIW12184 pep chromosome:LupAngTanjil_v1.0:LG05:4639472:4643199:-1 gene:TanjilG_28592 transcript:OIW12184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFGALRNIVRPISLSSVRTFTSRISTSPLTPLFRATFASQKPDLRFLLSGASPCKPPQWLPIWNQFHSLTDTRLPKRRPSDKPRRKRASLKPPGPYAWVQYTPGEPILPNNPNEGSVKRRNEKKRMRQRRAFILSEKKKRTAQMQEAKRKKNIQRVERKMAAVAREREWAERLAQLQRLEAEKKTSVA >OIW11519 pep chromosome:LupAngTanjil_v1.0:LG05:22603148:22619215:1 gene:TanjilG_26885 transcript:OIW11519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNFFSQFQSIKNTADRIVISVEDVCDLWPTVKDAFESRLPFKRAPLNNKTRNLVFVEQLPAEFILTTDSRLRSRFPQEQLLFWFREPYATVVLVTCEDLDEFKTILKPRLKLIVQNDEREWFIVFVSKAHPANDQANKMAKKVYAKIEVEFSSKKRERCCKYDMHFPEANFWEDLESKIMECIRNTLDRRVQFYEDEIRKLSEQRLMPVWNFCNFFILKESLAFMFEMAHLHEDALREYDELELCYLETVNMTGKQRNFGGADHGDDQAALLNPGNKALTQIVQEDSFREFEFRQYLFACTSKLLFKLNRPFEVASRGYSFIISFSKSLASHEHILPFCMREVWVITACLALIEATISHYNEGHVVPDIEKEFFRLLGDLYSLARVKFLRVAYLVGYGTDIERSPVNSASLSLLPWPKPAVWPSVPADASVEVLEKEKLILQTTPRTKHFGIQRKPLPLEPTVLLREANRRRASLSAGNVFEMFDSRQGTLDGSSSQKLHASSMIRTYSSPGNVDSSIDRPMRLAEIYIAAEHALKQTISNPELLTSLSSSEEFEQKYLELTKGAADNYHRSWWKRHGVVLDGELAAVAFKHGHFDQAAKSYEKVCALYAGEGWQDLLAEVLPNLAECQKILNDQAGYLLSCVRLLSLDEGLFLTKERQAFQSEVLRLAHSEMKDPVPLDVSSLITFSGNPGPPMELCDRDPGSLSVTLWSGFPDDITLDSISLTLTPTHNADEGVKALKSSTAIFLHPGRNTITLDLPPQKPGSYVLGVLTGQIGQLSFRSHSFSKVGPADSDDFMSYEKPAKPILKVAKPRALVDLEAAVSSALLINEHQWVGILVRPINYSLKAAVLHIDTGPGLEIEDSHIIEMEGYSSVSQIDDVQEQKDGSQIGSLNSGKKFERLSLQDGKIEFPNWASESPSTLWVLIRAVSETLSRGSSSATTRSESIVDGMRTIALKLEFGAFHNQIFERTLAVHFTYPFYVTTCVTDKCNDGTLLLQFLRVAYLVGYGTDIERSPVNSASLSLLPWPKPAVWPSVPADASVEVLEKEKLILQTTPRTKHFGIQRKPLPLEPTVLLREANRRRASLSAGNVFEMFDSRQGTLDGSSSQKLHASSMIRTYSSPGNVDSSIDRPMRLAEIYIAAEHALKQTISNPELLTSLSSSEEFEQKYLELTKGAADNYHRSWWKRHGVVLDGELAAVAFKHGHFDQAAKSYEKVCALYAGEGWQDLLAEVLPNLAECQKILNDQAGYLLSCVRLLSLDEGLFLTKERQAFQSEVLRLAHSEMKDPVPLDVSSLITFSGNPGPPMELCDRDPGSLSVTLWSGFPDDITLDSISLTLTPTHNADEGVKALKSSTAIFLHPGRNTITLDLPPQKPGSYVLGVLTGQIGQLSFRSHSFSKVGPADSDDFMSYEKPAKPILKVAKPRALVDLEAAVSSALLINEHQWVGILVRPINYSLKAAVLHIDTGPGLEIEDSHIIEMEGYSSVSQIDDVQEQKDGSQIGSLNSGKKFERLSLQDGKIEFPNWASESPSTLWVLIRAVSETLSRGSSSATTRSESIVDGMRTIALKLEFGAFHNQIFERTLAVHFTYPFYVTTCVTDKCNDGTLLLQVILHSEVKAKLTIYDAWLDLQDGFVHSGETEGRPTSSHFPLVISPTSKAGILFSICLGKTNEEEARKQSESILNIRYGISGDRTIGAHPPLMNESTRVDGARQEMIFRSVITLQKPVLDPCLAVGFLPLPSDGLRVGQLVKMQWRVERLKDLDKKEISEQNAEVLYEVNANSGNWMLAGRKRGHASLSTKQGARIVISILCMPLVAGYVRPPILGLPDVDDADISCKPAGPHLVCVLPPALSSSFCIPVNS >OIW11941 pep chromosome:LupAngTanjil_v1.0:LG05:10225079:10228524:1 gene:TanjilG_02148 transcript:OIW11941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGTTLQFGGVHGDEQFYIPVKGMKNQNQRKQAQRKQNDETEFADSPSKTKLVASENNNNNPNESLKKPSSGNLEPSSNTERFLESTTPIVPAQYFSKTTMRGLKTCDVEYQSYFDLNDLWESFKEWSAYGAGVPLLLDQEESVVQYYVPYLSAIQLYGQSSQKPNVKPRYTEDSDGDYYRDSSSDGSSDYELGKRNEPFTAQSSKYRAGDVSFQMSRLPVHDKNNIMQDGFSSDDSETGNPQYLLFEYFDQDPPYSREPLCDKIIDLARHYPSLKSLRSCDLLPSSWMSVAWYPIYRIPTGPTLKDLDACFLTYHTLHTPQSGNGSTQAPIVVYPSEIDGIPKISLPTFAMASYKLKGSIWMQNGDSENQVANSLLQAADKWLSLLQVYHHDYQFFISNGTYRTR >OIW11381 pep chromosome:LupAngTanjil_v1.0:LG05:24076436:24077254:1 gene:TanjilG_19637 transcript:OIW11381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFSTPQVESRIEFSPLPQVVEQEQDHIIDEDKDSHIFMTEIEPQEEQCFDCEAIMIVLRLAMVSLLLVGFALHQSQLEKPDLNPIPPRFFIDSLLIPEFKISNGELSSTFDIKLTIANDMNSSSINIIRLDVAMTYQENKTLALVTPIELHYPMQSDVFLVDAEEMKRMNVKLSTTGWERDQPVVDDVVIQAMDKDMKRGLMRLGLQMMVVGEVQFGNGWVTSFVMYPSCNGFAVRFKAAADRHGEAATVVDQKPRECIGTVQWEHLRKML >OIW11387 pep chromosome:LupAngTanjil_v1.0:LG05:24017094:24018329:-1 gene:TanjilG_19643 transcript:OIW11387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVSDEIDVPPFFVCPISLEIMKDPVTISTGITYDRESIEKWLFSGKNKTCPLTKQPISDSIDLTPNHTLRRLIQAWCTMNASHGIERIPTPKPPINKTQITKLLNDAYHSPHLLIQSLKQLKSIASGSETNKRCMEAAGAVEFLASAIKGNTNNNDSATSVEDEALSILHDLHVSETGLKTLLGFKNGEFIESLTRVMQNGIYESRVYAVFLLKSMSEVAEPLHLFHLRTELLMELVHVLKDQISLKASKATLQTLIQVCPWGRNRTKAVEAGAVSVLIELLLDCKDRKPCEMMLVLLESLCQCADGRAELLSHGAGLAIVSKKILRVSVLANDRAVRILLSVSRFSATPGVVQEMLKLGVVAKLCLMLQVDSGNKAKEIAREILKLHARVWRVSSCIPSTLLSSYPSYV >OIW11192 pep chromosome:LupAngTanjil_v1.0:LG05:25073240:25073722:-1 gene:TanjilG_28283 transcript:OIW11192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTVIFLKLDYTIHDLNADERDIRVDDKVDMFESGGGGVRVPFDIESYNYGVEEGGDGEGIHDSRIEFERGIGYDGADCVRMEDDVTFSYSDGGGEVLRDGRVEGIIVVGIGKVDVVCDGDHHGGNGESVILF >OIW12406 pep chromosome:LupAngTanjil_v1.0:LG05:581084:596979:-1 gene:TanjilG_04155 transcript:OIW12406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMELTDSIAAANNHPPPPLQDSHSGLGIDLNEIPSPSTFETLQETIEIVRAFHDNPGPPPEGPALLPRGLTVCAACGKHGCSGVHAIVCDGCDRGFHVSCVGVHGDGRRIDEWLCADCVAGGVKSKLWPLGVKSKQLLDINASPPREADCQELQDLRKQTVGANPFGAPFAHSNLHNGSAFGFQKASGVVTHAVRVGFEDIINHNQSLTRSFEKGLRDFISERHGVLEEGWGVEFRQSVSGSEAYAVYCAPDGKVFDSLYEVACYLGLTSGYNSMECEIRNERSGSPQPSRKRKSTKMAVANGFAEKWGTFINSFCKDPPSNGLSVECATIGKATEAEIRRKEDGHSSPEQSSDGLPLQFKDFFVLSLGKVDSRPSYQGVNLICPVGYKSAWHDMITGSLFTCEVLEGGESGPIFRIRRCSCSKYPVPVGSTILSMSSRCQQFVSQTNKEGERRTNDEMDYDVDESIQMILSDPCVPTENDVISCIESCLNETRDTFTSDIPQLVAGSVQDKTRISLADDSGSVDGISEILVEDRSSSSAWEVMSQKLVNACKDICKQKGTLKFYCKHVENEACLHECDTRNGKSHSHFSSLDKFCASIGSVSIPDVVHTENDLDSFSEVLVKWLGQDRFGLDVEFVQEVLEKLPGVQDSLQYELLNSRNDNSSFPTVENCFLVVERRGGSQYQEEEVQGLYRKSKKARLIEKCVEEDRCPPPPGKPLCSRVPNEYIGDIFQAWELIERFHEILDLKEPLSLDELEKELIYPWFDGLDFLEKSERETNGSQVLNLPGDDSNCGSPRCEVGPSGSIESSHAFIQVDTEAMKEAAQVKLASFTYARCFGVALTKAHNSLLKVLISELQSNVAALVDPSFEPAETRSRRGRRKDIDSAAPAKRTKLNMLPNNELTWPELARRYILAVLSMDGNLDSAETIARESGKVFRCLRGDGGLLCGSLSGVAGMEADAQLLADATKKIFGSLSKFNDVITIEEVDSEVKDASENLVDGDGSIPEWAKELEPARKLPTNVGTRIRKCIYNALEKNPPEWAKKILEHSISKEVYKGNASGPTKKAVLSVLATVSDEGLQQKLPKKGRKKKTEISISDVVMKQCRIVLRRAAAADDSKVFCNLLGRKLINSSDNDDEGLLGSPAMVARPLDFRTIDLRLAAGAYGGSHEAFVEDVRELWNNVRVAFGDQPDLVELAENLSHNFESLYNEEVVAYAQKFVEYAKLGCLSAEMRKEVDDFIASIREIPKAPWDEGVCKVCGVDRDDDMLWRRIHQSGQKKFWSIQSKAVLSVLTTVSGGGLPEKPPKKGKKTKTEISISDIVMKQCRIVLRRAAATDDSKVFCNLLGRKLINSSDNDDEGLLGSPAMVARPLDFRTIDLRLAAGAYGGSHEAFVEDVRELWNNVRVAFGDQPDLVELAENLSHNFESLYNEEVVAYAQKFVEYAKLGCLSAEMRKERTFLLKFLCDELLNSSLVRQHLEQCAEFSVELQQKLRAFSVEWKGLKAKEDILSTKSAKIDVCSLNTAGEVVHKEGFTATLISVANSDTDSQNMKSVDAGRQHRSISAAVESQCTHNYTKSYPSPNHMAREMNSAGGADHPNHQKCEGRDISTLVTSQQGHCVPVDASQIAANEAKPYHVELNATKREISTLQDSITNVQSQLLKLSVRLEFLGTDSIGRLYWASTMPRGRSCIVADASDALLHRRGMTVNRDSVDKFSFMQHSALSSKDSSPLMSQPSNALGISSLWVVYETDAEIDDLLCWLKDNETKEKELKDSIMQWSKSRFHERSQTEDQVEDQRPFSIPGNREKIVSNSLVMKATSFLEKEYGPFFELDTIEVLKKRSKKARNTNDEKMYRCECLEPIWPSRKHCMSCHKTFESDVELERHNDGKCNASLLVLERNKDSSGYSKGRGNLKCGTSSKKIRGGADTDGTSINGGSTSKHSSSLIKFSNAGPSCPFNFEDICSKFVTNDSNKELVSEIGLIGSDGNLSFVPSVSPFVSDSTVMLFTTLKDDDNVVGGTSKASESQVSEGGTDGAGISTGRLATNESNEVGKCNTSLVEQRDGMSSFPSPMGVDGCCVVPLSSLRPLVGKVSHILRLLKISLLDMDASLPKVALKPSKAELDRRQAWRAFVKSAQTIYEMVQATITLEDMIKTEYLRNDWWYWSSFSAAAKSSTLSSLALRFYSLDSAIIYEKLPSSGVTDSSGPSSVVELKQQPATEDADKPRACRKSNRKRKESGG >OIW12017 pep chromosome:LupAngTanjil_v1.0:LG05:9836919:9842813:-1 gene:TanjilG_16128 transcript:OIW12017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSENNEISKNINGRTTWEGCSVLLDINDGDRLVFARLSNAAKLKIGNKNYSLQPLIGCAFGSIFQAESGPQGPFLSHSLSSVQDDDKTEELKEGQVNDEPRDNRALIDNNTAQSLTGDDIEAMRREGARGNEIIEALIANSATFETKTSFSQEKYRLKKQKKYAPKVLMRRPVARSICEAYFKKYPTKIGFLRVDTLALLLSLANVSSSSDVLVVDMVGGLITGAVAERLGGTGFVCNSYIGKSPHSMDIVRIFNFSDEICKRILRSPILDLLSQKELPEQVLEHADVCNLESQSNEQICASVSMEKISLSSENGISDLGAENTENTNIPGKRVSKAAKAGEKAPPEVIESWKENGFSSLIIAAPESDTWTLVKDLLPVLSNSAPFAIYHQYLQPLATCMHNLQLGNMAIGLQISEPWLREYQVLPSRTHPCMQMSAFGGYILSGTKICDNS >OIW11575 pep chromosome:LupAngTanjil_v1.0:LG05:21880760:21882542:1 gene:TanjilG_26941 transcript:OIW11575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMLLRKMVEIYFSDIENVNRYTYKELKIATEGFSPANKIGEGGFGSVYKGKLRNDTLAAIKVLSAESRQGVKEFLTEIKVISSIEHENLVKLYGCCVEGNHRILVYEYHENNSLAQTLLGGGHSSIQFSWDVRRNICIGVARGLAFLHDVVQPHIIHRDIKASNILLDKSLQPKISDFGLAKLIAANLTHISTLVAGTAGYLAPEYAIRSQVTRKSDVYSFGVLLLEIVSGKCNTNRRLPVGERYLLANAWDLYEKGETESLVDVFLDGEFDVEEAIRFCKIGLLCTQDSLQLRPSMSEVLDMLIGETDVNEEKMAKPGLVFEIIEAKERRKQKGTAEVENASSSLVGSGRQDDSSLSGIADSFATMTFTSIYDRSN >OIW12453 pep chromosome:LupAngTanjil_v1.0:LG05:1172671:1181296:1 gene:TanjilG_04202 transcript:OIW12453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMELDPMDLLRSNLSRVRIPEPTNRIYKQECCLSYQTPRSEGGLFIDMFNFLAFGKECVAWNFEKTGNPVYLHIKQTKKIVPEDRPSKKPTLLAIGVDGGFDNNDTEYEETRTIVILPDYVSLPFPSVELPEKVRLAADGILLAEGAERKEQVAAWTAADTKQVSAYAVNLHQIDNGVVIPPSGWKCSQCDKTENLWLNLTDGVILCGRRNWDGSGGNNHAVEYYKKTGYPLAVKLGTITADIESADVYSYPEDDSVLDPLLAEHLAFFGIDFSSLQKTEMTTAERELDQNTNFDWNRIQESGQVVEPIFGPGYTGLVNLGNSCYLAATMQVVFSTGSFSSRYYINQNLKKAFEAAPADPTVDLNMQLTKLAHGLLSGKYSTPASQNDDNASVASSTITDKQEGIPPRMFKAVIAASHPEFSSMRQQDALEYFLHFIDQVERANSGKTELDPSRSFKFGIEDRILCSSGKVAYNRRNDYILSLNIPLHEATNKEELESFHKLKAEKLSEGKEVSGDEIVRPRVPLEACLANFAAPEEIHDFYSTALKTKTTAVKTAGLTSFPDYLVLHMRKFVMEAGWVPKKLDVYIDVPDIIDISHMRSKGHQPGEELLPDGVPEEDSDEPWVNEEIVSQLVSMGFNHIHCQKAVINTSNAGVEEAMNWLLSHMDDPDIDAPISKGQGTETVDQSKVDTLISFGFQEDVARKALKASNGDIEKATDWIFSNPDATVSSMDATSSNNASVPNGDDLPDGGGKYRLIGIVSHIGTSTQCGHYVAHILKDGRWVIFNDNKVGVSINPPKDMGYLYFFERLSG >OIW11499 pep chromosome:LupAngTanjil_v1.0:LG05:22866995:22870626:-1 gene:TanjilG_26865 transcript:OIW11499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGPVRRVSRQDIQLVQNLIERCLQLYMSQAEVVETLLNQAKIEPGFTELVWQKLEEENQEFFRAYYLRLMVKQQIQEFNRLLEQQVQLMHLHPTAVSSLPNSNGSRIPTVASLPNSNGSHIPAVASLPTSNGSHISAVSSLPNSNGSYVPSVPSLPTSNGSQMSAFSSQSNSNGSHAPAVPQNQAYYAAERTRGGLKPESMQHPVGSSLSNVFNNGQSSLHATMHAAIDMSAHGSRVNGLPNMLSAQSSNMGLIQGINRGMIKTEPGYSGCSPYMFGAEGNVLEARPTIGDASVTTFTNAESNSHSMNEAVLDPDTSSFGFLGQIPRNFSLSDLTADFSQSSDILESYSRSPFLATDNGNFLERGEQDNNRLDSISEGLSYEDFGSE >OIW11983 pep chromosome:LupAngTanjil_v1.0:LG05:10768822:10775399:1 gene:TanjilG_02190 transcript:OIW11983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPQVHNPGQQQPVPLPNQPQPRQQILSHNIQNDIAPQPNHPPVSSLAQTPSQNIGHNSNIQSTIGQNSLGSTIGQNSNMQNIFQQNQQANSVQQSTQSMLNQHPQVMRHQQQQTQMNQQQMLPAQQQQQQLMGSQSNAANMQHGQMLGSQNNVGDIQQSQKNNLTNMQQRQQQQLMNQQNNLANMHQQQFGNNAPRLQQQQLLGPESGNSSMQTTHHSGQVRIPIPQQSQQHASNLLPPQAQQSQTQAAQQQLMSQMNPQPAQLQQQFGLQQQPNSLQRDMHQRHQASGSMLQQQSALDQQKQLYQSQRALPETSTTSVDSTAQTSQSSGVDWQEEVYQKIQTMKESYLPELTEMYQRIATKLQQHESLPQQPKSEQLDRARAFRTMLEHIIAFLKVSKNNISPSFKEKIGSYEKQIINLINANKPKKGMSSLQQGQLPPAHMHSMSQPQSQVSQVQSHETQINSQLQPTNLQGSVATMPKNNMPSLQHNSMSGVSITQQNMMNSMQPGTSLDSGQGNSMNSPHQVPVSSLQQNPTNQMHQLHQMNDMNDIKARQGMGVKPGVFQQHLTSGQHTAYPHQQLKPGGPYPVSSPQLLQASSPQILQHSSPQVDQQNHLPSSTKVATPLQSSNSPFVGPTPSPPLAPSPMPGDSDKPIPGFSSLSNAANIGHQQTVGAAAPAQSLAIGTPGISASPLLAEFSGPDNALAATSGKSTVTEQPIEHLIRVVKSMSHKTLSAAVSDIGSVISMNDRIAGSAPGSGSRAAVGEDLVSMTNCRLQARNFITQDGINGIKRMKRKTSAIPLNVVSSAGSVNDGIIEASDLESTATSSVKRPKTEANHALLEEIREINNQLIDTVVEISDEDIDPTAAAADAEGAEGIIVKCSYGAVALSPTLKSQYASVQMSPIQPSRLLVPVNYPNCSPILLDKCPVESSKESVDLSVKAKSRFSISLRSLSQPMSLREIAKIWDVCARGAISEHAQQSGGGTFSSRYGTWDDCFD >OIW11538 pep chromosome:LupAngTanjil_v1.0:LG05:22318096:22323785:1 gene:TanjilG_26904 transcript:OIW11538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGVSLGLRTGSNGSLQQLQNGNVLKLPTLSPRLVRRPSKGSHYNPREKERVCPFICRHLGRGRVAMLLMIALGISVFVFGCFTLYKGANIPNEIEDSRSYAITRYEILKPEGVEDKSKDISYASRISSTSSKWTARPPPPPHPPASSKSKGRKKHFPTLSHQCDHFAFPPPPPAGRRRLGPRPCPVCYIPVDQAIASMPSSPSESPLLHTLTYVHDETPTKSEPHGGSDFGGYPSLEERDAAFDIKESMTLHCGFVKGSRPGRQTGFDFDEEDLLELDQYHDVIVASAIFGNYDVIQQPRNISSEAKKNIPFYMFIDQETETYMKNVSILGSSRRVGLWRIFVVRNPPYADSRRNGKIPKLLLHRIFPNVRYSIWIDGKLGLVVDPYQVLERFLWRTNATFAISRHYRRFDVFVEAEANKVAGKYENASIDHQIQFYQHHDGLTHYSKAKLPITSDVPEGCVIIREHIPITNLFTCLWFNEVDRFTSRDQLSFSTARDKIMAKVDWSINMFMDCERRNFVIQAYHRDILEHMPPPSPPAVVVRHPRLPVLPLTNRPQLKKNLRRGKGDRRSSSKRRRKVAIKLEA >OIW11639 pep chromosome:LupAngTanjil_v1.0:LG05:20809370:20814634:1 gene:TanjilG_24845 transcript:OIW11639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDNNNNYQWNLYDHDDPLLLTDATLQQGTSSICVSQTSLTSRFSAMAAENDSHGGSPSYGFCGENGSFGGKSMQFDDPVLKNMADDRSMLGYSLTSPDLVICGVSPDIAGTNYGSDSPELMGGKYCKNLASSIELSLENGINGSEVEVDNGHKKTTTVKFSNLCQTFEQEEEMLSPEASFELPLPPLTKEELLQDYPPVEDELLEDMRVSQEAALETSEDNEDVGVEEKFQKLKRDFECQRKELAETMRELREVKRENQQKSRECQEAWNSLKELQNELMRKSMHVGSLAFAVEGQVKEKSRWFSSLRDLTRKLKIMKMEQIKLLEEAEAYKKCQADMSEISLIIMSKINEELKAHEDLKFKYIEGTKECKELYNKVLELRGNIRVFCRCRPLNAEELTTGAAMAIDFESAKDGELTIMSNGTPRKTFKFDAVFNPQAEQADIFEDTAPFATSVLDGYNVCIFAYGQTGTGKTFTMEGTEVARGVNFRTLEKMFDIIKERESVYRYDVCVSVLEVYNEQLRDLLVPGNQPGMAARRLEIRQDGEGMHHVPGLVEAHVNNMTEVWEVLQTGSNARAVSSTNANEHSSRSHCIHCVMVKGENLLNGECTRSKLWLVDLAGSERVAKTEVQGDRLKETQNINRSLSALGDVISALATRSPHIPFSPNENDLSETICSLNFASRVRGIELGPAKKQLDTVELLKHKQMADKTKQEVKVKDMRIKMMEEMIYGLESKMKERDNKNKSLQDKVKELESQLMVERKLARQHVDSKIAEQHQMKHQEEQNMRTVFVNQPLGSQKNSNDPMSGVWCKDQMTSARPLMENNNLKPSIPFSTMESSINYIDHAEKENNPEIAERIFLAKRRGRASMCPTMTSHVPSATGASRRNSLIPLPSVPSLIQFSSPLLPKLANRADPKDINNGELEMNSLLPHCESPKEVRSGVKKSILRRSMHKKKSPMQQHMMRKVGVNVGIEKVRLSIGSRGRVAQKVQPGSGRRGGGKEFQQKNSKKEKERGWV >OIW11859 pep chromosome:LupAngTanjil_v1.0:LG05:12408971:12409541:1 gene:TanjilG_31609 transcript:OIW11859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYYMIQEMGHGIVRGAYINNLGQQTRLWDFQEVCDVLTNNRGTEAIESLALDFSQIFYIHFSSHAFIKMPNVRLLAFNDNWRSNNTMSISGSFELLQGLRFLRLDNYPLKSIPSFCHMDKLVVLSMPCSNLEKLLNGAQVCMIYNMCICFGRE >OIW12224 pep chromosome:LupAngTanjil_v1.0:LG05:2129781:2130988:-1 gene:TanjilG_06013 transcript:OIW12224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYYSLRQNAFAACEEMRGSVNLSDQKESVVCPKPRRVGVLSNMAIRPLRWHLNQQADWSDSKAGADLLDIIFKKESHGDEISNQVASSPPYFRGSPPVRAANPLIQDARFGDEKHTPMSPSGLSSPSSASRKGGCVRTSFGLKPAAVRVEGFDCLNRDRQNSGITAVA >OIW11241 pep chromosome:LupAngTanjil_v1.0:LG05:26107365:26108382:1 gene:TanjilG_28332 transcript:OIW11241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMFPTLIINKSSRLVVRVAEEAVTPATAATPAEGEAASKPKPPPIGPKRGSKVKILRKESYWFNGIGSVVAVDQDPKIQYPVIVRFNKVNHANVSTNNYALDEIEEVK >OIW12082 pep chromosome:LupAngTanjil_v1.0:LG05:8701324:8701839:-1 gene:TanjilG_15322 transcript:OIW12082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTLITPTPTPTNSLPPHKPKTTTTTLTPTTAPRRRDFLSMTASIVSTTTTCLFLLPLAPALAASDEEYVKETEEVINKVRTTITLERNDPNVAEAVAELRDTSNSWVAKYRREKNLLGRASFRDMYSALNAVSGHYVSFGPTAPIPAKRKARILEEVTTAEKALQRGR >OIW11862 pep chromosome:LupAngTanjil_v1.0:LG05:12281707:12284058:1 gene:TanjilG_31612 transcript:OIW11862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVPGQLVWEIVKRNNSFLVKQFGRGSQSIEFSKEPNNLYNLNSYKYSGLANKKTVTIQANGKDQGVLLATTKTKKQNKPSALVHKSVLKKDFRRIAKAVKNQVADNHYRPDLKKAALARLSVVHKSLKVAKSGAKKRNRQGARK >OIW11265 pep chromosome:LupAngTanjil_v1.0:LG05:26229162:26231189:1 gene:TanjilG_28356 transcript:OIW11265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFRGITRPNASSGMGVADHSKNVFMELKQKKVYRYVIFKVDEKKKEIVVEKTGGVAESYDDFTASLPENDCRYAVYDFDFVTSENCQKSKIFFIAWSPSTSRIRAKMLYATSKDRIKHELDGFHYEIQATDPTEMDLEVLRDRAH >OIW12305 pep chromosome:LupAngTanjil_v1.0:LG05:3235506:3239760:-1 gene:TanjilG_06094 transcript:OIW12305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSQSCTMIATIFVVVFVAPIIVGVNSFSEADKISTLPGQPPVKFKQYAGYITVDKEQQRALFYYFVEAEVEPASKPLVLWLNGGPGCSSVGAGAFVEHGPFKPSESGLVKNDYSWNKEASILYLESPAGVGFSYSTNKSFYDFVNDQITAKDNLVFLQHWFTKFPEYTNNDFFITGESYAGHYVPQLAQLIVQTKTKLNLKGIAIGNPLLEFNTDLNSRAEFFWSHGLISDSTFEIFTRICNYSQIRRQVQSGTLSAACAGVNKLATAEVSRYIDSYDVTLDVCLSSADQQAYVLNQLTQLQEVEKIDVCVEDETITYLNRKDVQEALHAKLVGIASWSTCSYILKYDMQNLEVPTISILGTLVKSGIRVLVYSGDQDSVIPLLGTRSLVNGLAKESGLNTTVSYRAWFEGRQVAGWTQVYGDILSFATIRGAAHEAPFSQPKRSLVMLKAFLEGKPLPEVLSVI >OIW11852 pep chromosome:LupAngTanjil_v1.0:LG05:12534661:12539388:-1 gene:TanjilG_31602 transcript:OIW11852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVKVISRSSDDFTRERSQDLQIFARPFIGAMDGHIDAVSCMAKNPSQLKEIFSGSMDGDIRLWDLASRRTVCQFPGHQGAVRGLSVSTDGSTLVSCGIDSTIRLWNVHAATSMDSSDSAKKTVEAASVYVWKNAFWACDHQWDGEHFATVGAAVDIWNHNRSQPINSFEWGTDTVISVKFSPGDPNLLATSASDRSITLYDLRMASPVGKMIMMTKTNSLSWNPMEPINFTAANEDGNCYSYDYRNLSEAKCVHRDHVSAVMDIDYSPTGREFVTGSYDRTVRLFQFNGGHSKEIYHTKRMQRVFCVKFTGDGSYVISGSDDTNLRLWKAKAAEQLGVILPRERKKHEYHEAIKKRYRHLPEVKRIARHRHLPKPIFKASALMRVMSDAKKRKDDRRKAHSAPGSITTQPLRKRRIIKQVE >OIW11533 pep chromosome:LupAngTanjil_v1.0:LG05:22361945:22368099:1 gene:TanjilG_26899 transcript:OIW11533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDNHESPKMPTTFVYQTLSPISLSATTTSPQPEPEPEPEPYSVFINSQLSENPEPESYTVLRNEISHDTLQCASSDIPVVDFFSLNVDAEPIAGDSIPELETPVSVTVEPTTPVVVPEATLESGWFRGNCKFRSPMLQLHKEIVDFSEFLSPTPEEKAARDTAIESVFGVIRHIWPHCQVEVFGSFRTGLYLPTSDIDVVILKAGLPNPQMGLNALSRALSQRGIAKKIQVIGKARVPIIKFVEKRSGVSFDISFDIDSGPKAAEYIQEAVAKWPPLRPLCLILKVFLQQRELNEVYSGGIGSYALLAMLMALLRNIRESQISAEHNLGVLLVHFFDIYGRKLNTSDVGVSCNGAGTFFLKSSKGFTSNKGRPYLIGIEDPQAPDNDIGKSSFNYFQIRSAFAMAFTTLTNSKVILSLGPNRSILGTIIRPDPVLVERKGGLNGDVTFSSLLPGAGEPLQQQHGAQDILCNWHVEDEEEPLPRGTDASSTPSKSSPSKKRRKSASKENSTKKLKENGSSRNTRNEENGSSRKEKGTRKKKKRSGDTGYIQV >OIW11688 pep chromosome:LupAngTanjil_v1.0:LG05:19553404:19556680:-1 gene:TanjilG_12207 transcript:OIW11688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKRSVGTLKEAELKGKRVFVRVDLNVPLDDNSNITDDTRIRAAIPTIKYLTGYGAKVILASHLGRPKGVTPKYSLKPLVPRLSELLGNEVKIANDSIGEEVEKLVAELPEGGVLLLENVRFYKEEEKNDPEYAKKLAALADVYVNDAFGTAHRAHASTEGVAKYLKPAVAGFLLQKELDYLVGAVSNPKRPFAAIVGGSKVSTKIGVIESLLSSVNVLLLGGGMIFTFYKAQGYSVGSSLVEEDKLDLATSLIEKAKSKGVSLLLPTDIVIADKFAADANSKIVPASSIPDGWLGLDIGPDSIKSFSEALDNTQTIIWNGPMGVFEFEKFAAGTETIAKKLAELSGKGVTTIIGGGDSVAAVEKVGLADKMSHISTGGGASLELLEGKQLPGVLALDEA >OIW11670 pep chromosome:LupAngTanjil_v1.0:LG05:20344315:20354123:-1 gene:TanjilG_10816 transcript:OIW11670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAFGAEDLIQLRNVPKESAVFDASQYAFFGKNAAEEVELGGLEDLSAFESNEEFFPNREEDEDVRSLSDIDDLTTTFLKLNKVVNGPRSPGIIGDRGSRENSISKWEQRDGVLNWIDQNAYDSEGSLDGKSWSSRPHTSAAHLHESKSLYRTSSYPEQQMQQQQQHLQHCSSEPVHNLLDQPFYDTAQDDGKRWSSQPYSSTEYLHEPKPLYRTSSYPEKQQELLRFSSEPILAPKSSFTSYPPPGSGSQQASPSHNNTVPYHAGASLMELSSQNRFHFSNSALQLSGLNHESHFGGNMRQLSTGSILNQRIQNQLINQAGLYSGDHSNLLSDMLQQQLHQNRGPMSPHLMSQLQHQQHRLHRPVQQSAGYLSGFQTHLFNPHLSSSSSVINKYEHMLGLPEVRDHRPKSSLRGKRSLRFSKQGSDASSQKSDSISLQFRSKHMTSDEIASVLRTQHAVTHSNDPYMDDYYHQACLEKKHSLAKLKNPFCPTQIRDLPSRSRSNSETHAFLQVDALGRVLFSSIRRPRPLLEIDPQNYSFAGSSEQNVSEKTLEQEPLFAARVTIEDALCLLLDVDDIDRFLQVNQLPDGGTQLRLRRQVLLEGLATSLQLVDPLGKNGNKVGLVAKDDLVFLRLVSIPKGRKLLTKYLQLLLPGSELMLIVCMAIFRHLRFLFSGLPSDSAASEFTSNFIKVVCQCIKGMDIGALSACLAAVVCSAEQPPLRPLGNTSEDGASIILVSVLERATEILTDPHAACNYNTGNRSFWQDSFDEFFGFLTKHCMNKYNSIMQSLLKQSAIDLDDIESDAAKAISKEMPVELLRASLPHTDDRQRKLLLDFAQRAVPVVRFSSSAGDSGNHRSSGTVRDHRPKSSLRGKRSLRFSKQGSDASSQKSDSISLQFRSKHMTSDEIASVLRTQHAVTHSNDPYMDDYYHQACLEKKHSLAKLKNPFCPTQIRDLPSRSRSNSETHAFLQVDALGRVLFSSIRRPRPLLEIDPQNYSFAGSSEQNVSEKTLEQEPLFAARVTIEDALCLLLDVDDIDRFLQVNQLPDGGTQLRLRRQVLLEGLATSLQLVDPLGKNGNKVGLVAKDDLVFLRLVSIPKGRKLLTKYLQLLLPGSELMLIVCMAIFRHLRFLFSGLPSDSAASEFTSNFIKVVCQCIKGMDIGALSACLAAVVCSAEQPPLRPLGNTSEDGASIILVSVLERATEILTDPHAACNYNTGNRSFWQDSFDEFFGFLTKHCMNKYNSIMQSLLKQSAIDLDDIESDAAKAISKEMPVELLRASLPHTDDRQRKLLLDFAQRAVPVVRFSSSAGDSGNHRSSGTVLS >OIW11632 pep chromosome:LupAngTanjil_v1.0:LG05:20716171:20722061:1 gene:TanjilG_24838 transcript:OIW11632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNISMVEANLPPGFRFHPKDEELVCDYLMKKVIHNDSPLMIHVDLNKCEPWDIPETACVGGKEWYFYTQRDRKYATGLRTNRATASGYWKATGKDRTIHLKGTLIGMRKTLVFYHGRAPKGKKTEWVMHEFRIEGPHGPPKFSSSKEDWVLCRVFYKSREIATKPSIGSSYNNTGSSSLPQLVDSYITFNNQAQTHAYEYEQVPCFSIFSQNQTNPINFNHHITTMDSKVYAHNNAPTYGGASNLGSCLDTFSCDKQVLTAVLSQLTKMERNTSNQIVKGSSSLGEGSSGSYLSEVGMPQLWNNY >OIW11682 pep chromosome:LupAngTanjil_v1.0:LG05:20198395:20200734:1 gene:TanjilG_18209 transcript:OIW11682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTEVSSLIRVLGGYKEEKKYHHNRTVENESYGEKSTALITRDLLGGSFIESQELDLDSEVPNGWEKRLDLQTGKVHIERCKTLDLQPMFHQKLNVKPSYPQLEDPDFPFSHSKVPLNLFDETTLNLNLFSSSNNYQSVCTLDKVKSALERAEKEPRKKRTSFFKSSLSTTSPSYSSSSSSMKETQEEESDLISSPMAVGCPSCLSYVLIMKNNPKCPRCNNVVPFPSMKKPRIDLNISI >OIW12366 pep chromosome:LupAngTanjil_v1.0:LG05:109084:115167:1 gene:TanjilG_04115 transcript:OIW12366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKYSSVCNLVVKFLLEENYLLTAFELLHELVDNVADPQTLLHEKEAAEEKFAITNYELRLAQEDILKLQAQLHTKTEYLIKTNGDVSVNNNVVQSEEQKMNSSFNDLGPIKENERLHLNCAVKEYLLMAGYNLTAMTFYEEVTNHQNMDIWKNNNTNTLTPDALRHYYYHYLSSTSELAQEKVALIRDNEKLLKENENLNEQKEKLIEENKRLLKDKELEDGQRSLEELHKDLKNKGNMVQALKQSLENQTKELNACRSEINKLKMHISQSESLTKYKEEIKNLQMEIEWLKEKNIEAPVSRNFDGSENEIVQTEDKAIVIHEDKGAISYPIDTALDDKADAQSHFEQTLNDYADKHEDTLHALSNPANANSTFENIENVYEQNVGKQEEDESIYDKTGLGTIQILADTLPKIVPYVLINHREELLPLMMCAIECHPDSRTRDSLTHTLFNLIKRPDENQRRIIMDACVTLAKNVGEMRTEIELLPQCWEQINHMYEERRLLVAQSCGELAEFVRPEIRDSLILSIVHQLIEDSATVVREAATHNLAMLLPLFLNTDKYFKVEELMFQLLCDPSGVVVETTLKELVPAVIKWGNKLDNVLRVLLSHIISSAKSCPPLSGVEGDIESHLHALGERERWNIDVLLRMLVELVPLVHQKAIETCPFQSTTETTQKVVFSTSLLELYARGDVKWDAFEWMHVECFPKLIQLACLLPGKEDNLRTRISKFLLSVSEKFGDSYITCIMLPVFFTALGNDADFTFFPSAIHSRIKGLRPRSGIGEGVSTLCVLPLVLAGVLGGPEQGKQLTEYMRMLLLEGNVKENPSFNHTSHIINAVRFICIYEENHSMVFNILWEMVVSSNVNLKINAAKLLKVIVPYIDPKVVSTNALPALITLGSEQNVSVKCASIDAFGAVALHFKNEMIVDKIRVQMSGFLEDGSDEVTIAVIHALVVTISQLTAIPTPSSIDLMHRRDRANAFCEAIRALDATDLPANSVRDMLLPAIQNLLKDLDALDPAQKEALEIIMKERSRSSFESVNNNYKAKGAHRNSFSEGNLHAKKDNIEQTSSETVVSPKDTRFRRIMLGHFGKVKGKSHQQTQN >OIW12236 pep chromosome:LupAngTanjil_v1.0:LG05:2276427:2277894:1 gene:TanjilG_06025 transcript:OIW12236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKEKVHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTRYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICGLNKMDATTPKYSKSRYDEIVKEVSSYLKKVGYNPDKISFVPISGFEGDNMIERSTNLEWYKGPTLLEALDQINEPKRPTDKPLRLPLQDVYKIGGIGTVPVGRVETGVVKPGMLVTFGPTGLTTEVKSVEMHHEALQEALPGDNVGFNVKNVAVKDLKRGFVASNSKDDPAKEAANFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFGEILTKIDRRSGKELEKEPKFLKNGDAGLVKMIPTKPMVVETFSEYPPLGRFAVRDMRQTVAVGVIKSVEKKDPTGAKVTKAAAKKK >OIW11391 pep chromosome:LupAngTanjil_v1.0:LG05:23974588:23975112:-1 gene:TanjilG_10709 transcript:OIW11391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNTTDSSSLHSYINLNSYGYGIAFSIGIILLILTISLTSYFCTRSQILTLPSSTRRHVPNLHFLESQHSIVDVGLDESTILSYPKLLYSEAKLKKLSDSTATCCTICLSDYMGSDMIRVLPDCDHQFHLKCIDPWLRLHPTCPVCRTSPFPTPLSTPMAEVVPFANMQDSSAG >OIW12417 pep chromosome:LupAngTanjil_v1.0:LG05:729644:731844:1 gene:TanjilG_04166 transcript:OIW12417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVALKFSRRVEWWRNMMYPVRRVWAGIAIRFGIRKPGLLKLRHEVRACEYEDIHVMWEMLNRNNESEFVHSSMRSNKKRHYWKFFKWAWCATPYITSS >OIW12205 pep chromosome:LupAngTanjil_v1.0:LG05:5430576:5431910:1 gene:TanjilG_28613 transcript:OIW12205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTASSTVPLLPLLLLFLLLLSPSTAEIKSLTISSDTRPMILLEKFGFTHTGHLTISVSSVSVITLHPTSPQPDPSLLGFFLLNEESLLQILLEIQQNPSLCVLHSHYITHLFTFADLSPPPSASLNRSFPVPSPNEYSLFFSNCAPETSVSMSLTTRLFNLEPDGYKNFLSAGQSQLPFLYFVFAITYFVFLLLWTYICYTNKLSVHRIHLLMAALLLVKAINLICAAEDKHYVKVTGTPHGWDIPFYIFQFIRVVLLFTVIILIGTGWSFLKPFLQEREKKVLMIVIPLQVLANLASVVIGETGPFIKDWVTWNQIFLLVDIICCCAIIFPIVWSIRSLRETSKTDGKAARNLAKLTLFRQFYIVVIGYLYFTRIVVFALRTIAAYKYQWVSNFSEEAASLVFYVIIFYMFRPVERNEYFVLDEEEEEASEMALRDEEFEL >OIW11415 pep chromosome:LupAngTanjil_v1.0:LG05:23795062:23795259:-1 gene:TanjilG_05186 transcript:OIW11415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTVKHAVVVKVIGRTGSRGQVTQVRVKFLDDQNRLIMRNVKGPVREGDFLTLLESEREARRLR >OIW12415 pep chromosome:LupAngTanjil_v1.0:LG05:709024:712123:-1 gene:TanjilG_04164 transcript:OIW12415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTLQASTFAVAIPSSAVTHLRRHRNFSAIRAQVEPSDKNVEIMRKFSEQYARKSGTYFCVDKGVTSVVIKGLADHKDSLGAPLCPCRHYDDKAAEASQGFWNCPCVPMRERKECHCMLFLTPDNDFAGQEQTITLDEIKESIVNM >OIW12264 pep chromosome:LupAngTanjil_v1.0:LG05:2601418:2601836:1 gene:TanjilG_06053 transcript:OIW12264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEVEPSPLTQSDTISPPPPPSYVEVKCTSSGNTRRFAAGTDAGFAVRLINRKLKKTMMVVSHIEAVKDGEEPIAFGPNSVLINFGNGWMLQTVTDSGKFNFLILSLNL >OIW12152 pep chromosome:LupAngTanjil_v1.0:LG05:3640789:3642192:-1 gene:TanjilG_28560 transcript:OIW12152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDDQGNSKNKFHQIVVVLVPLPAQGHLNQLMLLSRLILSHNIPVHYVCTAKHILQAKVRVQGWNPNSISNIHFHDLQVPPFASPTPNPNDETKFPSHIIPSLVASTHLREPVAALIQSLSSTARKVIVIYDSLMAYVVQDVQHIANAENYIFHSTCAFTTSMFYLDRIGKPPQESSVFIPEVPYVEGCFTTEIIDFVTSQAGISKFNDGNIYNTTRVIDGPYLDLIDLMAGGQKSWALGPFNPLTIEKENSKERHYSLKWLDKQEPNSVIYVSFGTTTTMTGEQIKEIAIGLEQSKQKFIWVIRDVDKGDIFDEDGIRKHELPNGYEERNVGFGLVVRDWTPQLDILNHPSTGGFMSHCGWNSCIETITMGVPIAAWPMHSDQPRNSVLITHVLKVGFAVRDWAQRNELVTAPNVEKVVRRLMETNEGAEMRQRARNLKDDILRSKDEGGVSSVEINSFIAHITR >OIW12016 pep chromosome:LupAngTanjil_v1.0:LG05:9846526:9848313:1 gene:TanjilG_16127 transcript:OIW12016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKITIVNKKKRKEIEHDNINNMFIEKEIEVAQLLLDFSHVIFDLESPLLSSLPFTWGCKRVRSVIQDTPSKTITCDAVPPLCQGGAAVDPPYEVGSSPATPLSLSLIDSDDKGEKTLPCKASLKRKKEYYLGSIEDLTKSKASLNEVSIFFSSLFDLFFSFFLNFGYLHILQEIQNVKRYSQHLSDFNLKLKARKQELSDRYNSSNKSEAKKPKLENGWPINTPNSMAENPQHHQFQFQVSNSTQYVSLMLNQACGPSQIFNTNKAIAQFQTVSFPIPSHSSSEEIIHVESHQPLDMNRINKDLNRAIAAQARQRRIQINKLKNQIVNSKTR >OIW11417 pep chromosome:LupAngTanjil_v1.0:LG05:23802282:23805376:-1 gene:TanjilG_05188 transcript:OIW11417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFEESLGFNGGEFGTILSFKSPTADKENGFIDKPVSTKNRRTYDDLPRTYSNSSETSFHSPVPQNERDQAALKLQKVYKSFRTRRQLADCAVLAEQSWWKLLDFAELKRSSISFFDIEKPETALSRWSRARTRAAKVGKGLSKDEKARKLALQHRLEAIDPRHRYGHNLQFYYVKWLRCDSNQPFFYWLDIGEGKEVTHERCPRSKLQQQCIKYLGPAERKVYEVIIKNGRLFYKESGEPIQTVGDAKWIFVLSTSKTLYIGQKNKGTFQHSSFLAGGATLSAGRLVVEDGVLKAVWPHSGHYLPTEENFQEFMSFLMEHNVNLADVKKSPREGEEEGIAKTDKNVLFRGNPSEAELPENFKTKISSTLSQELTGLRNEDSNAEPDSDPPMSRLSRVGLNIARLEIPKRDNVCDIFGLQKAHPPNCHVSSPDSVSECGYETAEESFIDEEDFMVPKSNLFVEDQQEEYQNPIPKENIMKRIYSHKGMKSYQLANHLSTRWTTGAGPRIGCLRDYPPELQFRILEQQNLSPRTRTTAPSPRIPSLLHSGRNTPSRLGGGL >OIW12370 pep chromosome:LupAngTanjil_v1.0:LG05:169148:169600:-1 gene:TanjilG_04119 transcript:OIW12370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGVESHGLIPRVNVGITNKLPYLLLGFHCKDKHRDNGFHTLAPYETYHFQFSTTPNIISRTQWFCKFSWDGESHYFDIFIQIRDNCDYCEWIIEKTGPCKYDWESNRVIKCYPWNDKKQHQLQGMKLLLISNTPTPPLALQPLNSRGKD >OIW12104 pep chromosome:LupAngTanjil_v1.0:LG05:7475756:7475932:1 gene:TanjilG_31211 transcript:OIW12104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIIRSSFSFILGTVCGVYVAQNYDVPNIRKVADSALLTAKAYEEKYRKSKKRSNNDD >OIW11681 pep chromosome:LupAngTanjil_v1.0:LG05:20169658:20172037:-1 gene:TanjilG_18208 transcript:OIW11681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGTMIKVKTLTGKEIEIDIEPTDTIDRVKERVEEKEGIPPVQQRLIYAGKQLADDKTAKEYNIEGGSVLHLVLALRGGVY >OIW12272 pep chromosome:LupAngTanjil_v1.0:LG05:2741354:2749567:1 gene:TanjilG_06061 transcript:OIW12272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVIGVNGFIEVKLKPLKGGSETEFFSSVPDPWLWCVPDSDRGCFCHDQNKAKVWSTAVGMGFMVAASLGSHVVPRGTLETRIKCQLFCNTEKNSIDFKFSKHKAIQRGIFISKQEPTPEFDERKSPNEVREEIKQCYELINRLGRGVLYLGSARMGPDHSHYVQAQELAKEASMGFMVAASLGSHVVPRGTLETRIKCQLFCNTEKNSIDFKFSKHKAIQRGIFISKQEPTPEFDERKSPNEVREEIKQCYELINRLGRGVLYLGSARMGPDHSHYVQAQELAKEASKLLTYLSSVFLLTCSLFIHILFSIANLLDCTTWSGAGPGLMDAVTQGALLAGKPVGGFKIGKEAGEWKSSNYHPYLPTESYFTCRFFSARKHGLVDTVVRNNSFDKTAVVALPGGIGTLDEVFEILALIQLERIGSKLPVPFMLMNYDSFYSKLLEFLNVCEEWGTVSEGEVAPLWKVCNSNSEALAYLEDFYCISSSDTSKNTAKLYNTHELPS >OIW12356 pep chromosome:LupAngTanjil_v1.0:LG05:1492836:1493159:1 gene:TanjilG_32472 transcript:OIW12356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLQSRSPCTEVDTKLEAKVEQTFSNTQSQDAAWWSATQLRSYMSKILGGYSNRDPRRTFVRRLLLAGADAIPTQRYVLEVMVRCGVVCKSDKVQHEITIKRRNKQT >OIW11555 pep chromosome:LupAngTanjil_v1.0:LG05:22141522:22148472:-1 gene:TanjilG_26921 transcript:OIW11555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSSSSLEESNIVRSSRLRKKHKRLDAIYEDEHNRSHGEFNDDVVLRHDAGIRRSSRVRRAPELLDASPPPPKKRRRLGDNGIKGCVEVAKSLERENGSPSGVKESPGTWGSRLRSRVRNVGVEVKEKKESRVRNDGFELKGERKSRRGKRKLFDDAVGSADDEELEVVEIDNNEELEVVEIDTEEELEDVEVDGIEKLEGSMPKIVKSKRPGRIKAAKNDSHGSLDESKSEEAEFVLEKDNDEETASIPENELSGGKDILDESAPLTIENATNMSSNLQSEEYGGSIEPSTVECLDKLDDQLGSEKEGQNASDAAEIAGISTKQVRNEGTVHEEANIDENNLKDVCTVRTDELKQASIDKAGLRRIKEGRRCGLCGGGTDGKPPKRLAYDNGESENEAYSGSSASEEPNYDVWDGFGDEPGWLGRLLGPTNDRDGIARIWVHQLCAVWSPEVYFAGLGCLKNVRAALCRGRALKCTRCGRRGATIGCRVDRCPKTYHLSCARANGCIFDHRKFLIACTDHRHFFQPHGTKYLAHMRGRKMKLEMKKRSNDALRKDIGEEERWLENCGDDEEFLKRESKRLHRDLLRIAPVYIGGSDSAPEKPFQGWEAVAGLKDVIRCLKEVVIMPLLYPELFDNLGLTPPRGVLLHGYPGTGKTLVVRALIGACARGDKRIAYFARKGADCLGKYVGDAERQLRLLFQVAEKCQPSIIFFDEIDGLAPCRTRHQDQTHSSVVSTLLALMDGLKSRGSVIVIGATNRPDAVDPALRRPGRFDREIYFPLPSVEDRASILSVHTQRWPKPISGSLHEWIARKTLGYAGADLQALCTQAAINALKRNFPLQEVLSAAEEKHSGCKHLPLPSFAVEERDWLEAFLRSPLPCSRREAGNAANDVVCSPLPTHLIPCLLRPLCTLLVSLYLDERVWLPPSISKAVKMIKTVMISALEKKKMSIDRWWLYVDDFLQETHIALEAKRKLACAGILSVNGSPDTLDDTDDGEVNFEPSMKNNVCMRNGLLPNMSIALTNKLGFRILISGNTRSGQRHLASCLLYCFVGNLEIQKIDMATISQEGRGDVMQGIAQILMRCASLQSSIVFMPRIDLWAVEKHFQNAEKTYSCSTNHLLPGMEKSRFTSNQVEKENKLNTEINSAEMTKSQTNKKASRAWMSFIEQVESIGVSTSLMILATSEVPYSELPCKIKKFFQTYQSNGSQSIPLEHTVPRFSLQVGGNFNHDMIINLSAAELLRNLVEQQVQLIHKKSHAHIGIHKSCRAYGSIGICKDKVCQRKDSGSANEKKSETHLPESSAKAPPLPNSRSLKGKSTLLLAISTFGYQILLNPHFAELCWVTSKLKEGPFADVSGPWRGWPFNSCIVRPNNSQDEVAVPYSSGGIKSKERSGNLVRGLVAVGLSAYRGVYKSVREVSLNIRKVLEILIEQINAKIQAGKDRYQYLHILSQVAYLEDMVNNWAYALLSLEPGSPEIAEKVMSSTVGSLNNHLTCEDHQAEGEDCHLVVPRNDDLKTLERSDKEIPAETTGCVSLNVKNGNLDDLDHGVQNASSEGTLQNHTLPDKQINSSAASNQPLDPSLNQENVSSFGLSESATVCEQGVELGNSNADDVKLNDASSSEGSDHTESGLICVYQCCPGCISSLYHLTQKVLLREYRSNNSHWTVEDVHDAVASLSVNLISALRKCYLAEDFSDSSDKTLRQEKCGRTCYPLNQGKDIVPVECVSHSTSQGATGSKNELLNESLKLDLKFIFRDGVLMLMDPDKGVPLHCKFENLCLCSVRELIEMTNHPFD >OIW12013 pep chromosome:LupAngTanjil_v1.0:LG05:9863842:9867728:-1 gene:TanjilG_16124 transcript:OIW12013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTLYEYLQKREKLQSPVEQERLLHEIPQAVAEDLELESPTPDVPEDEQLESPIPYVPDKTVENNLRELRKSPRKQASLVTEVPKAVADVFLWKATERDVPEDLELESPTPDVPDKRVENNLQELWKTTSKRASLITEVPKAVAENVFLWKATKPDVQEDLELESPTPNVPDKRVENNLQELWKTTSKRASLVTEIPKAVADVFLWKAPKPDVPKDPESESLTPDVPDKRVENNLQELWKTTSKRASLVTEVPKAVADVFLWKAPKPDVPKDLESESLTPDVPERRVENNLRELWKTTSKQASLVTEVPKAVADVFLWKATKPNVPEGLNLEPPTPDVPNKRVENNLQESRKTTSKQASLVSEVPKAVTDSFLWKATKLDIAALVKEENNSPKSTLSLRGASGVPPFTMEMKGTLLNVISGDTAAAQQSSVLPFQQKPKQQIDLNVDLSDAAESNEAEISQGLQDKPVKPSQPEVIELSDDDDDEYEEYDEDEEPKTTMQVPAEVLQSLMWYYRDPQGTVQGPFSLTSLKRWSEENYFPPNFMVWKAGQSPYEAALLVNILHQFFPS >OIW12197 pep chromosome:LupAngTanjil_v1.0:LG05:5224109:5226835:1 gene:TanjilG_28605 transcript:OIW12197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMEKQIQMQTLFVFVNVFVFSVYLVPTAQSHVSLVGDPGMQKDNLRVAFEAWNFCNEVGQEAPKMGSPRAADCFDLSGSSLTHKVTEADNKLGVGKPFPGLCSEDINNTDIYAVQKELYLGSLCEVKDTGSPWQFWMVMLKNGNYDTKSGLCPENGKIVPPFNPGRFPCFGEGCMNQPILCHQPTRLTDGTMRGGFSGSYDLDSGCGAEYHADRSYYEVIWEKKLNFGSWVFKHKLKTSKKYPWLMLYLRADATKGFSGGYHYDTRGMLKTLPESSNFKVRLSLDIKKGGGPKSQFYLIDMGSCWKNNGAPCDGDVLTDVTRYSEMIINPETPAWCSPTGQGNCPPFHITPDNRKIYRNDTANFPYSAYHYYCAPGNAQHLEQPVSTCDPYSNPQAQEIVQLLPHPIWSAYGYPTNKGDGWVGDARTWELDVGGLSSRLYFYQDPGTPPAKRIWTSLDTGTEIFVTQEDEVAEWTISDFDVILTQPHAESDL >OIW11937 pep chromosome:LupAngTanjil_v1.0:LG05:10135736:10137340:1 gene:TanjilG_02144 transcript:OIW11937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIAVPQPRSSKTESYVDNKRKEDIRHVNIAAAKSVADAVRTSLGPKGMDKMISTSSGDVIITNDGATILNNMQLLQPAAKMLVDLSKSQDAAAGDGTTTVVVIAGALLDSCRVLLTRGIHPTVVSDALHKAAVKATDILAAMAVPVELSDRESLVKSASTSLNSKVVSQYSTLLAPLAVDAVTFVVDPEKPEQVDLRDIRIVKKLGGTVDDTELVKGLCFDKKVSHAAGGPTRMENAKIGVIQFQISPPKTDIEQSIVVSDYSQMDRILKEERSYILGMIKKIKATGCNVLLIQKSILRDAVTDLSLHYLAKAKILVIKDVERDEIEFITKTLNCLPIANVEHFRAEKLGHADLVEEVSLGDGGKIVKITGIKDMGRTTTVLVRGSNQLVIDEAERSLHDALCVVRCLVSKRFLIAGGGAPEIELSRQLGAWAKVLHGMEGYCIRAFAESLEVIPYTLAENAGLNPIAIVTELRNRHAQGEINAGINVRKGQITNILEENVVQPLLVSTSAITLASECVRMILKIDDIVTVR >OIW11422 pep chromosome:LupAngTanjil_v1.0:LG05:23701195:23703476:1 gene:TanjilG_26788 transcript:OIW11422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTPTIDVDLGGPEKVEEVKEGDQLFRCDLCDTEVIHKLAQMLLPGLASACVDNTSGGLFKTPGSVADDLRKEMIDYVTQRSESFVAESVILEGGPDSEVSDHPFDIISNMVDDFVILKRNFFSRVSGWLLSERREDKIEDFVQEMEKKGFWTLDRRETIAETFLKNVDFENKFHCGMKINSPEELSNHVGECNFRSIFCESEGCNARFCASHLKQHDSTCPFKIIPCEQRCSDSIMRRDMDRHCITVCPMKLVNCPFFVVGCRSAIVQCMIDKHRSDDVHSHLWHLLKGTHKQANGEDLQRRVEEILQAESSGRLAQARDVRSLNLIVNGIEAKLGPMKLSAMEKHSAETVTKNAEGEVGNTDTKGNEQSTQDSDTVNSSDKADKGDIVNIYSAENSAKTEDSEHSHVEEKDGEERTQASNMVNLSYKAEDTAKSDDSEHDLIENKDSKERTRSSDMINLSDKAEINVANDDGTQVHKENQDAPDSEILKGNKESTQTNLEKESYKGEISATNEDNAETTTTNKYIEDINFEDKDKEKDIRNSELDTKNKDTEYDNLKETINTSKVQNVSD >OIW11270 pep chromosome:LupAngTanjil_v1.0:LG05:26250831:26252137:-1 gene:TanjilG_28361 transcript:OIW11270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSNGTGSPCGACKFLRRKCAVDCIFAPYFCSEQGPARFAAIHKVFGASNVSKLLSHIPVHDRCEAIVTIAYEAQARVRDPVYGCVSHIFALQQQVGWLQAQLMQVKSQLAQDMVESRNIENHQWPSGNVSGQQGQPMNPFCHMNPISPQSSLESIDHSNNINDGMVMQDIQRRDDFSFQACSKKRSHNNDLCELQEIALRMMRN >OIW11607 pep chromosome:LupAngTanjil_v1.0:LG05:21436676:21438029:-1 gene:TanjilG_15301 transcript:OIW11607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLELQKLVIQTKSVEFMPFHLSLSTFLMSTSFFLYGLLNDDPFIYTPNGIGTILAIVQLILYFYYENKSRADASAEPLVVSYT >OIW11949 pep chromosome:LupAngTanjil_v1.0:LG05:10314344:10322017:1 gene:TanjilG_02156 transcript:OIW11949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFSVSNIEEAAVNFFNYLLQEKPQIPIFIPLILIAWAIERWVFSFSTWVPLVLAVWATIQYGRYQRKLLEEDLDKKWRRVLLNSSPITPLEHCEWLNKLLTEIWPNYFNPRLSLKLSAIVETRLKLRKPRLLERVELQEFSLGSCPPSLGLQGMRWSTIGDQRVMQVGFDWDTNEMSILLLAKLAKPLIGTARIVVNNLHIKGDLLITPILDGKALSYSFVSTPEVRVGIAFGSGGSQSLPATEWPGVSSWLEKLFADTLVKTMVEPRRRCLSLPVVDLRKKVVGGIIYIKVISANKLSRSCFKAYRRPQNGTANGFSEDNFDDKYLHTFVEAEVEELTRRTDVRLGSTPRWDAPFNMVLHDSAATLRFNLYESCPSSVKCDYLASCEIKIMHVEGDSTIMWAIGPDSEVIAKQAQFCGDEIEMVVPFEGANSAELKVRIIVKEWQFSDASHSLNNIWASSQQPLNGSSNLQLRTGRKLNISVVEGKNLAVKDKSGKFDPYFKLQYGKVIQKTRTARTANPVWYQSFEFDEIGGGEYLKIKGFTEETFGDENIGSAQVNLEGLTDGLVRDVWVPLERAQCGEIRLKIEAVKAEDQEGSRGSALGSSNGWIELVLIEGRDLIAADRRGTSDPYVRVQYGNLKKRTKVISKTLNPCWNQTLEFPDDGSPLELHVKDHNALLPTSSIGECVVEYQRLPLNQMADKWIPLQGVKRGEIHIQITRKVAEIQRRNTIDEELSLSKLHGIPNQIKQIMIKCRTMIEDENLEGLSTALSELETLVDTQRGYIVQLETEELLLLRKIKELGLEIISSSPSSSRGSSYDSGN >OIW12423 pep chromosome:LupAngTanjil_v1.0:LG05:771441:794081:-1 gene:TanjilG_04172 transcript:OIW12423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRARDDVYSGSQFKRPFGSSRAADSYGQNQIPSGGGGGSGGGVGGGGGGATPSQKLTTNDALSYLKEVKDMFQDQREKYDLFLEVMKDFKAQRIDTAGVIARVKELFKGHNNLIFGFNTFLPKGYEITLDEGDAPPKKTVEFDEAITFVNRIKKRFQNDDHVYKSFLDILNMYRKEHKDIGEVYSEVATLFKDHRDLLDEFTRFLPDTSGTHSMPHTPFPRNSLQRFSERSSSAPMMRPMQVDKQRYRRDRLLSSHDRDLSVDHTDLDDDKTMIMHKEQRKRESRDRRIRDHDEREADLDNNRDLNSQRFPDKRKSVKKAEGCGLASDFASHEDKDSLKSMYSQAFSFCEKVKEKLSSADDYQAFLKCLHIFSNGIIKRNDLQNLVTDLLGKHSDLMDEFNDFLERCENIDGFLAGVMSKKSLSTDAHASRSSKLEDKDKEKKREVDGGKEKERYSKYMDKSIQELDLNDCESCSPSYRRLPADYPFPSVSHRSELAAQVLNDHWVSVTSGSEDYSFKHMRRNQYEENLFRCEDDRFELDMLLESVSSAAKRAEELYNNIAENKINMESLSRVEEHFTALNVRCIERLYGDHGLDVVDILRKNPTHSLPVIITRLKQKQEEWTRCRSDFNKVWAEIYAKNHYKSLDHRSFYFKQQDSKNLSTKSLVAEIKEIKEKQQEEDDILQSISAGNKQPLIPHLEFEYSDAGIHEDLYKLVRYSCEEVFSSKELFSKIMRLWGTFLEPMLGVPSQSYRTENVEDRKESHNVCDGSPHGDSISRLPKLNKNEADGRVIEVKNAHQTSLAANGKENVSVGGELVCKDDPVMDKGQKNVDCSVKVSGFSKPFASDEQVSKNNASIAVREENSLSRTNAELTAGCVTTPSRATDTNDSVAKCQSANAPSMEGCETPALVPVVNGVLNESSEVKSHEESAGPSKIEKEEGELSPNGDSEEDNFVGYGDSNAQSTVKSKHNIEIRKHHSRNGEDESCPDAGGDNDADADDEDSENVSEGGEDASGSESAGDEGSREDHEEEEDMEHDDVDGKAESEGEADGDAQSVGDGLSLPLSERFLSSVKPLTKHISAVSFVEEMKDTRVFYGNDDFYALFRLHQILYERILSAKTYSMSAETKRKTKDASSLDPYSRFMNALYNLLDGSSENAKFEDECRAIIGNQSYVLFTLDKLIYKMVRQLQTVTTDEVDSKLLQLYEYEKSRKPGKLNDSVYHANAHVILNEENIYRLQCSSTPSRLSIQIMDNMNEKPEMFAVSIDPDFSFYLHNDFLSASPCKKEPNGVILRRNKRKYRGLDEHSAICSAMDGVKVINGLECKIACNSSKWLSKYMLVGRE >OIW12071 pep chromosome:LupAngTanjil_v1.0:LG05:8099600:8101903:-1 gene:TanjilG_15311 transcript:OIW12071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARDLLDLFSMRKWSCSWSLAATIATVVALVSVVHLFMFPLTPSFNYFKLARDSCRPTNSSEELTSNLDKEEPAIDLNHQFKIDSHRAVVYRGAPWKAEIGQWLAGCDFITKDVNITEIIGGNNCKNDCSGLGICNRELGQCRCFHGYAGDGCTEKLELECNYPGSPDQPFGRWVVSICPANCDKTRAMCFCGEGTKYPNRPLAESCGFQFNQPSEPGGPKLVNWTKVDHDVFTTNGSIPGWCNVDPAEAYAGKVKIKEECDCKYDGLSGRFCEVPVESVCINQCSGHGHCRGGFCEVILLFINVALLITRKY >OIW12033 pep chromosome:LupAngTanjil_v1.0:LG05:9562822:9563214:-1 gene:TanjilG_27330 transcript:OIW12033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTPQDSSPTIKTERIVIKGKVQGVWFRDWTVDTAKQLGLNGWVRNRKDGSVEALFSGNPNVVDEMEQRCRRGPRYAVVSGVEVFPSDEEPGTGFEHKSTE >OIW11302 pep chromosome:LupAngTanjil_v1.0:LG05:24825724:24831280:1 gene:TanjilG_20451 transcript:OIW11302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQEEDSCSNTIEQWKWSEMQGLELHPHSQSSKPSQEQMGTSLSQQPNKDIDGVVDDAISNGVNGNGNGNNTSGEKKKTKAESVPPIGFVKLFRFADGLDYILMAIGTVGAIVHGCSLPIFLRFFADLVNSFGSNANDVDKMTQEVVKYAFYFLVVGAAIWASSWAVLNVKKVELVAEISCWMWTGERQSTKMRIKYLEAALNQDIQFFDTEVRTSDVVFAINTDAVLVQDAISEKLGNFIHYMATFVSGFVVGFTAVWQLALVTLAVVPMIAVIGAIHTITLAKLSGKSQESLSQAGNIAEQTVAQIRVVLAFVGESRALKAYSSALKVGQKLGYKTGFAKGIGLGATYFVVFCCYALLLWYGGYLVRHHYTNGGLAIATMFAVMIGGLGLGQSAPSMAAFTKARVAAAKIFRIIDHKPSIDRNSESGLELESVTGLVELKSVNFSYPARQDVQVLNDFSLNVPAGKTIALVGSSGSGKSTVVSLIERFYDPSSGQVLLDGHDIKTLKLRWLRQQIGLVSQEPALFATTIRENILLGRPDADQGEIEEAARVANAHSFINKLPEGYETQVGERGLQLSGGQKQRIAIARAMLKNPAILLLDEATSALDSESEKLVQEALDRFMIGRTTLVIAHRLSTIRKADLVAVLQQGRVSEIGTHDELFSKGENGVYAKLIKMQEIAHETAMSNARKSSARPSSARNSVSSPIITRNSSYGRSPYSHRLSDFSTSDFSLSLDASHPNYRHERLPFKEQASSFWRLAKMNSPEWLYALIGSIGSVVCGSLSAFFAYVLSAVLSVYYNPDHRFMIRQIEKYCYLLIGLSSAALLFNTLQHFFWDIVGENLTKRVREKMLTAVLKNEMAWFDQEENESGRIAARLSLDANNVRSAIGDRISVIVQNTALMLVACTAGFVLQWRLALVLVAVFPVVVAATVLQKMFMTGFSGDMEAAHAKATQLAGEAIANVRTVAAFNSETKIVRLFTSNLETPLKRCFRKGQIAGSGYGIAQFALYASYALGLWYASWLVKHGISDFSKTIRVFMVLMVSANGAAETLTLAPDFIKGGRAMRSVFEFLDRRTEIEPDDPDATPVPDRLRGEVELKHVDFSYPTRPDMPVFHDLSLRARAGKTLALVGPSGCGKSSIIALIQRFYDPTSGRVLIDGKDIRRYNLKSLRRHISVVPQEPCLFATTIYENIAYGHDSATEAEIIEAATLANAHKFISSLPDGYKTFVGERGVQLSGGQKQRIAVARAFVRKAELMLLDEATSALDAESERSVQEALDRASSGKTTIIVAHRLSTIRNANVIAVIDDGKVAEQGSHSHLLKNYPDGTYARMIQLQRFTNTQVIGMASGSSSSTRPKDEEKEG >OIW11943 pep chromosome:LupAngTanjil_v1.0:LG05:10249254:10252064:1 gene:TanjilG_02150 transcript:OIW11943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATIVQSDNPKYKACTPQSCGNGPNISYPFWIPYQQDPFCGYPNFEITCKNYNPILTTSSYDFIVNDIFYPNSSVSVTNIAIYEDKCPTPMYNYTLDHSPFTFSSENSNLSFFYNCTTEPIDYPTYDLDCAKNATHYSFAVFHKEALEHKNYSLNECQFMVNVPLNMNANVNFTSLLRMNYTEILKMGFLLNWTAPDCKYCEKSGGRCGFDGDMFLCFCKDKTYLKSCGHGVGAVVFGVFVVIIAIYFYQLRKKNDYTVSHIQSQSFSTSDPSLVKDPENKGSQYFGVHVFTYDELEEATNNFDSARELGDGGFGTVYYGQLRDGRCVAVKRLYENNYRRVAQFMNEVEILTRLHHPNLVSLFGCTSHRSRELLLVYEYISNGTVADHLHGRKTKPGALPWHIRMQIAIDTASALKYLHASDIIHRDVKTNNILLDDHFCVKVADFGLSRLFPIHVTHISTAPQGTPGYVDPEYHECYQLTNKSDVYSFGVVLIELISSLPAVDITRHRHEINLSNMAMNKIQNQALHELVDPKLGFESDFKVRKMVNGVSELAFRCLQSSKDMRPSMEEVVEILLDIQSYGQHKKSQPEVLDISANDDVVLLKDDPPPPSPDSNAASKSTTPNASG >OIW12325 pep chromosome:LupAngTanjil_v1.0:LG05:1901911:1902483:1 gene:TanjilG_32441 transcript:OIW12325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAPEIAGLNYTLHSPYTTIHNNNIPSFQLQTFPNQFYGFNNNNNNTFHIPDHFSPQSSGISSNSTSDEADEQNLRKHRRMISNRESARRSRMRKKKHIDELWSQVMWLRNMNHKLLEKVNHVLECYDHAVQENAKLKEQTSELHQIIRDMQMHSPCHSFGPLEDVPCNSTYLRYDSSNQNMSNSMDFLG >OIW12011 pep chromosome:LupAngTanjil_v1.0:LG05:9877221:9883739:-1 gene:TanjilG_16122 transcript:OIW12011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNSEATHVAMEIEANKPTGNGITTLPGLSPLSETLWREKTDTEMIGDVSARLTWKDLTVMVTLSNGETQNVLEGLTGYAEPGTFTALMGPSGSGKSTLLDALSSRLAANAFLSGTILLNGRKAKLSFGTAAYVTQDDNLIGTLTVRETISYSARLRLPDKMAWSDKRALVESTIVAMGLQDCADTVIGNWHLRGISGGEKRRVSIALEILMRPRLLFLDEPTSGLDSASAFFVTQTLRALARDGRTVIASIHQPSSEVFELFDQLYLLSGGKTIYFGHASEAYEFFAQAGFPCPALRNPSDHFLRCVNSDFDKVKSTLKGSMKLKFEGSDDPIDKITTAEAIKTLLDFYRTSQQSYAARQKIDEISKVTYILTKRSFINMLRDFGYYWLRLVIYIVVTLCIGTIYFNVGTGYNSILARGSCASFVFGFVTFMSIGGFPSFVEDMKVFQRERLNGHYGVLGFVISNTISATPFLILITFLSGTICYFMVSLHPGFVHYLFFVLCLYASVTVVESLMMAIASVVPNFLMGIIIGAGIQGIFMLVSGYFRLPHDIPKPVWRYPMSYISFHFWALQGQYQNDLRGLIFDNQTPDLPKIPGEYILENVFQIDVNRSKWVDLCVILSMIVIYRIIFFITIKINEDVTPWVRGYLARRRMQKKSGAQNTTIAPYVLTQSPSLRAYISSQTKNGTSKR >OIW12383 pep chromosome:LupAngTanjil_v1.0:LG05:310491:310964:1 gene:TanjilG_04132 transcript:OIW12383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSYSNCPCAACKFLRRKCMPDCVFAPYFPPEEPQKFTNVHKIFGASNVSKLLNEVLPHQREDAVNSLAYEAEARIKDPVYGCVGAISVLQRQVMKLQKELDATNADLIRYTCNEMPTTTTIITTSQRGSERGTSSIAQSSSFYYHSTWNNDHP >OIW12435 pep chromosome:LupAngTanjil_v1.0:LG05:925421:926394:1 gene:TanjilG_04184 transcript:OIW12435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEKIKIGVCVMEKKVKCGSQLLSAPMSQILDRLQAFGEFEVIHFGDKVILEEPVERYS >OIW12186 pep chromosome:LupAngTanjil_v1.0:LG05:4691011:4694125:1 gene:TanjilG_28594 transcript:OIW12186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGDLITRCLILVLGYAYPGFECYKVVEKNRVDMEELRFWCKYWIILALFTVLEKFADIFIAWLPMYGEMKLVLIIYLWYPKTKGTGFIYETVLRPYVSKHENDIDRKLLEWKARAWDFAIFYWQYCAQFGQTAFFQVLQYLALQSNRISANPTTLKNEVHREIESSSPSMQSPSFKMKQSSSISKSKKWPPSPPQSPSIHRNISETPKSKKVEVDLDFQTEYSEEDEAILEPEPVTIGEGQDVPRVNVKDRINRARARLRTIDTQNSRTPRTPQRRDMS >OIW11392 pep chromosome:LupAngTanjil_v1.0:LG05:23968834:23972696:1 gene:TanjilG_10710 transcript:OIW11392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVKMMRWRPLVSTKYEVRLIVKSLINGSDLFICNGSKLTVEIKWKGPKLTFGSLRRNAVARNFTKEAEFVDGDGGAAKVVKWDEEFRHVCNLNAYKDNAFQPWEIVFTVFNELNHRPKNKVVVVGTALLNIGELASQADQKDFDLNIPLKLPSGSVEPAPSLCILISLVELRAAQESMNQRSVVPMQSPPAQSVETTLAEKDDLSAIKAGLKKVKILTNYVSSRRVKKGSREGDGSEGKGSRSGEDGEYDHPLDSDSLDDFEEGDPDEGKEDSSVRKPLNYGSLAYANAGSAFCCNTRVNCDDEDWVYYSNRRSDVGCSQMDDSTMSSCEPYSLQNSKRNLLLSWRKRKMRFRSPKANKGEPLLKKAYAEEGGDDIDFDRRQLSSDDSLSMRVIGTNFHIVCQLYRTGDNSTANRSPISEFGDDNFAVGSWEQKEVMSRDGHMKLQTQVFFAAIDQRSERAAGESACTALVAVIADWFQNNRNLMPIKSQFDSLIREGSSEWRNLCDNETYKERFPDKHFDLETVIQAKIRPLSVVPRKSVIGFFHPEGMDEERFDFLHGAMSFDNIWDEISHAEHECSSNGEPRVYIVSWNDHFFILKVELDAYYIIDTLGERLYEGCNQAYILKFDNDTVIKMQDVQSSDDKTTTEQQTVASVLERDDRQVASVLERNDKQMQQIPGNEVNSVIEPEEQLKRAEKDEVVCRGKEACKEYIKSFLAAIPIRELQADVKKGLISSTPLHHRLQIEFNYTQLSEASMAVQESLALAVTETTPVAEASMVASETLALAVVTEASS >OIW12156 pep chromosome:LupAngTanjil_v1.0:LG05:3720262:3726620:-1 gene:TanjilG_28564 transcript:OIW12156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSVLRVHLPSEIPIVGCELTPYVLVRRADKTVTTDDVNETAPLDGQFLRYKWYRVQSDKKVAVCSIHPSEQATLQCLGCVKARLPVAKSYHCTPKCFSDAWQHHRVLHDRAASAANENGNEDEEVFGRFSSSGSGVSNSSLSASASSANLVNGSEAPYPAAITQKSGEIWYEVGRCKTYTPTADDIGHVLKFECVVVDAETKLPMGHVNTILTSRVIPAPSPTPRRLIPIDGIGHLDADGRITSSGTFTVLSYNILSEAYATNDLYNYCPSWALSWTYRRQNLLREIVGYRADIICLQEVQSDHYEEFFAPELDKHGYHGLYKRKTIEVYSGNTNTIDGCATFFRRDRFSHVKKYEVEFNKAAQSLTDAMIPTIQKKSALNRLVKDNVALIVVLEAKVNNQPVDNPGKRQLLCVANTHVNVQQDLKDVKLWQVHTLLKGLEKIAASADIPMLVCGDFNSVPGSAPHALLAMGKVDPSHPDLAVDPLTILRPHSKLAHQLPLVSAYSSFARTAGLGFEPHKRRLDGGTNEPLFTNVTRDFIGTLDYIFYTADSLVVESLLELLDEERLRKDTALPSPEWSSDHIALLAEFRCCKNKSRQ >OIW11258 pep chromosome:LupAngTanjil_v1.0:LG05:26195199:26200320:-1 gene:TanjilG_28349 transcript:OIW11258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDHVVGGKFKLGRKIGSGSFGELYIALSYIVFNIFLLFSIQAVNVQTGEEVAIKLEPVKTKHPQLHYESKLYTLLQGGTGIPHLKWFGVEGDYNCMAIDLLGPSLEDLFNYCNRKLTLKTVLMLADQLINRVEYMHSRGFLHRDIKPDNFLMGLGRKANQVYIIDYGLAKKYRDLKTHRHIPYRENKNLTGTARYASVNTHLGIEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYDKISEKKISTRIEVLCKSYPSEFVSYFHYCQSLRFEDKPEYSYLKRLFRDLFIREGYQFDYVFDWTILKYPQIGSSSRGRHDSGKAAMNPGPSVQKPEKISVGKEIREKFSGAVEAFSRRNPTGSSPRDDHTKHRTSDEVAVRKDVYHGQEKGRSSSRYGSSSRRPIVPSAIPSSSDDYTDSRTGQLTSSVIRPSTAQRIQPMYETKQASFTRAGSIRGNHDDPLRSFELLSIRK >OIW12359 pep chromosome:LupAngTanjil_v1.0:LG05:70164:72008:-1 gene:TanjilG_04108 transcript:OIW12359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANIEIKGILKEVGNDGVRVPKTKIVCTLGPASRSVDMIEKLLRAGMNVARFNFSHGTHDYHQGTLNNLKTAMQNTGILCAVMLDTKGPEIRTGFLKDGKPIQLKEGQEITITTDYDIKGDTETISMSYKKLPLHLKSGNTILCSDGTITLTVLSSDTQAGTVRCRCQNTAMLGERKNVNLPGVIVDLPTLTDKDKEDILQWGVPNKIDIIALSFVRKGSDLVNVRKVLGPHANHIQLMSKVENQEGVMNFDEILRETDAFMVARGDLGMEIPVEKIFLAQKMMIYKCNLAGKPVVTATQMLESMIKSPRPTRAEATDVANAVLDGTDCVMLSGESAAGAYPEIAVKIMARICIEAESSLDYGAIFKEMIRSTPLPMSPLESLASSAVRTANKAKAKLIVVLTRGGSTAKLVAKYRPAVPILSVVVPVLTTDSFDWTCSDDTPARHSLIYRGLIPLLAEGSAKATDAESTEVILEAALKSATEKGLCKPGDAVVALHRIGVASVIKICLVK >OIW12414 pep chromosome:LupAngTanjil_v1.0:LG05:705041:705793:-1 gene:TanjilG_04163 transcript:OIW12414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRYDSRTTIFSPEGRLYQVEYAMEAIGNAGTAIGILSKDGVVLVGEKKVTSKLLQTSTSTEKMYKIDDHVACAVAGIMSDANILINTARVQAQRYTLAYQEPMPVEQLVQSLCDTKQGYTQFGGLRPFGVSFLFAGWDKNFGFQLYMSDPSGNYGGWKAAAIGANNQAAQSILKQDYKDEISREEAVQLALKVLSKTMDSTSLTSDKLELAEVFLSPSGKVKYQVCSSENLTKLLVKHGVTQPATETA >OIW11686 pep chromosome:LupAngTanjil_v1.0:LG05:19585936:19591047:1 gene:TanjilG_12205 transcript:OIW11686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPNLLFDQSFPLFKPSKIPTPVTTTTISATAKNPNSNPDHPDEPDDTDALPAATESATFADLGLSEWAVKTCKELGMRNPRSVQSRCIPKVLEGRHVLGIDETGSGKTAAFALPILHRLSEHPFGVFALVVTPTRELAFQLAEQFRALGSCLHLRISVVVGGMDMLKQTKDLVARPHVVIATPGRIKVLLEQNPDIPPIFSRTKFLVLDEADRVLDVSFQEELKFIFRCLPENRQNLFFSATTTSNLQKLCERYQDKLYIYEAYEGLKTVETLKQQVIFIPKKVKEVYLMHILSKMEEMGIRSAIIFISTCRDCHRLSLMLDVLDQEAAALYSFRSQSERLQALQQFKSGKVSILLATDVASRGLDIPTVDLVINYDVPRFPRDYIHRVGRTARAGRGGLALSLVTQNDVDLIREIEALIERKIELLEYKENEVLSLMKKVFSAKNVAQMKMLDDGFEEKAKERKKQKLRMLSEKGLLKKGSKKRKRNKEFKDEGRKQKKEEPEALSDKASLKKRSKKRE >OIW11508 pep chromosome:LupAngTanjil_v1.0:LG05:22776336:22786729:-1 gene:TanjilG_26874 transcript:OIW11508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTDHDGAPNTLRVLVATDCHLGYMEKDEIRRHDSFQAFDEICSIAVDKHVDFMLLAGDLFHENKPSRSTLVKVIEILRRHCLNDRPVPFQVVSDQTVNFQNVFGHVNYEDPHFNVGLPVFTIHGNHDDPAGVDNLSAVDILSACNLLNYFGKTVLGGSGVGQITLHPILIKKGSTAVALYGLGNIRDERLNRMFQTPHAVQWMRPESQEGCQVSDWFNILVLHQNRVKTNPKNAINEHFLPRFLDFIVWGHEHECLVDPQEVPGMGFHISQPGSSVATSLIDGESKPKHVLLLEIKGNQYRPTKIPLMSVRPFEYTEIILKDEPDIDPNDQHSILEHLDKVVGKLLEKSSKKAVNRAELKLPLIRIKVDYSGFMTINPQRFGQKYVGKVANPQDILIFSKVSKRAKGEGKIDDSERLRPEELNQQNIEALVAESNLKMEILPVNDLDIALHNFVNKDDKMAFYSCIQYNIDETRNKIAKDSDTMKLDEEDLIVKVGEVLEERVKERSTRSKEPTQFTASAQSLEDFQGGSAARTESAVSFSDDEDAMPISASKPTRGRKGTSGASQTQGRGRGRGRGRGKDSSSLKQTTLDGAFHSSQRSASVAAATAIRSGADVEDNLGAASDDDVDDNSENESKLPGRKRAASRGRGRGSTQASKRGRKSENSSIHRMLMNNDDDDDDDDDNVRKRLNKPQTRVIHGRWAMLGALGCITPEVLQNGSDWTSKSQFGSKLEPKYSQMGLVEGFLINGLDGVGEGNNLYPEGDYFDPLGLANDPDTFC >OIW11390 pep chromosome:LupAngTanjil_v1.0:LG05:23989789:23996051:1 gene:TanjilG_19646 transcript:OIW11390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSVSHKEHEGIAHNSVGRLSHGSSAPWWSAFASQSAYGESSGQMKPFSLEIPNYVDQLAATKQSARGAEQVFGKRHTTQFTIFPDVCKMSGDSPKLQTFISPQTSLANTNTRFELGFSQPMICAKYPYADQFYGLFSTYGPQISGRIMLPLDMTSDDGPIYVNAKQYHGIIRRRQSRAKAVLANKLTKRRKPYMHESRHRHAMRRPRGCGGRFLNTKNSANGNAKTGTEVNIACGQQLYSSGSQGSEILQSEVGTLNSSKETNGSSPNISGSEVTSMYLHGGLDSFTFNHLGSADVHSLADMIDGGRGIVMPTKWVAAGASVSTVISNRIFNFSYTHSPISLPTNFCLRPISHKPLNLILNSQSFNLYPLSLHSLHPPFASEFDGVEVAQDVITEGTQEDEPEPELELEPENFEKPPEKEEKNGPESNDGGRLYVGNLPYSLTSNQLAELFGEAGTVASVEIVYDRVTDRSRGFGFVTMSSVEEAKEAIQMFDGSQVGGRTVKVNFPEVPRGGERLVMGPKLQNNYRGFVDSPHKIYAGNLGWTVTSEGLKDAFDQQPGILSAKVVYERDSGRSRGFGFVTFETADDADAALEAMNGVEVQGRALRLNLAAMRAPSSHPSVEENTESYADSSELVSSAST >OIW11551 pep chromosome:LupAngTanjil_v1.0:LG05:22179519:22180808:1 gene:TanjilG_26917 transcript:OIW11551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKMNLSSKDILSVSSGNSSHFDTANRRIEMQERYSKILVECSSQLVIDEDVFQHKEGIKVFTSKVPMKASLFATMKNVNYLPNVLAVMEAEEKGAFASIWVDEAGYIAEGELHTIIQIEDRFLELAHPRGIAILCPGHLTISYMAALQKRLLQLATKLVDQGLLKGVTTKNLTVEEAKSAAEMMYVGSTLPVLPIVAWDVRPIGNGKVGELTMSLSDLIWDDMVAGPDSQRIHVTYVE >OIW12027 pep chromosome:LupAngTanjil_v1.0:LG05:9448877:9449098:1 gene:TanjilG_27324 transcript:OIW12027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGVSSINHPDLRCMSTDYGFEGHPSRNDLPQSGYVEVFYDDPEKRVVFEPIEMTQEFRYLDFASPWEHHSDG >OIW12068 pep chromosome:LupAngTanjil_v1.0:LG05:8721327:8725196:-1 gene:TanjilG_24492 transcript:OIW12068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPELLFQQQQQRSNRSWRPTFSTRPRDRESSPDSVIFTLESNLSLFSSASASVDRCSFASDAHDDHDSLSSQISLHLAAQNENWSGSDPDPIQKEDSDCDTEEDGNQILDFDSARNSFSLALKECQDRRSRSEALFNKHDLRMPASLDLNNAIATGSSPHMRAMKKSSVLPRRSGSGNFMSPGTPNYRQAGAAMQKGWSSERVPSHTSSARKQIGAALLPLSNGRTLPSKWEDAERWILSPVSGDGTGRASLPPPQRRPKSKSGPLGPPGVAYYSLFSPAGPMFEGGNGGNFMAASPFSAGVISADGLTIHSNGHGPLRSDPCMARSVSVHGCSEMQSQSSMPAQEKFDGFKDAGTGVCPAVSRRDMATQMSPEGSSCSSPNTRPSFSASTPIPLPLTEFQSASFSKMDIRDVQVDERVTMTRWSKKHKALFSGRGSENVDSWKKKEMSTQSSSDNSERSKTVSKANREEAKITAWENLQKAKAEAEIRKLEMKLEKKRASSMDKIMKRLRSAHKKANDMRSSVSANQANQVTRMDHRALSFRRPSQMGSLSGCFTCHVF >OIW11757 pep chromosome:LupAngTanjil_v1.0:LG05:17730483:17730683:-1 gene:TanjilG_10959 transcript:OIW11757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEMFFTLAFSSVPLILYFPPMRSFNLFVETIEETLKSTSVYTNRVNHGLRGAWSRVLNCVSRSRR >OIW11833 pep chromosome:LupAngTanjil_v1.0:LG05:13553842:13556605:-1 gene:TanjilG_14645 transcript:OIW11833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTNSKDLGKHFIGGGKGKVGKQAFLEKRLPSLPNLGAKQDAYTIHFEWDNDFGIPGAFYIKNFTQSEFFLVNLTLEDIPNQGTIHFLCNSWVYNSKLYETNGIFFANKTYLPSITPPPLVYYREEELKTLRGNGKGERKEWERIYDYDVYNDLDNLDKNASLACPILGGSTLPYLRRGRTSRKSSNKGGIKLPTNVLNLIPNPFFRELVRTYGEHVLKFPEPKVIQGYCRKQVVHSIIPYLRKINATDTRKAYATRTILFLQSNGNLNPLAIELSKPHPQGDNFGPISNVYLPTDQGVDGSLWLLAKAYLIVNDSCFHQLVCHWLNTHAVVEPFIIAANIHLKGIIESTFLLGKYSMENSAVIYKDWVFLDQAPPADLIKR >OIW12395 pep chromosome:LupAngTanjil_v1.0:LG05:442266:442871:-1 gene:TanjilG_04144 transcript:OIW12395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMEENNNISRVSCSDDGPTTATTATITTTTTKSSNNNNNKKSRFATTTEDGGGLDCSGKNCKSCIAWLIADFVALCCCPCAVLHCFALAFVKAPWVVGKRCLRLAKNKNKNKKNKSKDKKVVKKKSCKRGYDYDDHDDDDDDNDDDIVRRNMRRNLRVDTNVVEEMDSVTINAAFEAEKVWLELYQIGHLDFGRVSSSND >OIW11507 pep chromosome:LupAngTanjil_v1.0:LG05:22799622:22806755:1 gene:TanjilG_26873 transcript:OIW11507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPETITQRNIHRHRHHTTTPTIDEEESYDDDDISSKDGEIYNRNSVLGGSEQRNNPSSKKKRVSDAKWVEEWNRIYLMVCAASLFVDPLFAYPLSISMSNICLFIDGWFAVTVTVLRCMTDMFHFLNMFLQKRTFSNRGSYNTKRGSRIRGFILNLLVTLPMPQIALWVVIPVLLEKGLITLALIIYFIVFIVQYIPKVYHAACVMRRMHNLNDYIFGTVWWGSALNLIGLFLVSHIVGAFWYLLGLERVMECLGEKCGDKSGCGPKILSCKQPLYFGINNMLKDQTRMAWSLNTEARSTCLEDGKSYTYGFYAWVVQLVTDENRLEKSLFSLFWGIMTLCTFGNLQSSPHWLEVVFNIVVLTCGLFLVTMLIGNIKVFLNTITSKPQAMKLRMMSIDVWMRKKRLPKEIRERVRSFERQRWAATRGVEESELINDLPEGLRKDIKYHLCWDLVRQVPLFQHMDDQVLEHICDRVKSVAFTKGETISREGDSVQRMIFVVRGHLQSSQELHDGVKSYCMLGPGNFSGDELLSWCLRRPFIERLPPSSSTLVTLETTEAFVLEAWDVKYVTQHFSYTFVKEKVMRSARYYSPGWRTWAAVAIQLGWRRYKDRNNSTLNTSLSIKKPDKRMPTPSMGEDKLRFYAAMLNSQKPGQNDFDFFD >OIW11691 pep chromosome:LupAngTanjil_v1.0:LG05:19441250:19451856:-1 gene:TanjilG_12210 transcript:OIW11691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSSKRSTTAGAAANNQNTNKKKNNKKKNKSAPDAVAMKVKGASKTDNPFESIWSRRKFQVLGQKRKGESRRLGLARSRKNTLLKEYEQSAKSSVFVDKRIGEKDEGLDEFGKAILRSQRERQLNMKLSKKSKYHLSDGEEDFEDVLGRDDFEDEMLPDNDDDAVETEKRSDFGTWTPGETSAVEGEGNKHKTKKEVMEEIIAKSKFYKAQKAKDKEENEDLVKKLDENFTEIVESKALLSLTEPNKMKALKALVNKHVSNEQSNKDNLFGSRTTNHFPEDKPDDYDKLVKQMGLEMRARPSDRTKTPEEIAQEERERLEELEEERQKRMTAAEDSSDEDEDLEKTSKQKPMSLSGDDLGDSFSVNEETMTKKGWIDKILERKDEEESSSEDGEDDEGDDLESSEDADEGSDEDLNEPEKDLSLKDWEQSDDDYIRTESEDEEDNDEGKERAAEELDEKKRSDDGIQKKAKKNDNVESRKGDKDSLDAKKTSVGGTQSKELDIPYIIEAPKTFEELCSLLDNCSNSDTILIINRIRKSNPITLAVENRKKIQVFYGVLLQYFAVLANKKPLNVELLNVLVKPLIEISTEIPYFSAICARRRIETTRKQFVESIKNSESSSWPSSKTLFLLRLWSMIYPCSDFRHPVMTPVILLMCEYLMRCPIVSGRDIAIGSILCSMLLSIFRQSRKFCPEAIMFLQTSLMAAIESSKHISHEDSPVFYGVLLQYFAVLANKKPLNVELLNVLVKPLIEISTEIPYFSAICARRRIETTRKQFVESIKNSESSSWPSSKTLFLLRLWSMIYPCSDFRHPVMTPVILLMCEYLMRCPIVSGRDIAIGSILCSMLLSIFRQSRKFCPEAIMFLQTSLMAAIESSKHISHEDSPLYHLVELKALKPLLCIHEAVHEISPLNFFKIIDMPEDSSFFASDNFRASVLVTVVETLQGYITVYEGLSSFPEIFSPILRLLLEIAGNENIPNALRDKIKDVAELVRLKVDEHHTLRRPLQMRKRKPVPIRLLNPKFEENYVKGRDYDPDRERAEMRKLKRELKREAKGAARELRKDNYFLLEVKDKERSLLEKERAEKYGRAKAFLQEQEHAFKSGQLGKGRKRKN >OIW11711 pep chromosome:LupAngTanjil_v1.0:LG05:18952864:18989385:-1 gene:TanjilG_12230 transcript:OIW11711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLFISDEEFSRCAGDTATVAAKADAFIRGLLHDLDTVRARADAADINAEQNCSLIEQKYLSLAAEFSKFESQVSELQSSLDQRQRELAETQSQNHQANLKLVEKDREIESLRTEVGELHKSKRQLIELNEQKDLEISEKNATIKSYLDKIVRLTENAAHKEARLSEVEAELGRLRSACTRFQQEKDILERQNAWLNDELTAKVNSFFELRRKHTDLDADMSSKLTDVERQFSECSKSLQWNKDRVRELEVRLKSTQEALISAKDAAATNEERLSTELSTVNKLNELYKESYEEWSRKAADLEGVIKAMELHLKQGEDVYKEKLEKELCARKQVEKEAADLKERLEECEAEIQTRKKANESNNLSLTSFTAHPWFASIEVDNMVDENSAIVPKIPVGVSGTALAASLMRDGWSMNILTVSLYFLKLAKMYAKYQEAVDAFRHEQLGRKESEAILQRVLYELEEKAEAIVDERAEHEKMADAYSLMNQKLQNSLTENTYLEKTIQELQADLKRSERDYNLAQNEINDLQKQVTVLLKECQEMQLRGGSIEYDIVDVTASITSTTTTETEAEKVISEHLLTFKGINGLVEQNVQLRSLVHSLSGQIENQEVEFKEKLEMELTKHTEAASSKVEAVLQRAEEQGHMIESLHTSVAMYKRLYEEEHNKLPHSHSTEALAAVAEVGRIDLKASIESSQEAAKKSFEKVAERVKYLEDDLAKSRSEIIVLRSERDKMALEANFARERLESFMKELEHQKAEVNGVLARNLEFSQLIVDYQQKLRESSELLSAAEERCRKHSMELSVLKHEKEILSNAEKRASDEVHSLSERVQRLQASLGTIQSAEEVREEARASERAKHEEYVKKLENEWAEAKKELQDERENVRRLSLDLDQTLKNSLRLVEDMSKELNNALRAATSADSRAAAAEAKLCSLQRKMGSTDDDKVAEMDGASGPSTLSSDEVVAELQAAKEEIEKLKEEALANKAHMLQYKGIAEVNEDALKQIEVAHENYKIESDKAKRALEAELHSLKEKVSELENECSLKSEAVTSATAGKEEALASAFAEITNLKEEILSKSSQISEMEIQISGFKEHLDKEHQRWHTAQKNYERQVILQSETIQELTKTSEALALLQEEASELRKSTDAQKIENSELKAKWEEEKVALEKARSDAEKKYDEINEQNKILHSQLDALHIRWAEKERSYAGVSSGSSSADLFGDASLQNVINYLRRSKEIAETEVSLLKQEKLRLQSQLESALKAAESAHAKLEAERAKSKSFLFTEEEFKALQLQVQEMNLLRESNMQLREENKHNFEEWQKSCEQAQKARAEIENLENLLRQKEIELEGRKNEIEALKMEKDHLNHKVSELMERSKNVDLEDYDRVKKLVRDLQDKLRDKDARIEEFAKIVSEKQVSVSRLEQDLSNCRLEFTEKEKRINDILHVEASLKLEAEKHRKLLAQFKKRIDVLSREKEELGKDNQQLARQLDEIKQSKRSTSDTTGERAMKEEKDTRIQILEKHLERLRDELKKEKEDNRVERSRRLKTEKAIKDSYNNVEQEKTKFINELERHKESLKQLSNEVEKLKIDLSNLPEGTNAAQLFSGSSVDDFVAPYISAVEIFEKESQSMFSELGGRGNVGDASTVPDSSAAATGSLVHAQPPAVAPGESSLPPKPTVDSERRLALPRAGVEARKAGRRLVRPRLVKPDEPQGDAEMSDAEGPGGKPGPSGDTESQSSAALSSQPLARKRIAPTPSSELREESATLGEKSSDVVAPVLKKSKGSESPEVSAEEQPAATSEFTGSHPITEESFDGGELRQGQNEEAGEAQNEDGEIAVERDEESKDPQHMDDTSQEELHGDRTGILEENLDQPSETKVVTDDAHGDHSEPDNQQSTLAPGSEKEEGEMFLDSGDLEGGSDLSNIAENQESREGQSEPAASPEPSPARVDDEALEAGEISPERSMDDKIDEGDMVEEIVDVTDPTVVESDQVADPAPVAGESSLTGSAAETGSSKTNLPVPKQGTTSETEDTKQQQTSPSMSTTINLSERARQRAQLREAGRGRGRASPRGRVLRGRGGQGGRRAPPSGNA >OIW12000 pep chromosome:LupAngTanjil_v1.0:LG05:10025372:10028135:-1 gene:TanjilG_16111 transcript:OIW12000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPSNEFQNNGGGGNGLNYIEHQVSNFDTLAGVAIKYGVEVADIKRMNGLASDLQMFALKTLRIPLPGRHPPSPVPSLPNGHAKQGFERRSPPRGKAGMKEPLKSLRHKALEEEISPAMMILRKYYGLKSSKSRDTFEGMKMAAYISASSDHSGDKWLPKASPISDFPSDHYPKSSNPVCDLLTGNDEVPEYVPLSEIGNAGGEKSDEKSVRRRPKAEIDSGGGTPERISEEGNSGGSNGFSSTGKPLSGRTKSASRAVLFPESESGWLDSIAVGLGDSILTDRFSGVRKSSSASSLREQEKINSAATVWPPRWSLKPDLQAAIDGLPIPITCLRGKTAID >OIW11624 pep chromosome:LupAngTanjil_v1.0:LG05:21188912:21189379:-1 gene:TanjilG_31903 transcript:OIW11624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLVPENVYELGFDEFSEANNVLLMSLMEETHEDEYNGDDRLVSMIQSLEAEINDPILGQRYELGHMMDGQDCYTSINDNAHWVDNKELFSSLSFDEMNTWIPCEDNEMMEHVAMEYKGGNDIDEFELYYGGFLEQQHRETHLSQGQSDAIFSN >OIW12256 pep chromosome:LupAngTanjil_v1.0:LG05:2443715:2448908:-1 gene:TanjilG_06045 transcript:OIW12256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSIGTGYDLSVTTFSPDGRVFQIEYAAKAVDNSGTVIGIKCKDGVVLGVEKLIPSKMMLPGSNRRIHSVHRHSGMAVAGLAADGRQIVARAKSEATNYDSVYGDPIPVKELAERVASYVHLCTLYWWLRPFGCGVILGGYDRDGPQLYMVEPSGVSYRYFGAAIGKGRQAAKTEIEKLKLADMTCRQGVIEVAKIIYGVHDEAKDKDFELEMSWVCDESNRQHVKVPDVLLEEAKTAAKAALEEMDAD >OIW11877 pep chromosome:LupAngTanjil_v1.0:LG05:11749613:11757930:1 gene:TanjilG_25790 transcript:OIW11877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKNVQRSFSGGNEYYMEQSTPMKKYGEEDSPSDFSPGLLDLHSFDTELLPEMPVSNVFDATLSRSLDDSEPYIYSKQTGRALAPENTSDNISADKEKSSAVAKIKVVVRKRPLNKKEATKREQDIVDTVSNYLTVHETKLKVDLTQYVEKHEFVFDAVLNEEVTNDEVYRETVEPIVPIIFERTKATCFAYGQTGSGKTYTMKPLPLKASRDILRLMHQTYRNQGFQLFVSFFEIYGGKLFDLLNERKKLCMREDGKQQVCIVGLQEYRVSDVETIKELIEQGNATRSTGTTGANEESSRSHAILQLAVKRPADGNVSKPPRLVGKLSFIDLAGSERGADTTDNDKQTRIEGAEINKSLLALKECIRALDNDQGHIPFRGSKLTEVLRDSFVGNSRTVMISCISPSSGSCEHTLNTLRYADRVKSLSKGNNSKKDAPSSNFNLRESTTLPLSSVAASAYGDRTADTWVEENDGDEFSPPEEYYEHPKPSLNKNGKIELYGAADDKLKKPNGQIKWKEPPKVEPVTTRLDDDLNALLQEEEDLVNAHRKQVEDTMNIVREEMNLLVEADQPGNQLDDYLTKLNDILSQKAAGIMQLQTRLARFEERLKQHNVMVSSAEDSFHNYPLLFSHKSHRFYHRHHHHHHYRSFTFETNSLEYDFYRDSCPRAEHIVRAAIRQLHRSRPSLVPAIIRLAFHDCFIQGCDASVLLDADDYIDSEKESPPNQSLKGFDVIETIKSKLEEACPGVVSCADILVLAARDCVALAGGPFYPLNTGRRDGSNSFSDIATFELPSPYGDLSETIASFKTRGFNEREMVTLLGSHSIGVIHCKFFQDRLYNFTGTNEPDPSLDTEFLKLLRSTCNHTNALSSSALGHSSHGSPSSLVEEPGVDMDFEQTRLDFGTVYYHSLLQGRGVLYADQQLTKGKATKKWIKAYAYDPTLFHRDFALAMMKLSDLRVLTFPMGQIRRKCSKIA >OIW12374 pep chromosome:LupAngTanjil_v1.0:LG05:198729:200018:1 gene:TanjilG_04123 transcript:OIW12374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYLVTSDPNNIHHIMSKSFDNYVKGSEFREIFQPFGDGIFTSDSETWKYNRALFHSLFRQRRFELFLEKTIHKKVHNSLLPILDHAQQQGTVIDLQDVFNRFTFDNICSLVLGYDPSCLSIEFPEVAYEKAFNEAEESIFYRHTVPKSVWKLQEWLQIGQEKTMTKSCKVFDQFIYACIESKREEIRKKRHNEIVESDDDDDEHVDFLTTLISDEKEGVHDNIFLRDAAFNLFVAGRDTITSALTWFFWLVATNPIVETKILEEIKENFGSKDTLGIEEVKKLVYLHGALCEAMRLFPPIPFERKQAINGDILPSGHNIKPKTMILFSLYSMGRFESIWGKDCLEFKPERWISERGSIIHVPSYKFFSFNAGPRTCLGKDLSFIQMKMVATSILSNYSLHLLQDHHAIPSLSIVLLMKNGFKVMIKKR >OIW11759 pep chromosome:LupAngTanjil_v1.0:LG05:17742945:17744126:-1 gene:TanjilG_10961 transcript:OIW11759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKIERYGWKKLAHPNKTYNMEIVKQFYSNAFFSKDAQVNRYTWVNGVKVHYNKQIINQYLGSPWKPRPAEGQDLCDYQIMIKERKHNEYYVKSLLCIQGLDTDKLTATLKGPVYKFCMTQMSQIWTIFILSNVWPASHVSDLPMPKAKLLYFIYNNHSIDIAAIISDAIVECIKKGAITMILPSFITDFCKFCKLPESYGEVMTLKSVIDASYINNRCKEKDLATVEEELRKKRKVDVHGGEGQSSQVPEQAPQPIPITQEQQPNQMDLILAQMQQIQVQMQQAREQTQARLKAIETEQNFQNQQQIACYRGITGVYECLQHVYDGHPYFAGRSFADFITHTQWPEGRPYDRQGESSSHAARAGDGATAGAEPGDGATDDTDDFMRTDDPEV >OIW11595 pep chromosome:LupAngTanjil_v1.0:LG05:21636271:21645433:1 gene:TanjilG_15289 transcript:OIW11595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANKSVAKVSIFVLIMIFSTFLMTLNALNLHDHHPFVHKKVDSQSLLHKLGIDISKHVHIGVVDDIIPQGDKTALLGSNPCHISALPITCDITTPTGPNPKHNDAPPIARDRTAPSGSNLKYNVALPIRGDKTTPTGPNPRHNAAPPIARDRTAPSGSNLKHNAALPITGDITTPIGPNPKHNVAPPIARDRTAPSGSNPKHNVALTITGDITTPTGPNPKHNTSPPIPGVPHIPVDSRTTSLGPNPKHNVALPIIVDRSAPTGPNPKHNDAPPILGDRTAPLGSNPKYNAALPITGDITTPTGPNPKHNTSPPIPGVPPIPGDKTALLGSNPCHISALPITCDITTPTGPNPKHNDTPPIPGVPLIPGDKTTPSGPNPKHNDTLPITRDITTPT >OIW11541 pep chromosome:LupAngTanjil_v1.0:LG05:22279571:22279868:1 gene:TanjilG_26907 transcript:OIW11541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGEVISEDNASLWGDDNGRFVVTMQATVGERVVVEVSMGVVELVVVVKLMVVVIKAVKFDVVVIAAK >OIW11251 pep chromosome:LupAngTanjil_v1.0:LG05:26168424:26171216:1 gene:TanjilG_28342 transcript:OIW11251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGFAAQLTESEVEYLKKLPDVISIRPDKQVQIHTTYSYKFLGLNSARENGWYQSGFGRGTIIGVLDTGVWPESPSFNDHGMPPIPRKWKGICQSGQAFNSSNCNRKLIGARYFTKGHLAVSPSRIPEYLSPRDSSGHGTHTSSTAGGVPVPMASVLGYAEGVARGMAPGAHIAVYKVCWFNGCYNSDIMAAMDVAIRDGVDILSLSLGGFPVPLFDDSIAIGSFRAMEHGISVICAAGNNGPTEMSVANEAPWINTVGASTMDRNFPAIVHMGNGQILYGESMYPMSKLLSIGKELELVYLTDAETESQFCLRGSLPREKVKGKMVVCDRGVNGRAEKGQVVKEAGGAAMILANTVINLEEDSVDVHILPATLVGFDESVTLKAYINSTMKPLARIEFGGTVIGKSRAPAVAIFSARGPSFTNPSILKPDVIAPGVNIIAAWPQNLGPTGLAEDTRRVNFSVMSGTSMSCPHVSGISALIHSAHPRWTPAAIKSAIMTTAEVTDRIGRPILDEDKPAGPFALGAGHVNPQRALNPGLIYDIMPNDYINHLCSIGYTRSEIFSITHRNVSCNDIMKINRGFSLNYPSISVMFKHGMRTNVIRRRVTNVGSANSIYSVEVVAPEGVKVIVKPKRLVFKQINQSLGYKVWFISRKSADIMNYAQGSLTWIHTQNGSYRVRSPIVVTWKSR >OIW11341 pep chromosome:LupAngTanjil_v1.0:LG05:24485520:24488117:-1 gene:TanjilG_31109 transcript:OIW11341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACSAPSLITSNPNSFFSPKFLSSTFPLSSLSFSALPLRTKPLRTSLTPRSTFLLRATSSEFPLVGNTAPDFEAEAVFDQEFINVKLSEYIGKKYVILFFYPLDFTFVCPTEITAFSDRHAEFEELNTEILGVSVDSVFSHLAWVQTDRKSGGLGDLKYPLISDITKSISKSYGVLIPDQGIALRGLFIIDKEGVIQHSTINNLGIGRSVDETKRTLQALQYVQENPDEVCPAGWKPGEKSMKPDPKLSKEYFAAV >OIW11235 pep chromosome:LupAngTanjil_v1.0:LG05:26055975:26057498:-1 gene:TanjilG_28326 transcript:OIW11235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSLLTVLSMENHHHRSTLLSMDSSASSHEELDLEMNTQIILSGPPDINLPLSAERSPPPQPWNPDPCDILDVGLGTQGYGTETFLNLPKPGKKCTKRVDSIWGAWFFFQFYFKPVLHHKSKSKVIKDINGVSGFDKSDLKLDVFMVQHDMENMYMWVFKDRPENALGKMQLRSYMNGHSRQGERPFPFSPEKGFVRSHRMQRKHYRGLSNPQCVHGIEVVSSPNLMGIDKDEQKRWMELTGRDLNFKIPPEASDFSSWRNLPNTDFELDRLPHPIKSVPNPQPPKKLLNGSGLNLSTLPANHSNGDTIDLSPVSSKKRKDFFFYGGNDEECYLAVNPPSGRIPEIEMNLTEPHWLNDFGGMMKNVSGPVTAAKTIYEDELGYLIIISLPCVDLPSVKVSWRNTLTHGIIKVSCVSTSGKPFIKRHDRTFKLTDPSPEHCPPGEFVREIPLSTRIPEDANIEAYYDGPGSVLEIMVPKLREGSEEHEVRVCLRPHLGGNDLNVDLS >OIW11414 pep chromosome:LupAngTanjil_v1.0:LG05:23784082:23789491:-1 gene:TanjilG_05185 transcript:OIW11414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRITNMRPNIVSEAGVSTRLNQWWENIPFLTSAVVVVCGIIYVVCLLVGYDSFTEVCFLPSAVISWFQVYRIYTSILFHGSMLHVFFNMTALIPMGSELERIMGSVRLLYVTILLATSNALFHVLIALLVAHNPIHPYENLMYECAIGFSGVLFSMIVIETSLSGVQSRSVFGLFNVPAKWYAFFLLVVFQLLMQNVSLLGHLCGILSGFAYTHGLFNFLIPRTSFYSSIESSSWLSSCVRRPKFIVCTGGNLSSYIPTHTSENSTSSGILPGNIWRNLSSFMPQRGVSAQTNEDSRFPGRGRTLGASQGQMASHLHSDSNLHASLLDNSSPNHPLESTLGTNRKLSGGRHSADNVPTATAVVPPPQGAIASEEGIKKLVSMGFDRTQVEVALAAADGDVNVAVEILMSQQD >OIW12155 pep chromosome:LupAngTanjil_v1.0:LG05:3702828:3706618:-1 gene:TanjilG_28563 transcript:OIW12155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVQERSTPKSQKPFLRNTLPINRFAETKNLDFSTWVSENFYKIVAILVLIATVVGLFFFRNAGYSAALLCFEKQAQELEKIAFPKINWNKIHPITDKTSKFTNFRTERWIVVSVSSYPSDSLRNAVRIKGWQVLAIGNSRTPLDWELKGAIFLSLDEQANLGFRVVDYLPYDSYVRKSVGYLFAIQHGAKKIFDFDDRGDVIDGDLGKHFDVELIGEGSRQEVVLQYSHDNLNRTVVNPYVHFGQRSVWPRGLPLENVGEIGHEEFYTEVFGGKQFIQQGISNGLPDVDSVFYFTRKSGLEAFDIRFDEHAPKVALPQGMMVPLNSFNTMYHSPAFWALMLPVSVSTMASDVLRGYWGQRLLWEVGGSVVVYPPTVHRYDRVEAYPFSEEKDLHVNVGRLIKYLVSWSSNKHNLFEKILELSYAMAEEGFWTEKDVKLTAAWLQDLLAVGYQQPRLMSLELGRPRANIGHGDRREFIPQKLPSVHLGVEETGTVNYEIANLIRWRKTFGNVVLILHCSGPVERTALEWRLLYGRIFRTVVILSEKKDMDLVVQEGHLDQAYKYLPKIFDQFSSAEGFLFLQDNTILNYWNLLQADKTKLWAMNKVSESWSSVSTSDKSDRWLSQQASMVQKVVSMMPAQYQVSYKETIGDDKNLLICSSEVFFVPRRFISDFVELVNLVGNLDIHQTVAIPMFFVSMDSPQNFDSVLDTTIYKQNPHTNASTLYSAKVPAVHPLNVSSEQDFIKLIRIMAEGDPLLMELV >OIW11749 pep chromosome:LupAngTanjil_v1.0:LG05:17573484:17574158:-1 gene:TanjilG_10951 transcript:OIW11749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLAASVTIFLFLLFTFSRAREIHHYFPHSIPVSLNANHIHQHDTVSENANQFHQHDVVLENANQIHQHDTVSENANQIQQHDTVSENANEIHQHDTVSFSLHTADPVVPLTFVTFRPINRHVPRNPLPLSLSLRTGKRRCRHGHRRPIPYGHDAIVMSDAAVDPSNRGVVRQIPTRWARFQGGARMRFPEVNPSKHHLLLHRKVHGDEGWFSNKIRMFLNMF >OIW11400 pep chromosome:LupAngTanjil_v1.0:LG05:23890489:23892505:1 gene:TanjilG_10718 transcript:OIW11400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSESSGDFVKCFKCCESGCDCSMATRRKDDELEPEGLDYSIARVEIGDECTALREAVRNQQQCIQNLYSELEEERNASSSAANEAMSMILRLQREKAQLQMEARQFKRFVEERNSHDQQELLILEDLLYQKEQVIQSLTCEIQAYKHRLLSFGITESEADEIPPYEYPPLRCNVMNVVTNDDNDDIDIEKHVFGETPRDRARNLKNKITQLETSPTYSQMEGDLTGKSVLDKVVVGQSPRWTKHSRKFSSESTSFCPELLMDSPRLNCSFKKIDEENSNWNMVDNASEGGDDMSDTRVYTIDYVNNGAPYNGSTDFKAGASAYDDYGTTPKEIGFNADFEDPYVKKLYTRLQALEADRESMRQAIISMRTDKAQIVLLKEIAQNLCQEMSVQRKQAMRKRSVVGRLPFVTFFKWIASILFWRRKANEIKYMFGLPSNNDGLYLLINKEPHVRSWRYLTSTHLGD >OIW11369 pep chromosome:LupAngTanjil_v1.0:LG05:24158229:24163682:1 gene:TanjilG_19625 transcript:OIW11369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHFTFTFSHTIPLPRFPSSLVHPELQLSSDSAEKNLYKTKFFTQILDHFNYNPQSYQTFQQRYLINDTYWGGFKNKAPIFVYTGNEGDIEWFTQNTGFMFEKAPHFKALLVFIEHRFYGKSIPFGGNKEIAYANSSTLGYLSSTQALADYATLIIDLKKNLSASDSPVVVFGGSYGGMLAAWFRLKYPHVAIGALASSAPILHFMGVTSPYVFNNIITQDFRGVSENCYKVLKGSWKLIEDTFNKKGGPELLQKSFRICNNESDPGSLEGWLQTAWVYAAMTDYPTPSNFLNPMPAYPVREMCKAIDSSRGNNNNTLEKLYYAANIYYNYTGNVKCFDLNEDSDPHGLQEWQWQACTEMIMPLGGNNEESIFPESKWSYDDRSSYCQYAYNLTPRPHWITTEFGGHDIKKVLRRFASNIIFFNGLRDPWSGGGVLKNISKTIVAIVAKEGAHHVDLRFSTKEDPKWLKRIRKQEVKIIARWIKQYHEDL >OIW12262 pep chromosome:LupAngTanjil_v1.0:LG05:2573401:2582225:1 gene:TanjilG_06051 transcript:OIW12262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRKEEEKNEKIIRGLMKLPPNRRCINCNSMGQHYVCTTFWTFVCVTCSGIHREFTHRVKSVSMAKFTSQEVDALQNGGNQRAREIYLNSWDFQRQRLPDNSNVDKIREFIRNSPRIQEDDTRRASSYHSYSQSPPYDNQYEDRRYGKQAFALTRKPGSDKVRYEGKMSSIIYSPGRFSEHAFDERFANEGSARRISDFSVSSAGDQFKSAVQSPMFHKDIGFSSPSYQHSGSNSSEDAWSQARSTVLETNAKRGADEIHCPQRTTSLQSTDTNFSSLRSHNSGGVVDFFSEPVQASGYLQNKVAGAPHPSIPIRSVSADLSKSPLASELFPSSTSSVNLFPLSTTPSVDLFESSVLSAAPSFNENQPTRTSQPLSVDFFAELGQQPSTEISDATSHVSLVDLFQSSVLTEAPSFNQNQPRQTFQPSSIDFFAANSQQPSATNSIAPPQAPSQAPSVNLYQSSVLSAAPLFKEKQPTQTSQTQPIDFFSDLALQPFTATSDEKSVNFSVPKNEGWAAFDMPQSISSTAQVGIPVAALSSAGSLQERFDPFSNFNANMQLPSVEISSVSAPPSSVTSNLWHDGLWSGEGQAPNIATNTQPWNAFESSGTHLPVDAFSKGLQLHTFPSADNQFLGSRASEFGGSNKGGMQVIASVGGFDNHDIPSHTSASPNLPPTPPQMGDMQSSGISSKSTNPFDYPYDFDVEHSNMFFDMSSLQAALPDALLPATFSGGIAEPWHPQSMVISYISSAGQGGLSFIAAQPLSSQIQNVQTQEPVASFMGNPFA >OIW11952 pep chromosome:LupAngTanjil_v1.0:LG05:10333786:10337469:-1 gene:TanjilG_02159 transcript:OIW11952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVATIQDIQDMSEELRNIYLSNMDEAPARRRAREAFKHTQFAIDHCLFKLPVDGVKMKEVYEVNSRGLEIFSKSWLPERSPLKAIICYCHGVARKLATSGYGVFALDYPGFGLSDGLHGYIPSFENLVNDVIEHFSKIKEKKEYQDLPSFLLGESMGGAIALNIHFEQPTAWDGAALIAPLCKFAEDMIPHWLLKQILIGVAKVLPKTKLVPQKEEVKENIYRDVKKRKLAPYNVLLYKDKPRLGTALELLKATQELEQRLEEVSLPLLIMHGEADIITDPSASKALYEKASAKDKKLCLYKDAFHTLLEGEPDETIFHVLGDIISWLEEHSSKKNKY >OIW12114 pep chromosome:LupAngTanjil_v1.0:LG05:7198763:7203050:1 gene:TanjilG_31221 transcript:OIW12114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANQPESSDSKGTKRDFSTAILERKKAANRLVVDEAVNDDNSVVALHPETMEKLQLFRGDTILIKGKKRKDTVCIALADDTCDEPKIRMNKVVRNNLRVRLGDVVSVHQCPDVKYGKRVHILPVDDTIEGVTGNLFDAYLKPYFLEAYRPVRKGDLFLVRGGMRSVEFKVIESEPGEFCVVAPDTEIFCEGEPVKREDENRLDEIGYDDVGGVRKQMAQIRELVELPLRHPQLFKSIGVKPPKGILLYGPPGSGKTLIARAVANETGAFFFCINGPEIMSKLAGESESNLRKAFEEAEKNAPSIIFIDEIDSIAPKREKTHGEVERRIVSQLLTLMDGLKSRAHVIVMGATNRPNSIDPALRRFGRFDREIDIGVPDEVGRLEVLRIHTKNMKLAEDVDLERIAKDTHGYVGADLAALCTEAALQCIREKMDVIDLEDETIDAEILNSMAVTNEHFHTALGSSNPSALRETVVEVPNVSWEDIGGLENVKRELQETVQYPVEHPEKFEKFGMSPSKGVLFYGPPGCGKTLLAKAIANECQANFISIKGPELLTMWFGESEANVREIFDKARGSAPCVLFFDELDSIATQRGSSVGDAGGAADRVLNQLLTEMDGMSAKKTVFIIGATNRPDIIDPALLRPGRLDQLIYIPLPDEESRHQIFKSCLRKSPISKDVDLRALARYTQGFSGADITEICQRACKYAIRENIEKDIENERRRKDNPEAMEEDIEDEDVAEIKAAHFEESMKYARRSVSDADIRKYQAFAQTLQQSRGFGSEFRFADTSSGAGGGAAAAAASDPFASAGGADDDDLYN >OIW11565 pep chromosome:LupAngTanjil_v1.0:LG05:22006989:22021130:-1 gene:TanjilG_26931 transcript:OIW11565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASICSYTTIRGTNKVVRAGDCVFMRPYDHTSNLPRVAHVQKIVQDDKYNVLVCVRLYYRPQESIGGRRQFHGAKELFLSDRFDFQSADTMEGKCVVHSLKNYMKLENVSAEDYYCRFQYQAATGVFSPDRVAVYCHCEMPKNPDEFMLQCKECKDWYHPACVDMTIEDAKNLDQYVCSECSTDDDLENPQATLPESQASDGMMVFHDEHRFGDCVFMRPTLSNVRKLPRVAQVEKIEHDNRNNVNVSIRWYYRPEESIGGRRQFHGVKELLLSDQYDVQSAHNIIGKCVVHSYNNDTKLENIRPEDYYWRFEYKAATGTFMPDFVAVYCKCEMPRNPDDFMVHCKGCQNWYHPACVGMTIEEAKNLGHFVCSECPSVIYSKKPQATYPVPLPFYGPQVTVTDEFQQDIEQRLTKMEKSLEECNKLLEALMRRLSKYQ >OIW12365 pep chromosome:LupAngTanjil_v1.0:LG05:105362:106980:-1 gene:TanjilG_04114 transcript:OIW12365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLISNSTLLPNQFAFSHSHQQDNEKQSLQQFWVQQLLDIRNTEAFRNNKQLPLSRIKRIVKADKDVKMVSAEVPLFMAKACEIFIQELTLRAWILTQDKESCTLQNGDIAKAVQKIHVLHFLTNLLHCDQLQFYEEMVTTNNQIPQSQMMLMHFDPFTYSFFELEETKAGKEENLASLFDVAGSKENTMTNMGMSTCTCMEDKKENIMDEMEATNQEFPQPRMMLSDFDPFQYNFFQPE >OIW12243 pep chromosome:LupAngTanjil_v1.0:LG05:2315342:2320011:-1 gene:TanjilG_06032 transcript:OIW12243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSVAVKLYSVFFKFLLKQRLQNRIQSNPQQPDPFGITSRPDESVANSNPSFSDGVATKDIHIDPFTSLSIRIFLPDSALSKPDSKPNTNPNLGRNVVPETPSFRGDSDLHVLRRNSYGFSGDVESRGEEESSRRNSIGEGLSSYKGYSPAMDNRRKKLPVMLQFHGGGWVSGSNDSVANDFFCRRIARLCDVIVVAVGYRLAPENRYPAAFEDGMKVLSWLAKQANLAECSKSMGVKKLDAHNRHIVDTFGGASMVEPWLAAHGNLSRCVLLGVSCGANIADYVARKAVEAGKHLDPVKVVAQVLMYPFFVGSVPTRSEIKLANSYFYDKAMCMLAWKLFLPEEEFSLDHPAANPLVPGRGPPLKSMPPTMTVVAEHDWMRDRAIAYSEELRKVNVDAPVLEYKDAVHEFATLDILLKTPQAQVCAEDIAIWVKKYISLRGHEFSY >OIW11456 pep chromosome:LupAngTanjil_v1.0:LG05:23392212:23402186:-1 gene:TanjilG_26822 transcript:OIW11456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTISGVVSRQLLPACGTLCFFCPAMRARSRQPVKRYKRLIADIFPRNQEEGLNDRKISKLCDYAARNPLRIPKIVTALEQRCYKELRNENFRSTKIIMCIYQKFLSSCKEQMPLFASSLLTIIHTLLDQSRQDEMKIVGCHILFDFVNNQTDGSYLFNLEGIIPKLCQLAQETGDDERAKASRSAGLQSLSSMVRFMGEHSHISVEFDNIVSVVLENYGGPKENSSNLDHEEQGPENKWVQEVMTNEDQISPLLDIKKRNPSWSTIVNDKGEVIVPEEHAKNPHFWSGVCLHNMANLAKEGTTIRRIMESLFRYFDDRSLWSINHGLAFSVLRDMLFLMDDSGKNTHVLLSMLIKHLDNKTVLKEPKMQLDIVEVTTSLAQYAKVQSSISIIGALSDAMRHLRKSIHCSLDESNLGTDVIDWNKNFGEAVDKCLVQLSNKVGEAGPILDVMAVMLENISTITATSRSTVYAVYRTAQIAFPEALFHQLFQAMVHPDYETRAVAHRIFSVVLVPTSFFPRPYAKTLGLPRTLSRAVSVFSSSAALFEKLRLEKRSSSEKLHQYNKENTSGEIEPANSKGGILNKLKSTYSRVGSVNNPPLLTMEDEITANNDNKNLDSASLRLNSHQITRLLSSIWAQSLSPENMPENYEAIAHTYSLVLLFSRAKNSFHEVIVRSFQLAFSLWNMSFKEGELPPSRRRSLFTLATSMILFSSKACNIVPLAHSANALLIERKVDPFLHLIGDYKLQAVSSAPENLTIKYGSKEDDHRALDTLSDLSNFTHQPHEVFAPEIIRSLEVFSKTGSSSIREQLLQEFSPDDMCQLGSRLAMNMPEKDASVISIDDESIHGSFGSQTKHNPGLFMDIPSIIGANQLLDLVLDPSHQPGRVSISTSYNMSYEDMADNCEVLLIGKQNMSRLMSTQLKQECLVDSFLPNHDTKSKNLGLSSHIDASYQFQKVGNPFLDENIAVDFYKPTSDPVPMLCASEYQNLPYLFKLPATSPYDNFLKAAGC >OIW11676 pep chromosome:LupAngTanjil_v1.0:LG05:20093698:20099628:1 gene:TanjilG_18203 transcript:OIW11676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSQYSFSLTTFSPSGKLVQIEHALTAVGSGQTSLGIKVAGEQRLSFDEFVLLIVDFAANGVVIATEKKLPSILVDEASVQKIQLLTPNIGVVYSGMGPDFRVLVRKSRKQAVQYQQLYQEPIPVTQLVREVAAVMQEFTQSGGVRPFGVSLLVAGFDDKGPQLYQVDPSGSYFSWKASAMGKNVSNAKTFLEKRYTDDMELDDAVHTAILTLKEGFEGQISGKNIEIGIIGADKKFRVLTPAEIDDYLGEVE >OIW11352 pep chromosome:LupAngTanjil_v1.0:LG05:24312971:24315051:1 gene:TanjilG_19608 transcript:OIW11352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARMSLEPLIVGRVIGDVLDSFTSTMKMTVSYNNKQVFNGHELFPSTITNKPRVEIDGGDLRSFFTLVMTDPDVPGPSDPYLREHLHWMVTDIPGTTDATFGKDLVSYEIPKPNIGIHRFVFVLFKQKRRQCVTQPSSRDHFNTKNFAAENDLGLPVAAVYFNAQRETAARRR >OIW12336 pep chromosome:LupAngTanjil_v1.0:LG05:1775920:1779218:1 gene:TanjilG_32452 transcript:OIW12336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFNNSSQIVTTILFYLTLSLFYLPKQLDAYDPVVKFSINCGTSGNSSDSDRTWIGDINSKLLLSHSVEASEAKTQSPSTTQVPYSTATLSSSQFTYSFPVTKGPNFLRLFFNPSSYLNFNRTNSMFTVQSNGFTLLKDFNASFIADAEGSDVIFKEYIINVDDAQWLNLTFTPNMSFPNSYGFVNGIEVVSMPFDLYYNSAYSNNEGFKYVGSSTALYKLSNNTAMETEYRLRVGGQAIPPNGDTGLLRNWDGNDFDYLTTKSFQYTGFNFNTPLFFTIIPNYTAPQQLYKTWREMGLNSTLNKISNMTWVFTLHTGFTYIFRLHFCELSQNITYSSDRAFIIYIAEQVAEERADVIKWTQQKATPVYKDYAVFVSGDHNKNVNISLQLHPFTNHFDTKYSDPILNGLEIFKLSDPGTHNLAEPVQQNPVQQNPVQGNTVQGNTGQKSKISIIAGVVSGVVLVSIIIGFLFIIMRKKKKQSKVRKSITDVASKWGLLSFSTTKSSNTNNSSLPLDLCRHFTLTEIKAATTDFDGVFIVGAGGFGDVYKGYIDGGSIPVAIKRLKQGSQQGAQEFMNEIHMLSQLRHLHLVSLIGYCNESNEMILVYDFMTRGTLREHLYNTENPPLSWKKRLQICIGAARGLHYLHTGAKHTIIHRDVKTTNILIDEKWVAKVSDFGLSRIGPSGISQAHVTTVVKGSVGYLDPEYYKRQRLTEKSDVYSFGVVLFEVLCARPPVIKTGEKRQVLLGDWAKRCWKSGTLDEIVDPSLKGKIGKESLKKFGEIGVSCLMEDGRERPSMNDVVWMLEFALQLQENAENDICEVENREESNEFSSSVGHVSDLCNKSSGVSVTTSSTTTSTSNSSSSGNKESHRLISGTIFSEIMDPKGR >OIW12245 pep chromosome:LupAngTanjil_v1.0:LG05:2339187:2345126:-1 gene:TanjilG_06034 transcript:OIW12245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRVLILSSSSSSTSLLSSISKTTHKCFNFSFLHHHSQHPSFLPSSSRFSMSSSSSSSSTIDISKYKQAFSRRMAMAGLKPHHRIALGVSGGPDSMALCVLTAGWKTAGVSDVSSKSGDFIDGLLAIIVDHGLRAESKEEANVVSHRVSQMGIRCEIAHCDWPSGKPKQGHLQESAREMRYKIFHEVCAQHKIGVLMVAHHADDQAELFILRLSRNSGVLGLAGTPFTSQNFPNKVPENHGILLVRPLLEFSKKDMYKILQGSSEDWVEDPTNQSPVFTRNRIRMALNDLSSSAFKSELQALISTCRVTRAYIDQVCYSLIMKAVVVMDHGYAVIDLQLLCPLKIEDICLSKFLSLVLQFVSQRQRQIRGSALKLLMEYVRTFPCKNSLTAAGCYLCPEPGSKGSKVLVCCSVEHPFPEKMEFFESCSDGEPEFSVANELGNIIEDGKSFVNHLTPDASDVHFLDVNTELVLDEAKRLNIITESTYRSILVLQKGETDRFRANAVAISDSTSKHGIENVQTFGKSLQPGQICYFMDRFILTWKFNNKISGDALRDLADYDMDLSGDAPSFCCTSCVGDSKALEVRHMIESDWLYLAQLSKHTHSKFLHQGVVSVNETKQMMENTASCLHYASISAQKALLLLKSVPVAARRSLPVLVTQQGWLLSIPSVNFRHCPCLMVHVEFKAKIPLGGGHSSFI >OIW11411 pep chromosome:LupAngTanjil_v1.0:LG05:23833899:23835306:1 gene:TanjilG_10729 transcript:OIW11411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKGKHFSLNVKIADSVPSSGWNIFPHFKVGLTNQADTKNSIVKALGQVLHFLKTMKVKNMNKEACKHLECLWDEVQLFGFNLTWLEPYIESALNVEAYMEKAEKVKNLKKHVVDLENELRILKTKLAVGEVVLDIARRDLEEVEKSFEEKDINAEMGYGT >OIW12089 pep chromosome:LupAngTanjil_v1.0:LG05:8000962:8001408:-1 gene:TanjilG_24837 transcript:OIW12089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGLRRCSNDVVHFNLTSTTTPNTTTTTTTTSFSIDVDESTEARIQRLISEHPVIIFTQSSCCMCHVMKKLLATIGVNPTVIELDQNEIAALPTSSDADLHTTAAAHCSLTPAVFIGGTCIGGLESLVALHVSGNLVPKLVEVGALWA >OIW11287 pep chromosome:LupAngTanjil_v1.0:LG05:26349271:26351072:-1 gene:TanjilG_28378 transcript:OIW11287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASQSLSTKSIHEFTVKDSKGKDVNLSTFKGKVLLVVNVASKCGFTNSNYTQLTELYSKYKDKGFEILAFPCNQFLKQEPGSSQDAHEFACTRYKAEYPVFAKIRVNGQDAAPVYKFLKAQKSGFLGSSIKWNFTKFLIDNEGHVIQRFETHQESFGGGLKDYTAIQDHFKLLRHA >OIW11335 pep chromosome:LupAngTanjil_v1.0:LG05:24554354:24559243:-1 gene:TanjilG_20484 transcript:OIW11335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSRSQGYAAREFSKQGLKPGELAIISKEAVAPYERPALSKAYLFPESPARLPGFHVCVGSGGERLLPEWYTEKGIELILNTEIVKADITTKSLTSAGGETFNYETLIIATGSTVIRLTDFGVQGADAKNIFYLREIEDADKLYEAIKAKKNGKAVIVGGGYIGLELSAVLKLNNVDVNMVYPEPWCMPRLFTSDIAHFYEGYYANKGVKIIKGTVATGFTANSDGEVKEVKLKDGRVLEADIVVVGVGARPLTTLFKGQVAEEKGGIKTDSFFKTSIPYVYAVGDVATFPLKLYGELRRVEHVDHSRKSAEQAVKAIKASEDGKTVEEYDYLPYFYSRAFDLSWQFYGDNVGESVLFGDNNPESPKVKFGAYWIKDGKVVGAFLEGGTPEENNAIAKIARVQPPAESLDQLAKEGLSFANKI >OIW11329 pep chromosome:LupAngTanjil_v1.0:LG05:24621945:24623972:-1 gene:TanjilG_20478 transcript:OIW11329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFKSKYQDELIANAAYIGTPGKGILAADESTGTIGKRLASINVENVETNRRALRELLFTTPGAFDCISGVILFEETLYQSTAAGKPFVELMKESGVLPGIKVDKGTVELAGTNGETTTQGLDGLGQRCQKYYEAGARFAKWRAVLKIGPNEPSELAIHENAYGLARYAVICQENGLVPIVEPEILVDGPHDINKCAAVTERVLAACYKALSDHHVLLEGTLLKPNMVTPGSESAKVTPEVIAEYTVRALQRTVPPAVPAVVFLSGGQSEEEATVNLNAMNKLKGKKPWNLSFSFGRALQQSTLKAWSGKDENIKKAQDAFITRCTANSKATLGTYRGDATLGEGASESLHVKDYKY >OIW11934 pep chromosome:LupAngTanjil_v1.0:LG05:10107152:10108606:-1 gene:TanjilG_02141 transcript:OIW11934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEVKLIATPRSFPCARIEWALRLKGVKYEYIEEDLANKSPLLIQSNPVHKKVPVILHNGKSIAESLIILEYIDETWKENPLLPQDPYERALARFWARFIDEKCVIAIWEATVIQGEEKGKAVEAALESVAFLENHIQGKKFFGGEKIGYLDIVAGWITHWLNVLEELGDIEILNAEKFPSLNEWKQNFIQTSPVKDCIPPREKVVDYFSFGINYMRSLAANKE >OIW12163 pep chromosome:LupAngTanjil_v1.0:LG05:3863258:3867765:1 gene:TanjilG_28571 transcript:OIW12163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFHSQYKDELIANATYIGSPGKGILAADESTGTIGKRFASIGVDNVEANRRILRELLFTAPGALECLSGVILFEETLYQKTASGKPFVDVLKEAGVLPGIKVDKGTIELPGTNGETTTQGLDDLGQRCKKYYESGARFAKWRAVLKIGQTEPSQLSIHENAYGLARYAAICQENGLVPIVEPEILVDGSHDIAKCAYVTEIVLAACYKALSDHHVLLEGTLLKPNMVTPGSESAKVAPEVIAEHTVRALLRTVPAAVPAIVFLSGGQSEEEATVNLNAMNKLKGKKPWSLTFSYGRALQQSTLKAWGGKDENIPKAQATLLTRCKANSQATLGTYKGDANLEGATESLHVKDYKY >OIW12175 pep chromosome:LupAngTanjil_v1.0:LG05:4270276:4270428:-1 gene:TanjilG_28583 transcript:OIW12175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSDWCLTQTARIEAVEDEKHQINSSVMEEYCDCIYNYVRVQEMKNTKG >OIW11783 pep chromosome:LupAngTanjil_v1.0:LG05:17161936:17162100:-1 gene:TanjilG_14323 transcript:OIW11783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSHYKVSLILHYYIQTHIPKISIMDPAPFNQILFPANRDTLKHQCNSIKRKGI >OIW11466 pep chromosome:LupAngTanjil_v1.0:LG05:23315558:23319434:-1 gene:TanjilG_26832 transcript:OIW11466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLKGFLKKGLGEMAFNTGGGAINWFPGHMAAATRAIRHRLKVADLVIEVRDSRIPLSSANADLQPLLAGKRRVVALNKKDLANPNIMHKWVRYFETCKQDCIPINAHSKSSVNKLLELVEFKLKELICREPTLLVMVVGVPNVGKSALINSIHQIAQSRFPVQEKMKRATVGPLPGVTQDIAGFKIAQKPSIYVLDTPGVLVPSISNIETGLKLALAGSVKDSVVGEERIAQYLLAVLNIRGTPLHWRHLNNRRIDGIEYDAEEKHEYNLKNLKPKRKNLPNRSDLVYVQDLVMDVQHALYSTVTEYGRNVEDESDLEDLIDKQFGALQKALKIPHKASESEARLMVSKKFLTLFRTGKLGPFIFDDVPDIKPVS >OIW11529 pep chromosome:LupAngTanjil_v1.0:LG05:22424594:22430419:-1 gene:TanjilG_26895 transcript:OIW11529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENVEETRSLAMTPTWSVATVLTVFVAVSLLVERSIHRLSNWLRKTNRNPLFAALEKMKEELMLLGFISLLLTATSRMIANICIPSKYYNSAFAPCTRSEINEEMEDNGSGERLEQLHRFIFVMAVTHISYSCLTMLLAIVKTCFFRQFGHSVVRADYLTLRKGFITNHNLSKKYDFHSYMIRSMEEEFQRIVGVSGHLWGFVVAFMLFNIKGSNLYFWIAVIPISLVLLVGTKLQHVIATLALENAGITSFFSEAKLKPRDELFWFKKPELLLFLIHFILFQNAFELASFFWFWWQFGYNSCFISNHLLVYIRLILGYSTLPLYALVTQMGTNFKAAIIPQRIRETIHGWGKAARKKRRHGMFPDDSTIHTDTSTVLSIEEDDEFIDDTPTTGTGTGTGVELQPITTITSSPLPAAANETSSRAATPLLRPSASISLSSPSSARAEAVARCFSMPSGRH >OIW12291 pep chromosome:LupAngTanjil_v1.0:LG05:2961581:2966921:-1 gene:TanjilG_06080 transcript:OIW12291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLLKYVFILLSADDNGEGGTFALYSLLCRHAKFNLLPSQQAADEELSSYKYGPSSQAVASSPLKRFLEKHKRLRTALLVVVLFGACMVIGDGVLTPAISVLASLSGLKDTEAKLTNGELVLLACAILVGLFALQHYGTQKVAFVFAPIVIIWLASIFSVGLYNIIYWNPKIIFALSPHYIVKFFIKSGKEGWVSLGGILLCITGTEAMFADLGHFTALSIRLAFTIVVYPCLVVQYMGQAAFLSKNPNSVHNSFYDSIPGAIFWPVFVIATLAAIVGSQAAITATFSIIKQCHALGCFPRVKVVHTSKHMFGQIYIPEINWILMILTLAVTIGFQDTTLIGNAYGLACITVMFVTTFLMALVIIVVWQKSILIATVFLLLFWVIEGAYLSAAFIKVHQGGWVPLVLSFFFMVIMYVWHYWTRRKYNFDLRNKVSLKWLLGLGPSLGIVRVPGIGLIYSELTTGVPAIFSHFVTNLPAFHKVMIFVCIKSVPVPHVPPEERFLIGRVCPRQYRMYRCIVRYGYKDIQRDDGEFENQLIQSLAEFIQMEAVEQPQLSSFETLHDGRMAVISTRSLESTSSLIVSEHEDFDLDDSIPSSKSTTLRHLQSTYDDDNTQVRRRRVRFQLPNNPGLNQAAREELLDLIQAKEAGVAYIMGHSYVKARKSSSFLKKFIIDMGYSFLRKNCRSPAVSLNIPHISLIEVGMIYYV >OIW11553 pep chromosome:LupAngTanjil_v1.0:LG05:22158581:22162529:-1 gene:TanjilG_26919 transcript:OIW11553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLFNINGTSLSVALYTDVTNSKELLESMQAGTLEPEVAFLNASLVPDIFPVLAAAHKTLVAKSRDSLTTRTPHSELVYNYSGSKHITESLKRCGISDSTTYILAARFDANPDAIQGIEKLINGKEIDLEELEGRANRSQIQKHYKISAPELGVSSLSDAITCRIAARDALELLESMQAGTLEPEVAFLNASLVPDIFPVLAAAHKTLVAKSRDSLTTRTPHSELVYNYSGSKHITESLKRCGISDSTTYILAARFDANPDAIQGIEKLINGKEIDLEELEGRANRSQIQKHYKISAPELGVSSLSDAITCRIAARDAL >OIW11545 pep chromosome:LupAngTanjil_v1.0:LG05:22234376:22236694:1 gene:TanjilG_26911 transcript:OIW11545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLKGPIFFFLVLFSLFSSSEAYKFYIGGKDGWVVNPSVDYNQWSGRSRFQVSDSLVFKYKKGSNSVLEVNKDDYEKCKKENPIKKFEDGDTEFQIERSGPYYFISGKDDNCEKGQKLIVVVLAVRSPPPYVPTPPNAPLYSPPNVPNIPKAPTPPKAPSPIYSPPSVPQVPSTPKAPSPYVPKPPQTPSPIHPPHFSTPPKAPYVPTPPQTPSPIYHPPKAPSPYVPNPPQVPSPIYHPPIVLTPQEAPTPPQAPSPISHPPYSTPTPPQTPSPSSQPPHVTTPPKTPSPISQPPYVLSPPKTPSPSSQAPYVTTPPKTPSPSSQPPYVLTPPKTSSPSSQPPYVLTPPKTPSPSSQPPYVPSPPKTPSPIAQAPYVLSPPNTPSPISQPPYVTTPPSTPSPINQPPYVTTPPKPSSPISQPPYISTPPNTPSPINQPPYITTPPKPSSPTSQPPYISTPPPTPSPISQSPYVTTPPKPISPSSQPPYISSPPTTTPSPISQPPYVSTPPKTASPTSQPPHISIPPQTSYPISQPPYISQPPKALSPTSEPPNTPSPISQPPYTLSPLNSPSPFSQSPYISTPPKSTSPISQPPQKPRSGSFAPFYSTTSPSPSPLYSSPTTSPSYSSPTTSPSYSSPTASPLYSSPTTSPYPSPYANSEPSLSPSELSPLASTPSSYVAETPSPLSSLSPGGSTTTPSSNETTPTPPSETASAWAATPRGSWVYSLTILVGATLTTILG >OIW11613 pep chromosome:LupAngTanjil_v1.0:LG05:21351522:21353612:-1 gene:TanjilG_15307 transcript:OIW11613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIFKTSVLQSLTSEVVLKKTQKLSNKDIIGSGGYGIVYELKLDESIAFAVKRLNRGTAERDKGFERELEAMADIKHRNIVTLHGYYTAPHYNLLIYELMPNGSLDSFLHGRSREKKVLDWPTRYRIAVGAARGIAYLHHDCIPHIIHRDIKSSNILLDENMEARVSDFGLATLMEPNKTHVSTIVAGTFGYLAPEYFDTGRATFKGDVYSFGVVLLELLTGKKPSDEAFMEEGTKLVTWVKAVVGEKKEELVLDNSLGCCPMQEINKVFNIAMMCLEPDPLNRPTMAEIVNMLGQTEPQKLVKAS >OIW11744 pep chromosome:LupAngTanjil_v1.0:LG05:17384710:17391981:1 gene:TanjilG_10946 transcript:OIW11744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRSNGIKFLHCIAYRSLPGNKSVYETMSRKCYRTLNSGLCNPYRVVGSRYSNAPLAKDFYDVLGVSKNASASEVKKAYYGLAKKLHPDTNKDDPEAEKKFQEVSLAYEVLKDEEKRQQYDQVGHDAFINQQSTGFEGNSGFNPFEQIFRDHDFVKSFFHQNIGGEDVKAFIELSFMEAVQGCTKTVTFQTDVFCNTCGGSGVPPGTRPETCKRCKGSGVTFAQAGPFRMEHTCGTCKGTGKIVSSFCKSCRGAKVIKGTKSVKLDIMPGMDSNETLKVFKSGGADPDGDHPGDLYVTIKVREDPVFRREGSNIHVDAALSITQAILGGTIQVPTLTGDVVLKVHPGTQPGQKVVLKKKGIKTKNSYTFGDQYVHFNVSIPTNLTERQRELIEEFSKEEQGECDKPIAASASG >OIW12215 pep chromosome:LupAngTanjil_v1.0:LG05:5742106:5747904:-1 gene:TanjilG_28623 transcript:OIW12215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDKGEKSCPICTEEMDLTDQQLKPCKCGYEICVWCWHHIIEMAQKDETEGRCPACRSPYDKERIEAMALNCQRLVAEMNSKYKRKMQKLKPKSSEGRKHLTDVRVIQRNLVYIIGLPLNLAHEDLLQQREYFGRYGKVLKVSISRTATGMIQHSAKNSCCVYITYSKESEAVRCIQSVHCFVLEGRSLRACFGTTKYCHAWLRNVPCSNRDCLYLHDYGSQEDSFTKDELMLAFARSRVQQIIGATNNLNRCSGSVLPPPAADSRHTSSATDSVSRNPSEKIENQIKGSCSGIGADISTAIPAVTSWIRCVSGNLIQDTNLSCSGTLFNRKVEASNDPQALVSVVASTERSNLNSSGEAENGSEMESNGVFLSSEFNKHYIDGNSQTCESNVINEVTVEKLTGTALPNSVMSLAAFTGNDQDMVASSESTSFLEISRQHCDFDIDKVVSIDSNKDVQGLCSGLSSVSMCSPLEDSSFIPDSVRLHYTHNSINSSSGTNLWQDNEYSYSKEHSTTPAFWEDIVVDDVININRDQQDFSKGINNVSSGLCSSCLPQNVNQSNHQMWSQKQEQICNLNQLVKPSESFSEPSETGHGKPVENKDITASDMGENTIISNILSLELDAWEDSLVKLLDESGKPYLPLKSPTLRKVQDKSQSRFSFARQDNLMNDASDLEQSFGIAGNAPKGNFASAGLMGNKDTSAGKYPHVSPSSNSMLLDKFVGSQPFVPSKYSVSTAHSSSPLGFAMSSRVPPRFSLGRVDQDNSSVKYLQQQYAPPSGNIGRIRNIEINDLPVWEVGSGMLAERLSNASFDRSDPRQTSLPQFSPSVDDARLRLLMQQSTPQNLGLPDHVGNILPPQNDAYRTSSRFLDQFQSNSPSIIYEKLHSQRFSSTVLPSNNQWGGWND >OIW11334 pep chromosome:LupAngTanjil_v1.0:LG05:24565683:24576096:1 gene:TanjilG_20483 transcript:OIW11334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQPTIHVKSDRHTQEEDEQNLKLAAIERLRHMHDEDDRKVVHDEVDITNLGFQDKKLLMENMLKFVEKDNEKFLGKLRDRVDRVGIKIPKIEVRYENLSVEGDVYIGRRALPTLLNATLNIFESIMEMFRLTSSKKTEIQILKNISGIIKPSRMTLLLGPPSSGKTTLLMALAGKLDEHLRCYGRITYCGHELNEFVPQKTCAYISQHDLHLGQMTVKETLDFSGRCLGVGTRYQMLSELSTRERQEEINPDPEIDAFMKATAISGQKTNLITDYILKILGLDICEDILVGDNMSRGISGGQKKRLTTGEMLVGPAKALFMDEISTGLDSSTTFQICKFMRQMVHNLDITMVISLLQPAPETFVLFDDIILLSEGQIVYQGPRENVLEFFEQTGFKCPKRKGVADFLQEVTSKKDQQQYWFRENEPYRYVSVPEFVNVFSSFKIGEQLVNELRVPYDKGLTHPTALVKDKYGLSNRELLQACFSREWLLMKRSAFVYIFKAIQTTIMAILGFTVFLRTEMAAESFQDGQKFFGALFFTVMNMMFNGMVEQSKIIISLPIFYKQRDLMFFPAWAFALPIWILRIPISLLDSGIWIILTYYTIGFAPSASRFFKHFLALFSIHQMALSLFQFIGAASRTLVVANIVSFLAFQVIFILGGFIIAKDDIKPWLMWGYYASPMMYGQNAIVINEFLDDRWSKPNTDPRIDATTIGKALLKSRGFFTEDYWYWICIGVMLGFAVIFNLLFIVALTYLNPLGDSKAFLLDEDDKKNKKSASKQNVTKGKGIDTPMKDLSEIASSSDRKPRTGIVLPFQPLSLAFSNVSYHVDMPAEMKSQGIHENRLQLLKDVSGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGSIRISGYPKNQATFARVSGYCEQNDIHSPHVTVYESLLFSAWLRLPSDVTTQTRKMFVEEVMELLELKPIRDTLVGLPVTNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRAVRNTVDTGRTVVCTIHQPSIDIFESFNELILMKVGGKITYAGPLGHHSQKLIEYFEAIAGVPKITDGYNPATWMLEISSPSMEAQLDVDFAVIYANSILYQRNQELIKELSTPAQNSKDLFFSTKYSRSFYVQWKACFWKLHWSYWRNPQYNFTRFCIAVVIGVLFGVIFWNKGANIEKKQDILNMFGGLYVAVTFLGYTNASGVQPVIDIERTVLYREKAAGMYSALPYAISQVAIETIYTLIQTAIYCVILYSMVGLEWKAEKFFWFYYYITLCFMYYTLYGMMAIAITPGYQVAVISMSFFMNFWNLFSGFTIPITQLPIWWRWYYWICPISWTFNGIITSQYGDLNSEIVIPGAENMTVKNFLKEMFGFHHDFLPVIAVVHVGWVLLFLFGFAFGIKFLNFQKR >OIW11973 pep chromosome:LupAngTanjil_v1.0:LG05:10623347:10624212:-1 gene:TanjilG_02180 transcript:OIW11973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEENHNHQIMVVATILVGNSGSTGGAKNTSGVSGIVKDGGVDRNGVGSCGKSGIMGVSGIVKDGGVERNGVGSCGMSGSNGGGRRMFGSGSGIVGVDKNGGVENGNGSSSGGSNGSCGGVENVGTSGGGRRISGNGGDSDENVGGMSKVGTSGGGIINGGMVGSSDGGGGGGDRTNGEGGGEAAGVGNGQHGWIGGNGQHGATGGGGQDGGGDGGGGDGGGGEGGGGGGGGGGGGGGGGGDGHGRAGGGGGGHGNVGGGGGFLGV >OIW11440 pep chromosome:LupAngTanjil_v1.0:LG05:23546688:23547206:1 gene:TanjilG_26806 transcript:OIW11440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESHDETGCQTPERPILCINNCGFFGRAATMNMCSKCYKDTLLKQEQNKLAASSVENIVNGTSNGNGKQVVTAAAVDVQVETVEVKTVCTGISADSSSGESLQSKAKTGPSRCATCKKRVGLTGFSCKCGNLFCAMHRYSDKHDCQFDYRTVGQDAIAKANPVIKADKLDKI >OIW11357 pep chromosome:LupAngTanjil_v1.0:LG05:24283106:24286968:1 gene:TanjilG_19613 transcript:OIW11357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMAIESTELNEVETLEGHTDRVWSLDWNPATGHSGIPLLFASCSGDKTVRVWEQNTLSSNRFTCKAVLDETHTRTVRSCAWSPSGKLLATASFDATTALWENVGGEFECVSTLEGHENEVKSVCWNAAGNLLATCSRDKSVWIWEVQQGNDVECVSVLQGHTQDVKMVKWHPTMDVLFSCSYDNTIKVWADEGESDDWQCVQTLGEPNNGHTSTVWSISFNASGNKMVTSSDDLTLKVWETENIEIQSAGEFAPWRHLCTLTGYHDRTIFSVHWSREGILASGAADNAIRFFVDNNESEVGDPKFKLLLKKENAHDMDINCVQWSPGEKPLLASASDDGTIKVWELAS >OIW12217 pep chromosome:LupAngTanjil_v1.0:LG05:3383129:3386953:-1 gene:TanjilG_10301 transcript:OIW12217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHIVGYPRMGPKRELKFALESFWDGKSSAEDLKKVSAELRASIWKQQAGAGIKYIPSNTFSYYDQVLDATATLGAVPPRYGWNGGEIGFDTYFSMARGNASVPAMEMTKWFDTNYHFIVPELGPDVNFAYSSHKAVEEYKEAKALGVDTIPVLIGPVSYLLLSKPAKGVEKSFSLLSLLPKVLAIYKEVVADLKSAGASWIQFDEPTLVLDLESHKLQAFTDAYSELASTLSGFNVLIETYFADIPAEAYKTLTSLSGVTAYGFDLVRGTKTLDLIKGGFPGGKYLFAGVVDGRNIWANDLAASISTLQALEGVVGKDKLVVSTSCSLLHTAVDLVNETKLDDEIKSWLAFAAQKVVEVNALAKALSGNKDEAFFSANAAALASRKSSPRVTNEAVQKAAAALKGSDHRRATNVSARLDAQQKKLNLPILPTTTIGSFPQTVELRRVRREYKANKISEEEYVNAITEEIRKVVELQEELDIDVLVHGEPERNDMVEYFGEQLSGFAFTVNGWVQSYGSRCVKPPIIYGDVSRPKAMTVFWSSKAQSLTKRPMKGMLTGPVTILNWSFVRNDQPRSETCYQIALAIKDEVEDLEKAGITVIQIDEAALREGLPLRKSEHADYLDWSVHSFRITNVGVQDTTQIHTHMCYSNFNDIIHSIIDMDADVITIENSRSDEKLLSVFREGVKYGAGIGPGVYDIHSPRIPSTEEIADRINKMLAVLEKNILWVNPDCGLKTRKYTEVKPALTNVVAAAKLIRKELSN >OIW11348 pep chromosome:LupAngTanjil_v1.0:LG05:24360268:24363857:-1 gene:TanjilG_19604 transcript:OIW11348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIHMRVPGIISLLFLISGLFEFNVVYSYTLPPRGNKIITPLKNDIDPSSPQQTPPSQFPIKFAVVGDLGQTEWTKTTLQHISDPGYDMLLLAGDLSYADTIQEEWETFGRFIEPLASQRPWMVTTGDHDVEKIKILHRRSFTAYNTRWLMPFDLSGSKSNQYYSFEVAGVHIIMLGSYTDYDSKSNQYEWLQGDLKNVDRNKTPWLVVMFHAPWYNSNTDHQGESQSIGMKASMEDLLFQARVDIVVSAHIHAYERFTRVYKEQANKCGPLYINVGDGGNINDYNP >OIW11332 pep chromosome:LupAngTanjil_v1.0:LG05:24594137:24596923:-1 gene:TanjilG_20481 transcript:OIW11332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFHMKQWRNQVQVHESEEQQHCTKMPKLHPGSHQASATSSALPLFLPEPNTKISTLSDSTLATTNRFPRMGSYFSLGQWQELELQALIFRYMLAGAAVPHELLQPIKKSLIFSPTTTPYFLHHHSIQHYQPGAEWYWGRGAMDPEPGRCRRTDGKKWRCSRDVVAGQKYCERHMHRGKNRSRKPVELPTPITIASSSSSISSPSLATASLKSPFDLIHLNQCPSGTKNESKSLFETQDHVGEDGRSGGNMLRHFFDDWPRSLQQSSDSGGRVNSVTCLTISMPENTSSDVSLKLSTGYGEEPCPRNGNVEPETEQVQVNWAGGWTSTNQVASMGGPLAEALRSSTSTSSPTSVLHQLHGGSA >OIW12103 pep chromosome:LupAngTanjil_v1.0:LG05:7478513:7480869:1 gene:TanjilG_31210 transcript:OIW12103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVTWITDDKHAPSTVEYGKSPGRYDAKAQGESTSYNYLLYKSGKIHHAVIGPLDDNSVYFYRCSGIGPELQLKTPPAQFPISFAVAGDLGQTGWTKTTLDHIKQSNHDVLLLPGDLSYADYLQHHWDTFGRLVEPLASARPWMVTQGNHEIEKIPLIKKGFVSYNSRWKMPFEKSGSSSNLYYSFEVAGVHVIMLGSYTDYNVHSHQYKWLKEDLSKVDRERTPWLLASFHVPWYNSNKGHRGEGDAMMTDIEPLLYAARVDLVLTGHIHAYESSKRVYNGKLDPCGAVHITNGDGGNREGLAYKFINPQPKWSEFREASFGHGELKIVNSSHALWSWHRNQDDEAIQSDKIWITSLFSSDCVHP >OIW12289 pep chromosome:LupAngTanjil_v1.0:LG05:2933752:2935062:1 gene:TanjilG_06078 transcript:OIW12289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGQESDKNIEIWKIKKLIKALESARGNGTSMISLIMPPRDQVSRVTKMLGDEFGTASNIKSRVNRQSVLGAITSAQQRLKLYNKVPPNGLVLYTGTIVTDDGKEKKVTIDFEPFKPINASLYLCDNKFHTEALNELLESDDKFGFIVMDGNGTLFGTLSGNTREVLHKFTVDLPKKHGRGGQSALRFARLRMEKRHNYVRKTAELATQFYINPATSQPNVSGLILAGSADFKTELSQSDMFDPRLQAKILNVVDVSYGGENGFNQAIELSAEILSNVKFIQEKRLIGKYFEEISQDTGKYVFGIDDTLKALDMGAVEILIVWENLDINRYVLKNSTTAEIVIKHLNKEQEADQSNFRDPAINAELEVQEKLPLLEWFANEYKRFGCTLEFVTNKSQEGSQFCRGFGGIGGILRYQLDIRSFDEFSDEEVYEDSD >OIW12159 pep chromosome:LupAngTanjil_v1.0:LG05:3768424:3772772:1 gene:TanjilG_28567 transcript:OIW12159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEHLASIFGTEKDRVNCPFYFKIGACRHGDRCSRLHTKPTISPTLLLSNMYQRPDMSAPVVDPNQAQSLDPDKVQDHFENFYEDLFEELSKYGEIQSLNICDNLADHVVGNVYVQFKEEDHAANALMNLTGRFYSGQPIIVDFSPVTDFREATCRQYEENVCNRGGYCNFMHLKKISRDLRKRLFGRNRRFHGRSGSRSQSPPRNRNHEERSHSGRSSDRRDYDRHHGHSGRGRLPRSRSPQRRGRSRSPAGRDRSVRESSEERRAKIEQWNREKELADSGNKNNTDGNNHEE >OIW11905 pep chromosome:LupAngTanjil_v1.0:LG05:11338963:11339238:1 gene:TanjilG_18178 transcript:OIW11905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTREILAMRVSVGYLYLLTGFPMGVTSTLQKETVALLHGLRLAGERNLDKIECQTDSAELLRQVGGTAPPFQSYRSYLHQIKVLMQFGR >OIW12180 pep chromosome:LupAngTanjil_v1.0:LG05:4431470:4440211:-1 gene:TanjilG_28588 transcript:OIW12180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAITCSIRHNVCLTQLNFHTPLSSPPLFPVCYYHHRSSPLRHRIHIKALPVVTARASAVNDGAAFPSDEDGVSLGTMKLPFNIDLQRFDSLLFQVDKISGGARLCFITIGDGKTEVLVYIDCLVFPATDNSAPIFRAIRNGPLKDKVPPGEPRIMRSLMQALQKSVEIAKL >OIW12232 pep chromosome:LupAngTanjil_v1.0:LG05:2227596:2233945:-1 gene:TanjilG_06021 transcript:OIW12232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGVTSSIAAKFAFFPPQPPSYTVVADESSSSSSTSEAITEEVEKLYIPEVPRKENVEVVKVRTRRGNEIVGVYVKYHRTTSTMLYSHGNAADLGQMFQLFVELSNRLRLNVMGYDYSGYGQSTGKQPTECNTYADIDAAYKFLKEQYGVKDEQLILYGQSVGSGPTLDLASRIPELQGVVLHSPILSGLRVLYPAKRTYWFDIYKNIDKIGMVKCPVLVIHGTADEVVDVFHGKQLWDLSNLKYEPLWVSGGGHSNLELYPEFIKHLKKFVQTVGKSKVTANGPKKDTVETENQAIPSKESECPTSNASELSADIPEASRNSLDSRLKKSKKSNKPEKSRMSTDHVMFRRRKGLVW >OIW11773 pep chromosome:LupAngTanjil_v1.0:LG05:16888279:16907044:1 gene:TanjilG_14313 transcript:OIW11773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYRRGSDQQPQRRILRTQTAGNLGADPILDSEVVPSSLVEIAPILRVANEVEASNPRVAYLCRFYAFEKAHRLDPTSSGRGVRQFKTALLQRLERENDTTLAGRVKSDAREMQSFYQHYYRKYIEALQNAADKDRAQLTKAYQTAAVLFEVLRAVNQTEAVEVDDEILEAHTKVEEKKQLYAPYNILPLDPESGKEAIMRYPEIQAAAAALRTIRGLPWPRDHGSKNEDILDWLQLMFGFQKDNVENQREHMILLLANVHIRQNQKPDQQPKLDDRALTDVMKKLFRNYKKWCKYLGRKSSLWLPTIQQEVQQRKLLYMGLYLLIWGEAANLRFMPECLCYIYHHMAFELYGMLAGNVSPMTGEPVKPAYGGDNEAFLTKVVKPIYDTIAKESKRSYKGKSKHSQWRNYDDLNEYFWSVDCFRLGWPMRVDSEFFSVPLPLEPHHKDEENGAYAGGRWISKVNFVEIRTFWHSTSIQSNEIYGLCSLIKAMIIIAWNGSGDLSSIVDGDVFKKVLSIFITAAILKLAQAILDIVLNWKARKVMSLHVKLRYVFKTIFAAAWVIILPVTYAYSWKDPSGFAQTIKNWFGTGSGSGSPSMFILAIFIYLSPNILSALLFVFPFIRRFLERSNHGIIKLLMWWSQASQCIFDLYTVFWVFLIVSKLAFSYYMEIKPLVGPTKAIMLARVSVYKWHEFFPHAKNNLGVVIAIWAPIVLVYFMDTQIWYAIYSTIVGGIYGAFRRLGEIRTLELLRSRFDSIPGAFNARLIPGDNSASKTKGLWAKFSRKFDQVSSNKEKEAARFAQLWNKIITSLRDEDLIDNREMDLLLVPYWADRDLNLIQWPPFLLASKIPIAVSMAKDSFGKGQELEKRLSRDKYMKSAVLECYTSFKNIINFLVLGEREKMVINNIFQKIDEYIENADMLSALDLSALPSLYDRFVKLIECLLENKMEVKNQIVILLIDMLEIATRDIVEVEVEGLQDSTHGGSYGEDETMTPLDQQYRFFDRLKFPVTTEKEAWTEKIKRLHLLLTVKESAMDVPANLDARRRITFFSNSLFMDMPDPPKVRNMMSFSVLTPYYDEPVLFSLDHLKEENEDGVSILFYLQKIFPDEWKNFLQRFGDNSEEKLGGELEEELRLWASYRGQTLTKTVRGMMYIRQALELQAFLDMAKDEDLMKGYKAAELQSKENPNSERSLWTHCQSLADIKFTYVVSCQNYGIHKRSGDSRAKEILKLMSTYPSLRVAYIDEVEEPSKDKSRKTDKVYYSSLVKAALPTKSIDSSETVQSLDQVIYKIKLPGPAILGEGKPENQNHAIIFTRGEGLQTIDMNQDNYMEEAFKMRNVLQEFLKKQDSGRYPTILGLREHIFTGSVSSLAWFMSNQETSFVTIGQRLLANPLKVRFHYGHPDVFDRLFHLTRGGVSFNSTLREGTVTHHEYIQVGKGRDVGLNQISMFEAKIANGNGEQTMSRDIYRLGHRFDFFRMLSCYFTTIGFYFSTLLTVLTVYAFLYGRLYLVLSGLEEGLNKQRAFRNNKALQAALASQSVVQIGLLLALPMMMEIGLERGFRQALSEFVLMQLQLAPVFFTFSLGTKTHYYGRTLLHGGAQYRGTGRGFVVFHAKFADNYRLYSRSHFVKGIELMMLLVVYHIFGHSYRGMIAYILITLSIWFMVGTWLFAPFLFNPSGFEWQKIIDDYTDWQKWISNRGGIGVLPEKSWESWWESEHEHLHHSGARGIITEIILALRFFIYQYGLVYHLSLTNKTQSILVYGVSWMIIFLILGLMKGVSVGRRQLSADFQLLFRLIKGSIFLTFLATFIVLIAVANMTIKDIVVCILAVMPTGWGLLLIAQACKPLIVKLGFWGSVRALARGYEIIMGLLLFTPVAFLAWFPFVSEFQTRMLFNQAFSRGLQISRILGGQKKDRSSKNKE >OIW11601 pep chromosome:LupAngTanjil_v1.0:LG05:21503056:21513913:-1 gene:TanjilG_15295 transcript:OIW11601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMNMRITSSQFFFLSLLPIWFSFLAFGATTLLQDEVEALKDIGRTLGKKDWDFSIDPCSWDRTLDNVVHCNCSISNDNLCHVVKIVLKSQSLQGILPPELIRLPYLQQIDLTRNYLSGTIPREWGSLNLQKISLLGNRLSGPIPVELANITTLKRLVLDFNQFNDTLPPELGNLAHIEMLHLSSNNFTGELPMTYATLTSLTEFRISDNQFSGSIPDFIQRWTNLTILAIQGSGLSGPIPSGISFLQNITDLRISDLSGFDSTFPQVGNLKGLKTLILRSCNINDILPQNLVNLTNLQVLDLSFNKLSGEVPRNHEGLTGATYIYLTGNFLTGPVPNWTVNSNKYLNMFASFSRNNSPVSCESSTRICTTTVNSLHINCGGKKTIIRGITYEEDTDPAVPAVYRQSRGNWAYSNTGHFLDNNTASAQGQFLPYTSENQTRLYMTDIELYRNARISPISLTYYGFCLENGDYTVKLHFAEIMFTDDNTFRSLGRRVFDVYIQGERVLKDFNIEDEAQGVGKEVIKQFPAHVTSNDLEIRFYWAGKGTTAVPYKSVYGPLISAISIKHADTPRSISIWVVVAIVAATVIIVILVSGILWWRGCLGKKHSLDTELRDLDLQTGLFTLRQIKAATNNFDICNKIGEGGFGSVYKGIMSNGMAIAVKQLSSKSEQGIREFVNEIGVISALQHPCLAKLYGCCVEGDQLLLVYEYMENNSLAHALWGKSLPHMLNFGPEEFRPKLSWPTRQKICVGIARGLAFLHEESRLKIVHRDIKATNVLLDKNMNPKISDFGLAKLNEEENSHISTRIAGTCGYMAPEYAMHGYLTDKADVYSFGVVAFEIVSGKRNTIHRSKEEEVFYLVDWALLLKEKGNLMELLDQRLGSDFNEEEARVMIKVALLCTNMTSSLRPTMSSVLSMLEGGTTVPELVSYPNKVMNEMEAMRQFYNLIQENEKSETRSLSLSFNEPWTASSSSVADLNHFQLDASYLDQKKLRESV >OIW12429 pep chromosome:LupAngTanjil_v1.0:LG05:853762:855457:-1 gene:TanjilG_04178 transcript:OIW12429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRPPCCDKSNVKRGLWTPEEDAKILAYVSSHGTGNWTLVPKKAGLNRCGKSCRLRWTNYLRPDLKHDGFTPQEEMLIINLHAAIGSRWSLIARRLPGRTDNDVKNYWNTKLRKKLMKMGIDPITHKPVSQVLSDLGSISTFPNTNNQMSFVNNASMKNMLPTRTEPYASQYSSITTNTSAKNHTKVDQVHSLDHQYHVFSEASSSNSSSTSSNIERLCSTQSYSNHNPQAQITTPCSSFEWSAFLHSDPFIWSEFQQLQQCDMQMVMSLPKPSGLMQSGIVISNNNDQVGASEGSVAVTCDPSMSYQMKKQCEVHSSLGDSFVDGILDKDSEIREAFPNILDAAFDY >OIW11885 pep chromosome:LupAngTanjil_v1.0:LG05:11813079:11815315:-1 gene:TanjilG_25798 transcript:OIW11885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFATLAVVQPALVNGLAGSSLHGNKLTFKSSHKSIKSKNFRSGAVVAKYGDKSVYFDLEDIGNTTGQWDLYGSDAPSPYNPLQSKFFETFAGPFTKRGLLLKFLILGGGSTLAYYSATASGDILPIKRGPQLPPKLGPRGKI >OIW12319 pep chromosome:LupAngTanjil_v1.0:LG05:1952339:1955081:-1 gene:TanjilG_32435 transcript:OIW12319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPPVVRFPIVATVRVVGVVITVLLLTWILHFRGGMALVSDNKILIFNVHPVLMVIGLVLLNGEGMLAYKTIPGTKNFKKTVHLTLQFLTLILSIIGVWAAWKFHVERGIDNFYSLHSWLGLAGLFLFSIQWGAGFTTFWYPGGSRNSRASLLPWHVFFGIFIYALAIATTVTGFLEKATFLQSSKIISRYSNEALLINSLGILTVVLGGLVVLGLVTPSNAIAKADAIRGNE >OIW11539 pep chromosome:LupAngTanjil_v1.0:LG05:22298685:22304031:-1 gene:TanjilG_26905 transcript:OIW11539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGMCCKASAIEDSRESPRERLASKATLESFVSRGTSSRREEVYRVKDRYDNNDGRVALIDKQVSSGAVRGQGDNFERKREKMEYVVAQHPGIGSVPKAIEGEQVAAGWPSWLAAVAGEAIRGWLPRRADSFEKLDKIGQGTYSNVYRARDLEQKKIVALKKVRFDNLEPESVRFMAREIHILRRLDHPNVIKLEGLVTSRMSCSLYLVFEYMEHDLAGLASHPGLKFTEAQVKCYMQQLLRGLDHCHSCGVLHRDIKGSNLLIDNNGILKIADFGLASFYDPNQIQPLTSRVVTLWYRPPELLLGATYYGTAVDLWSTGCILAELYAGKPIMPGRTEVEQLHKIFKLCGSPSEDYWRKSKLPHATIFKPQQPYMRCVAETFKDFPAPAVELIETLLSIDPADRGTAAFSLKSEFFSTKPLPCDPLSLPKYPPSKEFDAKVRDEEARRQGAAGSKGQRNDMDRRGARQSQAVPAPDANAELVVSMQKRQVLSNSQSRSEKFNPHPEEVASGFPIDPPRPSHAVEVSVDPQPHQHKRASHSGPLTHRAAWAKAGKNQDDAPKISMGGDLSTMSGLVAARRSMLSDDRRERSGSSQLEAPKLISRFPGSFKEASEPLMQQDQKHHPHAPQKEEGRGSNKDPILVGYGSKGHKIHYSGPLLVPSSNMDQMLKDHDRQIQEAVRRSRLDKAKMRRLQAEGNQITNSLFVSGR >OIW11317 pep chromosome:LupAngTanjil_v1.0:LG05:24712878:24714303:1 gene:TanjilG_20466 transcript:OIW11317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFALVAFMMIVASICTNNIVLAWDEPGVVHVGGKVLCQDCSHGWNEWVYGGKPIKGVKVSLTCLDNRNKVVYYTSDTTDELGQYDIIVNNYVYGKELDTKGCIVRLVSSPDPVCNILTDFGGGKSGVKLNYPTSVYRSFIKHVINPFYYTTPLCDKPDTDDDAYDSQSKDHQGQEGHY >OIW11378 pep chromosome:LupAngTanjil_v1.0:LG05:24091090:24091719:1 gene:TanjilG_19634 transcript:OIW11378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVKECDHHKGRKKKIFRRIFWGIIIFLFIILLTILIVWAVLRPTKPTFILQDVTVYAFNATVPNLLTSNFQVTLSSRNPNDKIGVYYDRLDTYVSYRSQQITLRTAIPPSYQGHKEVDVWSPFVYGTNVPVAPYNFVGLSQDQSNGNVLVDVKIDGRVRWKVGAFISGRYHIYIHCPAYITFGAQSNGISVGNNAVKYQLVQRCSVNV >OIW12102 pep chromosome:LupAngTanjil_v1.0:LG05:7489370:7490275:1 gene:TanjilG_31209 transcript:OIW12102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSLAQHKYPPSLHHQSCTSDSLLCRARVYCTTTTNTKSRSGDKQLAIEQRPVLPAPPVVAAANRLIENDPSLNSTWSHRAWVAAGCTTLLISLGQSTKVAADLHMWVEPIIAGYVGYILADLGSGIYHWGIDNYGDGSTPFVGSQIEAFQGHHKLPWTITRRHFANNLHALARAVTFIVLPIDLVCHDPTVQAFVAMFAGCIMFSQQFHAWAHGTKSRLPPLVVALQEARVLVSRSQHGAHHRPPYNNNYCIVSGVWNEFLDEHKVFEAMELILYFTLGVRPRSWSEPTSEWLEETEIPL >OIW11771 pep chromosome:LupAngTanjil_v1.0:LG05:16840453:16849554:-1 gene:TanjilG_14311 transcript:OIW11771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYRRSLLIRGNLDRKCHPSLSYVIHSDERKHENPDEKSSSVGISSFIQTRSFGSSLNGSMGFGTSSRDRMLSNRFLSPCSGYSFCRYMSTVNQGSDNIDIITDVAEVLSDKTMEAVASQAPIVNEVAIAAADSFLPVKFLQYTIDAVHCSTGLNWWAAIVLTTFVIRCATVPLLINQLKATCKLTLMRPKMEAIRERMQDQAMDPAAVAEGQREFKRLFNEYGVSPFTPLKGLFIQGPVFVSFFLAINNMAEKVPSFKQGGAFWFMDLTTPDTLYVLPVLTALSFLLTVECNMQEGLEGNPMAGTMKNVSRGLAVITVPFTMGFPKAIFCYWITSNLFSLVYGLVLKVPGVKKTLGLPEMPAAAPVTSAPQSPFSIFPALKKAVSVTNGSSSLPDEPSKPSEKKISSSSVISQRLRSLQKQVKGRKKSNKK >OIW12008 pep chromosome:LupAngTanjil_v1.0:LG05:9912243:9913415:1 gene:TanjilG_16119 transcript:OIW12008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTLPSNAWLQRLAHLITFRDIGFLHWVADTSIYARGCWGAWWLPSWTWWYRYAALANDDLLFLKEQMEAEEDA >OIW11963 pep chromosome:LupAngTanjil_v1.0:LG05:10436318:10442654:-1 gene:TanjilG_02170 transcript:OIW11963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSNDNELDTVKRLKHLGKKLLKSHSHQFDDQLLQLLNELALVLSTVDQAPTEPIQKSLVPSMKALISDELLRHTDENVKISVTCCITEITRIAAPEAPYDDDQMKEIFKLIVAAFGKLSHVSSRSYEKVLTITDNVAKVRLCLVMLDLQCDDLVIEMFQHFLRNIRSHHLGHVFDCTATIMTLVLDESEEISSDLLRPLLDSVRVENQKISPISWILGEKVITNCEVTLRPYLMKAVESSGRALHEYAQIVAYVCHHGSVSSQRNRSNGSKDKVETKGHEPDITCVIDAQAMDDTKPDMRIVGVGVSTKDAEVIKKPISKRKKHSNPTKHSKSVNTKTNAENGNLESEQEPKSETRQSTVPRKRGRKPNSLLNAEEGYDNSWISKGTESGKSALSRKARDSSCAFSPSENPASRKDKLQLKPKTSSEALDSEPRSEKIVKPAQSRKTQDIGNVVPLDVSPASHKDNVLSQPEDMSKGHKASISKPKTGENTLVASPLTNDNIPHGSRSKRGRSRKRSRTDNQDDDSKSVSKLNEGNLNPRLKETSLESSGVRLEKEPEARKDAEAKPQISIRKIKFLWKDGKTAVAPESAVTGIETKASRDNKRRLSATPKEDVNESSAIKELSTKAAKSQARRRTSHAPASETHDLGDSLVGSRIKVWWPLDKTFYEGVVDSYDPVKGKHKILYSDGEMEVLNLKKQRWEVIAVDVSPDEEQGFALHKLAEASDIAQKIKEEPDLELDKAKDINFRSRGRTSASISKSGSAKSSVKSADTYADKSMDDQPGTASRKDIAKKPRSKRMKTGSDSDNKKNKPKTNGIEKEKAHVART >OIW12203 pep chromosome:LupAngTanjil_v1.0:LG05:5373876:5378836:-1 gene:TanjilG_28611 transcript:OIW12203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSAQYSPKSQKHHRSLPRSINYLLREQRLLFILIGIFIGSIFFIIQPTLSHLGPPDTHPSLPGYISTRRRDYSSRGVGRVPVGIGSRRLRIVVTGGAGFVGSHLVDKLIGRGNEVIVIDNFFTGRKENLVHLFGNSRFELIRHDVVEPILLEVDQIYHLACPASPVHYKYNPTNVMGTLNMLGLAKRIGARFLLTSTSEVYGDPLEHPQKETYWGNVNPIGERSCYDEGKRTAETLTMDYHRGAGVEVRIARIFNTYGPRMCLDDGRVVSNFVAQAIRKQPLTVYGDGKQTRSFQYVSDLVNGLVALMDGEHVGPFNLGNPGEFTMLELAQVVKETIDSSATIEYKPNTADDPHMRKPDISKAKELLNWEPKVPLREGLPLMVNDFRNRILNEDEGKGMK >OIW11807 pep chromosome:LupAngTanjil_v1.0:LG05:15394551:15398703:-1 gene:TanjilG_03468 transcript:OIW11807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRLVTVTLRARRQTHGTPTCNGIVVVVRRCHSAEGATTARDDSVILLYSRSGLDGEYCTVGSMFFIHKMENMKKRKFSELLSELIVLADEVACFAKNSDMEVDIFAEFAMLVEKFSPIFDDLRDKGAFMDKPPIRKSLESLENELRRAKALIRSTNLRQPIKQIEDITHDIGRSLGVLLVASLEMSTDFREKIGKLQRQLMNARFADNWSVTSSPISESVSSDRKVNWEIEEEVINVTIGDVVLQLKNGNDEDFAVALLRLKEFIRNENYHSGLINEEAIVAILFNRLGSCQANNRLAIIQLLRSISFANEEKKEKMADIESLSKVVKSLTRDAQERREAVGLLLELSILAEVRRRLGRIKGSIVMLVAILNADDPIASHDAAKLLEILSSNTQNALHMAEAGYFRSLVQYLKEGSDMNKILMATALSRLELTDHSKLALGEDGAIEPLVKMFNSGKFESKFSALNALQNLSTLTENVQRLIKSGIVRSLLQLLFSVTSVLMTLREPASAILARIAQSESILINQDVAQQMLSLLNLSSPIIQSHLLEALNSIASHPGASKLRRKMKEKGALHLLLPFLKENNTKIRSKVLHLLYTLSKDLTNELAEHLDEAYLFILVNIVASSTLASEKAAAVGILSNLPAIDKNVTDIMKTANFLPILISIMNSSIGSNSPSTTSFAESAACVIIRFTNSSDKKLQLYSAEHGVFPLLVKLLSSGSAITKSKAATSLAQLSQNSLTLRKSRKSRWLCVLPSMDAYCGVHGGNCFVNSTFCLVKASAVRPLIRILEDKEREAVEAALIALSTLLQDEIWEGGVNSIAKFSGVQAIIKCLELEDAKVQEKAIWMLERIFRVEEYRVKYGESAQVVLINIAQKSDSRLKSIAAKVLAELELLLAQSSYF >OIW11219 pep chromosome:LupAngTanjil_v1.0:LG05:25949361:25954748:-1 gene:TanjilG_28310 transcript:OIW11219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENANSENSDREIFKAWSKDVAECEENFNVSVQSGLSSEEVENRRRIYGFNELEKHDGQSIWKLVLEQFNDTLVRILLAAAIISFVLAWYDGDEGGEMEITAFVEPLVIFLILIVNAIVGVWQESNAEKALEALKEIQSEHATVIRDNEKISDLPAKELVPGDIVELKVGDKVPADMRVVRLISSTLRLEQGSLTGESEAVNKTNKPVPEDTDIQGKGCIVFAGTTVVNGNCICLVTQIGMDTEIGKVHNQIHEASQSEEDTPLKKKLNEFGETLTMIIGLICILVWLINVKYFLTWEIVDGWPRNFKFSFEKCTYYFEIAVALAVAAIPEGLPAVITTCLALGTRKMAQKNALVRKLPSVETLGCTTVICSDKTGTLTTNQMSVTKLVAIGTNVDTLRAFKVEGTTYNPADGRIENWPAGNLDANLEMIAKIAAVCNDAGVTQSEHKFVAHGMPTEAALKVLVEKMGLPGGSKDVPSASLHSVLRCSEWWNKHDPRIATLEFDRDRKSMGVIVDSSLGKKLLLVKGAVENLLERSSKIQLCDGSAVKLDNNAKNLILQALREMSTSALRCLGFAYKDELPDFESYSGNDDHPAHQLLLNPSNYSSIESDLVFVGLVGLRDPPREEVYQAIEDCRAAGIRVMVITGDNKNTAEAICHEIGVFGPNEDTSLKSLTGREFMELRDKKAHLRQSGGLLFSRAEPRHKQEIVRLLKDDGEVVAMTGDGVNDAPALKLADIGIAMGIAGTEVAKEASDMVLADDNFSSIVAAVGEGRSIYNNMKAFIRYMISSNIGEVASIFLTAALGIPEGLIPVQLLWVNLVTDGPPATALGFNPPDKDIMKKPPRRSDDSLINLWILFRYLVIGIYVGLATVGVFIIWYTHASFLGIDLSRDGHSLVTYSQLANWGQCSTWDNFTASPFTAGSKVISFDTPCDYFQAGKVKAMTLSLSVLVAIEMFNSLNALSEDGSLLTMPPWVNPWLLLAMSVSFGLHFLILYVPFLAQVFGIVPLSFNEWLLVLAVALPVILIDEILKFVGRCTSTSGSQAAAARSKQKSE >OIW11899 pep chromosome:LupAngTanjil_v1.0:LG05:11512056:11512265:1 gene:TanjilG_18172 transcript:OIW11899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAIVLSSLVFVVLVSAAPTSLTLKRAFPNHGMNLSQLRAMDMKRHGRILQASVVNFPVYGTADPIAAG >OIW12320 pep chromosome:LupAngTanjil_v1.0:LG05:1946987:1950244:1 gene:TanjilG_32436 transcript:OIW12320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRGRIPLPPQHMRRPLPPGSAAMLHPEMHPLPGPYSPFDMLPPREVVEQKLASQRIEMQRLLMENRRLAATHGTLRQELATAQQELQMVDMQIGSTRAEREQQLQDVADNIAKMEADLQSAEPIKVELKKAHTDAQKLVVSREELVSKAQQLSQELQRTFAEVQQIPTLVSELERLRQDYQHCRATFEYEKKLYNEHLESLQVMEKNYASMSREVEKLRAELTKTANVDQRSSGPYGGTTGTNENEASGLPPGRNAYEDGYAVAQGVPTASGGSATATATGAPPVPVSASTGYNAPRGPAYDASAGPAYDAYAGTAYDAQRATGYDVVRGSVYNAQRAAIFEAQRSQGVYEVQRGGPGYDASRGSGYDAQSRGTAGPHGHAPPVNNMPYGSTTPPTRGGGGYENLPQGTNPPRR >OIW12241 pep chromosome:LupAngTanjil_v1.0:LG05:2295845:2296949:1 gene:TanjilG_06030 transcript:OIW12241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSCSSMFFLFVLLLAIFIGLQRFYVASFEFQVGGTKGWIVPPPNDTNTYNDWASNNRFQVGDTIHFSYKKDSVMEVSEEDYKDCNATHPTFFSNIGNTVFILNHSGTFYFISGASGHCDKGQKMIVRVMVQDESLPHHAKSFGYHDAVSPIRIFGMVLFQFVLEYVVSYVI >OIW12442 pep chromosome:LupAngTanjil_v1.0:LG05:1013959:1016632:1 gene:TanjilG_04191 transcript:OIW12442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKRSMSFSGIENNHYDAVHGDDELSEDGSHIGEKKKRLKLEQVKALEKSFEIGNKLEPERKMQLAKALGLQQRQVAIWFQNRRARWKTKQLEKEYEVLKKHFQDLKLDNHNLKAHNQKLHGELQALKNRECFESGTISLKKETDQGSWSNASDISSNINLDLSPKPIMNSPIYSHNGKTLLHNSSRLELQEESFTNMFHNIEDQQNFWPWPEQNHFN >OIW12158 pep chromosome:LupAngTanjil_v1.0:LG05:3744703:3745275:-1 gene:TanjilG_28566 transcript:OIW12158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEDDKNLIEILENLNVIDVAKYMNYVSAPQAGAIATFSGTTRDTFDGKTVLELRYEAYVPMAIRCLKSVCSSARASWNLHSIAIAHRIGSVPVGETSVFIAVSSVHRADALEACRFLIDELKATVPIWKKEVYSNGEVWKENSEFLERRSELGNKEVGCCGKKAEIKERDKMRCCGTKVRVDDEGSKN >OIW11675 pep chromosome:LupAngTanjil_v1.0:LG05:20088677:20091970:1 gene:TanjilG_18202 transcript:OIW11675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNNNNTRPSNIQPNFSNASKNQQQQHHSLQNNGFGMQNQHQNPNLMIPFMPNMQQPFMNGANHMLPLQNNNHMHFQQGQSLVGLGPQNPMVPLQGQVMHNAPQMNLVQVQSQILAQGIMNMLQQQPNMNMMNMNMPNRQFCGPYPVQNMNQQLPMQMANPTQVIPYGMHPGPRPMFGFTNQMPQAMVPQNPMFSPNPQMGLVPGNQVRPHLNQNEKNTNVFSPQQLQRNDNSALQNPNPVTMTQGNPNINVQANVPNSNWKGSPNQNLKNKPNGEGFQGGFQNSKFHNINNGKKKPVRLMLVAKAGPGNGMGRNFGSNSMEHKQERKRSLTYTEQEIQQWREARKKNHPSNNNIQKDTHLENSKVIDREVLQKELKEVLAKQAELGVEVAEIPSYYLKPPGEGKNKFTDNRKFDNKFNRKSDRRGRFSKKRKFEADKDFSKGPSLNKREPSLLEKLLSADIKRDKSHLFQVFRFMVINSFFKDCPDKPLIYPPVIVTSESVAEEKYLHTRKDVLEGGDERKVQEIVSQNNNDNDNDSEDEESDEDENASIVQDGQCGNGEKIEETDEEDGQII >OIW11912 pep chromosome:LupAngTanjil_v1.0:LG05:11217785:11221531:-1 gene:TanjilG_18185 transcript:OIW11912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQDYELKTEDIVVNESLGYPKAYANLCLDKGFGPYTNGPPFTFMPYALEEDEAERARDLDKIFPIIDPKAKPTTKPKIFATLLWKQLSHLGNAGFDPKVIRVDAYGNVVHYNADSASPLAWDIDHWFPCSRGGLTVVSNLRILQRQVCKRKKNKLEFLIPWWDFQLGISVNQFLSIFASSNSDFRYRAFSFLFSEGENLELNASDFHSFPQHFFELKEQVGFAPAAIVESRYESFDATALRQVDYNRKPRPLSHGIVASSKSKSNLLKENEDPQFDKNPYQAIVMARDSLRQREENAGVHAEIQKLDNEVDEMRLTNEEEKLIIRDLESTLTNRRRKAEQCRRVAEAQCSYRTMLEKMIRDSMHQSAIYKEQIKLNQAASNALMARLEAQREICDAAEKELRKRYKQRDELEKDIRHEWEQGRKRLRIEDSGASSSENEEPKQEEKEEDLKITAENVAEEKLEEYSRSFVALEEEKSIEQKLQKLEISEVVQETETREDEERARGIANLDKWLERLLENSHEERPRETDENRISGTEEIMKQMNQKYPQKEQKISKVSDHDYKEKRPQIVQDKKKGWIQKEDRIENEARSVIWRGHKNYTEVVSCINEGNGMTSFEGKERKERHKKEKKIVRSGSVRASRRIPSSPTSLSGMRKGVEHITRNLIDDD >OIW11209 pep chromosome:LupAngTanjil_v1.0:LG05:25890574:25893246:-1 gene:TanjilG_28300 transcript:OIW11209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDLNLNNTLHFESSLSFNSSSSILNPTDTDDSSSFDNTTAFPYNFPILNSVNHSHIPTIQLFPPAGDVQQLPEPPCSVIASQRIITSQTQQKQVVKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVDADINFNVSDYDEDIKQMGNFTKEEFVHILRRQSTGFSRGSSKYRGVTLHKCGRWEARMGQFLGKKYIYLGLFDSELDAARAYDMAAIKCNGREAVTNFEASSYEGEVISQADNEDSELILDLNLGIAPPSYDGQIKNMHNNESGLQVQRSWDDIPIDSRLMFEHSGPRPMMAQPSHGFSLASEHPPLRNGTNFLPICKERAIENRMETDPLANRAWQLQGPYGGAPLIPPFSAAASSGFPSTIIPSAAESQLHFPNMMFFNPHFPPSIPNSSTIPNFYCRS >OIW11853 pep chromosome:LupAngTanjil_v1.0:LG05:12516905:12523614:-1 gene:TanjilG_31603 transcript:OIW11853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFMNVNVTGYAEDFSWTCLKDFDITSLCYQRSIIDIVNLVFISAFFTSLLISIIKRCSENSSNKKKWVFQVASIFCALVSIAFVSIGLWNLVAKSVNFKHLSLLDCIVKGFIWISFTLSLLLQRNKWIEVVNSIWWGSICVLVSTLNIEILIKEHAIEIFDLVQWVIHFLLLFCSLQNLGYFISQTLSDTPSLSEPLLARKVENNLTGLAHASLLTKLSFSWVNSLLSLGYSKPLALEDIPSLVSEDEADSAYRKFMQAWVSLSRERGKNNTKNLIFWSVVRTYSKENILNGFYVLMRTIAVVISPLILYAFVNYSNRTEAELKEGIVILGFLILSKVVESLSQRHWCFNSRRSGMKMRSALMVAIYQKQLKLSSSARRRHSAGEIVNYIAVDAYRMGEFPWWFHLLWSSVLQIFLSISVLFGVVGLGALPGLVPLLICGILNVPFARIIQNCQAQFMIAQDERLRSTSEILNSMKIIKLQSWEDKFKDLIETLRSNEFIWLSKAQIIKAYGSFLYWMSPTIVSAVIFLGCVLLHSAPLNAGTIFTVLATLRIMSEPVRMIPEALSVLIQVKVSFDRLNTFMLDDELNNDDVGRDIKKLSVNGNAVEIQGGSFIWHQESLSPTLTNVNLEMKRGQKIAVCGPVGAGKSSLLYSILGEIPKLSGTVKIDGTLAYVSQTSWIQSGTIRANILFGKPMEKTRYENTIKVCALEKDLNDFCYGDLTEIGQRGINMSGGQKQRIQLARAVYNDADIYLLDDPFSAVDAHTASTLFNDCIVTALRQKTVILVTHQVEFLSEVDKILIMEGGKVTQSGNYEDLMTAGTAFEQLVSAHKDAMKELDQNNENNKSSENEIIVHTEESQDEWISRNAQLGIQLTEEEEKEIGDAGWKPFWDYITFSRVSTLLCLVILAQSVFVALQTASTFWLALAIEMPKVTSGTLVGVYSIISFISSVFVFLRSFSGAHLGLKASIAFFSSFTKAIFAAPMLFFDSTPVGRILIRASSDLSILDFDIPYSVLFVASVTIEVLVTIGIMASVTWQVLIVAIPAMIASKYVQGYYQASARELIRINGTTKAPVMDFTAETSLGVVNVRAFNMVDRFFKKYLKLVDTDATLFFHSNVTMEWLVLRIEVLQNFTVFTAALLLILLPKGYIPTGLVGLSLSYAFSLTGSQVFWTRMFCNLSNFIISVERIKQFIHIPAEPSAIVVDNRPPSSWPSKGRIDLQSVEIRYRPNAPLVLKSITCTFNEGDRVGVVGRTGSGKTTLISALFRLVEPASGSILIDGINICSIGLKDLRMKLSIIPQEPTLFKGSIRTNLDPLGLYSDDEIWKALEKCQLKETIRSLPSLLDSSVSDEGGNWSLGQRQLFCLGRVILKRSKILVLDEATASIDSATDATLQRVIRQEFADSTVITVAHRVPTVIDSDMVMVLSYGKVVEYDEPSKLLGTNSSFSKLVAEYWSSCSKK >OIW12066 pep chromosome:LupAngTanjil_v1.0:LG05:8758262:8760605:-1 gene:TanjilG_24490 transcript:OIW12066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYRSDVLGQFMHLVGIDWSQTGVAHLFSAIQENGYKLLFLSACAISQAYHTRQFLFNLNQDGKVLPDGPVVISPDGLFPSLYREVIRRAPHEFRIGCLEDIRALFPPDCNPLYAGFGNRDTDEISYLKVGIPKGKIFIINPRGEIVVNRCLDTKSYTSLHAIVNGMFPPTNSSEQV >OIW12063 pep chromosome:LupAngTanjil_v1.0:LG05:8807613:8811778:-1 gene:TanjilG_24487 transcript:OIW12063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGMERLQRMFAGAGGALGHPPPDSPTLDSSEQVYISSLALLKMLKHGRAGVPMEVMGLMLGEFVDEYTVRVVDVFAMPQSGTGVSVEAVDHVFQTNMLDMLKQTGRPEMVVGWYHSHPGFGCWLSGVDINTQQSFEALNQRAVAVVVDPIQSVKGKVVIDAFRLINPQTMMLGQEPRQTTSNLGHLNKPSIQALIHGLNRHYYSIAINYRKNELEEKMLLNLHKKKWTDGLTLRRFDSHSKTNEQTVQEMLNLATKYNKAVQEEDELPPEKLAIANVGRQDAKKHLEEHVSNLMSSNIVQTLGMMLDTVVF >OIW12025 pep chromosome:LupAngTanjil_v1.0:LG05:9418691:9423279:-1 gene:TanjilG_27322 transcript:OIW12025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLPNCYRLLNQEILRVTTLLGNASVLGQSGLEHGSPLATGRIFSNGGADVNGWASRFQSEVPGFLQSTSKQNWLSPQSSSSGLIVKRTMRVDIPVDGYPNFNFVGRLLGPRGNSLKRVEASTECRVLIRGRGSIKDPAREEMMRGKPGYEHLNEPLHILVEAELPVEIVDARLMQAREILEDLLKPVDESQDFYKKQQLRELALLNGTLREEGSPMSGSVSPFHNSLGMKRAKTRG >OIW11360 pep chromosome:LupAngTanjil_v1.0:LG05:24263772:24264221:1 gene:TanjilG_19616 transcript:OIW11360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTSNPAVFVNAELLHFYVGRRVRAVMQVIRSDGGAVIGKSTDEKQLVVKGSPPSVPFTNFVEVIGIVDNEKCIRAETWTNFGDAIDMFSYNKLCQLANGEFKHLFL >OIW11591 pep chromosome:LupAngTanjil_v1.0:LG05:21699602:21700987:1 gene:TanjilG_15285 transcript:OIW11591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESVKLSAVTPGKKLARGFVKVLRLRALIGTTQVDGLKNAKENLKDEGNMDKGPINWTLNTKDEEVKEKIDNEAFLAKLFASTSTVKASYAQLQFAQSPYDPDEVQAADHVVVSELKHLSELKQCYLKKQFDPSPETAILAAESKELQSVMKTYEITGKKLESQVRLKESEVLFLREKLEEAIRQNKSIEKKLNQSGQLSVLDNLHISGLSHSHFSIALRHAVRSIRSFVRLIVDEMRSSSWDVDAAINAIEHGVVYWIEDHKCYAIESFVCKEMFDSFHFPNFSLPNESLPERNKQQQWFFGRFNELKSMKAKQFLTENPRSSFAKFCRVKYLKLVHPKMESSFFGNLSQRSLLNAGGFPDTAFFTSFTEMAKRVWLLHCLAFSFEPQASIFQVEKGCRFSDVYLESVNDEIFLQTVESEPHVAFTVVPGFRIGKTVIQCQVYLSAPNQGKKKSTSSKLR >OIW12322 pep chromosome:LupAngTanjil_v1.0:LG05:1922023:1930525:1 gene:TanjilG_32438 transcript:OIW12322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAILIDCVQKSLRHFMYSNAIFISHRLCAQFPSEGNLQLLASCYLQSNQAYAAYNVLKGTQMDQSRYLFALSCFQMDLLSEAEAALCPANAEVPNGAAGHYLLGLIYRYTDKRESAVHHFNQALFMDPLMWVAYEELCVLGAAEEATSVYGEAAALCIKNQYINCATSPSPNSSAENCNLVAARHCESSDASPRQLRHMQGLKDIAGNHHGSSMFGGAGVQLINSGPSNISFYDTPSPMATQMSSVAPPPLCRNVLPNGPNLGTLNADSAPKSTVNSTIQAPRRKFVDEGKLRKISGRLFSDSAPRRSSRLSSEASVNANANVVSGNGTSNTSKFLGGSKLNPMAFRAMTARKGQSWANENIDEGIRNDVLDDSRLNVTSPTSCSSPGIEAKSYEPEAANFTVGGLVISGSKVVSGALEILTLLRVLGDGYRLACLYRCQDALDTYLKLPHNHYNTGWVLSQVGKAYFELVDYSEAERAFSLARQITPYCLEGMDIYSTALYHLQEDMKLSYLAQELISTDRLAPQSWCAMGNCYSLQKDHETALKNFQRAVQLNPRFAYAHTLCGHEYVALEDFENGIKCYQSALRFDTRHYNAWYGLGMIYLRQEKFQFSEHHFRMAFQINPRSSVILSYLGTALHASQRSEEALVIMQKAILADKKSPLPLYQKANILLSMEKFDEALEVLEELKESSPCESSVYALMGNIFKRRNMHDKAMLHYGIALNLKPSATDAATIKAAIEKLHVPDEIEDNL >OIW11856 pep chromosome:LupAngTanjil_v1.0:LG05:12479829:12481367:1 gene:TanjilG_31606 transcript:OIW11856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGIVVVFDFDKTIVECDSDNWVIDELGFTDLFNQLLPTMPWNSLMDRMMMELHSHGITIEEIEKVLHRIPIHPRIIPALKSAHALGCDMRIVSDANMFFIETILKHLGIKEYFSEINTNPGYVNEEGRLRILPYHDFNKSPHGCTLCPPNMCKGLIMDRIQDSMSNEDEKRLIYLGDGAGDYCPSLRLKERDFMMPRKNFPVWDLICKNPLLLKAEIHGWSDGEEQEQVLLHLINKIEMDKNSQFISSDCKLQILSVSDALPKVLSVRP >OIW11661 pep chromosome:LupAngTanjil_v1.0:LG05:20635819:20636498:1 gene:TanjilG_24355 transcript:OIW11661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLPCSKTLGSITLAGIVQINHLFIMIVSSHQGSPEASWLLWLDMRKHSMQVLDELLSA >OIW12229 pep chromosome:LupAngTanjil_v1.0:LG05:2202114:2206713:1 gene:TanjilG_06018 transcript:OIW12229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRFDSNILLKQESNQTTPSGFNALFTIKYLYEAGAKVILVSDWNVNDPELHTESVADFLSATLQIQVVPMQGISCNKLKRVKDRIKENIHILENLSYFKEEVANCLDFARVLSSGVDIFVNDSFSTSHKVLASTVGVTRFCYACIAGFHFEERLRLLKNIGEANKKPYVAIIGGGNLNDKAASFHFLASKCEGFVFVGMISFQIMYALGVSVPLNLVDHKALDEALDIVRLARDTNLQILYPKDFWCRNKQNPSQLQVFPAHGISDGWVPVDLGPGSLDEISSFLTNCKKIIWIGPVKFVDSSKYTNGASKLAEVLDKLSQSNCEITAVGTTACQLMRQKKSSFSFISMIENAAVVWEFLKGRKLSGVVAVDRAYPFEINWNDIYSDPAQPLVVDIGSGNGLFLLEMARRKRDLNFLGLEINEKLVLRCLDSIHQYGLKNGYFIATNATSTFRSIVSSNPGELVLVSIQCPNPDFNKPGHRWRMLQRSLIEAIVDLLASDGKVFLQSDVEAVAISMKEQFLRYGKGKLDLVHGQSEWLEENPFGVRSDWEKHVLERGAPMYRIMFSKSSDISEVSAANDVIQKDG >OIW11781 pep chromosome:LupAngTanjil_v1.0:LG05:17144408:17147167:1 gene:TanjilG_14321 transcript:OIW11781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTFGLNLDTPFSLFLCSTTQPNLLAHSKSHLSVLREVQFSAKVESGDGEVDEKSGFWKQPNGLGYKACLGFSRDYRRVSEGVVKNRRKYLMVVVSGGLNQQRNQIVDAVVIARILGAALVVPILQVNVIWGDESEFADIFDLEHFKRVLANDVLVVSALPSTHLMAKKVEGNPPLHVTPSWIRSRYLRRLNKEGVLLLRSLDSRLSKDLPSDLQKLRCKVAFNALRFAKSVQEVGDKISERMASQGPYLALHLRMEKDVWVRTGCLPGLSPEFDEIITNERIQRPELLTGRSNMTYLERKMAGLCPLNAMDVTRLLKALGAPKNARIYWAGGQPLGGKEALLPLVQEFPHFYSKEDIALPGELEPFSKKASIMAAIDYIVSEKSDVFMPSHGGNMGHALQGHRAYAGHKKYITPNKRHMLPYFLNSSLSEEEFNRIVKELHQDALGQPELRTSKAVRDVTKYPIPKCMCNDSHAIS >OIW11687 pep chromosome:LupAngTanjil_v1.0:LG05:19559771:19562807:-1 gene:TanjilG_12206 transcript:OIW11687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAAPHTTFSLLRSTTTSSTPSTRSSLIHLSPATTTTASLRLRPIRRLGFSAADPLLALHVASRAATLKGKGARGVVSMAKKSVGDLSSEDLKGKRVFVRADLNVPLDDNQNITDDTRIRAAIPTIKHLIQNGAKVILSTHLGRPKGVTPKYSLAPLVPRLSELIGVQVVKAEDSIGPEVEKLVASLPDGGVLLLENVRFYKEEEKNDPEHAKKLAALADLYVNDAFGTAHRAHASTEGVTKFLKPSVAGFLLQKELDYLVGAVSSPKRPFAAIVGGSKVSSKIGVIESLLEKVDILLLGGGMIFTFYKAQGLSVGSSLVEEDKLDLATTLIAKAKAKGVSLLLPSDVVIADKFAPDANSKVVPASAIPDGWLGLDIGPDSVKTFNDALDTTKTIIWNGPMGVFEFEKFAVGTEAIAKKLADLSGKGVTTIIGGGDSVAAVEKVGVASVMSHISTGGGASLELLEGKELPGVLALDEATPVAV >OIW11362 pep chromosome:LupAngTanjil_v1.0:LG05:24248655:24251977:1 gene:TanjilG_19618 transcript:OIW11362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDPKVRTVGGTEHSWCKAVHGGTGIAVLALLSSKNPDISRFQIALNKLQNSHPILKTKLHSNTTTSDTTFTFITLTTPFVKIQYHNVCVTSKIFGSNENEAVSVPPFQLILEHELNQNTWHNHNHSSSSLSSYSDNMFVASIYAMPNATWVVVMRLHVAACDRTTAISLLRELLVLMKEEESENESEEWNKGEVGFAMEDLVPSEKGKKGIWAHGFDVLSYSVNSLRLTNLKFCDTKTTRFSQVVRLQLNNNDTKRVLDGCKHRRIKLCGALSAAGLMGAHSYKNSSRKYGIITLTDCRSSLQPPLSLHNFGFYHSAILNSHTMKGGESFWDLAKKTYETFSNSKNNNKHFTDMSDMNFLMCKAIQNPSLTSSSSLRTSMMSVFEDTVIDDGGKVQNEVGVEDYMGCASVHGVGPSIAIFDTIRDGRLDCICVYPAPLHSREQMIELVNKMKVILIEGGKTYDEE >OIW12436 pep chromosome:LupAngTanjil_v1.0:LG05:927013:951290:1 gene:TanjilG_04185 transcript:OIW12436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SWPICDCLIAFYSSGYPLEKAEAYAALRKPFLVNELEPQHLLHDRRKVYEHLEMFGIPVPRYALVIREFPNQELDYFIEEEDFVEVHGTRFWKPFVEKPIDGDNHSIMIYYPSSAGGGMKELFRKVGNRSSDFHPDVRRVRREGSYIYEEFMSTGGTDVKVYTVGPEYAHAEARKSPVVDGVVMRNTDGKEVRYPVLLTPAEKEMAREVCIAFKQAVCGFDLLRSEGRSYVCDVNGWSFVKNSYKYYDDAACVLRKMLLDAKAPHLSSVIPPILPWKVNEPVQPIEGLTRQGSGINGTFGQSEELRSVIAVIRQTPKQKVKLMVTEENLLNLMLKYNGGRPRSETKLKSAVQLQDLLDATRMLVPCTRPDRESDSEAEDVEHAEKLRQVKAVLEEGGHFSGIYRKVQLKPLKWVKVTKANGEVEERPVEALMVLKYGGVLTHAGRKQAEELGRYFRNNIYPGEGTGLLRLHSTYRHDLKIYSSDEGRVQMSAAAFAKGLLDLEGQLTPILVSLVSKDSSMLDGLEDASIEMKEAKGRLKEIITSSAKTADSNGSPKFPWMVDGAGLPPNASKLLPKLVELTKKVTEQVRLLAKDENDNLTERSLYDVIPPYDQAKALGKTNIDVDRIAAGLPCGSEGFLLMFARWKKLERDLYNERKERFDITQIPDVYDSCKYDLLHNAHLNLEGLDELFEVVQALAYGVIPNEYGINPTQKLKIGSKIARRLLGKLLIDMRNTREEAISVAELKNNQDHSLSTEIVKEDSETNLKHLHKNDELRKCNTMSDISSMDQDDDDDRETKYRLDPKYANVKTPERHVRTRLYFTSESHIHSLMNVLRYCNLDESLRGEESLVSQNGLERLFKTSELDYMTYIVLRMFENTEVALEDPKRYRIELTFSRGADLSPLKNNDDNDSEATSLRQEHTLPIMGPERLQEIGSYLTLETMEKMIRPFAMPAEDFPPPSTPAGFSGYFKSKSMLERLANLWPFHKQPQFGK >OIW12047 pep chromosome:LupAngTanjil_v1.0:LG05:9163759:9165960:1 gene:TanjilG_20885 transcript:OIW12047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHKKQPFRFRIPWLSGKSAARPATEPPPRRLKDRQKSPTEPEPDTNVPIQQLQSPPSPTKTLGPEKHSLSHPPQLKISSVIIESSLTSQLESPSRSTTPTEVNYPSPSLEPSSHAGSPSQVSIVFSSPKIQPQSPILSALDGPIMVSKLPAEESIQPTSSASEQEKEKMVMSEPILQEAETIQQQSPSYLALYGAAAVPMPAAKESSLPASSVYEQEKEIVVSEPMPQEVEPKINSRSKAIIKSQDTFSQPGNLSAQSTPILLEAPLETQSKSRETSSQPERMPTQPAGSEPQQSSYVPSSSPNSKIEPTASQTWSSSPLASERNNVLKPEDESTSFVSSVFEEENEKMTVTVEVSEPVLQETEAQMKSPSKIIPNPPGISSQLENLSIQPKTIFINEERSKEPKAPLETKRKLLQSEEKEKMVHRHHIKAGKAKDTTSGQPIRHTIASSSGTHAKDSFTRTFRADKKQHGERETVERNVMFATSNPSEKDIKVVNSADHETRNVSSISPERPVSSNEEKAPLQKGIKDDISEFVYKLATFHPSHPTDDKEFSVITLAGDNRGAIMHVGSDTANKEGPIRIHRAYKTDPEESAEVTTDGEENSNTKKKSQSSAKHVEVGETYVNSNIQSINNSLMFHGSVTERDPGVQVILPQKPADPIKYDDKLSPETHKTEFNISRVEKSNYKPMVRRRCLRGLFVEPSDSDPDNPDKPRRHGCKFSCDKNEKVEDIEDM >OIW11532 pep chromosome:LupAngTanjil_v1.0:LG05:22371498:22373918:1 gene:TanjilG_26898 transcript:OIW11532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATMQLESLTLSRSYTLSPLSFSPPITASSFTPVSDRRSSGKLPHYSGLKLRSAATTRFSGSRIAPRSACVVCEAQDTAVEVAGITDANWQSLVLESETPVLVEFWAPWCGPCRMIHPIIEELAKDYAGKLKFYKLNTDESPSTASRYGIRSIPTVLIFKNGEKKDAVIGAVPKTTLSTSIEKFL >OIW12431 pep chromosome:LupAngTanjil_v1.0:LG05:869270:873891:1 gene:TanjilG_04180 transcript:OIW12431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANQPESSDSKKKDFSTAILERKKAPNRLVVDEAVNDDNSVVALHPETMEKLQLFRGDTILIKGKKRKDTVCIALADDTCEEPKIRMNKVVRNNLRVRLGDVVSVHQCPDVKYGKQVHILPVDDTIEGVTGNLFDAYLKPYFLEAYRPVRKGDFFLVRGGMRSVEFKVIETDPGEFCVVAPDTEIYCEGEPIKREDENRLDEIGYDDVGGVRKQMAQIRELVELPLRHPQLFKSIGVKPPKGILLYGPPGSGKTLIARAVANETGAFFFCINGPEIMSKLAGESESNLRKAFEEAEKNAPSIIFIDEIDSIAPKREKTHGEVERRIVSQLLTLMDGLKSRAHVIVMGATNRPNSIDPALRRFGRFDREIDIGVPDEVGRLEVLRIHTKNMKLSEEVDLEKISKNTHGYVGADLAALCTEAALQCIREKMDVIDLEDDTIDAEILNSMAVTNEHFQTALGSSNPSALRETVVEVPNVSWEDIGGLENVKRELQETVQYPVEHPEKFEKFGMSPSKGVLFYGPPGCGKTLLAKAIANECQANFISIKGPELLTMWFGESEANVREIFDKARGSAPCVLFFDELDSIATQRGSSTGDAGGAADRVLNQLLTEMDGMSAKKTVFIIGATNRPDIIDPALLRPGRLDQLIYIPLPDEESRFQIFKSCLRKSPIAKDVDLRALAKYTQGFSGADITEICQRACKYAIRENIEKDIEKERRRSDNPEAMEEDIEDEDVAEIKAAHFEESMKFARRSVSDADIRKYQAFAQTLQQSRGFGSEFRFADNASSGAAATAAASDPFASAGGADDDDLYS >OIW11894 pep chromosome:LupAngTanjil_v1.0:LG05:11883317:11885545:-1 gene:TanjilG_25807 transcript:OIW11894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKLPIACVLSLSHASLDSIFYYWRSLSDINSLWQPLGKDLIKFFSLKDLWDCYYEWSAYGASTPVMLENGDIVVQYYVPHLSAIQIYTNKSVAASRNRREDSSDGNEFESDSWSDDSGSDKLSRSLSDTSSKAWDSISEDSSFDQEGSSPRDKLGHLYLEFTETTSPYSRIPLMEKITELAKTYPALMTLKSVDLSPASWMAVSWYPIYTIPSRKNDKDLEAGFLTYHTLSSSFEDCAMENDDDFDLGKDICCYNEWRSIVKERLKKKESGCISLPPFGLATYKMQENLWLKPDPRDYERVCYLYSAAESWLKQLNVYHHDINFFTFHPSIL >OIW12303 pep chromosome:LupAngTanjil_v1.0:LG05:3202081:3207547:1 gene:TanjilG_06092 transcript:OIW12303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVVPPPQRSDPGSGSTDLRVYQAWKGSNKFFLQGRFIFGPDARSMFLTIFLIVAPVAVFCVFVARKLIDDFSDHLGITIVAIPVVFTIYVMVLLLLTSSRDPGIIPRNAHPPELEGFEGSLDVGAGQTPQLRLPRFKEVEVNGHYLKVKYCDTCMLYRPPRCSHCSICNNCVEHFDHHCPWVGQCIGLRNYRFFFMFVFSTTLLCIYVFTFCWVYIRRIKDSEETSIWKAMIKTPASIVLIIYTFISMWFVGGLSAFHLYLISTNQTTYENFRYRYDRRANPYHKGLFNNFKEIFFTSIPQSKNNFRAMVPVEPALPARSVAGGFMSPSMGKPVEEIEMGRKTVWDMGSVGIDDSQLNNRSTLKDRELGELSPEIRTTLEDAGDRAGLHPRRSSWGRKSGSWEMSPEVLALSARVGEPNRVGGGSSSGLKTENSHT >OIW11828 pep chromosome:LupAngTanjil_v1.0:LG05:13875842:13882850:1 gene:TanjilG_14640 transcript:OIW11828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRYLFTHQNIDGGWGLHIEGCSTMFCTALSYVSLRLLGEEIDDSAMQNARKWILDRGGVTSIPSWGKLWLSVIGLYEWRGINPIPPEIWLVPYFVPMHPGRMWCHTRLVYLPMSYLYGTKFVAPINSIVLSLRTELYTLPYHLLDWDHARNLCAKEDFYYPRPMIQDMLWGFLHYLGEPLLMSWPCSKLRKKALDHVMQHIHYEDENTQYICIGPVNKVLNMVCCWLEDPNSEAYKCHLSRIKDYLWVAEDGMKMQVRRNSSGNPSEWYRHISKGGWPFSTRDNGWSVSDCSAEGLKVAILLSNMPFETVGKAIETEQLYDAVNYILSLQNPSGGFASYELTRSYSWLEKINPTETFGDIMIDYQYVECTSAVIQGLALFREKCPQHLNMEIQTCMAKAGNYIQTIQLPDGSWSGSWGICYTYGTWFGINGLIAAGKTYQDSHCIRKACEFLLSKQNPCGGWGESYVSCQNKGQRDPWPLHRAAKVLINSQMENGEFPQQDGFWPGDYGGPLFLLPALVIGLYVTGALNTILNIEHRREMKRYLFTHQNIDGGWGLHIEGCSTMFCTALSYVSLRLLGEEIDDRAMQNARKRILDCGGVTSIPSWGKLWLSVIGLYEWRGINPIPPEIWLVPYFVPMHPGRMWCHTRLVYLPMSYLYGTKFVAPINSIVLSLRTELYTLPYHLLDWDHARNLCAKLRKKALDHVMQHIHYEDENTQYICIGPVNKVLNMVCCWLEDPNSEAYKCHLSRIKDYLWVAEDGMKMQVRRNSSGNPSEWYRHISKGGWPFSTRDNGWSVSDCSAEGLKVAILLSNMPFETVGKAIETEQLYDAVNYILSLQNPSGGFASYELTRSYSWLEKINPTETFGDIMIDYQYVECTSAVIQGLALFREKCPQHLNMEIQTCMAKAGNYIQTIQLPDGSWSGSWGICYTYGTWFGIKGLIAAGKTYQDIHCIRKACEFLLSKQNPCGGWGESYVSCQNKGQRDPWLLHRAAKVLINSQMENGEFPQQVGNK >OIW11955 pep chromosome:LupAngTanjil_v1.0:LG05:10358192:10359170:1 gene:TanjilG_02162 transcript:OIW11955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMSQIGFGVKFGNWRCYASSASSSSSSSSSSQSEVAVVEGGNGRISERHQIRLGLPSKGRMATDTTELLKNCQLSVKQVNPRQYVAQIPELSNFEVWFQRPKDIVRKLVSGDLDLGIVGLDTFTEYGQGNEDLIIVHEALAYGDCRLSLAIPQYGIFENINSLEELAKMPQWTASKPLRVATGFTYVCVSNLCFS >OIW12355 pep chromosome:LupAngTanjil_v1.0:LG05:1588100:1588261:-1 gene:TanjilG_32471 transcript:OIW12355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKLWNKRKLKKPFRPNNNIFKNKDNKSEDVTCYECMKSGHMKAYYLNLKYQP >OIW11211 pep chromosome:LupAngTanjil_v1.0:LG05:25903360:25905118:-1 gene:TanjilG_28302 transcript:OIW11211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAARPLVTVQVVTGDNATDSAPTVALPDVMKASIRPDIVNFVHSNISRNSRQPYAVSRKAGHQTSAESWGTGRAVSRIPRVSGGGTHRAGQAAFGNMCRGGRMFAPTRIWRRWHRKVNINQKRYAVVSAIAASAIPSLVQARGHKIETVPELPLVVSDTIEGVEKSKEAIKVLKEIGAFGDAEKAKDSRGIRPGKGKMRNRRYISRKGPLIVYGTEGAKAVKAFRNIPGVEIANVERLNLLKLAPGGHLGRFVVWTKSAFEKLDSIYGTFEKASEKKKGYVLPRSKLVNADLARIINSDEVQSVVKPIKKEVKRSGIKKNPLKNLNVLLKLNPYAKTAKRMALLAEKQRVTAKQEKLQKKRTNVSKEEASAIKAAGKAWYQTMVSDSDYAEFDNFSKWLGVSQ >OIW11873 pep chromosome:LupAngTanjil_v1.0:LG05:11697110:11698727:-1 gene:TanjilG_25786 transcript:OIW11873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTKQGGKAKPLKKPKSDKKDYDEIDMANIQKKKEEEKALKELKAKASQKGSFGGSGLKKSGKK >OIW12247 pep chromosome:LupAngTanjil_v1.0:LG05:2362794:2363669:-1 gene:TanjilG_06036 transcript:OIW12247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSEDMWRVSSREGFPHDNRILNTIMLRYRPIAPKPVSDGSIDPVQVNRINGSVSGKRVKRKYVRVRRNNGYLRKNNDKSPEKLVESRDGVAVMTLQLLPENDKPEEESSLVGDSWCKSLDLNLTMEKIQILDKNQKPLCMTANVSSGSTLDPSPVVESSVTVESVTGTCMVEEGGEGCTDREKLMNLETDTCPGFLSDGYWNVRWLNDAFKRMIVDKKEPFPEMVVLLKVKNNSVWRCCYSYPSFTCSVRLQYTWRNEKCTKMVPCDVLRLESGGFAWRLDVKAALSLGL >OIW11734 pep chromosome:LupAngTanjil_v1.0:LG05:18548899:18550586:-1 gene:TanjilG_20218 transcript:OIW11734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNLPAPTPTWPLASGGSFASGIIDLGGLQVSQISTFNKVWATHEGGPDNQGATVFEPTAIPEGFYMLGSYSQPNNKAQFGWVLVAKDVSSNTTNPTLKQPIDYTLVWNTESLNINEEGHAYVWLPTAPNGYKAVGHVVTTTPDKPSLDKIRCVREDLTDQSEQYSLIWSNNGFFIYDVRPSNRGTRAPGIRVGTFVAQTIETTSSLSIACLKNTNANKTSSMPNLQQIDAIMKVYSPFLFLHPDEEFYPSSVNWFFSNGALLYTKGQESKPVTIEPNGTNLPQGGENDGAYWIDLPTDGENKDRVSKGDLSSARSYVHVKPMFGSTFTDIAMWVFYPYNGPSRAKVKFIKTIKLGKIGEHVGDWEHVTLRVSNFNAQLWEVYFSQHNKGIWVEASQLEFQSGNKPVVYSSLHGHAAYPHAGLNLLGDDGNGIGIRDDTDNCGMVMDMGEFEVVSAEYLGSAVIEPAWLNYFMEWGPKFDFDELKNLEKILHGKLKRKFENILRGLPSEVLGEEGPTGPKCKNNWIGDEV >OIW11635 pep chromosome:LupAngTanjil_v1.0:LG05:20769518:20772235:1 gene:TanjilG_24841 transcript:OIW11635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCFPFFSKVSSSARQDPDIDEGIQHVKLYTYNELSKATNDFSQANKIGEGGFGSVYKGRLKNGKFAAIKVLSAESRQGEKEFLTEINTIAEIQHENLVKLYGCCVEKNNRILVYNYLENNSLAQTLVGGGHSSIYFDWQTRCRICVGVARGLAFLHEEVRPLIVHRDIKASNILLDKDLTPKISDFGLAKLIPPNMTHVSTRVAGTLGYLAPEYAIGGRLTRKADIYSFGVLLLEIVSGRCNTNSQLPIEEQFLLERAWDLYERKELVGLVDTSLNGEFDAEQACKFLKISLLCTQESPKLRPSMSSVVKMLTGKMHVDDSKITKPALISDFMDLKVRKNQESNIDTTYNTSSASENQDSTMSSSVPSTARTSTFTAHYDQSL >OIW12328 pep chromosome:LupAngTanjil_v1.0:LG05:1863591:1866593:-1 gene:TanjilG_32444 transcript:OIW12328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRQADLVIIGVTVGLALGILISFLIFFGIRWYKKRANIRRSANENSLTTLPIRTNGLETSTDFSASITSSMGMSVSENVHKNSPFSWWSQQSKDRFASPSGIPKYSYKEIQKATQNFTTTLGQGSFGTVYKATMPAGEVVAVKVLAPNSKQGEKEFQTEVLLLGRLHHRNLVNLVGYCVDKGQHILVYQFMSNGSLANLLYGEEKKLSWDERLQIALDISHGIEYLHEGAVPPVIHRDLKSANILLDHLMRAKVADFGLSKEEVFDGRNSGLKGTYGYMDPAYISTSKFTTKSDVYSFGIIIFELITAIHPHQNLLEYISLAAMDHDGIDGIVDKQIVENCNIEEVRQLAKIAHKCLHKSPRKRPSIGEVSQSISWIKQRRQRHAIGQNMSYASDDFSRAVSRLEDRHVELSRIATMDIKENG >OIW11784 pep chromosome:LupAngTanjil_v1.0:LG05:17184304:17184495:-1 gene:TanjilG_14324 transcript:OIW11784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVSVFLNCFAPSTSSSAQVSDHAEGSQLKSISSEKPKSKSESKGAPIVVSYFPINSYPSRL >OIW11969 pep chromosome:LupAngTanjil_v1.0:LG05:10548580:10557834:1 gene:TanjilG_02176 transcript:OIW11969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSDFSSNFPHESYETELNHSEAKNESFEDTELESENFTHTLQCQSNQMEGVEEEETTQLIFKFQYQSWNHKIDEEEESKGSYDQSCDFLKRVDNTVSVTSANKCDFLKNPVTNMNYNTSNSQFFYEKKFMPEYYVGGSGNAKNIDNFTERVHIDDFLLEDGIICSSSDSDSIVSIENTIELDTLRRHDEKNDGLTDSTGKEKSSYDGFQDSNNSNPEESAKFILQTLADFDIDDSNNFDTLWEHQEMFEEHKTELKKVRTTGLPTILEDSESPRIMDDLKPWKTDNTKLQHGNELLKFYRSYKERMRKFDILNYQKMYAIGFLQSREPCQSFSSRKNSTPAIASILPQRFRLGRLKNAEPDPTRKFIRELYNDMEMVYVGQLCLSWEFLHWEYEKALKLWESDQYGLLRFNKVAGEFQQFQVLLQRFMEDEPFQGTRVENYAKNRYVMRNLLQVPVIREDNYKDKKKFRKIEADKDAIRSDTLVEILEESIRTIWRFIKADKETSTTSHKGLKESEVELQNSTDFELLVQIQTNLEKKEKKLRELLKSRSCILNKFKKCHEDETEQVLYFFSQVDMKLVWRVLKMSRITKDQLEWCHMHSVEYAFGAHESPSSGIYEGTPKRSEKFRLRKSILIGKTNMGYSEVKDLMKEFGTHYRGNAYHLITKNCNHFCNDVCVKLTGNHIPSWVNRLARIGLMLTCILPVSLKSTKLRDHKIEDNQMQHEGKKQVLTCDSNNVIAFNSTFFSAPSPLLTSENAYRGRNRITRHISLPLIEYSS >OIW12412 pep chromosome:LupAngTanjil_v1.0:LG05:691307:696287:1 gene:TanjilG_04161 transcript:OIW12412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPSSVLVATEKTNNDFTLLQVHDSDSQMFLEKKQKATNPKQFRWFLLLKLHKVLTCLSWLTTGFKATFVLVKKRISLSNTSDEDPKYRGKLYRIIKIFLALSIGALVIEIIAHFKKWNLHNMMMIQPFEVQGLLQWSYMAWLSFREDYVAPFVLLVSKFCIVLFLIQSLDRLVLCLGCFWIKFKKLKPSIEADAYDVEDPSTFPMVLVQIPMCNEIEVYAQSIGAASQLDWPKDRILIQVLDDSDDSNLQNLINDEVSAWKEKGVNIIYRHRLIRTGYKAGNLKSAMSCDYVKDYEFVTIFDADFQPNPDFLKLTVPHFKGKPDLGLVQARWSFVNKDENLLTRLQNINLCFHFEVEQQVNGHFLNFFGFNGTAGVWRIKALEESGGWLERTTVEDMDIAVRAHLNGWKFIYLNDVKVLCELPESYEAYKKQQHRWHSGPMQLFRLCLPAILTSKFKKLKPSIEADAYDVEDPSTFPMVLVQIPMCNEIEVYAQSIGAASQLDWPKDRILIQVLDDSDDSNLQNLINDEVSAWKEKGVNIIYRHRLIRTGYKAGNLKSAMSCDYVKDYEFVTIFDADFQPNPDFLKLTVPHFKGKPDLGLVQARWSFVNKDENLLTRLQNINLCFHFEVEQQVNGHFLNFFGFNGTAGVWRIKALEESGGWLERTTVEDMDIAVRAHLNGWKFIYLNDVKVLCELPESYEAYKKQQHRWHSGPMQLFRLCLPAILTSKISTWKKANLIFLFFLLRKLILPFYSFTLFCVILPFTMFIPESELPLWVICYIPIVMSFLNILPSPKSVPFLVPYLLFENTMSVTKFNAMVSGLFQLGSAYEWVVTKKTGRSSESDLLAYAERESKSSNEDKILRRHSESGLELLCKLKEAKKPQKKRNKLYRKELALAFLLLTASARSLLSEHGLHFYFLLFQGLSFLVMGLDLIGEQVS >OIW12449 pep chromosome:LupAngTanjil_v1.0:LG05:1093812:1095066:1 gene:TanjilG_04198 transcript:OIW12449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRLPCCDKGVKKGPWTPEEDIILVSYIQEHGPGNWRTVPTNTGLCRCSKSCRLRWTNYLRPGIKRGNFTKQEEKMIIHLQALLGNRWAAIASYLPHRTDNDIKNYWNTYLKKRLEKSESGSEGTLGNVYNSASNPVTKGQWEKRLQTDINMAKKALTEALSPKKMLSLHEPNDDSISNDIGSIKSTTPNSICYASSADNIARLLKGWMKNPPNKASSKSNSIVSTQNSFNNLAGIDTASSEGTPSVGAKGSVEFSETFKSLFGYESLDYSSNSEFSPSLSHEASTLCQDERKPGTTSIAEMPFSLLEKWLLDDTKIGADATLF >OIW11339 pep chromosome:LupAngTanjil_v1.0:LG05:24469377:24470774:-1 gene:TanjilG_31107 transcript:OIW11339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYLYIILYLLFSFITLTSSNPYTITLPLSPLFTNHHSSPPDPFQSLKLAASSSLTRAHHLKHRNNNHPSTVTTQIHPKTYGGYSIDLKFGTPTQTSSFVLDTGSSLVWFPCSSRYTCFTCSFPNIDPTRISKFIPRNSISSKIVGCTNPKCGWIFGSDVQSRCNGCNPNTQNCTFNCPTYIIQYGLGSTAGLLLSENLNFPGKIFNDFLVGCSLLSIRQPSGIAGFGRGLESLPSQMGLKRFSYCLVSHKFDDSPENSDLVLQIGSSGDRKTSGLSYTPFQKNPEVNSVFREYYYVNLKKIRVGGKRVKIASGLLEPDFNGNGGTIVDSGSTFTFMERPVFELVAQEFEKQMKNNTRAKEVETRSGLSPCFTVDASGGDIALPELTFGFKGGAKMALPAANYFSFVNNESVVCLTIVSDDATGPAKAGGPAIILGNYQQQNFYVEYDLENQRLGFGNRSCNKST >OIW12015 pep chromosome:LupAngTanjil_v1.0:LG05:9852309:9855165:-1 gene:TanjilG_16126 transcript:OIW12015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREILHIQGGQCGNQIGSKFWEVICDEHGIDHTGKYIGDGSGSDLQLERINVYYNEASGGRYVPRAVLMDLEPGTMDSIRSGPYGKIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLSTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSHGSQKYVSLTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSSVCDIPPRNLTMSSTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVAEYQQYQDATAEEDEYEDEEEDNYDEQ >OIW11863 pep chromosome:LupAngTanjil_v1.0:LG05:12263909:12268096:1 gene:TanjilG_31613 transcript:OIW11863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSGESNSIDATVGGLVWVRRRNGSWWPGRIMGLDELAETCLVSPRSGTPVKLLGREDASVDWYNFEKSKRVKPFRCGEYDECIEKAKASAASSNKKAVKYARREDAILHALEIESAHIDKEALNSCSASDKSGSEHSGSVGELRVTSNSGDDNEDVSDDLSDAEDNFNSAPELSQSGISFEEPNHNGSLKIQSANGRMRRTPNDSEDDGTEGVKRMRGLEDLGVGVVSKRKVQRQGTGTTEMVQHVSASLSISTTRNCLPNGTSVTVGKGRSSTMKRKRSQVTNVHEFLKRKNRRRPLTKVLESTTMVSIPVICDQLPSSSNFPLCETLDRRMSTLNSNDSKKSSPLATHDSDSTGAGCENETSLNVHDLDNDTSQISHKVKENKTSSMSVPVGSEYSDKLFDVPVVKVPEEEKRGPGFSPILVTCSSEKSEVGAPGQQVCHGGQSGSLSLRNEFGCTNSTASYDIIGNGAEKDSSKWQSKGKRNLRHLSKHRKHMSRNHVDMNHESSAYLAGIENTSEFTPGVVEKCDWSGKGALNASYNCDSKINRKPVAECQRDGFRELSKHIKGTTSKAKLLADGSLTPQRQLPYRHSRFTTNSKYRMVDIPGRNHCSDGSLYDVKLEVKSSYRPQHVPLVSLVSKLNGKAFVGHPLTVEVLDDGHCDKVLSDIRCDSEVGSIRSVAKLNSVTRRIPSKNPSRRTHGKSSKVKKSGLLNKKIRKLSSLTGQRQSEDRKPVVDKLKGPVIACIPLTLVFSRIHEAVSGQARATQRALLTTDP >OIW12407 pep chromosome:LupAngTanjil_v1.0:LG05:604798:605151:-1 gene:TanjilG_04156 transcript:OIW12407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVVYGGLLDNTKITITNKLSQSLTIHCQDKGNDDGYHTLNPNESHRFKFLANPFNKKTLWFCSFKWNGKFHRYDIYDERTNSCYNYNCFWLIKEDGPCQIIHSLNDSPLCFSWKE >OIW11490 pep chromosome:LupAngTanjil_v1.0:LG05:22983623:22983811:1 gene:TanjilG_26856 transcript:OIW11490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTYTVMILGLLTLSILVLPLVLPPLPPPPLLILFVPVFIMLLLFLLAFSPSTLPNMASFGS >OIW11264 pep chromosome:LupAngTanjil_v1.0:LG05:26224663:26228091:-1 gene:TanjilG_28355 transcript:OIW11264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATASVAFKSREDHRKQIELEEARKAGLAPAEVDEDGKEINPHIPQYMSSAPWYLNAERPSLKHQRKWKSDPNYTKSWYDRGAKIFQADKYRKGACENCGAMTHDAKSCMDRPRRVGAKWTNKHIAPDEKIETFELDYDGKRDRWNGYDASTYARVIERYEARDESRKKYLKEQQLKKLEKNDQDGEDVASDEDEDEDDLRVDEAKVDESKQMDFAKVEKRVRTTGGGSTGTVRNLRIREDTAKYLLNLDVNSAHYDPKTRSMREDPLPDADPHEKFYLGDNQYRNSGQALEFKELNLHAWEAFDKGQDVHMQAAPSQAELLYKNFKVMKDKLKSQTKDTVLEKYGNAADEDKLPRELLLGQSERQVEYDRAGRIIKGQELAIPRSKYDEDVYINNHTTVWGSWWKDHQWGFKCCKQTIRNSYCTGAAGIEAAEAASDLMRANIARKESAAEDTTLVEEKRFATWGTEVPDDLVLDEKLLADALKKEDQRKREEKDERKRKYNVRWNDEVTAEDMEAYRMKKVHHDDPMKDLLH >OIW11821 pep chromosome:LupAngTanjil_v1.0:LG05:14424285:14424896:1 gene:TanjilG_07302 transcript:OIW11821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRILLLFSLFLSTSHASDLCVADLKGIKNPAGYPCKSKVTVNDFVFSGFKAGNTSNVFKAALTPAFVDEFPGVNGLGFSAARLDLDVGGIIPMHSHPSGSELLIMVSGHITAGFIASDNSVFLKTLSKGDLMIFPQGLLHFQLNSGNRKATAFFTYSSTNPGAQLLDLALFGNNLDSILVQKSTFLDPAQVKKLKGAFGGSY >OIW11645 pep chromosome:LupAngTanjil_v1.0:LG05:20876159:20877111:-1 gene:TanjilG_24851 transcript:OIW11645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEVVLLDFWLSPFGMRIRIALAEKGIKYEYKEEDLRNKSPLLLQMNPVHKKIPVLIHNGKPICESLIAVQYIDEVWNDRSPLLPSDPYQRSQARFWADFVDKKIYEAGKKVWTTKGEEQEAGKKEFIEALKAFEEELGDKPYFGGDKLGYVDIALVPFYTWFKGYETYGNINIESECPKFIAWAKRCLQKESVSKSLCDQEKVLEFMVDIKKKLGI >OIW12339 pep chromosome:LupAngTanjil_v1.0:LG05:1761772:1762994:1 gene:TanjilG_32455 transcript:OIW12339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGVSSGGGQSSLGYLFGGGEAPNNVQGSNNQGQPENGVRAQNPSVASQPISTNQGQTANSSHAQNATGSSPIDKGIPAGIPGNLKNNYHRADGQNCGNFITDRPSTKVHAAPGGGSSLGYLFGDSGK >OIW11872 pep chromosome:LupAngTanjil_v1.0:LG05:11692490:11694410:1 gene:TanjilG_25785 transcript:OIW11872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLGCEVFEGCMVSTRIAGNVLRVKIMDGNGAGWTREVITRNRAAEFRRQLKTETSTEINQKHKSSYHRYKFASSSAFFIIHDNGVGDDESIIADGGTPGSEGGGVKGKEGGEEFGESW >OIW11193 pep chromosome:LupAngTanjil_v1.0:LG05:25116691:25124004:-1 gene:TanjilG_28284 transcript:OIW11193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSKTQYNPRTVEEVFRDFKGRRAGLIKALTTDVEEFYSQCDPEKENLCLYGFPSEQWEVNLPAEEVPPELPEPVLGINFARDGMQEKDWLSLVAVHSDAWLLAIAFYFGARFGFDKADRKRLFNMINELPTIFEVVTGAAKKQVKEKSSVSNHSGSKSKSSSKTRAPESQARQSKALPSKDEDDDLVDEEDEDEHGEALCGACGENYGTDEFWICCDICEKWFHGKCVKITPAKAYHIKQYKCPSCSNKRARP >OIW11421 pep chromosome:LupAngTanjil_v1.0:LG05:23704940:23708750:-1 gene:TanjilG_26787 transcript:OIW11421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRFWTQGGSDSEEEEEGSDYENEERENEVAGEPSNAAKYIRGNASDSDDSDGEKRVVRSAKDKRFEEMTLTVDQMKNAMKINDWVSLQESFDKINKQLEKVMRVTESDKVPNLYIKALVILEDFLAQALANKEAKKKMSSSNAKALNSMKQKLKKNNKQYEDLINKSRESPESDGEKEEEEDSEEEYESDDEIIEPDQLSKKVTKSDSEADGYDDDKADAADGPWDQKLSKKDRLMDKQFMKDPSEITWDIVNKKFKEVVAARGKKGTGRFEQVEQLTFLTKVAKTPAQKLEILFSVVSAQFDVNPGLSGHMPINVWKKCVQNMLIILDILVQYPNIVADDSVESNENETQKGADYNGPIHVWGNLVAFLEKIDAEFFKSLQCIDPHTREYVERLRDEPIFQVLAQNVQEYLERSGDYKSASKVALRRVELIYYKPQEVYDAMRKLAELTEDGDNDGKAGEESKGNESTRIPTASVVTPEIVPRKPTFPESSRTQMDVLVSLIYKYGDERTKARAMLCDIYHHALRDEFSIARDLLLMSHLQDSVQHMDISTQILFNRAMSQLGLSAFRVGLISEAHGCLSELYSGGRVKELLAQGVSQSRYHEKTPEQERLERRRQMPYHMHINLELLESVHLISAMLLEVPNMAANVHDSKRKIISKNFRRLLEVSDRQSFTGPPENVRDHVMAATRALNKGDFQKAYDVIVSLDVWKFVRNRDAVLEMLKFKIKEEALRTYLFTFSSSYDSLSLDQLTKIFDLSVPHTHSIVSKMIINEELHASWDQPTGCIVFQDVEHTRLQALAFQLTEKLTILAENNERATEARIGGGLDLPQRRRDGQDYAAGGGTGTLGGGGRWQDLSLSQPRQGSGRAGGYSGGGRVFNQAGGGYSRDRGGRGYQSTRYQDTANGGRSQGGSSLRGTQGDISTRMVSLKGVRS >OIW12446 pep chromosome:LupAngTanjil_v1.0:LG05:1063999:1064199:1 gene:TanjilG_04195 transcript:OIW12446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVQWHVIPPLLGARGVRCSVKPASRFALLSRSHDTSALAQAPRLNSQLGVGTPTWCSHHPEQAQP >OIW11922 pep chromosome:LupAngTanjil_v1.0:LG05:11043552:11074685:1 gene:TanjilG_18195 transcript:OIW11922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLNLTGGYVGIHSSGFRDFLLKPELLRAIVDSGFEHPSEVQHECIPQAILGMDVICQAKSGMGKTAVFVLSTLQQIDPVAGQVAALVLCHTRELAYQICHEFERFSTYLPDIKVAVFYGGVNIKVHKDLLKNECPHIVVGTPGRILALARDKDLGLKNPMEIYVDDEAKLTLHGLVQHYIKLQESEKNRKLNDLLDALDFNQVVIFVKSVSRAAELNKLLVECNFPSICIHSGMSQEERLKRYKGFKEGKQRILVATDLVGRGIDIERVNIVINYDMPDSADTYLHRVGRAGRFGTKGLAITFVSSASDSEVLNQCQTDGVLGMIVTSALIIWKALMCITGSESPVVVVLSGSMEPGFKRGDILFLHMNKDPILAGEIVVFNVDGREIPIVHRVIKVHERQETGELEILTKGDNNYGDDRLLYAHGQLWLQRHHIMGKAVGFLPYVGWVTIIMTEKPIIKYILIGALGLLVITSKD >OIW11399 pep chromosome:LupAngTanjil_v1.0:LG05:23893792:23895809:1 gene:TanjilG_10717 transcript:OIW11399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKFKNQSLISTTKQLQCLEEEGSDECFSLKSTTQSHTHGVQPLGNLYLSSGSINSRDTGLGNLHTLSDELVLEILGFLGASELGVLSTVSKSLYVFTNHEPLWRNLVLENFVGGFQFNGFWKSTYVSACYPSFDLSSNELRSFKVRDFYSDYLFQSWLCANLEMKPEWLERDNIVRKKGISVEEFVLNFEEPNKPVLLEGCIDNWDALRNWDRDYLMQLCGDVKFSVGPLEMKLEDYFRYSDQVKEERPLYLFDPNFAEKVPKLGSEYEVPVYFREDLFGVLGNGRPDYRWVIIGPTGSGSSFHVDPNSTSAWNAVITGSKKWLLFPPDVIPPGVHPSPDGAEVASPVSIIEWFMNFYGATKNWKKRPIECICKAGEVIFVPNGWWHLVINLEESIAITQNYVSRRNLLNVLDFLKRPNASTLVSGTKDRVNLYCKFKNAIDGSFPGTIEELAEKEEEKKMQQRKLPFWDSVTDANMGAFKFSF >OIW11932 pep chromosome:LupAngTanjil_v1.0:LG05:10098088:10100953:1 gene:TanjilG_02139 transcript:OIW11932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGLVLRGTLRAHTDEVTAIATPIDNSDMIVSSSRDKSIVVWHLTKEDRTYGVPRRRLTGHSHFVQDVVLSSDGQFALSGSWDGELRLWDLAAGVSTRRFVGHSKDVLSVAFSVDNRQIVSASRDRTIKLWNTLGECKYTISDGADGHNDWVSCVRFSPNNLQPTIISASWDKTVKVWNLTNCKLRNTLAGHNGYVNTVAVSPDGSLCASGGKDGVILLWDLAEGKKLYSLDAGSIIHSLCFSPNKYWLCAATEQGIKIWDLESKSIVEDLKVDLKSGAEATTGGVSNKKKVIYCTSLNWSADGSTLFSGYTDGVIRVWGIGRGF >OIW11956 pep chromosome:LupAngTanjil_v1.0:LG05:10361304:10364307:1 gene:TanjilG_02163 transcript:OIW11956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMSQIGFGVKFGNWRCYASSASSSSSSSSSSQSEVAVVEGGNGRISERHQIRLGLPSKGRMATDTTELLKNCQLSVKQVNPRQYVAQIPELSNFEVWFQRPKDIVRKLVSGDLDLGIVGLDTFTEYGQGNEDLIIVHEALAYGDCRLSLAIPQYGIFENINSLEELAKMPQWTASKPLRVATGFTYLGPKFMKDNGLKHVAFSTADGALEAAPAMGIADAILDLVSSGTTLKENNLKEIEGGVVLESQAVLVASRKSLVQRKGVLDTTHEILERLEAHLRAIGQFTVTANMRGRSDKEVAERVVSHPSLCGLQGPTVSPVFGPDGEVKHYAIVICVPKKALYKSMKQLRAIGGSGVLVSPLTYIFDEETPRWRRLLSTLGI >OIW11312 pep chromosome:LupAngTanjil_v1.0:LG05:24742049:24743575:-1 gene:TanjilG_20461 transcript:OIW11312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSETNIPHFTLFPLMAQGHIIPMMDIARLLSQHGVKVTIFTTPKNASRFTSVISRAVSSGLQIQLLLLQFPSKEVGLPEGCENFDMVPSTNMMLNLFNGITLLQKQAEELFATLTPKPSCIISDFCIPWTSEISQKYNIPRISFHGFCCFCLHCTDVVRSSKIDETITSDSEYFTIPGLPDQIQVTKLQLPGNMSVSDEDKMQEFREKMIDAETKAYGVIINTFEELEQAYVNDYKKERNDKVWCIGPVSLSNKDELDKAQRGNKASINQHHCLNWLDLQQPKSVVYVCLGSLCNLIPSQLMELALALESTQRPFIWVIREGSILKELEKLISEEGFEERIEGRGLIIRGWAPQLLILSHHAIGGFLTHCGWNSTLEGISVGVPMITWPLFADQFMNEKLVVQVLKIGVSLGVETPMKWGEEEKNGVSLKKNDIEKAICMVMDEGEESEERRERAKKLSEMAKKAVEKGGSSNLNMILLIQEIMKLQESKEDDINFTPKEIQVTCCN >OIW11989 pep chromosome:LupAngTanjil_v1.0:LG05:10816682:10819027:1 gene:TanjilG_02196 transcript:OIW11989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFSSFSTSILDSLFHCCKSIHLTKSLHALLFVLGKSQNVVLSTKLLNLYASFGYLSLSHSTFQHLHNKNIYSWNSIIAAYVHSARYTQALNFVTDLFSLSSQRPDFYTFPPTLKACQCLIDGRKMHCCILKMGFENDVFVGASLINLYSRFRGLDSAYKVFVEMPVRDVGSWNAMISGFCQNGNAAEALDVLNKMKGEGLKMDKVTVSSILPVCAQSNDVVSGLLIHLYVIKHGLEEDVFVSNALINMYSKFGRLQDSQRVFDYMKVKDLVSWNSIIAAYEQNNDPTTALGLFKEMQLIGIRPDLLTVVSLASILGQLNDQMVSRSVHGFVMRHEWLEKDVVIGNALVNMYAKLGAMDCASTVFEHLPLRDIISWNTLITGYAQNGLASEAIDAFNKMEQCENIIPNQGTWVSILPAYSHLGALQKGTKIHGRLIKNSLYLDVFVATSLIDMYGKSSHRLLEADSDNVGYYVLLSNMYANVGKWEGVTKVRSLARDRGLSKTPGWSSVVVGSIVEVFYTGNQTHPKCIEIYDELSVLTAKMKSLGYVPDYSFVLQDVEEDEKEQILTSHSERLAIAFGIISTPHRSSIRIFKNLRVCGDCHSATKYISRITERDIVVRDSNRFHHFKDGICSCGDYW >OIW12432 pep chromosome:LupAngTanjil_v1.0:LG05:874845:876083:-1 gene:TanjilG_04181 transcript:OIW12432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSEAYSAIENYLSSKASTQAKRLKGDIAKNNQSLVLSMDDHEEVADEFNGIKLWWASGKHVMKSQSISFNHMTDEKRYYKLTFHKYHRDMILGTYLNHVMKEGNDIKIKNRQRKLYTNNGSYWSHVVFQHPATFQTLAMEPKEKELIIDDLITFSKSGDFYAGIGRAWKRGYLLYGPPGTGKSTMIAAMANLLGYDLYDLELTNVKDNTELRKLLIETSSKSIIVIEDIDCSLDLTGQRRKKREKKEEEEEKDPRQKQQGMEESEGKNSKVTLSGLLNFIDGLWSACGGERLIVFTTNYVEKLDPALVRKGRMDKHIELSYCGFEAFKLLAKNYLKIESHHLFGTICELLKETKITPAEVAEHLMPKTSSGDAELYLKSLIQALELEKEEANLKSEEVARKSEPSLNQYSH >OIW11557 pep chromosome:LupAngTanjil_v1.0:LG05:22133350:22136493:-1 gene:TanjilG_26923 transcript:OIW11557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHSHTHCFHHHHHFLFTNPIFTNHKSSLTFLHKPNNTLHSLSLSAIPPLISSSFLADLTTAVVEDGPIELPFSSTPSIFATTDDPSPIQLASSILLTGAISVFLFRSLRRRANRSKELQYRSTGTKKSIKEEALDSLKALGSSSIDAKGPPSPVQALFGGISAGIIALILYKFATTIEASLNRQTISDNFSVRQITITIRTVINGLTYLATFVFGLNSLGLFLYSGQLAINSFMEGSTENESESKFTDQSNVSNSSVESPTSNTESSSGKEEQSSKDAQ >OIW11866 pep chromosome:LupAngTanjil_v1.0:LG05:11941799:11945719:-1 gene:TanjilG_00142 transcript:OIW11866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVSRGTTVKLLRCDLMVMSSNLGNSLFTYGCKAAYIDAPQSPPGGSLVQIGGSPWYKLERKLGKGGFGQVYVGRRVTGSNLSERTGPGAVEVALKLEHKTSKGCNYGPPYEWQVYNTLGGSHGVPQVHYKGRQGDYYIMVMDILGPSLWDVWSNNNHIMSTEMVACIAIEAISILEKIHSRGYVHGDVKPENFLLGPPGTPDEKKLFLVDLGLATKWRDSTTGLHVDYDQRPDVFRGTVRYASVHAHLGRTGSRRDDLESLAYTLIFLLRGRLPWQGYQGDNKGFLVCRKKMGTSPETLCSFCPHPFSQFVEHVVNLKFDEEPNYAKYISLFDGIVGPNPNIRPLNTEGAQKLIGHKRGRLAMEEEDDEQAKKKIRLGLPATQWISVYNARRPMKQRYHYNVSDQRLSQHIEKGNEDGLFISSVAAAQDLWAIIMDAGTGFSSQVYEVSQEFLHKEWIMEQWEKNYYISSIAGTSHGSSLVVMSKGTQYLQQSYKVSESFPFKWINKKWKEGFYVTAMATSASRWGIVMSRGAGFSDQVVELDFLYPSEGIHKRWDGGYRITATAATSDQAAFVLSVPRRRPTDETQETLRTSAFPSTHVKEKWAKNLYIASVCYGRTVS >OIW12344 pep chromosome:LupAngTanjil_v1.0:LG05:1700900:1702270:-1 gene:TanjilG_32460 transcript:OIW12344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSTSLKVHHVYSVAPPDGTTATTLPLTFFDILWLRFPPVERLFFYEFPNPKTTTTSFFDVIVPNLKHSLQLTLQHFVPLAGNITWPLESSKPFINYVPGDTVSFTVAESEADFNRLSSNLCEVVDRENLIPRLNISHEQASILALQVTLFPNLGFCIGITTHHAAVDGKSSTMFLKTWAYICSKLGSLTESPLPGLSLREDLIPFFDRSVVSDPIGITETYVDSWLNNEGPNNRGLKVWDTINNEEKKEQVKGLFELTPSYIQKLKQYAQSQVNVKVSTFSVTCAYLLACLVKVEKPKADKVAFIFTVDSRSRLDPPILPTYFGNCVLPQLVIAETNKLLGNDGFINALERVVDVLSKIDNGMLNEAKNWMTKVQSALEGRLFSTAGSPRFEVYGIDFGWGRPKKVDVSSIDKTGAFSLSESKKNDGGVEIGLALNKGDMEAFAAVFDQEIETL >OIW12342 pep chromosome:LupAngTanjil_v1.0:LG05:1723792:1727840:-1 gene:TanjilG_32458 transcript:OIW12342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTLDNMFVSNWSNVHFSVPPSYVQPIENRPGKVISSSSKAIPVIDFGAHDHDDIAKQILKASEEFGFFQVINHGVSKDLMDEAVNIFKEFHAMPPKEKVNECSRDPNGRCKFYTSSENYKKDAVQNWKDTLTHSCPPSEQVIEYWPQKPPKYREIVRKYTQELGTLGFKILELLCEGLGLNQEYFCGELTENPALIVHHYPPCPNPSLTLGVVKHRDPSIITILLQDEKVHGLQVFKDGEWIVVEPIPNAFVVNIGLLLQIISNGRLIGAEHRVVTNSSTSRTSVAYFIYPSCESIIEPSNNMVNENDAPRYKSMTFGEFRRNFFNKGANIEAELLY >OIW11695 pep chromosome:LupAngTanjil_v1.0:LG05:19386706:19388374:-1 gene:TanjilG_12214 transcript:OIW11695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METSRVRMRVGIFFLYFTLAVISLNHCDADRSMKLLGGPKLGRNIMSKNPKKLKIIKHFNLPSLFSSNELPYSISSPLSMTPYESLPPNSLPENNPPYCENPPPNTPTTIPTPTSSQPSTLSPNFPTQSPPSSPITIIPGPPGLSTPTPDVDVSPPSTITPGSPNPIPNPPIIEPGPPDTSTSPPYFEPSPPFYIPSPAGGIIPGPPITFPSPTGGGIVPSPTVFQPPIVYPPPSVPPPRNAAPSTTLWCVAKPSVPDPIIQEAMNYACDSGAECDSISPNGQCFEPNSVYAHASYAFNSYWQKTKAAGGTCEFGGTAILVSIDPSFDGCRFIYN >OIW11191 pep chromosome:LupAngTanjil_v1.0:LG05:25059170:25061311:1 gene:TanjilG_28282 transcript:OIW11191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSSKRVLLTSNGDDVSQGIAFHLAKQGCRLVLMGNEATLRSLALKIKGTFQGAAGFDPVVVEVVGLDMEDQKESVFHDSVDKACQILGKLDAFVNCFTNEGKMQDHLELAESELKKTANINFLAPLFLLKAVGQKMRDFKIEGSIVFLSSIIGCERGIYSGAAAYGSCLAGVQQLVRVSAMEIGKYKIRVNAIARGLHLDDEFPSFVGKERAQKLVKEAAPLERWLDVKNDLASTVIYLISDGSRYMTGTTIYVDGGQSITKPRLRSYMHSYM >OIW11250 pep chromosome:LupAngTanjil_v1.0:LG05:26164942:26166681:1 gene:TanjilG_28341 transcript:OIW11250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKGASKYPNSRICFLVSLSAFFWFFLFYFHFMVLNNNNIKINNKNSIVDESKILHLDHVSKTHFESTPSHVTNGNQLIDGNPNVGLSDLNKQTPTKEKKFTFMKALRTSENKSDPCGGRYIYVHDLPSRFNEDMLKECKSLSLWTNMCKFITNAGLGPPLEKDNNTEGVFSETGWYATNQFAVDVIFSNRMKQYECLTSDSSIAAAIFVPFYAGFDIARYLWGYNISMRDAASLSLVDWLTKKPEWEIMNGRDHFLVGGRITWDFRRLSEEESDWGNKLLFLPAAKNMSMLVVESSPWNANDFGIPYPTYFHPAKDGDVFIWQDRMRNLERKWLFSFAGAPRPDNPKSIRGKLIEQCKRSKVGKLLECDFGESKCHSPSSIMQMFQSSVFCLQPQGDSYTRRSAFDSMLAGCIPVFFHPGSAYTQYSWHLPKNYMKYSVFIPENDIRKRNVSIEEKLRQISIEQVKIMREEVISLIPRLVYADPRSKLETLKDAFDVSVQAVIDKVTNLRKDIVENREDKNLIEENSWKYALLDKGQGEVGPHEWDPFFSKQNDDNGDFGNSTSEAAKQSSKNEQKSH >OIW11426 pep chromosome:LupAngTanjil_v1.0:LG05:23669755:23671170:1 gene:TanjilG_26792 transcript:OIW11426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVEGVCVVWQGAILGGILFWILSSSYLNLTQKLRSFLQPWVIHHVQTKTHIVLLIQSYQHGFLDALFSGLSCVVSVPFYTAFLPLLFWSGHGQLARQMTLLMAFCDYIGNCIKLQDLPLHLFGE >OIW11286 pep chromosome:LupAngTanjil_v1.0:LG05:26339021:26345957:1 gene:TanjilG_28377 transcript:OIW11286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLFVYQNSEERHRGIKRKPPDFDPGHQHGSNNLNSRPYDRDMLPPGWLDCPPHGQELGCIIPSKVPLGKSFNDYIPGQKYTPQQAILQQRVLGRELGLVIDLTNTNRYYPVSDWTREGVRHVKIRCKGRDSVPDDESVKMFCDEVLDFRSRRTDTEKYILVHCTHGHNRTGYMIVHFLVRTESISVTEAINKFARARHPGIYKQDYIDNLYMFYHEKKPEDLVCPQTPEWKRLSDPDFHGVAVPYVDNNGDIPQQENISRNELLTNDDVLGDPIPPNQLYKMQELCYQLLKLGTKGRGHQMFPGSHPVSLNRDNLQLLRQRYYYATWKADGTRYMMMITGDGCYLIDRKFLFRRIDMRFPCRYSNGGIPERNHHYTLLDGEMIIDMDPQTRKQERRYLIYDLIAINQVSLTELPFYERWKLLEKEVIEPRNMERETLSKSINPHYRYDLEPFSVRRKGFWFLSTVSKLLDKFIPQLSHSADGLVFQGWDDPYVPRTHEGLLKWKYPEMNSVDFLCEVGAGNRPLLFLFERGVKKLMEGSNVIFQGDASDIPSYSGKIIECSWDPVEHHWICMRVRIDKATPNDINTYRKVMRSIRDNITQDVLLNEINEIIRLPLYADRIQRDIKAHQHMLSSRRK >OIW12002 pep chromosome:LupAngTanjil_v1.0:LG05:10008279:10015501:1 gene:TanjilG_16113 transcript:OIW12002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPNADPFITSPSFYCVARFSYCLPFSGPHGDSGAGNSGFCVGSHAVKSDVLIERTRFKPMNTHSVENNNNDYNNLIKPTFEIPVSCYQLIGVPDQAEKDEIVKAVMGLKNAEIEEGYTMDVVASRQDLLMDVRDKLLFEPEYAGDPREKIPPKSSLHIPWSWVPVALCLLQEVGESKLVLDIGWASLQHQDAKPYVDDLLLAMALAECAIAKIGFEKKKVSQGFEALARAQCILRSKPSLAKMTLLSQGLDVEASCQVQDWPSFLSQAFDNLLANEIVDLLPWDNLAVMRKNKKTIESQNLRVVIDANCFYRVFAAHMALGFSSKQKELINKAKNICECLIASEGIDLKFEEAFCLFLLGQTEAVERLKQLELNLNPKHNSVLGKAIIDASAANPSLNIEFAISDLRQLAELDQEMWLKDSVLALFPDTKDCSPALANFFNARKKFSGSKETKGAAQTLPSICHRPLSSSSSLYSREIEEPRYYMSSSPNIGFATEEDPILMGGNIADRLKKILLAAKISFLHKSGAGKHNDLHASLTSSSSPINAYRKFMPVEEAETLVKQWKTIKAEALGPSHEVNCLAEVLNESMLAQWQALADAAKEKSCHWRFLLLNLSVIQAEILLNGNGGDIAEIEALLRKQLNLLTILGKRTQTTIGLFCYRDVAANVVSSLNFIFWSIRFLNDLEIVSSLA >OIW11524 pep chromosome:LupAngTanjil_v1.0:LG05:22511669:22516686:-1 gene:TanjilG_26890 transcript:OIW11524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPNTRLDHALFQLTPTRTRCDLIIAGGGVNERLASGLLEPFLCHLKSAKDQICKGGYSITLHPASAHAQWFTKVTLQRFVRFVSTPEVLERFVTIEKEIVQIESSIQSSEKSKLEAEGEDGRVKRSTTSSKLRDELGGTNQDGYEENSRVRLQRVLDNRKSMLCKEQAMAYARALVAGFHPESVDDLIYFADAFGASRLREACINFLDLCKQKNEDKLWMDEIAAMQASAQPVLPYLRTSGIILAGEDDNNSKLNGIVDASISDSTASLGSLDISQDNSFPISVQTTSTDGRAQIPMPWPNHLPQYMHNFQGPAFQQMPPYQGYLYPGMQVPSSYYPGNMQWPPNVEDSHNFLDRDSDYHKSSLKKKKKKKHRQVLEHSEEDASTESIDSSYETNSDDHSRQGKKHSSSQHKKKHKKKSSRKVVIRNINYITSNRDGEKGSVTEGSLSNEEEFIDGDSLERKDKLTSGHHKTQYSAKHADKLNGSTDVDSNAIKGNDNWDAFQNLLLRDDEQPLDAEKEHIKFQEEYNVNKNFEGGRSNEFNHRQDITKTRATSNDSFVVTERELGSEGHNHIEYFNEWKQTPSSMKKKDSTCELFSQRNEESGSGGYSVSTLSGCGPESSLAKSQKEEDWFIVNHSSKPANEDQGKNFSIFDGVSILPSATNGVHVEENKKNILADDSFMIQARSSENQYNSQTAAGIRSVSDIVGVNEFANITQEGSHNKTNTLNSHEPDDLYMVLGRDSSLEQNAAPWSVEMDFESNISLNEANRKLCDVETDKKQQPNGQEADTKTPGVRNGKVSSKEVKSKALNASLVKNKSDISSRSKASPGNRSTHMKSKSEKEEESRKRREELLAQRQKRIAERSASKKTEKETKNSLNSAKKEKTKIYPSNEETKELHKPVLRKSTIERLATSRVTQKVSPSQAKSGPTKKPSLQANGVSLQKTGGTVKKKQVRKEAKSSNKKEDSKKTNVEILHDTNAKAQNEMKGSVVLPVNSGDVESVETNKDSFGLKNNGELSKTLPEKHARDFISEGGHVGQIRVDSSLPNRDHTFGRSHSRGEEVLDKLSLLPGDNKPRHITDVITNPMVSPSKPPTVFAVNSKVNHEIEESNDVSTIVSEKKSYLSTPQPSDQMMPEPVYSRKKWNSDEDSSKAAKGFRKLLFFGRKS >OIW11396 pep chromosome:LupAngTanjil_v1.0:LG05:23926073:23929659:1 gene:TanjilG_10714 transcript:OIW11396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKTETHMTSAAAFVEGGIQESCDDACSICLEDFCESDPSTVTTCKHEFHLQCILEWCQRSSQCPMCWQPIELKDPTSQELFEAVEHERSLRDTPSRNAAIFRHPALGDFDFQQLHIGDSDFEERIIQHLAAAAAMGRAQHLGRREGPRTRSSAHGHPHFLVFSNQPHAPSGPDSSSGGENESAAVPIGSSSTLMTSDRDVPTQQIPHLQTQGSSPALGSNVMASNRQGIYSSDRGAGAHSSPVNQDRAGPSEFQSFSDSLRSKLNAVSMRYKESISKGAKGWRERLFSRSTSMSEVGSEDRREVNVGIASVSPSAESLETRENNRPVGTSLSNHLEDRSITAEARNRNTVEGSGENSSHDNTTPDACPAGSH >OIW12112 pep chromosome:LupAngTanjil_v1.0:LG05:7273790:7275463:-1 gene:TanjilG_31219 transcript:OIW12112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVRINTLLQGYSGIRFEILEAITKLLNNNITPCLPLRGTITASGDLVPLSYIAGLLTGRHNSKAVGPTGKILNAKEAFELAKIGHEFFELQPKEGLALVNGTAVGSGLASIVLFDANVLAVLAELISAIFAEVMQGKPEFTDHLTHKLKHHPGQIEAAAIMEHILDGSSYVKAAKKLHETDSLQKPKQDRYALRTSPQWLGPLIEVIRFSTKSIEREINSVNDNPLIDVSRNKALHGGNFQGTPIGVSMDNTRLAIASIGKLLFAQFSELVNDFYNNGLPSNLSASRNPSLDYGFKGAEIAMASYCSELQYLANPVTTHVQSAEQHNQDVNSLGLISSRKTNESIEILKLMSSTFLIALCQAIDLRHLEENLKNTVKNTVSQVAKRTLTTGVNGELHPSRFCEKELLKVTDREYLFTYIDDPCSGTYPLMQKLRQVLVDHALANAENEKNLNTSTFQKIATFENELKAILPKEVESTWAAYENGKSVIPNKIKECRSYPLYKFVREELGTGLLTGEKLVSPGEECDKLFTAICQGKIIDPILECLGGWNGAPLPIS >OIW11196 pep chromosome:LupAngTanjil_v1.0:LG05:25812247:25815227:1 gene:TanjilG_28287 transcript:OIW11196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKDIKDMPQGELDHHKDYYDPPPAPLFDAKELSKWSFYRALIAEFVATLLFLYITILTVIGYHSQTDPTHGGDACGGVGILGIAWAFGGTIFVLVYCTAGISGGHINPAVTFGLLLARKVSLVRALLYMVAQVLGAISGVGLVKAFQKGYYNKYKGGANILAHGYSKGTGLGAEIVGTFVLVYTVLSATDPKRKARDSHVPVLAPLPIGFAVFLVHLATIPITGTGINPARSFGAAVLYNNKKAWDDQWIFWVGPFIGAAIAAIYHQYVLRAHSDKSHGSSRSSQHL >OIW11550 pep chromosome:LupAngTanjil_v1.0:LG05:22182104:22197892:-1 gene:TanjilG_26916 transcript:OIW11550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLAGLVEATGSRFSSLELIGQGSFGDVYKGFDKELNREVAIKVIDLEESEDEIDDIQKVYCDRFDKELNREVAIKVIDLEESEDEIDDIQKEISVLSQCRSQYITEYYGSYLNQTKLWIIMEYMAGGSVADLLQSGPPLDEMSIACILRDLLHAIDYLHAEGKIHRDIKAANILLTENGDVKVADFGVSAQLTRTISRRKTFVGTPFWMAPEVIQNSDGYNEKADIWSLGITVIEMAKGEPPLADLHPMRVLFIIPRENPPQLDEHFSRPLKEFVSLCLKKVPAEASVYRPSAKELLKHRFIRNARESPKLSERIRERPKYQIREEDQETPKNGQRGMGEASDTMKVARDSKGEQTNRPSDQGKTMRNSGWDFSIGASQGTGTFRSVSRPPQFRDKKPEVSHNQLIQRKAPESGYQEGSANRSALNESLESSFGKDPRVSYHDEHLANLEDDELSGSGTVVIRSPKGSRPSGSLDHSSQSSSSHASFEDTSTSGTVVLRNQRDYSGSSETSSRLGLHERNSNSSWEDSAANLAEAKAAIQGGLRKGNARERLAMGKINNQQESKREMTSSSGSSRSSQKGMSRSHYSSGDEESAKIMSSSVPLSALLIPSLKEAIADDPEGSIVQAVINSLINMENTKPRSSDVLVRKLLQQLASSKEDSLKDLQEFAGQLFNKTKSADTDSGSRKKQQNKDLHPNSNLSPLARFLLSRERPKYQIREEDQETPKNGQRGMGEASDTMKVARDSKGEQTNRPSDQGKTMRNSGWDFSIGASQGTGTFRSVSRPPQFRDKKPEVSHNQLIQRKAPESGYQEGSANRSALNESLESSFGKDPRVSYHDEHLANLEDDELSGSGTVVIRSPKGSRPSGSLDHSSQSSSSHASFEDTSTSGTVVLRNQRDYSGSSETSSRLGLHERNSNSSWEDSAANLAEAKAAIQGGLRKGNARERLAMGKINNQQESKREMTSSSGSSRSSQKGMSRSHYSSGDEESAKIMSSSVPLSALLIPSLKEAIADDPEGSIVQAVINSLINMENTKPRSSDVLVRKLLQQLASSKEDSLKDLQEFAGQLFNKTKSADTDSGSRKKQQNKDLHPNSNLSPLARFLLSRWQGQTSRDLNPS >OIW11473 pep chromosome:LupAngTanjil_v1.0:LG05:23215294:23224820:1 gene:TanjilG_26839 transcript:OIW11473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTTKVLDPAFQGVGQRPGTEIWRIEDFQPVPLPKSVYGKFYMGDSYIILQTTQGKGGTYFYDIHFWIGKDTSQDEAGTAAIKTVELDASLGGRAVQHREIQGHESDKFLSYFKPCIIPLEGGVASGFKKPEEEEFETHLYICKGKRVPFARSSLNHDDVFILDTQDKIYQFNGANSNIQERAKALEVIQFLKEKYHEGKCDVAIVDDGKLDTESDSGEFWVLFGGFAPIGKKLISEDDIVPETISAQLYSIADGEVKPLEGELSKSLLENNKCYLLDRGAEVFVWVGRVTQVEERKAACQAAEEFIASQKRPKSTRITRIIQGYETHSFKSNFDSWPSGSTTAGAEEGRGKVAALLKQQGIGLKGIAKSTPVIEEIPPLIEGGGKMEVWQINGSAKTPLAKEDIGKFYSGDCYIVLYTYHSGERKEDYYLCCWFGKDSIEEDQKMATRLANTMFNSLKGRPVQGRIFEGKEPPQFVALFQPMVVLKGGLSSGYKKLIAEKGSPDETYTAESIALIRISGTSIHNNKAVQVDAVASSLNSAECFVLQSGSTIFTWHGNQCSFEQQQLVAKVAEFLRPGVAVKHAKEGTESSAFWFAVGGKQSYTSKKVTNDVVRDPHLFTFSFSKGKLQVEEVYNFSQDDLLTEDILLLDTHAEVFVWIGHCVDPKEKQNAFEIGEKYIDLAASLEGLSRRVPLYKVTEGNEPCIFTTYFSWDHAKATVQGNSFQKKVTLLFGVGHAVEEKSNGSNGPRQRAEALAALSNAFNSSSETASSMDRLNGLNQGGPRQRAEALAALNSAFNSAPGTKPVTPRASGRSQGSSQRAAAVAALSQVLTAEKKKTSPDSSPPASASPTVESSAFDAKSESSHSVSEVPEEVAEAKETQETAPETGSNGDSEPKQEKVEDVNDDQNSPSVFSYEQLNTKSGSIVSGIDLKRRETYLSDEEFATIFKMTKEAFSKLPRWKQDMLKKKVDLF >OIW11462 pep chromosome:LupAngTanjil_v1.0:LG05:23346516:23350171:1 gene:TanjilG_26828 transcript:OIW11462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDAFCSDCKRQTEVVLDYSAGDTVCSECGLVLESHTIDEKSEWRKFANESGDNDPVRVGAPSNPLLTDGGLSILIAKPNGGAADFLSSSLGRWETRGANPDRGFILAFKTIATMSDRLGLVATIKDRANEIYKRVEDQKSSRGRNQDALLAACLYIACRQEDKARTRRFCSNLGMNNQAVKAAQESVQKSEEFDIRRSPISIAAAVIYIITQLSYDKKPLKDISVATGVAEGTIRNSYKDLYPNVSKIIPNWYAMEADLKNLCSP >OIW11611 pep chromosome:LupAngTanjil_v1.0:LG05:21377394:21381178:1 gene:TanjilG_15305 transcript:OIW11611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGITHISLPTHYQFLTHKSNTFITTNPIQFLPFPNTTTTNQNLSLLCFSANPSSSSSSSSSPLVVVGSANADIYVEIDRLPKEGETISAKTGQTLAGGKGANQATCGAKLSYPTYFVGQVGEDAHGKLIKDALQNGGVCLDHLNTIGSAPTGHAVVMLQSDGQNSIIIVGGANMSCWPDTLPDGDLEVVRNAGIVLLQREIPDSVNIQVAKAARSAGVPVIMDAGGMDAAIPQELLNFVDILSPNETELGNLTGMPTESFEEITQAAAKCHKLGVKQVLVKLGSKGSALFIEGEEPIQQPIIPAKTVIDTTGAGDTFTAAFAVALVEGNSKKEALKFAAAAASLCVQVKGASPSMPDRKSVLDLLNYH >OIW12127 pep chromosome:LupAngTanjil_v1.0:LG05:6001232:6004232:-1 gene:TanjilG_02348 transcript:OIW12127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTPKARNGTSEGHQKKSPATPRTACNLKTPGFDSDSVSSSPNPASKIPKDKSPKVAERNSPRSPIPEKKRPSRVEELESQIAQLQGELKRAKEQLNSSESRKRKAQQEAEEAKKQLLPMLKDLEDSRQQLMELSGSEEERLQELHQISQDRDRVWQSELDAVQKQHSMHSAALASAMSEIQKLKIQLERVHESEATQCSNSESADVEIQDLRMELDGTLTLVEMLKNEVRDCEESESRALEVLGKTHMQLVAANETLETLRLEGMKASEAYKSIALELEQSRAQVKSLEELVSKLQTDLVNGANKDTSIPINETGLPLDNVENEEINQLKSELISAKSEVGQLKSALEVAEVRYQQEYIQSTLQIRSVCEQLEHTKSESSQRQAELYEELKGAGGDIEELMATLMDKESHLKVISDENERLKSIINQNHPTEREHELLMEQKELDADIAELKARLLDRETEVRYIIEENNTLKMEIKKVESEKNTITNEAVAWAEAARAAEQEAMMKLGYATEEADKSNRRVAWVTEQLDATQALNSELEAELRKLKVQADQCRKAAEAAAAMLFTGNNRKLVERTGSLDSSYNSINGKMSPPYSKDMDDESLQKKNTNITNFK >OIW11495 pep chromosome:LupAngTanjil_v1.0:LG05:22920594:22924245:1 gene:TanjilG_26861 transcript:OIW11495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSIPKLSRIFISASSNWRKSCYFYSTAAEPESFLGLKDYADYRRSLYGQITHKALLVDAVGTLVIPSQPTAQIYRKIGEKYGVEYSEDEILQRYRRAYGQPWGKSRLRYVNDGRPFWQYIVSYSTGCSDSQYFEELYNYYMTDKAWHLCDPEAEDVFRALRESGVKLAVVSNFDTRLRPLLRALNCDNWFDAVAVSAEVAAEKPNPTIFLKACDLLGVKPEDAIHVGDDRRNDIWGARDAGCDALLWGSDVHSFKEVAQRIGVQF >OIW11630 pep chromosome:LupAngTanjil_v1.0:LG05:21322887:21324143:-1 gene:TanjilG_31909 transcript:OIW11630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSRSLSSHKTTHPSIFLSLTHSFSSSPPSPPSPSPPQHPEDSAILQRLHHKDWLTPKEVTTLLNSLTHHSSALTLLHLYSSRKDFNLTEPFCVSLITRLARAKLLHPIQSLLQTLAHEKKLRRFSEDFFYSVIKLYAHSLNRIDKAVDTLYAMPSFRCVPSTKTFNFVLNLLVSARVYEVAHEVYASAGRLGVEVDACCLNILIKGLCESGKLEAAYKVFDEFPKSGLERNVRTFATLMDGLCEKGRVEEAIGLLEVMDRSGVSGDTIVYNVVIKGLRKKGMVEEGKQMLERMMRKGCYPNGSSYQEVLYGLLDAERFSEAKDLMERMILKERVSPSFVSYKVMIKGLCKRNLVEDVDWAVRQMVLQGFAPRMGMWKQIVKCMVSQEITSNRVSVEDILEDLCCMDADTVPISLPCN >OIW11475 pep chromosome:LupAngTanjil_v1.0:LG05:23189866:23195650:-1 gene:TanjilG_26841 transcript:OIW11475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLVSSPFTIPSSKGDQLSALAKKHIFLHSFLPKKANYHGSSKSLHGVKCVAVGNGLFTQTTQEVRRIVPDNDLNLPRVKIVYVVLEAQYQSSLTAAVRTLNSNRKNASYEVVGYLVEELRDKENYESFCKDLEDANIFIGSLIFVEELALKVKEAVEKERDRLDAVLVFPSMPEVMRLNKLGSFSMSQLGQSKSPFFQLFKRNKQQSAGFADSMLKLVRTLPKVLKYLPSDKAQDARLYILSLQFWLGGSPDNLQNFLKMITGSYVPALKGTKIEYSEPVLYLDSGIWHPLAPCMYEDVKEYLNWYGTRRDTNEKLKSPNTPVIGLVLQRSHIVTGDDGHYVAVIMELEAKGAKVIPIFAGGLDFSGPVERYLIDPVTKKPFVNSVISLTGFALVGGPARQDHPRAIEALRKLDVPYIVAVPLVFQTTEEWLNSTLGLHPVQVALQVALPELDGGMEPIVFAGRDPRTGKSHALHKRVEQLCTRAIRWAELTRKSKAEKKLAITVFSFPPDKGNVGTAAYLNVFSSIYSVLKDLKRDGYNVDGLPETSEALIEEVIHDKEAQFSSPNLNVAYKMNVREYQKLTPYSTALEENWGKPPGNLNADGENLLVYGKQYGNVFIGVQPTFGYEGDPMRLLFSKSASPHHGFAAYYSFVEKIFKADAVLHFGTHGSLEFMPGKQVGMSDVCFPDSLIGNIPNVYYYAANNPSEATIAKRRSYANTISYLTPPAENAGLYKGLKQLSELISSYQSLKDTGRGQQIVSSIISTARQCNLDKDVELPDESVELPAKERDLVVGKVYSKIMEIESRLLPCGLHVIGEPPSALEAVATLVNIAALDRPEEGISCLPAILAATQGRDIEDLYRGSDKGILKDVELLRQITDTSRGAITAFVQRATNDKGQVVDVADRLSSILGFGINEPWIQYLSDTKFYRADRDKLRTLFEFLGECLKLIVADNELGSLKQALEGKYVEPGPGGDPIRNPKVLPTGKNIHALDPQSIPTTAAMQSAMVVVDRLIERQKADNGGKYPETVALVLWGTDNIKTYGESLGQVLWMIGVRPVADTFGRVNRVEPVSIEELGRPRIDVVVNCSGVFRDLFINQMNLLDRAVKMVAELDEPPEQNYVRKHALEQAQALGVDVREAATRIFSNASGSYSSNINLAVENSSWNDEKQLQDMYLSRKSFAFDSDAPGVGMTEKRKVFEMALSTADATFQNLDSSEISLTDVSHYFDSDPTNLVQNLRKDGKKPSAYVADTTTANAQVRTLSETVRLDARTKLLNPKWYEGMLSSGYEGVREIEKRLTNTVGWSATSGQVDNWVYEEANTTFIQDEQMLNKLMNTNPNSFRKLIQTFLEANGRGYWETSEQNIEKLRQLYSEVEDKIEGVDR >OIW11376 pep chromosome:LupAngTanjil_v1.0:LG05:24103646:24106877:-1 gene:TanjilG_19632 transcript:OIW11376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCSSLSVLSLISSSPSSSFSNPNSFLSPKSHSSILSIPLRTSIFPSLSLTPRSLSPRNFLVKATSSELPLVGNTAPDFEAEAVFDQEFINVKLSEYIGKKYVILFFYPLDFTFVCPTEITAFSDRHAEFEELNTEILGISVDSVFSHLAWVQTDRKSGGLGDLKYPLISDITKSISKSYGVLIPDQGIALRGLFIIDKEGVIQHSTINNLGIGRSVDETKRTLQALQYVQENPDEVCPAGWKPGEKSMKPDPKLSKEYFAAV >OIW11367 pep chromosome:LupAngTanjil_v1.0:LG05:24186655:24188889:1 gene:TanjilG_19623 transcript:OIW11367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLNHFSQDTTQATTLWWTQQQEGSRTHPKPNNPFFNLNNQNHNDDDVENTQLPLYEEEEEEETVEEEKEGMFEKALTPSDVGKLNRLVIPKQHAEKYFPLGGGDSVESRGLLLSFEDESGKCWRFRYSYWNSSQSYVLTKGWSRYVKDKRLDAGDVVLFQRHRAHANRLFIGWRRRHVYGGSTVPVAHGSKVGSSGDGNCINDGSKNGNGGVTQGWTRGFYSAHPSYPAHHHHFAYQVDSLHEGGGSQEGQKQKTEVGNSSSSSSRVLRLFGVNMECEPQNDSETLSSYNMSSTQGVRYIFN >OIW11742 pep chromosome:LupAngTanjil_v1.0:LG05:17311670:17314047:-1 gene:TanjilG_10944 transcript:OIW11742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGHLLLGVVRIYSKKVDFLFHDCNTVLTGLSKAFASIQLTLPEDARKASVQSITLPNTFDLDALNLDDGIDHNGIEDIHLRSLADITLTDQIPVAMDHYVAISFDEVHPNILLTCITLPQSPSMDVAGFQDRGRSIQRESPTAQFTGSDHPHDLQSPRATVVLGPIDDNPQATIVLGPTDDSAPHATMPVEVIRDVNNDCTLEYPLVIPDLGVGTEPNRDLDQTMKEKDHNEMVDDWAPEKMPLLSQQHSGPSTSANSHGAAVDAPVGEGHSALNLMLAESPPNQQQQRRGMKRKQFFDEPIVLTNKFMRGALNNTRDILRKRKGMPSSTLGTWKLNNSRRKEHIFYQPLLTAFSRDLLDISKKEYICSKPHLGISEEDHVDAGIDRTPTNQAPEDPRATTPPDTAPTLDMEIERLRNIATPPLTITAHDVEIELNIGGDRSTVRDDLTSFSTQRLRSVSVSPTRTNIATGGTMQTPDLTASLGAHGLVMETPITQTHYTFGKFDISDARQLTNSAEIDNLGLSDSHQLINSAETEVSL >OIW12317 pep chromosome:LupAngTanjil_v1.0:LG05:1971963:1987345:1 gene:TanjilG_32433 transcript:OIW12317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSVSAAKVWVFALRIDDDDDGCGEVVRLMRCAVIECSRPVWSISVSFGMLLLGEENGVRVFGLRRLVKGKVKKVRNLNSKVPNGGVVRDYGKNGKHGVAVKQANVKQNGGACFMALKGKGVETKSISKIPISVKVISIQALSQRMFLMLDSDGDLHILSLSNSGIGVDITGHVRQLPHIMNVQNLAVLPDVSTMSQIVWISDGCHSVYLFNAMDVENALNEADGNDDDKKLMHLPVNHVLFSGEKIQDIICLASNSILILGQDEIDPSTYSFTTALKALQARSGYRNFECLSPEGYALNSKWNEAEKYICNPVSGEVPMECLSAKTLSGRSFRSSTSNRITMSAPLVYSSTQIQTKPSNYSYTQQDVALQFHIPEKKYEGMRKDAATQSTPPYLSSSTTSSILTPSIIDRSMKLSQDSTFSHSKTKSEEQEEVKDKETWETTKETTSKTENNEWRKKDNEQLCRKSGCFSWIKKKKQRENERQRRTNIFLIHFKIC >OIW11806 pep chromosome:LupAngTanjil_v1.0:LG05:15391167:15392431:-1 gene:TanjilG_03467 transcript:OIW11806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNLQEAGSLLSFAALLKFVVFVSRVLVEKKNKKKNKKKTTKESEEATTPNQIANTVENKDLSISEKSGKKQTEDKPSQVRSFANGLVIEELSTGKPDGKSATPGKKVSVKYIGKLKKNGKIFDSNVGRATFKFRLGLGQVIKGWEVGVNGMRIGDKRRITVPPSMGYGDKRAGSIPPNSWLVFDVELVNVGG >OIW11755 pep chromosome:LupAngTanjil_v1.0:LG05:17670421:17675545:1 gene:TanjilG_10957 transcript:OIW11755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESVDRDNDRTFKMNFSADGAAKLREIVMEKLKEFMGDYTDETLVEYVIVLLRNGKRKAQARNELNVFLGDNTDSFVSWLWHYLDLHLDLYVQPKELKIEAPKKKLISEIQAGGDGFKHSSLQSERVESNKLSRSRRNKDWKGLVRVEAEPTPIQNSKVDNTNLEEKSQPKVNSVLRSSSPKPPTQRKRNRADEPQRTKRDAISEVNNVAPRRLLQFAMRDAVATSRPSNPGSSVEPSLKRLRSVVSASSGDSSVVEHPQRVQPTSRVPNPMATVIKAVAEAAEDVIKSKSSGCVFDRLSHGIGMNPSYDNSQLEDNDQNQEQNQSKYLRRTDYAGQYAASMTTMEHETGFPSDSTSDNGGFDVVDVMGRRVIGASQFSSSGGNRNDDSLMVQYSVAKNACDSMHLNQNREQEQPSAAPNASRKIVNISVNVNTWKPSQYQGPREVVEFDDRKTLESVTGGPMSGLRLVKENTNTLKISNGNENTASGIPKKSNNKAQLSTSGSSLAAYPSEDADSRTIFVSNVHFAATKDGVSRHFNKFGEVLKVVIVTDAATGQPKGAAYVEFMQKEAADNALSLDGTSFMSRILKVAMKSAAPQEPAPTMPWPRIVRGSPFSSARFSRPPFPRGIPGAYRPRPPIKVGARSMQWRRDAQGIMSDNGSSLNTGTTAAPTARGLTYVRTESKPERSLGTTKFNS >OIW11893 pep chromosome:LupAngTanjil_v1.0:LG05:11879920:11881839:1 gene:TanjilG_25806 transcript:OIW11893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLRPSSLATFKRPLYLWNLMIRDSTNNGFFTETLKIYTSMAHSGVHGNTFTYPLLLKACANLNSISLGTMLHGHVLKLGFQGDIFVQTALVDMYSKCALVACARNVFDEMPQRSIVSWNAMISAYSRGSSMNQALSLLKEMWVLRYEPSSSTFVSILSGFSKNLNSFNSLCQGMSMHCCLIKLGLLYSEVSLANSLMSMYVQFSKMGEANKFFDSMDEKSTISWTIIMGGYVKVGRAVEAFSLFNQMQKQSIDIDFVVFLNIIFGCIQVGELFLASSVHSLVLKCGCSEEDSIENLLITMYAKCGNLTSARMIFDLIVDKNILSWTSMIAGYAHSGNPEKALDLFRRLVRTDIRPNGATLATVLSACADLGSLSIGQEIEEYIFLNGLELDQQVQTSLIHMYSKCGSIKKAREVFEKMTGKDLAVWTSMINSYAIHGMGKEAISLFRKMTIAEQIVPDAVVYTSILLACSHSGLVEDGLKYFKSMQKEFGIAPTVEHYTCLVDLLGRVGQLDLALDIIQGMPLEAQAQSWAPFLSACRIHGNVELGELAAVKLLELSPGKSANYVLMANLYTSLGKWKEAQRMRKLIDGKGLVKESGWSQVEINGRFHMFAAGNQSRVQLDNIYKTLEDLNFTLQEGS >OIW11238 pep chromosome:LupAngTanjil_v1.0:LG05:26066285:26073406:-1 gene:TanjilG_28329 transcript:OIW11238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLWQNGEVVMQSQSHRPMRKPPPLPPSRISGGAIPAGTSIRPEAVNYNNTQNLFMQEDGITSWLHYPMDDPPLDQTFCTDLLYAPPPTVNANNHKDDNNNSIAMQKFGRNSQLTELRKCQKSVASRPPIPPPRRTEQAAPANTSNFSKHNAITEPGPSSSSRNIARESTMVDSCDTPFLAAEAAVSRFSEQTEGDGGRKSMSAATTSSPGGSSSSGEIDRKLTAEDRKRKGRVEAVEWESQSEDVDFESAEAKKQIRGSSTKRSRAAEVHNLSERKRRDRINEKMRALQELIPRCNKSDKASMLDDAIEYLKSLQLQVQMMSMGCGMVPMMFAGMHPYMPAMAMGMGMGMDMGMNRPVMPFPNVLAGSALPAATAAAHLGPRFPMPPFHMPHVPAPDSSQMQATNQSDNSMLNSVGTPVLNQSCIPNFNSPYQYLGPHQMQFQLMQNQAMNQLNVNKPSTSRAPENPEHHQSAALLGQLEFCEVVLDIKPSLAIEVDSEGRCPLHLASFEGHIKVVKSLLFTNSDVCFIRDKDGNLPIHLAVSRGHIGVIEELIIAKPESIGMITNDGSVLHLCVMYNHFEALNLLLQLVRGSQQQVLNFKDKEGNTVLHLAVRLRHIKTIKHLLSLPEIRKAANTLNKAGHTVLDMLDLSQRDFISLIIEQILIEVGAQRSTNIAITYSQNMANEVESHRQSRWKRLENFWSKYLQYQGNWIEETRGTLMVVATVIATMTFQSALNPPGGVWQENTQSGGNKCTTYGICEAGTAVVGYVWSEDYIRFMAFNTISFYASLSVVLVLINGFPLKNKVIMWILTIVMTIAVTFMFLTYMWALGLVTPHHIYSRLYKLAYICVGAWGIILIVIGLIQTAQLVFWIKNRRKNFNIGPEQV >OIW12150 pep chromosome:LupAngTanjil_v1.0:LG05:3610143:3618019:-1 gene:TanjilG_28558 transcript:OIW12150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKYLKDFELDEHKNHTVEALSRWRLAVRLVKNPRRRFRHVADLVKRVQAEEEKKKIQHQLRKIRVAVYVQKAVEHFTNAVGPVEFKTSENTREAGFGIDPDDIATLISSHDYKNYTNIGGVEEIANKLSVSVEEGVNQDSIHNRQQIYGLNRYTEKPSKSFLMFVWEALQDLTLIILIVCAIVSIGIGIPTEGWPSGVYDGLGIILSVFLVVVVTATSDYQQSLQFKDLDKEKKKIFVHVTRNGKRQKISIYDLIVGDIVHLSTGDQVPADGIFISGYSLLIDESSLTGESEPVDIDERRPFLLSGTKVQDGQGKMIVTTVGMRTEWGKLMETLNEGGEDETPLQVKLQGVATIIGKIGLAFAVLTFLVLTVRFVIEKATHGDFSSWSSNDAMKLLNYFSIAVTIIVVAIPEGLPLAVTLSLAFAMKKLMNDRALVRHLSACETMGSATCICTDKTGTLTTNHMVVNKIWICDKAMEMTGNESADKLKAEIPEEVISILWQAIFQNTSSEVVKDKDGKNTILGTPTESALLEYGLLSGGDFNAERKAYKILKVEPFNSVRKKMSVLVGLPDGGVRAFCKGASEIILKMCDKIIDCNGNAVDLPEEQAQNVSDVINEFASEALRTLCLAVKDINETEGETSIPDDGYTLIAIVGIKDPVRPGVKEAVETCLAAGITVRMVTGDNINTAKAIAKECGILTEDGIAIEGPEFRNLSPEQLKEIVPRIQVMARSLPLDKHTLVTHLRNMFGEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKENADVIILDDNFSTIVNVAKWGRAIYINIQKFVQFQLTVNVVALVINFVSACIIGSAPLTAVQLLWVNLIMDTLGALALATEPPNDGLMKRTPVGRKTSFITKPMWRNIFGQSLYQLIVLGLLTFDGKKLLRITGSDATKVLNTIIFNSFVFCQVFNEINSREIEKINIFKGMFDSKVFFSVIFSTVAFQVVIVEFLGTFASTVPLNWQMWLLSVLIGAVSMPIAVILKCIPVGKDTVKQHHDGYEALPSGPEMA >OIW11269 pep chromosome:LupAngTanjil_v1.0:LG05:26247114:26248142:1 gene:TanjilG_28360 transcript:OIW11269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSGSPCGACKFFKRKCVRGCVFAPYFCHEQGASHFSAIHKVFGASNVSKLLQHLPVSDRCEAAVTISYEAQARVQDPIYGCVAHIFALQQQVVNLQAQLAYLREQAGQSCFNLSGAENPNEKYIGKSTSSFPMDLQNCFQMENPNMGSQFLPNFYNNPSTTQHYGNTKDQNPIGNYESSGTTLDESISFSSFEEICNSMSYEMQREWGFHNERGHDLQ >OIW11197 pep chromosome:LupAngTanjil_v1.0:LG05:25828157:25831072:-1 gene:TanjilG_28288 transcript:OIW11197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAESNCQRLRKVRDMYILLPFSLHCVPVSSLAFHLQNLHKILGSLCFSSHMKIPCSFCFKKQTNQEDPEEDNDGTFRVFTHRELKSATRDFHPSQKVGEGGFGSVYKGKLRDGTLVAVKVLSIEVESMRGEKEFVAELATLANIKHQNLVILRGCCVEGAQRYLIYDYMENNSLHHSFLGSELNRMRFSWETRRNISIGVASVLAFLHEELKPHIVHRDIKARNILLDRNFTPKVSDFGLARLLRDEKSYISTQVAGTLGYLAPEYASSGQVTRKSDVYSFGVLLLEIVSGRVVVDAFQDVESFLVEKAWAAYEAHDLIRMVDPLLNTNFPVDEAKQFLMVGLRCVQETAKLRPQMSEVVEMLLSKDMEMESVSISKPGFVNDLRNIRMKQEMDSLEECNSSGATFTSSMWSTTNLAR >OIW12198 pep chromosome:LupAngTanjil_v1.0:LG05:5256223:5258823:-1 gene:TanjilG_28606 transcript:OIW12198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLRFSISLDTFDSKIPIPSISCTFKSLRKTKHIKFQCSVAENLNSKPQVRSPKSEFSKFRYTKKKNIAPLDADKFLVHVDGGDVDVDYTSLGVELSVEHCNAILKRLDERTNNEDKTMSFFEWMRRMGKVERNAGAYNVVVRALSRKQEWEVVEKLIWEMKTKFGSELGFQVFNTVIFACCKGNFVRLGAKWFRMMLDCEVEPNVATFGMLMGLYRKGWNVEEAEFAFSQMREFGIMCESAYSAMVTIYTRLGLYEKAEGVIDLMRKDEVVPNLENWLVMLNAYSQQGKLVDAEKVLVSMKKAGFGNNIVAYNTMITGYGKASNMDAAQSVFINMRNLGLEPDETTYRSMIEGWGRTGNYEEVRRYYKELKRLGYKPNSSNLFTLIKLQAKHGDEEGAIGSLEDMQEIGCQYSSMLGILLHAYESAGKVDRVPILLQGEFYQHILVNPNSCSTLVLAYVKHQLVDDAMKVLHVKKWHDLRYEDHLYHLLICSCKEEGLLDDAVKIYCQMPKNDHKPNVHIVCTMIDIYSIMGLFNEAEMLYLKLKSSGISLDMIAYSIVVRMYVKAGSLKDACSVLEAIDKRPDIVPDKFLLLDMLRIYQRCNMVNKLADLYYKISKDRLNWDQELYNCAINCCAHALPVDELSRLYDEMLEHGFVPNTITCNVMLHVFGKARLFKKVRKLFVMAKKQGLVDVISYNTIIAAYGKHKYFKNMSSMVRKMQFDGFSVSLEAYNSMLNAYGKDNQMEAFRSVLQKMKESNCASDHYTYNTMINIYGEQRWIAEVADVLTELKECGLRPDLYSYNTLIKAYGIAGMVEGAVGLIKEMRENGVEPDKITYTNLITAMRRNDQFLEAVKWSLWMKQIKL >OIW11851 pep chromosome:LupAngTanjil_v1.0:LG05:12558624:12562660:1 gene:TanjilG_31601 transcript:OIW11851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSREQQKRGKQENGSDGTEKVIVAVKASKEIPKTALVWSLTHVVQPGDCITLLVVLPSQSSGRGLWGFPRFGSDCANKKCPTGTFLEQKSDITDCCSQMILQLHDVYDPNKVNVRIKIVSGSPCGAVAAEAKKAQASWVVLDKQLKHEEKRCMEELQCNIVVMKRSQPKVLRLNLIGPQKKDHEEVGPLPSEQDEMPEKLTKKKSDSLNSIKGPVVTPTSSPELGTPFTATEACTSSVSSSDPGTSPFFISDMNGELKREETIKENKEPDDTNSDTESESRSTSSASMRFQPWITDLLLHQRTSQCNGERLEISSHDMSHHSSTTRALLEKFSRLDRGAGIEMSNYRTDSDFSGNLREAMALSRNAPPGPPPLCSICQHKAPIFGKPPRWFSYAELELATGGFSQANFLAEGGFGSVHRGVLPEGQVIAVKQHKLASSQGDVEFCSEVEVLSCAQHRNVVMLIGFCIEDKRRLLVYEYICNGSLDSHLYGRQREPLEWSARQKVAVGAARGLRYLHEECRVGCIIHRDMRPNNILITHDFEPLVGDFGLARWQPDGDTGVETRVIGTFGYLAPEYAQSGQITEKADVYSFGVVLVELVTGRKAVDLSRPKGQQCLTEWARPLLEKYAIEELIDPRLRNHYSENEAYCMLHAASLCIRRDPHSRPRMSQVLRILEGDMVMDMSYISTPGYDAGNRSGRIWSEPLQRQHHHSGPLVEESLESFSGKLSLEYRPTYWDRDKGRRTSCEDDI >OIW11596 pep chromosome:LupAngTanjil_v1.0:LG05:21606395:21609517:-1 gene:TanjilG_15290 transcript:OIW11596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSPKPQTEQETEPTVPENKDLHQKLKQLEEEVRIHLQERKTKRVVPIPKKVKKPVSTVKLSLYAAFTSKPDKEVVSVRNRRNVEEVKVREPMIVKELSPDMEMFVKYLYENGYFKDANFAKGKERFDLAWFDSSFGRGYVKFAAERFGRDNQEIAKWLSASALKQLATFGCPSVDRSSVFPAKSLRKFFEVPENTVCSKCALQQSCKFINQSVWKTGTSNLHLVAVMKVVTSYALELVHPQLVVPDEVKKSVSQLLKEVVKLSQTNEVASGV >OIW11535 pep chromosome:LupAngTanjil_v1.0:LG05:22349675:22352449:1 gene:TanjilG_26901 transcript:OIW11535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFMDSFLSSMFFFLFTFSSFNHVFSYASQPSYNDHCASLVPKSTPTEFSSKSFPLGVQNTGYFTGGDRIIDFDTTRKHYFTFQMMHTYATQIHGLFSIAGTASFIRTNIFSYQVRNISYGARSSNWRKRGNRRGYVTFNLVGFWYETSGKVCMVGTSKSYSRTGNSLNLDAVFKLNNVYNASNISSLISGSLESLSSKKDENYFEPFSVLMFPKGNYNYTLDSIEAENDFSSESDTEKGLSLNMESSSFCSSYPLSRVIRRLWLEYSNECISSKNCSPISENYGQLPSVLSLKGIECSVTKKYMLRVQMEFVNGYSQINQGFNPKNMLVGEGWWDEKKNRLYVVACNMIGMETSLDRIHVGDCSIRLRLRLPSILSLKNSSSIEGQIWTNKTVTDPGYFKRITFRTDEVLGVGSQGLKYKYSQIDRVKQSCPANKPVKNQGSRRYPDADSYNMRFDMSVRESYRRVAWGYSTPLSVGDHFYDSHSGGNFKSLPSSKTQVPNVIVNNDSLFNISYKIGISLRSNSTLSDRNSLFYLSSETVKISAEGIYNAGTGTLCMVGCRDLPSNRGVSITHSVDCEVLVKFQIPSVDANNGSYIKGSIESMRKKFDPLYFKPLDLSSAAFFTEAAKEAVWRMDMEVTMVLISTTLACVFVGLQLYHVKKHPNVLPLTSLIMMSILTLGHMIPLVLNFEALLTQKPNNNNIVLENVGWLEVNEISVRLITMVAFLLQFRLLQMTWSSRKSDEGNKGLWDAEIKAAYVTLPLYAAGLLIALLLKSKMNGDKVPMLITVYFKSYGGFLLDGFLLPQIILNMFSNMKDNVLSCSFYFGTTFVRLLPHAYDLYRTHNYADIIDNESYVYAVPSADFYSTAWDIVIPLGGILFAIIIYLQQCYGSRCVPPRRFKSSHVYEKVNESKAEVETINLNVSR >OIW11648 pep chromosome:LupAngTanjil_v1.0:LG05:20911133:20915757:-1 gene:TanjilG_24854 transcript:OIW11648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLKKDGILTYAYLLLYIVLSSAQIFFNKWVLSSKEINFPYPLGLTLLHMIFSSVVCFVLTKILKVMKVEEGMTPEVYVTSVVPIGAMFAMTLWLGNTAYLYISVAFAQMLKAIMPVAVFVLGVAAGLEVMSCRMLLIMSVISFGVLVASYGEININWIGVVYQMGGVIGEALRLIFMEIFVKRKGLKLNPISVIALCLFLPWIFLEKSKMDDHGPWNFPPVLLILNCLCTFALNLSVFLVMTHTSALTIRVAGVVKDWLVVLLSAILFADTKLTIINLFGYGIAIAGVAAYNNFKLKKEASRDT >OIW12161 pep chromosome:LupAngTanjil_v1.0:LG05:3818604:3820919:-1 gene:TanjilG_28569 transcript:OIW12161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEIKTAKTRRGKRELEKRAPKLVESGKKTLILHGTKTSGVLNAVLTQIYQLKKESAVKYSRKNENIKPFESGGETSLEFFSLKTDCSIFVYGSHSKKRPDNLVIGRTYDHHIYDLVEVGVENFKPMESFTYDKKIAPKEGSKPLIAFIGEGFEHVEELKHLKEVLLDLLRGEVVENLNLAGVDRAYVCSAVSPNRVFFTHCALRLKKSGTIVPRMELVEIGPSMDLVIRRHRLPNEGLRKEAMKISREKPKKKEKNVKKDVLQGKIGNIYVPDQKIGEMALPNKSKGVKRERREAKRKNQGDEHAKRRKEDA >OIW11439 pep chromosome:LupAngTanjil_v1.0:LG05:23553340:23556187:1 gene:TanjilG_26805 transcript:OIW11439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTFCIYLIFLLSLTFTIFNLSSSSSPSQTDILLSFKASIQDSNNALSTWSNTSSNHYCNWNGISCSTTKPFSVTSISLQSLNLSGDISSSICDLTKLSYLNLADNSFNEPIPLHLSKCSFLETLNLSNNLIWGTIPSQISEFGSLRVLDLSRNHIEGNIPESFGTLKNLEVLNIGSNLLSGNVPTIFGNLTKLEVLDLSQNPYLVSEIPEDIGELGRLKQLLLQSSSFQGEIPGSLVGLYSLTHLDLSENNLTGFVPKSLAYSLKNLVSFDVSQNKLSGPFPNGICKGLIINLSLHTNIFTGSLPNSTISECMSLERFQVQNNGFYGDFPIELFSLPKIKLIRAENNRFSGQIPESISKAVLLEQVQLDNNSFSGKIPIGLGFVKSLYRFSASLNNFYGELPPNFCDSPVMSIMNLSHNSLSGQIPQLKKCRKLVSLSLADNSIIGEIPTSLAELPVLTYLDLSDNNLTGSIPQRLQNLKLALFNVSFNELSGKVPYSLISGLPASFLEGNPGLCGPGLPKLCSDDDMPRHHNGGVTTLTCVLISFAFVVGTAFVVGGFVLYRRSCKGNDNGVGVWRSVFFYPLRISENDLLIGMNEKSSLGKGGVFGKVYVVSLPSGELVVVKKLVNFRNQSSKSLKAEVKTLAKIRHKNIVKILGFCHSDESVFLIYEYLNEGSLGDLISSQSFPLPWGVRLRIAIGVAQGLAYLHRDYVPHLLHRNVKSNNILLDANFEPKLTDFALDRVLGEAAFHSTLDSEGASSCYNPPEYGYSKKPTEQLDVYSFGVVLLELVSGRKAEATEESDDSIDIVKWVRRKVNLTNGVQQVLDPTISHTCHQAMVGALDIALSCTSVVPEKRPTLVEVVRGLQSFESRAQDLNEEHSIPV >OIW12148 pep chromosome:LupAngTanjil_v1.0:LG05:3569495:3585150:-1 gene:TanjilG_28556 transcript:OIW12148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGYPNQPPSYGYGAPPPPQPYGAPPPPQTYGAPPPTAQPYSASSYGGPPPPSAPYSSAPYSSSSGGPTKPPKDQSYSYGAGGGSYPPAPAYASPFAALVPSAFPPGTDPSVIACFQMADADGSGLIDDKEMQRALSSYNQSFSLRTVHLLMYHFTNTNIKKIGPKEFTSLFYSLQNWRGIFERFDKDRSGKIDSTELRDALLSLGYAVSPLVLDLLVSKFDKTGGKNRAIEYDNFIECCLTVKVIRRLSNEQIHVL >OIW11358 pep chromosome:LupAngTanjil_v1.0:LG05:24281370:24281961:-1 gene:TanjilG_19614 transcript:OIW11358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVAQRRGGGGVFEGLYKVLMRRNSVYVTFVIVGAFAGERAVDYGVHKIWERNNVGKRYEDIPVLGQRPAEE >OIW11804 pep chromosome:LupAngTanjil_v1.0:LG05:15331974:15334349:-1 gene:TanjilG_03465 transcript:OIW11804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQRKVPNKLGIQVDHVKSDKHLVNMKLSSSQHQDCKTRGPDMKKKMKSRSIKLSDLEALQKSYSPSKKSLHQSEKLSSPLHVATTFSASPQKKQHMMRESDGSPNYMKPTSSSHAKKEVFMVSLRNTQSGCSDPRKFSSDSKASCVVSSNKKAAKALSRSSSLSLVRTLTKKTTSFKASRSCPRKSTRASSMCETDNSTPQRATCSSTLKDSKFPSYLMLNSEGTELEGTSFVKVCPYTYCSLNGHHHAPLPPLKSFVSQRRRLLKKQMSKKLMGSLSPQISKVPCEIENEGWDVEQNVCDGKPAYDEIGMDNFIEIYDEGGEETGAEEIGELDFHKGVEDQEDIKSTNEDDGKQVNSTVPDTPTEFEIDLGKNLKKAFDDVATEVDDKFSFFQEQNGGDSDLIDQACWFHEEISMGSYCSDESSIDGVNMEDVEMNGSDSKAIDMEWEDENCHKFEDEEDAGSAVFTEEDSGSKVESISENSNNVSVIWLDSILSSYYEDITDEELEEADAEESKCFEDQPHGISSFLEGTIGSNENQETGYSSGGISYDQSSLKEKIFEYLMNAEDNDGVNEEHEEDEIICNSKVLDEEKTGCDQCPKMSETGKTDERGEDIYSILEIIDESIEEVNQVHLFDVQDKSTSPVHDQEFSAKDHGKVKDFQILSTSCIFGEEIDITKNLIGRNRHNKPVEDVDEMRNFNPREPNFLPLVPEPDPEQVDLKHQIIDERKNADDWMIDCALRQVVTKLAPARKKKVALLVEAFETVMPIPKYDTRMRNNSAYAHPGRIQACS >OIW11727 pep chromosome:LupAngTanjil_v1.0:LG05:18378088:18378831:-1 gene:TanjilG_20211 transcript:OIW11727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTAAEEELKTYWCHECDMSVSLTLSSSSSPLLCPHCNTNFLELMDSPFHQNDAVSPLSIFSSPFLHSLIFTTSPNDAVLENDDFGEDLLALVTSKPRAADTVTVIHVTDSMLLNLDPYGVVLCAVCKDEIAVNDKAKILPCNHLYHCDCITPWLLNHDSCPICRFRLVEEEDQGEDGDGGGVRMHLREAVMRLSELMEEDEEDFYGLRTTLNHIAYRHGILHEDSDGSGVSESMIAYGDSGDEQLP >OIW11509 pep chromosome:LupAngTanjil_v1.0:LG05:22765300:22774841:1 gene:TanjilG_26875 transcript:OIW11509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDSLRRRDGEKPKDLPPSLPTRPTSKARLPRARRTLPDNLKVADVNVKGHRRKGSFGNKKMKMDVESPYIVKSEEKIMSEELPQGPVPKSANSTASVTPPPGELEDDNVAYYIKKKLNVWCRQPKGKWERGTIQSTSGEKASVSLLNGNVMKVSRLELLPANPDILEGVDDLIHLSYLNEPSVLHNLQFRYSQDIIYSKAGPILIALNPFKDVHVYGNDLLSAYRQRTIDSPHVYAMADAAYNEMIRDEVNQSIIISGESGSGKTETAKIAMQYLAALGGGSCGIENEVLQTNFILEAFGNAKTSRNDNSSRFGKLIEIHFSTLGKICGAKIQTFLLEKSRVVQLANGERSYHIFYQLCAGSSADLRERLNLRVASEYKYLNQSDCMTIDGVDDAKNFHQLMKALDVVRMSIEDQKHVFKMLAAILWLGNISFNAADNENHIEVVKDEAVTTAALLMGCSSQELMTALSTRKIQAGKDTIAKMLTLRQAIDTRDALAKFIYANLFDWLVEQVNKSLEVGKRRTGRSISILDIYGFESFQKNSFEQFCINYANERLQQHFNRHLFKLEQEDYELDGVDWTKVDFDDNQECLDLFEKKPLGLLSLLDEESNFPRASDLTLANKLKQHLNANPCFKEEMGRAFSVRHYAGEVLYDTNGFLEKNRDPLPSDSIQLLSSCTCELLRLFSKTLNHSQKQSNSFHAGSLDSKKQSVGTKFKGQLFKLMNQLESTTPHFIRCIKPNTKQLPGIYDEDLVLQQLKCCGVLEVVRISRAGYPTRMMHQEFARRYGFLLSEVNTSQDPLSISVSVLQQFNIPPEMYQVGFTKLYLRTGQIGALEDKRKQFLRGIVGIQKCFRGHRARSCYHELKNGVKTIQSFIRGEIDRRNYGVMVKSSITISSEKIEEINAVIVLQSVIRGWLVLRHIKGKDGFKIYPENARPRRKSRSKIPEVKQDVAQNLPSAISELQRRVIKAEATVEQKEGENAELREQLKQFERRWIEYETKMKSMEEMWQKQMSSLQMSLAAARKSLASDNGTSHPIRHEAASPSWYDSEDNTSLGSRTPGLNTPMKYTNSPSVGAWRQQSNVSFNSSLSTLLKEFEHRRHTFDIDARSLADVRPAQSGRTNPIEEYRKLKHRFEAWKKEYKVRLRMAKATLHRIGNSEVEKSWRTWWEKLSSRALEQKKSTS >OIW11216 pep chromosome:LupAngTanjil_v1.0:LG05:25932518:25933177:1 gene:TanjilG_28307 transcript:OIW11216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEKGNHLESNAAALSAGGDPISVIEGVPDIIASNSTIFPGDASSADPAIVIDGVPDIIGNNDNIPPGDASSAESAIVINEVADIIPSNKTLPCGDASSAAETHGPSGLGKWLVGRKVRKWFEGRYYAGEVTKFEKWYRVLYEDGESEDLDWVELEELLVPSDGKVPLKKLAKRVLKENKKSAGNAGKNIDLSENPQIKRTTKGKYTILPYKERLYFKI >OIW11829 pep chromosome:LupAngTanjil_v1.0:LG05:13809211:13822301:1 gene:TanjilG_14641 transcript:OIW11829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSFQDEVGPTVIAIDGSRNSQHAVKWAVDHLLMKDTSCVLIHVRTKALHPHDVDHVPKDGRPPTLEELHQFFLPFRGFCARKGIEAKELVLHDIDVPSALTDYVIKNSISNIVVGDSHWNALIRRFRNADVPTSLAKSLPKSCILYVISKGKMQNIRPTGQPQNIDVTTTKSIRELVTILQNSPLVQTNNGTPDAPDSEDVNRKDNGWVSLHEPKGAILRKSNEDLYSPTLSVESSTSQSSSNESTPNNSDSSGKLGSQLIDKSQSPSKPPISLEKSIRKLKLELKKTMEQYNLVSREAVLARERAMELEKYMQEKERDVEKARLAEEAALALAEVERQKTKAANESEEMSKRLAEMENEKRNQAELRAVQEKEERKALNAITYNKISYRRYDIKEIEVATHYFDSALQIGEGGYGPVFKGVLDHTVVAIKALRPDITSQGEKQFQQEVDVLSSIRHPNMVLLLGACPEFGCLVYEYMENGSLDDRLFQKDNTPPIPWKIRFRIASEIATGLLFLHQTKPEPLVHRDLKPGNILLDRNYKSKISDVGLARLVPPSVANKSTEYLMTTAAGTFCYIDPEYQQTGLLGVKSDIYSLGVMLLQILTGKPPMGLSHFVENAIKNNEVSEMLDPSVTDWPVEEALACAKLALKCCELRKRDRPDLGTVILPEMNRLRDLGGVIDTDG >OIW11275 pep chromosome:LupAngTanjil_v1.0:LG05:26272557:26280308:-1 gene:TanjilG_28366 transcript:OIW11275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHIKAIITAKEVFLRDPKDDDVVPIVEELRRRLPKVNCSGQGEEDKSTQEGEGGEENEFPFEFRALEVALEAICSFLDARTRELETASYPALDELTSKISSRNLDRVRKLKSAMTRLTNRVQKIRDELENLLDDDDDMAELYLSRKLVVSSSPTSNSDSPNWQFNSPNLASKIHTSSTTTVHMENDVEELEMLLEAYFMQIDGTLNKLATLREYTDDTEDYINIQCIYDKITEEELECCPICNIDLGCVPLEKLRPDHSLQDVRSKVFPLKGRKVKAPEVVPSVPLPARRKERSLSSLVVSTPKVSSSQVNMTGRRTKPTRKAGGLRASSFSIEKPIKNEDELLEDRSESSSSPDTSNKFAENTGQFTYIIILEFCKVQSLSPCEGSQSKANKPTESGAEPWDTKLDLWKPLNCLVEVASRTKSFKSSVQGSDAKLDLLQVNESDSQVKKIKNKENKRKAKVEDEKISTYSVSPDTAKPKKLRRIRRKKEPAFGESRITSQAILDSNSTKLLQNGPIWFSLVALENQ >OIW12220 pep chromosome:LupAngTanjil_v1.0:LG05:2079960:2081056:-1 gene:TanjilG_06009 transcript:OIW12220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMSCNGCRVLRKGCSEDCSIRPCLQWIKKPESQANATVFLAKFYGRAGLINLINAGPQNLRPAIFRSLLYEACGRIVNPIYGSVGLLWSGSWQLCQAAVEAVLNGEPITPITSEAASNGRGPPLKPYDIRHVSKEVQAVKSRTRFKRTGGGDGVKPDVDNVSRFGPVEPESEEVVNRSASHESALSHQSEVAAAAEGESKYSDSAVSAETSVLFHDEPESDRKLGDRAEENAEEVRLELTLGFEPVSRVHHVVPVKKRKIELFNKLW >OIW11309 pep chromosome:LupAngTanjil_v1.0:LG05:24766153:24767007:-1 gene:TanjilG_20458 transcript:OIW11309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVQEEQQHDLDHMQMIKFKGKRTKRQRLPSPIRLTMSSTSWTNNSEEEEDLANCLILLAQGRHNHQTQKVSEPYHTTTPDHNNKNVSKKIGLYVYECKTCNRCFPSFQALGGHSVSHKKPKANIAQDQKQGVVTSFVNGGDDDDNYDPTTLTLQIPNNRVVLYGTNPTTKTSITKSNKVHECSICGAEYTSGQALGGHMRRHRTILNTTSSTTTTNYSMSGANNIGVGDSPNESIEVKRPRHVLKLDLNLPAPEDDQREPKLPFKPKEKVIVFNATSLVNCHY >OIW11683 pep chromosome:LupAngTanjil_v1.0:LG05:19623700:19624174:-1 gene:TanjilG_12202 transcript:OIW11683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLTLRVVVESVINGSNTLWFPLKRLRRLQSGMLVTWKYIQGRCSITSLVPIGISDSGTTTVKVEDLQVGLTVNLKNQEGTLELILLDY >OIW12259 pep chromosome:LupAngTanjil_v1.0:LG05:2485611:2491149:1 gene:TanjilG_06048 transcript:OIW12259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSSLLCLGSEHCLQLGEQLMSNVAQTASITLGSISVIVWIVAEIPQVITNYREKSAEGLSVVFLMTWMIGDLFNIFGCLMEPATLPTQLYTAVLYTIITILLCSQAIYYGHIYPRLIFNRQPKVETLTSAGQDKSGMEKASDSDQSNGHEDFNKGIGFSSPIPFPVHTPINSPRRESYYQSARYLSKSYSPKGKFIIDPRISRTPHILNPINEPLLGSATASRSPPVLNVKTTLCLVSTLTFLGAFNLLQSPYGRIHSEVSTPRHEFVIYVGRKLLQVSGDPSPEHGAEGRSLIGTLLGWAMAFIYMSGRLPQIFLNGVSPLMFLFALVGNGTYVASILVRSLDWSRISPNLPWLVESGGCFLLDSFRPGEEAQSSKSCLDEETKTIRFLLSYVALCVFR >OIW11517 pep chromosome:LupAngTanjil_v1.0:LG05:22674873:22677326:1 gene:TanjilG_26883 transcript:OIW11517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNPLDNYLIACGSSQNITFQGQTFVPDSQHSSLVFQPGNSIVATSNSSVVLFPIYQSARIFTQKASYTFEIEQQGRHWVRLYFFPVPNPSHDLTSTAITVVTDDFVLLRNFSFSNYNGYSMFKEYGINVTSDTLTLTFIPSNGSLAFVNAIEVVSMPDELFVDQALALNPPAPFSGLSELAFETVYRLNIGGSLLNAENDTLGRTWENDMKYLYVNSSVTNVSVSPSTIKYPAGVTPETAPNSVYATAEAMGDANVADSNFNITWVFPVDPNFSYFIRVHFCDIISKSLNTLVFNLFVNTDIALGSLDISSITNDLAVPYYKDFVSNASSDSNTLMVSVGPDTMADITNATMNGLEILKISNSLKSLDGFSSVRSFLPSSQSNKNKVGIIVGCVVGAVATIAFVGLCYCCLVLHNSNSTEQGRPLLSLPSLYGNSHTMSKMSTSSHRSGTSSFISLSSVNLGRVFTFQEIQDASNKFDEGLLLGVGGFGKVYKGTLEDGTNVAVKRGHPGSEQGIYEFRTEIEMLSKLRHRHLVSLIGYCDERSEMILVYECMSNGPLRSHLYGTDLPPLSWKQRLEICIGAARGLHYLHTGASQSIIHRDVKTTNILLDDNFVAKVADFGLSKTGPALDQTHVSTAVKGSFGYLDPEYFRMQQLTDKSDVYSFGVVLMEVLCTRPALNPVLPRDQVNIAEWAMKWQKKGTLDQIMDQKLIGNVNSASLKKFGETAEKCLAEYGVDRPSMGDVLWNLEYALRLQETASLALTEPDNNSTNQMAGIQLTTLQSFGNSLSMIDAGNSATDEDATTSAVFSQLVNPCGR >OIW12153 pep chromosome:LupAngTanjil_v1.0:LG05:3650520:3651737:-1 gene:TanjilG_28561 transcript:OIW12153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDNQSNSKNNFHQTVVVLVPLPLQGHLNQLMHLSRLILSHNIPVHYVCTAKHILQAKVRVQGWNPNSISNIHFHELQVPPFASPTPNPNAETKFPSHVTPSLEASTHLREPVATLIQSLSSTTRKVIVIYDSLMTYVVQDVQHITNAESYVFHSTCAFTNSMFYLDTMEKPPQESSIFIPEVPSLEGCFTTEFIDFITTQVELSNFHVGNIYNTARAIDGPYLDFIDLMTGGQKNWALGPFNPLTIEKENLKERHYSLKWLDKQEPNSVIYVSFGTLTAMTGEQIKEIAIGLEQSKQKFIWVIRDADKGDIFDKDGIRRHELPNGYEERVEGFGLVVRDWAPQLDILNHPSTGGFMSHCGWNSCIETITMGVPVAAWPMHSDQPRNSVLITRVENWLYCKGLG >OIW11813 pep chromosome:LupAngTanjil_v1.0:LG05:14970071:14977332:1 gene:TanjilG_07294 transcript:OIW11813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVSFKVSKNGTRYRPKPLSLPLPHDSGESQNSKSNSRVPQGDLVEAGENIARVPNSSESLSLIEGEASFTLNLFPDGYSIGKPIENEANQSFPKLLHPYDRSSESLFLAIESGHLPGDILDDIPAKYIDGGLICEVRDYRRCSSERGADVVSNGSSPTINKVCLKMSLENVVKEIPSITDKSWTYGDLMEVESKIVKALQPKLHLDPTPKLDRLSESPAPTKLNLCLSNLRRKRPRHLPEFAVTCSSTSHGKKICVDRVPESSTNRFSGSGTPAPNAIMQQTIENPAIQNLSPSIATGLRSRSILPDSSVSGFSMMSNQSGYQIASRTPRSVQERGSVSAINSSAASPAVQDIMVSYADNAKSNGSFLAKRENPDGQTSPLSSIAKRMRPASTGIDTMQQQQIGSHVEALQGSDMNWQNTLLQQQAMVRGIKYGSVGIQKFPQQVFEGGLNQDTGAIQFASGQQGMGIVSREEQFGIEKLDPAEINHNKSEMEMDTSNLDPQQLQFHQRLPQHGLMRPNFSQSTWNNVGPHMEKEARKEDQLQKRKLVQSPRLSTGALYHSPLSSKFGEFPSGSVGPSFGLSSMTNAPGPSQKEKTAISSAHTAIGTPSLTSSVNDPTQRQHQAHLASKRRSDSLPKMPAISGVGSPASVGTGSTNANSPSLGTSAVVDQDLQIMLERFSKIETVTMRHQLNLKKNKGDDYPTRKQATYSTQHLGACLSNATNNDGIIDETSSLSKSLMGGSMNVCKMRHLSFFFPERVVQGNVVSIVPRLRTRMIMSEKPSDGTVAMHYGDIDDGDFVAAEDHLPTLPNTHAADLLANQFCSLMAHEGYVKEDDRIQVKPTRVNLPSDSQASLPPNNSIGELQQYGEQFPDQSPYEIAKAASGSNASLNLPENLVANQRMLPPGNPQAFQMSQGLLSGVSMASRPHQLDSQQARQQQQQMQQNQSNLIQQQNPLQRSMMLGQNQLSHVNAVGHNSNIPLGNMLNNSSPLQLQMLQQQQQTQPQMQRKMMMGLGTSVGMGNLRNSIVGLGPMGNPIGMGAARGIPGSGISTPMMSMSGMGSMGQNPIDLSQASNITNALNQQLRSGTITQSQAELLLSRIRMAQNRGSMLGSPQSSIAGMSGARQMHSASAGLSILGQSTNRANIHTLQREIGPMGPPKLMSHYMNQQQQQQNQQQLQLQQHLQQQLQQKLQQQQQQQETTSQLKAVVSSPQVGSPSTMGVPPLNQQAHQQASPQQMSQRMPMSPQMSSGAIHATMSAGNPEACPASPQLSSQTLGSVNSITNSSMDMQGVNKSNPVSNSQ >OIW11375 pep chromosome:LupAngTanjil_v1.0:LG05:24110424:24114700:1 gene:TanjilG_19631 transcript:OIW11375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGARTPNRREYPPREGSTIPNRPSLKRHLAFASTKPPFAPPYEYRSFSASRPSDQEAEAVVVRSSYMKMKNGLNVTEGESKETSQTPGYNNVINNPLTTPVSKKGGRTYNKAKVPRDGRFGPMTPKSEAGSPSPASNCRYDSSLGLLTKKFIHLLKHAEGGNLDLNNAAETLEVQKRRIYDITNVLEGIGLIEKKIKNNIHWKGVDCSSSGEKNGNVSMLKDEVEKLSFEENKLDDQIREMQERLRSLSEDENKKKFFFVTEDDIKSLPGFQNETLIAIKAPYGTTLEVPEPEEAVDYPQRRYRAILRSTMGPIDVYLISQFEEKFEEISLQHASSSESNDRLATEVIPVECSYDGLQPQAQHSSHTCSDINSAQEFGGGMMKIVPSDADTDADYWLLSDAAPSITDIWKTDSAVDWNAVDILDPEFGIMTPQTPSSQFSEAPPAVGNDTTR >OIW12129 pep chromosome:LupAngTanjil_v1.0:LG05:6047388:6048041:1 gene:TanjilG_02350 transcript:OIW12129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPSLKAVTLTHVRYQRGDTLGHFLAWISLIPVFISLGGFISHFIFRRELEGIFFALGLIFSQFINEFIKTTVQQARPETCFLLEMCDSHGWPSSHCQYMFFFATYLTLLLFSSRHVILHLVTWSIAVFTMYSRVYLGYHTVAQVFAGTGLGIVLGAVWFWVVNNVLCVYFPVIEESVFGRVFYVKDTSHIDNVLKFEYEMARAERRRLASVSKSE >OIW12199 pep chromosome:LupAngTanjil_v1.0:LG05:5289582:5291264:1 gene:TanjilG_28607 transcript:OIW12199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGEEESGEFYLRYYVGHKGKFGHEFLEFEFRSDGKLRYANNSNYKNDTIIRKEVYLTPAVLRECRRIISQSEIMKEDDNNWPEPDRVGRQELEIVMGNEHISFTTSKIGSLMDVQNSADPEGLRIFYYLVQVS >OIW11267 pep chromosome:LupAngTanjil_v1.0:LG05:26234916:26235860:-1 gene:TanjilG_28358 transcript:OIW11267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFNYSLYQYQNLHFFPSNHSSNLGQEPFSWDNDLLLFLNITNNDNNHTLLFNSSDPSQSLQTKESSSIESNSSGSQGSLDSHDVSSICTSYHKNNSLHDTFTWWNSDIVNINTLIPTNGEAVPNAPPPPLSTPLSLPSSSSSSSSSSPQILESNKDKRVFRGVRRRPWGKYAAEIRDSTRNGVRVWIGTFDTAEEAALAYDQAAFCTRGSLAVLNFPMEVVRESLKDMSNNFKPNSCLEDGSFTSPVLALKRKHSMRRKSSKCSKNKKTKRVDYKDQLEISGSKNVLVLEDLGADYLDQLLSFTTTSQIQTSF >OIW11778 pep chromosome:LupAngTanjil_v1.0:LG05:17074248:17079504:1 gene:TanjilG_14318 transcript:OIW11778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENQPNQNPTLEEEQNQVWGTWEELLLACAVNRHGFKDWDTVAMEVQSRTTLLATARHCEQKFHDLNRRFADQCNDDVRRNGADAGDGADHVPWVDELRKQRVDELRREVQHYDVSILSLQLKVKRLVEEREKDNTRKEDEKPDLDVSVKNLPENEKTGEERDEPEPVSSEPEPISSEPDIRRLNESTTNTDKVLPTTAEESDRENQSVNESNSTGSRFEGEKSKDGDVIMLEDGPDSGPDLVQDGLTEPVQTETKEKPVGEESNNGSCDTVAKVLTCESVPPSEERGKVEENDNSSELRDSVAHSGGTRESSEVQSSASLTRKRNMRRRKEVYGGDGSGEVTAVVRSESLVGLLEMIRRHEHSSLFERRLDSQESDEYKNTVRRHMDLKIIQLRLQNGNYSSRTSEFFSDLLLIFSNAIVFFPKDSRESQVAQQLRYLVSSELKNQYPSQSDPITQKTDSQSPNAPQAKPDSLLSQQKAAAPIVVCRKRSSMSAKPSSVTFGQKDDQPIINDKEKPSSATKQPLKTSSDTDEEEEEEPPKVKEKPVTGARSLRRSNKNLNSNSGNKKPATNTTSKAAGSSANKPAETPKPEKSKAEASTEKRNAAADFLKRIKRNAPAEGLKSGGGSSSSSRGGGGGVSGKDQKKIVNKGKVDKGKEKTSRNNNNGGGSGDKRNKNIESSSQSKRSVGRPPKKATETNAVSAKRGRDNSASASKDKRPNKRSKK >OIW11432 pep chromosome:LupAngTanjil_v1.0:LG05:23606024:23617060:1 gene:TanjilG_26798 transcript:OIW11432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDSLPPPSQVSTRRSKRGRPPKPKPNDTVSEHRENSLDAPKRPKSKRSRFQQGTSSVAQQASDLTLIGYSGKENMGLILPSLFGVVRVQCGYAPFSRSGSIGDMVAKDTPGLESERWTEWYTRDRVAIFATNRTCQPCAAQFAVPSHGGVGNHLSSASPSQLRTIHYLERWLAVKDDLPRPWTGIFMAEAIKGNGKLIPHVVKLWVERYEMEPKPAMDELLTMLFQACGAKDYNKADLVDEIDVDDVVVALVNCAISGEIEDYHNSKKEFKNFKENLESFWHNLVCECQHGPLFDQVLFDKCMDYITALSCTPPRVYRQVASLMGLELVTSYITIANMLGGQRETTRRQLDAEKKKRNERPLLESLNKRFSDTHEKITLLEEMMRKIFTGLFVHRYRDIDPTIRKSCIQSLGLWVLSYPSLFLQDLYLKYLGWALNDRNAGVRKTSIKALENLYEADDNVPSLGLFTERFSRRMIEITDDIDVSVAVCAVGLVKQLLRHQLIPEDDLAPLYDLLAVDPPEIRHAIGALVYDHLIAQKFNSSQSASRDNSSEVHLKRMLRILEEFPQNPILSIYVIDDVWDYMKAIKDWKCIASMLLDENPLIELSDRDVSNLVRLLCASVKKAVGERIIPVTDDRKQYHNRAQKEAYESNKQDITVALMKSYPLLLRKFISDKEKVSSLVEIILYMDLDLYSLKRQEQSFKNILQLIKEAFFKHGDKDTLRACVKAIDFCCEETEGELQDIAHNKVKELEDEFILKLKSAIKVVVDGGDEYSLLVNSKRLYELHLLIYVPIDNNLYDDIVMVLRGFRNMEDEVVGFLLLSMYLHMAWGLLSIINEEVVYEASLASLLYKRDTLLQELEYFCNLGTDSKEGGKQGSELACRVCIIFSEIWFLFRRENFFETPLERLLYEPNEYVLRKFWKLCEQLLNVSEEEEDEYINREYFEETNRYIVIIAAIKLVAFDAVPKEYLASEIISHFVMHGTSVAEIVKHLITLLKEKDDDLAAILLKALKKAYHRRVVDISGPETDSSDSTSLSGCKDLAAELSGTFIGAELVKHKAVILEIVREGIEYAFVDAPTQLSFLEAAVLQFVPKLPASDVLEIMDDVQKRTENVNTEEDPSGWRPYHTFISLLEKYVNNDVNQEEIEGASVSRKGHPRKPQNMEGKKLFDDQSSSDDEDSLSEYEDVHDEEEGSQEEEDEDEDSPFIFSIKSSSKLMSLGNKGQTKAGTSVSAVDDLSASKTSGASN >OIW11860 pep chromosome:LupAngTanjil_v1.0:LG05:12302274:12308068:1 gene:TanjilG_31610 transcript:OIW11860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFFNLINFFMIFNFFSLSLSANYLDEAKSPDVFDWMVKIRRKIHENPELGYEEFKTSELIRTELGKLGIPYRHDQVAVTAVIAFIGTGNSPLVALRADMDALPMQEMVEWEHKSKVPGKMHACGHDAHVTMLLGAAKILKEHEKELQGTVVLVFQPAEEGGGGAKKILEAGVLENVTAIFGLHVAPNVPIGEVVSRSGPIMAGSGFFEAKITGKGGHAAIPQSSIDPILAASNVIVSLQHLVSREADPLDSQVVTVAKFQGGNAFNVIPDSVTIGGTFRAFSKESFKQLKQRIEEVITGQAAVQRCNAVVNFLNDEKPFYPPTVNNDDLHQHFRNVAENLLGISKVNIMQPMMGAEDFSFYQELIPGYFFMLGMENPSHERLNSLHSPFFKINEDALPYGAALHASLASSYLLKYQQDVPEGEGKYHDEL >OIW12330 pep chromosome:LupAngTanjil_v1.0:LG05:1852250:1854857:1 gene:TanjilG_32446 transcript:OIW12330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANAFVAPPPPLKDELDIVIPTIRNLDFLEMWRPFFEPYHLIIVQDGDPSKTIKVPSGFDYELYNRNDINKILGPRSSCISFKDSACRCFGYMVSKKKYIFTIDDDCFVANDPSGKAVNALQQHIKNLLCPSTPLFFNTLYDPFREGADFVRGYPFSLREGVPTAISHGLWLNIPDYDAPTQLVKPLERNTRYVDTVLTIPKGTLFPMCGMNLAFDRDLIGPAMYFGLMGDGQPIGRYDDMWAGWCCKVICDHLGLGIKTGLPYIYHSKASNPFVNLRKEYKGIFWQEDIIPFFQSLTLPKEATTVQKCYIELSKQVKEKLSKIDPYFDKLADAMVTWIEAWDELNPQGPSQANGKA >OIW11395 pep chromosome:LupAngTanjil_v1.0:LG05:23932373:23933689:1 gene:TanjilG_10713 transcript:OIW11395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSYSIYGVSILLLLTFLQSPSLSSSSSSTQINSNSILVALLDSHYTELAELVEKALLLQKLEDAVGNYNITIFAPRNEALERNLDPDFKRFLLEPRNLNSLQTLLMSHIIPTRIVAGEHWPTAAGSVGVRHRSIAGEHYLHLRAGVSGNKTVDNAAVLRPDDVIRPDGVIHGIDQLLVPRSVQEDFNRRRSLRSIAAVIPEGAPQVDPRTNRLKKSAPVPAGAPPVLPIYNALAPGPSIAPAPAPGPGGARRHFNGERQVKDFIQTLLHYGGYNEMADILVNLTSLATEMGRLVSEGYVLTVLAPNDEAMAKLTTEQLSEPGAPEQIMYYHIIPEYQTEESMYNAVRRFGKIRYHTLRLPHKVVAQEADGSVKFGLGDESAYLFDPDIYTDGRISVQGIDGVLFPLEEEETNTEKTTTTTTPLVKVAAKPRRGIIIL >OIW12049 pep chromosome:LupAngTanjil_v1.0:LG05:9076789:9077985:1 gene:TanjilG_24473 transcript:OIW12049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQPLGFQHSNKSLVCRLHKAIYGLKQAPRQWFEKLKSTLVSFGFAVSRCDNSLFILNTNSYKIHVLVYVDDIVITGSSIEQIRKIITQLSTTFALKQLGTLDYFLGIEVKSLSDGRLFLSQSKYVRDLLEKANMSNAKPINSPMVHTVKLTKEGSNHLENPSLYRSIVGALQYATITRPDIAYSVNKVCQFLANPLEEHWSAVKRILRYLVGTQNHGIIFQPTIPASPLPITGFCDADWASDCDDRKSTSGACIFLGNNLITWWSKKQTTISRSSTEAEYRSMALLAQELQWVQSLLLELNFSFSAPLVLCDNLSTVSLSHNPVLHQRTKHIELDLFFVRDKVQDKKLVVKHIPANLQTADILTKALSSTRFQFLKQKLRVIDVHEATNSSSNKNSP >OIW11631 pep chromosome:LupAngTanjil_v1.0:LG05:21327042:21327455:-1 gene:TanjilG_31910 transcript:OIW11631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEEIVVSEDDDASEIAFMKLEFLKLDSLPWLTGFCNGNLLFKFPLLIRLFVIECPMMETFSQGILSATLLRRVHASVEGDEWHWDGDLNTTVTKKFSKSNSKEV >OIW11805 pep chromosome:LupAngTanjil_v1.0:LG05:15387855:15389800:1 gene:TanjilG_03466 transcript:OIW11805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLEENVCGFMDEYYYSNESESHGSPVDLWWEENETYSNEPPQRTMYWESQLALLQEILERYQLSGMKLRKEVEQIVEEVKASDYCNCIDTNSFDCTTCLRREVVVMLRERGFTTNLCLSKWTTTHKFPGGSHEYIEVIASTLTRKKQIKFLIELELKDHFQIAKAGEEYQELISTLPEFYIGKPEYLNALVRVMCDAAKKSMKEKKMYIGPWRKSSFMQMKWSGFNKKWTSENFLDRLGTQATEPYLRIKGASPAVVVT >OIW11600 pep chromosome:LupAngTanjil_v1.0:LG05:21550557:21559958:1 gene:TanjilG_15294 transcript:OIW11600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLGNRLSGPIPSEIGDITSLQFLDLQGTSMEGPIPATISGLTNLQELELRNCLITGPIPDYIGELSQLKVLDLSSNRLSGKIPDSLQGLRINYFDLSYNNFTESSVTSCQQVAVNTGQWAYSSTGVFVGNAASDYVTTNKKSLIIQGPAYYQTARIAPLSLSYFGLCMRKGNYKVRLHFAEIMFSSDQNQTFSSLGRRIFDVSVQGVKYLENFNIAEKAGGAGLGITEEFNVDVINSTLEIRLSWAGKGTNAIPERGVYGPLISAISVTPNFEIRSGGLSTGEIVAILGATCVFIILVLFILLKKGYICTKDQIDKVLQLHFAELHDLKTGYFSLKQIKIATNNFDPENKIGEGGFGPVYKGIFLDGTVVAVKQLSSRSRQGNREFVNEIGMISALQHPNLVKLYGCCIEGNELLLVYEYLENNSLARALFGKEEHWLHLEWSARMKICVGIARGLAYLHEESRLKIVHRDIKATNVLLDRNLNAKISDFGLAKLDEDENTHISTRIAGTIGYMAPEYAMRGYLTDKADVYSFGVVALEIVSGRSNTNYRSKEEFVYLLDWAYFLEEQGNLLELVDPDLGTEYSEEEAMRMIQMALICTNPSPTLRPLMSSVVSMLEGDTPIQVPKIKRVEVSQDGRFKSLQTLKQDNQDHASSTLSYDSMERRSQSMNAPWVDSSTSLHAQ >OIW12043 pep chromosome:LupAngTanjil_v1.0:LG05:9225609:9229083:1 gene:TanjilG_24441 transcript:OIW12043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKLCPNFENENGLETVLEVPIPEDMWTNIGSSGSNRWQNLRALMKAQISSSDRKLSRLSATSDNEFIALLKLVGCPLIPLQVQSHRTLTRPLQDSSIEDSTAKYIVQQYIAATGGYGALDSLKSMYAVGQVRMFGSDMSQGNDSVQNIGRAEVGGFVLWQKNPDLWHFELVVSGFKVSAGSDGKVAWNQSSCQPCHANKGPPRPLRRFFQGLDPRCTANLFQEAVWVGEKTINNEDCFLLKLDTTQQVLETQSTSNTEIIRHTTWGYFSQRTGLLIKFQDTKLVKMKPVKGKDHSFWETSIESMIEDYRYIDGINIAHGGTTIAMLYRYGNAHNHKRRIEETWRIEEVDFNICGLSMDCFLPPSDLKREQDIADCRGSCAEEKS >OIW12046 pep chromosome:LupAngTanjil_v1.0:LG05:9199933:9202241:-1 gene:TanjilG_24444 transcript:OIW12046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRRDSLLNREKGQSGLRSRILAAVVVGVLLGCFFAFLSPHGLFASSDSQTDHNLSNRFSEQVVSSECESTERVNELKSDVQSAKEKNYELKREVRSLKEKLLQAEQGKDHAQQQVVVLDEKQKVGPFGTVKGLRTNPTVIPDESVNPRLAKILEKVAINKEIIAALANKNVKQELEVWFTNIKRVGIPNYLVVALDDTIEEFCKSNDVPVYRRDPDEGVDKIAKTGSNHAVSGLKFRILREFLQLGYSVLLSDIDIVYVQNPFDHLYRDSDVESMSDGHDYETAYGFNDVFDEPKMGWARYAHTTRIWVYNSGFFYIRPTHPSIELLDRVATRLSSNPKLWDQSVFNQELFYPSHPGYDGLHASKRTMDIYLFMNSKVLFKTVRKDDELKKLKPVVIHLNYHPDKFERMKAVVNFYVNGKQDALDGFPDGSE >OIW11634 pep chromosome:LupAngTanjil_v1.0:LG05:20742775:20753724:-1 gene:TanjilG_24840 transcript:OIW11634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNPFFKVAVVGSGISGAVCASTLARNGVYVTLFESARGPGGRMSQRREKTEDGKELHFDHGAPFFSVSKSELLCLVQEWQSRGFVAEWKEKFGSFDFHTLKFDNIYQEGLRKRYVGVPGMNSICKALCNESGVESKFGVSVGRVEWLDDAKLWSLIGVDGQNLGQFKGLVASDKNIVSPRIAEVTGRLPPLDLKLVPELSAKLHNLAARPCFAVMLAFAEPLSSIPVKDISFKNSKLLSWAYCDSSKPGRSTASERWVLHSTAEYAADIIAQTGLKKPSEITLNKVAEQLFQEFQSTGLNISQPFYKRAHRWGSAFPAVSIAEDEKCLWDKSKRLAICGDFCVSPNVEGAIESGLAAALRLKDSPIPFTAQKPLVRGVPTIARTSSSFKIVASGIKKIKTDKPLGIGGGMNLRDGVDASGRKGTGKGVYQYVDKYGANVDGYSPIYTPEEWSPTGDVYAGGATGLAIWAVTLAGLLAGGVLLVYNTSALAQ >OIW11984 pep chromosome:LupAngTanjil_v1.0:LG05:10776098:10780029:1 gene:TanjilG_02191 transcript:OIW11984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEHIIAFLKVSKNNISPSFKEKIGSYEKQIINLINANKPKKGMSSLQQGQLPPAHMHSMSQPQSQVSQVQSHETQINSQLQPTNLQGSVATMPKNNMPSLQHNSMSGVSITQQNMMNSMQPGTSLDSGQGNSMNSPHQVPVSSLQQNPTNQMHQLHQMNDMNDIKARQGMGVKPGVFQQHLTSGQHTAYPHQQLKPGGPYPVSSPQLLQASSPQILQHSSPQVDQQNHLPSSTKVATPLQSSNSPFVGPTPSPPLAPSPMPGDSDKPIPGFSSLSNAANIGHQQTVGAAAPAQSLAIGTPGISASPLLAEFSGPDNALAATSGKSTVTEQPIEHLIRVVKSMSHKTLSAAVSDIGSVISMNDRIAGSAPGSGSRAAVGEDLVSMTNCRLQARNFITQDGTNGIKRMKRYISATPLNVVSSAGSVNDGIIEASDLESTATSSVKRPKTEANHALLEEIREINNQLIDTVVEISDEDIDPTAAAADAEGAEGIIVKCSYGAVALSPTLKSQYASVQMSPIQPSRLLVPVNYPNCSPILLDKCPVESSKESVDLSVKAKSRFSISLRSLSQPMSLREIAKIWDVCARGAISEHAQQSGGGTFSSRYGTWDDCFD >OIW12450 pep chromosome:LupAngTanjil_v1.0:LG05:1119538:1119810:1 gene:TanjilG_04199 transcript:OIW12450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRRQVVVRRSEEQAARNVRIVKYGECQKNHAANVGGYAVDGCREFMASGGEGTISALTCAACGCHRNFHKKEVETEALSEFSSQTSSGI >OIW12298 pep chromosome:LupAngTanjil_v1.0:LG05:3101361:3104448:1 gene:TanjilG_06087 transcript:OIW12298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGANQSQEVVEESEEEDEEIEENINGHLVNSQLVNNHIVKKVLEQEPEMLPCQASASPLSPQLSSLGTPRLLGPSIKVWDPYNVLAPMSPLPTPPPSRFSRSFYMVEDEVMEVFLISHGEFELNLAPDLIGGRFPAAALTGNGKRQARALAVFLKSQGVRFSAVYSSPLDRARSTAATVCKEVNFSEEQIQPSDALSEMSQGNWEGCHRSEIYTPEILGIMDRFQPDFTAPSGESLRQVEFRMIQFLNGTILGLPEKLRLDLSSHQNESQPFAQNNSQALTNSIHEQDGSSLHSNQWDSPHRHRPVFSRKRSGKSRLQSVTSTGDMIEDENSSNNVNDQSSMHNSTFNNYSPSVSCIGLFTHSVPIKCLLTGLLGCSTMMSHKFCIEDTSVTVLQHSLRTGWQIKRLNDTAHLRLL >OIW12384 pep chromosome:LupAngTanjil_v1.0:LG05:329754:330377:1 gene:TanjilG_04133 transcript:OIW12384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATTTVSDRTATPQHVQVHTTTTQRYEGAATHPTHRYEGSVGRLGGGGGDNGGILSLFSSGKGGGGGPSATHTLAVVIGVPIGGMLLFLAGLSFVGSLIGLAVVTPLFILFSPVLVPAALTIGLAVAGILASDACGVMGLMSFSWMVNFIMQTQGGGGYTSVPEMAKHRVADAVEYVGQKTKDVGQKTKEVGQDIQSKAHEVKRAT >OIW12309 pep chromosome:LupAngTanjil_v1.0:LG05:3320578:3320976:1 gene:TanjilG_06098 transcript:OIW12309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQKNKPIKVVYISNPMKVKTSVSEFRTLVQELTGKDAEMQPDPSRYCWEGDSSGYKILVSDEDCVKENGHYENDNTLVIGSEVEVNDVEVENCGEVSSSMDYFEAFDDDVFTPQMIENISTFLPDSVFCHL >OIW11760 pep chromosome:LupAngTanjil_v1.0:LG05:17797799:17798029:1 gene:TanjilG_10962 transcript:OIW11760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKLPTYLRMGRGVLTEAEGQPSTGIHINKLGSRQGKDLVERFVKIGEEDNDNFFLKLREHITRNSCMKQDITNKL >OIW11346 pep chromosome:LupAngTanjil_v1.0:LG05:24428164:24430390:-1 gene:TanjilG_19602 transcript:OIW11346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEILSGAMMMMQKEYLDYVLVPLGLMVFMLYHAWLLYSIQCNSLRTVIGLNAESRQQWVLAMMSDPMKNGVLAIQTIRNNIMASTTLATTAITLTSLIGIFASKASDDHISSTKRISITLCFLVAFLCNVQSIRYYAHVSFLITAPTLRDKRKYMEHIAKTLNLGSHAWSLGLRAFYLSFSFFLWIYGAVPMFGCCCLTSLLLYFLDTTAKITRDIHSNSFRKESGTNNHDAEAALEPDYYPLAGDNVCQNTSVDNV >OIW12170 pep chromosome:LupAngTanjil_v1.0:LG05:4066527:4067786:-1 gene:TanjilG_28578 transcript:OIW12170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHLPVEVIGNILSHLGSAKDIVIASATCKKWSEAWHNHLHTLSFNSSDCPLYHEVTPNRLEILITKTILQTRALQCLTISMDDVHEFSAAPVIAWLMYTRSSLHRLHYNVRTTPNFNIFEKCGRQKLEVLALARNSISGIEPSYQKFLCLKSLSLSFVSISALDLSLLLSVCPRLESLSMTSPEIAVSDSHASMELSSSSLKDFYVESFGLDKFILEADLLENLHLKDCTFEVFELIGKEALKVLKIDDVSVIHLDIGENMENLEIVDVSNFTIMWPKFYHMISKASNLRRLRLWGVVFDDEDEVVDMETISVCFPRLMNLSLSYELRDGVLNYGLQGLSLLENVAVLELGWTSISDLFSEWVAELLERCPNLKKLIIYGFVSEVKTNEECQIFAKFTKFIIQLGRKYMDVKVEFVYE >OIW12471 pep chromosome:LupAngTanjil_v1.0:LG05:1341812:1342618:1 gene:TanjilG_04220 transcript:OIW12471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWNFASNCIAGSVGLRNDSSKPAHSASECSDDETSIVGREEGLECPVCWESFNIVENVPYVLWCGHTLCKNCILGLHWAVVKFPTLPVQLPLFISCPWCNLLSFRLVYRGNLKFPRKNYFLLWMVESMNGDRVKSHSTSFGDHQQQWPTRDNLTTGSHVSHANLQQGQVRHQEASGSIEYPGHGNTGNYLSREIVHSSLRKLLVFLVELTAKFPLVIIFLLIVLYAIPASAAILALYILITILFALPSFIILYFSYPTLDWLVREIIT >OIW12073 pep chromosome:LupAngTanjil_v1.0:LG05:8349641:8350183:-1 gene:TanjilG_15313 transcript:OIW12073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRDSSASNSLSKAPISLEQQQQPRTSAATEMDNNNDMLIKELPLPPAMQVHNDSNIPINVKRTASERRVSFNMSLKLPRSFSMVKNKDQRHNKEDNEIGKKAKLKPDESVWMKTIILGEKCNPDEEEDAIIYERKGEKISAYHPKKSTSMSLSRQWSYIDPEALSVPHAQSNEERINNM >OIW11830 pep chromosome:LupAngTanjil_v1.0:LG05:13756444:13762660:1 gene:TanjilG_14642 transcript:OIW11830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGRIRARLRRSNLYTFSCLRPSTTEEAPHPLQGPGFSRTVHCNQPLVHERKPLFYCRNDISTTKYNVITFLPKALFEQFRRVANIYFLLAACLSVTSMSPFSPLSMIAPLAFVVGLSMAKEALEDSRRLVQDVKINRRKVNHHKGNGVFGPRSWQKIMVGDVVKVEKDHFFPADLLLLSTSYEDGICYVETMNLDGETNLKVKRSLEATLTLDNDGAFKDFTGTIRCEDPNSNLYTFVGNLEYERQVYPLDPSQILLRDSKLRNTDYIYGVVIFTGHDSKVMQNSTRSPSKRSTIEKKMDYIIYTLFTVLIFISVVSSIGFVVKTKYQTTKWWYLRPDHIDKQYDPQEIGTAGMSHLITALILYGYLIPISLYVSIEVVKVLQATFINQDIQMYDEETGTPADARTSNLNEELGQVDTILSDKTGTLTCNQMDFLKCSIAGTAYGVRSSDVEVAAAKQMASDLEDDDSDLSNFPMPKTKVSWEDVRRAEEIELESVVTSNSDEDHRPTIKGFGFEDNRLMNGNWLKEPNADVLLMFFRVLAVCHTAIPELNEETGKYTYEAESPDEGAFLVAAREFGFEFFRRTQSSVFTRERLYASGEVVEREYKLLNLMDFTSKRKRMSVIVRDEDGNIFLLCKGADSIIFDRLSKNGKMYLDATTKHLNEYGEVGLRTLALAYRKLDEQEFSAWNTEFQKAKATVGADREATLERISEIMEKELILVGATAVEDKLQKGVPQCIDKLAQAGLKIWVLTGDKMETAINIGFACSLLRQGMKQICITTPNSENDGKEVIRDNIMNQITNASQMVKLEKDPHAAFALIIDGKTLTYALEDDMKHQFLGLAVDCASVICCRVSPKQKALVTRLVKEGTGKTTLAIGDGANDVGMIQEADIGVGISGVEGMQAVMASDFAIAQFRFLERLLVVHGHWCYKRIAQMICYFFYKNIAFGLTIFYFEAFTGFSGQSVYDDWYMILFNVVLTSLPVISLGVFEQDVSSEVCLQFPALYQQGPKNLFFDWYRILGWMGNGLYSSLVIFFLNIAIFDDQAFRSNGQTADMAAVGTTMFTCIIWAVNCQIALTMSHFTWIQHLFVWGSIATWYLFLLLYGMLSPQYSKTAYQILVEALGPAPIYWLATLLVTATCNLPYLAHISFQRSFNPMDHHIIQEIKYYKKDIEDQHMWTRERSKARHETQIGFTARVEAKIRQLKGKLQKKQSSMGIISS >OIW12258 pep chromosome:LupAngTanjil_v1.0:LG05:2473503:2475041:-1 gene:TanjilG_06047 transcript:OIW12258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKRKLPPNSTPSEQPPLKQHQQQQQQQQPQLDSDEEYEEIEVEEEVEELEQEEEDEEELEEQNDVVLYNYNNNQTVNLPSTSSTLAGDDDDDPIQNLLEHFGKDQLATLLCDAATNHRDVADRIRNTANADPSHRKIFVHGLGWDTTAETLTSAFRQYGEVEDCKAVADKITGKSKGYGFILFKTRSGARKALEQPQKKIGNRLTSCQLASLGPVQQQPNNVAQASYNNSHAPSKSAAFAVPVGSEYTQRKIFVSNVGAELDPQRLLAFFSRFGEIEEGPLGLDKVTGKPKGFCLFVYKRIESAKKALEEPHKAFEGHVLHCQQAIDGPKPGKLQQQQQQPQTQRMPIAVGAATTTPMQFQRSGNSGFVSGALAATPGHLMAPAGPVIGFNQGTAAVPMQTLDPALGQALTALLASHGAAGLGLNGLLGTLGSSAAVNPGVPSVGHGMHVGYNSQANVNPGTIGGYGNQVGFQGAYPNQQVGQGGSARGQQQQQQRNAGQYGGVAPFTGH >OIW11371 pep chromosome:LupAngTanjil_v1.0:LG05:24143200:24143559:-1 gene:TanjilG_19627 transcript:OIW11371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRNGSARKLDLKVNLSPPRADHQHRVLTSSPTRSSTVSPTSPPSSCVSSEDNNNDNNNNNNNKYSNSSEATSMVLVGCPRCLMYVMLSEEDPKCPKCKSTVLVDFLHDYDNDRNKRI >OIW11247 pep chromosome:LupAngTanjil_v1.0:LG05:26145937:26146206:1 gene:TanjilG_28338 transcript:OIW11247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYVCNLVCLDERWGCSAGKYQLKFDAEEICYLVKGKVKAYPKGSSEFVEFGTGDLVTIPKGMSCTWDVSVAVDKYYKFQSTTSSQSSS >OIW12105 pep chromosome:LupAngTanjil_v1.0:LG05:7436432:7441303:-1 gene:TanjilG_31212 transcript:OIW12105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGGGGHKKPSKSDDPSAAARNNSQAAANVVVNHASRRGGAGGGTVASVGTNSTTGPASPMPILPPSGPMEPLPMFRDVAVSERQNLFLRKLHVCCYILDFSDTLRNIREKEIKRQALMELVDFIQSGSGKITENCQEEMIRMISVNIFRCLPPASHENTGQEVTDPEEEEPCLEPSWPHLQLVYELLLRYVVSSDTDTKVAKRYIDHSFVLKLLDLFDSEDPREREYLKTILHRIYGKFMVHRPFIRKAINNIFYRFIYETERHCGIGELLEILGSIINGFALPMKEEHKLFLVRALLPLHKPKSVGVYHQQLSYCITQFVEKDFKLADTVIRGLLKYWPVTNCQKEVLFLGELEEVLEATQAAEFQRCMVPLFKQISRCLNSSHFQVAERALFLWNNEHIVSLIAQNRTVILPIIFEAFEKNIQSHWNQAVHGLTMNVRKMFLEMDAELFEECQRQHAEKEAKAKEVAEQRELNWKRLADAAAQKV >OIW11979 pep chromosome:LupAngTanjil_v1.0:LG05:10682686:10684310:-1 gene:TanjilG_02186 transcript:OIW11979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSLWRARAASSALSNVNNFTNAIRCRFFSSDVGNTNPHNPRDNIMNQMMYSDINSQIGSSMPLSSMRIGTIIHNIELNPGQGGKLVRAAGTNAKILKDPTAPYCLIQLPSGVKKLIDSRCRATIGTVSNPSHGDRKLRKAGHSRWLGRRPVVRGVAMNPVDHPHGGGEGKSKSSGKFGKGSRTPWGKPTKGGYKTGPLKRRK >OIW11978 pep chromosome:LupAngTanjil_v1.0:LG05:10677173:10680143:-1 gene:TanjilG_02185 transcript:OIW11978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKEDCFIGAIDQGTSSSRFIIYDDSAKPIGSHQVEFTQFYPQAGWVEHDPMEILESVKVCVAKAVDKATADGFNVDKNLKAIGLTNQRETTLVWSKSTGAPLYNAIVWMDARTTSICSRLEKQLSGGKGHFVESCGLPISSYFSAVKLLWLMENVEAVKEAIDKKDALFGTIDTWLIWQLTGGLKGGLHVTDVSNASRTMLMNLKTLDWDASTLEELGIPADILPKIVSNAEVIGNVAAGWPFTGVPIAGCLGDQHAAMLGQACSRGEAKSTYGTGAFILMNTGDEIVKSTHGLLTTVAFKLGKEAKTTYALEGSVAIAGAAVQWLRDSVGMISSSKEIEELASQVESAKGVYFVPAFNGLFAPYWREDARGVCIGITRFTTKAHIARAVLECIAFQVKDVLDSMHKDAGNVESNEFLLRVDGGATINNLLMQIQADLTGNAVIRPSDIETTARGAAFAAGLAVGVFKEDYIFDSKDKMKDAVVFHPIMTKEVRAKKVDSWGKAVVRSYDLADLTL >OIW11861 pep chromosome:LupAngTanjil_v1.0:LG05:12288051:12288290:1 gene:TanjilG_31611 transcript:OIW11861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIEKSLSLNDNNGHSIIKGQKSAKRRHSDRRSSSREVTLEQGKRLKDMDSNKLKAEIKRWAKAVVAYARQISGRFGSS >OIW12343 pep chromosome:LupAngTanjil_v1.0:LG05:1714479:1715849:1 gene:TanjilG_32459 transcript:OIW12343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSTSLKVHHVYSVAPPDATTATTLPLTFFDIFHLRLPAVERLFFYEFPNPTTTTTSFFDVIVPNLKHSLQLTLQHFVLLAGNITWPLESSKPIINYVPGDTVPFTVAESKADFNHLSSNLCEVVVDRDNLIPRLHISHEQASVLALQVTLFPNLGFCVGITTHHAVLDGKSSTMFFKTWAYICSKLDPLTKLPLPGLSLREDLIPFFDRSVISDPIGITEAYVDNWLNHEGPNNRSLKLWDSITEVKTELVKGLFELTPSCIQKLKQYAQSKLKIKVSTFSVTCAYLLACLAKVEKPKADKVAFIFGVDYRSRLDPPILPTYFGNCVLPQLVLAETNKLLGNDGFINALERIVDVLSKIDDVMLNEAKNWESKMQSAMGGRLFAAAGSPRFEVYDTDFGWGRPKKVDVSSVDKRGAFSLSKSKKNDGGVDIGLALNKGQMEAFAALFDQEIESF >OIW12391 pep chromosome:LupAngTanjil_v1.0:LG05:408422:411844:1 gene:TanjilG_04140 transcript:OIW12391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKRPCNSSEDPEVRKGPWTMEEDMILINYIANHGEGVWNSLAKAAGLKRTGKSCRLRWLNYLRPDVRRGNITSEEQLLIMELHAKWGNRWSKIAKHLPGRTDNEIKNFWRTRIQKHIKQAENFQQQSTSDPTNDNHHHHQASTSQMSTNMSQHEPMETTYSPSSYQGTTLDPIFPTHQFPTLIPDQPSCCPTNDNTDWSMEDIWSM >OIW11468 pep chromosome:LupAngTanjil_v1.0:LG05:23296082:23304666:1 gene:TanjilG_26834 transcript:OIW11468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATTIGLGLGLGLGLGPFPTRRVTSISFNSNSLPTRPSLLNLNLRVRASSIDSPSNSGDGVENLVIVGSGPAGYTAAIYAARANLKPVVFEGYQVGGVPGGQLMTTTEVENFPGFPDGITGPDLMDRMRRQAERWGAELYQEDVEAIDVKSSPFTVQSTDRKVKCHSIIYATGATAKRLRLPREDEFWSRGISACAICDGASPLFKGQVLAVVGGGDTATEEALYLTKYARHVHLLVRRDELRASRAMQDRVYNNPNVTVHFNTEVLDIVSNTKGQMSGILIRNVDTREESVLDVKGLFYGIGHSPNSQLLEGQVELDNTGYILVEDGTAKTSVEGVFAAGDVQDHEWRQAITAAGSGCTAALQVERYLVSNNLLIEFHQPKTEEVKKELTDRDVHEGFDLSLTKHKGQYALRKLYHESPRLICVLYTAPTCGPCRTLKPILNKDHEWRQAITAAGSGCTAALQVERYLVSNNLLIEFHQPKTEEVKKELTDRDVHEGFDLSLTKHKGQYALRKLYHESPRLICVLYTAPTCGPCRTLKPILNKVIDEYDQNVHYIEIDIEEDPEVAEAAAIMGTPCVQFFKNKEMIRTVSGVKMKREYKEFIEANK >OIW12132 pep chromosome:LupAngTanjil_v1.0:LG05:6084934:6094133:1 gene:TanjilG_02353 transcript:OIW12132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEPIPPIPQSYHATTSSPSLEQVSRIKHGYVIGMQGIDEELEEEDEDEEEEEDEDEEEEEDEDEEEEEDEDEEEEEDEDEEEEEDEDEEEEEDEDEEEEEDEDEEEEEDEDEEEEEDEDEEEEEDEDEEEEEDEDEEEEEDEDRMRMRTKIG >OIW11320 pep chromosome:LupAngTanjil_v1.0:LG05:24688877:24696452:-1 gene:TanjilG_20469 transcript:OIW11320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFIFSTKPFIIYLFTTFFLAILFTFFLTHSNDHNYSSLSTTPSLISLHQSTTHQVWPELKFSWRLVLATVIGFLGSAFGTVGGVGGGAIFVPMLTLIIGFDTKSAAALSKCMIMGASASSVWYNLRVSHPTKEVPILDYDLALLFQPMLMLGITLGVALSVVFPYWLITVLIIILFIGTSSRSFFRGTEMWKEETNMKKEMAKQLDTAVNSHGELLIDTEYEPLIPEEKKTSMNNAKVCSVWYWVLFSLQFPIALVVFGNEAVKLYKDHKKRLSTGNIECICEASIEWTPLHITFCAVCGILGGTVGGLLGSGGGFVLGPLLLEIGVIPQVASATATFVMMFSSSLSVVEFYLLKRFPIPYALYLTGVSILAGFFGQCLVRKLVAILKRASIIVFILSGVIFASALTMGVVGIQKSIEMIHNHEKPSPPKLNIILDWAKKVGLVELEAAAMVLKTELCRFSGAKIYPGRGIRFIRGDSQVFLFVNSKCKRYFHNRLKPSKLTWTAMFRKQHKKDAAQEAVKKKRRATKKPYSRSIVGATLEVIQKRRTEKPEVRDAAREAALREIKERIKKTKDEKKAKKAEVTAKSQKSGKSNVSKGAAPKGPKLGGGGGKR >OIW11972 pep chromosome:LupAngTanjil_v1.0:LG05:10612403:10620251:1 gene:TanjilG_02179 transcript:OIW11972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWNPLSWVMEAAAIMAIALANGGGQPPDWQDFVGIIALLVINSTISFIEENNAGNAAAALMAGLAPKTKVLRDARWTEEDAAILVPGDIISIKLGDIVPADARLLEGDPLKIDQSALTGESLPVSKNPGDEVFSGSTVKQGEIEAVVIATGVHTFFGKAAHLVDSTNQVGHFQKVLTAIGNFCIVSIAVGIVVEIIVMYAVQHRPYRRGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKSLVEVFTKDTDKDTVILLGARASRVENQDAIDACIVGMLGDPKEAREGIREVHFLPFNPVDKRTAITYIDERGNWHRVSKGAPEQGQPPDWQDFVGIIALLVINSTISFIEENNAGNAAAALMAGLAPKTKVLRDARWTEEDAAILVPGDIISIKLGDIVPADARLLEGDPLKIDQSALTGESLPVSKNPGDEVFSGSTVKQGEIEAVVIATGVHTFFGKAAHLVDSTNQVGHFQKVLTAIGNFCIVSIAVGIVVEIIVMYAVQHRPYRRGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKSLVEVFTKDTDKDTVILLGARASRVENQDAIDACIVGMLGDPKEAREGIREVHFLPFNPVDKRTAITYIDERGNWHRVSKGAPEQIIELCNVRPDVKKKAHSIIDKFAERGLRSLAVAKQEVPEKTKESPGSTWQFVGLLPLFDPPRHDSAETIRRALQLGVNVKMITGDQLAIGKETGRRLGMGSNMYPSSSLLGEDKDSTISGLPIDELIEKADGFAGVFPEHKYEIVKRLQDRKHICGMTGDGVNDAPALKRADIGIAVADATDAARGASDIVLTEPGLSVIISAVLTSRTIFQRMKNYTIYAVSITIRIVLGFLLLALIWKFDFSPFMILIIAILNDGTIMTISKDRVKPSPLPDSWKLNEIFATGIVLGTYLAIMTVVFFWAAHATDFFTEKFGVHSIRENEYELTAAIYLQVSIVSQALIFVTRSRSWSFLERPGLLLVIAFIIAQLVATLIAVYADWGFAKMKGIGWGWSGVIWLYSIIFYFPLDILKFIIRYVLSGKAWNSITENKTAFTSKKDYGKEEREAQWATAQRTLHGLNPPTMQQILDEHTSYSELSEIAEQAKKHAEIARLRELHTLKGHVESVVKLKGLDIDTIQQHYTV >OIW11997 pep chromosome:LupAngTanjil_v1.0:LG05:10878353:10879958:-1 gene:TanjilG_02204 transcript:OIW11997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSKENFTLIFLYGAVLGDKFFTGTYGVNYGRIADNLPSPHSVVTLLKASKIRNIRIYDVNQEVLTAFKGSGIQISVCLPNELLKEMSVAEDRAMTWIKENVQPYIPGTKIRGIAIGNEILGGGDVELWEALLPAAKNIYSALNRLGLAHEIQVTSPHSEAVFANSYPPSACTFRDDILPYMKPLLQFFSQNGTPFFINAYPFLAYKNDPQHIDINYALFKKNHGIYDAKTKLHYDNMFDAQVDAAYAALEKVGYDKMEVIVSETGWASHGDDNEAGATVKNAKTYNKNLRKKLLKRKGTPYRPKKVVKAYIFALFNENLKPGPTSERNFGLFKPDGSIAYDIGFTGLVDSSATSSILNFKGIGSSYMMVFTTCAALLLATL >OIW11452 pep chromosome:LupAngTanjil_v1.0:LG05:23446131:23448377:-1 gene:TanjilG_26818 transcript:OIW11452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRREYLCLLMLPLLLCVFIGFLLHPVVAAVIPLGSKLSVLDNDYWVSSNGDFVIGFFNTSDEPNQYSVGIRFNSKSIPYSQQKVVWVAGAHVAVGNVSYFQLTLDGELVLFDSLQGATTWTSGTGNRSVVSASLHDNGNFALIDREQNIIWQSFDTPSDTLLPGQTFSAYQILRPASKNPISSYYSLYMNASSYLQLRWKSNITYWVSESPSASNLTASLTTSGALQLVDQSFKPVWSVFGEDHNDTVNYRFLKLDADGNLRLYSWIEASQSWRSVWQAVENQCKVFATCGERGICVLTASGSADCSCPFEKTENDKCFVPYEQDCKSGSTMQTYKNMYLYGIYPPDDSVVISSLQRCQQLCLNDLDCTVATFTNDGSPQCSIKKTEYLSGYADPSISSVSFVKKCSGPFAVNPDLMKSPPPKLPPSLCVRCLIGASSGTFLIVAILQLGFVFYICKRKNSNRKEANPAFTYPDSKGLVVLSFSEIKSLTEDFKNQIRSNMFKGMLPNNCLIAVKDLNASIEERKFRSAVVKMGNIHHKNIVKLEGYCCELNHRFLVYEYAKNGSVDKYIDDSTLCRKLIWSKRIEICSSVAKAISYLHSGCREFVSHGNLKCENVILDENFEAKVTDFGFTIINSEASYCSASAEKDVADFGNLVLTLLTGCRDHEELCEWTYKEWIEGRASNVVDKRIDGGADSEELERALRIAFWCLQTEELRRPSMCEVVRVLDGTLSVDPPPPPFALEENDT >OIW11835 pep chromosome:LupAngTanjil_v1.0:LG05:13336470:13342380:-1 gene:TanjilG_14647 transcript:OIW11835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSGVSGLINRGQKLKGTVVLMRKNVLDVNKAAGVTSDVTSGDVIRGLGGIIGGGIDVVTTVAGTTIDNLTSFLGRNVGLQLISATATDASGKGKVGKETFLEGIILSLPTLGAGQSAFDIHFEWDSNFGIPEAFYIRNYMQVEFFLVSLTLEDVPNQGTIRFVCNSWVNNFKSYEKDRIFFVNKTYLPSETPAALVKYREEELKTLRGNGKGERKEWERIYDYDVYNDLGKPDSGEKYARPILGGSSTHPYPRRVRTGRRPTRKDPNSESPGDSYIPRDENFGHLKSSDFLIYTLKSLSQAVLPALQSVIFGEFGSFDEVRGLQEGGIKLPTDVLSAISPLPALKEIFRTDGEQVLKFPPPHVINVSKSAWMTDEEFAREMIAGVNPCVIRLLQEFPVQSKLDATIYGDQTSKITKEQLEINLEGQTVEEALSFQRLFILDYNDAFIPYLRKINEFAKAYATRTILFLKDDGTLKPIAIELSLPHPNGDQFGSESKVILPATEGAESTIWLLAKAHVIVNDSCYHQLMSHWLNTHAVIEPFVIATNRNLSVLHPIYKLLYPHYRDTININGLARQSLINADGIIEQSFLPGPYSLEISSAVYKNWVFTDQALPADLIKRGLAIKDPSSPHGLQLLIKDYPYATDGLEIWDAINTWVREYVSLYYPTDEAIRKDAELQAWWKEAVEKGHADLKDKPWWPKLQNVEELIQSASIIIWTASALHAAVNFGQYPYGGYILNRPTISRRLIPEQGTPEYDELVKSPQTAYLRTITPKYQTIVDLSVIEILSRHASDEVYLGENNDPNWTSDSKALQAFKKFGKKLVEIEEKITARNSDPNLRNRTGPVHLPYTLLLPTSKEGLTFRGIPNSISI >OIW11494 pep chromosome:LupAngTanjil_v1.0:LG05:22935572:22936081:1 gene:TanjilG_26860 transcript:OIW11494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSINFNNSEELFIFQAFIEHVESHLAYEHALMRMQYVPYHINPEREKISNPILPNLHKPIPMEKTRNFDNNSVLQAPSKQHGVVSQENSSLFSYNSQPSTSQPDETCKFSFEDIPFIQEKEMEVSLIDGTKSLISLLDRPINSEEFTKQVSMNDRNLDLLFLDLELRL >OIW11725 pep chromosome:LupAngTanjil_v1.0:LG05:18342898:18343347:-1 gene:TanjilG_20209 transcript:OIW11725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKLQTCYPRSASSKLGIDRDSHMISKFKPKIRIIHIYAPEIIKTDAANFRELVQRLTGKPENEGGCGSKSKAAPVKDSMDLYPNKAMIMSEDEGEFPNLKNEIRVKNQQQKENFEDDIWKRSKSNEKFSGFIEGFSELDGLLYGRVKY >OIW11882 pep chromosome:LupAngTanjil_v1.0:LG05:11784925:11785422:1 gene:TanjilG_25795 transcript:OIW11882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTEQRSDLLHRILPPRLEDAGLEDCALPPESIHQAFLKAATAVKHIFSDDDSDCLNNPSPGEDASDVLIGIEPEIKPPPGPCGVEKGCESGGDEVKVVGGGGGGGGEVEDEVVVVGEGRGVKLGEGGESCVDELKGLGIKDDDVVEDDDDDKEKKPNLVEGFV >OIW11726 pep chromosome:LupAngTanjil_v1.0:LG05:18373088:18373279:-1 gene:TanjilG_20210 transcript:OIW11726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLREAVMRLSELMEEDEEDFYGLRTTLNHIAYRHGILHEDSDGSGVSESMIAYGDSGDEQLP >OIW11537 pep chromosome:LupAngTanjil_v1.0:LG05:22333728:22333970:1 gene:TanjilG_26903 transcript:OIW11537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAMQSSPASSALTLQGYQGNHGSVTATTGDVSKPKKKICCACPDTKRLRDECIVEHGEAACTKWIEAHRLCLRAEGFNV >OIW11528 pep chromosome:LupAngTanjil_v1.0:LG05:22436423:22442770:-1 gene:TanjilG_26894 transcript:OIW11528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKRERSVNISGKPKHSLDVNRSNDSSKKESRSASTVRRLKMYKTRPVRERKGKVLSHDLQSKELPSTRIQPDRRWFGNTRVVNQKELEFFREELQTRMSSNYNVILKQKKLPLSLLNDRQKQARVHLLDREPFTDAFGPKTKRKRPTLLGPSSRLCVIESFEQKYDASVSSEVNEGDGFRDLVRHTMFEKGQSKCIWGELYKVIDSSDVVVLGGLEFCQKNIQLWPFHASINKSFGKGSLLSILRQFARLKSDKQAIPVGFVGYPNVGKSSVINTLRTKNVCKVAPIPGETKVWQYITLTKRIFLIDCPGTCECVTNLQDAADHIGEVLKRVKKQHLERAYKIKEWEDDNYFLVQLCKSSGKLLRGGEPDLMTAAKMILHDWQKGRIPFFVPCLDDLSEEPEVNGLDIDETVDDKQASAAIKAIANVLSFQQQGSLPVQKDLYSENELKGETTDQLPNTGDNTYEEIQASDSDTSEQDLATQISSDTHLSAELVPTSDS >OIW12351 pep chromosome:LupAngTanjil_v1.0:LG05:1629156:1632007:1 gene:TanjilG_32467 transcript:OIW12351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSKSITDKKNSSSENLNPNSTPSKSASKNPVIVAMKQRKFVKVNKNNHNDAATAYNNLRASQEEFFNNRSYENEGIEEEEDTNSVSIEIDVENNNEEESKEIISMSSNVVKRRREMVLEEARNSVPENGVGRVMHLVKAFEKLLSIPNSKNEDDEDDNNNNKNKNVMKWALPGLQQKCDQINSDSLFCQSDLVLTSQNLGLDQKVSVSVSSSWDSSHASCSVSSRNSSGGRRSRRNSLESSGTLGGRRWKKKKQLKVTSQKPFKLRTEQRGKLKEEEFMNKLQQMMSEEEKLRKPVAQGLPWTTDKPECLVKPPVKESTKPIDLKLHSDVRAIDRAEFDHQVAEKMSLMEQYRLEKERQQKLEEEEKIRRLRKELVPKAQPMPYFDRPFVPRRSMKHPTIPKEPRFHIPQHKKIKCCLSWNDMNPCSSYLN >OIW11878 pep chromosome:LupAngTanjil_v1.0:LG05:11758337:11759062:-1 gene:TanjilG_25791 transcript:OIW11878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTLEDKASCRKPRPSFIEEPQMMLRGTIHKTKVFIHKRLKTFRSFFFGGYQRLPRSLSFNPFHCRSGNARTYTNGQLYNDFYDHLQSDLSIAKTFGNNDMSKSKEPAVEDAACSGSFMSFPKQSSQKNIHDDKAEEKKNKVGPSKLGNGEDLSSKNMKKETHVLAQKMKDLDMIDAEGDLEHVLDIEEALHYYSCLKSPVYLDIVDKFFVDMHSEFSAPQPSVKIKPSTKRLHSVQHGGR >OIW11700 pep chromosome:LupAngTanjil_v1.0:LG05:19290768:19301155:-1 gene:TanjilG_12219 transcript:OIW11700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDARSVASQLNSSGLLRTQGLIGGKWSDAYDGKTIKVYNPATGESIADVAYMGARETNDAIASAYDAFKSWSKITAAERSKYLRKWCDLLMANKEEIAQLMTLEQGKPLKESLGEVSYGAGFIEFAAEEAKRIYGDIIPSPLSDRRLFVLKQPIGVVGAITPWNFPLAMITRKVGPALACGCTVVIKPSELTPLTALAAAELSIQAGIPAGVVNVVMGNAPEIGDALVESPQVRKITFTGSTAVGKKLMAGSAKTVKKVSLELGGNAPCIVFDDADLDVAVKGTIAAKFRNSGQTCVCANRILVQEGIYDKFAEALVDAVQKMKVGNGFGEGVVQGPLINDAAVEKVENLLNDATSKGAKIILGGKRHSLGLTFYEPTVVSDVSNEMGIAREEVFGPIAPLLRFKSEEDAINIANDTNAGLGSYVFTNSIQRSWRVVEALEYGLVGVNEGVISTEVAPFGGFKQSGLGREGSKYGMDDYLEIKYVCMGNINKL >OIW12292 pep chromosome:LupAngTanjil_v1.0:LG05:3001662:3002769:-1 gene:TanjilG_06081 transcript:OIW12292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLQNDIDLLNPPVELEKRKHKLKRLVQTPNSFFMDVKCQGCFNITTVFSHSQTVVVCGNCQTVLCQPTGGKARLTEGCSFRRKGD >OIW11881 pep chromosome:LupAngTanjil_v1.0:LG05:11775420:11782677:-1 gene:TanjilG_25794 transcript:OIW11881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANQVTVRDLVEEAKKRIIILIVCVVGLSYLMSLTSSSVWVNLPAAASLIITLRYVSLDFKMKRKAATYNNKASSTNVQSSKKLPENPKVVAKFEWREKVNSPVVEDAIDHFTRHLVSEWVTDLWYSRLTPDKEGPEELVQLINGVVGEISGRMRNINLIDFLIRDLINLICTHLELFRAARSKIEKQHKGSLTIECRDTELKLVLAAENKLHPALFSAEAEHKVLQHLMSGLMSVTFKSEDLQCSFLRYTVRELLACTVIRPILNLANPRFINERIESVVVNKTKVNRGAAAAPEASNTKVDELQIPPDHFSECLDPSTGVELVQLRNGQSKNAKKSSAGNNANDNFTKDPLLAIDARSSRSWTSLPANSHANDDQDIQRHHSGGEWGDILDVISRRKTQALAPEHFENMWTKGKNYKTKDGGKHSNEQVPPHPVVGKSLMVDHMKDTGPKEKDRTSKLNPSIGSLINSGPSNQFTVKNAAFRADKDGSSSPVTLYKDDEQNDMHTQMGESENSTSCTSEDDEANTVTGLDSPVTKVWDGRANRKQSVAYVHHPLENFDNHGTNKRNKGRPRYPRLPRTQSGSRRSRPSGHDIHKWQEVERTRFLSGDGQDILSSSRSHVNSEDSSDDDDTESSGRIYSGATASSSASSIFSKSDSHSLAVNPLKSSSVLDSFFRLKCEVLGANIVKSGSRTFAVYSISVTDVNNNTWFRHFEELHRRLKEFPEYNLHLPPKHFLSTGLDIAVIQERCGLLDRYLKQLMQMPAVSESIEVWDFLSVDSQTYIFSNSFSIMERLSVGLDAKPSEKTKISSKFSAPATDSFASQRDNYSSESKEAVLRMRNNVVADGLRSKLNGMPLSLPKTNAEEPRKSLDNSVCNTDKTWKSAPAPSNLEKTVKGRDSLDEVSGLYHDTSDTFPTEWVPPNLSIPILDLVDVIFNLQDGGWIRRQAFWVAKQVLQLGMGDAFDDWLIEKIQLLRKGSVVASGVERVEQILWPDGIFLTKHPNRQPPPSSPSQSSPQSHQSTVSSPRVDDVQQQEADRRAKFVYELMIDQAPPAIVGLVGKKEYEQCARDLYFFLQSSLCLKQLAFDLLELLLLSAFPELDDVFKQVHEEKHKFGEFRTN >OIW12084 pep chromosome:LupAngTanjil_v1.0:LG05:7920362:7925498:-1 gene:TanjilG_24832 transcript:OIW12084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIARRQSEFGLVKSLFVRSYFSVNQFGDRVGNRLLCAQERFQSSYISNLARRVRDADEANDVAHLKELYYRNDPEAVIKLFESQPSLRTNPSALSEYVKALVKVDRLDESEILKTLQKGVSNSLREDQGIGGLSAFRNVGKSTNDIALGSASSPIHMVTTEVGSFKDRLWRTFRSLAVTFLLISGVGAIIEDKGISKGLGMNEGVQPIMETSTKFSDVKGVDEAKAELEEIVHYLRDPKRFTRLGGKLPKGVLLVGPPGTGKTMLARAIAGEAGVPFFSCSGSEFEEMYVGVGARRVRDLFSAAKKRSPSIIFIDEIDAIGGRRNPKDQMYMKMTLNQLLVELDGFKQNEGIIVIAATNFPESLDKALVRPGRFDRHVVVPNPDVEGRRQILESYMSKVLKSVDVDLMIIARGTPGFSGADLANLINVAALKAAMDGGKTVSMADLEHAKDKILMGSERKSAVISEESRKLTAFHEGGHALVAIHTDGALPVHKATIVPRGMALGMVTQLPDKDETSMSRKQMLARLDVCMGGRVAEELIFGENEVTSGASSDLKQATSLAREMVTKYGMGNEVGLATHNYNDDGKSLSSETRLLIEKEVKHFLERAYRNAKTILTTHNKELHALANALLEHETLTGNQIKTLLAQVKSQKQPQSHIVEAQSSSQSNTPPPSSNPAASAAAAAAAAAAAATAAAKGAAAKAQGVQVGS >OIW11277 pep chromosome:LupAngTanjil_v1.0:LG05:26288929:26292436:1 gene:TanjilG_28368 transcript:OIW11277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVTMLCSAASPLSTIRNTQNKYDYPSLSFTSILLNHRNRSSFSFPTFKPLHLSQFNINHRFKVLSAQNEQFHVLQPSGVDELYDALVSRLLHSPSISSSSNFKHLVALAGPPGAGKSTVANEVVRRVNKLWSEKASSMDSQIKPPDVAIVIPMDGFHLYRSELDAMENPEEAHARRGGKGSVYVPSFDHGVGDPVEDDIFVNLQFIDIDIDKAMQRVLKRHISTGKPPEIAKQRVENNDRLNAEHIMKSMKNADIIIKSVDF >OIW11464 pep chromosome:LupAngTanjil_v1.0:LG05:23333377:23335559:-1 gene:TanjilG_26830 transcript:OIW11464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNYHRFNQSRKASEFINKDDESQNHVMDCSNSVCYKRNRPTLLSFLFLITFLSFCYVLAPLFIGSSFSLFLLYSNGTEDVTDVNVIVPLCSSVSRGTICCDRSGYRSDICVMKGDIRTNPSSSSIFLYNSRITNNFSRTFDARKSEKNKQLQHEKIKPYTRKWETSVMNTIHELNLISKSVVNFDTTHDCDVKHDVPAVFFSNGGYTGNVYHEFNDGIIPLYITSQHFNKKVVFVILEYHNWWFMKYRDILSHLSDFPTIDFTRDNKTHCFPEAIIGLRIHNELAIDPSLMEGNKSIVDFRNILDKAYRPRIRGRIENEAQENLRENQLKKLKLVILSRSGSRAITNENLLMKMAENIGFQIKVLRPESKTELAKIYRILIKSDVMIGVHGAAMTHFLFLKPGSVFIQVVPIGTTWAAETYYGEPSKKLGLKYIGYEIEVSESSLYEKYDKNDPILIDPESINKKGWEYTKKIYLNSQNVTLDLGRFRKRLLQAYEYKMSKSNINVKHKPHL >OIW12058 pep chromosome:LupAngTanjil_v1.0:LG05:8931399:8935246:1 gene:TanjilG_24482 transcript:OIW12058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFDDFFTGEIVTELLKMLVNISRKSLLCRSSAVQLITYIHELQPTIDEIKYSGVELSEHRQFQLNRVSEVLRSGVDLSLKVLSSSRWNVYKNLQLAKKMEKLEKNLSKFIQGPMQAHILADVHHTRFEMAKRFDQVEASHRKLECYFGAMKIGVGGGGWVQEAVRSMEEDESCWGEGSSGNFGVALELGKKKVKEMIIGREDLKVIGISGIGGSGKTTLAREVYRDDQVRSYFKERILFLTVSQSPNVDQIRTKIWGFIMGNQNLNANYVVPQWMPQVECRNEAPRRLIVLDDVWSLSILGQFLYKSPGCKYVVVSRERFQTICDATYEVELLNEEDALSLFCHHAFGQKSIPFAANENLVKQVATECGRLPLALKVIGASLRDQAEMFWLSVKNRLSQGQSIGESYEINLIHRMEFSTNYLPEKIKECFLDLCSFPEDKKIPLEALINMWIETHDIDETEAFAIVVELSNKNLLTLVKEARAGGMYRSCFEIYVIQHDILRDLALNMSNRGSIRERRRLVMPKREEHGRLPKEWLRNMDRPFEAQIVSIHTGEMKEEGWCKLEFPKAEVLIINFTSNEYFLPPFIDRMPNLRALIVINYSASYASLHNVSVFNNLFNLRSLWLEKVSTPKLSGLVLKNLGKLFIVLCKVNNNLEGNGANMAQTFPNLSELTLDHCDDVTELPSSICEIKSLQNLSLTNCHSLTQLPNELGRLRSLAILRLYACPDLKTLPPSICNMIRLKYIDISQCVNLANFPEEIGKLVNLEKIDMRECSMMSNLPKSAVSMKSLRLVICDEEVSGMWKNVEKAKPDLHIQVSEQDYDLDWLKE >OIW11589 pep chromosome:LupAngTanjil_v1.0:LG05:21718296:21721003:1 gene:TanjilG_15283 transcript:OIW11589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGYRAEDDYDYLFKVVLIGDSGVGKSNLLSRFTKNEFNLESKSTIGVEFATRTLNVDSKVIKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTRHATFENVDRWLKELRNHTDANIVVMLVGNKSDLRHLVAVSTEDGKSYAEKESLYFMETSALESTNVENAFADVLTQIYHIVSKKAVQAAENGSASAPAKGEKIDIKNDVSAMKRVGCCSS >OIW12100 pep chromosome:LupAngTanjil_v1.0:LG05:7555022:7566664:-1 gene:TanjilG_05492 transcript:OIW12100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGVLDFGWSLLKSTKMVDKETPIRSGNEDVRFQPVTILNVSFCLDSIKEVKDKLRVSVNDVLIGVIFFAIRLYMRSMNHESVKTKTTALVLLNTRNTRAYRSVQEMLDTNSDAPWGNRFSFLHIPIPELSDTNYSKPLDFVLEASKIIKRKRYSLAIPMNGVLLDLVKKFKGPEAAARYLHDTLKNTSVTISHMVGPANQVALASNLPVKGIYFYSLGSPQSLTITAMSYMGRLRVGFGVERGFIDAHQFKSCIENSMEQMIKEANKNF >OIW12476 pep chromosome:LupAngTanjil_v1.0:LG05:1382827:1388105:-1 gene:TanjilG_04225 transcript:OIW12476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLHFSASMRSITISSNNGFIDLMKIKVAACHISYRTLFHTILFLAFLLPFVFILTALVTLEGVNKCSSFDCFGRRLGPRLLGRVDDSGRLVRDFYKILNEVNTGEIPVDLKLPDSFDQLVSDMKNNQYDARTFAFMLRGMMEKFEKEIRDTKFSELMNKHFAASSVPKGIHCLSLRLTDEYSSNAHARKQLPPPELLPVLSDNSYQHFILSTDNILAASVVVTSAVQSSQKPEKIVFHVITDKKTYAGMHSWFALNPASPAVVEVKGVHQFDYLTRENVPVLEAVENQNEIMNYYHGNHVSGANLADTNPHKFASKLQARSPKYISLLNHLRIYIPEMYPNLEKVVFLDDDVVVQRDLSPLWEIDLNGKVNGAVETCRGEDEWVMSKHFRNYFNFSHPLIAAHLDPEECAWAYGMNVFDLRAWRSTNIRETYHSWLRENLKLNLTMWKLGTLPPALIAFRGHVHPIDPSWHMLGLGYQNKTNIESVKKAAVIHYNGQSKPWLDIGFEHLRPFWRKYVSSELLLLEKANGKLVEIAK >OIW11974 pep chromosome:LupAngTanjil_v1.0:LG05:10638672:10638824:-1 gene:TanjilG_02181 transcript:OIW11974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQYIFLNPDHEVLLEVFDLLVTLTLSFTSKIEFNLSNQTFNSECRLQLVC >OIW11281 pep chromosome:LupAngTanjil_v1.0:LG05:26313768:26320416:1 gene:TanjilG_28372 transcript:OIW11281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVESVQRVSIPPPQPFFMSLKYNLKETFFPDDPFKHFNNQTPLKRLLLTLQYFFPIFQWAPHYTFNFLKSDIISGITIATLAIPQGISYAKLANLPPILGLYSSFIPPMVYAMMGSSKDLAVGTMAVGSLLMASMLASVVNPNQNPKLFLHLAFTATFFAGLFQALFGLFRLGLIVDFLSHAAIVGFMGGAATVVCLQQLKSILGLQHFTHHSDILSVMRSVFTQTHEWRWESAILGCCFILFLMATRYFIGELKKGLNPPSIRDLVFVSPYMTTAIKTGLITGIIGLAATVGRSFAIFKNYHIDGNKEMIAFGTMNIVGSFTSCYITSGPFSRTAVNYNAGCKTAASNIVMAVAVMLTLLFLTPLFYYTPLVVLASILVSAMLGLIDYEAAIHLWKIDKFDFLVCISSYIGVVFGSIEIGLVIAVGISVLRVLLFVARPKTFVQGNIPNSGVYRNVEQYPNANHIPGILILEIGAPIYFANASYLRERITRWINEEEDRIKAIGNSNTNLQYVIMDMTAVANIDTSGISMLEELNNIFGRRGLQLVLVNPRSEVMKKLNKAKFLDEIGHSWIYLTVEEAVGACNFFLDTCKPIHTKDESEGGSNV >OIW11752 pep chromosome:LupAngTanjil_v1.0:LG05:17617722:17619308:-1 gene:TanjilG_10954 transcript:OIW11752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSNHDAEKLFDEMPTKDFVSWNSLVSGFSKRGHLGKCMSVFLMIKSELVFELNEVTVISVISACACAKASDEGQYIHCCAVKSGMMSEVKVINALINMYGKFGCIDSAFRLFWEMPERNVVSWNSMVAVCTQNGIPNEAINYFNMMRMNGLFPDEATMVSLLRAFENLPLGRLVEAIHGVIFTCGLNKNLTIVTTLLNLYSKLGKLIASHKVFTEISKPDKVAWTAMIAGYAMHGQGKEAIEFFERTVREGMEPDHVTFTHLLSACSHSGLVEEGKYYFRMMSDVYRVQPRLDHYSCMVDLLGRCGLLNDAHHLIKHMPLEPNSGVWGALLGACRVYGNINLGKEAAENLIALDSSDPRNYIMLCNIYSAAGLWSDASKVRALMKAKNLNRNPGCSFIEHGNKIYRFVVDDYSHPDSDKINKKLEELMRKIQEAGFVSETESILHDVDEEVKTKMINKHSEKIALAYALLVTSADVPIVIVKNLRICRDCHNTAKFVSLIEKRVIIIRDSKRFHHFSDGLCSCGDYW >OIW11481 pep chromosome:LupAngTanjil_v1.0:LG05:23098685:23099467:-1 gene:TanjilG_26847 transcript:OIW11481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLWKTRYIVVGNEVKPDDPFAKSLLAAMQNIQTAISEAKLGKQIKVSTTIESGALGSSFPPSNGTFRSDYLEAYLGGVIKFLVNNDSPLLANVYPYFSYVANPKDISLDYALFKSKYVVVRDGSLGYTNLFYASVDAIYSALEKIGAKSLRIVVSETGWPSSGGTATTLDNAKTYNTNLVKHVKLGTPKRPNKPIETYVFATFDENEKTPEYEKFWGLFLPNKQAKYPINLN >OIW11750 pep chromosome:LupAngTanjil_v1.0:LG05:17582132:17587840:1 gene:TanjilG_10952 transcript:OIW11750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDITALKSFNFVVATSLHFRPKFSFFRPFTLLPFKPKPFPSLSSPRLYSFNAASSSGISKEGTLPPGAGEGLNESSSSRVLQVVLVSPQIPGNTGCIARTCAASAVGLHLVGPLGFKVDDTKLKRAGLDYWPFVVVKIHDCWADFQDYFRQQEGEKRLLAFTKRGTEIHSDFSYRKGDYLLFGSETSGLPPEALLDCKTEPFGGGTIRIPMVETYVRCLNQSVSVGIALYEASRQLNYHQLQIPSESCIDTDESIITEDIFA >OIW11846 pep chromosome:LupAngTanjil_v1.0:LG05:12695219:12698902:-1 gene:TanjilG_31596 transcript:OIW11846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSTVILRRRTTVVFFALLISSVNSFYLPGVAPRDFLTGDPLSVKVNKLSSTKTQLPYDYYFLKYCKPSKIVNNAENLGEVLRGDRIENSIYTFQMRKERSCTVACHVTLDAESAKNFKEKIDDEYRVNMILDNLPVAVLRQRLDGSQSTTYEHGFRVGFRGNYQGSKEEKYFINNHLSFRVMYHKDSETDSARIVGFEVTPNSINHEYKEWDDKNPQVTTCNKDTKNLMQGSTVPQEVDSNKDIVFTYDVSFKESEIKWASRWDTYLLMNDDQIHWFSIINSLMIVLFLSGMVAMIMMRTLYRDITNYNQLETQDEAQEETGWKLVHGDVFRPPINSNLLCVYVGTGVQLFAMALVTMIFALLGFLSPSNRGGLMTAMVLLWVFMGLFAGYSSARLYKMFKGTEWKRNTLKTAFMFPGILFAVFFVLNALIWGEQSSGAVPFGTMFALVCLWFGISVPLVFLGSYLGFKKPAIEDPVKTNKIPRQVPEQAWYMKPVFSILIGGILPFGAVFIELFFILTSIWLNQFYYIFGFLFIVFVILLITCAEITIVLCYFQLCSEDYNWWWRSYLTAGSSALYLFLYSIFYFFTKLEITKLVSGMLYFGYMIIVSYAFFVLTGTISFYACFWFVRKIYSSVKID >OIW12308 pep chromosome:LupAngTanjil_v1.0:LG05:3262226:3268864:-1 gene:TanjilG_06097 transcript:OIW12308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRTRKVNINTEETNKFVKGMPSYAPSLPSSSSMGVEGTTVPPSRVSEFGHLEQSIGFRLEDAINLSRNPVFNQMKSHSQGPGSNVQFGALGKIQSVATSDANLFATIAGTQALPLQRALPANLAYTSAGHRENWGESGMAVASPVTGSSTDDTDDKNQWSERDQLAVASADSSDRSKDKSNQKTLRRLAQNREAARKSRLKKKAYVQQLESSRLKLTQLEQELQKARQQGSFISGTGDPGHSMSGNGAMQFDAAYARWLEEHNRKINELMAAVNSHAGDIELRTIVDNVMTQFDEIFKLKGIAAKADVFHILSGMWKTPAERCFIWIGDFRSSELLKLLANQLEPLSEQQLMGIYNLQQSSQEAEDALSQGMDALQQSLAETLANGAPSQSGSSGNVANYMGQMAMAMGKLGTLEGFLHQAISSSSSCNKRLFFSAASPKLFVACPANRVKHFDAPFIKANQVMDMQIGPSLK >OIW11416 pep chromosome:LupAngTanjil_v1.0:LG05:23797664:23801189:1 gene:TanjilG_05187 transcript:OIW11416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACTQVGAAGSEPPIADRVSEFKDACWRFLRPHTIRGTALGSTALVARALIENPGLIKWSLLLKALSGLFALICGNGYIVGINQIYDISIDKVNKPYLPIAAGDLSVQSAWLLVTFFAAAGLLTVGLNFGPFIFSLYTLGLFLGTIYSVPPFRMKRFPVAAFLIIATVRGFLLNFGVYYATRAALGLAFEWSSPVVFITTFVTLFALVIAITKDLPDVEGDLKYQISTFATKLGVRNIAFLGSGILVMNYVFSILAAIYMPQAMVTDTSSSNFCIKLDFPGSNFRVLPIYMESVLC >OIW12378 pep chromosome:LupAngTanjil_v1.0:LG05:270633:270926:-1 gene:TanjilG_04127 transcript:OIW12378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNKLSNPITIHCKDKKHDDGFNTLQTGENHRFKFIPDPFGKSSLWFCSFNWTGAFHYFDIYVQKRDDCVDSLCTWDINANGPCRTDAQRRCYPWNS >OIW11570 pep chromosome:LupAngTanjil_v1.0:LG05:21949506:21951734:1 gene:TanjilG_26936 transcript:OIW11570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDETIMGQEDQTQNHSNSGSGGDDGGGGGGGGGRSSRKTKQKKVPQRGLGVAQLEKIILEEQQKINATSILPSPFSASATKPSYVPVPIQNFHPSPPDFMTHLSLQHMDSKVSSTVQLGNSGSGGSEGGSWPNVSVHSRHGNVPKLSFNEFDFEKEGFGVNPGLPFLPYLPYESNSNNSNWPRPNLMQRTTQYPQSASTMVNVSSGTSSTIPVPHFSIEPPSNQNYSGNGVPMRPPEKMIGMKRPYPFSMDLPPVPTFNYKMPTSSDMRTNATISCGNGNRFNFDAGNSTFREVQPCSASNSELRSKKSNKESENFNGNFLTLAPPSPSPCPPSKLKSSSTSLAFQNHHRPDFESPSYQGNVEDEIPLPQVQSRFNQQEQPLYSFLPPAAKEAQIGQPTARVQNCNEIIDLNLKL >OIW12469 pep chromosome:LupAngTanjil_v1.0:LG05:1329476:1330898:1 gene:TanjilG_04218 transcript:OIW12469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFKAFLTDNGVNLLEKRFLPALDKIGKTCHLYLTRDNAIFLHNLLNGDGVQSIAQFRIQALFDDYRISSQNDDRIAFTIDVSLLLRALRSTVSISSEYGADVAAPDSDCSNRLQIKLVKKLPPNCTVAMPFLTVETRGYKSAVIQDIPISKPLSRAQVVELQSALEMAQDLPQTLVQVPDLIQLQNFVDRMKNVGDVLNVFISKYGDLRVDVSTTLISLGAEFRKLLVIGEQANEPAEDQNLSARSRSSRSISRGDGQTVQVSVKHFSKSLQCHLSKPDCAFYGIAPQGSCLTVIFQFFIPGSRQSDKSISLHCRLPVLDPGSS >OIW11756 pep chromosome:LupAngTanjil_v1.0:LG05:17699201:17702354:1 gene:TanjilG_10958 transcript:OIW11756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPAKIDWKRLEWSFVEDQLYEHINAPKFVDFLSPHHNVAVDDDEAWFCRPDCNHPKTAEDFLRSITPTKAFSPAYVSENLPLGDKNRRDVKIKKRVGALSSASPRDCEFGFKLDTENQNPNMFTTPINHFKSKKLAMKSSEEKTLNDPDPFQDNKAPSLRSTLSAKNLFPGRPILNQITEFCNELKKLATRAKERENAEDLRPHLESGKVVEKTPSPVKALVRKPLLQVGKAERPEGLCVKGKQLTKKVEEAENMPISLDLKNLQYMRDENLQPIRTNPPSPQCFSATLGPNKITPSKASKSRLMERGVLGEVEQNKEIAKVSLADNNNRSMAIVDGRETKALDMFWFFKPCTLSG >OIW11988 pep chromosome:LupAngTanjil_v1.0:LG05:10812607:10814289:-1 gene:TanjilG_02195 transcript:OIW11988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLESVVFLEECWELVFRFLGQGHHLESVSMVCKQFLSITNRLQFSLAIFDSTTLFLPQLFLRFSRLKTLDLSHFSGDLQGLLHQIIQSGLDLDLLNLSNQRTLPLDGLRELGSKMINLKVFICSNIGSLHDSHLVVIAYCFPLLQELDISFPLNSQASDFGIFRLSSLLENLRKINISGNYLITDKSLISLCQNCLSLEEISFFTCFKVTQSGIAAAIRLRPSLTSVAFNIEKKRLHGPGLTLIAINSDLIDSLRSLKRLTAVDLSNSFISDELLFSLADGCGIILRNLVLLDCCNCSFAGISYLLSKCRSVRLLDLRKVDFLTDECIGKLSIFLHSLTSINLSGCCQLTNSTFFILTQKCPMLNEIKMERTYLGVEVQEEYYSLMDFSVNNHQVKNVYLGDNVLLDDASLTKFASICPNLQLLDLNACEGISGECIVEVLNKCCEIRHLNLSYTEVKLFEIEFQVSKLEMLNLSGSRINDEALSVISRRCCGLVVLEIQSCSDVTDKGVREVIENCIALRELNLKNCSLVCDDFVAWSEFSRPSLRKIITPSGFAVNF >OIW11237 pep chromosome:LupAngTanjil_v1.0:LG05:26062733:26065629:1 gene:TanjilG_28328 transcript:OIW11237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQGTPGGLNRKGLSGDRKPDANDKKDKKFEPAAPPARVGRKQRKQKGPEAAARLPTVTPLSKCKLRLLKLERIKDYLLMEEEFVANQERLKPQEEKAEEDRSKVDDLRGSPMSVGNLEELIDENHAIVSSSVGPEYYVGILSFVDKDQLEPGCAILMHNKVLSVVGLLQDDVDPMVSVMKVEKAPLESYADIGGLDAQIQEIKEAVELPLTHPELYEDIGIKPPKGVILYGEPGTGKTLLAKAVANSTSATFLRVVGSELIQKYLGDGPKLVRELFRVADDLSPSIVFIDEIDAVGTKRYDAHSGGEREIQRTMLELLNQLDGFDSRGDVKVILATNKIESLDPALLRPGRIDRKIEFPLPDIKTRRRIFQIHTSRMTLADDVNLEEFVMTKDEFSGADIKAICTEAGLLALRERRMKVTHPDFKKAKDKVMFKKKEGVPEGLYM >OIW12200 pep chromosome:LupAngTanjil_v1.0:LG05:5296152:5298312:-1 gene:TanjilG_28608 transcript:OIW12200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSETIPMLPIIDFTNLKSEGNINEWEAVKSQVHKAVVEYGCFEAKFDKIPLDLCKDIFASLQELFDLPLQTKVLNVSKKPYHGYVGQYPIVPLYESIGIDDANVYDKVSELDQIIRKMILESLGVEKYLEEHMNSSKYLLRVMKYKGPQTSETKLGLNSHTDKNIVTILHQNQVEGLEVLTKDGKWISYKPSPNSFVAMIGDSLHAWSNGRLHSPFHRVMMSGNEARYCIGLFSIPKEGYIIKAPMEMVDEEHPQLFKPYDHFDFLNYYYTKEGQADQFALTTFCGVN >OIW11463 pep chromosome:LupAngTanjil_v1.0:LG05:23340818:23341487:-1 gene:TanjilG_26829 transcript:OIW11463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPATYHPTHNKTLPPPDQVITTEAKNILLRHIYQRAEDKLKPKRAASDNLLPEHGCKLPRVST >OIW11279 pep chromosome:LupAngTanjil_v1.0:LG05:26298141:26305429:-1 gene:TanjilG_28370 transcript:OIW11279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIPSHGGAGALRSRSSQSTSPSHSASASATSSVHKRKLPSSSEGHAPPFPPSSFDGALTSNDDLQSISARGNDSASEDNSEEDAVVDDDEDEFDHGHDSSMRTFTTARLNNSSNSNNPSSASKNSKLKSDNNNNITNFSVKIENFDGAVAKDGVGGAEGSAAGILVKEDAAIIFTDNLQTSGAYTAREESLKKEEEAGRLKFVCHSNDGVDDHMVWLIGLKNIFSRQLPNMPKEYIVRLVMDRSHKSVMVIRHNHVVGGITYRPYATQKFGEIAFCAITADEQVKGYGTRLMNHLKQFARNLDGLTHFLTYADNNAVGYFIKQGFTKEIHLDKDRWQGYIKDYDGGILMECQIDPKLPYTDLSTMIRRQRQAIDEKIRELSNCHIVYPGIDFQKKEAGIPKKIIKDIPGLREAGWTSDQWGHSRFRTSSASTDSAANPKHLTAFMRSLLKATLISKSLFMAIHTSMHDHADAWPFKEPVDARDVPDYYDIIKDPMDLKTMSKRVESEQYYVTFEMFVADVRRMFSNARTYNSPETIYYKCSTRHVFSTPVCLFHFLTLLVRSPLSKQSDIGTTLWYQNPIGTLH >OIW11520 pep chromosome:LupAngTanjil_v1.0:LG05:22594112:22596193:1 gene:TanjilG_26886 transcript:OIW11520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTDSSTGSQQQPNLPPGFRFHPTDEELVVHYLKKKAASVPLPVHIIADVDLYKFDPWELPAKAAFGEQEWYFFSPRDRKYPNGARPNRAATSGYWKATGTDKPVLTSGGTQKVGVKKALVFYGGKPPRGIKTNWIMHEYRLADNKPNNKPLGCDLGNKKNSLRLDDWVLCRIYKKNNTHRSPLEHDREDSMDEMIERIPPSINVGQMNARFHLSKMSTSYSNSLLENDQNLYEEMVLSNGLGSSNSKAHLHFMPNITASSNPTNNSASKRTLSSLYWNGDQDVAVAGTSSTNKRFSLESVEHDGSVMRTEENNNNNNHNNNGTSSSFVTMLNQLPQTPSLHQQQTMLGSINDGLLRTTPYQIAGMNWYA >OIW12302 pep chromosome:LupAngTanjil_v1.0:LG05:3174379:3182078:-1 gene:TanjilG_06091 transcript:OIW12302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAEVNLTDDLSSFVKDEPSGGHGGEKGISELLDSKDQVSSDRKIPLSPQWLYSKPVEGKANTHPAEVNSTDPILKDSWRLDGSQDKKDWRRVAPDIDINRHWREEERETSLLGRRDRRKEDRRSENTTTSDSKALSSDRWQDNHGSGHDSRRENKWSLRWGPEDKEKDSRIDKKKDLEKEDSHVEKQSSGISNRASSDRDTDARDKWRPRYRMEAQSGGVATHRGAPGFGLEKGHTEGSSVRFSSGRGRANINGNLQPLALLDRDKAMLGKSCGINSYCYPRGKLLDIYRQQKVDPTFEIMSAGMEHMSPITQMSSVEPLSFVAPAAEEEAVLGDIWKGRIISSEISGHSLRGKDGGPNDDVSGSDVTLSEGKEPLVGMGGKTISGNDILNDSDQIFIRTSLHAGSLLGNIVKEIAKFQECKLTHILTGGIYGRDESSGISIREGSSHGNKAAESGSSSQKHANWDGVQSTAVSEISSNSPDDSRSPYEFSLLQQTPSINHRELKIIEETYPSEIANPHEELSLCYLDPQGVIQGPFLGIDIILWFEQGFFGLDLPVRLSDAPEGSPFHELGDVMAHMKLRSTSASGSNQITQSEPSDATEKNLKVNVQCFDYDESAVIDSQPKSQLPNQSYHSEMKFSNDQCFNDIVAQDEDIALSKLVVSSNGNPLTSPADVSASHSHPTGKPVPNDASGTGTHDSEVNKLHPFGLLMSELSDSSHLRRAQSSNISSRLDDNGHFLDPLIDRDAPFADQSTLGSMVNQPSYGETWPDEYGMNRHFIPHSRVDSLDNQFLSHMGQNFNNFDMAEHLMLQKEMLQQQGNLSNSFPAHHSGSDMESFPGFSPQNYNVQQMRIQNSGLDRERLLEFQIQLYQLEQERQLEKQRQHEQQRQLELQRQLELQQQQDMHHQQLLRQQLKLQQQSQVQKLILEQYMHQQVSDPSYGRSKPDLNRDNLFDQIQLRSYLHDFQQNTHSLRPLDPSMEQIIHANIGLNAVQGRQADLSDLLLQARHGNIFPSEQELHFQQDPSAAQHISLALRQQLGLGGERHFSRSQSINETGQLARNPATLQMANSAGFNLLDIQNQQQRLLPQEKQLNYLRRNFIEPNSMMFDRPTPVSAGTPMNFDSVNTSVQGRELQEHLRYMHSTDKLSSISSNRSQVSDELLAHHQDAFNSSLSGNNGHFENRWADPRAQLHLEAESQRKEFMGTITLAGLNMSASARAREESSAQGVMDRLHQNLGIQSTQPLNVDKWHLLSSRSQDVPWQISEADTLIHPFEFPSDQQVDLNNQFVERTQSTNSGALVQDPFVSMRATEQFNNFNERMESLLSASEDTVHPSYRNPLLINKSTVEKDLLELDMNKGQRHKFTGTQNKSFPGFSDLSEQVDITMNPMDLPGITHSRHSSLSSAGSHGLDTVLNNSSVDKVSSDRVPLSTKGSTNALHKRLPVSRVLSSDVLSDQLSVHHANQNYLINPASGEGRREASRNPSMSSMTDAQASRNKEVHFRRTSSSSEGSVSERSFIDMLKKPVHPEADAGIGTESSNTGTQAVRSGKKKGKKGKQIDPSLLGFKVSSNRIMMGEIQRPDD >OIW11628 pep chromosome:LupAngTanjil_v1.0:LG05:21299712:21303551:-1 gene:TanjilG_31907 transcript:OIW11628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEEDKPTAVLHHQHHHHQPPPPSYPQPYPPPTPQYGTFQGVSNYPPPPPDLHPAIGFPQPAPPHGFTNQSAPPPPYYTHGYQTVPGYAVAEGRPVSEHRLPLCGLGCGWFLFIFGFLLAGIPWYVGAILMLCSRVDYREKPGYVACIVAAVVYTIAIIFGVTKGGDD >OIW11482 pep chromosome:LupAngTanjil_v1.0:LG05:23086547:23092641:1 gene:TanjilG_26848 transcript:OIW11482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLHRPSSNSSSSYSRIILLLTILPITLASFAFILQWCGGVTDDSITRGSLDHSLFPGISLSPSKQSHSNCSSPLFPQSQSLSFPFIHHSKFDHSSNLKPKVCITTSTAGGLEQILPWIFYHKVIGVSTFLLFVEGKAASADVSRVLESIPGVKVIYRTRELEEQQAKSRVWNETWLEDFFYKPCNHELFVKQSLNMEMGIVMARDSGADWIIHLDTDELIHPEGSQDYSLRQLLSDVPGDVDMVIFPNYESTVERDDIKEPFSEVSMFKKNYDHIPKEVYYRYYDEATRSNPNYFLTYGNGKSAARIQDDLRPNGAHRWHNYMKEPNEVTLEEAAVLHFTYTKFSDLTSRRDRCGCKPTKEDVKRCFMLDFDRSAFIIASTATEEEMRQCSVIAKAAETTLSKDNFLNSVESSNSTSNSSSRLVSSRKFDAGGLSEATARRVLEVIDSSLPSAIPPLSPPSPDHSDLITSLACN >OIW11310 pep chromosome:LupAngTanjil_v1.0:LG05:24758855:24765344:1 gene:TanjilG_20459 transcript:OIW11310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGTVTIVDNFDGGALSSAERTQSLDANCNIDHLSILTNGEADHSSEIVGFRVGELLLSNGESYSGSLLGNIPEGQGTYVWSDGGVYEGEWRRGERNGIGKMRWHSGAMYEGEFSGGYIHGTGTYIGSDKTYKGRWRLNLKHGLGYQVYRNGDNFEGSWIQGTPEGPGKYTWANGNVYLGNMRGGTMSGKGTLTWITGDSFEGSWLNGTMHGLGVYTWSDGGCYVGTWTAGLKDGKGTFYPRGNRLPTVQEIYLSALRKRGLLPDLRKQKQVGDVIIGQSQGPPQSNRRNVSLERRWSLEVSIEKVIGHDSAFGLTEPGPENGDKEVNSKIPILEREYMQGVLISELVLDNMFSSTSRTARRLQRKLKEIKRPGEAIIKGHRSYDLMLSLQLGIRYTVGKITPVQGREVRTSDFGAKASFWMDFPKEGSQLTPTHQSEDFKWKDYCPMVFRNLRDMFKIDAADYMMSICGNDALRELSSPGKSGSVFFLSQDDRFMIKTLRRSEVKVLLRMLPDYHHHVKSYENTLITKFFGLHRIKPSSGQKFRFVVMGNMFCTELRIHRRFDLKGSSLGRSSDKIEIDENTTLKDLDLNYSFYLEPSWRESLLKQIEIDSKFLEAQHIMDYSLLLGVHYRAPQHLRPLTSYNHRINGDGLPILAEEDPLEDEVLNYPQGLVLVPRGGDDDNSVVLGPHVRGSRLRASSAGDEEVDLLLPGTARLQIQLGVNMPSRAELIPGKQEKEMLHEVYDVVLYLGIIDILQDYNMTKRIEHAYKSLQFDSLSISVVDPTFYSHRFLEFIQKVFPPNATSG >OIW12284 pep chromosome:LupAngTanjil_v1.0:LG05:2851967:2861532:-1 gene:TanjilG_06073 transcript:OIW12284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASRKLQGEIDRVLKKVQEGVEVFDSIWNKVYDTDNANQKEKFEADLKKEIKKLQRYRDQIKTWIQSSEIKDKKISASYEQALVDARKLIEKEMERFKICEKEMKTKAFSKEGLVQQPKTDPKEKAKAETRDWLNNVVGELESQIDNFEAELEGLSVKKGKSRPPRLTHLETSITRHKAHIKQCELILRLLDNDELSPEQVNDVKDFLDDYIERNQDDFEEFSDIEELYSSLPLDKVESLEDLVTIPPGLAKVAPVLSLKPSLAASASPSASASQTSEQADDTASQDSNSGIVATTPPYKNSLVSPAASTPAGNLAAPVSMNVPAHNFSTAPAGASVMLGSNSVRNVMEITNVTNSSSVSHTTSMKEEEINSFPGQGPSPSFSDAALVGSFSRNSVSNQTTANIPLASGNMVSTNGALGSVPSASEITKRNVLGADDRLGSNRIEQPLVSPISSRMVMPQVAKGNDGTASVDSSNVNEAAAVPGRVFSPSAVPGMQWRPGSPLQNQNDVGQLRGRTEITPDQKEKYLQKLQQVQQQGHSALLNMHSLAGGNHKQFSAQQQNSLLQQFNSQGSSVSSQPGLGLGVQSPSFHGISSTSLQLPPNSPHSAPRQQPLTSVVSSDADAGNSRVEELQQQQIFTDDSGTESTAVIGKNFINEDDLKSPYAVDSPSGVSASLPEPGQTSRVIDLSPGQPLQTNQRGNLGVIGRSFSELGLGAVNDNFSGSIANSGAIRDQLYNLQMLDAATTHSKFPQPKDSERPRAYSPRHATITPPSYPQVQAPIVNNPAFWERVSLEPFCTDTLFFAFYYQQNTYQQYLAAKELKKQSWRYHRKYNTWFQRHEEPKVATDEYEQGTYVYFDFHIANDDMQHGWCQRIKTEFTFEYNYLEDELFA >OIW11841 pep chromosome:LupAngTanjil_v1.0:LG05:13015282:13015861:-1 gene:TanjilG_31591 transcript:OIW11841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPSPAVVTVIWRSSIHGSLKHWGLGMEVWLPFSGGFGEDGVTRFIKAKDKIECVISFEGDSIKVAIWNRHKTYSVTIFTRFSFNFTA >OIW11763 pep chromosome:LupAngTanjil_v1.0:LG05:17916150:17918399:1 gene:TanjilG_10965 transcript:OIW11763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRVAFPKYVLQRCRAIHHTACKQFSDHHQTQLQNAGPVASLLKSRAVIRFRGPDTLKFLQGLLTNDVRRFGEPIGEKTANFPTPSIPAAIVPPIYTALLTPQGRFLYDLFLYKPPTFNTKHDNVGTAPGSGSHDEPFDLFADVDASVLDELIQTFKNNNLHLRLSLRAMVRLQLSDLEFMGSNIGNNLFACKGKYCRYRLRSKVEIDSVTNDFSCWQRYGDGLLQKPSHVEKPVSHGSNIEWQWFQDPRLFSLGFRGIFPSNIIPPLVEADKFTDEQNYLLWRIEKGVAEGSTEIPKGESVALEYNLAGLNAISFDKGCYVGQELIARTHHRGVIRKRIVPLRFLGNDGKELGNKVIPGSEVMNTISGKKVGTVTATLGCRGLGLLRLEEALKGSSALSIQGHGDVKVVASRPDWWPSEWLQDNQQNTASD >OIW12332 pep chromosome:LupAngTanjil_v1.0:LG05:1818660:1821144:1 gene:TanjilG_32448 transcript:OIW12332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLDNMLVSTWSNVHSSVPPSYVQPIENRPGKVISSSCKAIPVIDFGAHDHDDIAKQIFKASEEYGFFQVINHGVPTDLIDEAMNIFKEFHGMPPKEKVNECSRDPNGRCKLYTSSANYKSDAIQSWKDTLTHPCPPYGENMDYWPHKPSKYREVVGKYTIELRKLGLKILEVLSEGLGLTPSYFNEGLSENPALLVHHYPPCPDPSLTLGAAKHRDPSIITILLQQENVLGLQVHKDGEWIEVEPIPNAFVVNIGLLLQVYIFIIDPFIFHFCIVLISAILN >OIW11236 pep chromosome:LupAngTanjil_v1.0:LG05:26059956:26060255:1 gene:TanjilG_28327 transcript:OIW11236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKWCIMVLLLAMALSTSARNVPSETGLKDQKNVVTIGGIGGYSGIGDNGLPFGGGGAGIGGGFGGGGPGFGGLGGAGLGGGVGTGTGIGGGSGVLPFP >OIW11345 pep chromosome:LupAngTanjil_v1.0:LG05:24434666:24440261:-1 gene:TanjilG_19601 transcript:OIW11345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSGLIKSNNGQQQRLGITEPISLGGPTDYDVIKTRELEKYLQDAGLYENQEEAVSREEILGRLDQIVKIWVKTISRAKGLNEQLVQEANAKIFTFGSYRLGVHGPGADIDTLCVGPRHASRDEDFFGELHRMLSEMPEVTELHPVPDAHVPVMKFKFNGVSIDLLYAKLALWGVPEDLDISQESILQNVDEQTVRSLNGCRVTDQILRLVPNIQNFRTTLRCMRLWAKCRGVYSNVAGFLGGINWALLVARICQLFPNALPNMLVSRFFRVYTQWRWPNPVLLCAIEEGSLGLQIWDPRRNPKDRFHLMPIITPAYPCMNSSYNVSSSTLRIMTEEFQRGNEICEAMEVSKADWDTLFEPYPFFEYYKNYLQIDISAENADDLRKWKGWVESRLRQLTLKIERHTYGMLQCHPHPGDFSDKSKPFHCSYFMGLQRKQGVPVNEGEQFDIRLTVEEFKQSVNMYTLWKPGMLIHVSHVKRRNIPNFVFAGGIRPSRPTKVTWDSKRSSELKISGNAQAQNSEEVKAVVFEVDGERKRKRAEDSMDNLRNCKSFASFPPSMGEVHEVRNPISTASSCSMKCDDSEVNSISEQNSEKPGLKSLGGSPSKDTETNGSVGSKLQVNPILATADTFSCKEAEKVATEKIMLGPNEAHQAFLGESEELEDDLEYRNKKGFGGNMKKSNLESSNSMASASEETVISNKETTCSTRLTLNGGLDELEPAELAPPWLTAAPVPQRKPLIRLNFTSLGKAADKSS >OIW12235 pep chromosome:LupAngTanjil_v1.0:LG05:2261341:2266417:1 gene:TanjilG_06024 transcript:OIW12235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVKKKTEKNKKVMKVLEEEEEEEAELIDSEEDSVIDSATTTSIPESKPNIESKKSKLNKKRLLKEAEKADKRGVCYLSRIPPHMDHVNLRQILSQYADIQRIFLAPKDSSAQLPSKPSRRSQNTAFTEGWVEFTNKSVAKRVANMLNGEQMGGKKRSSFHYDLWNIKYLSKFKWDDLTDELAFKKAVRDQKLALELSAARRERDFYMSRVDQSRALNAIEERLKKKQKVDQNTVQMKKVVRHFPQTKPIAANAKESKPAVSDDLLDAVFGGS >OIW12113 pep chromosome:LupAngTanjil_v1.0:LG05:7226488:7230080:-1 gene:TanjilG_31220 transcript:OIW12113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICEQAAPAVAARSRGCSVQHFPTSVLLQEQRDEYRPALHMYKEDKISQEILNMRQMEMASIHEENNAGNVDQLVQDFRRQLHLWPSLQNLLTSSQVGEIAAASSTLENVTVESETPADGVQCNTVPLAKKSSLVSKQAASVAEDLKSIKADDDSHPFGLASTSLVDSSVGSKRTVRSARLLERRYKQRKAPKSKVKDEESYIARKKDVLVKEEKKIHEGFEKDDPLRLFLWGPETKKLLTFEEESQLVVQIQELMRLEEVKTRLQSQFGQEPTIAKWAEGVGLSTRVLQTKLHFGNRSREKLIQANLRLVVHIAKSYQGRGLSLMDLVQEGSMGLIKSVEKFKPKAGGRFGSYSYLWIRQAIRKAIFRHSRTIRLPEKVYRLLGKVMEAKKLYIQEGNLNPTKEELARRVGISEEKIGELLFVARYPISMQQTVWADQETTFQEITADASIEAPDTSVEKQLMRRHVLNALRILRPNERKIIRLRFGMKDGEPKTLSEVAEIFDLSKERVRQLETRALYKLKKSLVHQGLDAYTDLLV >OIW11294 pep chromosome:LupAngTanjil_v1.0:LG05:24890676:24897839:1 gene:TanjilG_20443 transcript:OIW11294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDTLVNISVIIVPWVQCADKGDSSVSSFYSEEIYKELEGLTVTLTRDIKAELGFCIKDAKQDWEEAFNFRGKLEFVDNCVKQKGDFRDRICTSAEIRYYFRSLFEQGASTSSYVKPNKNCNLTSWVSGCEPGWSCSVSQNVDLKKDTKEIPIRIKDCQPCCDGFFCPQGLTCMITCPLGSYCPLAKLNKTTGVCDPYNYQIPPGETNHTCGSADVWSGIMNSSDIFCSPGSYCPTAIRKVPCSSGYYCRTGSTHPNPCSRLSTCNPNTSTQNMHAYGALFIIALSTLLIFIYNCSDQVLATRERRQAKSREAAARHARETAQARERWKIAKGVAKKKTLDSLSRTFSRRKSTKQGEPTKGFGLTPPDAASSSEPSGSKGNKKEPSNLSKMMNSLENDPNSQEGFDLQIGDKNVKKNMPKGKQLHTHSQILRYAYGQIEKEKAQQENNKNLTFSGVISMATEGEVRTRPVIEIACKDLTLTLKGKNKCILRCVNGKIMPGRVSAVMGPSGAGKTTFLSAIAGKARGCTMTGQILVNGKQESIHSYKKITGFVPQDDIVHGNLTVEENLRFSARCRLPDDMPKPDKVLIVERVIESLGLQNIRDSLVGTVEKRGISGGQRKRVNVGLEMVMEPSLLILDEPTTGLDSASSSLLLKALRREALEGVNICMVLHQPSYTLFRMFDDIVFLAKGGLTAYHGPVKKVEEYFAGIGIPVPDRVNPPDHFIDILEGLVKPSSGVTHEQLPVRWMLHNGYPVPHDMLHFADQIAASSSGSQVPEATKANDEPVDQSFAKEFWADMKSNVQIQRDHIEAIFLRTKDLSNRITPGVSRQYRYFVGRIGKQQLREAKLIAVDYLLLLVAGAILGTLTKVNDETFGSLGYTYTIIAVSLLCKIAALRSFSLDKLHYWRESAAGISSLAHFLAKDTIDLFNTIVKPLVYLSMFYFFSNPRSSFGSNYAVLLCLVYCVTGMAYALAIYFEPGPAQLVWIISMNSVPKIVVKLVLTPLIVQFVTLSVPKIVIDLRSINL >OIW12420 pep chromosome:LupAngTanjil_v1.0:LG05:758237:760171:1 gene:TanjilG_04169 transcript:OIW12420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSSQFLILSHFTDISLHHPPKPPPPPPFFHHRRRTSSAISCSLISGLGGFPDDVVSTRNSTFDRGFIVIAKMLKRIEPLDNSVITEGVSDSAKDSMKQTISTMFGLLPSQQFSVTVTVSKKPLHRLLVSSIVTGYTLWNAEYRMSLTRNFDISPSADDRSNCETHSEVSEVKGAGEVWEIENDSEGLDSSCPRVFGDLPPQALNYIQQLQSELTNVKEEKL >OIW11867 pep chromosome:LupAngTanjil_v1.0:LG05:11947304:11947825:-1 gene:TanjilG_00143 transcript:OIW11867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVLRSGVRRGRARANNQNRNQNQNQNRNQNSPVVEVEAIATRTRRRRAAAAAEAVVPENNNKKKKQQQQKKLVVNEKVTVPEEEEGGRAGVGGDGRGGGGEVGGGGRAEKEGGEEVKEKKMDGLDSGGRSNDKANAGEDEGNPTPLPEKVLYGFTKFFVLSSICVALMCLVG >OIW11559 pep chromosome:LupAngTanjil_v1.0:LG05:22108328:22113425:1 gene:TanjilG_26925 transcript:OIW11559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIFRKITTPSNYHHHQLRSYVSTCRAVVLPSFGGADKLQLRSDVPVPPLKPNHVLVRTRAVSVNPLDTRMRSGYGRSIFEPLLPLILGRDVSGEVAAVGESVRSVIVGQEVFGALHPTAVRGTYTDYAILSDDEVTPKPTSLSHVEASAIPFAALTAWRALKSTARISEGQRILIVGGGGAVGFAAIQIAVAAGCNVATTCGSQSVDRILAVGAEQAVDYVAEDVELAIKGKFDAVLDTIGVPETERMGINFLKRGGHYMTLQGEAASLTDRFGLAIGLPAATTFLLKRQIQYRYSHGIEYSWTFMRADIEGLIEIRKLCEAGKMKIPVDKTFPITQVKEAHEAKDNKLIPGKVVLELD >OIW11962 pep chromosome:LupAngTanjil_v1.0:LG05:10432191:10433537:1 gene:TanjilG_02169 transcript:OIW11962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPKQEHQPGPPSSVIFNKYEMGRVLGQGNFAKVYYGRNLVTNESVAIKVIKKEKLKKERLVKQIKREVSVMRLVKHPNIVELKEVMATKTKIFLVMEYVKGGELFTKVNKGKLKEDVARKYFQQLISAVDFCHSRGVTHRDLKPENLLLDENEELKVSDFGLSALPEQKRSDGMLLTPCGTPAYVAPEVLKKKGYDGSKADIWSCSVILYALVCGYLPFEGENVMRIYRKSFRAEYELPDWVSNEAKNLISKLLVANPEKRFSIIDIMNDPWFQIGFIRPIAFSMKESYFEGDIDFNDDGVEVNNEVEKPARPFYNAFEIISSLSHGFDLRSLFETRKRSPSMFISKFSALAVLAKLEAVAKKLNFRVIGKKEFMVRMQGNREGRKGKLAMTVEVFEVAPEVAVVEFNMSSGDTFEYIKFCEEEVRPSLKDIVWTWQGGDNNNDCQQ >OIW11210 pep chromosome:LupAngTanjil_v1.0:LG05:25897180:25899426:-1 gene:TanjilG_28301 transcript:OIW11210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDLNLNNTLHFESSLSFNSSSSILNPTDTDDSSSFDNTTAFPYNFPILNSVNHSHIPTIQLFPPAGDVQQLPEPPCSVIASQRIITSQTQQKQVVKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVDADINFNVSDYDEDIKQMGNFTKEEFVHILRRQSTGFSRGSSKYRGVTLHKCGRWEARMGQFLGKKYIYLGLFDSELDAARAYDMAAIKCNGREAVTHLKEEASAIKAAGKAWYQTMVSDSDYAEFDNFSKWLGVSQ >OIW12408 pep chromosome:LupAngTanjil_v1.0:LG05:612877:613344:-1 gene:TanjilG_04157 transcript:OIW12408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALLENRNVSLLMSLTIFVTLQMMIGLVNGGIFTETKITITNKMSQVLTIHCRDKNNDDGYHVLQPSAGHRFKFLANPFTKKTLWFCSFQWTGQSHMFDIYVQKRDKCEDHSCSWLITEHGPCHVIHDYNNPECVPWNKEATLEEALKEHNTIG >OIW11457 pep chromosome:LupAngTanjil_v1.0:LG05:23389884:23390194:-1 gene:TanjilG_26823 transcript:OIW11457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGKYIVSAIVASFGVAYVCDRIISDHKIFGGTTPGTVSNKDWWEETDKKFQSWPRTGGPPVVMNPISRQNFIVKTRSE >OIW12003 pep chromosome:LupAngTanjil_v1.0:LG05:10001350:10005047:1 gene:TanjilG_16114 transcript:OIW12003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQNISTTDKVEDNGFDSIDDNDEQNKLNQNGNNNMNKLNLKEEEEVSVERVFQHELVPSWRNQLTVRAFVVSFFLSVLFIVIVMKLNLTTGIIPSLNVSAGLLGFFFVKTWTKFLEKSNMLKLPFTRQENSVIQTCVVASSGIAFSGGFGSYLFGMSESIAQLSSDSNDFKDLKIGWIIAFLFVVSFRGLFSVVLLSKTTVIDFKLTYPTGTATAHLINSFHTPQGAKLAKKQVKVLGKFFSLSFLWGFFRWFYTATDSCGFQAFPSLGLKAYENRFYFDFSAIYIGVGMICPYIINISLLVGGILSWGLMWPLIENKEGHWYKKDLGHSNLHDIQGYRVFIAIAMILGDGLYNFCKLLTHTFFALYNQSRTKRRESVLPVADQDYTSPPPQLSYDDQRRTQLFLKDQIPKWVAIGGYVAIAAISTVTLPHIFEELKWYYILVIYLISPTLAFCNAYGCGLTDWSLASTYGKLTIFTIGAWAGASHGGVLASLAACGVMMNIVSTASDLMQDFKTGYLTLASPRAMFVSQVIGTAMGCVISPCVFWIFYKAFPDLGTTASEFPAPYAIVYRNMAILGVEGFSNLPKNCLLLCYILFCAAIAINLIKDLLGKRGRFIPLPMAMAIPFYLGPYFAIDMCVGSLILFVWEKINKAKADAFGPVVASGLICGDGIWTLPASVLALAGVKPPICMKFLSRATNVRVDAFLGN >OIW12468 pep chromosome:LupAngTanjil_v1.0:LG05:1321455:1325664:-1 gene:TanjilG_04217 transcript:OIW12468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCINSKNAVAKVYSPPVVADHHSVAGTIVESGHHHHGNSGSRTHSEEKKLKKNNSKKSNGSFSFRIGFSHHRYVDAEQNAAGWPSWLTASAAEAIQGWIPLKADSFQKLEKIGQGTYSSVFQAREVESGRMFALKKVRFDNLQPESIRFMAREITILRRLDHPNIMKLEGIITSRLSNSIYLVFEYMEHDLAGLVSRSDITFTDSQIKCYMRQLLSGIEHCHVRGIMHRDIKVSNILVNNEGVLKIGDFGLANTISPNNKHPLTSRVVTLWYRPPELLMGSTSYGVSVDLWSVGCVFAELYLGKPILKGRTEVEQLHKIFKLCGTPSDEYWKKFKLPHATMFKPQTTYESSIRERCEEFPETAVSLLETFLSIDPYKRGTASSALMSEYFNSMPYACSPSNLPKYSPSKEMDAKNRGDESRKKTGGKTREIVTSRRQQRRSNKSFQEPKDFNITASKEDMQNISLNASTDKDDGKGHLTRGKGGNMHKEHSMYTVSEASRNMNVAANSKGHSVHSGSVHAPGSSGFTWAKRRKPDASSALSDGERSKISALDPNFAKGTYDLTRQAILERKYNDNHAHRDATSRHVLQKHQSQHGQPKVQMDFDPSELLNPQVYKEYGANWNENGLRHGKSRLGRD >OIW11608 pep chromosome:LupAngTanjil_v1.0:LG05:21414490:21423717:-1 gene:TanjilG_15302 transcript:OIW11608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMFAAYSIYTVAKDAAGVAGNIFAFGLFVSPMYSANGGRSIGEWVELVGEAFSTVFPLWVTVGCVLGLMRPSYFKWVTPQLNIVGLTIVMVCMGMTLTLDDLCGALAMPKEVLSGFVLQYSVMPLSGYFVSKLLNLPSHYAAGLILVGCCPGGTSSNIVTYLARGNVALSVIMTAASTLSAVVMTPFLTAKLAGKYVAVDAAGLMMSTLQVVLLPVLAGSFLNQYFQPLVKFVSPIMPHMAVVTTAILGGNAVAKSSSGILMSGGQVILASFLLHASGFFFGYALARMLGLDVSSSRTISIEVGMQNAVLGIVLATQHFRDPLTVVPIAVSSVCHSIFGSILAGIWRCSVPAEIKDSKI >OIW11656 pep chromosome:LupAngTanjil_v1.0:LG05:20598404:20603131:1 gene:TanjilG_24350 transcript:OIW11656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMYDRDAWGGTLEINTATNETQQSWLLSHGHSKKKKRYVNLGCIMVSRNLFLWTISTIAIATIIVAIITLILKIPLHHHKPPTPDNYTVVLHNALMFFNAQRSGELPKSNNVSWRGNSCLQDGKPDEDLVGGYYDAGDAVKFNFPQSFAITMLSWSVIEYSGKYEAVGELDHVKDVIKWGTDYLLKTFNSSADTISTIAAQVGTEDTSGKNKTPNDHNCWIRPEDIDYPRPVTTCSSCSDLAAEMAAALAAASIVFNDDRAYSKKLVHGATTLFKFSRDQIGQYDSGSRFYNSSGYLDEFVWGGAWMYYATGNSTYLNLVTTTSVAQDAGAFVRGPNYGVLSWDNKLAGAQVLLSRLRLFLSPGYPYEELLRAYHQESNIIMCSYLPFFKSFDRTRGGLIQLNHGRPQPLQYVVNAAFLATLYSDYLDATHTPGWYCGPNFFKTDTLRDFAKTQINYILGNNPQDMSYIVGFGNKYPKHVHHRGASIPKNNVKYKCKEGLKWRDTPKSNPNTIFGAMVAGPDKNDGFQDVRTNYNYTEPTLAGNAGLVAALVALSGVQNIGIDKNTIFSAVPPMYPKSPPSPAPWKPKP >OIW11363 pep chromosome:LupAngTanjil_v1.0:LG05:24237379:24243297:-1 gene:TanjilG_19619 transcript:OIW11363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRQEREKGVNVQVLLRCRPFSEEELRSNAPQVVTCNEFNREVAVSQNIAGKHIDRVFTFDKVIFTNNLTLDLIKGGRVYATPIQWDPGDVDVFGPSSRQKDLYEQAVTPIVNEVLEGFNCTIFAYGQTGTGKTYTMEGECKRSKSGPNGELPPGAGVIPRAIKQIFDTLESQNDEYSVKVTFLELYNEEITDLLAPEELSKVSLEEKQKKQLPLMEDGKGGVLVRGLEEEVVANASEIFTLLERGSSKRRTAETLLNKQSSRSHSLFSITIHIKEATPEGEELIKCGKLNLVDLAGSENISRSGARENRAREAGEINKSLLTLGRVISALVEHLGHVPYRDSKLTRLLRDSLGGRTKTCIIATVSPAVHCLEETLSTLDYAHRAKNIRNKPEVNQKMMKSTLIKDLYGEIERLKGEVYATREKNGVYIPKERYYQEENERKAMALQIEQMGVTIEAHQKQFEDLQSKYLDQVQQCSDLSDKLDTTEKNLNKTCKLLSNKEEELKKSQYNLKEKDFIISEQRKAENSLAHQACVLRADLEKAHQDNASLFLKIGREDKLNSDNRTLVNNFQVELAQQVGSLCNTVATSLSEQNAHLQCVEKLCRSFLGINDTMVSDLKKKVTTLRALYISHIEAVQNVVHLHKASSNATLDELSSVISSNGHYIEEFLASEATESGSLFDDLQNSLSTQQGELALFARELRHRLNLSVEKIKDISERSQEFVDKLFEESKKLEDFASEADHKQMKSIAEFKQAYEEQSRSDTEKLIADMTTLVSAHIRRQMDLVDTKLVDLRESGVTNKSFLDGHVASARDIVTHAKRKWQNFCMQAEKDTNDTADYSAAKHCRMEALLQQSVNTAESALVHSKRTHEAVNEMEAKHISAAVSLVRNACDNNMQHENEIDSARVAAEEDVAKNSDNLLEQFDAMSGQEQECITCMVDVVKTHANTLQTFREDHASQVASIEHTACETFQQHYKDYEPSGTTPIRCEPDVPSNGTIESLRSLPMEALVEEFQENNSYESPNVKDLKPSLIPRSPLTELN >OIW11967 pep chromosome:LupAngTanjil_v1.0:LG05:10540976:10541641:1 gene:TanjilG_02174 transcript:OIW11967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDQEHSHDSTSHILLEPSLYSKDSSDDSKLELNLLNSFHTNLSENSSEYSHCNEQEARTFSCNYCQRKFYSSQALGGHQNAHKRERNFARRGYRIGAAAEVSVDFGHSYSTMASMTSHGLYNKPLGIQVHSMINKTSYQTPSFGSKRRVSDSQSATEKFAYGNFHVGTETGSYLASGIPRLENFSNRLVSEGFEDWFGSITYMKSRQEKLQQKLDLSLKL >OIW11840 pep chromosome:LupAngTanjil_v1.0:LG05:13097747:13100875:1 gene:TanjilG_31590 transcript:OIW11840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKTVIRNGAFFLNRISQPFLHQTPHSNYPQITPHLFPSLSNFPTFPHFPQNDAESINKLSSQAFLYPCGLPYLPFFLPDGDASSSEEGMLLSTKRTFQPSILRRKRNHGYFARKATTGGRKVIARRIAKGRFRITA >OIW11514 pep chromosome:LupAngTanjil_v1.0:LG05:22688587:22705822:-1 gene:TanjilG_26880 transcript:OIW11514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMKNIEMLVMMSLMVTLWGVTKSQSPNCAGVIASLLPCLGYITGKTPTPTPDCCTKLSSAVDSKPHCLCEIFSGPAASFGAALHINETLALALPGACKIQTPPISKCNGNHDFVLRLSVLKRDLIGEGSHRRVSTLIKFQTQQSKYLPKLVSSSCEFIIIERLPSGVFADPFELQRLVQRGVFNEIAVFGDTNLELPSFLSNRSAVEIHLDVDPNILLQPTDINIELPLHARYQPLNESGYSTVEFGAPDILMRCSTKENMETHNCIFKLTNDDADLYDAGIVWRIPSGKVAHAYLVSTVTFIAALSSTLLRPKFTSFHQTSFKVTAYRERWSFLRGMEFKNGILLEEKGFNREKRVVLVKNNKGFGFNFGGGKDDGDNARNLGNLALAIGLTYLTMNGQMGWILDAIVSIWVIVVLIPIVGIGAFLWWAGRDIMQGTCPNCGNDFQVLKPSLNEELQLCPFCGQPFSVIGNEFVEDSVKFSNQSTTFGQAFNNFSYSRNDKDSGGAIDVEAEIKDAD >OIW11563 pep chromosome:LupAngTanjil_v1.0:LG05:22065589:22066731:-1 gene:TanjilG_26929 transcript:OIW11563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAVAMQMVAVAMQMVAVAMQMVAVAMQMVAVAMQMVAVAMQMVAVAMQMVAVAMQMVAVAMQMVAVAMQMVAVAMQMVAVAMQMVAVAMQMNIACRNPLMPFNESPQQEMQPHTVFCSEMIRTECENTRRFRQRIESSFRLVITRHRVTVIIVVNEATLSLAGFGFCRDGSDVFHHCSVIGVH >OIW12465 pep chromosome:LupAngTanjil_v1.0:LG05:1296518:1300528:-1 gene:TanjilG_04214 transcript:OIW12465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNVFDGYERQYCELSANLTKKCTAATALNGEQKKQKVSEVKAGLDEAEALIRKMDLEARSLQPNIRAVLLAKLREYKSDLNNLKNEVKKIVSGNINPSARDELLESGMADALTASADQKTRLMTSTDRLNKTSDRVKDSRRTMLETEELGVSILHDLHSQRQSLLHAHNTLHGVDDNIGKSKKILTNISRRMNRNKWIISSIVLALVVAIALILYFKLSK >OIW11213 pep chromosome:LupAngTanjil_v1.0:LG05:25913189:25920499:1 gene:TanjilG_28304 transcript:OIW11213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTKEGERVVVAKPVASRPNCSAYKSFSELLSGAINDSSPIESSRTTVSVIRPKTVRLKPAMNHPPAGFLSSQGDIFGAALSDSSDKSPKPDSKQSLIYRPIAKLVSKTTVSLLANIGNCSTSQQQPRQLIEANLQNLNIENVRTNKSTNVHQSIAPRAETNLTSEPCRIVKQNSEEDLNSLASATNGDRPSYDGYNWRKYGQKQVKGSEYPRSYYKCTYPNCPVKKKVERSFDGQIAEIVYKGEHNHSKTQPPKHNSEGTQGSGLVPDIWSNNHNERNEVQNDTLYVHSAFHVKASQPNVSALFGATNAGGGSKENSCGLSAECEEGRKGFEAQEEEPRSKRRKNENQSNEASISEEGLVEPRVMVQSSTDSVVLGDGFRWRKYGQKVVKGSPYARSYYRCTNINCNVRKHVERAIDDPRSFVTTYEGKHNHEMPLKNSASVAYDKDSQCIERERERRRRRRNMGWIPCSGGASGTKNNKKKKIKENIDKIDQIKPSQDYSGHLSTGKLKRNLSTSSKDTSKNGNPDHIAAQTFSFRELATATRNFRAECLLGEGGFGRVYKGRLESINQVVAIKQLDRNGLQGNREFLVEVLMLSLLHHSNLVNLIGYCADGDQRLLVYEYMPLGSLEDHLHDIPPGKKQLDWNTRMKIAAGAAKGLEYLHDRANPPVIYRDLKCSNILLGEGYHPKLSDFGLAKLGPVGENTHVSTRVMGTYGYCAPEYAMTGQLTLKSDVYSFGVVLLEIITGRKAIDNSKSAAEQNLVAWARPLFKDRRKFSQMADPMLQGQYPPRGLYQALAVAAMCVQEQANMRPVIADVVTALSYLASQRYDHITQNAQNSHSSPNTPPRTRRGQ >OIW11654 pep chromosome:LupAngTanjil_v1.0:LG05:20550058:20553125:1 gene:TanjilG_24348 transcript:OIW11654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKILERHERYAYAERQLVANDSETQGNWTIEYTRLKAKIDLLQRNHRHYMGEDLGSMSLKELQSLEQQLDTALKTIRTRRNQLMYESISELQKKEKVIQEQNSMLAKKIKEKEKANAAQQAAPWDQSNYRVDTSFLIQQPLPTLNMGVNHRQEAPEVGRNELDLSLEPFYSCHLGCF >OIW11389 pep chromosome:LupAngTanjil_v1.0:LG05:23997518:23999456:-1 gene:TanjilG_19645 transcript:OIW11389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDLLSSSFSAFQSSPDNSSNNNNHVIEMTETTLSQAPNLEKFFSQVETVKQELEELEFQHNNLKTSHELSKTLHSVKAVKDLRSSMDVDVALALKKAKIIKSGLEALDKSNEASRSLPGLGVGSSSDRTRTSVVIGLRKNLKDMMERFNSLREQISSEYRETVQRRYYTVTGDKADDKTIDLLISTGESETFLQKAIQEQGRATIIDTIQEIEERHGAVKQIERNLNELHQVFLDMSVLVQTQGEELDDIESHMARADSYIRGGVQQLQVAKKHQKNTRKWTCIAIILLLIIILVIVLPIVLKN >OIW12373 pep chromosome:LupAngTanjil_v1.0:LG05:185139:189242:-1 gene:TanjilG_04122 transcript:OIW12373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLNILKDKDGMPRIILTDPNGSSLEVLLYGAQIVSWKNQRKEELLFMSSKGNRKGSNKAKRGGISVWFPMFGDMSSIEEDGLGRKRLWSLDRDPSPLPPSDNHSSVDLILKSSGVYLKTPHLSFEYRLRICVSGGKLIMIPRVRNTDNKAFSFTFVITNYLSVSDISEVRIEGLETLDYFDNLINRSRFTEQADAITFDAEMDRVYLNSPNKIAIIDHEKKRTFVLHKNAMPDAVVWNPWDKKGKAIGDDLGVGDYKVMICVNSAVIETPIVLKPCEEWKGYQQLSTVSSSYCSGQLDPSTQGSPAALSISRLMCILRGLDFKSCIFFIVVIPVTIFCIYLHGQKISYFLRPLWESPPKPFREIPHYYHENVSMETLCRLHGWGIRESPRRVFDAVLFNNEVDMLTIRWKEMQPYVTQYVLLESNSTFTGLIKPFLFARNRENFKFVESRLTYGVVGGRFKRGENPFYEEAYQRVKLDQLLRLAGIEDDDLLIMSDVDEIPSAHTINLLRWCDEIPQIIHLQLRNYLYSFEFFLDNNSWRASVHRYETGKTHYAHYRQADVLLSDAGWHCSFCFRRISELIFKIKAYSHNDRVRFAHYLNPERIQNVICEGADLFDMIPEEYTFKEIIGKLGPIPHSYSAVHLPSYLLNNAEKYKFLLPGNCRRESG >OIW12134 pep chromosome:LupAngTanjil_v1.0:LG05:6431946:6440986:-1 gene:TanjilG_02355 transcript:OIW12134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLTPNSKQPLFCLKWPWDDAIANPSTKSPSPCNFEGPWLFKSLQNVGLFAINFVSSVSKSLGPQISSPPSSLHLDERVSHNKNLALRKRKMTPAEQAEAEQTAFASALASGKEATVIEFYSPKCSLCNSLLNFVSEVEMRKSEWVNIVMADAENEQWLPELLHYDVKYVPCFVLLDKKGRALAKTGVPNSRLHVIAGLSHLLKIKRPQQNSE >OIW12371 pep chromosome:LupAngTanjil_v1.0:LG05:174936:176314:-1 gene:TanjilG_04120 transcript:OIW12371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPKCLDMRVAVGFALDIARAMECLHSHGIIHRDLKPDNLILTEDHRTIKLADFGLAREESLTEMMTAETGTYRWMAPELYSTVTLRQGEKKHYNHKVDAYSFAIVLWELIHNKVPFEGMSNLQAAYAAAFKNTRPSAEDIPEDLALIVTSCWKEDPKERPNFTEIIQMLLRYLCTISPSMSVAPLQRMTSSENAVLPPESPGTRALMATRDDSIETPKAANMEDRPKGFLFCFNHCY >OIW11809 pep chromosome:LupAngTanjil_v1.0:LG05:15274497:15275475:1 gene:TanjilG_02756 transcript:OIW11809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVSGRSSALNPNAPLFIPAALRQVEDFSPQWWELVKSSTWFHDYWLSQRNVEDFEGSFNNTSVDDIENMLPENFDLGIEEDFNFLENEFEHLVLFSEAQDYQVQADPNDGKGPLRGVHMDAKALLEKLTPKSPKERHPKSSVGSAKHLEKPALHANIKRALQRIQQPR >OIW12183 pep chromosome:LupAngTanjil_v1.0:LG05:4598211:4600817:1 gene:TanjilG_28591 transcript:OIW12183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLGSGGSSVVVPRNFRLLEELERGEKGIGDGTVSYGMDDGDDIYMRSWTGTIIGPHNTVHEGRIYQLKLFCDKDYPEKSPSVRFHSRINMTCVNHETGVVEPKKFGLLANWQREYTMEDILTQLKKEMAAHHNRKLVQPPEGTYF >OIW11792 pep chromosome:LupAngTanjil_v1.0:LG05:16391403:16394310:-1 gene:TanjilG_31194 transcript:OIW11792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPTCLSWSNSISSTIPTTCSTKFLNDNTPKLTLPFRVLSLKRSSKQHSPGLQKDPKKDLSRILRTEAAIKGVKNKVDSWKNKQLWPKAVLEALDEAIKGLRWQAALKAQFLALGVMGFLGLTVGFIEKEKKYVIHNALLWGLGTDLKIFALLRKQRWYEPRCHTYAKLLMMLGKCRRPEEASYLFEIMLSDGLKPTIDAYTALVSAYGQSGLLDQAFSTVEDMKSVVDCMPDTYTYSILISCCAKFHRFDLIEPIIAEMSFLGIECNSVTYNTIIDGYGKAGMFEHMENSLMDMVENGNCQPDIFTLNSFVGAYGNAGQIDKMEKWYDEFKLMGIKPDIKTFNTLIKSYGKVGMYEKMKFVMDFMKKRFFSPTIVTYNTVIEVFGKAGEIEKMDKEFKKMKHLGLKANSVTYCSLVNAYRKAGRLDKVNSIMRHVENSDVVLDTPFFNCVISAYGQLGDLNRMGELFLAMKERNCEPDNITYASMIQAYNAQGITEATQKMENMMITAKESFGTRKTLA >OIW11223 pep chromosome:LupAngTanjil_v1.0:LG05:25973374:25974402:-1 gene:TanjilG_28314 transcript:OIW11223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSLSKLRHSPTHLSLNLHRSSLPSQLSSSTFISFKSLPPPPTSSFKISPIKASLNDPAFHKPNKNTLFQTLISPIVETTCVVIAATAFFFVRHMPVVAAPLPPLSTVASEQNIDAAEESERVLESRLSENPNDIEALRNLVQLKIRARKANEAIEVIERLIELEPEEFEWPLLKANMHVYNDDYELARNMFEEILNRDPLRIEAYHGLVMAISESNQPLDGLLDRVEEAAENCKKEKKFSEVRDFKLLIAQIKVLEGDFPEALNNYQDLVKEEPRDFRPYLCQGIIYTLLKKKDEAEKQFQKFQKLVPKNHPIREYFDDSMFSTKFFSRNFETEGAGARS >OIW11240 pep chromosome:LupAngTanjil_v1.0:LG05:26081049:26097004:-1 gene:TanjilG_28331 transcript:OIW11240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEALELARAKDTKERMAGVERLHQLLEASRKSLTSSEVTSLVDSCLDLLKDNNFRVSQGALQALASAAVLSGDHFKLHFNALVPAVVDRLGDSKQPVRDAARRLLLTLMEVSSPTIIVERAGSFAWAHRSWRVREEFTRTVTSAIDLFASTELPLQRAIFPPVLHLLNDPNPSVREAAILCIEEMYVQAGPQFRDELQRHNLPSSLVKDINARLEGIQPKVRASDGVPSGYITGEIKPLTVNPKKSSLKAKNSTRETSLFGGDGDVTEKPLDPIKVYSEKELIREIEKIASTLIPEKDWSIRIAAMQRIEGLVLGGAADYPCFRGLLKQLIGPLSTQLSDRRGEIEVGLKMDGPYNNKDLRVCRRRIRDCSKGKEGIIIIEGSTGVEQLVGGKREGYNSTNVWHMMNGAGERDQMACHLLCFLSKDLLGDFEVCAEMFIPVLFKLVVITVLVIAESSDNCIKTMLRNCKVARVLPRIADCAKTDRNAVLRARCCEYALLVLEHWPDAPEIHRSADLYEDMIKCCVKDAMSEVRSTARMCYRMFAKTWPERSRRLFLSFDPVIQRLINEEDGGIHRRHASPSVRDRGGTMSLPSQAAAPSYLSSYGTSAIVAMDRSSSLSSGTSISSGVLLSQAKSLGKGTERTLESVLHASKQKVSAIESMLRGLDLSDKHNLSQRPSSLDLGVDPPSSRDPPFPAAVPASNHLTSSLTTESTASGVNKGSKRNGGLVLSDIITQIQASKDSAKLSYHSNVGIEPLSYSSKRGPERLQERSSVEYNSDIREARRFKNPNTDKHYLDGPDRNGNFRDSHNSYVPNFQRPLSRKNVSGRMSAGRRSFDDNQVSVGEMASHVDGPTSLQEALSEGLSSGSDWSARVAAFNYLHSLLQQGPKGIQEVVQNFEKVMKLFFQHLDDPHHKVAQAALSTLADIVPACRKPFEGYMERILPHVFSRLIDPKELVRQPCSTTLEVVSKTYSVDSLLPALLRSLDEQRSPKAKLAVIEFAINSFNKHAVNPEGAANIGILKLWFAKLIPFVHDKNTKLKEAAITCIISVYSHFDSVAVLNFILSLSVEEQNSLRRALKQYTPRIEVDLMNYLQNKKERQRSKSSYDPSDVVGTSSEDGYVGFSRKTHYLGRYSAGSVDSDSGRKWSSQDSTLIKASLDQAASGETQEYLYQNIETDYNSGSLGLKAKDLPYAVNPMDQNFGSQTNQLGHMDHSMNFEGLSTPRLDVNGLMSLENLNVAEDYVLDKEHPSELELNHHSAEALKVYSLTDTRPSVPQILNVICSGGDGSSISSKRTALEQLVEASTTNDHSIWMKYFNQILTVVLEVLDDSDSSIRELALSLIVEMLKNQKDAMENSVEIVIEKLLHVTKDNAAKVSNEAEHCLTIVLSQYDPFRCLSVIVPLLVTEDEKTLVTCINCLTKLVGRLSQEELMAQLPSFLPALFEAFGNQCADVRKTVVFCLVDIYIMLGKAFLPYLQGLNSTQLKLVTIYANRISQARTGKAIDVTHD >OIW12253 pep chromosome:LupAngTanjil_v1.0:LG05:2427966:2431980:1 gene:TanjilG_06042 transcript:OIW12253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDKSLKPSSRFSGFTVPNKTLSMNFTIDSFFTTLTRLTSLRVLNLVSLGLWGELPDMIHRLSLLEVLDLSSNFLFGAIPPRMSKMVKLHTLALDDNYFNTTMPDWFDSLSNLSVLSLKSNYLKGSFPSSLCKIKSLEVISLSHNQLSGGLPSLSNLTGLHVLDLRENHLDSELPTLPKAVVTVLMSNNSFSGEIPRQFRELSQLQHLDLSSNHLSGVPLSALFSLPSISYLNLARNVLSGSLVEKLSCGSELGFVDISGNKLHGGLPSCLANTSGRRVVKYGGNCLSVESQPQGRRSYCKESSSVWKKFKAWEIAAAVAIIVVLVIVILVFGIYLCKKIHLRKTTEEVVLPKLVQDNSTTRTGVSSEILASARLISQAMKLGTQSTPSCRQISIEELKEATKNFDMSTYIGGGSIGKLYKGKLENGSSVIIRSLALSKKCSIQNLKSRLDLLSKLQHPNLVSLLGHCIDAAQDNYTNHKLHLVYEYMPNGNYRTYLSEFTTGKALKWSDRLAILIGVAKAVHFLHTGVIPGCFSNQLKTKNVLLDEHRIPKLSDYGMSIITEEIEKFEAKGEKPKSCQGTKLGDDVYNFGFILLESLVGPVASDKGETFFLNEKASFDSQDGRKKIVDPIVLTTCSQESLSIAISITTKCISPDSASRPSFEDVLWNLQYAAQVQATADADQKSDSPS >OIW11875 pep chromosome:LupAngTanjil_v1.0:LG05:11730423:11738366:-1 gene:TanjilG_25788 transcript:OIW11875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSGSGLITFNSIPSIHPPLHSKSSSFIPSSSIDSSPELSPNIDTKNNESVKFNYSRASPSVRYPNLKISQHYPETRIQSKTQFIVSSPVEESHDFYAKVEDSVETQKPDFDEVNDEKTHETLGSKVSKKRVKRMNRLALKRDKYWRDRVKYFTDRILGLKSGEYVADVLDDTSVPMTPTDFCFLVKWVGQTSWQRALEAYEWLNLKHWYSPKGRMLATIIAVLGKANQEELAVEVFTRGESSIEDTVQVYNAMMGVYARSGQFDKVKQMLDLLRERGCEPDLVSLNTLINARMKSGAMVPNLAMQLLNEVRSSRVRPDIITYNTLISACSRESNLEEAIMIFNDMVSNNCLPDLWTYNAMISVYGRCGHSKKAEELFRELESKGFFPDAVTYNSLLYAFSKEGNTEKVKDICEEMVKMGFGRDEMTYNTIIHMYGKQGRHDQALQLYRDMKSSGRSPDAVTYTVLIDSLGKANKIVEASNVMSEMLDAGVKPTLRTYSALICAYAKAGKRVEAEDTFNCMLRSGIKADRVAYSLMLDFFMRFNEIKKAMKVYKEMINDGFKPDNGVYEVMLQVFVRENKLDDVERIVRDMEELNGMNPQVISSILVKGGCYDHAAKMLKVAISNGYELDQENFLSILSSYSSSGRYSEACELLEFLRGHVPDNQMLTEALIVILCKAKKLDSALEEYRSKGELGSFKSRTMYESLIQECMHNELFDAASQVFSDMRFSGAEPSEGIYLVMVSVYCKMGLPETAHHLLNYVDKKGSILDNVSVYIEIIETYGKLKIWQKAESLVGNLRQTYSKVDRRIWNALIHAYAFSGCYERARAIFNTMMRDGPSPTVDSVNGLLQALIVDRRLNELYVVIQELQDMGFKISKSSILLMLEAFVKARNLFEVQKVYHGMKASGYLPTMHLYRMMIGLLCEFRKARDAEAMLREMEEAGFKPDLRICNSMLKLYSVIEEYKKIAFVYQKMQDAGLEPDEETYNTLIIMYCRDRRPEEGLSLMHDMRKHGLEPKLDTYKSLIAAFGKLQLLEQAEELFDELRSSGYKLDRSFYHLMMKMYRTSGDHLKAENLLAIMKEAGIEPTLATMHLLMVSYGQSGLPEEAEKVLKNLRTSGMVVDTLPYSSVIDAYFRKGDIKAGIKKLTEMMEAAIEPDHRIWTCFIRAASLSEGSNEAINILNALQAAGFDLPIRLLREKSESLVSELDKCLEKLEVLKDNAAFNFVNALVDLLWAFELRASASWVFQLAIKRNIYRPDIFRVAEKDWGADFRKLSAGSALVGLTLWLDHMQDASLEGHPQSPKSVVLITGAAEYNMVSLDSTLKAYLWEMGSPFLPCKTRDGVLVAKAHSLRMWLKDSPFCLDLELKDALNLPKLNSMQLLEGCFIRRGLVPAFKDITERLGIVSPKKFSRLALLPDDKRYKVIEADIEGRKEKLEKAKKIVDPKRAKIIKKHKRMKYIREALMSEKGAIGVQKTFKPIGAKNVSNLSSFNHHAWIGSYKLTEETVEFDMIGIDPALANAFRRILIADTDTIQYSGAYAALGVDNSLRLDNFCENFKVEVNKLTEETIEFDMIGIDPAIANAFRRILIADVPTMAIERVYIANNTSLIQDEVLAHRLGLVPINADPWLFEYPENAGDEKNEKNTIVFKLHVRCPNKIGQPRITVKSGELRMLHPLHAPVHHF >OIW12387 pep chromosome:LupAngTanjil_v1.0:LG05:350930:355130:-1 gene:TanjilG_04136 transcript:OIW12387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSLSKISSNNITKHVNGFNPSPFTLVGSNLYVNQHVILSEVPQNIKITPCTFTTTTTSTTGCFLGFEALEPQSRHVASLGKLKNLNFMSIFRFKVWWTTHWVGSNGRDLEIETQFLMIENSESSYGSSRPYVLMLPIIEGQFRASLQGGIDDNIDVCVESGSSKVKGRSYESVVYMHAGENPFVLVNDAMKVVRAHLGTFNLLEEKNPPGIVDKFGWCTWDAFYLTVHPRGVLEGVKELVDGGVPPGLVLLDDGWQSISHDEDPITKEGMNHTIAGEQMPCRLINYKENYKFKNYVNSKGDKGLKAFVNELKEFETLDYVYVWHALCGYWGGIRPNVYGMPEAVIEKPKLSIGLETTMEDLAVDKIVNNGVGLVAPNLVHEMYEGLHSHLENCGIDGVKVDVIHLLEMVCEKYGGRVELAKAYYKALTDSVRKHFNGNGVIASMEHCNDFMLLGTEAISLGRVGDDFWCSDPYGDPNGTFWLQGCHMVHCAYNSLWMGNFIQPDWDMFQSTHPCAAFHAASRAISGGPIYISDTVGNHNFNLLKTLVLPDGTILRCQHYALPTRDCLFSDPLHDGKTMLKIWNLNKYTGVIGVFNCQGGGWFRETRTNKCAAKFSHSVSTNTNIKDIEWNRAKNPISIEGVQLFALYFSQSNKLVLSSESDSEEISLEPFNFELITVSPVAFLHSKSPSSSVVQFAPIGLVNMLNNGGAIQSLAFDEAKNLVEVGVRGKGEMKVFASERPRTCRIDGEEVDFEYEDNMVVIQVPWPSSSKLSNVHYIF >OIW11580 pep chromosome:LupAngTanjil_v1.0:LG05:21830420:21836548:1 gene:TanjilG_26946 transcript:OIW11580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNRNMEKMASIDAQLRQLAPAKVSEDDKLIEYDALLLDRFLDILQDLHGEDLKDTVQEVYELSSEYEGKHDPKKLEEIGNVITSLNAGDSIVVAKSFSHMLNLANLAEEVQISHRRRNKLKKGNFADETNATTESDIEETLKRLVFDLKKSPQEVFDALKSQTVDLVLTAHPTQSIRRSLLQKHARIRDCLSHLYAKDITPDDKQELDEALQREIQAAFRTDEIKRTPPTPQDEMRAGMSYFHETIWKGVPKFLRRVDTALKNIGINECIPHNAPVIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMAANMYYSQIEDLMFELSMWRCSDELRNRAEELHRSSNKDEVAKHYIEFWKKVPPNEPYRVVLGEVRDRLYQTRERSRHLLAHGYSDIPEEATFTNVEEFLEPLEVCYRSLCACGDRPIADGSLLDFLRQISTFGLSLVRLDIRQESDRHTDVMDAITKHLEIGSYLEWSEEKRQEWLLSELSGKRPLFGPDLPKTEEIRDVLDTFHVLAELPPDNFGAYIISMATAPSDVLAVELLQRECHIKHPLRVVPLFEKLADLEAAPAALARLFSIDWYRNRINGKQEVMIGYSDSGKDAGRFSAAWQLYKAQEELIKVAKEFGVKLTMFHGRGGTVGRGGGPTHLAILSQPPDTIHGSLRVTVQGEVIEQSFGEQHLCFRTLQRYTAATLEHGMNPPISPKPEWRALMDQMAVIATEEYRSIVFKEARFVEYFRLATPELEYGRMNIGSRPAKRRPTGGIETLRAIPWIFAWTQTRFHLPVWLGFGAAFKQVIEKDVRNLHMLQEMYNQWPFFRVTIDLVEMVFAKGDPGIAALNDRLLVSKDLWPFGEQLRKKYEETKNLLLQVATHKDLLEGDPYLKQRLRLRHSYITTLNVFQAYTLKRIRDPNFNVPPRPHISKDSLEKSTSATELVLLNPTSEYAPGLEDSLILTMKGIAAGMQNTG >OIW12249 pep chromosome:LupAngTanjil_v1.0:LG05:2393395:2397137:1 gene:TanjilG_06038 transcript:OIW12249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMTRFPQKNMTTTNVVFIWLVAILGVAFIANVLWAAFSSGYLFIPSNSIIQPLPNDTATIRIHQKDEAARNLKKHYPARFLSGAYADLPAPDLHWEEMPPAPVPRLDGASIQIRNLFYVFAGYGTINYVHSHVDVFDFDTNKWIDRFSMPKDMANSHLGVASDGRYIYAISGQHGPQCRGPTSRVFVLDTETKKWKSLPSLPAPRYAAATQLWRGRLHVMGGSMENRHTPGVDHWSLGVKGDQALEKQWRTEIPIPRGGPHRACILWEDRLYIIGGQEGDFMAKPGSPIFKCSRRHEVVYGDVFMLDEEMNWKGLPAMPKPDSHIECAWVIVNNSIIITGGTTEKHPVTKRMILVGEVFRFNLETMTWSLVGKLPFRVKTTLAGFWNGYLYFTSGQRDRGPDNPQPRKVISDMWRTKLSLS >OIW12301 pep chromosome:LupAngTanjil_v1.0:LG05:3156907:3159296:-1 gene:TanjilG_06090 transcript:OIW12301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADFMSKTLIALALIASFLLCSSHAKDSDVPFIVVHKKATINKLKSGTEGFSVTIDIYNQGTSTAYDISLADENWPNEAFKLISGSTSKSWEKLDAGGVLSNTFELESKEKGAFSGEPAIVKFRVATKADLQEAYSNPIKPLDILGDRVPEKKFEWRLLHKFGPIVSVFLIVVLFVQLIISPSKSSAKGSKKRR >OIW12345 pep chromosome:LupAngTanjil_v1.0:LG05:1692941:1694730:1 gene:TanjilG_32461 transcript:OIW12345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLDYENKRGDSNKLQVHFDLPVDDDYHISHHHKDSSSSISSDSSQHSDSDDSEKDNHIIIDNLESKNDGNGYGSPVWSYHDGSVTQSPPMQTMSPSGYDPNRIPSSIFSSPKPMEWSVQSNESLFSIHIGNASFSRDHVFALNKSGELFSVNDSIGTPTPMTLPTVEEVAHDNKEMERHSVSSDSSDETASLAVENDYERIELPVEVHTPVVDQTPKDHCKEVNQAMVPSDEAKNYNSVSYRSVESDRSFQFPILTLDNGRNSYSTIESEKQERNEHKHQHQHQHQQPEKPVELVKPETENAPPKKSGRSWCFCLSCSPCCF >OIW11380 pep chromosome:LupAngTanjil_v1.0:LG05:24078658:24079491:1 gene:TanjilG_19636 transcript:OIW11380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSTKVEPQNEKGHVKFLPLPQSETETHDINDEHLEDQSFSISVDSTTTRTINIPDYYFPEEILNNRTQTCEIAQDLLGFIVLILLIFLPLYLRHLGSPHDDPIPPIITLNSMYVYNITTGKEGLGATWDAKFTITNSNVPSIYFRRVDFTIFYKQNPEHVLSFASSYPFYLDQEEDMKLHVNFTTGLEDNELFVENELVEEIGKDKHKDGSLSFGMQMKIQAIYYGETWVSDVTMTPHCEDLRVQFLTSKDSGRLTNPNRNFSVPIEWKPFSFF >OIW11800 pep chromosome:LupAngTanjil_v1.0:LG05:15799908:15803769:-1 gene:TanjilG_21134 transcript:OIW11800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNWLGFPFTPHLRIDEDFGRENQDHGGGGSYHPHHHLSVMPLHSDGSLCVADSFGHHSAPPQEWRYDNAIGGGNSSEEGPKLEDFLGCYSNSPLAETKVFCQQGTTDQHDRNRNQNQNQNISKINVNMTPSFSTNNNTEIETRENNNLTNHSSMIQSFHAYNDSRTHALIPNNNGMYKSWSQQTQFSDATKQPSAEANGCNFQSLNLTMSPTIQNKVGAISPLQADDDTRKRSIAKAQAREPVPRKSIDTFGQRTSQYRGVTRHRWTGRYEAHLWDNSCRKEGQTRKGRQGGYDKEEKAAKAYDLAALKYWGPTTHINFPLSTYEKEHEEMKHMSRQEFVANLRRKSSGFSRGASVYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGTTAVTNFDISRYDVKRICSSSTLIAGDLAKRSPEDSAPAAADSEDFNSSTSSQPTPLAITESERSEELSSMVLNANCDEQAVTESGNANNVSESSQEFLPSNKNELNPQSPKSSVGLPNEFGVSGADYGHGYFTLQGPKYDDDDGNNENDHMNNNRIENLGLVNQVPMFALWNE >OIW12299 pep chromosome:LupAngTanjil_v1.0:LG05:3108877:3113332:-1 gene:TanjilG_06088 transcript:OIW12299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEIPYLTALTTYFSYGLLFAFGQFRDFFRKFFDWFTSNNLNGYAPICLGLEDFYIRRLYLRIQDCFGRPISSAPDAWFDVVERYSNDNNKTLKRTDKVCRCLNLGSYNYLGFAAADEYCTPRVVQSLEQYSPSTCSTRVDGGTTALHKELEECVASFVRKPAALVFGMGYVTNSAILPVLMGKGSLIISDSLNHNSIVNGARGSGATIRVFLHNTPQHLEEVLRELIAEGQPRTHRPWKKIMVIVEGIYSMEGELCKLPEIIGICKKYKVYTYLDEAHSIGAVGKTGRGVCELLDVDTADVDIMMGTFTKSFGSCGGYIAGSKELIQYLKYTCPAHLYATSISPPAAQQIISSIKVILGEDGSNRGAQKLAQIRENSNFFRSELQKMGFEVLGDNDSPVMPIMLYNPAKIPAFSRECLRQNVAVVTVAFPATPLLLARARICISASHSKEDLVKALQVISRVGDLVGIKYFLAEPIKKKFD >OIW11303 pep chromosome:LupAngTanjil_v1.0:LG05:24804301:24810617:1 gene:TanjilG_20452 transcript:OIW11303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIDKAIRECDDQRLQTKYNNATYVIQRALALYSIEEVAFSFNGGKDSTVLLHLLRAGNFLHKRGQGNANGDVKDFSIRTIYFESPCAFPEINSFTYDIAATYDLQIDTIRLDFKSGLEGLLKENPIRAIFLGVRIGDPTAVGQEQFSPSSPGWPPFMRVNPILDWSYRDVWAFLLTCKVKYCSLYDQGYTSIGSIYDTVPNSLLSISDSSNKFKPAYLLADGRLERAGRVKKLSSTSGQLRADSNGLTGLDLHKNSMLTASIIVVGDEILFGTVEDQLGPYLCRKLHSVGWSVLQLSVVHNNIDSVAEEVEQWKSTCDTVFIYGGVGPLHSDVTLAGIAKAFGVRLAPDEEFEEYLRHIIGDQCTGDRNEMAQLPEGITELLHHDKLSMPLIKCQNIIILNATNVSELESQWDCLIELTKSCDMLTLLEPYVSKQVTTNLSDVEIAQPLSKLCLEFPDLYIGCYRKARYGSVIVSFKGKDQARLETAIKALHNKFQPGAFNEMK >OIW11229 pep chromosome:LupAngTanjil_v1.0:LG05:26022893:26023303:1 gene:TanjilG_28320 transcript:OIW11229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTKAEKKPAEKKPAEAEKKPPKAEKKITKDGGVEKKKKKSKKSVETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAQESSRLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSS >OIW11245 pep chromosome:LupAngTanjil_v1.0:LG05:26134808:26136399:-1 gene:TanjilG_28336 transcript:OIW11245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLSLDGSKGGHKPTTSKKKLHQDHEEASRCAFVDPWAAIISVLVAAWLLIGCNVLAEKLKYDDPLEAAQLHGGYGISGLIFTALLAKKQYVSEDST >OIW12427 pep chromosome:LupAngTanjil_v1.0:LG05:847630:851265:1 gene:TanjilG_04176 transcript:OIW12427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLCSSKPKVEDDLVTKKNKNHQNHQRRRRRILRRRVSSRNIEANNIANSNSVLQASNRASDAAWFDSASALDSECDEEFYSVFDGVGEVSASHADEVGEDKKLSTDFCGGILPNVCLPCISSVAVPVEKRRPTSPDTPGSRRKSFSRPSFKFREGSSDMTLFPLRSLKHKLVAGSLVPFCPIEKQMPGSWSPIEPSSFRVRGKNYLRDKKKEFAPSNAAFYPLGADLFLSPRKIDHIARFVQIPAINIPGDVPSILIVNIQIPLYPATIFQSENDGDGMNVVLYFKLSERYAKDLPDQFRENITKMINDEVERVKGFPLDTIAPFRERLKILGRVANVENLSLGATEKKLMNAYNEKPVLSRPQHEFYLGENYLEIDLDVHRFSYIARKGFEGFIERLKLCNLDFALTIQGNKPEDLPEHLLCAIRLNKLDYNNFNQIGF >OIW11471 pep chromosome:LupAngTanjil_v1.0:LG05:23246445:23250833:1 gene:TanjilG_26837 transcript:OIW11471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSDPSKSEIGGGAAETSEAAALAVAVMNDQALLYRGLKKAKKERGCTAKERISKMPPCAAGKRSSIYRGVTRHRWTGRYEAHLWDKSTWNQNQNKKGKQGAYDDEEAAARAYDLAALKYWGPGTLINFPVTDYTRDLEEMQNVSREEYLASLRRKSSGFSRGISKYRALSSRWEPSYGRIAGSDFFNSMHYGYDSVAESEYASGVCIERKIDLTSHIKWWGSNKSRQPDAGTRLSEEKKHGFAGDVCSELKMLEQKVQQTEPYQMPELGRSHNEKKHRSSSVSALSILSQSAAYKSLQEKASKRQENNTDNDENENKNTVNKLDHGKAVEKSSNHDGGSDRVDIEIETTGALSLQRNIYPLTPFLSAPLLTAYNTVDPSLVDPVLWTSLVPMLSAGLSCPTQVGLIATVWCFPLQRLRPVHLTPSFSLRNDVDIRLSDSRKGKHLKELNSYIKQQHKHLHLNSEELKQV >OIW12405 pep chromosome:LupAngTanjil_v1.0:LG05:576677:579452:1 gene:TanjilG_04154 transcript:OIW12405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCGRIFFDTSSCRRNNMLFLGNVGRSMMSMEESSKRRPFFSSPDELFDDEYYEEQLPEKKRRLTSDQVHLLEKSFEEENKLEPERKTELAKKLGLQPRQVAVWFQNRRARWKTKQLERDYDVLKASYDSLLSSYDSIVKDNEKLKSEMVSLHEKLQNEAKDMPEEEPISDKKTDTLPVNIAEIIRMKVVEDRLSIGSVGSAVVDEGSPRLVVGSDDGSDDGTSYLSDVFVGHETQHQNNEEGEALGWWGNMLHNGAPVLF >OIW11901 pep chromosome:LupAngTanjil_v1.0:LG05:11377177:11377386:1 gene:TanjilG_18174 transcript:OIW11901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAIVLSSLVFVVLVSAAPTSLTLKRAFPNHGMNLSQLRAMDMKRHGRILQASVVNFPVYGTADPIAAG >OIW12341 pep chromosome:LupAngTanjil_v1.0:LG05:1735335:1739718:-1 gene:TanjilG_32457 transcript:OIW12341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKKVLRTKKDEKSDFLQKQIGCISGFFKLFDSHRFITDQTSESSQSQNRTTPGLGGTSNHIKELNSTMQKGEAKKNVIVARENQQFSTESSGTSISSSSCSSSMSSLEFNRTIQIEQPSMIPIKISESSNAAAAMKQLDFYDIVKGSMHKEAKGLSVKTISRDEKKGRAVKFIDSPRPLQPHKSVNEHFHNRPWDSPRLSYDGRDMHDTFKSGTKHKELPRLSLDSRQGPFKGINEGTKSNNLLKGVQKGYGGSSTMVEQLQESETPKRHSSSVVAKLMGLESFTDATQTCDTPPQGFSSISDEYRQHRSSLSPRTRKENTMPQSRSDASVTTVTTNASQGSQLQASKGSESSIKAANNALSVYGEIEKRMANLEFKNSGKDLRALKQILDAMQRYKDTLDITRDQASNSPSHSRSNSSLSESSKIQSPRIRQKDPTSMKSNSNNASKSPIVITKPAKVTRETNSPGNHTNGRLFDKHDGQKAKGMSPTSRYNKDSFSQAFHSEEKSTKVRTSKSMQPSKVPQVINRENSINCSNTSESRSPRLQKRFGLERRSPPRSPSSDCSRNGRQHNKQSLELASPSTPPRQKFSTFQEENDRFSMLSYQRRDFKQEVDVSSQDSDSKRSMDSHSDIVVIHVDHSEKSNTAFTHPNGLNQNNSTKELGKDSFMAKKIVTAEQPSPVSVLDAAFYIEDPPSPVKKKSDISKDLDETLNAYDSSDENSQDIQQIGYNNEKLPNFDDSKDPDHKYISEILLVSGLLSSAGYNQAVNSPAHPINPKLFLALEQTKANKLHLNNHEKMQRKLIFDVLNDILVQKLILENSSTLWCLPNHSSGRKLKGHHQILNDISTEILKLQHKDKNFSSDNEDEYLNNLIWEDLMHQPTICTENRREIPNVVLDIERLIFKDLITDAVRGEVANYPERHCRQLQFPK >OIW11827 pep chromosome:LupAngTanjil_v1.0:LG05:13886402:13889952:1 gene:TanjilG_14639 transcript:OIW11827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSSKRVLLTSNGDDVSQGIAFHLAKQGCRLVLMGDEATLRSLSLKIKGTLQGAAGSDPIVVEVVGLDMEDQKESVFHDSVDKAVQILGKLDAFVNCFTYEGKMQDPLELAESEFKKTANINFMAPWFLLKAVGKKMRDFKTGGSIVFLTSIIGSERGLYPGAAAYGSCLAGVQQLVRVSAMEIGKYQIRVNAIARGLHLHDEFPSFVGKERAEKLVKEAAPLERWLDVKNDLASTVIYLISDGSRYMTGTTIYVDGGQSITRPRMRSFM >OIW11680 pep chromosome:LupAngTanjil_v1.0:LG05:20146915:20150044:-1 gene:TanjilG_18207 transcript:OIW11680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGRAPCCDKTKVKRGPWSPEEDLKLIAFIHKNGHENWRAVPKQAGLLRCGKSCRLRWINYLRPDVKRGNFTIEEEQSIIKLHNDFGNKWSKIASCLPGRTDNEIKNVWNTHLKKRLIVKSSESSADESKMESSITSSSSSESFSTNEEPNLAKTIPNSELNEQAFQVTMNEKIEHDSEKHVSNEVISIIEDPKDSSTYSFSFVESNILNSNHIVPFTQEQQLCSPLTYLGPYNIDNNNINNTLQEVDKPNNLIEIPWDSDEDFWKLIDNFESLQSSEVQLEGDPSNQTPNHVQESVQDGENMKWTHEFDNEFGERNESNKDQFLPKNYAAELGVDPHTFDFDVMEKPESELDLRNIQLWLMA >OIW11898 pep chromosome:LupAngTanjil_v1.0:LG05:11533194:11537429:1 gene:TanjilG_21658 transcript:OIW11898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGFMQLLSLLWWFSPDAIKSIILRNNSFYGTIPSGIVHLKELKVLDLGYNNFSGTLPTDLEINISLTILMLQAIGIKGKMIAKKNYAEKAQMKKT >OIW12061 pep chromosome:LupAngTanjil_v1.0:LG05:8874800:8877976:1 gene:TanjilG_24485 transcript:OIW12061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQSNGSDASPPQQQQPPQSEQKQQQPQQRQPPPPQQWVPMQYPAMVMPHHMLPRQPYAPPPPYVAYHPHHQYQHVPPSPHQHHGSNGGENKTVWVGDLYHWMDENYLHRCFASIGEISSIKVIRNKHTGLSEGYGFVEFSSHATAEKVLQTYAGILMPNTEQPFRLNWATFSTGDKGSDNVPDFSIFVGDLAADVTDSLLHETFSAKYPSVKAAKVVFDVNTGRSKGYGFVRFGDDNERNQAMTEMNGVYCSSRPMRIGAATPRKSSGYQQGGQSNGASSLSEMDSTNTTIFVGGLDPNVTDDDLRQSFSQYGEIVSVKIPVGKGCGFVQFVNRNNAEEALQKLNGTAIGKQTVRLSWGRNPANKQFRVDFGSPWNGGYYGAPVYDGYGYALPPPHDPRTYAAAYGAYPVYRGHQQQVS >OIW11824 pep chromosome:LupAngTanjil_v1.0:LG05:14222807:14223199:-1 gene:TanjilG_07305 transcript:OIW11824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALATTYPSSIHSSSHRSIAIVLALASAMVLSPLYVNSKRDMRRYDMRRYESRWGSSFVLPMVLVGLIIAIRTTSSSSSSVSYPRASLLLSPDPSWVLRIGRSSWGLAGVLVMLMLVLYWQASVQDLIWR >OIW11272 pep chromosome:LupAngTanjil_v1.0:LG05:26255192:26258134:-1 gene:TanjilG_28363 transcript:OIW11272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEFLVQLFTPFFFFLLPLLFFFFFTLQKKHKKVEALSSTTATTLPKAYPLIGSYLAFKANTNRRIQWLSDAVIASPNATFTFYRLPGQTSVVTANPATVQHILKTHFSNYQKGANFVTTLSDFLGAGIFNANGQNWKFQRQVASHEFNTRSLRKFVEQVVDAELNHRLIPILNESQSQSKTIDFQDILQRFTFDNICKIAFGFDPEYLTPSLKASNFAKAFEEANIISTKRFREPLPIVWKIKRKLNIGSEKRLRIAVSEVQEFARKIVREKKKELEEKASLDSVDMLSRFLSSGHSDEDFVMDIVISFILAGKDTTSAALTWFFWLLSKNPRVEKEIIKEIREKSEGVTVYEEVKDMVYTHAALSESMRLYPPVPMDGKEAMNNDVLPDGTIVKKGMSVTYHVYAMGRMESIWGSDWAQFRPERWLESVVESGSRKWSFVGKDSFTYPVFQAGPRICLGKEMAFLQMKRVVASVLNHFRVLPLVADPEFISYLTSQMKGCFFQCRLCHLVEVSKWDYAIFWQVVGLKSGGYALKWGDGYCQDRKDGRRNEPDAEGEAKKRYVLQKLHEVCGLTCDSYEAAQALGASQAYGNSSNGCVVGDNSEAKLFPQ >OIW12191 pep chromosome:LupAngTanjil_v1.0:LG05:4951809:4952675:-1 gene:TanjilG_28599 transcript:OIW12191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESEWKTNAEISSPNCPRCGSSNTKFCYYNNYSLTQPRYFCKGCRRYWTKGGSLRNVPVGGSCRKNRRSNKNLRQSIDSLTFKNLPCNNPIEHSYDPRRTTYSSSSVVSTCGPNIDLALVYANFLNQKPDSGAEVENQDQVHAVFDPSLENSRLSNKEIGPSPMLSEELALSACFNLPEHSSTGSHFCDGNNPVYFSGFNSMKIHHESRIEQCNNHHDSINFELPPLPGEEEVSHDMMWTNSEMMVNNAFQATQPSLFGPNAHDDANLLMGNWSPFDLSRDASFPKPR >OIW11325 pep chromosome:LupAngTanjil_v1.0:LG05:24649486:24656574:-1 gene:TanjilG_20474 transcript:OIW11325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMPARRSNYSLLSQLPDDQFSGATTTPPFDSSSGDGNNYNYNNRHGNRIGNLYPPSIALQRQSSGSSFGESSLSGECYVPTISNAAANDIDGYGYGDVRVKLAEDVVVRTGGTLGKSWAQQTEENYQLQMALALRLSSEAACADDPNFLDPVPDDSALRSSSSLNSEEAVSHRFWVNGCLSYFDKIPDGFYLIHGMDPFVWTLCTDLLENGRIPTVDTLKSIDPSADSSLGVVLVDRRSDASLKELQNRAHNISSSCITTTEVVDQLAKLVCNYMGGSASVREDDFFPIWRNCINYLKDCLRSVVVPIGNLSIGLCRHRAILFKVGFPFCVVLADTIDIPCRIAKGCKYCSRDDASSCLVRFGLEREYLIDLIGNPGCLCEPNSMLNGPSSISFSSPLRFSRLKPAEPTIDFRSLAKQYFSDCLSLELVFDNGSAEQLDGKHKDRNNPRPIAKDSNISSIIPLHPQAYHPSPHDQGSETFKSSDIIESTGKDPLPLKHNRPGHRDIQTPLALTNSNVDVIESRRFVEGSQLIPSKPTRDLALDMEDLDIPWGDLVLKERIGSGSFGTVHRAEWNGSEVAVKILMEQDFYAERSREFLREVAIMKLLRHPNIVLFMGAVTQPPNLSIVTEYLSRGSLYRLLHKPGAKEMLDERRRLSMAYDVAKGMNYLHKRNPPIVHRDLKSPNLLVDKKYTVKVCDFGLSRLKANTFLSSKSAAGTPEWMAPEVLRDEPSNEKSDIYSFGVILWELATLQQPWNNLNPAQVVAAVGFKGKRLDIPHDLNPQLASIIESCWANEPWKRPSFSSIMDSLRVLLKPPTPQPGRPNMPLLA >OIW11572 pep chromosome:LupAngTanjil_v1.0:LG05:21892722:21904655:1 gene:TanjilG_26938 transcript:OIW11572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIISNGVCSFRTCLRLPEIPLLRSSPPLSSFSHLRRRFLATAVNAATRNKEKVIVISGPTGSGKSRLALELAKRLNGEIVSADSVQVYRGLDVGSAKPSATERKEVPHHLIDILHPSEDYSVGQFFEDARHATRCILDNGRVPIVVGGTGLYLRWFIYGKPDVPKASPEIISEAYLELAELQRNEDWDAAVQLVVKAGDPKAQFLKLNDWYRLRRSLEVIKSSGSPPSAFRVPYDSFREKDDCSAADVSESSEVNTYGDAMEETKSADLEYEFMCFFLSNQRVDLYRAIDYRCEDMLLGRDGILSEAQWLLNMGLLPNCNSATRAIGYRQGMEYLLRCREQGGQSSVGEFHKFLSEFRKASRNFAKRQLTWFRNESIYQWLDASKPLETVLNFIHDAYRDQSGSIVVPENLRMARDISNGREAFLLKSYHTQNRHFLNRDDCSPILDWISESQKLKA >OIW12024 pep chromosome:LupAngTanjil_v1.0:LG05:9404575:9407258:1 gene:TanjilG_27321 transcript:OIW12024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVVIYQNAFWRRLLFHNVRRLRIQQRIKSTELGIFKDEQDSDLPNFPSFIPFLPPLTSSNLKQYYATCYSLIAGIILFGGLLAPALELRLGIGGTSYADFIRNMHLPMQLSQVDPIVASFSGGAVGVISALMVVEINNVKQQEQKRCKYCLGAGYLACARCSSTGAIVLIEPVSTLSGGNQPVSLPKTERCSNCSGSGKVMCPTCLCTGMAMASEHDPRIDPFD >OIW12020 pep chromosome:LupAngTanjil_v1.0:LG05:9786255:9786578:-1 gene:TanjilG_16131 transcript:OIW12020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGKSSKLPQKTFLKEILKRCSSLGKKQGYDHDQGVCLDVPKGHFVVYVGENRSRYIVPISILSRPEFQTLLHKAEEEFGFDHEKGLIIPCDEDVFESLTSMLRCN >OIW11511 pep chromosome:LupAngTanjil_v1.0:LG05:22733500:22735633:-1 gene:TanjilG_26877 transcript:OIW11511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKNRNKKNKNGVVSMDTAEPLVSETPQAMDTSESGTQNRVASATNLKVKQKGRPMKRTKNVRKMKAIEKAISANEKSVEKISKNENKKTRVQSAKTLYE >OIW11890 pep chromosome:LupAngTanjil_v1.0:LG05:11853859:11859547:1 gene:TanjilG_25803 transcript:OIW11890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVLKGIAKQGLASHEGVAIRADQKSYSYLQLISSAQKISNLLCGDVKTGNLGGARVGIVAKPSAEFVAAVLGTWFSGGVAVPLALSYPEVELLHVINNSDVSTILSTEDHSELLQSVANKTSSQFFLIAPVPNKSSEKIRDENLQAGEIDPDRISFKSIGRTSEDPALILYTSGTTGKPKGVVHTHRSIAAQVQTLTKAWEYSSADQILHCLPLHHILESFYDIFMMSILNIYDIHVHGLFNGLLASLYAGSTVEFLPKFSVRGIWQRWRESYPTEGSKSDDAITLFTGVPTIYTRLIQGYHAMDPELKATSASAARNLRLMMCGSSALPQPVMQEWEAITGHRLLERYGMTEFVMALSNPLKGERKAGTVGKPFPGIQVKILADEDNKSETKGVGELCVKSPSLFNQYWKLPEVTKESFTDDGFFRTGDAATTDEDGYYIILGRTNADIIKVGGYKLSALEIESVIVEASSYSFLNLRLFVHPAISECCVLGIPDKDYGEIVGAIIVADADLKRKRDEESKPPLTLEELSTWAKDKIAPYKIPTRLIVWDSIPRNAMGKVNKKELKKLLVSEE >OIW12099 pep chromosome:LupAngTanjil_v1.0:LG05:7884953:7889223:-1 gene:TanjilG_06304 transcript:OIW12099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMGEVENNKQVIFKGYIDGVPKESDLEIKVTKTELKLPHKGSEAILVKNFYLSCDPYMRGRMRDFHGSYIAPFVPAQVLEGFGVSKVIDSDHPNFKPGDFISGFTGWEQYSLIKKTEQLRKIQPDDDIPLSFHIGLLGMPGFTAYAGFYEVCSPCKGEYVFVSAASGAVGQLVGQLAKLHGCYVVGSAGSKEKVEILKNKLGFDEAFNYKEESDLDAALKRYFPQGIDIYFDNVGGDMLDAALLNMNIHGRIAVCGMVSQQNLSSPKGIHNLLNLITRRIKMQGFLQSDYLHLYPQFLEHVASNYKQGKILYIEDMNEGLESAPAAFTGLFYGKNIGKQIICVARE >OIW11826 pep chromosome:LupAngTanjil_v1.0:LG05:14032982:14033428:1 gene:TanjilG_14638 transcript:OIW11826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSSKRVLLTSNGDDVSQGIAFHLAKQGCRLVLMGNEATLRSLALKIKGTFQGAAGFDPVVVEVVGLDMEDQKESVFHDSVDKACQILGKLDAFVNCFTNEGKPSFVVFSRAQLGARLVH >OIW11276 pep chromosome:LupAngTanjil_v1.0:LG05:26282407:26284848:-1 gene:TanjilG_28367 transcript:OIW11276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSIPNTLIPFPRTNQSSFKSNHPKFQAPPFISKTITTNTKPTFTCNSSLSSTINDLQQQQQPQQKQSYEPDIANIWRKIHGQDNWVGLLDPMNPVMRAELIRYGDMTQACYDAFDYDPYSKYCGGSRYSLLEFFESLNMTHLGYTATRFLYSTANVNLPKFFKKSRWPDKLWSPHANWAGYVAVSDDATTKALGRRDIIVSWRGTVTNVEWVADLTNILTPLYEGIPNCKDEKIKVEAGFLDLYTDREKVCGYCKYSARQQVLGEVKRLVEKYPNDELSITITGHSLGSAMAILSAYDIAETKLNVAKNGRKIHVSVFSYSGPRVGNLRFKKRLENELGVKVLRVHNTHDLVPKSPGIILNETLPSWLLNLVQDFPWCYTHVGEDLELDHKKSPHLNPNGDSACAHNLEAHLHLIDGYHGKNEEFKLTSGRDLALVNKGSDFLVDALAVPPRWRQELNKNMTLTEDGKWVQAERTKLEDHPEDIDFLLQQLGLAAP >OIW12443 pep chromosome:LupAngTanjil_v1.0:LG05:1027285:1028469:1 gene:TanjilG_04192 transcript:OIW12443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVLHPPQTKEDNYNVVALKSTTLGSLDEVSHQNYDSNGCIKFPNGDRLSYSDFFGSQNLGLRKENSIDDVAEEAKEWSNMIEQKLPKAIVVPMTPTMTPPCEPETINTWELMEGLEDISPLWSPIHFKSFSFDVNYNHVVEVDPHRCSFMENGTTSNKPFWIQGESRLNLKPVVSDFDDDLVSSLLRKALEEKEESLAVKGLSFEEKKINGDDDRVAVMDFKSCRKVKDKVVLYFTTLRGVRKTYEDCYHVRMILKGLGVKVDERDVSMHSGFKEELKELLGDGLSKGRLLPRVFVERNYIGGADEIQKMHEDGKLDKLFDCCEKIDANDALCEACGDIRFVPCETCNGSCKIYYDDNDEEKEAEDGEMGEYGFQRCPDCNENGLIRCPICCY >OIW11577 pep chromosome:LupAngTanjil_v1.0:LG05:21853657:21856759:-1 gene:TanjilG_26943 transcript:OIW11577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHSGNPISDVVGFVSNDSITDRFRDSVNCGEFNKPDFRELDLGSPISPLRTRAPGSGPVASSSSSSSGSFSGGRTGKNLVPKRSESAPAICNTNNSGELSGSGENSPSNARGGSGSKSGHARSDSGTAAPLIYSGQSVNSPVLNVLPTGNICPSGRIVKTGMTVNRGSRSDVLGMGTGNYGHGSIMRGGKEVLGVGNVKGVGIGSTEMVKKGVQSLDPEELKRLGNENYKRGHFVEALSLYDRAISLSPGSAAYRSNRAAALTGLGRLVEAVRECEEAVKLDPNYVRAHHRLATLFLRLGQVENARQHLSHPGLHPDPSQMQKLQMVEKHISKCADVRRVGDWRSVLREVDAAIAAGADSCPQLFMCRAEALLKLHQIDDAESILLQSLKSERHTSTSSEARFFGMISEAYSYFIRAQIELALGRFENAVTAAEKASQIDSRNVEVAVLLNNVRMVARARVHGNNLFKSERFTEACSAYGEGLKLDPSNSVLYCNRAACWFKLGQWERSIEDSNQALLIQPNYTKALLRRAASNSKLEKWEEAVKDYEVLRRELPNDNEVAEALFHAQVALKKSRGEEVCNLKFCGEVEEVSGLEQFRAAISLPGVYVVHFKIASNSQCKQISPYVDTLCGQYPSIKFLKVDIQECPTIATAENVRIVPTFKIYKNGNRVKEIVCPSRDMLEHSVRHYSL >OIW12029 pep chromosome:LupAngTanjil_v1.0:LG05:9464404:9467277:-1 gene:TanjilG_27326 transcript:OIW12029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRNQYDTDVTTWSPAGRLFQVEYAMEAVKQGSAAIGLRSKTHVVLACVNKSNSELSSHQKKIFKVDDHIGVAIAGLTADGRVLSRYMRSECINYSYTYESPLPVGRLVVQLADKAQVCTQRSWKRPYGVGLLVAGLDESGAHLYYNCPSGNYFEYQAFAIGSRSQAAKTYLERKFDNFNASSREDLIKDALIATRESLQGEKLRSSVCTIAVIGVGEPFHILDQETVQKLIDEFEIVREEEVPPTEEPQPEPEQDAAADQGAGAGQGGGAGQGGAAGEGAAPMDI >OIW11597 pep chromosome:LupAngTanjil_v1.0:LG05:21581165:21584233:-1 gene:TanjilG_15291 transcript:OIW11597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSGTRQPTWKERENNKRRERRRRAIASKIFSGLRMYGNYKLPKHCDNNEVLKALCNEAGWTVEADGTTYRKGCKPVEQMDMVGRSSVATPCSSYNPSPCASYNPSPCASYNPSPGSSSFPSPCSSFNAANPNNAADGNSLIPWLKNLSSGSSSASSSKFPQLYIHSGSISAPVTPPPSSPTAGTPRLKADWEDQSNSNRPPWLGQQQYSFPPSSTPPSPGRQVLDPNWFAGIRIPQGGQASPTFSLMANSNPFGFKEEVFVGSTSGSRMWTPGQSGTCSPAVAASSDHTADIPMTEAVSDEFAFGSSTTVGLVKPWEGERIHEEIISDDLELTLGNSKTRY >OIW11476 pep chromosome:LupAngTanjil_v1.0:LG05:23186442:23189173:1 gene:TanjilG_26842 transcript:OIW11476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGSIMGMVNAYSNGSVHNGNGLEEKLDELRALIGKSDGDPLRIVSVGAGAWGSVFAAILQDSYGQFRDKIQIRIWRRSGRAVDRGSAEHLFEVINSREDVLRRLIRRCAYLKYVEARLGDRTLFADEILKDGFCLNMIDTPLCPLKVVTNLQEAVWDADIVVNGLPSTETREIFEEISKFWNERITVPIIISLAKGIEAALKPVPHIITPTKMINQATGVPMENILYLGGPNIASEIYNKEYANARICGAEKWRKPLAKFLRQPQFAVWDNSDLVTHEVMGGLKNVYAIGAGMVAALTNESATSKSVYFAHCTSEMIFITHLLAEKPEKLAGPLLADTYVTLLKGRNAWYGQMLAKGQLSPDMGDSISGKGMIQGVSAVEAFFELLSQSSLNVLHPEENKPVAPVELCPILKTLYKILISREQSSQAILKALRDENMNDPRERIEIAQSHAFYKPSLLGQQ >OIW11958 pep chromosome:LupAngTanjil_v1.0:LG05:10384706:10386940:-1 gene:TanjilG_02165 transcript:OIW11958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKMGLSSNKLKTLFVVCCIVFPFALTATLKFKNSSITFFEGFSQNKVLGSETTQKNVTTRGSVQNTTHENSAGTTKNNNTVSEVLQREIQNDRDPIEERTTARDNTASEIGLINAPLTSSSNSSSSDSIHDDQEKLLDGLLASGFDEASCISRLQSHLYRKVSPHKPSRYLISKLRNYEELHRRCGPNTIAYNKSMAYIENSKNNNGDGTMCKYLVFSPANGLGNRIISMAATFLYAILTNRVLLVRFGEDMHGLFCEPFLNSTWTLPNNSPFWNQEHVETYDTILDKDKANNSKHDSPSALFLNHQFTKGNPEKFFHCDHIQDLLRNVPLLILQTDQYFVPSLFMIQSFNLEINKMFPQKDTVFYHLAHYLYHPSNEAWRQITTFYQTYLAKFDEIIGIQIRVFRPDITSNQAIIDLVLNCTIENKLLPKLVTQNSVSYIQNHTRKAILVTSLSPNYGENLRAMYQNKQSISGEVIEVYQPSHEEKQKFGDNMHNMKAWTEMYLLSLSDILVTSSLSTFGYVAQGFGGLKPWILVKPHKKKPNSAACKQDFSSEPCFHYPPMHECNGKFQKHFSSSFPYLWECKDSHSGVKLISRQRSVVRNSIFANKNMYKISKHMK >OIW11256 pep chromosome:LupAngTanjil_v1.0:LG05:26187726:26189126:-1 gene:TanjilG_28347 transcript:OIW11256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFPLFSLFLNLFIFTVFSIITPSHQQPPLDPTEQESLYKVLHSINPTIPWPTLFPDDLCLSSPHGILCDYPLSNNNNNNNYSHIVELNFGYVSDETPNPPCSFNSKLNSLLFTSFPYLQKLLFFKCFNQTQNQISFPTNITFTFSSNIQELVFIDNPSLVTPLSSIIQNFTNLRRLVLIGNGVCGEISNKVDNLVNLEELTLSSNNLSGLVPTSLDKVKKLEIIDLSHNNLEGCVPESFGNLTYLVKLDLSYNRFGCRIPESLRYLQSLEFLDLSFNLFGHFGVPLFLGEVPSLKEVYLSGNLLGGVIPEIWENLGYVIGIGFSKMGLIGNIPNSMGVYLKNLTYLGLDNNNLVGPVPEEFGLLKFADEINLENNNLSGRVPSSVKVVGYKLKLEGNEGLCVEEKSRCSDDNNVCSFSQLKQCNYKADMDVVNAVLFNSGVVSLVPFDTLMLLLVFMLLLFVFT >OIW11895 pep chromosome:LupAngTanjil_v1.0:LG05:11913808:11919113:1 gene:TanjilG_25808 transcript:OIW11895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNSEATHVAMEIEASKPTGNVTTLPGLSPLSETIWREKTDTEIIGDVSARLTWKDLTVMVTLSNGETQNVLEGLTGYAEPGTFTALMGPSGSGKSTLLDALSSRLAANAFLSGTILLNGRKAKLSFGTAAYVTQDDNLIGTLTVRETISYSARLRLPDKMPWSDKRALVESTIVAMGLQDCADTVIGNWHLRGISGGEKRRVSIALEILMRPRLLFLDEPTSGLDSASAFFVTQTLRALARDGRTVIASIHQPSSEVFELFDQLYLLSGGKTVYFGQASEAYEFFAQAGFPCPALRNPSDHFLRCVNSDFDKVKSTLKGSMKLRFEGSDDPLDKITTAEAIRTLLDFYRTSQQSYAARQKVDEISKVKGTVLDAGGSQASFFMQSYILTKRSFINMSRDFGYYWLRLVIYIVVTLCIGTIYLNVGTGYNSILARGSCASFVFGFVTFMSIGGFPSFVEDMKASFVPYVFQRERLNGHYGVLGFVISNTISATPFLILITFLSGTICYFMVRLHPGFWHYLFFVLCLYASVTVVESLMMAIASVVPNFLMGIIIGAGIQGIFMLVSGYFRLPHDIPKPVWRYPMSYISFHFWALQGQYQNDLKGLIFDNQTPDLPKIPGEYILEYVFQIDVNRSKWIDLSVILSMIIIYRIIFFIMIKINEDVTPWVRGYLARRRMQQKSGAQNTTIAPDVLTQSPSLRTYISNQTKNGTSRS >OIW11409 pep chromosome:LupAngTanjil_v1.0:LG05:23846027:23847657:1 gene:TanjilG_10727 transcript:OIW11409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKGKQVSMKVKIADSVPSSGWNIFPHFKVDLVNKVDTKNSIAKAGQKEYSEGTTSSTTQTELELQSQEDFESVISASEETPSLKYVQFEIRKPPLENTQSLKQTYYKPTAAPLYPPIYDDGPKVEPLINLSEVLDINSLGPEEAAFFPLLEEVCLKHPSLIESLMKKSPKYILWSFTALGQVLHFLKTMKVKNMNKEACKHLECLWDEVQLFGFNLTWLEPYIAHALNVEACLEKAEKVKILKEDVVNLEIELRMLKTKLAVAEVALDIARKDLEEVEKGFEERDINAEMGYGT >OIW12086 pep chromosome:LupAngTanjil_v1.0:LG05:7967344:7970870:1 gene:TanjilG_24834 transcript:OIW12086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYIGGINRLKNHLTGRSGNVKTFTEFPKEVREELWAIFNEKQHKDVATYQTVVQDARDILGDSDLEGEINEGLEETTQTASSDVRRRKKGHIDLIYRKPETARKPEKAEDGSSSSTKGKEKVLVEVVEEVDEGVDEEMEHGQSEKEAVTFECNDSNQEEIEGYVVEFAVVEDDVGEEEEDI >OIW11350 pep chromosome:LupAngTanjil_v1.0:LG05:24324632:24328008:-1 gene:TanjilG_19606 transcript:OIW11350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVRGIISLLFLISVLLEFNVVYSYTRPPPRKNIFTPLSDDDDASSPQQVRVSQVGKDRMRISWFTIYPTPATVQYGLTPSANSFNATGVIDSYHYMFYYSGPVHNVIVGPLKPNTVYYYRMGDCTKVYNFKTPPSHFPIKFAVVGDLGQTEYTKTTLQHISDPGYDMLLLAGDLSYADTIQEQWESFGRLIEPLASQRPWMVTTGDHDIEKITFFHRRSFTAYNTRWLMPFNESGSNSNQYYSFEVAGVHIIMLGSYTDFDSNSNQYKWLQGDLNKVDRKNTPWLVVMFHAPWYNSNTLHQGEYASVEMKAILEDMLYKARVDIVISAHIHAYERFTRVYKEKANKCGPVYITIGDGGNRDDFNPYFMDPPPDISFFRERSFGHGTLEVTNATHALWTWIRNHDDKPVTTESLWLTSLSSDSACNGN >OIW12110 pep chromosome:LupAngTanjil_v1.0:LG05:7326673:7327780:1 gene:TanjilG_31217 transcript:OIW12110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSKSSLVTFPSESTVLDSAEIFEGAGDFERVEVISDKMTGRSRGFGFVTMSSAEEVDAVRDENSRFGNSRFDGNSHFGRGPPRGGDSATDNGHRVHVGNLAWGVDQRALEELFEKHGRVLEAKVIYDRESGRSRGFGFVTYSSPKRSTKLFSP >OIW11453 pep chromosome:LupAngTanjil_v1.0:LG05:23435651:23438110:1 gene:TanjilG_26819 transcript:OIW11453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEAPAFFVDDLQNEPLNGFELKNGTCKTNTTIGYKTYVIGGADDGTLSIEVQIFDRNRGEWVHPTVHGTKPMSCKGHSAVLLKDRILVLKKGSKPDDQIWFLEFDTEYVRQLQKNLGTEVVAWSKGVTGNAEKPIVISGPSGVGKGTLISMLMKEFPSMFGFSVSHTTRAPRNMEKDGVHYHFTEKCLMEKEIKDGKFLEFASVHGNLYGTSVEAVEVVADAGKRCILDIDVQGARSVRASSLEAIFIFICPPSMEDLEKRLRGRGTETEEQVLKRLRNAEAEIKEGKSSKIFDFILYNDNLEECYEKLKKLLGLDGFVAAAPKSEPKEIILPMDHSLSKIDDKIIINCMPSELDKDSKNLIMLDVSSLKGGAPGRTRGLDFQAVSSFSECLTGANQFS >OIW11441 pep chromosome:LupAngTanjil_v1.0:LG05:23538889:23541872:-1 gene:TanjilG_26807 transcript:OIW11441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKKRARVSDDEQIEEDTQQQKSLYEVLGVEKTASQQEIKKAYHKLALRLHPDKNPDDEQAKEKFQQLQKVISILGDEEKRELYDQTGCVDDDDLAGDVVHNLHKFFRTMYKKITEADIEEFEANYRGSDSEKTDLIDLYKKYKGNMDRLFCSMLCSDCKLDSHRFKDILDEAIAAGEIKERKAYKKWAKEVSETKPPTSPLRRRKPKSNKKPDTDLYAIISQRRDERKGRFDSMFSSLISKYGGSDMPEPSEEEFEAAQRKLESGRSSKKSKKSKHK >OIW11801 pep chromosome:LupAngTanjil_v1.0:LG05:15939569:15942749:1 gene:TanjilG_21135 transcript:OIW11801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDELGSEDYQLEERAVKRIRKPTKRYIEQLSENESREHNPRLISSSKNIGLGHTRPARNAPPEEVRMFITRVDTLGGSSVQIHCVSRLRRSRARKNMTSLMNFLPIGMGEDAKLGNEDLGEHGLHDSNSDYESPDKVLKLHQLCASQPGKEQCPALDTIELQQELKPEKTDPSGRTSDCNIATVPTTKGGVRRKHHQAWTLVEVMKLVEGVSQCRAGRWSEIRRLSFSSYSYRTSVDLKDKLRNLLKASSAPADDGVRVYESYFVL >OIW11208 pep chromosome:LupAngTanjil_v1.0:LG05:25881337:25884251:1 gene:TanjilG_28299 transcript:OIW11208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAARKLKSHRRRQRWADKSYKKSHLGNEWKKPFAGSSHAKGIVLEKIGIEAKQPNSAIRKCARVQLIKNGKKIAAFVPNDGCLNYIEENDEVLIAGFGRKGHAVGDIPGVRFKVVKVSGVSLLALFKEKKEKPRGIEAKQPNSAIRKCARVQLIKNGKKIAAFVPNDGCLNYIEENDEVLIAGFGRKGHAVGDIPGVRFKVVKVSGVSLLALFKEKKEKPRS >OIW11837 pep chromosome:LupAngTanjil_v1.0:LG05:13311014:13311384:1 gene:TanjilG_14649 transcript:OIW11837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTQKSQPEKHSSAATAPSAITSCRKKKSEEATFLEDLKDHIDEFINASMDEHRTCFKKTVQKMFSLSKVVSERNSNDVKEVESSLPLRTTVQD >OIW12306 pep chromosome:LupAngTanjil_v1.0:LG05:3250939:3256530:1 gene:TanjilG_06095 transcript:OIW12306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMWRVFASLAFTKNHLHNNLSSNSLLLKSGLFSNSILRKTSSLNYVICKVCDQEFIFGRHYNIKVSPFLGHLSFHSGSFLNSNDKVVEPLQDSGKSSSADGANNTKVERKKFKGKRAVVRWLKFFRYKKRKEHERMTAEEKLLYKLLKARKKEERLCEALKKIEPADSSELTHDPEILTPEEHFFFLKMGLKSKNYVPVGRRGIYQGVILNMHLHWKKHQTLKVVVKTFSPEEVKEIATELARLTGGIVLDIHEEETIIMYRGKNYSQPPTEIMSPRITLSRKRALDKSKYRDGLRAVRKYIPRLEQELEILRAQLRSTTDSNADAVEGVQIGDKESIESGNSSTFRIETADKIREMINDNIGYSEDETEMDSELDSDPDKLSDIFETDSETENLVKEEKPLYLDEFDNFREQSDAETDDFEEHLRQLSLNSKNVEKDVNSPKFDEVDMIFLRAASFLKKKKK >OIW11698 pep chromosome:LupAngTanjil_v1.0:LG05:19341950:19342597:-1 gene:TanjilG_12217 transcript:OIW11698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLLKLRPFLPNHLYRQPLHLTLVGLNASNINLLSRSFGQAARKEEDDVEEVELDQRSLPADFDPETFDPINHRGPPSERVFRLVDEMASLTLAEAAELGPILMKKLGIKEMPTVGYMKAGAANLAGMAMKAPTEVKEEKKPEKTVFELKLESYEAASKIKVIKEVRGFTDLGLKEAKDLVEKTPSIIKKGVSKEEGEQIIEKLKALGAKVVME >OIW11442 pep chromosome:LupAngTanjil_v1.0:LG05:23531272:23536181:-1 gene:TanjilG_26808 transcript:OIW11442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METDNNNSDTRSKKIEDYEVIEQIGRGAFGAAFLVLHKSEKKRYVLKKIRLAKQTEKFKRAAHQEINLLAKLKNPYIVEYKDAWVEKEDHICIIIGYCEGGDMAENIKKARGSLFPEQCSNIFLTKDKNIRLGDFGLAKRLHTKDLTSSVVGTPNYMCPELLADIPYGYKSDMWSLGCCLFEIAAHQPAFRAPDMAGLINKINRSSISPLPIVYSSTLKQIIKSMLRKNPEHRPTAAELLRHPHLQPYVLRCQNASSNVLPVYPLVNSKDKTRSPHKSSGSNDHEDREAGLVNRSDRVHPIEGNGDVQPSNVANDGELTVLTSADDNLETKTVYLSSYIVESTTSTSGSKDGSTTSESTICSVCKDTDFKSRPARETADDKITSNSTQDSVHEEQGLAVEHFQKLEVIDINAVTAEVEDYFSNVGFDNVEAHKEEAELEDSGKSAMSSAGSSSTDKDKLIDTASIDKDKSTDEESSLLIMHPSRAENETKSENCFKKSENAEAFTEVLHMNCLPSENNDTLPVKDEAKEKTHITTCPTREKDDSAVMVEQKPSGVSLSTITTTKNALDNPLQQRADALESLLELCAQLLKQDKLEELAGVLRPFGKEAVSSRETAIWLTKSLLSAQKFNPEN >OIW12053 pep chromosome:LupAngTanjil_v1.0:LG05:9028714:9055280:-1 gene:TanjilG_24477 transcript:OIW12053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSSADDLDLRRASESAIEDPNQNIIISIRVAKTHGIIGKSSKLTRHMAKPRVLALSTKSKGQSTTAFLRVLKYSTGGVLEPAKLYKLKHLSKVEVITNDPSGCTFTLGFDNLRSQSVAPPLWTMRNIDDRNRLLLCILNTCKDVLGRLPKVVGIDVVEMALWAKENRPSVSTQRNVQDGGPVASAVPENELKVNVEKELVSQAEEEDMEALLGTYVMGVGEAEAFSERLKRELQALEAANVHAILESEPLMDEVMQGLESATICVEDMDEWLGIFNVKLRHMREDIESIETRNNKLVMQSVNNKSLIEELDKLVERLRVPSEFAASLTGSSFDEARMLQNVEACEWLTNALRGIEAPNLDPAYANMRAVKEKRAELEKLKSTFVRRASEFLRNYFASLVDFMISDKSYFSQRGQLKRPDHADLRYKCRTYARLLQHLKSLDKNCLGPLRKAYCSSLNLLLRREAREFANELRASTKASRNPTVWLEGSTGSGQNANATDTSTVSDAYAKMLTIFIPLLVDESSFFAHFMCFEVPTLVPPGGIVNGNKIGYDDDDNDDDLGIMDIDENDSKSGKTSAELAALNESLQDLLDGIQEDFYAVVDWAYKIDPLRCISMHGITERYLSGQKADAAGFVRRLLGDLEARISRQFSRFVDEACHQIERNERNVRQMGVLSYIPRFATLATRMEQYIQGQSRDLVDQAYTKFVGIMFATLEKIAQTDPKYADMFLLENYAAFQNSLYDLANVVPTLAKFYHQASEAYEQACTRHISMIIYYQFERLFQFARRIEDLMFTVASEEIPFQLGLSKMDLRKMLKSSLSGVDKSIAAMYKKLQKNLTSEELLPSLWDKCKKEFLDKYENFAQLVAKIYPTENIPSVAEMRDLLASM >OIW12213 pep chromosome:LupAngTanjil_v1.0:LG05:5629393:5632355:-1 gene:TanjilG_28621 transcript:OIW12213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWIPCSGISKTKKKIKKKMMEVKESIVDPVRTTPGKMKKNTSMNSKDSSKNGGNTDHIAAQTFSFRELAAAARNFRAECLLGEGGFGRVYKGHLENINQIVAIKQLDRNGLQGNREFLVEVLMLSLLHHPNLVNLIGYCADGDQRLLVYEYMPLGSLEDHLHDITPSKKRLDWNTRMKIAAGAARGLEYLHDIANPPVIYRDLKSSNILLGEGYHPKLSDFGLAKLGPVGENTHVSTRVMGTYGYCAPEYAMTGQLTLKSDVYSFGVVLLEIITGRKAIDDSKSAREKNLVAWARPLFKERRKFSHMADPMLQGQYPPRGLYQALAVAAMCVQEQANMRPVIADVVTALSYLASQKYDTDT >OIW11326 pep chromosome:LupAngTanjil_v1.0:LG05:24642371:24647217:-1 gene:TanjilG_20475 transcript:OIW11326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPRRSLRGIEPGAKKPRLINDLDRGSVLDPRRLPQRASGHGGDDGGGYHPQPPPHQELVVQYKTALAELTFNSKPIITNLTIIAGENLAAAKAVAGIVCSNILEVPSDQKLPSLYLLDSIVKNIGRDYIKHFATRLPEVFCEAYRQVDPPVHSNMRHLFGTWKGVFPTQTLQMIEKELGFTSAANGSASASVRSDSQSQRPPNSIHVNPKYLERHQQSIKTKGGVNDMNGAILKSKGEPERVLGSGRPPLDPRVTMQNNQRTNRDAFNDSVPEKSIGESFGGNEYSSDTSNNLSLVGRTGGRITELGYDKPWYKAGGGFAETRSGQGNGFSVKPGFSNHEALKSVKLDAHRQPALNIANKRSSMMSSNWKNSEEEEFTWDEMNSGLTGHGALNVSDNLSTDTWTSDDENLEGEDHLQIAQISNFKKQRPVFAGHQSLSWKMQDQHSIDKLKLKPGHSKELLSTLGGFQTNTNSLSIGMGNRPFMPNATIGMAEVMRQQQFDSGGAESPSGQSPLREQSPSPPRIVRNPHPVRSLAEQDRSHSLKTSQYLKALPSQHIKDPLPALPPNVQVDHLRKSQERDLQDPLSSATSFQPRLQQQKLGPSQTDVTVKTKKPPQSKVTLARETSEQLTTSSLSAAAVKSGTLSNKSTTSSVHTTSSLDKRNLKPKFGVRPTQSSGPSPTTLISSVSVVALPSSLGPSNSDSPTLPKLPQRKAGQPQRVSTQLPASSNVSNASNTTSNASTSNTSNPIANLLSSLVAKGLISSETESPTKVPTEMPSRLEDQTESVAASSSLPVVPVSVSAAVPVSSSRDEVDDTTIPTLALSKSTSTEFINLIGFDFKPDIIREMHPHVISALLDDLPHHCTVCGIRLKLQEQFDRHLEWHAAREREQSGLIMASRKWYAKSNDWIAGKAEYPSECELTDSVDVYDNETEENQLDTMVLADENQCLCVLCGEVFEDVYCHERDAWMFKGAVYLNNTDGDGDSEIENRNLGPIIHARCLSENPISSVT >OIW11615 pep chromosome:LupAngTanjil_v1.0:LG05:21081658:21085383:1 gene:TanjilG_31894 transcript:OIW11615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNYAVEYRGVKSKEEKGMEQIEEQRVIEAKIRMRKQELHDEEERMNSKWEISSINMNVEVADVEYSSNAVAASSTSVVEHEEIVSSQGPCATSMVRPPSSARTNRDDEFDVDLEDIMVMEAIWLSIQENGRQRNLSFADATPGQYIGNNRSASSVVSQKTGSSSSPSGGLACAIAALAERQQTAGESSMSSSSENTTSLNMLQGSRRFYNRLRRDMASYPPIDNLNEVQPDDSVAMARGHSAWSMDHRPQVDETVTITAAADVAELSSLSQSNEIDGSLQGATNPIVPESFEEQMMLAMAVSLAEARAMSSGQGAS >OIW11283 pep chromosome:LupAngTanjil_v1.0:LG05:26324836:26327030:-1 gene:TanjilG_28374 transcript:OIW11283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFITINLSLPIFLFILVATSCLGTPDEEAELDPELTTCIHQCKHQDKYSRDDKQECTRGCHKYHQMKKQRERQIEEQVRRRKEHEMEQVKEKQRHQGEEEEEEEEEENPFLFDDDDFETRFETEDGRVRVLHRFTKKSNLLRGIHNFRLATLEAEGHTFVSPCHFDSEAVFFVVKGRATIGMVREEKTERFNLEQGDIIRVPSGTPVYLVNRDHNQKLFIAKLHMPLAVPGKFEAFYGPGGRNPESFLTAFSWELLEAALKSPREKLERLFQKQEKGGIFKISKEDVESVSKVKGSGIWPFGGRSSGPFNIFKSPIMSNQYGRLFETNLDDHSQLKDLNLILSFANITNGSMTAPMYATRATNVIMVSDGKGYIEMVCPHVSSTHSYHPFKASLKPGVVFVVPTGHPFIIVASNKNNLQLLRFQLHAQGDNTLTFAGKKNVVKALDKEAKELAFNYPADKVDEIFSRDEDLFLPGPDSYDFEEHARAYA >OIW12121 pep chromosome:LupAngTanjil_v1.0:LG05:7067722:7068870:1 gene:TanjilG_31228 transcript:OIW12121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSRGQGGIQQLLAAEQEAQRIVNAAKNEKLARLKQAKEEAEKEIAEYRAQLEREFQKKVSDSSGDSGANVKRLEQETDTKIAHLKIEAERISNDVVAMLLKYVTTVKN >OIW11935 pep chromosome:LupAngTanjil_v1.0:LG05:10112632:10116403:1 gene:TanjilG_02142 transcript:OIW11935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELDLSKKVADRYLKREVLGEGTYGVVYKAIDTETGQTVAIKKIRLGKQKEGVNFTALREIKLLKELKSPHIIELIDAFPHKGNLHLVFEFMETDLEAVIRDRNIFLSPGDIKSFLQMTLKGLAFCHKKWVLHRDMKPNNLLIGSNGQLKLADFGLARIFGSPDRRFTHQVFARWYRAPELLFGTKQYGSGVDVWAAACIFAELLLRRPFLQGSSDIDQLGKIFAALGTPSPSQWPDMVYLPDYVEYQYVPAPPLRSLFPVASDDALDLLSKMFTYDPKVRISAQQALEHRYFTSAPLPTDPDKLPRPAPKREPKASDFDSHEGPTVLSPPRKSRRVMPGRDGFEGNSLQRDKVEDNVGNSRQSAGDNTGKNDPAPMSLDFSVFGLKPPNRPTINSADRSHLKRKLDLEFQQPE >OIW11659 pep chromosome:LupAngTanjil_v1.0:LG05:20627151:20628196:1 gene:TanjilG_24353 transcript:OIW11659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMLSVNVLMVQGLFFDDLVVMDVVSWTSCYVNYGLPRQGVVVFREMGLNGVKPNSVIVSSILLACLELKILDSGRAIHGFAVRHGMVENVFVCCALISIHSRPVDDGLLIFNSMGRAHSVEPYASHYSSMVDVFSHAGHLDEA >OIW12145 pep chromosome:LupAngTanjil_v1.0:LG05:3470426:3478388:1 gene:TanjilG_28553 transcript:OIW12145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRDKQVEKTKKNVDLISSNATKDADTYKPSVKKSEEKKSDEKKTKEKKFDDKKTEEKKPKKLWDLDNILPGSRTTQRNKIGEVDSEDDEMDVDVDVDSKSNKVKQKWVPTVPQWEKMFCSKVGAVPWKKLVETKKYLSLHENVMNWDDSACIEAFDNAKKRFLASIQGLPYDTPLPDPDIYIDDIDWNSTVNDELIMELESETNVSVDEGVVLLDYSILYKETFGCIGWGDAEVEDPSKQQKQAADTAYYQGK >OIW11709 pep chromosome:LupAngTanjil_v1.0:LG05:19028593:19031590:1 gene:TanjilG_12228 transcript:OIW11709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSRRLAALSTFAIRSSICSKPILTSPLARFHPLQLPHSSNPCATFNPNGAVDFLGFRAYSLLSLNDLRDNIPRKKPTRKGRGIGSGKGKTAGRGHKGQRARKGSKLGFEGGQTPLRRRMPKRGFKNPFSLTFQPVGLGKIAWLINAGKIDSSELITMKTLKDTGAIGKQIKDGVRLMGRGAEQIEWPIHLEVSRVTVRAKAAVEAAGGSVRRVYYNKLGFRALLKPEWFEKKGRLLPKAARPPPKQKDKVDSIGRLPAPTKPIPFLVEGSQDLQVQPLT >OIW12141 pep chromosome:LupAngTanjil_v1.0:LG05:3403072:3405969:-1 gene:TanjilG_28549 transcript:OIW12141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLEESLRSLSLDYLNLLINGQAFGDVTFQVEGRLVHAHRCILAARSLFFRKFFCGPDPPSGLDPVVGEPSRVNSGDTRTTPRTLSVIPINLVGYEVFLLLLQFLYSGQVSIVPQKHEPRPNCGERGCWHTHCSSAVDLALDILAASRYFGVEQLGLLTQKQLANMVKKASIENVMKVLLASRKQEMHQLWTTCSHLVAKSGLPPEVLAKHLPIDIITNIEELRCSSSMPLHHHHHHHHHDLSTAADLEDQKIRRMRRALESSDVELVKLMIMGEGLNLDEALALHYAVENCGREVVKDLLELSAADVNYRAGPAGKTPLHVAAEMVSPDMVAVLLDHHADPSIRTVDGVTPLDMLRTLTSDFLFKGAVPGLTHIEPNKLRLCLELVQSAALVLSREECNANPPSTTAIYPLMSGDPNRSGNNNIGDHDSGSRLMYLNLGVTHMSGGDSGDDDNSHNTRHGSQGGGCNPAMYHHLSPDY >OIW12166 pep chromosome:LupAngTanjil_v1.0:LG05:3975349:3977280:-1 gene:TanjilG_28574 transcript:OIW12166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVIAAYLLAVLGGNNTPSTKDIKSILASVGAEADNDKIELLLSEVKGKDVAELIASGREKLASVPSGGGAVAVAAAPGGGSGGAAAPAAAEAKKPEKVEEKEESDDDMGFSLFD >OIW11994 pep chromosome:LupAngTanjil_v1.0:LG05:10863499:10866013:1 gene:TanjilG_02201 transcript:OIW11994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGTATTISNDSTVKRRRTPQTDAVNTVAKKSVHSSQPSFLKWTFSDAVYVATHHWVPCIFAMGLLFFMNVEYTLHMVPSSSPPFDLGFIATRSLHRVIEASPNLNTLLAFLNTVFVGMQTTYILWTWLVEGRTRATIATLFMFTCRGILGYSTQLPLPQEFLSSGADFPVGNVSFFLFYSGHVAGSVIASLDMRRMQRLKLAWLFDALNLLQVVRLLGTRGHYSIDLAVGVGAAILFDSLAAKYEDSKRKVASANGFGNGSST >OIW12240 pep chromosome:LupAngTanjil_v1.0:LG05:2290767:2291314:-1 gene:TanjilG_06029 transcript:OIW12240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKLRSKSFFKVGNGNKAASSAEKDCNEIKWELRPGGMLVQKRENNLGEGVIIIRVSTMSHLHHISIQPTSTFRELKMILSLVTRLEPREQRLLFKGKERDDNEFLHMVGVRDKDKVLLLQDPAIKEKKLLAMARSQPINNLCCTIGV >OIW11217 pep chromosome:LupAngTanjil_v1.0:LG05:25936448:25942452:1 gene:TanjilG_28308 transcript:OIW11217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHQNYTFVQQQVLPFGDMDLTWLVEFMKGMVKPVAATVVVFLAMALSFSQKLGMEVEMVVAILRAFIQLSIIGFVLQFIFNQDNSGWILLAYLFMVSIAGYTAGQRAKHVPRSKLVAGASILTGTTVTLCVLVLLNVFPFTPRYIIPVAGMMVGNSMTVTGVTMKRLRDDIKVQMNLVETALALGATPRQATHEQVKRALIIALSPVVDNTKTVGLISLPGAMTGLIMGGASPLEAIQLQIVHLAAQVDEYDRYQIGLILSADVTLSSMEIFTTHEWLKATPTVYFKCKDENKTVLPDVKKKRTFYSFKSEESWQPLTNFSSKKCKRCGLYEEDRLLSDDVFDEWEFCPSDFTAPDGKYVRVKEKEFNATFLCPECLSLAGGSTSTSGIGIATPDGKDNRKTVHIVIVVVLSVLVSAIVIVGAVRAFKYWQKKKREQDQARFLKLFEDGDDIEDELGLGTMM >OIW11940 pep chromosome:LupAngTanjil_v1.0:LG05:10212696:10214593:1 gene:TanjilG_02147 transcript:OIW11940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLFSFISHCFLPNGSRNHPIASEVKGSKLVPVDSEGSISVDDQHVLVGSKEPSSLVESVPVISESPSSRGKPLLVDFEVLNSECHRSEIFKYSELEEATDNFDTSRVLGKGSYGTVYSGILKDGRRVAIKCMHQFKMLRLHDEKLHKERLRKFMNEVEILTSLSHENLVQLYGCTFPQGSELLLVQEYIPSGTVVHHLRREGTLTWFTRLKIAVQTASALAHLHASNIIHRDVKTSNILLDSSLNAKVADFGLSRLIPHGVSHITTDPAGTPGYIDPEYYEQCHLSDKSDVYSFGVILVELISSLPAFSEDDKQPYLSDFATDKILCGHLEKLVDPALEFQSDEWISETITAVAELAFRCLQRRRDMRPSMGEVLNTLESIRSGTSKSALTWGPNSTYKATKVQIVSTLPDDYFGKR >OIW11902 pep chromosome:LupAngTanjil_v1.0:LG05:11364126:11365487:-1 gene:TanjilG_18175 transcript:OIW11902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKKIRKSLQNYLSKIKIPRSKVHIPSKQRFLSVRKHRRTSSFVNDDISPKASSFSMDDKKNNDKDVETTLTDIDHFLLENFKSLYIKDDEEKVHDDEEEEEEERHENGKFPKLGPFLFKAPPRDRYGSNRFNMKRDFSGSLEDATIGDQTRSSTTSTMTINDSPSSNSSYAKDQDMEQIRPNNCIVILGCSPNPYEDFHRSMQNMVEARLKNNESVDWDFMEQLLFFHMNMNEKKYYKFILSAFVDLVTVMRPPSETTPAMVNPRSVRTIRSGKKVK >OIW11796 pep chromosome:LupAngTanjil_v1.0:LG05:16575751:16576113:-1 gene:TanjilG_31198 transcript:OIW11796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEEHDEGSCGGMAEEHDEGSCGVVVEESDEASCGGMVEESDEDSCGVVLGLNGACGEDDGGACAYVLGMAVVENGAYDLEMVVVESGVCACAQETMVVVRGACACVLEMVVESGGSDGV >OIW12044 pep chromosome:LupAngTanjil_v1.0:LG05:9221093:9222750:-1 gene:TanjilG_24442 transcript:OIW12044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAALKGKYDVDNNGGGGATLAFNAGDVKLRASFTNATFINGPSLTALALSIDKPDSFIVDYNVPKKDVRFQFMNRVSVAEKPLNLTYIHSWRDNRTILDGTLVLDPANKISGNYALDSGNSKLKYTYVHNGLTTFEPTYDVAKNTWDFAVSRRVYGDDVFKALYQTSSKVLGLEWSRNSKSTGCFKRNLVAG >OIW11897 pep chromosome:LupAngTanjil_v1.0:LG05:11522153:11522527:1 gene:TanjilG_21657 transcript:OIW11897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLESCHCLGTTNSSTNWPSYERIGYDPMVCVNELVTRVKIRSLKMLWRKIKKEKKRFFCYTQVVNVQYDPNSYLQNFDDGYSTEPDNVSRSFSARFAASSKIFDKFEVMDDGEFEINEKRNMV >OIW11844 pep chromosome:LupAngTanjil_v1.0:LG05:12776223:12783847:1 gene:TanjilG_31594 transcript:OIW11844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVCALKFLLWLLLWHWNFLPLCLGNQKYEDEYPFIKSSSTFQSPPSISTTSTNNVYDYIIVGGGTAGCPLAATLSQNFSVLLLERGGVPFTNSNVTFLRNFHISLADTSPNSASQYFCSTDGVHNARARILGGGSSINAGFYTRASPRFMEKVGWDAKLVNQSYTWVEKRIVHRPKFSPYQAAVRDSLLDSGVSPFNGFTYDHIYGTKVGGTIFDRFGRRHTAAELLASGNPDKLTVLVYATVQKIVFDDKGKIPKAIAVIFKDENGRQHEAILRNDKHSEVILSSGAIGSPQLLLLSGIGSKSELQKLNITLVLDNPYVGKGMVDNPMNTIFVPSNRPVHQSLIQTVGITKLGVYIEASSGFSQSNDSIHCNHGMLSAEIGQISTIPPKQRSKETIQAFLQNKRDIPIEAFKGGFILSKVASAWSAGKLRLNNTNVEDNPIVTFNYFTHPYDLQRCVEGIRLATKVVQSQHFTNYTLCDKKTTEKLLSLSVKANVNLIPKHPNDTKSLEQFCRDTVITIWHYHGGCHVGKVVNNEYKVLGVDRLRVVDGSTFPESPGTNPQATVMMMGRYMGLKILRERIGK >OIW12039 pep chromosome:LupAngTanjil_v1.0:LG05:9293401:9295529:-1 gene:TanjilG_24437 transcript:OIW12039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMEEPYESSSLCNGKASNRSLEIFEYSPYNKPSMKLSSQWFDLRVFYVRVSGFQVDKSTPEFLNLNHIPLSPDTLLEVNGVRSSMYSDGVSLVLRRDRVDKKSEEAIFVSTDTIRSNGSMKFKVYDKERRVLSGVLEMSVSNSFVGESRSKAKKWNMSCETEISGSSGFFKGKHVSSLELTCPEIEVYVAGCYSGRPIILTKTLKLNFRKKHNRKSTLDVIPEHETNENQKAVSDHGLDFQVADYRHFKHEEDYNMCWQRTGYIDGELSWFNAGVRVGVGIGLGICVGVGIGVSLLARSYQASTRILKRRLI >OIW11288 pep chromosome:LupAngTanjil_v1.0:LG05:26353566:26361735:-1 gene:TanjilG_28379 transcript:OIW11288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSRRKGLGKAATAAAAAAACRQWKVGDLVLAKVKGFPAWPATVSDPEKWGYSKDSKKVHVYFFGTQQIAFCNPADVEAFTEEKKQSLVKRRGKGADFVRAAQEIINSYEKLKGEAQVNETNSGGEVANANVSYLVDPSANLGLKDKTDAPLALGSITKSLNSGKHDELVCAAEDGLAVEQGDESYNKEPSLEEPIANPVATMSVKSSLPVTYAHQKRSPDLSLQVCTTQRNASFHRPQVLNFVGPFSDGGNSAGNISVDVTQNVSVRRNKHIRKSHDLLGCVDADSSPFASNGSMKGKGSEILTVDSEAFNTDDGSTIDSNFRREYSETMESPRGEIGLNGGLDLKIKAVVNKKKRKSNMKRETKDAAEPTIRLEEEAGSQNASQSSQNVCGYSIERCSEQEGDEHLPLLKRARVRMGNASSMEAELNSGVQDQEKSCKEETTISPLQIATSLNCESGTLVYVDLPAQNGAMGNIFPSKLLAPNSETGSQVCKIEKDQMFGCSMDGEAALPPSKRLHRALEAMSANAAEEGQACMESSSSAMISTENKLSKEVNKQFTKFEHHGAGKDVLQATRDQVGEDMVDSVVAQTDKTDSKFQLHGKVSPNVHVKYCEVGSNQDSPGAPLPPHDDNSIRPENHSNASDTSEQNGINHDPMECACEGGKLLPQNIIDVPQDKVVVCEDSRCLKQAVGDSSKVDDMCEVVKEVIFKGQKEDRSSVSISNDCSGEKGNLGIQSQGSPPNTSVCNVSTSNSSNILQNRSCSPDVHQKQTLSGPVDGWKDDFVENQGVRLMGKSAEARHAALVYFEAMLGTLTRTKESIGRATRIAIDCAKFGIAAKVQDVYRRLIGDFCLILTILCLGGIWIPIGEILEILVHNLETESSLCRRVDLLFLVDSIAQCSRGLKGDVGGVYLSAMQSVLPRLVSAAAPAGSAAQENRRQCLKASVYSRRTLRTERPFDDPVREMEGMLVDEYGSNSSFQLPGFCMPRMLKDEDEGSDSDGANFEAVTPEHDSETPDMQETSHVIEKRRHVLEDVDGELEMEDVAPSVDIRLNSTYNVNGGNATPLAKNTPLIQGRPSTPPPPSSPPPPPPPPPPPHPPLPPLPPPYALHLVSATPNPYRAAIDSKFYMDSQTLKDNTLLSIAEPLTAPRNSQLINDAVQHNMQMQIPNSTCSFNSFPVQSLANFDGVSIHNKGYPLLPPYHIPSNQFSFVNGECVKPPQRDVPPPSSYSNNHFVQSNSMERENFYNNHERLRPPPPYHQSERWNVPAPYSGPQYHHDRGGPPAPYGCHPCEPTRLPGHVWRFPPPPADDRDYMPFRQP >OIW12327 pep chromosome:LupAngTanjil_v1.0:LG05:1872275:1875804:-1 gene:TanjilG_32443 transcript:OIW12327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIGSHAPKIEKRTSRKNKAIIDNNAPLIPKTNPKSDAGFDDSNGASFYGAVINLSTTIIGAGVMSLPATLKELGMVPGLLAIIFMALLTEKSIEFMIRFSRAGNLTSYGGLMGDAFGKYGTALVQICVIINNIGVLIVYMIIIGDVLSETSSGGDHHSGILEGWFGVHWWTGRTFVLLLTTLLVFAPLVSFKRIDSLRFTSALSVGFAVVFLVIAVGIAVAKIINGGIGMPRLFPIITDMASFFKLFTVVPVLVTAYICHYNVHSIDNELEDSAQMHSVVRTALTLCSSVYIMTSFFGFLLFGEGTLDDVLANFDTDLGIPFGSVLNDIVRFSYAAHLMLVFPVVFYPLRINIDGLIFSKSRPLVLDNFRFASITIAVIGVIFLGANFIPSIWDAFQFTGATVAVCVGFIFPAAITLRDRYNLVTKQDKILSVIMIVLAIFSSVVAIYSDAFA >OIW11315 pep chromosome:LupAngTanjil_v1.0:LG05:24718697:24720421:1 gene:TanjilG_20464 transcript:OIW11315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSKCLTLHAPSHHFSPFLTPSTISKSPWPSQSFTKFSLSTKLSKLSNHVPIEGSILIRARYDRCIQPDHVPVRCSSTSSSNFEFEPDPTLTNDDLKPTTPTQRTFSALEMASLWVGLVVGVPSYYLAGSLVDLGMAWGQGIATVVAANMILLVPLVLTGHAGTHYGISFPVLARSSFGINGAHIPTLLRALVGCGWYGIESWIGGEAIFLLLPKSIKNTTLCQSLPWLGTSPLEFLCFIAFWFAQLTIVWKGMDGIRELEKFSAPILIFLTSCLLIWSYVKAGGFSHMLSLSSRLSNKDFWSLFFPSLTANISFWATLALNIPDFTRYAKSQNDQIIGQIGLPIFMGLFTFVGIAVTSSTKVIFGQVISNPIQLLGHIGGFTTIILAIIGISLATITTNIAANVVAPANALVNLSPKLFTFRRGALVTAILGIAFQPWRLLKSSESFVYTWLVGYSALIGPIGGIILVDYYLIQKRNLCLRDLYSRSALGAYYYSRGFNVAAIVALVFGVLPVIPGFLEKVGILTSVSDIFVVIYNNAWFISSFSAGFLYLILSSLRRKPDKCSSSGLIPAAK >OIW11407 pep chromosome:LupAngTanjil_v1.0:LG05:23858264:23858584:1 gene:TanjilG_10725 transcript:OIW11407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVKNMNKEACKHLECLWDEVQLFGFNLTWLEPYIAHALNVEACLEKAEKVKILKEDVVNLEIELRMLKTKLAVAEVALDIARKDLEEVEKGFEERDINAEMGYGT >OIW11548 pep chromosome:LupAngTanjil_v1.0:LG05:22205179:22206300:-1 gene:TanjilG_26914 transcript:OIW11548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHFFSNVYLHTQVQGGDPYIRRGAKGRGYGSGVTGFVAEASNKGTGSLESVGDAAKETVETAWDATKNTTQTVLETTTAEADTNVVDTVEYRSTEDLRGQLGDGCDKKVEFS >OIW11842 pep chromosome:LupAngTanjil_v1.0:LG05:12928116:12929366:-1 gene:TanjilG_31592 transcript:OIW11842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPYSSGGNWTMIPTHNNNNTPTHSQNNQDPNLYLHHQQFVQQQQQTFQQPPPQSPFQQQQQQQQHQLYQQQRLLQQQQQQQQQQQQQNLHQSLASHYHLLHLVENLAEVIEHGTPDQQSDALITELSNHFEKCQQLLNSISGSISTKAMTVEGQRKKLEESEQLLVQRRDLIANYQNSVEELVKSEP >OIW11304 pep chromosome:LupAngTanjil_v1.0:LG05:24799560:24800898:1 gene:TanjilG_20453 transcript:OIW11304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHTCSCSDAPQNHSSDSDDASNAKFTEAEIAWYNMRSEWVGDRSKRLQRQPRVPIESVKSGQFYFCKSV >OIW12026 pep chromosome:LupAngTanjil_v1.0:LG05:9439507:9440704:-1 gene:TanjilG_27323 transcript:OIW12026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEEYGISTLCFLVKVGDRSSKRERAQRKKGQTLMPNPNGNEQQRNDKMSCSRHSHLEGRSEGFWPTAFLIPPSSSGACMGGVPPEPEIGLQDLALPMSRLLMAVGHDLCATCGPRYDAQLTP >OIW11385 pep chromosome:LupAngTanjil_v1.0:LG05:24033389:24033880:1 gene:TanjilG_19641 transcript:OIW11385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPGGILNALATALHGSIRTTPSIHRLRLGLLGYLIPFAPLAFVSQCQCRPSRVLSPLVFFPISTHFTAPPEIPSTPTLLQLGSFHRLSRVEPWDLTANLKSHLQTLYAQSFRITLASSILPRLLAQS >OIW11354 pep chromosome:LupAngTanjil_v1.0:LG05:24295396:24297606:1 gene:TanjilG_19610 transcript:OIW11354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKAMATAVEKFGTAARRHAVTLTDAAASRIRELLDQRQRPFLKLGVKARGCNGLSYTLNYADEKGKFDELIEDKGVKILIDPKALMHVIGTKMDFVDDKLRSEFIFVNPNSKGQCGCGESFMTTTSSGANKS >OIW12385 pep chromosome:LupAngTanjil_v1.0:LG05:333180:338624:-1 gene:TanjilG_04134 transcript:OIW12385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELKVLSPKPESVAPSDGISDPEEKEVTDDDDDRNHKHRRREAHSQSLERDVSEPVISRPFRKRPKPYGNHNPFRVNENLAFGTQKNYSDAPADKDFYPKFDRRHPGMTSGPRTPLDMSQRLWENQPFPGGPGAGRGRGRESGFLNQRDSRFSSIDVTSQMVQHGPIHPSIYTGHGLPNVSNAQSASWNTFGLIPAVPNGGLDMLHPMGLQGKLRQPITSSLSANIPRQRCRDFEERGFCLRGDMCPMEHGVNRIVIEDVQSLSQFNLPVIGAPAGSGSLHSVNPSTTSMNKSIPGKVRKSLVSDDGSPLDGAYPGPGCTSAADLYDPDQPLWNDGGLASSNALLTIQSSNIDESKPLFCDTSGHHHVSSDCPDGTTRTSVSSQGASSSVWGRVSGSKNRFDMKGKTHSTVSSFQYSENQLTEDNDELVGAHSASSQGKRIISDNADLKALDASVRPHTDNMRHIRKSSQKALCTLFVNGIPQKSNKKEYLLAHFKKFGEVIDIYIPSNTERAFVQFSKREEAEAALKAPDAVMGNRFIKLWWANRDNIRNDITTSGNGVIVTPRQQASAPPHPGVTDRGKYIHNGDAAKTTFEVSPPDQPKLVVADGPSKVPPPKQKKLENLEHLKEELRKKQEMLDQKRSEFKRQLNKLEKQASGLKGEVVTEKAAKRPKIGTTSDVAKLTSSQSSDADLGMASAHEETTSDKNGELVNIVSQSLKASKTMRPQEPSGIKQPIQPSMPVNRYKLDNRPTAFRVIPPLPAGLANVAVLKEHFLPYGELSAVDLEDVQVNDSSQQEARIIFTTRGAAESAFANGKVWKDNNLKFVWLTPSNSSNAAGSSEHSLSAPKEPLDTDDISDEKCASSVNQEANIVSDDEHRSCETKNGVEHTEMEPVEDLHCAKQSPESNDC >OIW11868 pep chromosome:LupAngTanjil_v1.0:LG05:11662119:11665883:-1 gene:TanjilG_25781 transcript:OIW11868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCSQSKIENEEAVARCKDRKHFMKEAVSSRNAFAAAHSSYATYLKSSGAALSDFADGEVQFSQFHTTVQPPPISSAQQSSFQIPPPPPPLPRFPPLQRSVTMPEIKLTRPDSSNPVGTIIEEEEEEDEDEEENVELESDSLKKKPLPLERTENVNRHLHHLESSSSREHHVTQSSAYEYFFPPQENVPATNLNDAEELDQHHSHNHHHHHHLENDEVDEVEEEIVHRKVFEEKPVVVVAPPVVTAKALKKGKQPEKAAGTTVVVEVKEQSNGKQQQSVNLIQIFNDLDDHFLKASESAHEVSKMLEATRLHYHSNFADNRGHINHSARVMRVITWNRSFRGIPNMDDGKDDFDLEEQDTHATVLDKLLAWEKKLYDEVKAGELMKFEYQKKVASLNKLKKKGSNSEALEKAKATVSRLHTRYIVDMQSLDSTVSEINRLRDQVLYQRLAELVDGMATMWGIMQAHHEKQSSIVTLLRSLDISQSPTETSEHHHDRTYQLLTVVQEWQSQFEKLVNNQKAYIKALNSWLKLNLVPIESNMKEKVSSPPRVNSPPIQKLLLAWHDYLEKLPDELASTAITNFAAVIDTIFQQQQEEIVLKRKCEETRKELDRKKRQFEDWYNKYMQRKIPDEHDPDAQGNDGPDEVVTEKKFMVDQVKKRLDDEEEAYAKQCLQVRQKSLGSLKNRLPELFRAMSDFSLECSRMYSQLRSISQNQSLGQSS >OIW11770 pep chromosome:LupAngTanjil_v1.0:LG05:16834531:16837762:-1 gene:TanjilG_14310 transcript:OIW11770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEGKPDAQLFQLLSNLLQQVESLTNQEEVELRSKIEALGLEVTKVPSRSTRPLNEMEIAKELDKLSAKLDDVDEMISSTMASDPQVRSILSGTADVWLPVITATSEERSKFSVVPGDNSTQTEEENSK >OIW11738 pep chromosome:LupAngTanjil_v1.0:LG05:17287084:17287275:-1 gene:TanjilG_10940 transcript:OIW11738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVSVFLNCFAPSTSSSAQVSDHAEGSQLKSISSEKPKSKSESKGAPIVVSYFPTNSYPSRL >OIW11516 pep chromosome:LupAngTanjil_v1.0:LG05:22678617:22679713:-1 gene:TanjilG_26882 transcript:OIW11516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMHIKAASGLDMQTCTNRKSRCFLKKIPCPSECPLKSPSDSKAKVCYLDCDSPICKTHCKTRKPNCNGRGSACLDPRFVGADGTVFYFHGRRDEHFSLVSDVNLQINARFIGLRTAGRPRDYTWIQALGILFDSHNFSIEAIPSETWDDEVDHLKLSYNEEEIVVPKGDLSTWQCQENQLRVERTSSKNSVMITLPEVAEISVNVVPVTKEDSKIHNYQIPNDDCFAHLEVQFRFYGLSSKVEGVLGRTYQPDFRNPAKPGVAMPVVGGEDKYRTTSLISSDCSSCIFSPAKASEKEDSVIEYGMLDCTSGAKSGNGIVCRR >OIW12321 pep chromosome:LupAngTanjil_v1.0:LG05:1935599:1944812:1 gene:TanjilG_32437 transcript:OIW12321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQSRYLFAISCFQMDLFSEAEAALCPANEPNAEVPNGSAGHYLLGLIYRYTDRRKSAIHHFKESLSLDPLMWAAYEELCILGAAEEASVVFGESAALCLQKQYLNCATSPSMHSSVEDCPVISARPTVSEVASPRQLRQVQGLKDNAGNHEGASILGGMAGQLINSGPSNISFYNTPSPMATQVSSVAPPPLCRNLLPNGPNPNSLSSDSSSKSTVNSVLQAPRRKFVGEGKLRKISGRLFSDSGPRRSSRLSGEASINANGNATVLSGNGTSNSSKHLGGSKLSHIKFRSMTIRKGQSWANENIEEGIHNDVQNDSHLNITSTSSCSSHAIEVKSCEQKTPTFPVDGLVMSSSKVISGTSEILSLLRVLGEGYRLSCLYRCQDALDTYLKLPLDHYNTGWVLRQVGKAYFELVDYVEADRAFSLARQITPYSLEGMDIYSTVLYKNIIAFINYVFFVFVVGSLPMIAPRHLKEDMKLSYLAQELISTDRLAPQSWCAMGNCYSLQKDHETALKNFQRAVQLNPRFAYAHTLCGHEYVALEDFENGVKCYQSSLMVDERLYNAWYGLGMIYLHQEKFDFSEHHFRKAFQLNPQSSVIMSYFGTALHAVKRSKEAMIIMEKAILADRKNPLPMYQKANILMSLERFDEALEVLEELKECSPSESSVYALMGNIYNRRNIHDRAMLHYGIALDLKPSATDAATIKAAIEKLHVPDEIEDRL >OIW11931 pep chromosome:LupAngTanjil_v1.0:LG05:10080835:10085021:-1 gene:TanjilG_02138 transcript:OIW11931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIKDLLRFMKPYIEPIHIKKYAGKRVGIDAYSWLHKGAYSCSMELCLDSDSERKLRYIEYFMHRVNMLRYYKITPVVVFDGGNVPLYMMSVWFGFFRKRSANRELAMVKLQEGNVNAAAELFQRAVNITPLMAHQLIQTLRSENIEFVVAPYEADAQLAYMSNLETQKGGIAAVITEDSDLIAYGCSDVVFKMDRNGNGEGIELEQVFGAESTKPSFRSFDMKLFTGMCILAGCDFLPSVPGIGIVRAHALVSKYRNLDRVLSVLKFEKGDQMPGDYAKSFKEAVAVFEHARIYDSNTKELKHMKPLPHNFLETLDGNLDFLGPSNTIREIPPTIVAAIAEGNINPSTKKAFDKLECPGLSPQSVALQLNGKLRKTEVPAPIRQENCFAVFGSKTRETMTKQELISDKDKYSDEAFTLQKLIMPLRTIENTILSDDTPLKFPNNNPFRLRKAEEISLVQTENTMENVSFVNSVEYIDLCMSQDSFEEGSENISRKRKFEDICLDQLQTSDEQISGVTEVENSDILCLTQGSVSSKTRKSANLKGKYESKKVSKRSKCKKTVSGNGTILNFFSRV >OIW11855 pep chromosome:LupAngTanjil_v1.0:LG05:12493287:12499976:-1 gene:TanjilG_31605 transcript:OIW11855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACTLLTSLMRLPKLSKLTFSWVNSLLNLGYSKPLSLEDIPSLVSDEEADSANQKFEQTWESISREGSVNNPRNLVLWSVVGTHLKENILIAFYALMRTISVVLSPLILYALVNYSNRTRADLKEGVYIPCFLILSKVVESLSQRHWFFDSRRSGMKMRSALMVAVYRKQLNLSSSARRKHSAGEIVNYIAVDAYRMGEFPWWFHVTWTCALHPFLSISVLFGDVGCGALLGLVPFIIFGLLNVPFAKIIQNFQTQFMITQDERLRSTSEILNILATLRIMSEPVHMIPEALSVLIQVTVSFNRLNTFLLDDELNNDDGGKDIKQCSDNDNAVEIEGGNFTWDQESLNQTLTDVTIEIKIEQKIAVCGPIGSGKSSLLYAIVGEIPKTLATVKINGSLAYVSQTSWIQSGTIRDNILFGKPMDKRRYENAIRVCALDKDIDDFSHEDLTEISQRGINMSGGQKQRIQLARAVYNDADIYLLDDPFSAVDAHTGSVLFNECIMNALREKTVILVTHQVEFLSEVDKILVTEDLLTAGTTFEQLVSAHKDAIKELDQNKGGTENEAMIHPEKSHSFYLNKDQNEGESSTKGQLGVQLTQEEEKEIGGVGWKPFWVYLSFSGGSILLCMVILAQSGFVALQTASTFWIGLAIEMPKITNGPWLEFTHFTKAIFNAPMLFFDSTLVGRILTRASSDLSILDFDIPYSISFVAATGIEILVIGYYQSSARELIRINGTTKAPVMNYAAETSLRVVTIRVFNMVDRFFKNYLRLVDTDATLFFHSNVTMEWLLLRIEVLQNLTVFTAALLVILLPRRYISPGLVGLSFSYALSLTIRYRPNAPLFLKGITCSFKEGSRVGVVGRTGSGKTTLISALFRLVEPASGNILIDGINICSIGLKDLRMKLSIIPQEPTLFKGSIRTNLDPLGVYSDEEIWKALEKCQLKETINNLPSLLDSYDEATASIDSATDAILQGVIRQEFEGCTVITVAHRVPTVIDSDMVMSYGNLVEYDEPSKLMETNS >OIW11732 pep chromosome:LupAngTanjil_v1.0:LG05:18494654:18498629:1 gene:TanjilG_20216 transcript:OIW11732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLIPGVLSKLLENAGNKDARVTGEHRHALLQVIEIVPCFSHNNQDPWQSIGYFLKLSDSLHSAYVSVPQNDADLICADKVQLGQFVYVTRLDSVSKTESSVPVVQGLNPVPKRRVCIGNTNDLLHIGVKNPTLEFRKSVNNNRNKNENRTIGLKKRDESVSVSKVKKKENVKVGVEMRRLSLDSSRRVWDHSPVSTKNAASSGSYGSRFKFKSASNSPNVIDKKVSHKIDSPVKYPTSSISPLKSKNENLYPKPTSTPPRKSTIKSPPDAGTLPSQLVKVPLNIKTCCDTSTTLWGDLPPSMCDLRKACNFIHQVVTRRNVAFLAAVRSLEEAFASDTVIQCMCVFAELCQSCGTLSAGLLVKQFLELNHNLQRARAAFDSLFSPPLEAKPSSHSNIQYLVEDACKVPSKKNAISWVQAATGTNLSKFNLFRTKEKSEVLNGEKCYYAVIDNSDEEMNSENSSAENKQNRAAQPNPMSNATAKRLPSSKRNLVVAKNKHTTDKRDQSKESGLKEAASLAEKLLVASRAWFLKYLEESLCNGFGLRSEDGSSEITCLLGQLKKVNHWLDNLEGEDKVDHRVEKLRKSLYRFLLEHVNSAIASS >OIW11620 pep chromosome:LupAngTanjil_v1.0:LG05:21147890:21148952:-1 gene:TanjilG_31899 transcript:OIW11620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASICSLNMISSLRLSNPSSISPSQTHNQVGSAFFQSFSTKSLNLSTTHQVSTSKRCSNNTTATSFFSNNKPKKHQDSSKPNSERVVKQIKVKVREVNNLPIGLCIIVDFDEQGAIYGDAQGFLAGFLGTLASDCKLFPIDYDKWSGGRSGIPSTYFTEYFDTIIKV >OIW11594 pep chromosome:LupAngTanjil_v1.0:LG05:21655102:21660654:1 gene:TanjilG_15288 transcript:OIW11594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHDTVFRFLFLISLFTLSLSSIPKTHNIFLPIQLHNDDSLSSSASSCPAPNPNLNYRPVIGILTHPGDGASGRLSNDTDASNIPASYVKFAESGGARVIPLVYNEPTEKLLKKLDLVNGVIFVGGWAKDGLYLETVARILKIALQRNDAGDHFPIYGICLGFELITMIISEDNDILDKYNARNQASTLQFVENANTEGSIFQSFPPDLIKKLSTECIVMQNHRFGISPEKLQGNEKLSSFWEILTISTDENNQVYVSTVRSRKYPVTGFQWHPEKNAFEWGSANIPHTENAIRVTQSAAGFLVSEARKSTNRPNDQEVRDNLIYNYTPTYGGKAGKGYDEVYIFK >OIW11510 pep chromosome:LupAngTanjil_v1.0:LG05:22744713:22744939:-1 gene:TanjilG_26876 transcript:OIW11510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKKKIVLKKSFRHACFRWVEDATDMVYVEVVIKVARIKKWLVKNHVQG >OIW11585 pep chromosome:LupAngTanjil_v1.0:LG05:21763150:21763860:-1 gene:TanjilG_15279 transcript:OIW11585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRNSLSTNYGNNYHNTKNQMVMKEFLDCNNSHNGEDIDHYMNGYPWPPRSYTCSFCMKEFKSAQALGGHMNVHRRDRARLRQSSPPTPTTDNHHGHVQGHPMMNLNPNTNPSFSSSTSSNLPLLPASWSSHHSSSNKPSATSTLPLFVSPTTLSSYSYSSPSTASPSELNRWVVVDGILLKNPSSTKTTEPTKPKIGEDHGCKMLKKGEILRMDLEIGMPREYDLDLELRLGNYS >OIW12242 pep chromosome:LupAngTanjil_v1.0:LG05:2306465:2307734:-1 gene:TanjilG_06031 transcript:OIW12242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRQPCCDKVGVKRGPWTIEEDHKLMNFILNNGIHCWRTVPKLSGLLRCGKSCRLRWINYLRPDLKRGGFTEMEEDQIIQLHSLLGNRWSKIATHFPGRTDNEIKNHWNTRIKKRLKLLGLDPMTKKPIEQMEHFDNGEKNKTYPHPNTSKGSEENIEIKSLDNNGTKDMPKTEGKIEENKVTWDVELHNYENQCSQVEMGSWISQENNTSASSYCSSSFSLDESSYPSVGQSPYFQGDSLQQWVDNMDSILSWDNFNPLEDDFLFLENKQ >OIW11884 pep chromosome:LupAngTanjil_v1.0:LG05:11808751:11811908:1 gene:TanjilG_25797 transcript:OIW11884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDNTGGRHAKSLSIESAAKLENPSEEDAVSSSSRNQGSKPLPNSDKGSKPRIISKEEIAAKEANDKLLQELEQMKERFAKLLLGEDMSGGGKGVSSALALSNAFTNLAAAIFGEQRRLEPMPPERKARWRKEIDWLLSVTDYIVEMVPSQQKSKDGSSMEIMTTRQRRDLHMNIPALRKLDTMLNDCLDNFKGQSEFYYVKKEEGDADTNNKNDDKWWLPTPKVPEEGLSDVARKFLQYQKDCVTQVLKAAMAINAQTLSEMEIPESYIESLPKNGRASLGDLIYRSITDEFFDPDQLLCTMDLSSEHKILDLKNKIEASIVIWRRKMNQKDSKSAWGSAVSMEKRELFEERAETILHLLKHRFPGIPQSALDISKIQFNMDVGQAVLESYSRILESLAYTVLSRIEDVLNADYQTQNPSQLGKRGAMKNSTSKSDRPLTLREDVVSDMGGLEEIPAGSMTLSDFMGWLGEHGDSDMKKDTHAIPNELENEFGVGKTEKLANIPTEKVSYVENLGGVRNSTWSQ >OIW11566 pep chromosome:LupAngTanjil_v1.0:LG05:21992787:21994716:-1 gene:TanjilG_26932 transcript:OIW11566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTRPGKRDIDSYTIKGTNKIVRAGDCVLMRPSDTSKPPYVARVEKIEQDGRSNVKVRVRWYYRPEESIGGRRQFHGAKELFLSDHYDVQSAHTIEGKCIVHSFKNYTKLENVGAEDYYCRFEYKAATGAFTPDRVAVYCKCEMPYNPDDLMVQCEGCKDWYHPACVGMTIEEAKKLDHFVCSECSSDDDLKKPQVTFPVSPASDGKVSFPAPSPE >OIW11496 pep chromosome:LupAngTanjil_v1.0:LG05:22908100:22908657:-1 gene:TanjilG_26862 transcript:OIW11496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANHNNSDYLSNLREFRARLESRVTPQNGAIRRQYLSNHINPQRELISTPILSDFNRPILTQDTRNFTNSRVFQPPPSSSQSVVASRGNTSLFPYTSLGASSHQAETSRFSSEAMLFGRNIAFELAQFQSSPIQKNMEMNVSHVDGTKPYINLLEIPISNDEFINRANMFGGNPEMTLLDLELRL >OIW12116 pep chromosome:LupAngTanjil_v1.0:LG05:7146965:7150315:-1 gene:TanjilG_31223 transcript:OIW12116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHYLLQIPSSSSLAFPICSRLVQTLSLPKSLSSNNFSTFPTSPYKYNTSNSTSHVTKIHSKPLHFALSGTLSLALLFGGIGLAEAKVGVNKPELLPQEFTTVIDVAGFLSDGQEKRLTQEIIDLEKDTGFKLRVLAQNYPDTPGLAIKDFWQVDDRTIVFVADPTFGNILNFNVGASVDLDIPRSFWSRLAGKYGNIFYWKEKGEDASIEAAVMAISNCLREPVGPNNCSEVK >OIW12072 pep chromosome:LupAngTanjil_v1.0:LG05:8270977:8275953:-1 gene:TanjilG_15312 transcript:OIW12072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCCATPSFYNKHKKNKKRNPFDDGMSNNKLVVLKEPTGREIDARYELGDELGRGEFGVTYLCIDKETHEQFACKSISKKKLKTAIDIEDVRREVEIMKHMPKHPNIVSLKDTYEDDNAVHLIMELCEGGELFDRIVARGHYTERAAAVVTRTIVEVVQMCHKHGVIHRDLKPENFLFGNKKETSPLKAIDFGLSIFFKPGEKFNEIVGSPYYMAPEVLKRNYGPEVDIWSAGVILYILLCGVPPFWAETEQGVAQSIIRSVVDFKREPWPKVSDNAKDLVKKMLDPDPKSRLSAEEVLDHPWLQNAKKAPNVSLGETVKARLMQFSVMNKLKKRALRVIAEHLSVEEVAGIKEGFELMDTSNTGKINVDELRVGLQKLGHTISEADVQMLMESGDADKDGYLDYGEFVAISVHLRKIGNDEHLHKAFQFFDQDKSGYIEIEELRNALVDEIDTNSEEVITAIMHDVDTDKDGRISYEEFAAMMKAGTDWRKASKQYSRERFTSLSLKLMKDGSLQSNNDH >OIW12267 pep chromosome:LupAngTanjil_v1.0:LG05:2648445:2657313:-1 gene:TanjilG_06056 transcript:OIW12267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVDHNQPHTNSHTLQTLTTAPISSSISNLSSSDRDFKFYTNFNEFKLPVNEISKESQFMLQSIGASIAKDSAFPVDVDEAYDWVVDVNDDVLERFDISADEFRKVRMDEEEVVVREGANSAMEDDGFTLVCRNKKKNGGRNYDSSEKVVIDSETSVKVTMKDKKTIGPKSKVPFHIPTIRRPQDEYTIMVNNSNMPFEHVWLERSGDGQRVIHPLEKLSVLDFVDKEPGNVVPVKPPPLESTPFRLVEDVKSLKELAAKLRSAHEFAVDLEHNQYRSFQGLTCLMQISTRTEDFVVDTLKLRVHVGPYLREVFKDPAKRKVMHGADRDVVWLQRDFGIYICNLFDTGQASKVLKLERNSLEYLLRHFCEITANKEYQNADWRVRPLPDEMLRYAREDTHYLMYIYDLMRIDLFALSKKSESSDDSLLEVYEHSYDVCMQLYEKELLTENSYLHIYGLQGAGFNAQQLAIVSGLCEWRDIVARSVDESTGYILPNKAVLEIAKQMPVTTIKLLRLVKSKHPFVERNLDSVLEIIKHSIQNAAAFEEAAQQLSEGNASTATAVLV >OIW11571 pep chromosome:LupAngTanjil_v1.0:LG05:21933775:21934993:-1 gene:TanjilG_26937 transcript:OIW11571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKLKKPEKDKSKQEKVLTNTESSNWKQSTHDDSSVVPSEGIMIDRTIPSRMIDEIAAMPIRNVFHSFMVEWCNGHETMAEILSRLQRREEAVINRKRAMAYAFTHQVQNLLN >OIW11971 pep chromosome:LupAngTanjil_v1.0:LG05:10580859:10582126:1 gene:TanjilG_02178 transcript:OIW11971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPQQRYRGVRQRHWGSWVSEIRHPVLKTRIWLGTFETAEDAARAYDEAAKLMCGPKARTNFQQNPNGSSQSSSSSSSSNLLSATLTAKLHKCHMASLSLQMAKQKQPQKEPQKASSSLRFNSLFNPSNNNSNNAIVGTSGESGFRWVEGNNINWVGVEGQVEVSQQQFQQVLEDDHIEQMIQELLDYGSIELCGSA >OIW12411 pep chromosome:LupAngTanjil_v1.0:LG05:672261:677738:-1 gene:TanjilG_04160 transcript:OIW12411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGIGGEEWKQKRHMWPVPSNATTVDFDSQFDGRKIRVGDCALFKPPQDAPPFIGIIRGLVFDKEENPSFQVNWLYRPADLKLAKGIFLEAAPNEVFYSFHKDEISAASLLHPCKVAFLRKGVELPSGISAFVCRRVYDIVCNCLWWLTDQDYLNKLQEEINQLLKKTKLEMHGAVQSGGRSPKPLNGPTSTQSLKSGSDSIQNSSFGVQSKGKKRERGDQGSDSIKRERLFKAEEGDSAQFRQESMLKSEIAKITDKGGLVDLEGVEKLVQLMQRESGDKKIDLAGRVMLVNVIAVTDRYDCLSWFVQLRGLPVLDEWLQEVHKGKIGDGNMHKECDKPIEDFLLALLRALDKLPVNLNALQTCNVGKSVNHLRSHKNAEIQRKARSLVDTWKKRVEAEMKMTDSQSGSAHGVWPAKPASSEVSQVGNRKTGVSSENIAKSPAIQHSVSKTPQPKSNSGELLSKSSSSPGPAKVMTTSAGSNSKDRKSDLPLTPIKEERSSGSSQSQTNSLSCSSEHAKAIGSWSPTAVSTSVGKIHGGASRNRKSSNGLHGAGLAVPQKEPRSAKITTRNSAAEKVSSTQMSHEKSPDQPLANQGNSQRLVLRLPNTCRSPSRGASGGTFEEPAILCGKASPPSEKNEGHDRRVKAKIDCLQTNVAPNLINDASDANGALTGFEEGKGSPIGDERCVASEDGDKVPETSKPTSSSSGFVSRSGQIYDASLSPMNALVESCVKISEASASTFPGDDGMNLLATVAAGEISRSKNVLPPSSPERKSPAADVSSSGSDCKTKHSGGGVGCTLAQSDGGATGEHYLETVDPLQFNNDSRHPVTMVSHNGSGDGEAMSSSCLENTGDGRTQMNLSTTDLLQNAEGPCLLPEIKEGASGTALPAMKETDVEPGGANLLNVQKELGSQWAKGSSSSDSKLKSLNSSFDVDKKDDQVDERITENEKILVSKEVPACVNVDKEVDEKSSKLSSDVGNENQIIAEKVRVTGIAVQKPSPLAENGEPINLKMEDVKLATAAGNASMASRDDNSDDLKSDEIESDKKPMDLDSVVSAGTNGHVEENLGTKEVLGHCSGLSGHPELPTFPGKENEVPETSECNLDGNESEAVLEQHTSNVNPSLTDSGSDTAVKLDFDLNEGFPVDDVSQGEIVRQEEPTTPSAVLVPCALPFPMSSMSGSLYASITASSAAKGPVVPSESPMWNKGELGWKGSAATSAFRPAEPRKNAEAPSNTSGIPSVDATPIKQPRPLLDFDLNVADEVSFDDVASRGSLESQPHDRSAVGFDLDLNRVDETPEAGSFSVGKLDIPSLSSKPSLSGGISNGGSVSRDFDLNNGPGLDEVSTEVPARCQQIKSPIPFPSAVHGSRTNNPEYGSYSSWFPPANSYSAITVPPLLPGRGDQSYIAGASAQRIMVPTGSTPFGNEFYRGPFPDW >OIW11857 pep chromosome:LupAngTanjil_v1.0:LG05:12445875:12446423:1 gene:TanjilG_31607 transcript:OIW11857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKSSNKGQGLMEEGERRYSCRFCDKKFSAKQALGGHQNAHKNERATFKRRNILNMASTYIDSYPNLHSYCYPEFTSKGNQMLGVQPQSLIHKNANLFMFKGRPQSSRLEPKFDVNFGQEQYSSRKDELNFMHLLGIPQCVGSKPPLQSSLCDDKSYDQDNSSLRRPHSESIEELDLTLKL >OIW12369 pep chromosome:LupAngTanjil_v1.0:LG05:133945:134391:-1 gene:TanjilG_04118 transcript:OIW12369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGVESIRIIPRANVGITNKIPNLQLSFHCKDKYRDNGFHTLAPGESYNFGFAISPFFINRTQWFCLFSWEGESHYFNIYIEIRDSCKNCEWIIQKKGPCKNLGPPDSYTCFTWDDKEQHKLQGRKRLLISNITTQQEPPLALQPLNS >OIW12425 pep chromosome:LupAngTanjil_v1.0:LG05:818109:819347:-1 gene:TanjilG_04174 transcript:OIW12425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVEKKKPRYPCLFNWFHKEDKQSYSLNEIEDALEDESDDYDLSLQSLEFDDPYILTNKLRIFVGTWNVAGKSPVGSLAVDLEDWLNLNNAAEIYVLGFQEIVPLKTLTVIGVEEPAVTTTWNHLIGTILNKNLVSQSLPTPMLLNSSISHYMNNNPNYELVSNNNNKYTMVASKKMVGVFISVWLRNEVINKYF >OIW11961 pep chromosome:LupAngTanjil_v1.0:LG05:10411312:10418225:-1 gene:TanjilG_02168 transcript:OIW11961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKFLVKEEPTIELELELKRASAENKKLMEMLSVVCENYNSLKSHLMEYMSKNPEKLSPSSKKRKSKISNNISKIMRINNGNSQSSYIDEESCKKLRGEETIKAKIPRVYVRTEASDTSLIVKDGYQWRKYGQKVTRDNPFPRAYFKCSFAPICIVKKKVQRSVDDQSILVATYEGEHNHPHPSQLEATSAPFQHQQLPLNSKQKNNVSHITNLKKKNPWLDPFDDGEDPEMEYGSLFVDGKQEEDPRLPDDPNNRFGYLKFPAGYSVELASLPLKVRGDVRNCCCVVSGGVYENLLFFPIIQLLKDRYPGVKIDIVASPRGKQTYEMNKNVRYATAYDPDDQLPEPAEYTDMVGLLKNRYYDMVLSTKLAGLGHAAFLFMTTARDRVSYVYPNVNAAGAGLLLSETFTPDTLNLSEGGYNMYHQMAEWLGKPFRDVPRHIVSPLRVSISKKVKEVVKEKYTKVGAEKGKYVIIHGIESDSKASMQSRGDLDSLLPIEVWAEIADSIREFTPIFVIPHEKERENVEEYFEDASIVFITTPGQLAALINDSVGVIATNTVAVQLANARDKPSIALFSSEEKAKKFVPEAEEKKCIVITSKTGKLIDIDVEAVKNAVQTFNVSLAFV >OIW11903 pep chromosome:LupAngTanjil_v1.0:LG05:11347729:11352050:-1 gene:TanjilG_18176 transcript:OIW11903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVVGFDFGNESCIVAVARQRGIDVVLNDESKRETPAIVCFGDKQRFIGTAGAATTMMNPKNSISQIKRLIGKQFSDPELQRDLKSLPFHVTEGPDGYPLIHARYLGEAKAFTPIQVFGMMLSNLKEIAEKNLNAAVIDCCIGIPVYFTDLDRRAVLDAATIAGLRPLHLIHETTATALAYGIYKTDLHETDQLNVAFVDIGHASMQVCIAGFKKGQLKILAHSYDRSLGGRDFDEVLFNYFAAKFKEEYKIDVFQNARACLRLRAACEKLKKMLSANPEAPLNIECLMDEKDVRSFIKRDDFEQLSLPILERVKGPLEKALAEAGLTVEDVHMVEVVGSGSRVPAINKILTEFFRKEPRRTMNASECVARGCALQCAILSPTFRVREFQVNESLPFSISLSWKGSGPDAQDSGPDNQLSTVVFPKGNPIPSIKALTFYRSGTFSVDVQYGDVSGLQAPAKISTYTIGPFQTTKSERAKVKVKVRLNLHGIVSVDLATLLEEEEVEVPVSKEPVAENTKMETDEAPINAAVPPTSNDSDVNMQDAKADDGTTGVDNGTSDNDTKVQAPKKKVKKTNIPVAELVYGAMAPVDVQKAVEKEFEMALQDRVMEETKDKKNAVEAYVYDMRNKLNDKYEEFVTAPEKEGFVAKLQDVEDWLYEDGEDETKGVYVAKLEELKKQGDPIEERYKEYTERGTVIDQFIYCINSYREAAVSNDPKFDHIDINEKQKVLTECVEAENWLRQKKQQQDSLPKYANPVLLSAEIRKKAESVDRFCKPIMTKPKPAKPATPPTPATPPSQGGEHQQPQGNANANTDENAGATGSKVPPESTEPMETGKSENAGSV >OIW12218 pep chromosome:LupAngTanjil_v1.0:LG05:2058273:2059121:-1 gene:TanjilG_06007 transcript:OIW12218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPIVKKKVVFIMGSTGTGKTKLSINLGSQFPSEIINSDKIQVYKGLDILVNKIPESERCGIPHHLLDIIDNHYYTFTSDDFCKHALAAIDLIHQNGHLPIIVGGSNNYLEALVEDPNNAFLSKYDSCFIWLHVSLPVLFQYLDKRVDEMVDAGILDEIRQVYVPGASYSHGLRRAIGVEEFDHYILIEEESYDEAYKEKVLQDAIRRTKENTFKLAEDQLQKIHRLNYELGWGMHMIDSTLVFETVLRGEKYMDLYQEIILKPSMNIVQKFLEEATQETP >OIW11412 pep chromosome:LupAngTanjil_v1.0:LG05:23776547:23777837:-1 gene:TanjilG_05183 transcript:OIW11412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPIGKSVDHLSLSLKVSETQQRFNTGYRIWGSSFINVIDFYNPKEGFLINDNCIIEAHVIVSDNKFNVTIPCLTHSVSIAGQTKYSEETMSSTIQTKLEETPSLEYVQFEIIKPPLEDTQSPKQTYYEPTAPPLYPFIYDDGTKVEPLIHLSEVLNINSLGPEATPFYPLLEEVCLRHPSLIENLMRKGHEYILWSLTTLGDILYFLKTMKVKNMNEEACKHLKCLWEEAQMFGFNLTWLEPHVQSALNIKAYLEKAEKVKNLRESMIDLEIEVRKLKTKLAVIEVDLEIVRRNLEEVENGFEERDINAEMGYEI >OIW11203 pep chromosome:LupAngTanjil_v1.0:LG05:25860029:25861202:-1 gene:TanjilG_28294 transcript:OIW11203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERRSTFITSFFLILILTLPYFSIGGTRSEAMDSEIYEIDYRGPETHSSVPPPDHSHGLMGSNAIKEDRVKKVHG >OIW12472 pep chromosome:LupAngTanjil_v1.0:LG05:1344607:1347577:1 gene:TanjilG_04221 transcript:OIW12472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAAIAVSSPNSTLCHNPIYFPDPRSNFVGGFLKGTTLCLNLKPKQLQTRVLSNVVVASSTSTTSVTTTSNASGRFYFNFTGFPFPLGPFLNRSTIRTEAVKGQIWLFEQEQALGFSNVSTNIRMTVIKLKSGGLWVHAPIAPTNECIQLIKELDAPVEYIVLPTFAYEHKIFVGPFSRKFPLAQVWVAPRQWSWPLNLPLEFFGIFRAKTLKDEDLSTPWAGEIEQKVLSSPEVGIGPYVEAAFYHKPSRTLLVTDAVIFVPRQPPECISKESLLASAKNGLAVKILSKGKEVSDEPVVDNKSNRQKGWERMVLQILFLGPSNLLEPNATFGQMSQKLIVSPIVKTLVFNKVPEKVRDWVDSISQDWNFKRIIPAHFAAPINASRSDFQAAFAFLDEFVGDRYASWPSLSLLLSSFKGKAASYFPPDDMRTLSSLDQFLVSVGAVKKTVSGRKQ >OIW11292 pep chromosome:LupAngTanjil_v1.0:LG05:24905746:24907176:-1 gene:TanjilG_20441 transcript:OIW11292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDNHPRLQNLRSTSQLLREASSSFSSNIITFLFLSLLILSFRTLVENGTHQLTSFIDRDPSIKALLSRVDLAGASNSHRRSDLSLHRRRRPLLHLTRVGTLDDDFFSGDEDDARSLFGSNSKPMVNGSFVAFGPFNHESGFSDLVVDDGIRVSEIVRSGVGFKENKALSFTEEDGDRENSNDDGIKNKIDEDLKEKEKIGDLGNGKREIEKGADFQLLVKGIEMGRRDAATLFILVSSLSVAYGWVILVFLVTYSWVLGVVFVAVVNDLLGRFSSVTGLVWDGSRLGLKRLSGFILMKWAVRDASIQLIGLWYFGEIEDQYSFLKLFVRLKLMPFSVISPWVRGFEEIPGFLFTWAFVDNFVAFIFSVNAWVAIIDSRKSGREILKEGCYLISMMFNQALQIKCLESVVCGSLMRWILGRICGRSFAKMFQSTMEVYFMVVWLMFYFAARCRDANLQGRRFGLQELEGLIEEGPR >OIW11667 pep chromosome:LupAngTanjil_v1.0:LG05:20407422:20410748:1 gene:TanjilG_10813 transcript:OIW11667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDLRKASPLHESLVLHVEKLSRNVNEGHLKEIFSNFGEVVSVELAMDRAVNLPKGYGYVHFKTREDAEKALLYMDGAQIDGNIVKARFTLPPRQKVSPPPKAAAIAPKRDALRSDNAGADAEKDGPKRQREPSPRRKPLSPRRRSPVPRRVGSPRRPDSPRRRADSPVRRRLDSPPYRRGDTPPRRRPISPGRGRSPSPPRRPRSPGRVSPRRMRGSPIRRRSPPPLRRRSPPRRARSPPRRSPIGRRRSRSPVRRSARSRSRSFSPRRPLRGRGSSNSSSSSSPLPPPPPPPPRKP >OIW11356 pep chromosome:LupAngTanjil_v1.0:LG05:24287832:24288251:-1 gene:TanjilG_19612 transcript:OIW11356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHQSLVESNDKFPLQPLEFNSNNMGQQHKDVEQNTSASITNLNNNKEEIALQVLVEEESEVIAEDSERERLKKHRVEVAGRVWIPEIWGQENFLMDWIDCTTAFHVHLVPSKITTAQEALVEEGKKVNASGLSIENRC >OIW11679 pep chromosome:LupAngTanjil_v1.0:LG05:20125365:20128251:1 gene:TanjilG_18206 transcript:OIW11679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAATNSSFSFNHRIRDGSNLVYDFYRDSCPQAQDIVRSAIARIYFDHRDVSPALLRLFFHDCFIQGCDASILLDDSNSDKNRSAEKQAIPNQTLKGFDKIDMIKEELEQACPGVVSCADILALATRDAVLLAGGPFYPVLTGRKDSHRSFFEEATNQIPRPDDNVTRTLHLFGLKGFNERETVSLLGGHSIGKIGCDFIHPRLYNFMGTGQPDPSIPHDFLLQMWLNCPDNTTTTSDEFPDFVVSKQMSSHSDLGMSYMQALSASVSSGAAFDTHYYQSLLRGRGLLFADQQLMAAEKTARLVSAYASDDGSTFRMDFARVMLKMSNLDVLSGLQGQIRLNCSQPVSS >OIW11469 pep chromosome:LupAngTanjil_v1.0:LG05:23266516:23293530:1 gene:TanjilG_26835 transcript:OIW11469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSFFISTFFKRAKTAVAVGTLSFLGAFFPYYTVNDEGVSMFLKVIASFLSPVAFALGSVNFADYERAHVGLRWSNIWRESSGVNFSICLLMMILDTLLYCAIGLYFDKVLPREYGLRYPWTFPFQKDFWRKKKIVKHGSSSFEVKFSGDNSESKGNLLGKDISKSAIEAISIDMKQQELDGRCMQIRNLHKVYATKKGDCCAVNSLNLTLYENQILALLGHNGAGKSTTISMLVGLLPPTSGDALVFGKNIVSDIDEIRKILGVCPQHDILFPELTVREHLELFATLKGVEEDSLEGVVTSMADEVGLADKINSVVRALSGGMKRKLSLGIALIGNSKVIVLDEPTSGMDPYSMRLTWQLIKKIKKGRIILLTTHSMDEADELGDRIAIMANGSINCCGSSLFLKHHYGVGYTLTLVKSAPTASIAGDIVYRHVPSATCVSEVGTEISFRLPLASSPAFEGMFREIEGCMKKSGSNMGLSSSSDKDSLGIESYGISVTTLEEVFLRVAGCDYNEAECLEENNHSLLSDSVASLASCDRPSKTMCYPGVFGNYKIFGFIACLVGRVCGLIFAILISFINFLGVQCCSCGIITRSRFWQHSKALFIKKAITARRDSKTIIFQLMIPAVFLFFGLLFLKLKPHPDQQGLTLSTSYFNPLLSGGGGGCPIPFNLSLPIAEKVAQNVEGGWIQRFKLSSYKFPNSESALADAVEAAGPTLGPALISMSEYLMSSFNESYQSRYGAIVMDDQNNDGSLGYTVLHNFSCQHAAPTFINLMNAAILRLATQDVNMTIQTRNHPLPMTQSQRVQRHDLDAFSAAIIVNIAFSFIPASFAVSIVKEREVKAKHQQLISGVSVLSYWTSTFIWDFLSFLFPATFSIVLFYIFGLDQFIGGVSLLPTILMLLEYGLAIASSTYCLTFFFYDHTMAQNVVLLVHFFTGLILMVISFIMGLIPSTISANSVLKNFFRISPGFCFADGLASLALLRQGMKDKTSDGVFDWNVTGAAICYLAVESFIYFLLTLLLEIFPSLKFTPFMIKKWWENINIFQHNTTYLEPLLEPSSRTVDKDLDEDVDVKTERNRVLLGSVDNSIIYLRNLRKVYSEEKYHEKKIAVDSLTFSVQEGECFGFLGTNGAGKTTTLSMLCGEETPSDGTAFIFGKDICSNPKAARQYIGYCPQFDALLEYLTVKEHLELYARIKGVPDCTIDNVVMEKLVEFDLVKHANKPSFSLSGGNKRKLSVAIAMIGDPPIVILDEPSTGMDPIAKRFMWDVISRISTRRGKTAVILTTHSMNEAQALCTRIGIMVGGRLRCIGSPQHLKTRFGNHLELEVKPTEVSSVDLQTLCQAIQERLFHVPSHPRTLLNDLEICIGGTDSITSEDTSIAEISLTQEMIALIGLWLGNEERIKTLISCTPVSDGASQEQLSEQLFRDGGIPLPVFSEWWLSKQKFSEIDLFILSSFRGARYQGCNGLNIRYQLPYDEDLSLADVFGVLEGNRNRLGIAEYSISQSTLETIFNHFAAKS >OIW12354 pep chromosome:LupAngTanjil_v1.0:LG05:1605339:1615905:1 gene:TanjilG_32470 transcript:OIW12354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTFHFSTNLTKTASFPPCNSITAFITLPSKNSKTLLIRTAVNSRAKSFNLKKKLLSVNCVAEHKLQDPGSQQQQKEATDSLSSVTPDATSIASSIKYHAEFTPMFSRENFELPQAFFATAQSVRDALIINWNATYDYYEKLNVKQAYYLSMEFLQGRALLNAIGNLELTGPYAEALSKLGYRLEDVACQEPDAALGNGGLGRLASCFLDSLATLNYPAWGYGLRYKYGLFKQLITKDGQEEVAEDWLEMGNPWEIVRNDITYPVKFFGKVVTGSDGKKHWIGGEDASAVAHDVPIPGYKTKTTINLRLWSTKAASEEFDLYAFNSGRHTEAYEALANAEKICYILYPGDDSIEGKVLRLKQQYTLCSASLQDIIARFKRRSGATVNWEEFPEKVAVQMNDTHPTLCIPELLRILIDINGLSWKDAWNITQRTVAYTNHTVLPEALEKWSLDLMQKLLPRHVEIIEMIDEELIRTIIAEYGTADSDLLEKKLKEMRILDNVELPSEFADVLIKSKEADIPSEELQTSEEVENNNDGDEEEAVAKNDEIDESSIQDKKEELPEPVPEPPKLVRMANLCVVSGHAVNGVAEIHSEIVRDEVFNAFYKLWPDKFQNKTNGVTPRRWIRFCNPSLSKIITEWIGTEDWVLNTEKLAELRKFADNENLQVQWSEAKRNNKIKVAAFLKEKTGYSVSPDAMFDIQVKRIHEYKRQLLNVLGIVYRYKKMKEMSAAERKANFVPRVCIFGGKAFATYAQAKRIVKFITDVGATVNHDPEIGDLLKVIFVPDYNVSVAELLIPASELSQHISTAGMEASGTSNMKFAMNGCILIGTLDGANVEIREEVGSDNFFLFGAKAHEIAGLRKERAEGKFVPDPRFEEVKEYVRSGAFGPYNYDELIGSLEGNEGYGRADYFLVGKDFPSYIECQEKVDKAYRDQRTWTRMSILNTAGSYKFSSDRTIHEYARDIWNIEPVKLP >OIW11282 pep chromosome:LupAngTanjil_v1.0:LG05:26322039:26323314:1 gene:TanjilG_28373 transcript:OIW11282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSLMSNFLDQAGGTAVIDGGLATELERHGADLNDPLWSAKCLVSSSHLIRQVHLDYLENGADILITASYQATIQGFKAKGFSNEESEALLRKSVEIACEARNVYNQKCDACSYPSSDTIILKHRSILIAASVGSYGAYLADGSEYSGDYGAAITVQTLKDFHRRRVQILADSGADLLAFETVPNKIEAQLAKQAYAELLEEEDIKIPAWFSFNSKDGINVVSGDSLLECGSIAESCNKVVAVGINCTPPRFIHDLIVLLKKVEPHVLSFQN >OIW12045 pep chromosome:LupAngTanjil_v1.0:LG05:9212516:9214462:-1 gene:TanjilG_24443 transcript:OIW12045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSQKGKFFMFCLILFFSYINVSSTLLESESCSVQSKLDGDLGLEPASEPKTTSDLNQHLLLNKLEELVKNLSDIIARLESRIPEGESKSKKSLLEENIKKSDDDDDKSKRSNEVVEESEFERGIRDVERAKGMSVTKYTPFWSERFEFASAVKLDSEATCINVLPFRDHEGLSKYVAVSDERGRVYVFLRNGDVLVEFHTLVDSPITAMVSYTSAYKNESFVVTGHGNGEILIHRVWEGSGGEDWSSLFMENVGKFMSQEDVFPVTLLEVHYVGRMKYILSADTSGKIKVFKEDGKLYGSAMPTSRPLVFLKQRLMFLTETGAGSLDLRGMKIKESECEGLNHSVARNYVFDANERSKAYGITSEGDLIHVLLLGDVMNFKCRVRYKKKFDMNEPLALQAIKGYLLIVNPEKVFVYNVSSPHYVRFGVPRLVFSSSLDNLRSSFLNHPAVSLNAETRVIPLIASDREKLVIVGLGGGYVGIYHSNLPIFKGEFNTMLWTSPVLFFILFLFGAWHFFAKKKEALTSWGPDDPFSSTSATTSAPLASSSGDRSSFIDSSSRSADVMDIRGGALRAPTRRYGSPTRYPAGAASSYRLGSADHNPRPPSVDPDYRPASELKYRATTMDPPGFPKRREGLFVGNQVVNDRN >OIW11689 pep chromosome:LupAngTanjil_v1.0:LG05:19542916:19551506:1 gene:TanjilG_12208 transcript:OIW11689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTISFTYWDDCVDLEDLEAMWEVPEVSEEWLKAGEVKGHKIHLSRDPDGQPYLTQTEMRAVADIVIGRHFHSQIDPSMVCAIAELESDRQLLVMQSDNKSKEPKLGLMQLSPKTVEQLMRLTAAYSESGYVQYGEGNTDFLFKPFANVYFGAAYIKWLSTFDNKQRNEEFIVRAYKGGTKKATQKSTLRYWKGYLSVKESFPSRKSAHDDYHAPSNRRESSSEKPTDASDDTYWDSRASPEDMADMWNHPQVQKEWNKSKERQGKVRFNQDENGEPYLSWVELKAVADIVLYKHLLTKKIKSTVLCAISEVVSNRLVNGVGERPGIMGIDYATAYWIYLELGYRAYKLESVDDLKCPFVSMYFGAAYVAWLSEYEGRERAPDFVAQAYFVGPKKVNPQDTSTLWLKFVEALSKYEETKRNRDSCSIM >OIW11673 pep chromosome:LupAngTanjil_v1.0:LG05:20055094:20068613:-1 gene:TanjilG_18200 transcript:OIW11673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLSLSSVYSPSSFPIILKFYAFSNLCKPFCSSALSSSPPISLKPKVPLFLRPPIYSTKFCDFKKWHDWAKDIASSIGSTFVNSDNGPDSSILCRELKWLMEDAVEDHTLFSKMGFENNDESVKMRVGIDELYDLWKERIEKRRPFQYVVGCEHWRDLVLSVQEGVLIPRPETELIVDFVCDVVAKNEDLKRGVWADLGTGSGALAIGIGGALGVGGRVIAADINPVSVAVAAYNVQRYCLQDKIEVREGSWFEPLKDIEGKLAGLVSNPPYIPSKEISGLQAEVGRHEPRLALDGGIDGMDALFHLCDGAALFLKPGGFFAFETNGEQQCRALVDYMKNKRSESLCNFEIVADFAVLEKMFHFKVLESKDNWKEVGHEKRPFSIRAIPSYTAQNIKTTRWTTRMASFVVGRRATYSTKSASTNEPVVSVDWLYDNLKDPDMKVLDASWYMPDEQRNPIQEYQVAHIPGALFFDVDGISDQTTKLPHMLPSGEAFAAAVSALGIQNKDDLVVYDGKGIFSAARVWWMFRVFGHDRVWVLDGGLPRWRASGYDVESSASSDAILKASAATEAIEKTYQGLSVGPITFQTKFQPHLVWNLDQVKRNIEEKSHQHIDARSKPRFDGAAPEPRKGIRSGHVPGSKCIPFGQLLDNGSHTLLPEDELKKRFDQEGISSESPVVTSCGTGVTACVLALGLHRLGKSDVAVYDGSWTEWGAQSDTEVETSEP >OIW12331 pep chromosome:LupAngTanjil_v1.0:LG05:1841954:1845543:1 gene:TanjilG_32447 transcript:OIW12331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIFIAILGLVLVLCFCSVLLRWNEVRYRNKGLPPGTMGWPLFGETTEFLKQGPNFLKTQRARFGNFFKSHILGCPTIISMDPELNRYILMNESKGLVPGYPQSMLDILGKCNIAAVHGSTHKYMRGALLSIISPTLIRDQLLPKIDEFIRAHLSNWDAKVINIQDKTKEMAFLSSLKQIAGMESSSISYTFMKEFFKLVLGTLSLPIDLPGTNYRRGFQARKNIINIVSHILEERRASKECYQDMLGCLMRKDESKYKLSDEEIIDLVITLMYSGYETVSTTSMMAVKYLHDHPKVLDELRKEHLAIRERKKPNDPIDYNDLKSMSFTRAVIFETSRLATIVNGVLRKTTQDMELNGYLIPKGWRIYVYTREINYDPFLYPDPLKFNPWRWMDKSVESQNYFFIFGGGTRQCPGKELGIAEISTFLHYFVTRYRWEEIGGEKVMKFPRVEAPNGLHIRVSSLTN >OIW12296 pep chromosome:LupAngTanjil_v1.0:LG05:3079602:3085230:-1 gene:TanjilG_06085 transcript:OIW12296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASALQLCGVKANVYVSARRGLDCETRFGFVNFVPAGLCSAGGVVSSERVTSLLSVTCKATTTRRSDVLEKKNNVIGENDENFGESESCSSYTCVMKFGGSSVASAERMREVAALILSFPQERPIIVLSAMGKTTNKLLMAGEKAVSCGATNVSIIEELDFIKDLHLRQEMGVLILFRTVDELGVDRTVVSKHLEELEQLLKGIAMIKELTQRTKDYLVSFGECMSTRVFAAYLNKIGVKARQYDAFEMGFITTDDFTNADILEATYPAVAKRLHGDWVSDPAIPIVTGFLGKARKSCAVTTLGRGGSDLTATTIGKALGLPEIQVWKDVDGVLTCDPNICPQATPVPYLTFDEAAELAYFGAQVLHPQSMRPAREGDIPVRVKNSYNPTAPGTLIAKGRDMSKAVLTSIVLKRNVTMLDIVSTRMLGQYGFLAKVFSTFEDFGISVDVVATSEELDHVVEELEKIAVVNLLKNRSIISLIGNVQRSSLILEKAFYVLRKLGITVQMISQGASKVNISLVVNDSEAEQCVRALHSVFFESELSELRNGSVAE >OIW12393 pep chromosome:LupAngTanjil_v1.0:LG05:428755:429300:1 gene:TanjilG_04142 transcript:OIW12393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTTLSISTLTPLSYPTPSSSYPTPSLSFSNPTNFPTLSHRRLRPLAAVSAPEKIESLGAEISSLTLEEAKTLVDYLQEKLGVSAASFAAAPVAAAPGAAADAAPVVEEKTEFDVLIEEVPSNARIAVIKAVRALTSLALKEAKDLIEGLPKKFKEGVSKEEAEEAKKQLQDAGAKIAIV >OIW12036 pep chromosome:LupAngTanjil_v1.0:LG05:9623602:9627651:-1 gene:TanjilG_27333 transcript:OIW12036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTSGSASSKRMWCSVPERFQLHVAMLLLQFGYAGFHVVSRAALNMGVSKLVFPVYRNIIALLLLLPFAYFLEKIEQVRVNRKDGVAKVAGTILCVAGATVITLYKGPTIYSPTPPLHSTNMITTTTPQVFDFGSLSLGDAKGKNWTLGCIYLIGHCLSWSGWLVLQAPVLKKYPARLSVTSYTCFFGLLQFLLIALVLERDYQAWVFHSGGEAFTIIYAGVVASGIAFAVQIWCIDRGGPVFVAVYQPVQTFVVAIMASLALGEEFYLGGIIGAVLIVAGLYLVLWGKSEEKKFAKEQLSITSTAEHSIIRPASHAKASLIQPLLPSSTENV >OIW11285 pep chromosome:LupAngTanjil_v1.0:LG05:26330548:26332624:-1 gene:TanjilG_28376 transcript:OIW11285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDTKMSKTQTSSSSSSSSSSHVDSPNTTNFEELVKKLKRFNPLEPSFAILFFTLLFIASFFCLHYTSILQTQGRTTTFHSSVEFEDVDYCDVFNGNWVWDDTYPLYTSQNCSFMDEGFRCNQNGRPDTFYTKWRWQPQNCNLPRFDANNMLEKLRGKRLVFVGDSIGRNQWESLLCMLSSSVTNKSAIYEVNGNPISRHTGFLAFKFEHFNCTIEYYRSPFLVVQGRPPHGAPQHVKLTLRLDHMDWSSFHWRDADVLVLNAGHWWNYEKTLKMGCYFQVGEEVKKNMTIEDAYRKSVETVIDWIAAEVNMNKTYVLFRTYSPVHFRGGDWNSGGGCNMETLPELGSLPTLSDSHFTTILDVLSERMNKSKVMLNLDLLNVTEMSLRRKDGHASIYYVGPNRTAAMTRQDCSHWCLPGVPDSWNEILYALLLKRGDTFGERNATKVSV >OIW12279 pep chromosome:LupAngTanjil_v1.0:LG05:2815920:2817602:1 gene:TanjilG_06068 transcript:OIW12279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMKNGSIATILLPSGLITSYKASMWHGGSVELLHTSVSEGEYGDAIIQGGVSLNLNFQNHVGEVSWSPNNWVLHNVKGDAEDSIQVELRNRSSNDTVGLKYIVTLENDALNSELEVSNSESLPLQMIGSILNHLTVSTPEATFALGLERSNYCSRAPIESQFIISPPDSDQEEGFQKILNSSALKRLFPRWGTKNQKNNEVEGSETGTDDMAGEEMDDYKPLREKISLVYTNAPRGFTVIDRGRRNSVSVGRNGFDEMYLFSPGSSFESYSKYAYICIGQTAILKPIEINPGDVWRGGQFIHNPNL >OIW11616 pep chromosome:LupAngTanjil_v1.0:LG05:21098459:21101042:1 gene:TanjilG_31895 transcript:OIW11616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRSRGSDLPQRQSPRGPHALRTSSSDSDPMHHRPIADRSPKLGERRSPKGTQPETVNQKKLGTRIADLEHQLGQAQEELKMLKNQLSSAEAAKQEAQNELVKKAEKSVVPIAEKFQEKCTSKSAPESNEIETKTQYIIPAETQQETDVFEVPVEKVAIEFSQPENQVQKETKPFEDSTSPAISKPEKPSVDELALKNDEIVSLKSSLEDKGKELETVNNENENLKNQLNEAVSKLSAAQIKEEGITLQLKQLGDELEASKGSAYKLKEKLKSTEVEKSALESEMKKLRVQTEQWRKAADAAAAVLAGDVDMRVPERCGSMDKHFGGTFETPAGRYNGYVGSPGMADDLDDGFGTGKRKGSGMRMFGDLWKKKGQK >OIW11761 pep chromosome:LupAngTanjil_v1.0:LG05:17824052:17824252:1 gene:TanjilG_10963 transcript:OIW11761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEMFFTLAFSSVPLILYFPPMRSFNLFVETIEETLKSTSVYTNRVNHGLRGAWSRVLNCVSRSRR >OIW11980 pep chromosome:LupAngTanjil_v1.0:LG05:10691726:10693203:1 gene:TanjilG_02187 transcript:OIW11980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLTISHQEIELPKNRERSSDFKGIITTKDDNNKNIEKTVSKNYPSPLGLYSEDEKVLAFYHNRIYEAKVQKAESRNNKWKYHIHYIRWSTKWDEWVDEDQLLKHTQDSLMKQKALEKGVNMKAKKHSSDSNVDKDEIKINVSKGKKRKNDEEFEKVSVTIKKSINIQIPSMLSKQLIHDWNFVTQQDKLVTLPRSPTIDEILTKYLQFKSKKKNNNVSDSSIEEFMTWVRRNFDRTLPMMLLYTKEHKQYSEAIMDNVSPSTIYGAEHLLRLFAKSHELLAYLNIEEETLNHLQQIFVDFLKFLQRRKNTFFLSEYDDPRVFVEQEEEERNEE >OIW12194 pep chromosome:LupAngTanjil_v1.0:LG05:5074342:5076170:-1 gene:TanjilG_28602 transcript:OIW12194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFKDRRSCPHLCRLASEYIRKCEGCEDDIYAFFENEPDADSLYVKLVEEFERCILSYFGFHWRHGDLMMSQVLTSETEPRRKLKQIVMAATRSGKVKKRKCNLPTPISNAVFEIPEPNKNGGAETPKPGFETGFERWKVEVGLSD >OIW11701 pep chromosome:LupAngTanjil_v1.0:LG05:19267407:19269176:-1 gene:TanjilG_12220 transcript:OIW11701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNQTFSKKKETLPIDTMFNLPANIPVWPQGDGFANGIIDLGGLKVSQISTFNKVWTTLQGGSDNAGATFFEPKGIPEGFFMLGCYSQPNNKSLFGRILVAKDDSSVENEALKKPLDYTLIWTSKSQNIKQDNDGYVWLPTPPNGYKALGHVVTITPEKPSLDKIRCVRSDFTDQCETSSWIWGPGKSTDDKGFNVFDIRPSNRGIQAPGVLVGTFFAQDGGTTTSSIPIACLKNTNLNFSSMPNINQIEALVKAYSPIMYLHPNEKYQSSSVNWYFTNGALLYKKGEESNPVPIDPTGSNLPQGGNNDGAYWLDLPIDKANKERVKKGDFKSTQVYIHVKPMFGGTFTDLAMWVFYPFNGPAIAKFGLIDIPLGKIGEHVGDWEHVTLRISNFDGGLKKVYFSQHSSGQWVEASELEFQSGNNNKPATYSSLNGHAMYPKAGLVLQGVSEIGIRNDAAKSNMVVDFGVGFEIVSGDYLGSEIIEPPWLNYLRQWGPNISYDLAEELNKLEKVFPVVKKIESSLPNELLGEEGPTGPKVKRNWSGDES >OIW12401 pep chromosome:LupAngTanjil_v1.0:LG05:506832:511612:1 gene:TanjilG_04150 transcript:OIW12401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATCIPAGIGTMRCRVTDCNIQRCRRTTWVSDMPFKRYLQKEFSWSKGVSQVLKVQRCEFSVPQLYRNRKLFKVVSSCKNDGSTKHFDFAVIGSGIAGLRYALDVAKYGTVAVITKAESHECNTNYAQGGVSAVLCPSDSVESHMKDTIVAGAYLCDEETVVCTEGPDRVRELIAMGASFDHGEDGNLHLAREGGHSHHRVVHAADMTGKEIERALLKAIVNNPNIFVFEHHFAIDLLTCQDGSDITCFGVDTLNTETLEVVRFLSKVTLLASGGAGHIYPKTTNPLVATGDGIAIAHRAQAVISNMEFVQFHPTALADEGLPIKPTEPRENAFLISEAVRGDGGILYNLGMERFMPLYDGRAELAPRDVVARSIDDQLKKRDEKYVLLDISHKPKDEILSHFPNISSTCLQYGLDITRHPIPVVPAAHYMCGGVRAGLQGETNVQGLYVAGEVACTGLHGANRLASNSLLEALVFAQRAVLPSVDQMKSSSLDLTASNLWPRPVVPLSLGNDVRGKILSMTRELRKEVQSIMWNYVGIVRSTMRLETAEQKIGEVEAKWEEYITQHGWKPTMVGPEICEMRNLFCCAKIVVSSALSRHESRGLHYTIDFPDLEESERLPTIIMPSSLVNSTWSSRQLHKQPLWQ >OIW12326 pep chromosome:LupAngTanjil_v1.0:LG05:1891062:1895207:1 gene:TanjilG_32442 transcript:OIW12326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLTLKLHFNLHNHHANKNTIINNHKYNNKNNINTCFSNKNIPQGTIYNTNPLIITMSKVESRTMRGGGNMIKSSLIEPDGGVLVDLVVGEGERGSKRVEAESLPKVKLNRIDVEWVHVIGEGWASPLRGFMREDEYLQTLHFNSLRLKDGCVVNMSLPIVLPIDDETKGKIGSSSNVGLVGLDGDCVAILRSIEIYKHNKEERIARTWGTIAPGLPYVEEVITQSGNWLIGGDLEVIKPIKYNDGLDNYRLSPKQLRREFDRRKADAVFAFQLRNPVHNGHALLMNDTRKRLLEMGYKNPILLLHPLGGFTKADDVPLDVRMEQHSKVLEDGILDPETTIVAIFPSPMHYAGPTEVQWHAKARINAGANFYIVGRDPAGMGHPTEKRDLYDPDHGKKVLSMAPGLEKLNILPFRVAAYDTTENKMAFFDPTRSKDFLFISGTKMRAYARSGENPPDGFMCPSGWKVLVNYYERLQMEEQAQQLAMLPT >OIW11715 pep chromosome:LupAngTanjil_v1.0:LG05:18687603:18694152:1 gene:TanjilG_14348 transcript:OIW11715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGARQQQQVEEEINGVLAPGTSLDLQTLFRRFWKVAAPYWSSNDKAQARLQLAGVFALTLATTGISVGFNFLGRDFYNALANKDQEQFTTQLFYYLGAFAGGIPFFVLRDYARETLSLRWRSWMTSYYMDRYLKDQTFYKIQSQSIIDNPDQRIVDDLSSFTGTALSFSLTFFNAAVDLISFSNILFGIYPPLFIVLLVYSIGGTAISVFLGRGLVSLNFLQEKKEADFRYGLVRVRENAESIAFYSGEESEMQLLLQRFRSAFENLSQLLVSSRNLDFFTSGYRYVIQILPAAVVAPMYFSGKIEFGVINQSVSAFNHILGDFSLIVYQFQAISAFSAVINRLGEFDDILDRSSSKTLSDTIEDIHVSYKDFRSSSVLETNGSIPPEKYETLLEIENLTLKTPSESTLIRNLSLVIKEKDHLLVMGPSGSGKTSLLRAMASLWKTGTGKITYYLKDGEDPEQAIFSDVKTAHDTSEGSGKSIRSNSRIFFLPQRPYMVLGTLRQQLLYPTWGDETVAVSDSTDPKNNLPLLANMPNSDNMKDKPVKPTTDELIKVLDDVRLGYLLARFSLDSTHEWSSVLSLGEQQRLAFARLLLSKPQLVLLDESTSALDEANEVHLYQRIEAAGITYISIGHRSTLCDYHDMILRISTVDANDEQLNWSVEPTKRESSLKSANL >OIW11523 pep chromosome:LupAngTanjil_v1.0:LG05:22526569:22528070:1 gene:TanjilG_26889 transcript:OIW11523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRSLAMNFQRQTQKMMAKRLKEPCDVFLNHRCMDTKKTVATLLYDHLLRHGFNPFLDNKNMKPGDKLFDKINGAVFECKIGVAVFSPRYCESYFCLHELALLMGCRKKIIPIFCDVKPSQLRVFNNGKWSEEELRRFRWALEEAKSTVGLTFNSSKGNLSEIVTSASEIIIGSMVELENEEQMQNYNSPNAL >OIW12131 pep chromosome:LupAngTanjil_v1.0:LG05:6067011:6067331:-1 gene:TanjilG_02352 transcript:OIW12131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSVDGNSQGNGGGQAIFTKLQHHDSNFHKRCRCHRQTASRGGASSFALGLSRAHEPMVFAVGSAVSSAISIAQASSSFYGSGVTPLTSGADSPGVDFFSLSSHNL >OIW11291 pep chromosome:LupAngTanjil_v1.0:LG05:24914816:24925250:1 gene:TanjilG_20440 transcript:OIW11291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTWNCYLGAAVEKDVEGVVQREYFVKYQGLAHAHNCWIPETRMLLEAPKLLAKFKKKPQVIRCTREWSIPYRLLLKRKFILPKRNDEHLNGEDDCRYQWLVKWTGLGYDNVSWELDNASFMTSSEGMKLTQEYECRRMIANRLSKPFETNKGREASFAELPVLPCGDSPGFYNQYLSYVNKLHMCWNKRQSALIVDDHVDQDLVALSCIQWEVIIIDECQRPKICKHLDNISILAAEMKLVLVSGLIKSGHHGLDVPPVETFFSASISDLKRQLEKYVAFRSTRFVEYWVPAPLSSLQLEQYCSMLLSHSTLLCSGQKSDNVAALHDLIISTRKCCGHPYLLDQSLHSFVTRGLPVEEHLNIGIKASGKLQLLEKILLEARSRGLRVIILFQSTANGSASIGDILDDVLCQRFGKDCYVRYDRLYRPSMKQAALDTFNDRESGKFVFLIENRACLPSVKLSSVDTVILFDSDWDLHNDLRALHKMSISFQRTEITVFRLYSPFTVEERALILAKEGIALESDMQLITQSTFLNLLKWGASYLFNKLDDLHCSGSSVSAADISTDESLLNDVTGELLSRLVYSSGDTDCHEWSFIFRAQLNGGEFARNILLAGERVMKKSDNGPNIFSWSDLLNGRQPRWIFLSVSSQRTRKTVKYFDHIEKESEYLSDPIMSEKKKVSKANVNPNRRKLSKDNVPERREVIKGSVDPNRRKASKDSVDPKKRKFSSKYNIDLKRRKVSKDIVDSKYLKTRLEKKKKPSAVRKARKMNGTAEQNKLHDIPESAELLPKPDISVLCDVLHLPKNVKAIAMRILEHIFKHYNISCEDGSTTQAFKISVCWLAASFLKHKIDRKYSLVLAKLYLNFICKEEEIIEVYSELRKFKQEISSCLQNGLHVENCNINSASDSEIPQLKDLSGEKQKGFQGPCLSNILESATNKHLQTKSPTTVLSSHDMAYTEKFHSSPSMAHENFLSQNTSCSLPLETDAMELESGEEDDRMNAMSSVAAEVSYPENQNKIPNSSNNPHNVSHVTCSFERQISIKSTEIAGFDGKDSEDLHILVNEVVAGDNSINMATHTAQLDSVETDALTCDITEQQNKVPNSSNNPHNVIPVTSSLGRQSSIRSTEIAVSDFNVSEGPHIFVNELVAGDNSMNIHPAQLDSVETDALTCDSTVVPDFRQSDNVLSPVCGQSTTSEFAETTLPFMQPSYANSSSSPLPQMTMPSIPSFLEDNSTSTVMRLEAEVLLQALNDSAETVNPSFIPPVTQQPFPIEPLNENTRHVPHSISNAQYATSETPDTTYRDPMVIEMERIKKTSQLQSDYQKEVEMLREKYTMLIQEADTAVALKIEELEMQCKIACMNKALAEAWVDAEYIDQGMDCA >OIW11917 pep chromosome:LupAngTanjil_v1.0:LG05:11139243:11145015:-1 gene:TanjilG_18190 transcript:OIW11917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKDNLKKPALTAFTRNGDYVVILSADGTAKIWNTSNGCSVAEWKASDGDHDVSYYSCIACSFTGKKRRKEQGTWLLALGAVDGSILAVDVSTGERKWTTSHPGGVCGLSFANKGHLLHVVGHKGTASDINIETGEVLKEFKISKKSISSLAFSYDEKYLAIVSSKLRVKSWENGKEVLTFPDDLGNVQYVSISSDAKAVVTSDFEGKNLQVWRCDLNSGTVSSGPTLPMRHSPLFFECHHGCNEDDLVVFAVSGSGTTYVWNLSASSEEEIQPTKITVKADKENSESSKKRRNSIIAFRIQPFKEDEQIKALVAYGSVDHPQFSVLNISNSGGENIALNVRDETNSVQQHDNPFETVPIENKKSKKRQATSDPDHPTTTDKVDFDQGDAADGVLLDDDPSEPTMGEKLATLSLLDENKSKSDKEQESSVLAKPPSADSVHVLLKQALNADDRALLLDCLYTQDEKVIKKSVAELNPSNVLRLLHSLISIIESRGAILACALPWLKCLLLHHASGIMSHESSLQALNSLYQLIESRVSTFKSAIQLSSCLDILYSGVIEEEVDEGETIPVIYEDKDSEEESEDDAMETDQDSKGDQQSDEAFGDVSDIDGSDDMMGE >OIW12151 pep chromosome:LupAngTanjil_v1.0:LG05:3631910:3633313:-1 gene:TanjilG_28559 transcript:OIW12151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCDDQSNSQNNFHQTVVVLVPLPAQGHLNQLMHLSRLILSHNIPVHYVCTAKHNLQAKVRVQGWNPNSISNIHFHDLQVPPFASPTPNPNGESKFPSHITPSLVASTHLREPVAALIQSLSSTARKVVVISDSMMTYVVQDLQHISNAENYIFQSTCAFTISMFYLDTLEKPPQENPIFIPEVPSLEGCFTTEFIDFMTTQVELSNFNVGNIYNTARAIDGPYLDLIDLMTGGQKNWALGPFNPLTIETGNSKERHYSLKWLDTQDSNSVIYVSFGTSTVMTGEQIKEIAIGLEQSKQKFIWVIRDADKGDIFDEDGVRRHELPNGYEERVEGLGLVVRDWAPQLDILNHPSTGGFMSHCGWNSCIETITMGVPVVAWPMHSDQPRNSVLITHVLKIGFAIRDWAQRNELVTAPDVEKAVRRLMETNEGVEMRQRAINLKDDVLRSMDEGGVSSVEINSFIAHITR >OIW11626 pep chromosome:LupAngTanjil_v1.0:LG05:21228137:21229783:1 gene:TanjilG_31905 transcript:OIW11626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGKEAENKRFLKKILLIINCIIQVTGTCGGPLVLRLYFIHGGHRVWLSSFLQAAGFPILLIPLSVSYIMRRRRYNNHHHITTIATKPRIFTMKLPLFIVFSFVGILQGLDNILYSKGIASLPVSTSSLVIATQLAFTAVFAFLLVRQKFTPYSLNAIIMLILGAVVLALNGGGDSTAGESSKTYVMGFVMTLIAAALIGLILPLVELAYKKTKQIITYSLVLEIQLVASIAGSLFSLVGMIVNKDFKVIPREAKHFELGETIYYVVLVSSAIVSQLSGLGAMGVVFCASSLMSGIMIAMSVPLTEILAVIFYKEKFQAVKGISLALSIWGFVSYFYGEFQQAKKMKKNRIPEIEQPQNHSNINA >OIW11677 pep chromosome:LupAngTanjil_v1.0:LG05:20120377:20121084:1 gene:TanjilG_18204 transcript:OIW11677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLITASLSDIKSTVEYMTSMDFTAIEFRRIVGMCPEILTTKVSDVIPVFTFLLREALVKGSDIKHAIYRRPRLLVCSVDKQLRPTLYFLQSIGIEEVSKHTYLLSCSVEEKFMPRIDYFENIGFSRRDSTIMFRRFPPLFCYSIKNNLEPKYNYFVVEMGRDLKELIEFPQYFSFSLENRIKPRHKRCVEMGVCFPLPTLLKTSEMRFRNRLDVCLNSSTPLKTSPLWSAGGYID >OIW12398 pep chromosome:LupAngTanjil_v1.0:LG05:480886:488345:1 gene:TanjilG_04147 transcript:OIW12398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERCKKRISFSKLYSFSCFKSVNGDGHSQIGQRGFSRVVYCNDPDNPEVIRLSYRRNYVSTTKYTFFNFIPKSLFEQFRRVANIYFLVVACVSFSALAPYTPVSIVAPLLFVIGATMVKEAVEDWKRRRQDIKANNRKVQVYSRSHTFVESRWKKLRVGDIVKVYKDEYFPADLLLLSSKYDDGVCYVETMNLDGETNLKLKHALEETNHLRDENSLRKFSAIVKCEDPNENLYLFIGNLYYDDKEYPLSLQQILLRGSKLKNTEYIYGVVIFTGPDTKVMQNSTDPPSKRSKIERKMDKIIYILFSSLIFVSFISSLFFGVETKRDISDGRYRRWYLLPSDSTVFYDPRNATFAAILHFLTALMLYGYLIPISLYVSIELVKVLQSTFINRDQEMYYEETDRPARARTSNLNEELGQVETILSDKTGTLTCNSMEFVKCSIAGVPYGRGMTEVEKALARRRGSHESGVDDVSSDVTGLSSDAVESQQRIKGFNFRDERIMNGQWVNEAHSDIIQKFFQVLGICNTAIPDVDEESGEVLYEAESPDEAAFVIAARELGFELRARTQTSISLHELINESGKKVDRVYQLLHVLEFSSSRKRMSVIIRNEENQLLLLCKGADSVMFERLSQNGRQFEAETKDHIKRYAEAGLRTLVIAYRKLGEEEYKLWEEEFSKAKASVSANRDALVDTSVDKMEKDLILLGATAVEDRLQSGVPECIDKLAQAGIKLWILTGDKMETAVNIGYACSLLRQDMKQIVISLDSPDILSLEKHGDTEALVKASLGSVKKQIFEGISEIKSAKESFNTEKGSSSFALIIDGKSLDFSLSKNLESSFFELATNCASVICCRSSPKQKARVTRLVKLGTGKATLSIGDGANDVGMLQEADIGVGISGAEGMQAVMASDFAIAQFRFLERLLLVHGHWCYRRISMMICYFFYKNIAFGFTLFWFEAYASFSGQPAYNDWYMSFYNVFFTSLPVIALGVFDQDVSAHLCLKHPFLYLEGVEDTLFSWPRIIGWMLNGVISSLLIFFVTTNSSLNQAFRKDGQIVGYEILGEFPN >OIW11587 pep chromosome:LupAngTanjil_v1.0:LG05:21749928:21753540:-1 gene:TanjilG_15281 transcript:OIW11587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGTLSKLNIAIIHPDLGIGGAERLIVDAAVELASHGHKVHIFTAHHDKNRCFEETIAGTFPVTVYGSFLPWHIFYRLHALCAYLRCLFVALCVLFMWPSFDVILVDQVSVVIPILKLKRSTKVVFYCHFPDLLLAQHSTFLRRVYRKPIDYIEEITSGMADLILVNSNFTASTFANTFTYLNAKGIRPATLYPAVNVDQFSEPRSFKMNFLSINRFERKKNIELAISAFSMLHSPKGVLKNQDVNASLVIAGGFDERLKENVEYLEELKNLAEKEGVSNQIRFITSCSTAERNALLSECLCVLYTPKDEHFGIVPLEAMAAYKPVIACNSGGPVESIKNGVTGFLCNPTPQDFSLAMTRFIEDPKGAERMGKEARKHVIDSFSTKTFGQHLNRYIVDIHRGKED >OIW12182 pep chromosome:LupAngTanjil_v1.0:LG05:4535300:4576714:1 gene:TanjilG_28590 transcript:OIW12182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVILNSNNNFLSLSSSNCWLLDGSPCTGRKFSDLHCLLLFKWGNSRKGRLIRHALLSNGTHGLVGCREYNITFSKPGRTAHLFSFASADDGVTVNGSPQASTSTDLEKMRVKLNSSLEDEDFCDGLVQALYDAARVFELAIKEHESFPRVSWFSTAWLGVDQNPWVKTLSYQAAVFSLLYAASEISSRSDGRDMNVNVFVQRSLLRLSAPLESLIREKLSAKQPEAYEWFWSEQVPAVGRSFVNKFVGDGRFTAAVALSGRNISSSSAGDLSLLMLALTCIAAIVKHGPTKVSCSQLFSMITEINGSLMDMLVGLIPVSQAYNSIKDIGLQREFLVHFGPRAASCRANVEWGSEEVVFWVNLAQRQLQEAVDKEKIWSRLTTSESIEVLEKDLAIFGFFISLGRSTRFFLLENGFDILEEPIEDFIRYLIGGSVLYYPQLSSISSYQLYVEVVCEELDWLPFYPGITSITKKLHTHRSKEDCPPNAEAVPQVFDVCSHWIQSFIKYSTWLESPSNVKAAEFLSKGHEKLMKCREELGIRKDKTLDGDTARTVNKNRSTIQSTTEESASFDEALKSVEEAVLRLERLLQELHVSSSSSGKENLKAACSDLEKIRKLRKEAEFFAASFRAKADSLQQGVNGGRPHAPSGEERGYIKGKSRKNANIRVESNDRSAGKSPGFWNIIVGPATRKPDLESDVDAYESNIENCTPKVGDFVQESSEINRFEYLRNELIELEERVQKSAYQSENNEELVVIDGGARYNDDSGAVQIVRGQKKENFIEKSLDKLKETGTDVWQGTQLLAIDVAAAMGLFRRSLTGDELTEKEKKTLKRTLTDMASVVPIGILMLLPVTAVGHAAMLAAIQRYVPSLIPSTYARERLDLMRQLEKMKQMTAIDVESDEEVDEVK >OIW11470 pep chromosome:LupAngTanjil_v1.0:LG05:23259291:23263119:1 gene:TanjilG_26836 transcript:OIW11470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTASNQLKIMLRKNFLLKIRHPFVTSAEILLPTVVMLLLIAVRTQVDTQIHPAQPHIQKDLFVEVGKGVSPNIQQVLESLLEKGEHLAFAPDTNETKLMIDVMSTKFPLLKLVSVVYKDEVELETYISSEAYGTCNQARNCSNPKIKGAVVFHEQGPQSFDYSIRLNHTWAFSGFPDVTTIMDTNGPFLNDLELGVSPVPTMQYSFSGFFTLQQMVDSYIIFISQQSDLNSSIKSEDLPLPGFYDTNFSSRIPWTRFNPAHIRIAPFPTREYTDDQFQSIVKEVMGIL >OIW11925 pep chromosome:LupAngTanjil_v1.0:LG05:10986846:10987538:1 gene:TanjilG_18198 transcript:OIW11925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFMSIEQNHMASSISNGIVLTTAMVVSTTVLYFAFSRQKTHSPSFQISNKQILRSCLYSEEKRRGRKKNKRVKFAENVKVKERRESDKKMKKVERNEKRESSSRNRSMEMNGMPENRVALYKGMLRDRGNRIAFCH >OIW12350 pep chromosome:LupAngTanjil_v1.0:LG05:1633333:1634984:-1 gene:TanjilG_32466 transcript:OIW12350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAKTVKDVSPHEFVKAYSAHLKRSGKIELPEWTDIVKTAKFKELAPYDPDWYYIRAASMARKIYLRGGLGVGAFRRIYGGSKSNGSRPSHFCKSSGGIARHILQQLEIVKIVEIDPKGGRRITSNGRRDLDQVAGRIVIAP >OIW11976 pep chromosome:LupAngTanjil_v1.0:LG05:10644155:10646125:-1 gene:TanjilG_02183 transcript:OIW11976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHCCILKMGFENDVFVGASLINLYSRFRGLDSAYKVFVEMPVRDVGSWNAMISGFCQNGNAAEALDVLNKMKGEGLKMDKVTVSSILPVCAQSNDVVSGLLIHLYVIKHGLEEDVFVSNALINMYSKLGRLQDSQRVFYYMKVKDLVSWNSIIAAYEQNDVPTTALGLFKEMQFVGIRPDLLTVVSLASILGQLSDETVSRSVHGFVMRHEWLEKDVVIGNALVNMYAKLGAMDCASTVFEHLPLRDIISWNTLITGYAQNGLASEAIDAFNKMEQCENIIPNQGTWVSILPAYSHLGALQQGTKIHGRLIKNSLYLDVFVATCLIDMYGKCGWLDDAMSLFYEIPRETSVPWNAIISSLGIHGHGEQALQLFKDMLAEGVNADHITFISLLSACSHSASNRLLEVDSDNVGYYVLLSNMYANVGKWEGVTKVRSLARDRGLSKTPGWSSVVVGSIVEVFYTGNQTHPKCIEIYDELRVLTAKMKSIGYVPDYSFVLQDVEEDEKEQILTSHSERLAIAFGIISTPPGSPIRIFKNLRVCGDCHNATKYISRITERDIVVRDSNRFHHFKDGICSCGDYW >OIW12312 pep chromosome:LupAngTanjil_v1.0:LG05:3351852:3352022:-1 gene:TanjilG_06101 transcript:OIW12312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSVEENRLFSLTTITWIDEFVKLGVDQLVPYYTVILATILPCISDKKEKIRVVAR >OIW11605 pep chromosome:LupAngTanjil_v1.0:LG05:21453578:21455692:-1 gene:TanjilG_15299 transcript:OIW11605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIANDTVIKKSKRLTSVVWNHFERVRKADTCYAVCVHCNKKLSGSSNSGTSHLRNHLMRCLKRSNFDVSQLLSAKRRKKDNAVTVANIGFDEGRVKEEYIKPTIIKYEPDLKKDEIINFGGRKFDQEKSQLDLARMIILHGYPLNMVEHVGFKVFVKNLQPLFEFVPNSLIEISCMEIYGKEKEIVYNMINKLHGRINLSIEGWSSAENSSYLCIVAHYIDEEWTLQKKILNFVTLDPSHTEDLLPELIIKCLNEWDIDRKLFALTFDDFCVEDDITLIIKQRISERRPFLSTHPLLDIRSAAHLLNSMVQDAIDALHEVIQKIRESVRYIKSSQEIQGKFNEIAQQAGIDSQKALILDFPVQWKSTYLMLETAVEYKTVFLLFQEQDLSYSSALTDEEWGWATSVTAYLKLFLEITNVFSSNLFPTANIYFPEICDIHIQLFDWCRSSDSFLSSVALKMKARFDTYWSKCSSALAVAAILDPRFKMKLVEYYYSQIYGSTALDRIKEVSDAVKELFDAYSICSTMVDQGSALPCNSLPSTSYDARDRLKGFNKFLYETSQNQNVASDLDKYLEEPIFPRSSDFNILNWWKVHMPRYPILSMMARDVLGTPMSTVPPELAFSTEGRVLDPIRASLNPDTQQALICTKDWLRNESGDLSTSSSHVALPLLIE >OIW12349 pep chromosome:LupAngTanjil_v1.0:LG05:1636861:1643163:1 gene:TanjilG_32465 transcript:OIW12349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFSVPCPKCPCPSSSLLGLKSNKVPFQNGLLCLKTSQSFGSLSSESATFGIRCLNRKQFSVGKLQAKLNEADTVENSKSAPVLNGPTVPSSNEEEHNGKLADGTAANESSISAFLTQVADLVKLVDSRDIVELQLKQSGCELVIRKQEALQPPPASTIAPASPPYPYPTYQAPPAPAPPAPAPASPPPSKAVPALPSPGKASTSSHPPLKCPMAGTFYRSPAPSEPPFVKVGDKVQKGQVICIIEAMKLMNEIEADQSGTIAEIIAEDGKPVSVDTCLNRKQFSVGKLQAKLNEADTVENSKSAPVLNGPTVPSSNEEEHNGKLADGTAANESSISAFLTQVADLVKLVDSRDIVELQLKQSGCELVIRKQEALQPPPASTIAPASPPYPYPTYQAPPAPAPPAPAPASPPPSKAVPALPSPGKASTSSHPPLKCPMAGTFYRSPAPSEPPFVKVGDKVQKGQVICIIEAMKLMNEIEADQSGTIAEIIAEDGKPVSVDTFHEYQKRHYLEVDEPLLDNMNMLI >OIW11497 pep chromosome:LupAngTanjil_v1.0:LG05:22884355:22893037:1 gene:TanjilG_26863 transcript:OIW11497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPQKHFTVALAVVFLSASFAYYNYGIKPSNNDGAISSMKVLHYEVVPIENAVGPESFAFDANGGGPYTGVSDGRIIKWHPLEKRWRNFATTYSHRNDQCGGPYNKHLKTEHICGRPLGLCFSNITGDLYIADAYKGLIIVGPNGGTATTVSSHIEGSPFGFTNSVDIDQQTGTVYFTSSSSKYERRNYVSLILSKDKTGKLMKYDPQSKQVSLLLNNLSFANGVALSKNSDYILIAETNNCRVLRYWLQTPKAGTLEVFANLPGFPDNIKRSPRGGFWVGIYSRRDKFVQWILSYPWIGRTLLKLPLDITKVYSYLAKLKGSSGLAIRLSDEGNVLEIVESGTKGRSISDVEERDGVLWVGSIETPFAGKYHILGAQG >OIW11785 pep chromosome:LupAngTanjil_v1.0:LG05:16051335:16053457:-1 gene:TanjilG_31187 transcript:OIW11785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSFMEIVLLLRVLPCNNNDSQRVVATALMLKEDLHKFGQSRLERNDFCLNSVGMVNLASREIYFTFPPDSTFREEDVSNYLSIYGPFQDVRIPYQQKHMFGFVTFVKPYKEKGKVLDKTLEEQADFQQAVELQSKRLMGLLLHDIKKHHQGALSTGSPIPSSTHSPNIFNQNRDFNILISNSGSRSAPGSTASSPVDQPMQQTVNISVGKEMAGNGDNENTNGNGNGKESSNDLQECLEHNLPDSPFASPTKAIGDYTATFSNGPSEALNLDAPASANSKFNTSRLLPAASGPLTANFPGSLLAMEQLERLPAPME >OIW12171 pep chromosome:LupAngTanjil_v1.0:LG05:4082613:4121821:1 gene:TanjilG_28579 transcript:OIW12171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSVARRSRGGVLEGLYKVLMRRNSVYVTFVIAGAFLGERAVDYGVHKIWEHNNVGIDLIELILCFDCYPFGLNVLKLGSKLQSEALREAISQIVTNSKEKNRKFTETIELQIGLKNYDPQKDKRFSGSVKLPHIPRPKMKICMLGDAQHVEEAEKIGLDYMDVEALKKLNKNKKLVKKLAKKYHAFLASEAIIKQIPRLLGPEEGMYAGKFPTLVSHQESLESKVNETKAMVKFQLKKVLCMGVAVGNLAMEEKQIFQNVQLSVNFLVSLLKKNWQNGKVKQIVGSTLQDLKGDESNPVTNFESDKSAAEYATLYKEDELTGGHVIMLGADPLSKAATLEALHAYPGGLQVGGGINSENCLSYIEKGASHVIVTSYVFSNGQMDLERLKDLIRIVGKERLVLDLSCRKKDGKYAIVTDRWQKFSDVSLDAEVMQFLANFADEFLVHGVDVEGKKLGIDEELVALLGKYSPIPVTYAGGVTVMADLERIKLAGMERVDVTVGSALDIFGGEMAYEQVVAWHAQQKVSMV >OIW12422 pep chromosome:LupAngTanjil_v1.0:LG05:769358:769768:1 gene:TanjilG_04171 transcript:OIW12422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSSQFLILSHFTDISLHHPPKPPPPPPFFHHRRRTSSAISCSLISGLGGFPDDVVSTRNSTFDRGFIVIAKMLKRIEPLDNSVITEGVSDSAKDSMKQTISTMFGLLPSQQFSVTVTVSKKPLHRLLVSSIVTG >OIW11786 pep chromosome:LupAngTanjil_v1.0:LG05:16112725:16113285:-1 gene:TanjilG_31188 transcript:OIW11786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDGNAEVSDKLSDNVLSSNPESSSHYQGSTSINIATIDLPKSTRTCTHTHTCNPPGPVAAHTHTCYHTHTQVIASNDDDIKHTNSKPGRPSGNREAVRKYREKKKARTAFLEEEVEKLRLSNRELVRKLQGKAVLEAELSRLRSILSCLKGKIDNELGVFPFLKERTTSLHCQNNFPCFQPHDRN >OIW11730 pep chromosome:LupAngTanjil_v1.0:LG05:18466410:18470158:1 gene:TanjilG_20214 transcript:OIW11730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRTLVEEDDLSTKALGRWPVFYYGMGHMLNDITASCWFTYLLLFLTEIGLSPRNAATVMLSGQVADGFATIFAGELIDRFGHFKIWHGAGSLLVAVSFSSVFGGCLPCKIFASSSCTFETVSYSAFAAIFNVGWAATQVSHMSMVSCITLNSTSRVALASCRNAFTMVANLSLYAVAFIVFSVINGKTYVDVQNQYRWIAYLSICIGCCFVAIFLLSTKEPRLKVGIHGEIRARISWVYWFKRVLYYQVALNYVLTRLVVNVSQAYLAFYVINDLQMAQSAKALVYGQFCPL >OIW12281 pep chromosome:LupAngTanjil_v1.0:LG05:2830918:2832993:1 gene:TanjilG_06070 transcript:OIW12281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPQEAIETALTPTSPSTSPQSLPLHHRVAIVTGSSRGIGRQIALHLTQLGARLVINYSSDNSAPADSLAAEINAAAAAAASLPRAIVVRADISDPTQVKVLFDSAERDFNSPVHILVNSAGTLDSKYPTIADTSIESFDRVFAVNATGAFLCSREAANRLKRGGGGRIILFSSSQVAALRPGFGAYTAAKAAVEAMTKILAKELKGTGITVNCVAPGPIATELFFGGRTEEQVKKIIDESPLGRLGETKDVAPLVGFLASDAGEWVNGQIIRINGGYV >OIW11816 pep chromosome:LupAngTanjil_v1.0:LG05:14766918:14767544:-1 gene:TanjilG_07297 transcript:OIW11816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHILFLLSLLLSTSHASVNDFCVADLKGPDGPSGYPCKPPAKVTTHDFVYAGLAAEGSTSNIINAAVTPAFVAQFPGLNGLGLSAARLDLAPGGVIPLHTHPGASELLIVTEGLVTAGFIASDNSVYVKKLSKGQLFILPQGLLHFQLSYGKSKAVAYAVFSSSNPGLQILDFALFASNFSSSLITQTTFLDPEQVKKLKSVLGGSG >OIW12424 pep chromosome:LupAngTanjil_v1.0:LG05:798441:803124:-1 gene:TanjilG_04173 transcript:OIW12424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGEILENVSGEVEFNKVEFTYPSRPDSIILNDMCLKVPSGKTLALVGGSGSGKSTVVALLQRFYDPIGGEIRLDGVAIHKLQLKWLRSQMGLVSQEPALFATSIKENILFGREDASENEVVESAKDSNAHDFISQLPHGYNTQVGERGVQMSGGQKQRIAIARAIIKKPRILLLDEATSALDSESERVVQEALDKASLGRTTIIIAHRLSTIRNADIIAVVKNGKIMEMGSHNELIQNQHGLYTSLVHLQQTEKTNGDQEDNNSSILSNRDNIQNTSSRRISTASRSSSVISAAPASVNDGDHEVENVVEDEKLHVPSFWRLLALNSPEWKQACLGCLNALFFGAVQPSYAFALGSMISVYFLTDHDEIKRKTMIYSLCFLGLALFSLVVNILQHYSFAYMGEYLTKRVRERMFSKILTFEVGWFDQDENSTGAICSRLARDANVVRSLVGDRMALLIQTISAVVIACTMGLVIAWKLAIVMIAVQPIIIACFYTRRVLLKSLSQKAIMAQDESSKLAAEAVSNLRTITAFSSQDRIIKMLEKSQENPRRESIRQSWFAGLGLACSQSLTSCTWALDFWYGAKLISHGYITSKQLFETFMILISTGRVIADAGSMTSDLAKGADAVASVFAVLDRDTKIEPDETEGYKPEKLTGQIELSDVHFAYPARPNVMIFQGFSIKIDAEQSTALVGQSGSGKSTIIALIDRFYDPLKGTITIDGRDIKSYNLRSLRNHIALVSQEPTLFGGTIRENIAYGAYDNNNNNNKSNEAEIIEAAKASNAHEFIASLKEGYDTFCGDKGVQLSGGQKQRIAIARAILKNPEVLLLDEATSALDSESEKLVQDALERVMVGRTSVVVAHRLSTIQNCDVIAVLGKGKVVEKGTHSSLLGKGPSGAYYSLVSLQRRPSN >OIW11779 pep chromosome:LupAngTanjil_v1.0:LG05:17094138:17094356:-1 gene:TanjilG_14319 transcript:OIW11779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYFGMDHYSPAYNFCDKIRPNEENMKEEHVKDGSQEIQTLPLFPVHCEDIHGYCNLKSNSSNYVGGGCEWC >OIW11729 pep chromosome:LupAngTanjil_v1.0:LG05:18441039:18441410:-1 gene:TanjilG_20213 transcript:OIW11729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASYPNQNSNPSLPLHLCFFLLTLFMFLGFSWYSNYENIFETMMEQVKLVLMVSPLLLLLVVHLLSNYGDGGMLSSFIPLPERESLHRAGGTPWGVGLVLVLLLFMISYHSSFQERWFPILCR >OIW11564 pep chromosome:LupAngTanjil_v1.0:LG05:22032501:22035208:-1 gene:TanjilG_26930 transcript:OIW11564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNFIPSSTTLLPHQQQQQNLPSWVDEFLDFSSSRRGSHRRSASDSIAFLETAFDGLDEDQLVSMFSDDYATVGMPPVQTSSTSNPSSPCSDQNSNNDEKPMAMALDVVNHGVKHENETVEEESSCKNDAAAQPLFAATTGCTETVSDPKRVKRILANRQSAQRSRVRKLQYISELERSVTSLQTEVSALSPRVAFLDHQRLILNVDNSALKQRIAALAQDKIFKDAHQEALKNEIERLRQIYVHQQNLQKMGNSLNSNDHGPQSPQMQPHHSLHHHQPLTCMDKEQPLS >OIW11195 pep chromosome:LupAngTanjil_v1.0:LG05:25791261:25798192:-1 gene:TanjilG_28286 transcript:OIW11195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAEASSTTNHLPGFLHRSLPAVVPTLLISIGYVDPGKWAAMVEGGARFGFGLMAFMLIFNFAAIFCQYISARIGIITGKDLAQICSDEYDTWTCMLLGIQAEISMIMLDLNMILGMAQGLNLIFGWDLFACVFLTATGAVFHLLLALLLDIKKAKIVGLYVTGFVLLSFVLGVLINQPGIPLSMNGVLTKLNGESAFVLMSLLGATLVPHNLYLHSSVVQWHQGPTDISKEALCHNHFLAILCFFSGLYLVNNVVMNASANEFYSTGLVLLTFQDALSPMEQVLRSPIALFAFLLILFLANQATALTWSLGGEVVVHGFLRLDIPGWLHYATIRVIAVLPALYCVWSSGAEGIYQLVIVTQVLVALQLPSSVIPLFRVATSRSIMGVHKISQFVELLALVIFVGVLGLNIVFVVEMVFGSSEWVGDLRWNAGNGVSSSYLILYASAVASICFMLWLAVTPLRSASVQLEAQVWNWDTPETVSNQPVDGEESYLTGTKHHGVTSVQVKDPAPAPALTLERTLEYSDVTVPRFHHDIPETIMEPDLHVSAEKETQSTTSFPSSPKSLAKVSASTSESEAVSTVVDEVSDSRSEDTKCVKIETSAPVGKSVEFVGDSNAERDDDDDVDSWETEESSKVVSASAPSSTSDGPASFRSLSRKSDEGGNSIGSLSRLAGLGRAARRQLAAVLDEFWGQLYDFHGQATREAKAKKLDALLGGGIDSRSTGSLQQVDACGKEYSEYSASVGGRASDTSMNSGLYDSLKQPRMQSSLESSYGLQRSSSSIQAQLLDAYVQSQNSSRNLLDSGERRYSSVRNLPSSEAWDYQPATIHGYQSASYLNRVSKDRNFDNLNVSMGSSSLKSPSTDTNYRGSLALALGRKLHNGAGIGQPPGFQHVAVSRNSQLQSERSYYDFGSSVSADNAASSINNKKYHSLPDISGYAIPRRTGYVSEKNAPWNGSVGYGSSASKTYEPSLYSNSGSRIGHPLAFDELSPSKVYREALSSQLSSGFDTGSLWCRQPFEQFGVAEKFNNVAMDGVGIRPNAAAQETTSFVDIEAKLLQSVRLCIVKLLKLEGSDWLFRQNDGIDEDLVDRVAAREKFLYEVETREMNQVAHMGETQYFSSDGKPGSSIRHDDANSSSFSVSSVPNCGEGCIWRSDLIISFGVWSIHRILDLSLMESRPELWGKYTYVLNRLQGIIDPAFSKPRSPMVPCFCLQVPVSHQQKSSPPQSNGMLPPTSKPGRGKYTTASMLLEVVKDVEIAISTRKGRSGTAAGDVAFPKGKENLASVLKRYKRRLSYKPAAIH >OIW12404 pep chromosome:LupAngTanjil_v1.0:LG05:535077:545197:-1 gene:TanjilG_04153 transcript:OIW12404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSAAIARNVVGAIGNVISFGLFFSPAPTFYGIIKKKNVEEFKPDPYLATVLNCAFWVFYGLPFVHPNSLLVLSINAVGLGFEFIYLTIFYVYAPTKGRKKVLLFLLIEGIFFAAVVLITMLVLHGTYKRSLVVGIICDVFNVMMYASPLTIMAKVIKSKSVKYMPFWLSLANFLNGVCWTTYALIHPFDIYVLISNSIGAFSGLIQLTLYACYCGNKGQDKDDVESKPKEKGKSFSLKQCAISIALAVGLITGVPTFGCPTDAHAANPVLPDLSVLISGPPIKDPGALLRYALPIDNKAIREVQKPLEDITDSLKIAGVKALDSVERNARQASRALEQGKTLIVSGLAESKKEHGVELLNKLEAGIEELQLIVQDRNRDAVASKQKELLQYVGGVEEYMVDGFPYEVPEEYRNMPLLKGRAEVDMKVKIKDNPNLEECVFHIVLDGYNAPVTAGNFVDLVERHFYDGMEIQRADGFVVQTGDPEGPAEGFIDPSTEKTRTIPLELMVEGEKAPVYGATLEELGLYKAQTKLPFNAFGTMAMARDEFENNSGSSQVFWLLKESELTPSNANILDGRYAVFGYVTINEDYLADLKVGDIIESIQVVSGLQNLVNPTYKIAG >OIW12125 pep chromosome:LupAngTanjil_v1.0:LG05:5942378:5947916:1 gene:TanjilG_02346 transcript:OIW12125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIPETETFLNVDDHNRRLSLIDFSNADDSLIAIPFYNHQQQNSECAGLSYTSNYKKLKLQEWEWESQPNETHDFEESVENSKYNMRNSLAWDSAFFTSAGVLDPEELRSIIEGADKNEKCGLWLLPRTVDDTHKSCDSISTIESDGFTMESLEADLFDDVRASIENSEWLSNVVSPNSKVPSTGGHSSKMVGLASRNKMKTLSFKTPSAVIQRTGKMTKKNLNFPQLPKKPVAIWGERSVLKQPKVLGLGKSSSSSKISSKLASPGDLHVKSEKGKVSSVSNASVIMSSRASVPKSTLPSKFPSVPSVSLKTKSTISKSPGGNISGNISKSTISKRPLSSCSSAKSLSKLASRDKTASSGSSVSSLLSVSKLSSSISPASSISDCSLDSPSSTSMSKYRCNSSMATIGSSSSRKRLSDVDGQQMSKFKNARSNSSLEGKETRHTGFSSQGARIPAAELVLPQAPMNTSGLRLPSPTIGFFGGVKSSVGTPGRGTQRSGMPHSLPKHGARNVNPSEGQKNAKHRKLKPARYIMSTENKKSSNQQTSHPIPLHESSDDAIGTSTLLQNVKIMEVQTYHETGTRNLEVNNAFAEGNLAGIHDLNLACTWNDGTLDNSIEIICSDIKGSIHSDETRETCAAKKLNSRECVENTSSSKVAGDCLKAEDRTLINHDFGNHTSNMCTTENKEVHHISMGKMSPEGNIGMHPHNNGATITNADHNPCVSNATENLGNTSPSHVVEKAPEFCQHDLKDNQDKLHDDQVDCLSKQVILLDINLDTKKLKSDSPFSQPDFSFQDKSNDM >OIW11831 pep chromosome:LupAngTanjil_v1.0:LG05:13644269:13649028:1 gene:TanjilG_14643 transcript:OIW11831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGGLFSPKIKGTVVLIQKNVLDINSILSAEKVVNGVLEGITSIWDTASSFLGSSVSLQLISATKTDSSGKGKVGNKAYLEGAINNLPTLGAQQNAFTIHFEFDSNFGIPGAFYIQNYMTDEFFLVSLTLEDIPNQGTIHFACNSWVYNFKKYQHDRIFFANNTYLPSQTPAAVVYYRQLELQNLRGDGKGERLEWERIYDYDVYNDLGEPDKGASYARQVLGGSSAFPYPRRGRTGRPKTNTDPNSESRSGSVYIPRDEAFGHLKSSDFLIYGLKSVAQDVTSVLQSVFELDFTPDEFETFDEVRELYEGGIKLPTDAISKISPLPVLKEIFRTDGEEFLKFPTPKVIQVSKSAWMTDEEFGREIIAGVNPGLVHSLEVFPPASKLDSAIYGDQTSTITKEHIEPNLDGLTTDLAIESKKLFILDHHDTIIPYLRLINSTSTKAYASRTILFLKSDGTLKPVAIELSLPHPQGDQYGVVSNVYLPAEEGVESTIWLLAKAYVIVNDSCYHQLVSHWLNTHAVVEPFVIATHRQLSAVHPIYKLLYPHYRDTMNINALARGSLVNADGIIEKTFLWGGYAMEISSVVYKDWVFTDQALPADLIKRGIAVEDSSSPHGLRLVIEDYPYAVDGLDIWGAIKTWVEDYVSLYYTSDDTIEQDVELQSWWKEVVEVGHGDKKDEPWWPKLQTREDLIHVSTIVIWTASALHAAVNFGQYPYGGFILNRPTLSRRFIPEEGTDEYNELITNPQKAYLRTITPKFQTLIDLSVIEILSRHASDELYLGQRDSEYWTSDTKALEAIKKFGTTLAEIEAQLVQRNNNETLRNRVGPVTMPYTLLYPSSAEGLTFRGIPNSISI >OIW11485 pep chromosome:LupAngTanjil_v1.0:LG05:23066501:23067077:1 gene:TanjilG_26851 transcript:OIW11485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVSGGRSTLNPNAPIYIPAAFRQVDDFSPEWWQLVTTLTWYHDFWLNQQHGDGSFYVQDGFDSNDAVDLLPDAFDLDIGDDVSVMEAQFEEFILSSETQGKNGSNTCVEPGESGRITA >OIW11445 pep chromosome:LupAngTanjil_v1.0:LG05:23507420:23510231:1 gene:TanjilG_26811 transcript:OIW11445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPYSNFLSSSSSPFFHFNHFSSSSPNPSQHHHHHHHHHPYLHNFINPTKNDTTTTFFHFHHHQTQATNPPSPPLREELPLLTLSPAKKKENNNILNEQQEEENHLSCTAMDVEERIYLYKEQQQQQQHDDNTVTVALHIGLPNPSASEMATMLSFNNSSSSEINDKDHGSEDSSIFMLNNRLNKGQYWIPTPSQILIGPTQFSCPVCCKSFNRYNNMQMHMWGHGSQYRKGPESLRGTQPTGMLRLPCYCCAPGCRNNIDHPRAKPLKDFRTLQTHYKRKHGIKPFMCRKCGKAFAVRGDWRTHEKNCGKLWYCICGSDFKHKRSLKDHIKAFGSGHAAYGIDGFEEEDEPASEVEQDNDDSTTQ >OIW11271 pep chromosome:LupAngTanjil_v1.0:LG05:26254113:26254310:-1 gene:TanjilG_28362 transcript:OIW11271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSYNKILLIIVLIIMAIFVASPQGGVEGRPLLSHFQWSREYGLLFQALPNGSAPGSGGSNDHP >OIW11188 pep chromosome:LupAngTanjil_v1.0:LG05:24942840:24943355:1 gene:TanjilG_28279 transcript:OIW11188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQHQKYLSPPPPVHSPPPPYYYKSPPPPKKEYKYPSPPPPVYKYKSPPPPVHSPPPPYKYSSPPPPVHSPPPPYYYKSPPPPKKEYKYSSPSPPVYKYKSPPPPVHSPPPPHYVYASPPPPVHSPPPPHYIYSSPPPPHY >OIW11590 pep chromosome:LupAngTanjil_v1.0:LG05:21704129:21704377:-1 gene:TanjilG_15284 transcript:OIW11590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHLNSALFITVLILACYFSFLDARNILKMEPQMVPSLKGTLPSLETTTSYPMSSTGMFFARFTNNERILQNSTPSPGAGHH >OIW12379 pep chromosome:LupAngTanjil_v1.0:LG05:284994:285335:-1 gene:TanjilG_04128 transcript:OIW12379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGFSKKKTLQPDSNTIISLESESKKCSIAGISVRSLKPINTKGRGKENDEEDEESCTTPTTKEARIPEKLKCPMAPKKKRPTKCNNFHGVREFFNPPDLETVFKCHVVEKFI >OIW11928 pep chromosome:LupAngTanjil_v1.0:LG05:10910585:10912008:-1 gene:TanjilG_21170 transcript:OIW11928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVSSSLKHRWSGNNEFLRRFSSEANDKGKSEGTEVAVSEGKRNRLFPKRKGNKRLLWRNDEWDFPPALNEFFPSSLGNALMQATKNINNLFDTMSITPWSLNGRIKEKDDHYKLRYNMPGIAKEDVKITIDDGLLRIKGEHKEENEEKYDDNGDEYWSSSRYGYYNTSIVLPDDAKVDEIKAELKDGVLIVTIPRTEKPKKDVKQVIVH >OIW12189 pep chromosome:LupAngTanjil_v1.0:LG05:4727619:4728623:-1 gene:TanjilG_28597 transcript:OIW12189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIALGKFTKKENDLFDIMDDWLRRDRFVFVGWSGLLLFPCAYFALGGWFTGTTFVTSWYTHGLASSYLEGCNFLTAAVSTPANSLAHSLLLIWGPEAQGDFTRWCQLGGLWTFVALHGAFGLIGFMLRQFELARSVQLRPYNAIAFSGPIAVFVSVFLIYPLGQSGWFFAPSFGVAAIFRFILFFQGFHNWTLNPFHMMGVAGVLGAALLCAIHGATVENTLFEDGDGANTFRAFNPTQAEETYSMVTANRFWSQIFGVPFSNKRWLHFFMLFVPVTGLWMSALGVVSLALNLRAYDFVSQEIRAAEDPEFETFYTKNIILNEGIRAWMARIP >OIW12136 pep chromosome:LupAngTanjil_v1.0:LG05:6567907:6575764:1 gene:TanjilG_02357 transcript:OIW12136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTQQSSFYYTSQLHHFSRFSLTKLTHREIHQHTDLESLQDLKQLKAPPTAASSNGQIRYRSPSSAELFDGQSNSNNSPPSHATDQHHSPEFELSGKMLKRSGRQESFSDKIYRFRGTLLVVSVPLLLITFVLYMMPSSSSDESVGDYTLVNRKISPEKKSGSSYAVIFDAGSSGSRVHVFHFDQNLDLVHIGKDLELFVQIKPGLSAYAQNPKEAAESLVSLLDKAESVVPRELRSKTPVRVGATAGLRALEGDASDRILQAVRNLLKERSTLKSDLDAVSVLDGTQEGAFQWVTINYLLGNLGKDYSKTVGVVDLGGGSVQMAYAISETDATVAPRVPPGDDPYVKEMFLRGRKYYLYVHSYLHYGLLAARAEILKASDDAENPCILTGFDGSYKYGGMELKASSSPSGPSLNECKSLALKALKVNESTCTHMQCTFGGIWNGGGGDGQKNLFVASFFFDRAAEAGFADQNSPVAIVRPADFEDAAKKACQTKVVDAKSSYPHTEDGNLAYICMDLVYQYTLLVNGFGIYPWQEITLVKKVKYDDALVEAAWPLGSAIEAVSST >OIW11644 pep chromosome:LupAngTanjil_v1.0:LG05:20858409:20858756:1 gene:TanjilG_24850 transcript:OIW11644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVYHVSRRVWTSKGNEQEMAKKNFIESLKQIEEELGDKAYFGGNTFGFVDIALIPFYCWFYTYETFGNFQVEKECPKIITWAKRCMQRESVSKTLADGKEVYESVLDYKKLFLD >OIW11780 pep chromosome:LupAngTanjil_v1.0:LG05:17097213:17100367:-1 gene:TanjilG_14320 transcript:OIW11780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKFKPFTHKEPAGLEGRSVDVGSVKIHVQKAIAEGGFSCVYLARDAVHMSKQYALKHIICNDEESLALVKKEISVMKLLVGHPNVVTLHAHTIFDMGRTKEAFIVMEFCEKSLVTVLESRGAAYFDEKQILLIFRDVCNAVISMHCQSPPIAHRDLKAENLLLGSDGLWKLCDFGSTTTNHKRFEKPEEMGIEEDNIRKYTTPAYRAPEMWDLFLREVINEKVDVWALGCLLFRICYFKSAFDGESKLQVLNGNYRIPDSPKYSSSMTDLIRDMLQARPDDRPDITQARALLDWPFMSINLGASLATNKSPPMPRRSPPPPPSSGEPKNTSQPSPASRAVGSGGALGAFWSSQHAKDTVVPEEKSKPIFDEEQSSHHISLKHDTIRPDNDPLSKNVTSANRVVNTQTHTAKSSIHGKPHNPDTGSSKDIEINFFQPKDHTSERRRSSVGNTMNFQDQTFISFVADFDTTKFNSSGLGNKSEKEEALEAELEKLKEQLKEAKLEKAEITSKYEKLSSICRSQRQELQDLKQALAVKTPSPSKEGLRTSPGIRSPASVREKIGGTVREHQQDKTEWKTPSSEPKSWKAFPDEPQKLNSLSADNTTKSVRTRNGQQHNKHDAQLATDFDTWGFGTDNFSAVGTGSPQMLRPSEGSNIEGFSEAKAFENKSTSQPAGWAGF >OIW11321 pep chromosome:LupAngTanjil_v1.0:LG05:24678670:24684143:-1 gene:TanjilG_20470 transcript:OIW11321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQLSSTNILPEAFHGAKDDIVMQFALVWSQIKAPLIVPLLRIGVVLCLFMSVMMLMERLYMGIVIILVKLFGRKPEKRYKWEPMKDDMELGNSSYPMVLVQVPMYNEREVYQLSIGAACGLSWPSDRIIIQVLDDSTDPTIKELVQLECQRWASKGVNIKYEVRDNRSGYKAGALKEGMKRSYVKQCDYVAIFDADFQPEPDFLWRTIPFLVHNSELALIQARWKFVNSDECLMTRMQEMSLDYHFTVEQEVGSSTYAFFGFNGTAGVWRIAALNEAGGWKDRTTVEDMDLAVRASLKGWKFLYLSDLQVKNELPSTFKAYRYQQHRWSCGPANLFRKMAMEIIRNKKVTLWKKIYVIYSFFFVRKVVAHITTFVFYCIILPATVVVSEVEVPKWGAVYIPSIITLLNAVGTPRSLHLLVFWILFENVMSLHRTKATIIGLLEASRVNEWIVTEKLGDALKGKAGTKAPKKPRFKIGDRIHLLELGVGFYLFFIGVYDVLFGKNHFFIYLFVQAFAFFIMGFGYVGTFVPNS >OIW12368 pep chromosome:LupAngTanjil_v1.0:LG05:122427:123873:1 gene:TanjilG_04117 transcript:OIW12368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDLSMILPRVVIVSRRTVRKNKFVDFVGEYHLDLIVRYGAVPVIVPRVSGVHMLLNSFQPIHGVLLCEGEDIDPSFYEQDIITTSGLSQEELDEIRRVHVSDTSIDKEKDSIELSLAKHCVERNIPYMGICRGSQVLNVACGGTLYQDIEKELPKCRPESETVMHINYDDYDGHRHVVKVVESTPLHHWFKDSLQQNGKMEIFVNSYHHQGVKRLAPRFVPMAFSSDGLIEGFYDPDNYNPEEGKFIMGLQFHPERMRKPDSDEFDYHGCPFAYKEFVKAVIVYEKRLNGLTYVQKPLKLNKEMEKKRNEIEKVKVNEEKEKMARNVMGKMSVEQLSDLLSFYHTMGHICCEVLETKINNHVNYDFS >OIW11252 pep chromosome:LupAngTanjil_v1.0:LG05:26174320:26175597:1 gene:TanjilG_28343 transcript:OIW11252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLSNFRYNIFNYDASFDSLTISSNNSFGLFNRFIQRRWKKPIVSAQTRLEDRVRDPHLDNFMVHLNKLKCILKIHHLMSNRKRGSFVSLQLMSRWRNIVGLNVTMGSFIYKYPHVFDEFVHPFRRNLCCRVTRKMKELVMLEKVAVKQQEMEAVKRLKRLLMMSVNGTLHIHALRLIRRELGLPEDFRDSILGKYSGDFRLVDLEIVALVDRDDELGKAEVEKWREKEYTEKWLSEFETKFAFPINFPTGFKIVGGFREKLKNWQRLPYAKPYERKEVIRVRSCGGVERYEKRAVAVLHELLSLTVDKMVEVDQLVHFRKDLAIEVNMRELLLRHPGIFYISTRGKTQTVFLREAYSKGRLIDPNPIYIARRNTLELVLLGRRKTKQLLACDNVKEGNTSVVYEVDKECKREGDWVIPFLESS >OIW12358 pep chromosome:LupAngTanjil_v1.0:LG05:68555:69055:-1 gene:TanjilG_04107 transcript:OIW12358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSMSMSSCISDLRLRVGGVRSFSPNCTTGWIMMSHMPMPISLPTPIHLNNKTSSIIPNRTSTSIIRCEVAAAEVSTSSPDDQEQEESKIGARVKVKVPLKVYHIPKVAEFDLTGLEGEIKQYVALWKGKRISANFPYKVQFLTDIQGRPVKFFAHLKEDEFHYL >OIW12137 pep chromosome:LupAngTanjil_v1.0:LG05:6632577:6634773:-1 gene:TanjilG_02358 transcript:OIW12137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTPQSNLPPGFRFHPTDEELIHHYLVKKVASIPFPVSIIAEVDIYKLDPWDLPAKAAFGEKEWYFFSPRDRKYPNGARPNRAAASGYWKATGTDKTIVTSLAGGGRARENIGVKKALVFYEGRPPKGVKTNWIMHEYRLLDNSRPNKLKDTSMRLDDWVLCRIYKKAKYTVSSTDTTKVVGDQDNPEEKLFKDTVLPISHKSPTPPQNTLISQKSVSFSNLLDAMDYSILSSFLSETENHSNNPIGTIGSSVGFNSGNSMYQQPFQMDTCINGHMFHMNPSVPNMENIIKPKRQLSSNIDEDMQLYPSKKYLSSYCNLPNINAQYHQSYLMNQSLLNQHLLLGPHPLFQG >OIW11623 pep chromosome:LupAngTanjil_v1.0:LG05:21176899:21177366:-1 gene:TanjilG_31902 transcript:OIW11623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSQVCEALAFMNGDDGVSEMEVMEINGALLMSLMEESPSDESDDDRLDNLIRSFEAEITSGSKKMEGHNSACIGSEFVSNIGEENQSWIIGEMDGQDCWGSSSEFGMEWVDMDVITYSPCDNRSWFIDPHGDVMDNKVGLLWENMDSISYDKAL >OIW11455 pep chromosome:LupAngTanjil_v1.0:LG05:23404502:23413555:-1 gene:TanjilG_26821 transcript:OIW11455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCCATPQVPPGETKKKNKNKKENPFAIDYGNGTVSTATKLTVLSNPTGEEIELRYELGRELGRGEFGITYLCTDKESSEEFACKSISKKKLRTAIDIEDVRREVEIMRHLPKHPNIVTLKDTYEDGNAVHLVMELCEGGELFDRIVARGHYTERAAAAVTKTIVEVVQMCHKHGVMHRDLKPENFLFANKKETAALKAIDFGLSVFFKPGERFNEIVGSPYYMAPEVLKRNYGPEVDIWSAGVILYILLCGVPPFWAETEQGVAQAIIRSVVDFKRDPWPKVSDNAKDLVKKMLDPDPKRRLTAHEVLEHPWIQNAKKAPNVSLGETVKARLKQFSVMNKLKKRALRVIAEHLSVEEAAGIKEGFQVMDTGNRGKINMDELRVGLHKLGHQVSDEDIKILMEAGDVDNDGYLDYGEFVAISVHLRKIGNDEHLHRAFQYFDEDQSGYIEIEELRKALSSEVETDSEDVVNAIMHDVDTDKDGKISYDEFATMMKAGTDWRKASRQYSRERFNSLSLKFDPDPKRRLTAHEVLEHPWIQNAKKAPNVSLGETVKARLKQFSVMNKLKKRALRVIAEHLSVEEAAGIKEGFQVMDTGNRGKINMDELRVGLHKLGHQVSDEDIKILMEAGDVDNDGYLDYGEFVAISVHLRKIGNDEHLHRAFQYFDEDQSGYIEIEELRKALSSEVETDSEDVVNAIMHDVDTDKVYCLDHRSYTNHQCTKGNKQDVTVVICPLCAKSVRLIPDQDPNITWEHHVNTDCDPSNFEKVTKKKRCPATGCREILVFSNTIKCRDCMVDHCLKHRFGPDHKCPGPKKSESSFSFTNPFNRSANEESKPNSTPAASSKWTSSLLNMASNIRASAEQAWQTAIDGVGQSSGSGNRNDQLEQCPQCGAKFSSVTTLVDHVQKVHERNGNRSKVKKVPVAACPKCSRGFVDPVALVEHVERDHGGSSR >OIW11280 pep chromosome:LupAngTanjil_v1.0:LG05:26307681:26309522:-1 gene:TanjilG_28371 transcript:OIW11280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYLYPLKEEYWEQPSSSIYHIENSDDQSMVPLPPPQPMEGLHEGGPPPFLTKTFDAVDDPTTNHTVSWSRNGASFVVWDPHAFSRTLLPRYFKHNNFSSFVRQLNTYGFRKIDPDRWEFANEGFLRGQRNLLANIRRRKAPSQPSHPLQQQAQQDHCVEVGSFGLDEEIDRLRRDKQVLMMELVKLRHQQQHTRSYLQEMEERLRGTEMKQQQMMAFLARAMRNPTFIQQLVQQKEKRKELEEAITKKRRRPIDQGPSSSVKVEPVEFGEYGYGVSELEMLAMEMQGFGRGMREHEDGHHEALEEESQERLDKELDEGFWEELFSENFESELDMPISQGDQDEDVNVLASRLGYLGSCPK >OIW11560 pep chromosome:LupAngTanjil_v1.0:LG05:22094223:22102544:1 gene:TanjilG_26926 transcript:OIW11560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAILHAHNNALPAHDVLTALAEKFSESPDRKGKTTVQMKQVWNWFQNKRYAIRAKSSKTLGKLDITPMPRDASAPVKNMPHPAVAPVSSASGDSTRGKATQENSSMEFEAKSGRDRAWYDVAKFLSHRYLETSDPEVLVRFSGFGPAEDEWINVRRNVRPRSMPCESSECIAVIPGDLVLCFQEGKEQALYFDAHVQDAQRRRHDVRGCRCRFLVRYDHDQSEEIVPLRKICRRPETDYRLHEAHTANETAPVDPQKSGMDPATVNAASVASTSAEPQMQQNANTAIFASVSQTNLFAPEQSMDMMPEIETVTDVLAGNSVVTTGSVAFTSNVPVVSSQNMAEGK >OIW11926 pep chromosome:LupAngTanjil_v1.0:LG05:10891803:10893644:-1 gene:TanjilG_21168 transcript:OIW11926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAKLHEDFGVEKKDSMLHVSVMDSDIGCNGGRIGGGNNGSGRGSDDGNGRGWSFSEGNNHGNSDNSDAHYQNMIEANPNDALLLGNYAKFLKEVMGDYPKAMEYLERAILANPSDGHILSLYADLIWQTEKDADRAEGYFDQAIKSSPHDCYVMASYAKFLWDAEEDEEDKDFRVESDHNHTYPNDLFEGNKVLNHHSHLTAAS >OIW11228 pep chromosome:LupAngTanjil_v1.0:LG05:26017494:26021416:1 gene:TanjilG_28319 transcript:OIW11228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEIVVDSDLDGEITANVVQAIETPKKVLSYNHSSHPPLNERIISSMIRRSTAAHPWHDLEIGPGAPLTFNCVIEIAKGSKVKYELDKKTGLIKVDRVLYSSVVYPQNYGFIPRTLCEDSDPLDVLVIMQEPVLPGCFLRAKAIGLMPMIDQGEKDDKIIAVCADDPEYRHYNDIKELPPHRLAEIRRFFEDYKKNENKEVAVDDFLPASAAFEAVKHSMTLYADYIVESLRR >OIW12019 pep chromosome:LupAngTanjil_v1.0:LG05:9802026:9812577:1 gene:TanjilG_16130 transcript:OIW12019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVPAFYRWLAEKYPMVIVDAIEEEPVVIEGVQIPVDTSKENPNNIEYDNLYLDMNGIIHPCFHPEDRPSPTSFDEVFECMFDYIDRLFCMVRPRKLLYMAIDGVAPRAKMNQQRSRRFRAAKDAADAAEEEARLREEFEREGRMLPLKEESQTFDSNVITPGTEFMAVLSVALQYYVHLRLNNDPGWQNIKVILSDANVPGEGEHKIMSYIRLQRNLKGFDPNTRHCLYGLDADLIMLALATHEVHFSILREIVFTPGQDKCFLCGQMGHMAANCEGKAKRKAGEFDEKGDAIVAKKPFQFLNIWTLREYLEHEMRIPNLSFKIEFERIVDDFIFICFFVGNDFLPHMPTLEIREGAINLLMAVYKKEFKALGGYLTDGSKPILSRVEHFIQAVGSYEDRIFQKRARLHQRQAERIKRDKSHARRGDDAGPQAQPESLVAVSRFHGSRLASAPSPSPYQPSGHRKRNEEDSPHKVARLTSSGASVAAAIVEAENSLETDVQDNKDELKAKLKEVLREKSDAFSSKNPEEDKIKLGEPGWKERYYEEKFSAETPEEREMIRKDVVLKYTEGLCWVMHYYYEGVCSWNWFYPYHYAPFASDLKGLGELNITFNLGTPFKPFDQLLGVFPAASSHALPEPYRKLMSDPDSPIIDFYPIDFEVDMNGKRYAWQGIAKLPFIDETRLLSEVRKIENLLTPDETRRNAIMFDFLFVNSCHPLSACISTLDNKCRNMSDSKRAEIKEQIDPNKSGGMNGYLSLCGGEPCPPVFRSPVTSMEDIMDNHVICAIYRLPDPHKHIPQPPEGVKFPNKIVTIGDLKPEPVLWHEDSGRRHYQQQSARQNPPGSISGPELGEAAHRLVVNSLQGKVGTSGYRHPNNGPPLSYAAPRGHPQPYPPVQGQPPFQPYPAAPAPQQYGYNQPYVPPPAHNPHHQSNYHERNHHQHHRSHPYGRNNHGNGGSGRHGYNQQSGNNQNARFVNAPGAHASHPRQNFQSSRPPYQNWVPRNNSNDPRENGQHPPPNQFSLLDRRGNNRKPMPPHGYSHK >OIW11671 pep chromosome:LupAngTanjil_v1.0:LG05:20337939:20343381:1 gene:TanjilG_10817 transcript:OIW11671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSTKDKDNNRCITLHIQTLHHRLLHELNLGTRHFDEKTNRWKWQCANIEVQKNVLRSINAFLDSISGDSRAARHTIIKESVADVLGAVLWILQSKNGPLLSMASDVALKLVSVLPNSVLQSHILDLIEVAIPCATALNLLISNLSATSEKAVMEALKETETVICVVEKIKDFTEGVKKIEYFEEMVSLLSTILQRWPPSRFPVWNDIKLMNALANIHTRNDNSIKVVLLKFYTSLALCDSVARKLIDGGQIFLQLAVRAMGKSNPHIVRTEGFRLTQRLLASGEDLSKAMDLCGEAIVDAIICGMKETVLSSKKNGNNHSSLLVEAYQSTEHVLSLEKQISMAKEGLKANYHLGLRSHLWDILGWLAIHCGENFNPYTYGSELHINLLITCACLTFVDTIQKWGRICQTDDDNFQSEPVSRAVLMMVYSPYYTSSLASYGSSDKLQLAIILIGITCLSSLTEYQTCILKSKGIKAVVLIVKRCLSDDIHVERSSFAPHLHTTFQGRSCCHNDKEWEGSNVLLFYGLWCIAEYVHQCGLSEENSSKFTRDVTYIKAHLLSKLQKICSSASSSPGVRWYVSYILSYFGCYGFPNELAERFGKCLHEEEYADLRLIVANGNHVSAHGVILAVRCPSLLPPEVFTGSKSSKGVKYNLVGKIMREVRLSAHVDYETLALLLEYVYLGYLHAGEETVKKLKILSKRCNLKSLLQMLYRQWPKWGTPFPSSDLSSSLDSAGSCFSDVILEAKPNKLVEWTCNICSHSVPHLHVHKVILQSGCDYLQGLFGSGMQESHSQVLKVDISWEALIKLVKLFYSNELPNPPSGCLWDNMDDEEKLFHLQPYLELSWLADYWICENMQEACWKVIMFCLDSTKQLAIKIIKMAYNLSLWKLVDIAANLIAPSYSQLRDSGELQEFDDVIVHLIYSASIRRLTQEGGNCFR >OIW11586 pep chromosome:LupAngTanjil_v1.0:LG05:21754206:21754510:1 gene:TanjilG_15280 transcript:OIW11586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGEEISGPPGPKMFRLFFFVGAGVFCTFAINKWRDYERKTIIQQQQQAKGIAEVQSSSDSVDIHKPLN >OIW11975 pep chromosome:LupAngTanjil_v1.0:LG05:10641488:10641787:-1 gene:TanjilG_02182 transcript:OIW11975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRSLTLTHFTGDLHMLLHQISQFSLSINSLHIRSSTRISADGFRVLGKKMKTLKSLTCSSVASPTVADLLLISECLPFLEELEIGSFRLRYSNKGRLI >OIW11190 pep chromosome:LupAngTanjil_v1.0:LG05:25034803:25035159:-1 gene:TanjilG_28281 transcript:OIW11190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLELYEQNKVSQFQGSEVEGSTGGGTQAAAKAPSADEEQTSARSAAKHTSAENQEVPLRGMENQINDGSAEMGSGITDHKVDLEIRDSQNPEQLPKDNKGDGKITSTSSTFDEDEKSL >OIW11246 pep chromosome:LupAngTanjil_v1.0:LG05:26137501:26144666:1 gene:TanjilG_28337 transcript:OIW11246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSKEEGEPHKDNPSSMSMLHHLSEEAFRAAGEALQNMYYGGGGGSGDSGGGSSVPQTGSGVHRRSQSEIVAKGFHHSNGFQKLKSHVKRWRWNGSKYREETNFNPEILANQKRQWYQLHPKYLDRVDYKEPTSLFEHFVIVGLHQDANLEAVEDAFARRKKWEKEKEKSEFVDYRMLQRQRLLETTLEPQILFKYPPGKKLTVRLKDLASFCFPEGVKASMLERSPSLSELNELVYGQEHLGRDDLSFIFTLKTADNATLYGVCLHAPQIVQRPPGILGTSYPQSHPSGMCSRFLVSAPRCYCLLTRVPFFELHFEMLNSLIAQERLNRISQFVNELTLTGSIPSTPKLDDQMSSNANSPERESLNDWMSCAIPLDGAAAITAAAAGIIFDDKIPQLSPKIWDSHSQSPASVTTSDASDFFPVKDIDKDGRKNQQNHDNCAFEAPETHDSMERMNWNCESDQLSSHVGTPSSARSHKLERLGSFDSLFSPARSVISEDEDDLFANNETDCGDELLMEWAMESKNDLLQIVCRYHASPIPPRGSEFIFRPLEHLQAIQYIRHSVPSLGFSENCLNCSEPAKVNAKLADAEEALALSVWTTAATCRVLSLESVLALVAGVLLEKQVVVVCPNLGVLSAIVLSLVPMIRPFQWQSLLLPVLPRKMIDFLDAPVPYIVGIPHKPKDLNMKTSNLVLVDVLKNQVKMCQLPKLPQHRELLSQLGPIHARLKCESSIARKNPVHRCNEVQADAATRFLNIMWHYLESLCSELRLHTITSVQSNNDRVSLLLKDSFIDSFPNRDQPFIKLFVDTQLFTFLSDAHLSSFESGKS >OIW12447 pep chromosome:LupAngTanjil_v1.0:LG05:1072169:1078038:1 gene:TanjilG_04196 transcript:OIW12447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGKRYKGDKEMRIHAHIRIICMYSSLALGDPTVTLEEVTPPAFSSGPLFPAEDRIVQLFERNTYSVVNIFDVTLRPQLNVTGVVEKSSNVVTFYISYWQIPEGNGSGVVWDEEGHIVTNYHVQVDAPEELLRPIKAGQSSSLKVGQQCLAIGNPFGFDHTLTVGVISGLNRDIFSQTGVTIGGGTSAGVGFAIPSSTVLRIVPQLIQFGKVVRAGLNVDIAPDLIANQLNVRNGALVLQVPVNSIAAKAGLNPTTRGFAGNIVLGDIIVAVNNKPVNSKAELLKTLDDYNVGDKVIFQIQRGSEKLEVNVELEEQ >OIW11612 pep chromosome:LupAngTanjil_v1.0:LG05:21362961:21368691:-1 gene:TanjilG_15306 transcript:OIW11612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKEGTETRNQSRLAILELANMISVPMSLNAVVRLNVADAIWQGGSNTPLSAAQIISRVLHSGGDAENLQRILRMLASYGVFEEYLSEGERKYSLTDVGKTLVTDEDGLSYAPYVLQHHQDALMRAWPLVHEAVVDPNKEPFERANGEPAYGYYSKQPEMNELMVKAMSGVSVPFMRAMLEGYDGFQGVERLVDVGGSGGDCLRMILQKTPNVKEGINFDLPEVVAKAPQIPGVTHVGGDMFKSIPQGDAIFMKWVLTTWTDEECKQIMKNCYKSLPEGGKLIACEPVLPEHSDDSHRTRALLEGDIFVMTIYRAKGKHRTEEQFKQLAISVGFPSFRSFHVDHFYTVLEFQK >OIW11278 pep chromosome:LupAngTanjil_v1.0:LG05:26293384:26294797:-1 gene:TanjilG_28369 transcript:OIW11278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMGFEETELRLGLPGNIGATSEGDVMRNKRGFSETETIETVDLMLNLSSKEATPNDVKSLANEKSLLPSDPAKPPANVIFESTDIGEHQEPWRNARTEARVRNTSS >OIW12314 pep chromosome:LupAngTanjil_v1.0:LG05:2009657:2011448:-1 gene:TanjilG_32430 transcript:OIW12314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAAKKTKKTHESINNRLALVMKSGKYTLGYKTVLKSLRSSKGKLIIIANNCPPLRKSEIEYYAMLAKVGVHHYNGNNVDLGTACGKYYRVCCLSIVDPGDSDIIKTLPSEQ >OIW11290 pep chromosome:LupAngTanjil_v1.0:LG05:24927967:24930752:-1 gene:TanjilG_20439 transcript:OIW11290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAITKTNGYHYQNQNESLCLNTSTTTNGSINQEDPLNWGVAAESLKGSHLDEVKHMVAEYRKPVVNLGGKTLTISQVAAIAAKDQDVRVELSEWARAGVKASSDWVMESMNNGTDSYGVTTGFGSTSHRRTKKGGALQNELIRFLNAGIFGNGTETSHTLPQSATRAAMLVRINTLLQGYSGIRFEILEAIAKLLNNNITPCLPLRGTITASGDLVPLSYIAGLLTGRPNSKAIGPQGEVLNAKQAFNVAGINSEFFELQPKEGLALVNGTAVGSGLASIVLFETNVLAVLAEVLSAIFAEVMQGKPEFTDHLTHKLKHHPGQIEAAAIMEHILDGSSYMKAAKKLHEVDPLQKPKQDRYALRTSPQWLGPLIEVIRFSTKSIEREINSVNDNPLIDVSRNKALHGGNFQGTPIGVSMDNTRLALASIGKLMFAQFSELVNDFYNNGLPSNLTASRNPSLDYGFKGAEIAMASYCSELQYLANPVTTHVQSAEQHNQDVNSLGLISSRKTNEAVEILQLMSSTFLIALCQAIDLRHLEENLKSAVKNTVSQVSKRTLTTGVNGELHPSRFCEKDLLKLVEREHVFAYIDDPCSATYPLMQKLRQVLVDHALANGENEKSLSTSIFQKIAAFEDELKAVLPKEVESARAAYENGNSAIPNKIIECRTYPLYKFVREELGSELLTGEKVKSPGEECDKLFTAMCQGKIIDPLLACLGEWNGAPLPIS >OIW12010 pep chromosome:LupAngTanjil_v1.0:LG05:9890069:9892394:-1 gene:TanjilG_16121 transcript:OIW12010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLCVETDLKDFQVEERDALLLIRDSLNSSSDLLVNWTGSPCIENISRWFGINCSNLHVVHIVLEGINLSGSLPPRCFQNITFLSQINFRNNAIFGPLPNLTNLMFLEQVILSNNHFSGPIPLDYVELPILEVLELQENYLDWQIPPFDQPSLTSFNVSYNHLVGPIPETSVFQRFRKSSFDNNSDICGIPLDTICPAAPSPAPSSEVEKNKKRFHVWIIALIAAAAALFLFLIIIAIRFNKRSSEKETRRHGSARYVFGAWAKKMVTYAGTSEDSEGLGRLEFCNKKFAVFDIDDLLRASAEVLGKGNLGMTYKATLENGIAIAVKRLNYMNELSSREFQQQMQLLGKMRHKNVVEVVSFYYSEEQKLVIYELISDGTLFELLHEGRGIGRITLDWTTRLAIIKDIAKGLDFLHHSMSSHKVPHANLKSSNVLIHQDNQGYHSKLTDYGFLPLLPSKLYAEKLAISKAPEFFQAKKLTHKSDVYCFGIIILEIITGKIPGQILGEIEETTNDLSSWVRNVVSNDWSTDILDLEILAEKEGHDAMLKLTELALECTDVTPEKRPKMSAVLRRIEELEQMELEND >OIW11869 pep chromosome:LupAngTanjil_v1.0:LG05:11671994:11673220:1 gene:TanjilG_25782 transcript:OIW11869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCRLRKLLRYQNNFRTTKHACLYLNSMPYHSSQDVFDSQWYRNEFSKLTKLTELLANVDAINGRLVDINSNSVVFDDQTQHDMSMFKSLVRVFIASPFVQKKMRHDSLHHSFTPFGEASERYPMVVDSLTKISNFLNVSAQQRKIVRFKLNPQVTQHHIWIGALEEILNSLRVDLESPGLNKVVPMGQQIIHSCLKFLTESVIVSDPDSASWIKLSHFKNVCSSNSPKWEELLDMFNDLIKCFKSEARLKLHVDKVEVMKEGLFHIRDVLLDNSVGYKEARRQESLVHKRLSKNLGHSSRCLFTLLLYYLYGKVADIEVDVCGGIQGNGRDNKFHLFMGKILTSNNEMMVGRGVKQLDRALGLFKHVWEAAEMKGDLDLQGHMWCVGAEDRVLKYRGNMYFMHGICL >OIW12085 pep chromosome:LupAngTanjil_v1.0:LG05:7944128:7947184:-1 gene:TanjilG_24833 transcript:OIW12085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKGLVVDENMSNLTSASSEISASSGSRSNTVSLYSQYSSTSTDQEPLPKKKRSLPGHPDPEAEVIALSPKSLIATNRFICEICEKGFQRDQNLQLHKRGHNLPWKLKQRNSKEPLRKKVYVCPEPTCVHHDPSRALGDLTGIKKHFFRKHGEKKWKCEKCSKRYAVQSDWKAHSKICGTREYKCDCGTLFSRRDSFITHRAFCDALAQESAVANVTTMNPLLSSHTQLLHSHDFQAPSLVKREQGLFNIPTSEIPPWLVGEPIHSQVFKPLDFSLTPLLPTHYENPNPTTVLPSFQPNITTPSLMQKASSQMGATVTKTAPSTAILRPHLLQQQGHVHECTTTGYSSSFMASSSREEISTVFSHGLTAPYGNKAAMTSANTIGTDQESSLFHDMMGGGGGSSGTVHNASGFDNSSFEEAMRGMFNNPPRDDHSNFEELVSKSAQSQFGNKSNKGVGVSANGEMTRDFLSLGAFSQRDFFNISGLDHLDSSSYGKQNHNQAPWQG >OIW11748 pep chromosome:LupAngTanjil_v1.0:LG05:17530121:17532381:-1 gene:TanjilG_10950 transcript:OIW11748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVMYSHVFKYSEEEQKKNQEMDYSIGNPQQNYHSQYNSEFVRYLADNNTQSYVKEEEAFRSEENEIQQCYLPTTSSEMDTMLSNLISSNNGWNNSQPLQEFGDKPVKQEAGGEFISEQNGYSFGRSQTQLVYQSQQSEMGVKNCSNLIRQKSSPAEFSADENGLEALREVGSFRVSNVSNGQTTASTSGLHSPLAFSSKPSSCLKRMLQIDENENERLETNCEQSRNLVHDNGSSNFYMPSFSNEFWEDSEFNAQKTAIEEDEIMFSASNALESQDAELCYQNLGLTNHLSLPSSFSKMPSTEKFLQIQGSIPCKIRAKRGYATHPRSIAERVRRTRISARIKKLQGLFPLSDKQTSTSDMLDMAFDYIKDLQDQVQILADRKAKCKCTSNQKQY >OIW12064 pep chromosome:LupAngTanjil_v1.0:LG05:8795257:8796805:-1 gene:TanjilG_24488 transcript:OIW12064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSYSQDVSHKPDIKDLQLLNAFKDLIAESWDELPESVVYDVKEALSKNTEDKAGKEVVTNVFRAAEAVEEFSGIITSLRMAIDDSVGMSGEDVKPLPDHMKNAIRTIFDRYGTYLSSFGPDETYLRKKVETELGTKLIHLKMRCSGLGAEWGKVTVLGTSGLAGSYVEQRA >OIW11646 pep chromosome:LupAngTanjil_v1.0:LG05:20900621:20901729:-1 gene:TanjilG_24852 transcript:OIW11646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEVVLLDFWPSPFGMRIRIALAEKGIKYEYKEEDLRNKSPLLLQMNPVHKKIPVLIHNGKPICESLIAVQYIDEVWNDRSPLLPSDPYQRAQSRFWADYVDKKIYEAGKKIWTTKGEEQEAGKKEFIDALKVLEGELGDKPYFGGEKLGYVDISVAPFYTWFKGYETYGNLNIESECPKFIAWAKRCFQKESVSKSLPDQEKVLGFIVDLRKIFGIE >OIW11950 pep chromosome:LupAngTanjil_v1.0:LG05:10323002:10326373:1 gene:TanjilG_02157 transcript:OIW11950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTISNAKLSEFSFLNSNSSSFSLSHFPRSSTLFLSHSNFPFPTLIFSSLRTTQHKNTPNSNPNPTHNPSSSKPNISAPWLPKTPSSDNSPKRVADSTTKDSFSNAAEKGHFQSSDKGQNAVERIVLRLRNLGLTPEDEKEAEAEGEAEGTEANVNDAVPVTGEERLGELLKREWVRPDSVLLLDEDKVNGELVLPWEREEYEVVDKKEKEKGEEGENEFRKRRAKAPSLAELTLEDELLRRLRREGMTIRERINVPKAGLTEDVMDKIHKTWRREELVRLKFHEELARDMKTAHKIVERRTGGLVTWSSGSVMNVYRGINYQGPASESQLNEREGDGFFVPDVSLGSLSRTKDSNETSTLEKTEPVVKDQEKSENMTEEEAEYNALLDGLGPRFVEWWGTGILPVDADKLPPTVPGYKTPFRLLPAQCIATNIKYRGEIHIQITRKVAEIQRRNTIDEELSLSKLHGIPNQIKQIMIKCRTMIEDENLEGLSTALSELETLVDTQRGYIVQLETEELLLLRKIKELGLEIISSSPSSSRGSSYDSGN >OIW12382 pep chromosome:LupAngTanjil_v1.0:LG05:301285:305963:-1 gene:TanjilG_04131 transcript:OIW12382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLEELRKKLAPLFDADKGFSTTSTLDPSDSYTLSDGGTVNLLSRSYGVYNINELGLQKCTSDESEHSEKTYNCASNEMRIFGAIGSGASSVVQRAIHIPTHRILALKKINIFEKEKRQQLLTEIRTLCEAPCYEGLVEFHGAFYTPDSGQISIALEYMDGGSLVDILRKHRRIPEPILSSMFQKLLHGLSYLHGVRHLVHRDIKPANLLVNLKGEPKITDFGISAGLENSVAMCATFVGTVTYMSPERIRNESYSYPADIWSLGLALLECGTGEFPYTASEGPVNLMLQILDDPSPSPSKQTFSPEFCSFVDACLQKDPDIRPTAEQLLLHPFITKHETAKVDLAGFVRSVFDPTQRMKDLADMLTIHYYLLFDGPVDLWQHTRNLYNESSVFSFSGKQHIGPNNIFTTLSSIRSTLFGDWPPEKLVHVVKKLQCRAHGEDGVAIRVSGSFIIGNQFLICGDGIQVEGLPNFKDLGIDISSKRMGTFHEQFIVEPTTLIGCYNIVKQELYINQ >OIW12418 pep chromosome:LupAngTanjil_v1.0:LG05:743883:749139:1 gene:TanjilG_04167 transcript:OIW12418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSEKVVAVIMVGGPTKGTRFRPLSFNTPKPLFPLAGQPMVHHPISACKRIPNLAQIYLVGFYEEREFALYVSSISNELKLPVRYLKEDKPHGSAGGLYYFRDIIMEDSPSHIFLLNCDVCCSFPLPSMLEAHRRYGGMGTMLVIKVSAESASEFGELVADPTTNELLHYTEKPETFVSDLINCGVYIFTPDIFNAIEDVSLNREGRANLRRVSSFEALQSATRTLPSDFVRLDQDILSPLAGKKQLYTYETKDFWEQIKTPGMSLKCSELYLAQFRYTSPHLLASGDGKRNSTIVGDVYIHPSAKVHPSAKIGPNVSISANVRVGPGVRLISCIILDDVEIKENALVLNSIVGWKSSIGRWSRADGNFNAKLGTTILGEAVIVEDEVVVTNSIVLPHKTLNVSVQDEIIL >OIW12282 pep chromosome:LupAngTanjil_v1.0:LG05:2840925:2844707:1 gene:TanjilG_06071 transcript:OIW12282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQFTTQGRLKFLFNGEFLFSLLDHKDPFFQHKCSTSIQNHTHHRKRLIKHIGYSAMIHYSSYSYFSTKTNRCNAQTHPNVGNDGVRYDDDDDFDVDVVDDVDEFENDDLSGFRGLVLDISYRPVNVVGWKRAICLEFMEKADVLEYYAQTVNSPSGSFYIPAVLRVPYLLQVVKRKLLNKNLSRKNILFRDNSTCQYCSSRENLTVDHVVPIALGGEWKWENLVTACVKCNSKKGHKTLEEAKMRLIKVPKAPKDYDILAIPLTSAAIQMMAVKKRTPEEWRQYLSKSSSEP >OIW11492 pep chromosome:LupAngTanjil_v1.0:LG05:22948736:22949083:1 gene:TanjilG_26858 transcript:OIW11492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKLIDKTIDNSYANELKKQCPSSDMASVTVNIDPETSMVFDNQYYKNLVVHKGLFQSDSVLLNDDSTRKFVEDFANDQELFFESWDQSFMKLTSVGVKTGDEGEIRRICGATNA >OIW11338 pep chromosome:LupAngTanjil_v1.0:LG05:24517228:24519638:1 gene:TanjilG_20487 transcript:OIW11338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSYNKLFTLLSLFAILYLSGQSGFQVEGHKTKHAPKKLFVFGDSYCDTGNIKKDLASSWKSPYGVTFPGKPVGRFSDGRVFTDFFAKYLKLQSPLPFNLRKLAPQHLKYGINFAFGGTGAFDTSTRGPNLTTQIDSFEKLIKYKLYGVSDLKNSVALVSVAGNDYTYYLTTNGSIQGVPSFIVSLVNQTTKDLIRLKKLGVKKVVVDGLQPFGCLPIATAASSFQKCNDTANAISNLHNTLLTQSVAKLNQQNKDHPSFIILNLYDTFLSVLNKPKKHNIENPLKPCCAGVSSEYYCGSVDKNNVKKYTVCANPKKAFFWDIVHPSQAGWHAVFEEMKSTKALHQILH >OIW11518 pep chromosome:LupAngTanjil_v1.0:LG05:22626424:22627308:-1 gene:TanjilG_26884 transcript:OIW11518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSISTRNSREWTQIYAIYGMDQWQTLIFLLTQAILFSVFSILYLLYFTSICIFFELILPSGTARFAAGFTGAVTALSAVCLYFAAANFFYSAVPLQYDMANRIVSAVSDWSSVKLALDLGCCGRGILLNAVATQLKKEGSSGRVVGLDRSKQTTLSTLRTAVTEGVGEYVTCREGDARRLPFPDNYFDVVVSGVFVHTVGVGRRSAAEAAAERMRAVGEVVRVMKPGGVGVVWDLLHVPEYVMRLKEMNMEDVRVSDCVTAFMVSSHIVSFRKPTHQFLLGPPEVRLDWRLC >OIW12060 pep chromosome:LupAngTanjil_v1.0:LG05:8904252:8904506:-1 gene:TanjilG_24484 transcript:OIW12060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVHDPRARWLRFFSVVVAMVMTKGHRWLKSGWPKRLGEGRIPRPSSRSWSTFHRRFTVVLYPKLLWFVEVEEGNNKDALDGRG >OIW11204 pep chromosome:LupAngTanjil_v1.0:LG05:25863631:25863831:-1 gene:TanjilG_28295 transcript:OIW11204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESEISKRFMMEEKRYISNGALKRDKPVCNGGGSGEAYSKTGGCLPPPSNPQSRGCFKYYRCRGDS >OIW11793 pep chromosome:LupAngTanjil_v1.0:LG05:16500283:16500900:1 gene:TanjilG_31195 transcript:OIW11793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQLLAYISLVPYLIPSQYLASGKEETMSHRKIEMKLMENKSSRLVTFSKRKSGLFKKAMELSILCGVEVVVLLFSVGGKAYSFGHPSIEAVTKKFLHQGEGSHVSHGESSNDDGNIGKLSHQLQELKDQIQVEKDKKKELDKALSKYKFINGKLPIDNLSFEELVEFKASLVEAQDNMPASNDELEVASSLLLFRENRMYETGI >OIW11713 pep chromosome:LupAngTanjil_v1.0:LG05:18885710:18889341:1 gene:TanjilG_12232 transcript:OIW11713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMRRKRMQWSNITNQILIIFFFLIPIIVHGFNKEENNDGEEDEGYKVRLELVHRHDARFSRNGGGDLDKVEAMKGFIHRDYIRRMRINQRWGFNHSISSHRRKDFETFQMSMHAGADYGLGEYFVQVGVGSPSQKLWLLADTGNELTWFNCKDAPDTEKSGVRKHRKKSSRKKSSRSRHKSRSKSKNKTKHRTRRKTKTNPCNGVFCPKRSGSFEIVACSSEKCKIDLSNLFSLAECPRDSDPCLYDISYIDGSSAKGYFGTDTITVDITNGNKGKLHNLTIGCTKSMHNGLTFNEETGGILGLGYGKDSFVEKSVIEYGGKFSYCLMDHLSNKNVSNYLTFGTNNAKLLGEMKKTELLLFPPFYGVNITGISIGGQMLKIPPHVWDFDANGGVILDSGTTLTQLVTQAYEPVFEALTKSLTNVNMIFDDFGGLEFCFSSEGFNMSTVPRLVFHFAGGARFEPPVKSYIIDVAPEVKCIGIIPINGPGVSVVGNIMQQNHLWEFDLAQYTVGFAPSTCT >OIW12361 pep chromosome:LupAngTanjil_v1.0:LG05:75389:77123:1 gene:TanjilG_04110 transcript:OIW12361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNTPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDTLMKILTERGYSFTTSAEREIVRDMKEKLAYIALDFEQELETSKTSSAVEKSYELPDGQVITIGAERFRCPEVLFQPSMIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEISALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPSIVHRKCF >OIW12457 pep chromosome:LupAngTanjil_v1.0:LG05:1205996:1208204:1 gene:TanjilG_04206 transcript:OIW12457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGQRSSHGKRPSSRSDYDNRENKRRSSGGDREKFVIDSEDTVYRYLCHSRKIGSVIGRGGDIIKQLRIDTKSKVRVGETVPGCDERVITIYSASDETNAFGDSGDYVSPAQDALFKVHDRVIAEDLHSDQDDDEGGQQVTAALLVPSDQIGCIIGKGGQIVQNIRSDTGAQIRILKDDHLPPCALRSDELVQISGDAAVVKKALYQIASRLHDNPSRSQHLLTSAVPGVYPSRSSFMGPPTGAPIVGIAPLMGAYGGYKGDAGDWPRSLYSAPRDEASSRDFSVQLVCPIGNIGGVIGKGGMIINQIRQETGATIKVDSSAKEGDECLITISTKEFFEETFSPTIEAAVRLQPRCSEKVERDSGIISFTTRMLVSTSRIGCLIGKGGSIITEMRRLTKANIRILSKENLPKIASEDDEMVQISGDLDIAKDALVHVLTRLRANLFDREGAVSALLPVVPYLPVPGDGPDGLNYDSRDGRRHGRGPSYSNGYGGSSDFAAGDAYGSYGGSQLGSSAPYGAYGSYSLGRTSTAG >OIW11501 pep chromosome:LupAngTanjil_v1.0:LG05:22848968:22854211:-1 gene:TanjilG_26867 transcript:OIW11501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSSTISLKPFLHSLLIDHHLQSTIGTVKWESLVFNKSSNFTTNSVLFAKGFKLNCLLGREMDIGTSSLVDGAAELLNEEVLVEEEVREPDISTMVLNFENKFDPYGAVSTPLYQTATFKQPSAIENGPYDYTRSGNPTRDALERFDATREEIVAGDDLYGGSDRLLSQVLPKSGVVVKRVNTSDLNEVASAIGPQTKLVWLESPTNPRLQISDIRKIAELAHAHGALVLVDNSIMSPVLSQPLELGADIVMHSATKFIAGHSDIMAGVLAVKGERLGKELYFFQNAEGSGLAPFDCWLCLRGIKTMSLRVEKQQDNAQKIAEFLASHPRVTKVNYPGLLVHPGHDLHYSQAKGAGSVLSFLTGSLALSKHIVEKTKYFSITVSFGSVKSLISMPCFMSHASIPATVREARGLTEDLVRISVGIEDVDDLIADLEIALRTGPL >OIW11663 pep chromosome:LupAngTanjil_v1.0:LG05:20660037:20669137:1 gene:TanjilG_24357 transcript:OIW11663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANATECNSYSCKNSDETLAWIKAIIDFITPFSFLINPHVVNFFTDRLWLNVDKEWMDFLRGEPVQNLLLIPSGVIQDHWPTSLKNFILKLRSMVFRQEQADIDTALPGLQMTSVNSVLAQGMNVKKKHEVEVLSAVVSTIADSVRAHTIVDVGAGQGYLAQVLAFQYQHSVIAIDACSHHGRVTGARAERIKKHYTSQMLKSGSGMRKLNVPKTITCRVLSIDTLKTLVETSLPGDDTEQSRLEGESEEEQGKLHWPGDADKKPSTVLAGLHACGDLSVTMLKTFLECEDVKAVVSLGCCYNLLSEESIMDAGSQCGFPMSQSVRSTGLSLGKSARDLACQSAERWRSLDMHAGIHNFELHAFRAAFQMVLSKFYPEVVMSTPSIGRKGKALRRRQQRRSAESQHHQGSIGHIRPKSSVWPAESENVLVVDGSWLLHASIMVTAALLPSTARSSLSQCVTDGIMTSVLDIQALPSEILNAKAGCEETKSDNKFLHFENFCRSGLSHLGIEQSLDINLQSVWKEAEPFSDLIGPYWSLRAALGPLLETLILLDRLLFLQEQVPYGQGHDAASLLALLNSIASHLSLEKGCYSP >OIW11491 pep chromosome:LupAngTanjil_v1.0:LG05:22958603:22961665:1 gene:TanjilG_26857 transcript:OIW11491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLALSPPVLSNMGIWPLEVEPISHNNNQNYFNSDYYSFPHQFSSPHSPLEVQTSTPSSDPTMVKKLSHNASERDRRKKINSLYSSLRSLLPVQDQKKKMSIPGTISQVLKYIPELQKQVEGLIKKKEELLLRISRQGDAVNKKCQRKIAPQSSAFVVSTTRLNDIEASIQISTYEEVNKISLCEILLCLENHGLVLLNASSSETFEGRIFYNLHYQVEKNYRLEYDIVSEMLLSLLEKKEGIF >OIW11479 pep chromosome:LupAngTanjil_v1.0:LG05:23141811:23142467:1 gene:TanjilG_26845 transcript:OIW11479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNIQTAISEAGLGNEIKVSTAIGGYAALANGKSFPPSTGEFNSDYLGAYLDGVIKFLVKNNSPLLANVYPYIYYVSTQRTDLSLDYAFFEKESVVVRDGSLGYKNLFDANVDAIYSALERAGGKSVRIVVSETGWPSSGGEATTLDNAKTYNTNLVKHVKGGTPKRPNKPIETYVYALFDENQKNTPRDAYFKNPQYGKFWGLFLPNKQPKYPINLN >OIW12413 pep chromosome:LupAngTanjil_v1.0:LG05:700801:703075:1 gene:TanjilG_04162 transcript:OIW12413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKSFLLLLLIFLMLVATKVSSYDEDLKIEVNYAKPLVAVPPVKSPPPPPVVRVVSPPPVKAPPTNPSPPPPPVKAQPPVTPAPPPATPTVKSNKGTYGNRDKCGKCYTDMLTHGNRPKCP >OIW12228 pep chromosome:LupAngTanjil_v1.0:LG05:2195494:2198833:1 gene:TanjilG_06017 transcript:OIW12228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVFNNIGGLFTEMFDGLFSLPVNIPGSVYHKALKARKKLTSIIQPLVDERRMMIENGLREGKKDLADILLQIEDGNGKKLENEDIVDLLLGFLAAGHESTATTLTWVVIYLTDHPEILKKAKEEQEEILKTREPSQKHIDIKEIKQMVYLGKVIDESMRLANIAFATFRKAIADVNINGFIIPKGWTVLVWGRAVHMDPTHYENPLQFNPSRWDDYNGKAGTFIPFGAGSRLCPGMDLAKLEISTFMHHFLLNYKLERVNSKCPITCLPAPKPVDGCLAKVIKIS >OIW12263 pep chromosome:LupAngTanjil_v1.0:LG05:2590298:2592046:1 gene:TanjilG_06052 transcript:OIW12263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSYQFNNVGYGSYANLSRAPVRSSCLLVPQQPLLTNPFEVMGLSQEVELRDHVEARNGSGLGKTKLCARGHWRPSEDAKLKELVAQFGPQNWNSIAQHFHARSGKSCRLRWFNQLDPRINRRVFTEDEEARLISAHSLYGNKWALISKLFPRRTDNAVKNHWHVMQARRLREESNHYRRHNPNIRRGWSLGLSNNVANGLTNSSIINKSSSTCTNISFTPSSSRPMFRPSYNSYNYNNLVPAQNHAHGSLTGLSRERRVQTKHMGYGKYFGSWNGPCQIGSMGKFKATLEQRNYSDTNSDISVSESFGIKRITNLSVSGNENMDDKVQMPFIDFLGVGGA >OIW12074 pep chromosome:LupAngTanjil_v1.0:LG05:8355161:8357539:-1 gene:TanjilG_15314 transcript:OIW12074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVAPEGSQFDTRQFDSKMNELLAADGQDFFTSYDEVHDSFDAMGLQENLLRGIYAYGFEKPSAIQQRGIVPFCQGLDVIQQAQSGTGKTATFCSGVLQQLDYSLVECQALVLAPTRELAQQIEKVMRALGDYLGVKVHACVGGTSVREDQRILSSGVHVVVGTPGRVFDMLRRNSLRADHIKMFVLDEADEMLSRGFKDQIYDIFQLLPPKIQVGVFSATMPPEALEITRKFMNKPVRILVKRDELTLEGIKQFHVNVEKEDWKLETLCDLYETLAITQSVIFVNTRRKVDWLTDKMRSRDHTVSATHGDMDQNTRDIIMREFRSGSSRVLITTDLLARGIDVQQVSLVINYDLPTQPENYLHRIGRSGRFGRKGVAINFVTKDDDRMLVDIQKFYNVVIEELPANVADLL >OIW11604 pep chromosome:LupAngTanjil_v1.0:LG05:21462684:21466632:1 gene:TanjilG_15298 transcript:OIW11604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIQCNVCEAAEAKVLCCADEATLCWECDEKVHAANKLAIKHQRVSLSMSSSHMPKCDICQEAFGYFFCLEDRALLCQKCDLAIHTSNAYVSEHQRFLLTGVRVGLEATDPGASSTSLKSDSAEKVSDTKTSSICKKVSPMPQSSDYDEMVPIEVGKVEEFPPDKVSFGGGSTAGNISQWSYDEFLVLNEFSQNYNYIEGSSKADSGKLEDSDSPVLRSIEDEMEDNDDDYLGRVPDSSWTVPQISSPPTFSGLRWPEVPQYSSDRAMFVPDKLFSHMQQPQNSSVFSRSMHL >OIW11300 pep chromosome:LupAngTanjil_v1.0:LG05:24841013:24843138:1 gene:TanjilG_20449 transcript:OIW11300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKEKKTEPKNETEKKPNEGKGEKKNDAPASVVLNLDLHCEGCVKKIKKAVRHFDGVEDVIADISANKLTVIGKVDPAKLRDKLAEKTKKNVDLVSSNAKKDAGGDKPPVKKSEEKKSDEKKTEEKKTGDKKMEEKKPKKSTVVLKTSLHCDGCIQKIRKIILKFKGAESVDIDGGKDLITVEGTMDVKELVSYLNQKLKRNVEVVPPKKDKDKDKESEKKEEKKKNKNKESGEKKEEGAVIKIVEVNKMEHHGYGYPHAPMYVQTSSGYNGNYEMDQGYNNQQQQQHYYGYMHPQNYPPPPQMFSDENPNACSMM >OIW12146 pep chromosome:LupAngTanjil_v1.0:LG05:3491139:3491954:1 gene:TanjilG_28554 transcript:OIW12146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNLRKSRPFNHRKPPLVKQKWVPTVPLWEKKFCTEIGAVPWKKLVEAKNYLSLHENVMNWDDSACRDAFDNAKKRFSASIKGLPCDISLPNPDIYIDDIDWNSNDELIMELESETNVPINEGVVILDYSILYNQIFGCIGWGDAEV >OIW11521 pep chromosome:LupAngTanjil_v1.0:LG05:22568116:22569859:1 gene:TanjilG_26887 transcript:OIW11521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIPERDPLSELSLPPGFRFYPTDEELLVQYLCRKVAGHNFALQIIAEIDLYKFDPWVLPSKAIFGEKEWYFFSPRDRKYPNGCRPNRVAGSGYWKATGTDKMISSEGRKVGIKKALVFYVGKAPKGTKTNWIMHEYRLLDSSRKSGSTKLDDWVLCRIYKKNSSAQKPIPDQYTQYSNVSSSNSSSSHIDGVLESLPQIHDRCFALPRVTDEKPLNNFHQNLTAGTFVDWTNNSAVMNSVTEFVDSGNETVMNYGNDLCVPALMEEEVQSKTQRIENSGFFQQGGLNMNEFSNGFLNNVDFDGFRYPVHPVGFGFRE >OIW12260 pep chromosome:LupAngTanjil_v1.0:LG05:2498643:2513867:-1 gene:TanjilG_06049 transcript:OIW12260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYHYPDIWYDYATWHAKGGSIDAAIKVFQRSLKALPDSEILRYAYAELEESRGAIQAAKKIYENLLGDGVNATTLAHIQFIRFLRRTEGVEAARKYFLDARKSPSCTYHVYVAYATLAFCLDKDPKMAHNVFEAGLKRFMLEPVYILERCYIRFIRKANDKKGIEGQEETKKAFEFMLNYVGADIASGPVWMEYISFLKSLPALNVQEETQRMTAVRKVYQRAIITPTHHIEQIWKDYENFENSVSRQLAKGLISEYQPKYTSARAVYRERKKYFDEIDWNMLAVPPTGVYKATFCFFAKFAYQGNPQRIDAASSNKRIIFTYEQSLMYMYHYPDIWYDYATWHAKGGSIDAAIKVFQRSLKALPDSEILRYAYAELEESRGAIQAAKKIYENLLGDGVNATTLAHIQFIRFLRRTEGVEAARKYFLDARKSPSCTYHVYVAYATLAFCLDKDPKMAHNVFEAGLKRFMLEPVYILEYADFLTRLNDDQNIRALFERSLSSLPPEKSVEVWKKFIHFEQTYGDLASMLKVEQRRKEALSGSDEDGTSALGSSLQDLVSRYSFMDLWPCSSNDLDHLTRQEWLAKNINKKVEKSAMPNGMMLLDREPTACISTTATKVVYPDTSKMVIYDPKQNPVAGAGTNAFDEILKATPPALVAFLSNLPAVEGPTPNVDIVLSICLQSDLLTSQSGKTGIPTQLQSGKTGVSTPLPAGPATSELSGSNKSHPVASGLSFKPTNNRPYGKRKDSETLAASKFLCSNSVLLVEGEPQRNGQEDDDTTTVQSQPPPRDAFRIRQYQKARMSSTSQTGSVSYGSQFSGDLSGSTS >OIW12288 pep chromosome:LupAngTanjil_v1.0:LG05:2905130:2910784:1 gene:TanjilG_06077 transcript:OIW12288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLFDAHCHLQDPRILSKAPNLIKTAQDSGVVHFVVNGVSEQDWHLVKDLAQNYPSIIPSFGLHPWSLSPFILIQFIIYSFNFVKKLTYLKVLGLMKLQVPSDRILLETDAPDALPMSNIDSLFFVEGDTSLPKELHDQITSSSTSTSLSNSSQVVIDASMLSKETLNHPANIRSVLDYVASMLEITKEELAELSYQNTVRLFSYEGSKLLQK >OIW12176 pep chromosome:LupAngTanjil_v1.0:LG05:4334448:4334825:1 gene:TanjilG_28584 transcript:OIW12176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGKKMVSFKKLAKKVKSSSGTDPNSHYQECLLKRYEGESSNTTTPTGFFALYVGEEHQRYVVPTSYLSHPLFKMLLQKAYDEFGFTQSSGLVVPCSVSTFQEVINAIECNKANFDLCKIFEEFV >OIW12210 pep chromosome:LupAngTanjil_v1.0:LG05:5540872:5541039:1 gene:TanjilG_28618 transcript:OIW12210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCIKGEVKPTLGQMEVLSLGHNRLNHLDVTQNLNFEILQNHVTIELSAFNHNSS >OIW11522 pep chromosome:LupAngTanjil_v1.0:LG05:22533582:22536664:-1 gene:TanjilG_26888 transcript:OIW11522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILKGVTGIVEPGEMLAMLGPSGSGKTTLLTALGGRLGGKLHGTITYNGKPFSNAIKKNTGFVTQDDVLYPHLTVTETLVFTALLRLPNSVTKEEKVLHAKAVIDQLGLTKCKDIIVGSPNLRGVSGGERKRVSIGQEMLINPNLLFLDEPTSGLDSTTAQRIVSTLWELASGGRTIVMTIHQPSSRLYYMFHKVLLLSEGYTLYFGKGSEAIDYFSNVGYAPAMAMNPSDFLLDLANGVYINELNEEHAIDKQQLVSAYKSNFEAQLKPELQQFNDSGLLWYKSDISHLQDQIGLLFFISGFWGFIPLFQAIFTFPQEVMMLHKERSSGMYRLSSYFMSRVVSDLPMELTLPTIFLTITYWLAGLKPNMINFIYTLLSLLLHVLTSQGLGLAIGALVLDQKSATTLATIVMLCFSLAAGFYVQHMPKFVAWIKYISINYYTYQLFIGSQYHIGERYPCPNGECPIEEFPSIKQMGLPNLHEQAMAALVLGIMLIGYRLIAYFALMRIGVTKKLA >OIW11982 pep chromosome:LupAngTanjil_v1.0:LG05:10759174:10761500:1 gene:TanjilG_02189 transcript:OIW11982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTSDWRAQLMPDSRHRVVNKITDTLQKRLPMSGPEGLLELQRIAQRFEENTFTAATSQEDYLRKISLKMLRMEMRTQNAMGNNMPPNQVGPSNRPPHQDDDDDLNSRPVVID >OIW11598 pep chromosome:LupAngTanjil_v1.0:LG05:21572878:21576380:-1 gene:TanjilG_15292 transcript:OIW11598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSGSRLPTWKERENNKRRERRRRAIAANIFSGLRMYGNFKLPKHCDNNEVLKALCNEAGWTVEPDGTTYRKGCKPIERMDIVGGSSAAASPCSSYHPSPGSSSFPSPSSSPYVTNPNNDGNSLIPWLKNLSTASSSASSPKLSHLYLGSISAPVTPPLSSPTARTPQINNPDWDDHSARPGWTGQHYSFLPSSTPQSPGRQAVDPEWFAGIKLPYVSPTSPTFALVSSNPFAFKDGSGSRMWTPGQSGTCSPAVAAGSDHTADIPMSEAYSDEFAFGCNTSGIVKPWEGERIHEEFVADDLELTLGSSKTR >OIW12340 pep chromosome:LupAngTanjil_v1.0:LG05:1751448:1753945:-1 gene:TanjilG_32456 transcript:OIW12340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPYEVENNSIVCNIPMEDIKNTIKNESIVVHEIKHEKDENEVSSQVSRISLGGAQVGSLKKKLLVLDINGVLADILFPPPSDIKGEYAMIMRAASIGGDLREYLDGLANSEDMAKYVEEHPFGQEGINEKSDSWYLYLNVIASNLF >OIW11574 pep chromosome:LupAngTanjil_v1.0:LG05:21883209:21885032:-1 gene:TanjilG_26940 transcript:OIW11574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESPFKPKNPFTLETKNLSYKLCSQLDEYKSLCFGSNPMRGSKYILKGINCEARPGELTAIAGPSGAGKTTLLEILAGRISPCKVSGHVLVNHLPMDANRFRRASGYVTQEDDLFPSLTVRETLMYSAMLRLPGGRKVAATRVSELMKELGLDHIADSRISGGSNHGISGGEKRRVSIGVDLVHDPAVILIDEPTSGLDSASALMVISLLRLMAFHQGKTIILTIHQPGFRILELFDGLILLSDGFVMHNGSLNLLEARLKLAGHQIPHHVNVLEFALDVTKSLVIQTSESENNQFLLMDKEHQDHMMRMQYSRVFKEKALMYSNSPMDEISILGQRFCCNIFRTKQLFSARVIQALVAGFVLGTIFLNDGSKQDQVALQTRTGFFAFSLTFLLSSTTEGLPIFLEERRTFMTETSRGAYRVSSYVLANTLVFLPFLLMVAFLYTTPVYWLVGLRKDIDGFLYFSLVVWLVLLMSNSFVACFSALVPDFILGTSVIAGLMGSFFLFSGYFISKDKIPSYWIFMHYLSLFKYPFECLMINEYGGNQGKTRCIEISNGQCILHGVEFLRQQGLKESHKWTNLAVMLGFIVGYRVLCFFILWFRCYRTRK >OIW11740 pep chromosome:LupAngTanjil_v1.0:LG05:17305276:17307007:1 gene:TanjilG_10942 transcript:OIW11740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVKYFDATLSNFDAVFEQFRSEAPQNKASLILFLADKDPATNLSWCPDCVRAEPVIYKTLESLPDDIALLRANAGDRPTWRNPKHPWRVEPFKLTGVPTLIRWENDTVKGRLEDYEAHVEKKIKSLVADK >OIW12252 pep chromosome:LupAngTanjil_v1.0:LG05:2415750:2419500:1 gene:TanjilG_06041 transcript:OIW12252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADYHFVYKDVEGSSTQWDDIQRKLGNLPPKEPAFKPPPFTPNPDSDSIPKDKDWIDSKNEDELQDLEDDFDDDRFLEEYRKKRLAEIKETAKILRFGSVIPISGSDFIREVSQAPSDVWVVVILYKEGISDCGLLMQSIEELAVRYSATKFVKIISTDCIPNYPDRNLPTLLVYNNGAVKGNYVGLHSFGRRCTPEGVAFVLCQSDPVLNDGSSTNEKSREAVIAGVQKRFIEKIVADHEEDDDGSSSD >OIW11431 pep chromosome:LupAngTanjil_v1.0:LG05:23618066:23618560:1 gene:TanjilG_26797 transcript:OIW11431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAGHGLRSRTRDSFSRAFRKKGTIPLTTYLRTYHIGDYVDVRVNGAVHKGMPHKFYHGRTGRVWNVTKRAIGVEINKQVGNRIIRKRIHVRIEHVMPSRCNEEFRLRKVKNDQLKAEAKAKGEVISTKRKPEGPKPGFIVEGASLETVTPIPYDVVNDLKGGY >OIW11394 pep chromosome:LupAngTanjil_v1.0:LG05:23939358:23942934:-1 gene:TanjilG_10712 transcript:OIW11394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METENGIVVEEEKRVIGVTTIEENAKKEIENDCNGAETETKIEVSKPILEGESTNSSGNIVAVEASVTSSASKNSKRAKEAGVASKNNKNAKDKPILKGATQKQRPTLSQSLSFPAKSARGDGMHKSIDGHNLVKAKVKHVIDNGTKVDASIHHSNKSINSEMNSKETKFNTRGSKSNHNTSLTSMPSFKRSVSVFGRSTPVNAVTKNHPSEASPPSDQISSPEKTTKPNKDDEDTESTTLSVTPSQRNSGSGFSFRLEERAEKRKEFFSKLEDKIQAKEAEKTNLQAKSKESQEAEIKKLRKTMTFKATPMPSFYKEPPTKVELKKIPTTRPKSPKLGRNKGSAMNNISEEDKSCSSPHKKNQQNDSTKVKVKGHKDMISKKQTKKSQTMLHSKETATNKTEVDSIKNVAVINKDAEAFTGSNEECSNEHVNSSECKNDIELECETELPTSDAILMNLTAPDLVSYEVTVGV >OIW11307 pep chromosome:LupAngTanjil_v1.0:LG05:24776793:24778616:-1 gene:TanjilG_20456 transcript:OIW11307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVAEFTSSGWRRMKLFSHQVLTGRYFMVFASLLIMSVAGATYMFGLYSNDVKTSLGYDQSTLNLLSFFKDLGGNVGVLSGLINEFTPPWVVLIIGAIMNFFGYFMIYLSVTSKIPKPELWQMCVYICIGANSQSFANTGALVTCVKNFPSSRGNILGLLKGYVGLSGAIITQLYHAFYGDHDSKALILLIAWLPAAISFVFLPTIRILSLNKTQQTKEVKVFYNLLYISLGLAGFLMVLIIIQNKLSFSRLVYIADGMVVIFLLLLPLGVVFREEFIIWKTKFQGLSNSNQSLKVVTEVPTNDITLPQPEQKTVPESSKPTKTSCFSNMFKPPNRGEDYTILQALFSIDMLILFTATTFGVGGTLTAIDNLGQIGTSLGYPSKSTTTFVSLVSIWNYLGRVVSGFASEILLTKYKFPRPLMLTLVMLLSCVGHILIAMGISNSLYFASVIIGFCFGAQWPLMFAIISEIFGLKYYSTLYNFGAVASPFGSYILNVRVTGYLYDKEAFKQLEAKGLTRHEGKDLSCLGVQCYRMSFIIITVSTLIGCFVSFILVLRTRKFYKGDMYRKFRMELEAAENHNKNGVIPFQIDGNATLSCNELIQQTTTK >OIW11214 pep chromosome:LupAngTanjil_v1.0:LG05:25921148:25924386:-1 gene:TanjilG_28305 transcript:OIW11214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAVQQNRPPPLTATSDPPPIFDGTTRLYISYLCPFAQRPWIARNYKGLQDKIELVPIDLQNRPAWYKEKVYPENKVPSLEHNGKVLGESLDLITYIDANFEGPSLVPTDSAKKEFAEELISYVGTFTKPLYTTLNEDSIQRASPVLEYLENALGKFDDGPFFLGQFSWVDIAYAPFIERFHLVYSDVLKHDITEGRPKLALWVEELNKIDAYTQTRLDPKEVVDLFKKRFLAQQ >OIW11382 pep chromosome:LupAngTanjil_v1.0:LG05:24073484:24074179:1 gene:TanjilG_19638 transcript:OIW11382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDGGGATFSVVYFDGESETTVGEVNVDSSFNFNKLLSFLSHNIGVSPHQLSVYLASFGSNRKIPITAKFNFSAIFINTAGATASSFFLVKRSKRPKRNKVHSNKCSWTNDDNNNDNINNHTPANVVLLQRNAAVPFDFSPALSLIDYEKRMMDLEMQREIYLMSMRVGELCFERKTHVVAAYDGGGGESVICEECLKGIDGCFHRCVFDAVTFDFRSPAGPVARPVKGTE >OIW12452 pep chromosome:LupAngTanjil_v1.0:LG05:1163088:1164925:1 gene:TanjilG_04201 transcript:OIW12452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMANKFLLLLIFTLVAISMLQTLVMASHGHGGHHYNNKIKYGPGSLKSYRYYGNKAVCPCYNNWKTQQGGPKCP >OIW12090 pep chromosome:LupAngTanjil_v1.0:LG05:7649011:7649469:-1 gene:TanjilG_06295 transcript:OIW12090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLTILIALVAALVLVVHTSAFRSSEQSCKRQLQQVNLRHCENHIDQRIQQQQEEEEDRARKLRGIKHVILRHKSSQESEESEELDQCCEQLNELNSQRCQCRALQQIYESQSEQCEGRQQEQQLEGELEKLPRICGFGPLRRCNINPDEE >OIW11981 pep chromosome:LupAngTanjil_v1.0:LG05:10745766:10746059:-1 gene:TanjilG_02188 transcript:OIW11981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTETNSVPVFMTETNSVEERAAEMTSVPMFMTEINSVEAFQAEMSSEGGFMAETKSVEVLKAEMISVQVFTVGMSLGEEFQAAEKNSEGKLNGERIL >OIW11839 pep chromosome:LupAngTanjil_v1.0:LG05:13209945:13214011:-1 gene:TanjilG_31589 transcript:OIW11839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMVRMRSFNYEEGENTLRTMSFKKGRENDDLYNPDEVITEESTSSKRRKVGNLKLQTTFSFKYLLSENSTLKMEVVDSFNNLLPKPEIWFSPKSTRELDVAAIKVQKVYKSYRTRRNLADCAVVCEELWWKALDFASLSRCSISHFDSLKSETAVSKWARARTMAAKIDPRHRYGHNLDFYYNVWFHSQSSQPFFYWLDVGDGKEVNLENCPRSNLQGQCIKYLGPKEREAYEVILEGGRLLYRKSNDLVHTAEGSKWIFVLSSSRILYVGEKKKGHFQHSSFLAGGATIASGRLVAQNGVLDAIWPYSGHYRPTEKNFKEFIGFLVEHNVDMTSVKKYAVDDDVPPSKPVDKELHFETTEHNVGPNDSTIGNNCGQDNVGDFGYYVKTSQVKEMKPLSSKWTTGAGPRIGCVREYPTKLQVKALEQLNLSPRVNHAKFDAKAPIPSPRPSPKIHLSPRLVQMGIPSPRVHVNPTN >OIW12277 pep chromosome:LupAngTanjil_v1.0:LG05:2798834:2799409:-1 gene:TanjilG_06066 transcript:OIW12277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCPLRFILVFFSAVLAGYFAWRTVGSTPEIDLASSGSAMEKDNKKEAFDFKKMVQNGFWGFVDMASGRYLWRNLKSKNNAIDDLKSS >OIW11939 pep chromosome:LupAngTanjil_v1.0:LG05:10160336:10164740:-1 gene:TanjilG_02146 transcript:OIW11939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIATEHMKWRKHRNRLSQTISETNPIPKPNPKISSRIQSTSCKSKTTISSLLLSTFSNNNNTKETTHKKKKKNTNNTNFSATTFIGFGCKASSASNHVSVPSLIRSSADCEENNNKKKKSIKNKHRRNSDKNSNNDSTTTASATCVDFQDVWCGPGIGFSVDTASSVDCVVAMKNVSARRNLDVEKITHREHLSYLRRNTINTESISIIDEYSDILTPRPGLESFATSRYYPHVLHPSSDGLAEIMILQGRLVMEEQLNSHDQFRDWRLDVDNMSYEQLLELGEKIGYVNTGLEEDEMRLNIRKLKLVISNGTLKNQIDKKCTICQEEYEGGEELGKLNCEHKYHFQCIKQWLVHKNFCPICKHEVVVRQMPKS >OIW12475 pep chromosome:LupAngTanjil_v1.0:LG05:1373060:1375028:-1 gene:TanjilG_04224 transcript:OIW12475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSQDQFEIKFRLSDGSDIGPKSYPPATSIATLKENILAQWPKDKEYGPRTVKDVKLISAGKILENNRTVGECQSPLCDLPGGVTTMHVVVQPRTVEKEKKVAGETKHSKCVCVIL >OIW11403 pep chromosome:LupAngTanjil_v1.0:LG05:23874503:23877880:-1 gene:TanjilG_10721 transcript:OIW11403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHETTTTPLLEFNPPSKKASKLQTLGNIIVSVVGTGVLGLPYAFRVAGWVAGSIGIAIVGIATFYCMLLLVRCREKLASEEPLTESRTYGDLGYRCFGTPGRYLTELLISLALCGGSVAYLVFIGQNLHSVFQSHEITLTSYIFMLVPVEIGLSWIRSLSSLAPFSIFADVCNVLAIAIVVKEDIGQAVVNGFSFEERTTITSNIGGLPFAAGMAVFCFEGIGMTLALENSMQDRAKFPRLLAQTFGGITFVYILFGFCGYIAFGEETRDIVTLNLPRNWSSIAVQLGLCLGLVFTFPMIIHPINEILEGKLNFVDRGNNEPTKMRKIWIYISRTAVVVVLAILASFVPEFGVFASFVGSTICAVISFVLPATFHLKLFGSSLSIWEKSLDYFILLCGLFFAVYGTYNTVVGV >OIW11808 pep chromosome:LupAngTanjil_v1.0:LG05:15222273:15222728:1 gene:TanjilG_02755 transcript:OIW11808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPSEKLTHLHFYYHDIRNNKNPTVVQIINTPKNVPNGFGTTFVMDDAMTEGSELSSKEVGRAQGLFALASLQDLGMAMLTNFAFTEGIYAGSTLSMLGRNPISEQNREMPIVGGTGVFRFARGYAIANSVTSISTQEHFIVEYNITVYHP >OIW12439 pep chromosome:LupAngTanjil_v1.0:LG05:970962:973643:-1 gene:TanjilG_04188 transcript:OIW12439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGPGLYSDIGKKTRDLLFRDYNSDQKFTITTYSPTGVAITSSGTRKGDLFVADVNTQLKNKNITTDIKVDTSSNLFTNITVNEPAPGLKAIFNFKVPDQRSGKVELQYAHEYAGISTSVGLTANPVVNFAGVVGTNAIALGADLSFDTKIGELTKLNAGLNFTKDDLVASLTVNDKGDALNASYYHVVNPLTNTAVGAEVTHRISTNENTLTLGTQHALDPLTTVKARVNNFGKANALIQHQWRPKSFFTISGEVDTKAIEKSAKVGLSLALKP >OIW12463 pep chromosome:LupAngTanjil_v1.0:LG05:1278480:1279154:1 gene:TanjilG_04212 transcript:OIW12463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRKMPVPPNEFVLGSLIGSCYTHGKLQLGERIMRELVHMDPLNTEYHILLSNMYVLSGKEDEANSFRQILKKRGIRKVPGMSSIYVNDKLHQFSAGDKSHPRTSEIYIMLDDMICRLRSGGYVPNTSSQVLFGCSGRDDCTEEALEEVEQVLFSHSEKLALSFGLISTVSGSPLYIFKNLRICQDCHSAMKIASDIYNREIVVRDRYRFHSFKQGSCSCSDYW >OIW11295 pep chromosome:LupAngTanjil_v1.0:LG05:24885186:24887837:-1 gene:TanjilG_20444 transcript:OIW11295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCFIFQYGEKKGEPKGFQPISGRSDNSTYVEADVRGSGPELNSQEVSDNESLRRSPPSLSQRPSNLRVFTVSELKSATKNFSRSVMIGEGGFGCVYQALVKSLEDPSQKIEVAIKQLSKRGMQGHREWVTEVNVLGIVEHHNLVKLVGYCAEDDERGIQRLLIYEYMPNRSVEHHLSHRSETPLSWDRRLKIAQDAARGLTYLHEEMDFQIIFRDFKSSNILLDEQWNAKLSDFGLARLGPSDGLTHVSTAVVGTLGYAAPEYLQTGRLTSKNDVWSYGVFLFELITGRRPLDRNRPRGEQKLLEWIKPYLADAKKFELILDPRLDTKQVVKSAQRLAAIANWCLVKHPKSRPKMSEILEMVNGLVESSSGASPQLPLKSLAKLEASQDTQIKNKKRTMDQKPGESNWFVRIWRPKLLRSA >OIW12209 pep chromosome:LupAngTanjil_v1.0:LG05:5539763:5540608:1 gene:TanjilG_28617 transcript:OIW12209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEETTATIIAALSTLTSSHISDLTNTILSATHHHHHRLSFLLSSPTLFSLTLHHLHKLSLHQKSLLIARHLLSSLHHLTRHLISSSPPPLSTAMRQRETDAVLLLLMFCDTHKHNPEALDAPYSEWRVNMCNLYSHTLLNLSCSSFIPFGTCLRTILIPYIEMVARCWRMVEALGCGGGGGGKEVGEVAASVAAVVALPAVEVVVGGKECVICKEEMRIGRDVCELPCQHLFHWSCILPWFGKKNTCPCCRFRLPSDDVFREIQRLWEVLVKMGGKEYLRR >OIW12107 pep chromosome:LupAngTanjil_v1.0:LG05:7374595:7380147:-1 gene:TanjilG_31214 transcript:OIW12107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYQTRLTMFLPDLVLLVVFFLHSTTWTTAQEVENETEFDYIKRSEKGPLHWGDLKKEWGACKNGKMQSPIDLSRHNVRVVSGLGKLKKNYKAQNASITNRGHDIALKWKEDAGSININGTEYFLRQCHWHSPSEHTINGRMYDLELHMVHESKTNLKNRIAVIGLLFKIGRPDPILSKNRTQV >OIW11767 pep chromosome:LupAngTanjil_v1.0:LG05:18080541:18082700:-1 gene:TanjilG_10969 transcript:OIW11767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGGPEKSKSASSSAAEGSTNVEEMKNLTVHTEESFSSLLELASNNDFEDFKLVLDKDASLINEVGLWYVCRIGSKQVVLERRTPLMVAATYGCMDVVKLILSYPEADVNFSCGADKSTALHCAASGGSVDAVSVVKLLISAGADICCVDANGNRPIDVIVVPFKLQGMKAILVELLSDSASDGSVDDCFIPPSVGSSSPGSTAHLSSLEYGLPSSPSGSPPSPVASKFIDTPEKKEYPTDPSLPDIKDSIYATDEFRIFSFKVRPCSRAYSHDWTECPFVHPGENARRRDPRKFHYSCVPCPDFRKGACRRGDMCEYAHGVFESWLHPAQYRTRLCKDGTSCNRRVCFFAHAVEELRPLYGSTGSAGHSPRSPASAPNVMDMAAAMSLFPGSPSSMSSMSPSPFAQPMFPSANGISNSSAGGWPQPNVPALHLPGSNLQSSRLRSSFNARDMPQENLNMLPDFNGQQHLFNDLSCFSQHHPGVGSVSRPSWAKTLTPSNLDELFCSDISSSPRYSDPAAASVFSPTHKSAVFNHFQQLQSTLAPINTNPLSPKNVEHHLSQASFGVSSPGRMSPRSMESISPMSSRYSAFAHREKPQQQLWSPSSRDLGVNSSASVVGSPVNSWSKWGSPNGKADWSVNGNELGPTFEHGNNGEGPDLSWVQSLVKESPPEMIKENFAGSGPIASAADGLKTNPQIESIDHSVVGAWLEQVQLDQFVV >OIW11692 pep chromosome:LupAngTanjil_v1.0:LG05:19423853:19426253:-1 gene:TanjilG_12211 transcript:OIW11692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METMAETGLFPGSLTEDEMKQLAKSERMAVYVSNACNLVLFGAKVYASIESRSLAVIASTLDSLLDLLSGFILWFTANAMKTPNLYHYPIGKKRMQPVGIIVFASVMATLGLQILIESGREIISKSKPEVDPVKVNWMIGIMVFVTIVKFVLMVYCRRFKNEIVRAYAQDHFFDVITNSVGLAAAVLAVKFAWWIDPTGAIIIALYTINTWAKTVIENVWSLIGRTAPPDFLAKLTYLIWNHHEQIKHIDTVRAYTFGAYYFVEVDIVLPEDMRLNEAHNIGETLQEKLEQLPEVERAFVHIDFEFTHRPEHKTMV >OIW12133 pep chromosome:LupAngTanjil_v1.0:LG05:6375422:6376573:1 gene:TanjilG_02354 transcript:OIW12133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGGRASVPLEQRKSKGEPLKRVPHEKPPFSLSQVKKAIPPHCFHRSVLCSFSYVIIDLTIVTTLVYVATHYFSDLPHNLSLLAWPLYWVVQGCVLTGVWVIAHECGHHAFSDYQWLDDLVGLVLHSTLLVPYFSWKYSHRRHHSNTGSLDRDEVFVPKKKSAISWYSKYLNNPPGRVLTLTITLTLGWPLYLAFNVSGRPYDRFASHYDPYGPIYSDRERLQIYISDAGVLVVFYFLYKLSLAKGIVWVICVYGVPLLVVNGFLVLITFLQHTHPALPHYDSSEWDWLRGALSTMDRDYGILNKVLHNITDTHVAHHLFSTMPHYHAMEATKAIMPILGDYYQFDGTPIYKAMWREAEECIYVEPDEASQNKGVFWYKNKL >OIW11398 pep chromosome:LupAngTanjil_v1.0:LG05:23896698:23903418:-1 gene:TanjilG_10716 transcript:OIW11398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKVAIESSVHPTSRRIGLGPPKGGGGGGGGSMVKLKRKTPSELRGEQLKRASVVDLTDESPFTLDGSNNTTNLDNGFKKSGLSGILRYTGTRVDELFPAKKSRFKIVSGKENAKENAFLEKSSILKNLSVFANSDTTRQQGVSCLKNSVASTEVSKDGVLHACQTTEKCNQGKFLSVSELSSAADRTSGLTAPVDMGKTLRGLFALDSNVDNGLAAESSEKCGDLSSAFTGNFFSECHVPSQQAPLDLTLKTSMRVVCSSSVNWIHRSVLCGTMPFESQNMRVSQGFNGLHSWIYPQSVLPPSLVSILSSSTADGELEFLRKRHVDWEESFQNLYYMLRKNICGLFYVCTSQFVVMFTGSDTSRRSKCSCNAYISKSTRGLRSLLREQDVCFSMPLCHSKVEQVTTEDLAELSEIEKQNLGQTRRLRSFSDVDNSPESLLVFSGNDNIKCMEMRRAEHIAASSNGSIGKDSEPAQGSPDGLCCSIEIKDAFLPPWIISGICALMGSEGRSFEASFVTEPNSLGLNIALKSTCDKSESKAGGNENMPNYNGTFGISESVVISSLQSCSLKGLKYNYGSYTATLSPVTYFHHFDE >OIW11207 pep chromosome:LupAngTanjil_v1.0:LG05:25877151:25879804:1 gene:TanjilG_28298 transcript:OIW11207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCYRRGKITFAAFRSLASRVSPKNPILQSGYSNSCSKGATINGSSSFSSISHRLVTRVPEVNRNVHNPFVVGSKRSYYVDPRNVQHFRPRGPKGWFQNPRHVFIAVVVGSGVLITVYFGNLETVPYTKRNHLILLSRAMEMKLGESQFEQMKAGFKGKILPAIHPESVRVRMIAQDIIDALQRGLRKDMVWSDMGYASEHAMVSEGDDGSEVLSALAAGSEGKVEGNWSRGDEILDDRWVHQSRRKGQEKGSQPHTSHLDGLNWEVLVVNEPVVNAFCLPGGKIVVFTGLLEHFKSDVEIATIIGHEVGHAVARHSAEGITKNLWFAILQLVLYQFVTPDIVNTMSALFLRLPFSRRMEMEADYIGLLLIASAGYDPRVAPKVYEKLGKVTGGDSSAQDYLSTHPSGRKRAELLAQAKIMEEALTLYRDVRSGRGVEGFL >OIW11665 pep chromosome:LupAngTanjil_v1.0:LG05:20467954:20469290:1 gene:TanjilG_10811 transcript:OIW11665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVEAHNLNHFSPQFINSSREMMNPIDASVNLYNTTQIGYSSVLPLSGTTTATENIFRPPYTTFNVADSYPQKTAMKSDSSLTYNVPLSRKHSREKSSSINYPYPSYTTTTTQLQNKTSYGSFSFFGEDLSLQFHQQQLDINNIIAERMETIRIELEEKRKRQAMKIMEAIEDGVMKRLKTKEEEIEKIGKLNHALEERVKSLCIENQIWYDLAQTNEATANALRNELHQILIHHAGGDATRTTIPPGGAAAAAVTDDASSCCGSTDSYDEDVRNKQEDKNEGEWRTIIECAGVKDKVVGFMGNGIIGNISNDRRLCRNCGKEESCVLILPCRHLCLCTICGSTLHTCPICQSFKTASVHINMS >OIW12034 pep chromosome:LupAngTanjil_v1.0:LG05:9564506:9567706:-1 gene:TanjilG_27331 transcript:OIW12034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYTNQAFSSGSYVEMLSGTPLLPHNYNESVGAKNGFNFITSISDTMTMHHIDGHSNAETSDLACNSFVGAGDSHVVPRTQFDRDQNVRGQGLSLSLGTLMMPSIASVPHFQHQYPDNGFSSLMTSSTPNMKGNASLNDDEVGLKELRNAECMESVSSGGFHNSIRREGLYSPHPPICINEGQSDPFLNGSSGFSNMILNSQYVKAAQELLDEIVNVQKALKQTGLEKQPSFRDIGLDGSKDYDAKSNSQSMQVTSGLSGSITNTSSELSPAERQNLLDKKTKLLSMLDEVDKRYRQYCHHMQNAVSSFDMVAGCGAAEPYTALALHTISRHFRSLRDAISGQIQVTQRSLGEQEGIPRLRYVDQKLRQQKALQQLGVMRQTWRPQRGLPESSVSILRAWLFEHFLNPYPKDSEKIMLARQTGLTRNQVANWFINARVRLWKPMVEDMYKEEFGDSEMSSNNLLPENIPNKAPRVPKSDNKREESHVQHGQFHGMKFDHASSTTELEGGVESHNDQRFNMNNSDPYSSAPIQINQNGDNCSLMASTTPATYDLSELGNFSSGGRISLSLELRNCERNEFVVSNDNMHKRSNETLASSSDTDLLDYHFTDPEKQQHKFSNSHLLHEFVV >OIW12179 pep chromosome:LupAngTanjil_v1.0:LG05:4424061:4428112:-1 gene:TanjilG_28587 transcript:OIW12179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVYSSSSKDVASGDNNPSNFCIIEGPETVQDLVQMQLQEIQDNIKSRRNKIFLLMEELRRLRVQQRLRGVQTVINEDGEEESFDMPEIQSSIPFLPHVTPKTLKKLYLTSISFISAIMLFGGLIAPTLELKLGLGGTSYEDFIRSLHLPMQLSQVDPIVASFSGGAVGVISALMLIEASNVEKQEKRMCKYCRGTGYLACARCSASGVCSNDNLISASSATDKQLQVPTTKRCPNCSGVGKVMCPTCLCTGLAMASEHDLRIDPFDI >OIW11662 pep chromosome:LupAngTanjil_v1.0:LG05:20647421:20648422:-1 gene:TanjilG_24356 transcript:OIW11662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASACVNNFLDYPSDFEFRHQHPVTMLTADELFSNGKLLPLSLNHSLPVTTNSPETEISHRKDDPYLFSPKAPRCSTTWKELLGLKKLYSSNGGTGLNKTTTLLYNSCSDNKSLKHLLHRKTTPFTPDNAPLLKQNSDSEHASISSSRFSFSSSSSIHDADLPRFSLDSEKPNPNPNPISIHRNPYPNHHRIRLVKQKQAFSDTTVDRESHRRCNRVGRSPNRKPAQESGTVECRGVSVDSPRMNSSGKIVFHNLERSSSSPNSVKHRDRGMERFYSAKVRVTPVLNVPVSSLTGSVFGFGQLFSSVQKKEPGVANGGSNTVVAKGVRKTVRG >OIW11232 pep chromosome:LupAngTanjil_v1.0:LG05:26032956:26033267:-1 gene:TanjilG_28323 transcript:OIW11232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >OIW11255 pep chromosome:LupAngTanjil_v1.0:LG05:26185941:26187002:1 gene:TanjilG_28346 transcript:OIW11255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNNSKVKGLLKGLRFISQIFETEKEPEIEIGLPTDVKHVAHIGVDNNHYDNSSVTLMNGLESIPRLSSASVDLNEDIHSKRSYNSERQVNEDSSRGGSSRHVDYEGNEREFSRSRRQSTGNMRECQAKEKSDKPRQQKKPSKHHSKDSSSNVTKSTEHVDSFQLQENNSSNNNLPPKKCRSKPNSKDGSVGGRSDPSKSRAQTKDHNDESSHYRSKHKSFEKDAKIETECNENIFRNYPN >OIW12311 pep chromosome:LupAngTanjil_v1.0:LG05:3328128:3340678:-1 gene:TanjilG_06100 transcript:OIW12311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHAQQGFFNSNLSWLLGQADVVFKSPEDNSTLNLNDVQGLVTWVLGEGFMPSWVFIKNKPLIPKVVMLYMPGLDAALFLSQSKKLPNLKKLCRKPRPLLALSRISDGMQTVDALLTCKLKRKRDQHSSIIPKSTLISQQEKSCSDTDGVSFTELVKDIPFPVTYYTLTEKQLEENGYYVNQPGFVSTMPAPPGSFCEMLALDCEMCITSEGFELTRVTLVDVQGQVLIDKLVKPSNAITDYNTRFSGITSEMLDGVTTSLRDIQVVLVEEEFLKLVYKETILVGHSLENDLLALKISHDLVIDTAVLYKHPRGASHKTALRVLTKRFLSREIQQSENGHDSIEDAKATMELALLKIRNGKLTTLVGPDFGSPPSFMKKKLLSILSKSGKTSSLIDNISVVKRYASESSHSIPVTSDDDALAKTSKEVKNDKVHFIWTQFSELQSYLKKQAEDSEILNKRLAEMMALLTCQKDLTKGKDFKLSASAELKQILAHMDARIHKLYMALPTNAMLIICTGHGDTAVVDRLRRMLAEESESNFCREQIVEILEELQARAEVALCFVGVKH >OIW12202 pep chromosome:LupAngTanjil_v1.0:LG05:5349971:5351817:-1 gene:TanjilG_28610 transcript:OIW12202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHIDIFCASQASTAICLNMDPAASCSSSNTIQLGGKAIDRYNPIISDSRRTISKALTTPCSNSSSQIPIDPKPYHELQNQKAKNDSSSKPYGQKKKKTIKGHDQKKKSTAERVTENVTNSYCSKPIDSVMRRSWLKPHGELLTPPGSTRCLLSDMNLVDGLSDNGPVLALAMVNDNKKAQVVEQIETIHASKPSTSCLINSDSSNQVVELRVSLHCKGCEGKVRKHLSRMKGVTSFNIDFKAKKVTVVGDVTPLSVLASISKVKNAQFWPASASAAGSGFVESRGNFYI >OIW11366 pep chromosome:LupAngTanjil_v1.0:LG05:24195826:24213114:-1 gene:TanjilG_19622 transcript:OIW11366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLENNGSERELAGVNGFLQVSETTTSATGSAGDVVDEGGKTDSLSFANILRSRNKFVDALALYERVLENDSGNVEAIIGKGICLQMQSMGKLAFDSFSEAIRLDPQNACALTHCGILFKEEGRLLEAAESYQKALQVDPSYKAASELLAIVFTDIGTNIKLAGNSQEGIQKYFEAIKIDPHYAPAYYNLGVVYSEMMQYDMALTFYEKAATERPMYAEAYCNMGVIFKNRGDLGSAITCYERCLAVSPNFEIAKNNMAIALTDLGTKAKLEGDINQGVAFYKKALCYNWHYADAMYNLGVAYGEMLKFDMAIVFYELAFHFNPHCAEACNNLGVIYKDRDNLDKAVECYQLALSVKPNFSQSLNNLGVVYTVQGKIDAAAIMIEKAITANPTYAEAYNNLGVLYRDVGEITQAINAYEQCLKIDPDSRNASQNRLLAMNYIDEGNDDKLFEAHRDWGRRFMRLYSQYTSWDNTKDPERPLVVGYISPDYFTHSVSYFIEAPLVYHDYTKYKVIVYSAVVKADAKTIRFREKVLSRGGIWKDIYGADEKKVADMVREDQIDILVELTGHTANNKLGMMACRPAPVQVTWIGYPNTTGLPAIDYRITDSLADPPKTKQKHVEELVRLPECFLCYTPSPEAGPVCPTPALSNGFITFGSFNNLAKITPKVLQVWARILSAVPNSRLVVKCKPFCCDSVKQRFLSTLEQLGMEPLRVDLLPLILLNHDHMQAYSLMDISLDTFPYAGTTTTCESLYMGVPCVTMAGSVHAHNVGVSLLSNVGLERLVARNEDEYVKLALQLASDISALQKLRMSLRDLMSKSPVCDGANFILGLESTYRNMWCRYCKGDAPSLKRMELLEQPVAADPSISNSDTTNSRDDNPGSVKTNGFNAMQSSKLNIHSHTSMKKMDFELLPTQSYLITTWTWKVKVRVVCRKIYDYVRYDLKEIAFPSSLSDPPNIKKRRKLTWEERIWVLKRAARLYAASWVRDIGPDLLPDDYKKDEMTEGSNAEKKTTKEKEPSTLEDLGCSLHCDFELLPTQSYLITTWTWKVKVRVVCRKIYDYVRYDLKEIAFPSSLSDPPNIKKRRKLTWEERIWVLKRAARLYAASWVRDIGPDLLPDDYKKDEMTEGSNAEKKTTKEKEPSTLEDLAIAARGGMETLRPALQRVYMTRASSYRDPLKSFVAGYQEGVQQVIEKKEDLESQEDADVPKKSTCTFL >OIW11643 pep chromosome:LupAngTanjil_v1.0:LG05:20855238:20856542:1 gene:TanjilG_24849 transcript:OIW11643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEVVLLDTWASAFGMRVRIALAEKGINYEYKEENLSNKSPLLLQMNPVHKKIPVLIHNGKPICESSIILQYIDEVWKNKAPLIPSDPYHRAQARFWVDYIDKEVYVTWRKMWLSKGEELEAGKKELISIFKLLEEELGDKTFYGGDTFGFVDVNLIPFYSWFYTFETFGNFKMEIECPKLIAWAKKCMQRESVSKSLPDEKNVYEYIVALQKAQ >OIW12226 pep chromosome:LupAngTanjil_v1.0:LG05:2174068:2178414:-1 gene:TanjilG_06015 transcript:OIW12226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIWSIYSMYRYFSQTGASVVLFLFTCLAPASILFLILQKPWKGRPLSNTQVVPSIINGAITALYLVLWGKGLKSCGPVRAILAEYSGAVLGVLSAVLYGRRGHMWKKSVTLFRLFHKCCKLNRNLPWEDRDDSETKTEPVVGLKHMLVPISAGILSALRRVIARRVSIKVNQLKRRLHALTIASATCFMFPIAMWDMIIGSPSSSSGKLPFSAWAFLSTIFFGNIIIFYADSIAEERLHMVFSSPRHLMAAGACIIIMEFVYKMDFSLTGFVICCLILGFGIYEATSLEHNRKDSNQKSDLSSGEFDDQTKMSSLPT >OIW12167 pep chromosome:LupAngTanjil_v1.0:LG05:3987449:3991821:-1 gene:TanjilG_28575 transcript:OIW12167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAEARVQRNKLNHYHPTKLFVFGDSYADTGNTRKAQGGSWKEPYGLIYPGKPTGRWSDGRVLTDFIAKYLRLKSPVPYRLRNVMTQYLKYGINFAFGGTGVFNTSSLNPNMTTQIDFLDQLIKDKVYTSSDLSNSVTLVVISGNDYNFYLAKSGSIQDFPAFITSVVNQTTTNLIRIQKLGAKKIVVSGLQPLGCLPQITVSSTFQQCNSTFNNLVVLHNNLLNQSVTKLNQESKNQILILDLYSSFMSILNHSSTYSIQNSLKPCCLGVSSGYNCGSVENNEKKYEVCDKPRSTFFWDSLHPTQAGWHAVCNKLQTMNVLKQLFY >OIW11349 pep chromosome:LupAngTanjil_v1.0:LG05:24350864:24354134:-1 gene:TanjilG_19605 transcript:OIW11349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVLMRVRGIISLLFLISVLLEFNVVYSYTRPPPRNNIFTPLSHDDGASSPQQVRVSQVGKDRMRISWFTIYPTPATVQYGLTPSADSFNATGVVDSYRYMLYYSGPVHNVIIGPLNPNTVYYYRMGKSPKVYTLKTPPSQFPIKFAVVGDLGQTEYTKTTLEHILTPGYDMLLLAGDLSYADTIQEQWETFGRFIEPLASQRPWMVTTGDHDVEKITLFHRRSFTAYNTRWLMPFDLSGSKSNQYYSFEVAGVHIIMLGSYTDFDSKSNQYKWLQGDLNNVDRKKTPWLVVMFHAPWYNSNTLHQGEYASVEMKAVLEDMLYKAHVDLVIAAHIHAYERFTRVYKEKANKCGPVYITIGDGGNRDHFNPYFMDPPPEISFFRERSFGHGTLEVTNATHALWTWIRNHDDKPVISESLWLTSLSSDSACNGN >OIW11766 pep chromosome:LupAngTanjil_v1.0:LG05:18009937:18016321:-1 gene:TanjilG_10968 transcript:OIW11766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTINTAIPGLAFKIDSLTKEILVIGIPAAIAVAADPLASLIDTAFIGHLGPVELAATGASIALFNQALRITVFPLVSITTSFVAEEDTREKINALAAEKKLTEIIKADEVEKGVTNENNNETPKESLAVNGEIKVLVDGASKNVTNKGDAPKKKRRLASASTALLFGLILGLFQAAILIFAEKPLLFAMGLKHNSPMLVPAGKYLRLRALGSPAVLLSMVMQGIFRGFKDATTPLYVILSGYALNVALDPVLIFYCKLGIEGAAISHVLAQYVMALALLLILMKRMVLLPPGLKDLQIFRFLKNGGLVLARVVAVTFCITLSASLASRLGPIKMAGFQVCLQVWLTSSLLADGLAVAVQAILACSFAEKNNEKVAAAAARTLQLGFMLGVILFLFVGTGLYFGAGMFSNSILVVQFIKIGMPILASIVSIGSLFLLYESNGFVGIWIGLTINMSLRMLAGVWRMGTGTGPWRFLRSHPMSV >OIW11791 pep chromosome:LupAngTanjil_v1.0:LG05:16289162:16300188:-1 gene:TanjilG_31193 transcript:OIW11791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYPSRSSVIIIGAGISGISAAKILAENGVDDVVLLEASDRIGGRMRKEQFGGVSVELGAGWISGVGGPQPNPIWELAADSGIRTCFSDYSNARFNIYDRSGKIFPSGIASDTYQKAVDSAIQTLKVEEKYCDEENHNNGDSDSVQIETTSTLKTPIELAIDFMLHDFEMAEVEPISTYVDFGEKEFMIADERGYDYLLYKMAQDFLFTSEGTILDTRLKLNKVVRELQHSRNGVMVRTEDGCVYEANYVILSVSIGVLQSDLLAFDPPLPRWKLEAIEKCDVMVYTKIFLKFPYKFWQSGPGKEFFIYAHERRGYYTFWQHMGNAYPGSNILVVTLTNEESKRVEAQSDEETLREAMAVLRDIFGPNIPDAIDILVPRWWNNRFQRGSYSNFPIIPNLEHFHNIKAPVDRIFFTGEHTSERFNGYVHGGYLSGIDTSKALLEEMRKDKERKSESQTLLLEPLLALTGSLPLPQPETVSNMHKCDIPTQLYLRGQLGIPEAIL >OIW12462 pep chromosome:LupAngTanjil_v1.0:LG05:1271781:1273688:1 gene:TanjilG_04211 transcript:OIW12462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKWTTFLSSSSSLSQHFRSLLRSCSVSSSLHRGKQLHAAATVSGLLSSPNSFILNALLHLYASCSSLHYAHYLFDQIPHSHKDTVDYTTFIKCLSRQGYPQKALNLFAEMRQRGLPVDGVSMVCVLNACARIGDVKVGEQFHAGVVKIGLLECVRVCNALMDVYVKCGGLVGEVKKVFDEMEERSVVSWTVVLEGVVKWESVENGRVLFDRMPERNEVAWTVMLVGYVGNGLTKEAFMLLEQMVFICGFMLNYVTLCSVLSACSQSGDVSMGRWVHGYGLKMMGWDMDVMVGTSLVDMYAKCGRIDAALAVLRNMLRRNVVTWNAILGGLAMHGKGKVAVDMFPFMVEEVKPDAMTFTALLSACSHSGLVEKGLQFFEELESVYGIRPEIEHYGCMVDLLGRAGRLEEAEVMVRKMPVPPNEFVLGSLIGSCYTHGKLQLGERIMRELVHMDPLNTEYHILLSNMYVLSGKEDEANSFRQILKKRGIRKVPGMSSIYVNDKLHQFSAGDKSHPRTSEIYIMLDDMICRLRSGGYVPNTSSQVLFGCSGRDDCTEEALEEVEQVLFSHSEKLALSFGLISTVSGSPLYIFKNLRICQDCHSAMKIASDIYNREIVVRDRYRFHSFKQGSCSCSDYW >OIW12250 pep chromosome:LupAngTanjil_v1.0:LG05:2398255:2404226:-1 gene:TanjilG_06039 transcript:OIW12250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSRLSIPIRYSPALHSFCNNHRALRRTSYCSASNHHTLVTAKKEEEAEHGDLKCWMHKHGLPPCKVVLKEKPSHDNTHKPIHYVAASEDLQVGDVAFSVPNSLVVTLERVLGNETIAELLTTNKLSELACLALYLMYEKKQGKKSFWYPYIRELDRQRGRGQLAVESPLLWSESELAYLTGSPTKADVLQRAEGIKREYNELDTVWFMAGSLFQQYPYDIPTEAFPYEIFKQAFAAVQSCVVHLQKVSLARRFALVPLGPPLLAYGSKCKAMLTAVDDAVELVVDRSYKAGDPIVVWCGPQPNSKLLINYGFVDEDNPYDRLVVEAALSTEDPQYQDKRMVAQRNGRLSIQVFHVNVGKEKEAVADMLPYLRLGYVSDPSEMQSVISSQGPVCPMSPCMERAVLDQLADYFRTRLAGYPTTLAEDESMLKDGNLNPKKRVATQLVRLEKKMLNACLQATIDFINQLPDHSVSPCPAPYAPKLK >OIW12123 pep chromosome:LupAngTanjil_v1.0:LG05:5886413:5892677:1 gene:TanjilG_02344 transcript:OIW12123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEAPASPGSGGGGGSHENGDHSPRSNHREQDRFLPIANISRIMKKVLPPNGKIAKDAKDTVQECVSEFISFVTSEASDKCQREKRKTINGDDLLWAMATLGFEDYIDLLKIYLARYREGDTKGSTKGGDASSRKDVHPSPNAQGDTKGSTKGGDASSRKDVHPSPNAQAQHMMLPYQDQE >OIW11484 pep chromosome:LupAngTanjil_v1.0:LG05:23067859:23070662:-1 gene:TanjilG_26850 transcript:OIW11484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAILLSTIVVPSIFSTTKLKCSKLEHPLPTLQRFADSSNGFKKVSSFIAFAVNGNSTVQTTQKSFGKNSNRKDGRNPFLSEGRDDDETRGTICPGCGVFMQDNDPNLPGFYQQKKVKMEDILDDDDDDDDEVVIVEDEDDGDEHGIGEDKGGLKDEVDWDLEELEAKFLGKEVVDELDLNGFALPGVGYGNITEEVLERMRKKKVSKAEKKRMAREAEKVREEVTVCARCHSLRNYGQVKNQNVENLIPDFDFDRLISTRLMNPSGSGSSTVVVMVVDCVDFDGSFPRTAAKMLFKALERVQGNSKQGKKLPKLVLVATKVDLLPSQISPTRLDRWVRHRANAGGAPKLSGVYLVSSRKDLGVRNLLSFIKDLAGPRGNVWVLGAQNAGKSTLINAFSKKEGAKVTKLTEAAIPGTTLGILRIGGILSAKAKMFDTPGLLHPYLMSMRLNRDEQKMVGQAIHVGGLARLDLTKASVETIYVTVWASPNVSLHMGKIENADEVWSNHVGVRLQPPVGNDRAAELGTWQEREIEVSGSSWDVNSIDIAIAGLGWFSVGLKGEATMKLWTFDGVEMTLREPLVLDRAPSLEKPGFLLPKAISEAIGNQTKLEAQRRKKLEDEDEEYVGAGAELST >OIW12147 pep chromosome:LupAngTanjil_v1.0:LG05:3557308:3560191:1 gene:TanjilG_28555 transcript:OIW12147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRKNWFGWVKRLFTSESNDKKWRWSLGRLKQKPNPTITAPNRTLIEASAEQRKHALTVAIATAAAAEAAIAAAHAAAEVVKLTGASHSYSYLCNGDRSFAAIKIQSAYRAHLARKALRALKGVIRLQAIIRGQVERRRVSGSSKNFPSNARNQVDIEERSSHTEEERYKIDQIKQFTEQNKLEEKELEPGNYSQRTWDCSLHSRKEIESIWLRKQEAFVKRERMRQYSFSQRERQSPQVMKESVHNKEFGREYCPTLGEWLLKEPCDLDVLYKQALPSNLITMKKELQEEGISPQISTPRKSFSHIKSSAVDEISGPNSPVFPTYMAVTKSSKAKARSMSTPRQRTEFLGVCSNQNDGIYFCSSYYGATSTTNGNSEVSPKICKSAKKPVISLEDVKSGSMYEL >OIW11333 pep chromosome:LupAngTanjil_v1.0:LG05:24578855:24593205:1 gene:TanjilG_20482 transcript:OIW11333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYAISGIRFPVVPSSLHKPSQSSFHGDRSTTSSLSFFLNRNYSFSLKTLSVKVSGDSDSTSSTIAEYDKVLIPEDQENSSSSTDQLETPDITSEDPENLDDLILEDENKYNDDEAASSYVEDEDVQGSIVSSLVEVDTHTQAETTSVSVDRKLKIESDEVKPNIIPPPGTGKKIYEIDPFLQPHREHLDFRYAQYKRVRAEIDKYEGGLDAFSRGYEKFGFTRSATGITYREWAPGAKTAALIGDFNGWNPNVDEMVQNEFGVWEIFLPNNVDGSPAIPHGSRVKIRMTTPSGIKDSIPAWIKFSVQAPGEIPYNGIYYDPPEEEQHVFKYSQPKRPKSLRIYESHVGMSSPEPKINTYANFRDDVLPRIKRLGYNAVQIMAIQEHSYYASFGYHVTNFFAPSSRFGTPDELKSLIDRAHELGLLVLMDIVHSHASSNTLDGLNLFDGTDGHYFHPGSRGYHWMWDSRLFNYGSWEVLRYLLSNARWWLDEYKFDGFRFDGVTSMMYTHHGLEVTFTGNYNEYFGFATDVDAVVYLMLVNDLIHGLFPEAVTIGEDVSGMPAFCIPTQDGGIGFDYRLHMAIADKWIELLKKQDEDWRMGDIIHTLTNRRWQEKCVAYAESHDQALVGDKTIAFWLMDKDMYEFMALDRPSTPLIDRGIALHKMIRLITMGLGGEGYLNFMGNEFGHPEWIDFPRGDQHLPNGSVIPGNNNSYDKCRRRFDLGDAKYLRYRGMQEFDQAMQHLEESVNFMTSEQQYISRKDEGDRVIVFERGNLVFVFNFHWTNSYSDYRIGCLYPGKYKIVLDSDDAKFGGFNRLDHTAEYFTSDGWYDDRPRSFLVYAPCRTAVVYALADKVEPVPAKP >OIW12052 pep chromosome:LupAngTanjil_v1.0:LG05:9059847:9060089:1 gene:TanjilG_24476 transcript:OIW12052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGPNKNVVPQLNLKSKRNVIIVPKLIFNTKTESSGTSIIQQFNQIEKSIKGIIKNKNLIPNRMYIKLATTASASNKYFP >OIW12023 pep chromosome:LupAngTanjil_v1.0:LG05:9691349:9695334:1 gene:TanjilG_16134 transcript:OIW12023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGVDQRTNCAFGSPGTFHRPQSRLNPLNQMGIPPFYPNSNNNLPSSLNHYPQILASQPQRLQSLNLNPLNLSPGAGSLSHSMSLSQPSFFSLDSLPPLSPSTYQLSAATPFVGSVSANVSMEKSLGNVTSVPVNRGHAVQLGNSLPPRKGHRRSSSDSPLGISDYIQSIPQFVSSTAWNDRNNLVSRGESLGFEKKPVQLVLKVPNKDKDHVDGFSGETGKVRKEESVDDIFSAYMNLDNINNMGFSTEDKDMDSKTSGTKTVESSENEVESCANGKTTGARGESSSCSEERKEGVKRSSNGDIAPGSRHRRSFSLDSSIGNFSIEDESPKLPPLQNRVGQHLPSNPIDGKTCEPRLDFGNGEFSSEELKKIMENDKLSEIAMSDPKRAKRVLANRLSAARSKERKTQYISELEHKVQTLQIETTTLSTEFTKLQMDSAELKSQNNEYKLRLQALEQQSQLKDALKETLDTEVRRLRRTVADLGGESLLSSRMAQQLAINQQMFQLQHQQQASQIRQFQQQNSHPQQEPQSQPQKTQHNNELQSQRQNGKASAY >OIW11202 pep chromosome:LupAngTanjil_v1.0:LG05:25856632:25857508:1 gene:TanjilG_28293 transcript:OIW11202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLQNDSTGNKEEMKERMARMNVLGNRTRSNSSSPSTQHYHRKFRKPTSYRAPIFGNATPTTRRKLDKSMSCKTLGELELDEVKGFMDLGFIFKKENLSPRMMSVVPGLQRLGLHQKLIDATEIVQDNDNESEEEKRDIKRPYLSEAWVIKRPDSPLLSLKIPKPCSAATMKKHLQVWAKTVASEVHEE >OIW12097 pep chromosome:LupAngTanjil_v1.0:LG05:7852126:7853470:1 gene:TanjilG_06302 transcript:OIW12097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKETLYAQQKLLHNLYSELEQEREASAIAANETLDMILRLQGEMALLKMEATQYKRMAEEKIDHAEATIEVFQEVMHQMEMEIAFLEFQVQAYKHKILSLGCDLNTNEFNRSDQRNGENGRSNTVRRIHSLPPKRERSSSPVVDVNPKIIEESTYKEVILNLTRKPVEFDCCTPDSYWDQIKMLDEKVEVISDRTEGEKSVILSSRRWKSCSTLSNTTKNITCDQIVGPYTNSYEVSHGEEDTQDIKEVGNPPCSPNVYDIFEVPQTTEKHKLSEYEKERHEKWNHDADKQKGMLRINSEIKRHSPNDMMTIIDQKKEGLAVNYNAKAEFQKLHQRIEWLEREMISTRNEVIHEGNGEDQFRMLKDIQIQLTLIKSEMRGRKTKKATLKDDKPLALLQECDMELTFDEILDSFGSY >OIW11423 pep chromosome:LupAngTanjil_v1.0:LG05:23685826:23699455:-1 gene:TanjilG_26789 transcript:OIW11423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTEEECSSTKRGGAGGGASARSFSSSSSARRYYLSKCVLRGSVVLQLLYGHIRSPTSNDVVFAKETSIELAVIGEDGNVHSVCDQPVFGTIKDLAILPWNDKFRTRDPQMWGKDLLVALSDSGKLSLLTFCNEMHRFFPITHVELSNPGNPRDLPGRMLAIDSSGCFIAASAYEDRLVLFSMSTTGNDIIDERITYPSEGEGPAAAGTSRSIQRTSIRGTIWSMCFISQNSKQPSKEENPLLAVILNRKGSLLNELLLLEWNIKAHTIFVISMYVEAGPLAHPGNPRDLPGRMLAIDSSGCFIAASAYEDRLVLFSMSTTGNDIIDERITYPSEGEGPAAAGTSRSIQRTSIRGTIWSMCFISQNSKQPSKEENPLLAVILNRKGSLLNELLLLEWNIKAHTIFVISMYVEAGPLAHDIVEVPNSCGLAFLFRAGDALLMDFRDPHNPCCVYRTSLNFLPNVVEEQAYVEDSCKLHDIDDERFNVAACALLELSDYDPMCIDSDNGSTSSSYKYVCSWCWEPENGKDPRMIFCIDSGEFFMIEIHFDPDDLNVNLSECLYKGLPCKALLWVEGGYLAALVEMGDGMLLKLEDGRLCHSNPIQNIAPILDMTVVDYHDERHDQMFACCGVAPEGSLRIIRSGISVEKLLRTAPIYQGVTGTWTVRMKVADSYHSFLVLSFVEETRILSVGLSFTDVTDSVGFHPNVCTLACGLVSDGLLVQIHQSTVRLCLPTKAAHPEGIPLSSPICTSWSPHNVNISLGVVGHNFVVVSTSNPSFLFILGVRLLSASHYEIYEMQHLELQNELSCISIPRQKNEQKQTHSPISANNSCMSSFLSGVDNNKTFIIGTHKPSVEIWSFASDGVTVVARGTISLTNTMGTAITGCVPQDVRLVFVDKYYVLAGLRNGMLLRFEWPAEPCPSSPLNVADTAPSSINLVNSVTNVFDKRSGLPSTLQLIAIRRIGITPVFLVPLGDTLDADIIALSDRPWLLHTARHSLSYTSISFQPSTHVTPVSSVECPKGILFVAENSLHLVEMVQSKRLNVQKFHLEGTPRKVLYHNESRMLLVMRTELNCGTCLSDICCVDPLSGSVLSSFRLELGETGKSMELIRVGSEQVLVVGTSLSSGPAIMPNGEAESAKGRLLVLCLDHVQNSDSGSMTFCSKVGSSSQRTSPFHEIVAYAPEQLSSSSLGSSPDDNSSDGIKLDENEVWQFRLVYATTWQGMVLAICPYTDHYFLASAGNAFYVCGFPSDHPLRVRRFAVGRTRFMVTSLTADSTRIAVGDCRDGILFYHYHEEARKFEQLYCDPSQRLVADCILMDTETAVVSDRKGSIAVLCTEYLEDNASHESNLILSCGYFMAEVAMSIQKGSYSYRLPADDVLQGGNTPKTNVDSMINTENTIIASTLLGSIIIFTPLSREEYELLEAVQARLVAHHLTAPVLGNDHNEFRSRENPVGVPKILDGDMLTQFLELTNIQQQMILSSSEPPPKTVKPSSKPFLPPHISVSQVVQLLERVHYALN >OIW12041 pep chromosome:LupAngTanjil_v1.0:LG05:9270526:9277259:-1 gene:TanjilG_24439 transcript:OIW12041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAILVSHSPFLLPFLAFFLSFNLANSEPFIGVNYGQVGNNLPPPSTTAKLLQSTAIEKVRLYGSDPAIIKALANTGKGIVIGAANGDVPALASDPNFAKSWVASNVVPYYPASNIILITVGNEVITSNDQNLMNQLVPAVQNVQNALDAVSLGGKVKVSTVHSMAVLRESEPPSVGKFHPEFDTVLKGLLSFNNATASPFAINPYPYFAFRDDPGRAENLEYCLFQPNSGRVDSNSNLKYMNMFDAQVDAVRSALDSLGFKNVEIVVAETGWPYKGDSNEVGASLENAKGYNGGLISHLRSMVGTPLMPGKSVDTYLFALYDEDLKPGPASERAFGLYNPDQSMIYDAGLSQQKQINMTNPVAAPTTPDRSKSPASQTPTVTSPATPKPDKASWCVPKAGVSNVNLQANLDYACGHSIDCSPIQPGGACFEPNTLLNHAAYAMNLFYQAAGQNPLTCDFLQTAMLSMNNPSYNNCVYAGGNA >OIW11424 pep chromosome:LupAngTanjil_v1.0:LG05:23677261:23684072:-1 gene:TanjilG_26790 transcript:OIW11424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGANSLPSESSHDLDEQISQLMQCKPLSEQQPVKSPVTICGDIHGQFHDLAELFRIGGKCPDTNYLFMGDYVDRGYYSVETVTLLVALKVRYHQRITILRGNHESRQITQVYGFYDECLRKYGSANVWKIFTDLFDFFPLTALVESEIFCLHGGLSPSIETLDNIRNFDRVQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDISEQFNHTNSLKLIARAHQLVMDGFNWAHEQKVVTIFSAPNYCYRCGNMASILEVDDCMNHTFIQFEPAPRRGEPDVTRRTPDYFL >OIW11880 pep chromosome:LupAngTanjil_v1.0:LG05:11771454:11773302:1 gene:TanjilG_25793 transcript:OIW11880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGTGSFGKRRNKTHTLCVRCGRRSFHLQKSRCSACAYPAARVRKYNWSEKAIRRKTTGTGRMRYLRHVPRRFKSGFREGTEAAPRKKGAAASA >OIW12009 pep chromosome:LupAngTanjil_v1.0:LG05:9896226:9896918:1 gene:TanjilG_16120 transcript:OIW12009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNPKRRLEKRSKVELEMGSDEIPDLTKKVPANVLSHILTFLPLDEAIRSGILSKKWKDLWRNTTHIELNEKKLIKPLSQLLISRKFVPTKDVTKGANRYALLVYRIMFHHYGDLPSFRILHLWKSLLLGEVQSWVEYVLKTREGVQKLSLECELDNGEMGEWFLFKDDIPKLNFSKGIFQSLGSLEMINYNINCSNAFVGCKNLKTLKLEKINLADRIINDILNNCVVL >OIW12095 pep chromosome:LupAngTanjil_v1.0:LG05:7767758:7773919:1 gene:TanjilG_06300 transcript:OIW12095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQNSVLFVLHPCWELWNLCLEASDCATSIEEKNEAQEEFIGQLFDPASGMLNEDTAEILWTTCWEDLIHLKKEFGDLDLCLLLESSCSSNEISSEIGPIAQQNIQKFINTCHPQLKGVFLHCLRKKKLPLHVSGEDKEFLSSRRKLGYILHQRISELPNGASKNDPESNKSKTKSKDSDHKKSVGTVVAITAVIAFVFAILLFLCCCGKGRVSQTDERPLLSLSMSDCSVASSSNNNAYQNQSSIKDENPGFQLSGDTSPHDMKSSVQENQAAGSASPSFELKLPPGRVGPTPGMAPLKPPPGRHVPLPSKPSDSATAAPPPPPPAPPQPPSGGPPPPAPPPPRGVGPPPPRPPPPRGPRPPPLPKGGVARPSSIDQKGARPLGGGQNVQNNDGGDSEGEADAPKAKLKPFFWDKVQANSDQAMVWNQIKAGSFQFNEEMMESLFGYAATAEKIKVGQNKKESTSHDQSRQYILLIDSKKSQNLSILLRALNVTQEEVCDALLEGNELPSEFLQTLLKMAPTQEEELKLRLFSGNLAQLGPADRFLKALVDIPFAFKRMEALLYMGILQEELKATMESFAVLEIACKELRSSRLFLKLLEAVLKTGNRMNDGTFRGGAQAFKLDTLLKLSDVKGVDGKTTLLHFVVQEIMRTEGIRAARMAKENRSFSSLKTDDLLEDVSYESEDLYRELGLEVVSRLSSELENVKKAAALDAETLTGTTARLGHGLVNAMDFVNNDLKNSEGDDRGFVETVKSFLESAEIDVTSLLEEEKKIMALVKSTGDYFHGNSGRDEGLRLFVIVHDFLIMVDKVCKEIRLTPKKPSAKHVKQETSSSRRSSSSETRPPPCDVRQRLFPAIVDRRRKDDSSSDDESP >OIW11579 pep chromosome:LupAngTanjil_v1.0:LG05:21839343:21840315:1 gene:TanjilG_26945 transcript:OIW11579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKSFAFVVLVVFALCISSALARNGRYQTAVLRGSDYGRINGSALDKFLVEGKVYCDPCQFEFESRLSKCLSGVKVILECRKADGNSTTFSQEGTTDANGFYALNVVGDHEDEICSVRTELNSHKHCNVPMKTSDSDRIVLTKHDGVSSSLRFVNPLGFKTEKINGECAKVYKELELDTLDN >OIW11743 pep chromosome:LupAngTanjil_v1.0:LG05:17348353:17350311:-1 gene:TanjilG_10945 transcript:OIW11743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLYSSLLSQPNLISLTSTPTTTSSNHTILSFKPITPTTLVFNLVPSLAFSATTPKRHRFLTPFAVAEETATVADVSSRKLYVGNIPRTVNDDELANIVQEHGAVEKTEVMYDKYSGRSRRFAFVTMKTAEDANAVIEKLNGTKIGGREVKVNITEKPLQTVDSPSVQSEESEFIDSPSKVYVGNLAKTVTSDILKNFFSEKGKVLSAKVSRVPGTSKSTGFGFVTFSSDEDVETAISSFNNSVSCWMFFLLICKLTYRDICATLMT >OIW12230 pep chromosome:LupAngTanjil_v1.0:LG05:2210369:2213448:1 gene:TanjilG_06019 transcript:OIW12230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENPLTNDYGRFFADDTDWYNDIVLGTLLPHFMWDPLPRFFQTWIRNYIGGVLLYFISGFAWCFYIYYFKRNVLIPKDAIPSRKAILLQISVAMKAMPWYSLLPTISEYLTEIGWTRSFPRLYHVGWLPYLSYLAIYAVFVEFGIYWMHRELHDIKPLYKHLHATHHIYNKQNTLSPFAGLAFHPLDGILQALPHSIALFIVPVHFTAHLALLFIEAIWTTNIHDCIHGKVWPVMGAGYHTIHHTTYRHNYGHYTIWMDWMFGTLRDPEEDEGKKL >OIW11359 pep chromosome:LupAngTanjil_v1.0:LG05:24271640:24272512:1 gene:TanjilG_19615 transcript:OIW11359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQVDIETLVSVCAGGSTDRKIACETLADDHQTDRPEPHPDSPPESVWLSRDEEYDWMDRNAVYERKESTKGNSSSVPNSTNLNPNPNAIKNSILQRFSLNFKSKAPIIGLPKVQKPSFVEAKNRRHHKTTSTTLFPTRSASVGKSVSSLKEPSSPKVSCMGRVRSKHDPNRRFSNSRRSTISDAVAEEKPVRTGKKPGFFKSFRAIFRSGRKSKPEKESGSGTKNCVNEIKITKPRDSVSNVNDALFAESNSRKSVSQCEPPGLGNINRFTSGRRSESWGVSETDIHVS >OIW11666 pep chromosome:LupAngTanjil_v1.0:LG05:20431533:20432200:-1 gene:TanjilG_10812 transcript:OIW11666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNYINQQAPVTAYPAVGQVNHAAPNVTAPPPMGYPTKDAPEGYPQKSVPLKTTSRGDGFWKGCCAGLCCCCALDCCL >OIW11836 pep chromosome:LupAngTanjil_v1.0:LG05:13313506:13323289:-1 gene:TanjilG_14648 transcript:OIW11836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYASHLLNHSRKLRNASKLLHHERAVLVRCFSSDVQSSVNTNRDMWKTRFHGYESSSKNGVSKQSPNFTKRNITMATMERGSISGSLFNRGISRSSQLQSRRCYASASDLPPHQEIGMPSLSPTMTEGNIARWLKKEGDKVSTGEVLCEVETDKATVELECMEEGYLAKIVHGDGSKEIKVGEVIAVTVEDEEDIAKFKDYHPSASESGDSTAKETSSPPPPKKEVDEEPSREPEPKVSKPSEPSSSGDRIFASPLARKLAEEKNVPLSSIKGTGSEGLIVKGDVEDYLGIILSSYLSSRAHYLETIASLLMLENSSVLSLLLALLYTSGVKEVSSPSKAKAATDASLDYTDIPVSQIRKITASRLLLSKQTIPHYYLTVDTCVDKLISLRTHLNSLQEGSGGARISVNDLVIKGQKSFRHPNSQSLPLAVHSPHSFQCCSWIHRVNHQRETAMPPGTGPSSEPNDFGPSNNKQAYASPVMAVNANLTFWLTGILYKGKNSSILKPNLPHLINQIGHLEFLVLLRALHPRILALAAALALRKVPQCNSSWTNDYIRQYHNVNVNVAVQTDHGLFVPVIRDADKKGLSAIGEEVKQLAKKAKENSLKPQDYEGGTFTVSNLGGPFGVKQFCAIINPPQSGILAVGSAEKRVVPGSGTEEFKYASFMAVTLSCDHRVIDGAIGAEWLKAFKGYIENPESMLL >OIW11945 pep chromosome:LupAngTanjil_v1.0:LG05:10264445:10267372:-1 gene:TanjilG_02152 transcript:OIW11945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSSSIMDNLFQRTLDDIIKSTRLTFLPEHAFISNAMDEIRKEIKSTDSHTKSTALQKLSYLSSLHGIDMSFASFHVVEVMSSSRFSHKKIGYHSASQSFNDSTPVLLLITNQLRKDLTCSNSFEVSLALQCLANVATLDLARDLTPEVFTLLSSSKVYVRKKAIGVVLRVFEKYPDAVRVCFKRLVENLESLDPPIVVAVVGVFCELASKDPRAYLPLAPEFYKILVDCKNNWVLIKVLKIFAKLAPLEPRLAKRIVEPVCEVMRRTEAKSLMFECVRTVVTSLSDYESAVKLAMEKIRELLVEQDPNLRYLGLQALSAVVGKHLWVVLENKEAVIKSLSDEDPNIKLESLRLVMAMVSDGNVEEICRVLVNYALKSDPEFCNEILGSILETCGKNVYEIIVDFDWYVSLLGEMSRIPNCQKGEEIENQLIDIGLRVRDARLQLVRVGRDLLIDPALLGNMYLHRILCAAAWVVGEYVEFASNPFQLMEALLQPRTNLLPPSIRAVYIQSAFKVLIFYLDNYLLQNGGVASSYSSNLAGGDSELVNGKKSTDATDLSKSERPNSDPDEGFNPRNIAESSEDFPADNNVDRSVHHGQTFTPTFLEKNNFTHESIVSLLDLIELVLGPQTANEDVEILERARNVVAFVNFVKVDILDSSVQNVDILEKKNAQVSAIIQLMCDAFSVELGLVSISAQGRVAVPDGLVLKENLDDLHSICGDIQLPSSSFGLGGHHVDTTFDDSSSNLVKSEESGPANESTSLLEHRKRHGLYYLASEKNDAVSDDYPPANDLELNSNINDEAENLARLTEQSLLLKKKPNQMKPRPVVVKLDDGDVAPILVKRPEPRADSLSGAVRDVLLGNDAKPSSSRSNPSDKSSGKAKEKKKLSTDLASEREEQLGDAEKPDLENRNSRSKHHSHNKERRRRSPGKTVEEGEEHDQKGKKKSSHCHGRHKTRQRANSPLNSQTPVIPDFLL >OIW11249 pep chromosome:LupAngTanjil_v1.0:LG05:26155840:26161621:1 gene:TanjilG_28340 transcript:OIW11249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKPSFHYLNSPLLLPLPSSHSFTSKLISIRFRRTAKISMSMSTSHDAVPHPPPENRVVVGFGMTTVDFLATVDGFPNPDDKVRTTSFKVQGGGNAGNAITCAARLGLKPKLISKVADDAQGMDILNELQADGVDTSFIVVSKGGSSTFSYVLIDNQTKTRTSIYTPGEPPMMPDDLSQSTLLSAFDGARLVYFDGMSTETALFVAQEAARNNIPILVEAESPREGLDELLGLADFAVCSARFPQAWTQAPSIPSALVSMLLRLPNIKFVIVTLGEDGCLMLERSADEDADQEERDAESFLEFLYKGKDDSLTVPTCISSVATKLKGKGIGTLCGRFFIGTAEKIPDSELVDTTGAGDAFIGAIIYAICANMAPEKMLPFAAQVAASKCRALGARTGLPYRTDPRLASFLH >OIW11907 pep chromosome:LupAngTanjil_v1.0:LG05:11281611:11293898:-1 gene:TanjilG_18180 transcript:OIW11907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FLLLQKSYETKQYKKGLKAADAILKRFPDHGETLSMKGLTLNCMDRKSEAYELVRQGLKNDLKSHVCWHVFGLLYRADREYREAIKCYRNALKIDPDNIEILRDLSLLQAQMRDLTGFVETRQKLLTLKPNHRMNWIGFTVAHHLNSDPSKAVEILEAYEGTLEDDNPPENELCEHGEMLLYKISLLEECGFLERALEELHKKESNIIDKVSYKEQEVSLLVKLGRLEEGETLYRALLSMNPDNYRYYEGLQKCVGLYSENGHFSPDEIDRLDSLYRTLGQQYKRSSAVKRIPLDFLQGDKFREAAGSYIRHLLTKGVPSLFSDLSSLYNHPGKADILEQLILDLEHSIKTSGQYPGRVEKEPPSTLLWTLFLLAQHYDKRGQYDLALSKVDEAIEHTPTVIDLYSVKSRILKHAGDSAAAAAFADEARCMDLADRYVNSECVKRMLQADQVALAEQTAVLFTKEGDQHTNLHDMQCMWYELASGESFFRQGDLGRALKKFLAVEKHYADITEDQFDFHSYCLRKMTLRTYVEMLKFQDRLHSHIYFHKAAAGAIRCYINLYDSPPKSTAEEDDDTSKLLPSQKKKLRQKQRKAEARAKKEAEEKNEELGATGVSKSGKRNVKPVDPDPHGEKLVQVEDPLLEATKYLKLLQKSSPDSLETHLLSFEIYSRKQKILLAFQAVKQLLRLDAEHPDTHRCLLKFFHKVGSINAPVTDSEKLIYSVLEAERPTISQLHDKSLFEANDSFLEKHKDSLTHRAAFAEILYILDSNRKSEAVEFIEGSTNNLVPRNGALGPIKEWKLIDCIAVHKLLGAVLVEPDAAARWKVRCAEYFPYSTYFEGNLSSASPNSVFNQLHKNSENESPNHSHDGQSVGSIKSNTNIAAFKDLTI >OIW11569 pep chromosome:LupAngTanjil_v1.0:LG05:21964353:21965339:1 gene:TanjilG_26935 transcript:OIW11569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLLLFSILICSCGASTTSDPITQVHSWHTNEPIIPIPPHVLSINEPVPVPSSIANEPVPVSLSIVNEPVPVPPSFANEPVPVPPSFANEPVPVPPSAANEPVPVPPSVANELIPVPPSAVNELIPVPPSAVNELIPVPPSAVNEPVPVPSSTTNEPVPVPPSAANEPVPVPPSAVNEPVPVPPSVANEPVPVPPSAANEPVPVPPSAANEPVPVPPSAVNELIPVPPSAVNEPVPVPPSAGNEPVPVPPSAANEPVPVPPSAANEPVPVPPSSTANEPVPVPHSVVNEPVPVPPSYVNEPVPIPPTHVNEPIIPSRPLLRSRKIMF >OIW12381 pep chromosome:LupAngTanjil_v1.0:LG05:296092:299973:1 gene:TanjilG_04130 transcript:OIW12381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFLDSMKLQQSLFNIMLKTLFPFLSLSARYSISLYKTSKFSHILALSDEDGYMNLFDTRRNLSSSTSFEDNAEKSKICEWVTHHNAVFDVCWIKEDTQILTASGDHTMKVWDVQEKKCIGVLMGHTGSVKSMSSHPTNSDIIVSGSRDGSFRFWDLRCNSTANRFGDPNIYTSTEVVRGAHISSQAKRVRKSKAASMSITSVLCLKDQVSIATAGAVDSVLKFWDTRNLKTFVTQTCPHPQSTEKKRLHGISSLSQDDSGLFLSASCMDNRIYLYNILQLEKGPLKYFYGSQIDTFFVKASISPDAGHLVSGSSDGKAYVWQVNKPQEKPLALKSHDGEVTVVDWCPSEIGKLATASDDFTVRIWSKNSYVCDRKFPYSIRRRVMAMPRTERKVLLSSEEMCLKSEHKAFLSNETLHQPITSDIPITPPKVHTSEDRKDQLSSSFDPTESSEKTPQSTLKSPSSVLSPPSSLKRTIRDYFLASS >OIW11966 pep chromosome:LupAngTanjil_v1.0:LG05:10534168:10535749:1 gene:TanjilG_02173 transcript:OIW11966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMELSSFVARKLEAQLDERMSSYRKLVSTNASIKADSAESDLETWIEQLLKQLQQVNSQMQAWVSSGGSEMVSHTLTRHQEILQDLTQEFYRLRSSLRAKQEHASLLEDFKEFDRSRLDLEEGGGSEQLALLKEHASISRSTGQMDSVISQAQATLGTLVFQRSTFGGINSKLSNMSSRLPTV >OIW11714 pep chromosome:LupAngTanjil_v1.0:LG05:18840448:18843589:-1 gene:TanjilG_12233 transcript:OIW11714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIGKTLDWNGIFAAIIPLYFAIGLGFASVRWWKFFTPEQCNGINRIVISTCHFLPVVLIYFIIFQHYP >OIW11769 pep chromosome:LupAngTanjil_v1.0:LG05:16831747:16833039:-1 gene:TanjilG_14309 transcript:OIW11769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSSYSNTHLRLLSFTKLISSHINHSRHDQALSVFHHIHSSLAISLDPHVFSLILKSCTTINNPQLGISIHAHVTKASFLSNPFVASALVDLYGKCVSLSFARRLFDEIPNRNVVVWNAMISLYAHSDEVDTALQLFRVMDVVPNESTFNSIIAGLVGLNDGPVKAIAFYRKMVELGLRPHLITLLALLPASVGVAALNLVKEIHGYAIRSGIDPHPQLSSGLVEAYGRCGCLKNSDTVFWRMREKDVVAWSSLISAYALHGEARTALEVFEKMQLAQVRPDGITFLAVLKACSHAGLADEALCYFTRMHKDYGVKPDSDHYSCLVDVLSRAGRVHEAYKVIQEMPVKVTAKAWGALLGACRNFGELNLAEIAARALSEVEPDNAANYVLLAKMYAGVGRQEDAQNVVREMKERGVKPTAGSSWVVYSQL >OIW12461 pep chromosome:LupAngTanjil_v1.0:LG05:1268392:1270726:1 gene:TanjilG_04210 transcript:OIW12461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASPSVLTVSQDGTGHYKTVQEAINAVPLGNTRRTIIRVSPGTYKQPLYVAKTKNFITLSGVCSEDTVLTWNNTATKIKHHQGDKVIGTGTFGCGSVIVEGSDFIAENITFENSSPEGSGQAVALRVTADRCAFYNCRFLGWQDTVYLHYGKQYFKDCYIEGSVDFIFGNSTALLEHCHIHCKSAGFITAHSRKSQQETTAYVFLRCVITGNGGSSYSYLGRPWGSFGRVVFAFTYIDQCIKLVGWNNWGKTENEKSACFYEYRCYGPGWCPSKRVVWARELVYKEAEQFLMHRFIDPEPQRPWLAQKMALRIPYSA >OIW11879 pep chromosome:LupAngTanjil_v1.0:LG05:11761823:11766972:-1 gene:TanjilG_25792 transcript:OIW11879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDASEGNPKFSAFPFKPYSIQFDFMNALYHSLNHGGVSMLESPTGTGKTMSVICSALQWVVDRRRQQEAGFVWGKDQVNDGGLGSDDEPDWMRNFDVNKDDQVKEEKIKNKKDKFGYNSKKKNNKNSCKESLTAKGCESLEKKIETVDVSDKEFLLEEYESEDEDDPCCVSSKRRATKSILTSSSEDDSDEEEVEEKKFKVYFCSRTHSQLSQFIKELRKTVFASEMDVVSLGSRKNLCINEEVIALGNSTRVNERCLELQQKKKNKASKIKNLKVGAGVHRKKASSGCPMLRKRSLQREFRNEASQRGPLDIEDLASLGRTLGTCPYYGSRSMVLKADLVVLPYQSLLSKSSREALGLNLKSNIVIIDEAHNLADSLISMYDSKITLSQLENAHCHLERYFMRFRNLLGPANRRYIQTLMVLTQAFLRVLHSEKDGNLVGSCHYIEQASEETRASDFTMAINDFIFELNVDNINLFKLLKYIKESNVMHKVNGYGEKLSTTEKMSAHNKTRENGEEGSCQSAFQALANMLQSLTNNDSDGRIIISRSRPTSLTKHQGYIKYVMLSGEKLFSEIVDQAHAVLLVGGTLQPIEETRERLFPWLLPNQLHFFSCGHIVPPDSIMPIAVSRGPSGRSFDFSYSSRSSPDMMRELGLLLCNLVNVVPEGIVVFFPSFDYEGKVYENWKSSGILERITKKKRVFREPRNNMDVESVLKEYKDVICTLSSTNPGVNQASHTGAILLAVVGAKLSEGINLSDGMGRCIIMVGLPYASPSDIELLERIKHIEGFRNSKSTENPRLSTCNDIYHGDVRGGFDILRSCSNRGKEYYENLCMKAVNQSIGRAIRHINDYAAILLVDTRYASDSSKRSFSHPVTKLPQWIKDCLVSSTNNYGEVHRLVHQFFKSKKTC >OIW11313 pep chromosome:LupAngTanjil_v1.0:LG05:24734114:24735580:-1 gene:TanjilG_20462 transcript:OIW11313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQTPQIHFVLFPFIAQGHMIPMVDIAKILVQQNVVVTLVTTPHNATRFKSTLASYIESGFQLRLVEVPFPFEEAGLPKGCENLDMLPSLGTSLNLFTALSFVRKPVETLFEELNPPPSCIISDICLPYTIHIAKKFNIPRISFAGVSCFCQLCLHNLRVHNVRERIKDENEYFVLPGIADKIEMTLAQTPAPMDETWKEFGDEVLAAEIDTYGTIMNTFEELEPAYAREYKKVRGDKVWCIGPVSLSNKGHLEKAQRGKNGSIDEWQHQKWLDSQKPGSVIYACFGSLCNLTPPQFIELGLALEASKRPFIWVIRESSTSEALEKWIKEDGFEERTNGVGLVIKGWAPQLLILAHPSIGGFITHCGWNSTLEAISAGVPMITWPLFADQFLNQNLVVHVLKVGVKVGVETPVTWGNEDKIGVLVKKEDVENAIKELMDETSEREERRERVREFAKMAKNAVEKGGSSHSNVTLLIQDIFQNSKKDI >OIW12438 pep chromosome:LupAngTanjil_v1.0:LG05:966882:968671:1 gene:TanjilG_04187 transcript:OIW12438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQALGFYQVDQSNVAIKEHFGKFDDVLEPGCHCLPWFFGYKIAGGLSLRVQQLNVKCETKTKDNVFVTVVASVQYRAVAEKASDAFYRLTNTRGQIQSYVFDVIRASVPKLELDSVFEQKNDIAKSVENELEKAMSTYGYEIVQTLIIDIEPDVNVKKAMNEINAAARMRLAANEKAEADKILQIKKAEGEAESKYLSGLGIARQRQAIVDGLRDSVLAFSSNVPGTSAKDIMDMVLVTQYFDTMKEIGASSKSSSVFIPHGPGVVRDVAAQIRDGLLQGNASQN >OIW11562 pep chromosome:LupAngTanjil_v1.0:LG05:22067782:22072446:1 gene:TanjilG_26928 transcript:OIW11562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIWPVESRYAVNLENMLFCLMEGHTNDAHQLAVCLEQENVDIDPVSKMIMGLTFYELWREKQSDLQENSHMEGTSFSNEVGQSDCHNTVKSRLAESQDQCDSEASVMNGKQISKGVGFNEVTRVSMEVDVNHEREKPLHTFQRGLYLDSEEHNRIEDPFSNYGGLTQDTLYALGRLDLWLLPLRFPDENSLVKHRTNPHEYYISALKYLELALSSSTPALAALLPLTQLVRGGNVLSKLPPIVASILLLIEGQADDALDLLENQCRNSASVLPIRLRAALVENFDRNNSLLLVSCFEDILKKDPTCSDSLSKLIKMHQNGEYSLHSLLEMIASHLDATYAEYNTWKVFCFCFFKLSLCEEECISACSIKNGGNKQHQISSKTPIIFTQGKSGKSWRLRCRWWLTRHFSNSKLDSDIQKDNGLRLLVSDHEVTDSNPKNGLSTGGVRLRSSTLPIFIK >OIW12075 pep chromosome:LupAngTanjil_v1.0:LG05:8381078:8393449:-1 gene:TanjilG_15315 transcript:OIW12075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVGLPYIREPRQLCEECISSKFFKDRHYLDKYWGDYFSVGCGAGNTIFPVIASYPDAFIYACDFSPQAIELVKTNEDFKESHVNAFVSDLTADDLCKEILPSSVDIVTMPNGYVLLRDYAFGDFAQEKFSGKGQKISDNFYVRGDGTRAYYFSNEFLTNLFKENGFDVHKLDVCCKQVENRSRELVMNRRWVQAVFRVSDSSNCSSSKEAETNHLESDNNDEEIKQNSLNGGLIDSAIDLSEGVAFNMFGISPSSQYEGQPVTNIAEAILPLFATAKELIASFGNGNDNNVPALILCHIFRCVDEPTLLSAAAQLGFRLVDKWAAEVSANLPENIVRNWFLDNHLEDDLPSTALNILLFHLE >OIW12098 pep chromosome:LupAngTanjil_v1.0:LG05:7869953:7872797:1 gene:TanjilG_06303 transcript:OIW12098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLSNFLRRTTSIPQNSTLNFSSPQIKLSPSPFETQIPNFHKPTFHYNSLGFLRFSGERSWCSKSAEKLQSSSRCWNCDAFPTASESAAPFLFCESCQCIQPVDYSIDYFQIFGLEKKYDIEDKNLEGKYKDWQKKLHPDLVHSKSQKERDFAAEQSARVIDAYRTLRTPLSRGIYMLKLDGVEVDEEKTISDPDLLAEIMEIREEVEEATNSETLNYILSQMQEKMKNWSTTFADAFQSQNFEEAKTSIQRMTYYSRVMEEVVKKL >OIW11947 pep chromosome:LupAngTanjil_v1.0:LG05:10277404:10278689:-1 gene:TanjilG_02154 transcript:OIW11947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYTSRKKIHKDKDAEPTEFEESVGQALFDLENTNSDLKSDLKDLYINSALQVDVSGNRKAVVIHVPYRLRKGFRKIHVKLVRELEKKFSGKDVVVIATRRIVRPPKKGSAVQRPRSRTLTAVHEAILEDVVLPAEIVGKRTRYRLDGSKITKVFLDPKERNNTEYKLETFSAVYRKLSGKDVVFEYPITEA >OIW11477 pep chromosome:LupAngTanjil_v1.0:LG05:23167271:23183687:1 gene:TanjilG_26843 transcript:OIW11477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSKHAHLYEYINFLSFKIFITILVLLNFIHKSNTLCNNSTFTSITPPYEILSSLQTLPLEGYFSLRNNENTAKDFGNIYHFPPIAVLHPKTVSDISQTIKHIYETGSSSELKVAARGHGHSLQGQSQVHGGLVINMESLKGPEMRVYGGESSPYVDVSGGELWINILHGSLQHGLAPKSWTDYLHLSVGGTLSNGGISGQAFRHGPQINNIFQLQVVTGKGEVVTCSQHQNADLFHGVLGGLGQFGIITRATISLEPAPKMVKWIRVLYSKFSMFAKDQEYLISLENTFDYIEGFVIINRSNILNNWSSSFSPKYPIQASQFNSDGRILYCLEMAKYFNPDEAEVMNQSLMNFQLPEQDDDFDYYGNSSQDESRDSQGRTDANHSNGNMHGREVNLLKKRRWSQNSDDEETNTFNRTRMTEERYRSMLGEHVLQYKRRVKDASSSPAQNQVSVPLLKSNAGLKARKSGSERKGGLHAAETTSEWMNDSNSKKVGNHRDADFVQRYGTDRTNYEPASLDIGDGIAYKIPPVYDKLAGMLNLPAFTDIHVEDSYLKGTLDLGSLAEMMTSGKRFGNRNRAGMGETICQYESLQARLKVMSSSNLAHKFSLKVSDIDLDSCIPEGAAGSIKRSILSEGGVLQVYYVKVLEKGDTYEIIERSLPKKQKIKKDPALIEKEEMERIGKIWVNIVKIKISRSLKWPRTAGMRTRKLARDMLLFWKRIDKEMAEVKKREEKEAAEALRREQELREARRQQQRLNFLIQQTELYSHFMQNKSNLLSSEGLPMVDENTNDHDALVDSSNAGPNEEEDPEEAELKKEALKAAQEAVSKQRSLTNAFDTECLRLRQVDETDTPPPEVAGASNIDLQTPSTMPVASTVQTPELFKGCLKEYQLKGLQWLVNCYEQGLNGILADEMGLGKTIQAMVFLAHLAEEKNIWGPFLVVAPASVLNNWNEELERFCPGLRRLPYWGGISERTVLRKSINPKDLYRREAKFHILITSYQLLVTDEKYFRRVKWQYMVLDEAQAIKSANSIRWKTLLSFNCRNRLLLTGTPVQNNMAELWALLHFIMPTLFDSHEQFNEWFSRGIENHAEHGGTLNEHQLNRLHSILKPFMLRRVKKDVVSELTSKTEVTVHCKLSSRQQAFYQAIKNKISLAELFDSNRGQLNEKRILNLMNIVIQLRKVCNHPELFERSEGSTYLYFGNIPNSLPPPPFGELEDVYYSGGHNPITYKIPKLVYQEILQSSEALSSAVGRGVSKESFQKHFNIFTPEAVYRSVFSDDMYVKSGNFGFTHLMDLSPQEFTFLATGSFMERLLFSMMRWEQNLLDEVVEFLTETIDDPECSHLEQGKVRTITRMLLTPPRSEIKLLQRKISTGPRYAPFEALVVSHRDRLLSNARLLHSAYTYIPRSRAPPIGAHCPDRNFYYKMIEELHDPWVKRLFVGFARTSDCNGPRMPDSPPHHLIEEIDSKLPVSHPALQLTHSIFGSSPAMRNFDPAKLLTDSGKLQTLDILLKRLRAGNHRILLFAQMTKMLNILEDYMNYRKYKYFRLDGSSTIQDRRDMVRDFQHRSDIFVFLLSTRAGGLGINLTAADTVIFYESDWNPTLDLQAMDRAHRLGQTKDVTVYRLICKDTVEEKILLRASQKSTVQNLVMTGGSVGGDLLAPEDVVSLLLDDVQLQKKLKEIPLQVKDRQKKKQPTKGIWVNEDGDASLEDLTNSVPQGAADLDLSMDPEGSKSSNNNKKRKGASDKQTSRSKNSQKMNELSSITPMDGELDDTLLTSDPVSRKPKRSKRA >OIW11222 pep chromosome:LupAngTanjil_v1.0:LG05:25970181:25971122:1 gene:TanjilG_28313 transcript:OIW11222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIDINFPPSEENNNEELHLSLFTTSSHPQPNIQLEEQPQPQPQPQPKRYKPNPFDFRYIPLDTPNPSSLLVEYDFLKLPQPQPYTPSLQENHSLVPPLQQNNDMHEKELLPSTCNLSSQKPNPKDQDQEKGPSGLRPRKKLVRARRKIKTDTIALPYPWATSKRATVQSLEYLLSNNIVSISGVVKCKSCNEKYEIQFDLKEKFKEVATFIIEERDNMHDRAPKSWDKCVLPTCNRCRKENSLEPVLNNVKKKTINWLFLLLGQMIGCCNINYLKYFCKHTKNHRTGAKDRLIYVTYLVLCKQLCPNGPFDP >OIW12021 pep chromosome:LupAngTanjil_v1.0:LG05:9742511:9746839:1 gene:TanjilG_16132 transcript:OIW12021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRRWPWRRKSTDKAVLDKVATELDSVASIQRNQETYKKPNYVQISMESYTYLTGLEDQVQTYEEKVQELEDEITELKEKLSAANSEINTKETLVKQHAKVAEEAVSGWEKAEAEALALKNHLESTTLSKLTTEDHSAQLDGALKECMLQIRNLKEEHEQKIQEVALAKTKQFDKIKGELEARLGNFEQELLRSAAENAALSRSLQERSNMLMRVNEEKSRAEAKIEHLKSNIESCEREINSLKYELHLISKELEIRNEEKNMSMRSAEAANKQHAEGVKKIAKLEAECQRLRGLVRKKLPGPAALAQMKLEVENLGREYGDTRLRKSPVKSANPHLSPFPEFSLENVQKFTKDNEFLTERLYAVEEETKMLKEALAKRNSELQASRSMCAKTLGKLQILEAQVSSNQQKGSPKYMHLTHESIHSRSASYAPSLISMPEDGNDDAVSCAESWSTALISDLSQFPKEKSALDLSKSEDAKKLELMDDFLEVEKLARLSNDSDGDASVPVSSNNKTSEIVINGVSEVSNGKDDPSEKKSDSNSLPSQVSSGSELSAPDHQPDVDGSSQAVLRSKILSVFESIAKDADIGKILEEVKHVLEDAHDTSIKHSVAVIPQDVKPCDTTCDKQDNLEDAGLNFAKELISSEQPAEYLQLTSDLEAAVSHIHNFVCFLGREAMAVHDISSNGNGISKKMEEFSVTFNKVITKNTSLQQFVLDLSYVLAKASEFRFNILGYKGTETETNSPDCIDKIALPENKLAQNSSSGERYQNGHSHIRNPCSDPEIPGDGNLISDCKSNATSQKFSIEEFEELKLEKEKAVEDLSKCAEKLEMTKSELLVTEQLLTDVKSELASAQRSNSLAETQLKCMAESYRSLETRALETETELNRLRVETETLENQLTHEKRAREAALTKHKELEDQLQRYKSSASDSDHKTKQERDVAAATEKLAECQETIYLLGKQLKALHPQTEPMGSLYGEKVPMIEGFTETEPNSNSPNLQELDQVEMGSAASVFVQRPGVESPLHFINALFSPDNEPNFRAISPVQHPKHRYTMSASSSTSSTPTPEKHARGFSRFFSKAKAKAGH >OIW12077 pep chromosome:LupAngTanjil_v1.0:LG05:8439666:8478858:-1 gene:TanjilG_15317 transcript:OIW12077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILDNGSKVWVQDKDLAWVAAEVIDSSSNRIINLVTVSGKKVVAPPEDLLPRDVDEDEHGGVEDMIKLTYLNEPGVLYNLRRRYELNDIYTYTGSILIAVNPFTKIPHLYDTHMMEQYKGAPLGELSPHVFAVTDASYRAMMNEGKSQSILVSGESGAGKTETTKLIMQYLTYVGGRAAGDDRTVEQQVLESNPLLEAFGNARTVRNDNSSRFGKFVEIQFDSNGRISGAAIRTYLLERSRVVQITDLERNYHCFYQLCASERDAEKYKLAHPSHFHYLNKSKIFELDGVSNAEQYVNTRRAMDVVGISHEDQEAIFRVLAAILHLGNIEFSPGKEHDSSVIKDEKSRFHMQMAANLFMCDVDLLLTTLCTRSIKTREGSIVKALDCNAAVAGRDALAKTVYARLFDWLVDKINRSVGQDINSQMQIGVLDIYGFECFKNNRQVAVNCFSVLEFLKLCFEQFCINFANEKLQQHFNEHVFKMEQEEYGKEEINWSYIEFVDNQDVLDLIEKKPIGIIALLDEACMFPKSTHESFSTKLFQHFRSHPRLEKEKFSQTDFSMSHYAGKVTYHTDTFLYKNRDYVVVEHCNLLSPSKCPFVSGLFPLLAEESSRSSFQFSSVASRFKQQLQALMETLKSTEPHYIRCVKPNSLNQPQMFVNASVIHQLRCGGVLEAVRISLAGYPTRRTYSEFINRYGVIALEFMDGSHDDKGATEKILRKLKLENFQLGRSKVFLRAGQIGILDSKRAEILDYAAKRIQHCLRTFVARRYFISVKAAAVSLQTCCRGCIARKMYAAIRETAATISIQKYIRMWLMRQAYLKLYSPTIIIQSHVRGFTARQRLLHGREHKAATFIQACWRMSQVRSSFHQYQASIVAVQCLWRCRQARRELRRRKQEANESGALRLAKSKLERQLEELTWRLQLEKKIRVSNEESKQAEISRLQKVLEALNVELDMAKLATTNECNKNAVLQNQLESSVKQKIALERELVAREEVQKENALLKGSLDAFEKKHAALELELTNAQKGHNETTEKLREFEQKCSQLERNVISLEGKVLSLEDENHALGQKAFSTPPKSSRTGLAKSCSEKHSSAIASCTERKPSFESPTPTKHIPPFALGMSKSHRSKLTTERNQDNYEFLSRCIKENLGFKNGKPLAARIIYKSLLHWHAFESERTTIFDYIIEGINDILKRNIRSNGFLTTATQRHTGSSGFTSRTGQGLKSPLKSLGYDDGMSHVEARYPAILFKQQLTACVEKIFGFIRDNLKNELSPLLGSCIQVPSFFIRKLVTQVYSFINITLFNSLLLRRECCTFSNGEYVKSGLAELEKWIANAKEEYAGTSWHELNYIRQAVGFLVIHQKRKKSLEEIRQDLCPVVGEMRELVGKDNQSLASNSFLLDDDLSIPFSAEDIDIAIPAIDHDDIDLPPFLSEYPCAQFLISHQK >OIW12293 pep chromosome:LupAngTanjil_v1.0:LG05:3008600:3015594:-1 gene:TanjilG_06082 transcript:OIW12293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRVAARRLSSSSSQWRAHQSSAYAFHSQNPIDDNRSNSPSSIQHSLSVSHFRGFASESLIHTKENSIIPDIPATIAAIKNPSSKIVYDEHNHERFPPGDPSKRAFAYFVLTGGRFVYASLVRLLVLKFVLSMSASKDVLAMASLEVDLSSIEPGSTVTVKWRGKPVFIRRRTEDDISVANSVDVGSLRDPQPDSDRVKNPEWLIVVGVCTHLGCIPLPNAGDFGGWFCPCHGSHYDVSGRIRKGPAPYNLEVPTYSFLEENKLLIG >OIW11323 pep chromosome:LupAngTanjil_v1.0:LG05:24663021:24669582:1 gene:TanjilG_20472 transcript:OIW11323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFVTKIKWVVLSVMVLSVGSIIIHLSLAKFWAVNIGQYKVLPSLPQDLRPYVKNKKLWGSVKSLEALQPYAKARIPKEQSNGFIYAKVFGGFAKTRSSICDLVAISRLLNATLVIPEIQESTPSKGTSLKFKSFSYVYNEEEFIAYLKNDVIIAKTLPESLMERRERNEFPTFKPKSSASPNFYIKEILPKLKKSKVIELIIANGGALQSILPSNMAEIQRLRCRIAFHALQFRPEIQMLGRQMVNKLRALGQPFLAYHPGLLRDTLAYNGCAELFQDVHTELIQHRRAQMIKEGILKEELIVDSHLQRDKGLCPLMPEEVGILLRVMGYSSKTIIYLAGSETFGGQRILIPLRAMFTNTVDRTSLCSEKELSDLLGPEIPLPQNIFRPPPSKSEEELKEEWKRAGPRPRPLPPPPERPIYQHEKEGWYGWITETPTEPDPSPMDLRMQAHRLLWDALDYIVSLEADAFIPGFNNDNNGWPDFSSLVMGHRLYETASFRTYRPDRKKVVELFNITRENLYHPKHNWTTLVQEHLNKSLSEEGLIRQSLLSKPAIFLSHPLPECSCRIASADVASNPVRGKDGRFLYGDEDQCPKWIQVGSLAKEGVKSEEDSQPDYESNDFVNESNKDGAKSDQTPLWDQQDEEMDPND >OIW11987 pep chromosome:LupAngTanjil_v1.0:LG05:10808514:10811763:1 gene:TanjilG_02194 transcript:OIW11987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKVCVSGGGGFVASWLIKLLLSKGYIVHATIRQPGNEKYEHLLKLERASENLTIFKADLLNHESLYSAIVGCNAVFHVASPVPSTTVPNPEACICYINNMQFLCFSYSQFILLNSVEVIEPAVKGTKNILEACLEAKVERVVFVSSIAAIVMSPNLPNDKVIDESCWSDKEYCRKTENWYCFSKTEAEEQALDFAKRTGLSVVSICPSLILGPILQSTTVNASSLVLLNILKGCESLENKHRWIVDVRDLADAILLAYENLAAEGRYLCTSHPIKTKDLVEKLKSIYPNNNYPTNFIEVDDYTRLSSEKLQKLGWKYRPLEETLIDSVESYIEAGILESK >OIW11706 pep chromosome:LupAngTanjil_v1.0:LG05:19108160:19111373:1 gene:TanjilG_12225 transcript:OIW11706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNLLVKSKRSNHQTSTDGKGRDGRITSTISTSNVSSLFPSPPPRPPPQLPQPQAQYFSKKPSFGTNNLGQSSAVINKKQHVKKYTLIPDNFTTTLEQVTTALRNEGLESSNLIFGIDFTKSNEWSGRVSFDKRSLHAIGDTPNPYEKAISIIGKTLAPFDDDNLIPCFGFGDVTTHDQEVFSFHSDHSPCHGFEEVLACYQKIVPYLKLSGPTSYAPVIEAAIDIVDKNHGQFHVLVIIADGQVTRSVNIRDGEISPQEEKTYKAIVDASAYPLAIVLVGVGDGPWQDMKKFDDKIQARDFDNFQVEQK >OIW11996 pep chromosome:LupAngTanjil_v1.0:LG05:10874487:10876065:-1 gene:TanjilG_02203 transcript:OIW11996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKMSFIGGVMTILMVLQCTEAVTVHVVGDGLGWTVPEDISAYQTWASINNFALGDILSFNFETNRHDVAEVPKGSYESCTSNNTIGTIITTGPTNITLNRSGEHFYICTIGQHCINGQKLAINVSATPSTGALPPSTGALPPSTTTTPPPPFSAASGSALASVVLLLFSLFLGVAF >OIW11561 pep chromosome:LupAngTanjil_v1.0:LG05:22075924:22078560:-1 gene:TanjilG_26927 transcript:OIW11561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQTTDSEMDQQNQGYFHSEPCIVLRGSASSSHPNIRTMVSASGNTTNVASYYLQDAYDNTRGYGLAQYNGIQPQHNLDMGGAPAANIYYSGMNPSSSTGVFTPLALNHQASDQLPGSSAFAVSGSSSDNFGRSSAFMDDVRAPYKRKVAEGIRGNHQYFNAPASSSVAPPNARHDGVATMDNASFSSHMPSLVEVGPHGSAWNRSSEPIMVHDHNHLIRGNYLGQHFLPAPPPWFEQQLNNDGHTTAWNQPLPMPYMQAPNVNGSSLENASMGLQRYHDTSSNRNGLRFPHPSPANHPHHNYHHPALPMQGVRGHNIHFHPPVTAASFRVPTNPSRSAMIPAQTGFEMVPRHVGPAPSAGLRIYRPHRAIMPDATLGHRNLPSMGFLQVDDVALIDEVGNLVDHHRDMRLDIEDMSYEASTQDLLALGERIGKVSTGLSEETITAQLKTKTYLAPATAINLEELPSDNQESDSCIICQDEYKDQDKIGVLRCEHEYHADCLKKWLLVKNVCPICKSEA >OIW11588 pep chromosome:LupAngTanjil_v1.0:LG05:21743776:21746585:-1 gene:TanjilG_15282 transcript:OIW11588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEKKTEALAQVVKDINVAGDKYRSISKEEIENTHWRHGGPPIFDAVNKLFEEGQTKVWPKGSLEEAVQNAVKLWEMELSHKTRLQDFKTISHEKFKLIVNGRDGLSGEETVKIGSYNALLKSSLPKEFQYYKSEEETFESSHDAFRAALPRGFAWEVITVYSGPPVITYKFRHWGYFEGPFKGHAPTGEMLQFYGIGVMKVDESMRAEDVEIYYDPGELFSGLLKGKSLSDNKVEKQGAGDDDGSEHTHSCPFSK >OIW12353 pep chromosome:LupAngTanjil_v1.0:LG05:1617878:1619320:-1 gene:TanjilG_32469 transcript:OIW12353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKICCIGAGYVGGPTMAVIALKCPSIEVAVVDISISRIAAWNSDQLPIYEPGLDDVVKQCRGKNLFFSTDVEKHVFEADIVFVSVNTPTKTQGLGAGKAADLTYWESAARMIADVSKSNKIVVEKSTVPVKTAEAIEKILTHNSKGIKFQILSNPEFLAEGTAIKDLFNPDRVLIGGRETPEGEKAIQSLKDVYAQWVPDERILTTNLWSAELSKLAANAFLAQRISSVNAMSALCEATGADVSQVSFAVGTDTRIGPKFLNASVGFGGSCFQKDILNLVYICECNGLPEVAEYWKQVIKINDYQKSRFVNRVVSSMFNTVATKKIAILGFAFKKDTGDTRETPAIDVCKGLLGDKAFLSIYDPQVTEDQIQRDLWMNKFDWDHPIHLQPASPTTDKKVSVVWDAYEAAKDADGVCILTEWDEFKNLDYQRIFDNMRKPAFVFDGRNVVNADKLREIGFIVYSIGKPLDAWLKDMPAVA >OIW12467 pep chromosome:LupAngTanjil_v1.0:LG05:1314869:1318601:1 gene:TanjilG_04216 transcript:OIW12467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGCVSVPTHPMNKPRKFHRRIIRRRRKILNPVLDGSKNRTSDAEACVTDYSVSEFVHMKLEDGSTSEVSNSTFHVTQLEWHHSKYDANVMSRNEAYFDSVSILESESDDDFTSVHGDGFPLVSNTNGNISNGQLLQYERSSCQSKRHMYRPIAGHIIPCQKGEKPSSGCWSEISSSTFKLRGENYFKDKRKFPAPNYSPYVPIGVDQFVCSKKIHHIAQYLELPNVKANGKLPQLLIVNIQLPTYPAAMFNGDADGEGMSLVLYFKVSETVDEHISTQFHESIKKFVDDETEKVKGFAKESSVPFRERLKIMVGLVNPEDMNLSSTEKKLVNAYNEKPVLSRPQHSFYKGPNYLEIDLDVHRFSYISRKGLDAFRDRLKDGILDLGLTIQAQKQEELPEQVLCCLRLNKIEFGDNGQVPRLKTLDGE >OIW11314 pep chromosome:LupAngTanjil_v1.0:LG05:24725193:24728718:1 gene:TanjilG_20463 transcript:OIW11314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSAMTIKDDTAPEIQYQKGVKQLYENGEVLQRVPKKYIFPPSERSSTNKEEPNVAMQNFQLPIIDFADLIGPNRSHVLQSLANACEKYGFFQVVNHSIADEVVRSMLDVNERFFDLPLEERAKYMTTDMRAPVRYGTSFSQTKDTVFCWRDFLKLLSHPLSDFIPLWPSSPVDFREVAATYAEETKHLFLTIMEAILESLGIKESNKEEETEGKDNILKDFENCSHMMVANFYPPCPEPNLTLGMPPHSDYGFLTLLLQDEVEGLQIQFQGKWVTIQPIPNAFIVNVGDHLEIFSNGKYKSVLHRVLVNEMKSRVSVTSLHSLPFNCSIRPSPKLINEENPKR >OIW12445 pep chromosome:LupAngTanjil_v1.0:LG05:1054559:1062473:1 gene:TanjilG_04194 transcript:OIW12445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAKWRALQHRHRYTYNALVFPPSFLNSLPQNDTVSHFHSTFLHFTTLTSTYSQLRHAKTLASSFSQLLTEPRSEPEIREASRIYLELLFLENSTPLHRTLISPLTKTQSWKDVIGETFRSLCEEYGGGGGGGGEGKKTRFAVSRAVLSVLGLPKLGYLVDVVENCAVVVAWDVVVGLSDVVSETDRFVRPSPIVMEQCQEALSCLYYLLQKFPNKFKDLSDFEENCCSFVEGVVGGGGYLSVMEGIVTVILRILSSSAFSRDCFVAAGVALCAALQVCLSSDELGSVLIEGIFNLRASNSDDVDCVNSDFRNVVVKIPCKDDVYLGICNLSVLSRICLIRGILTAVPRQLLNTHFIAVDGGEVGNDGRGTIRTILYDGILLELSKYCENPTDSHFNFHALTVMQICLQQIKTSILSKLTDLSGDYDPIPEEMGMRILRIIWNNLEDPLNQTVKQVHLIFDLFLDIQSSLRWSEGDDKINNFLLKIGADLLSMGSRCKGRYVPLALLTKRLGAKKMLNMSPNLLFETIQAYVDDDVCCAVTSFLKCFLEHLRDEHWLTDGIEGGYTLYRRQCLPPILYGLASGFSKLRSNLNTYALPVLLEVDVDSIFHMLSFISVGPNTDESGVEYPEIDCANMELNLEQRIAILVSLLKVSRSLALVEGDINWCQHSAASEEEPQLGTESRALVCIKGINVEIFVQWLVNALTHVDESLRLDAAETLFLNPKTASLPSHLELTLMKEAVPLNMRCCSTAFQMKWSSLFRKFFARVRTALERQFKQGSWNPLEHNKDNRVYPSNGNNEMTTKRADDLFHFMRWLSCFLFFSCYPSAPYKRKIMAMDLILIMINVWSIKPSISEQSDSSLSEIHLYPYSKGMTSPDSTLLLVGSIVDSWDRLRESSFRILLHFPTPLPGISGEDMLKKVIAWAMKLVCSPRVRESDAGALTLRLIFRKYVLEQGWLIEDPFNVVNLSSKSELVNEVNQSSNLRIPVILYMKSMIDWLDVAVKDGEEDLSKACKNSFVHGVLLALRYAFEELDWDSDVPLSTISEMRYLLERLLELVMRITSLALWVVSANALHLPEDMDDMDDDDNLLSEIPDHEHMSSSEHENSNSKPSHDVKASEQIVMVGCWLAMKEVSLLLGTIIRKVPLPSNACSDLSKLEGSSVETADLSSNSVLDLEQLETIGNHFLEVLLKMKHNGAIDKTRAGFTALCNRLLCSNDPRLCRLTETWMEQLMQRTVAKGQTVDDLLRRSAGIPAAFIALFLSEPEGTPKKLLPRALRWLIDVGNGSVLNQVETDSLNGDPCKLNGSRKGNNSAQEAERNASQLSSKIRDDGVIPTVHAFNALRAAFNDSNLATDTSGFSAEALVLAVRSFSSPYWEIRNSACLAYTALVRRMVGFLNVHKRESARRALTGLEFFHRYPSLHSFLFNELEVATKFLGPESSGDLESIQGNNLHPSLYPILILLSRLKPSSIAGEAGDELDPFLFMPWIRRCSTQSNLRVRVLASRALTSLVSNEKLSSVLLNIASELPCVEDLINSAALPNGLGTTRSSHSISFNLIHGILLQLSSLLDINCRDLAENSKKDNIIGELIQTLSPRSWIARPTRCPCPIINNTFLVVLDQMLNIARTCQVTNHFYPIRNLLLELSAECLDLESYGTSYYDPTIAELRKQAAISYFGCLFQASENEEEVFHLPLKHSPPSSKSLPKHEMENASAGVLDGLIRCLSDSSYEVRLATLKWLLKFLKAAESDGKVYDPSNDIRTVQLWAKTNLHGTLVKILPSEKNHRCRYYILRILVAWNLLQFENASHDNCNGTSYVGEMDFDSVLQFWNELVSLYKQTMHAKTRETLVCCLGVCAKRITLLFVSSILSNEGIEKYAVCGEINQEEMLGRLFDCIVFFCNTIKQCSSAAEPASIRKAAAESLIASGLLEQAGALGSIVISDHIPSGPSSSCFVKSEAVNLYAHQVLDAWFTCIKLLEDEDDSVRLRLSSDVQKCFTSERTRSSSSQVDRVIRFCFDHLSSIFGHWIDYFNYLCQWVLQAGSYLASSESDLVRRVFDKEIDNYYEEKLLISQICCSNMEKLPILKSWAGNEEFKTYIHGWRMRFFHQLLSYAEDHIGKHEGNDWIGGMGNHKDAFLPLYANLLGFYALSNCIFLVSGNDDAKHLQPDVALLGRTIDPFLTNPLISNLYMLVLKSHEKTTCDVANRLSLETGDSIWDSFNPYFLLC >OIW11578 pep chromosome:LupAngTanjil_v1.0:LG05:21842058:21845586:1 gene:TanjilG_26944 transcript:OIW11578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANTNLPRRIIKETQRLLSEPAPGISASPSEDNMRYFNVMILGPSQSPYEGGVFKLELFLPEEYPMAAPKVRFLTKIYHPNIDKLGRICLDILKDKWSPALQIRTVLLSIQALLSAPNPDDPLSENIAKHWKSNEAEAVETAKEWTRVYASGA >OIW12399 pep chromosome:LupAngTanjil_v1.0:LG05:494591:495412:1 gene:TanjilG_04148 transcript:OIW12399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKEEEEEKEYFTCPSFSTYSSNKLANIADQVTRDHFNSQNDNHIHLNDDHSFEFVTLPSNDVFFNGYNPPLFPIFNGGGDDGGRVTAEEVDSLSLHFQMRKLLISGEDYRRDSPSSSSSSSEVDELDGIPASTYCVWTPKSAQSVQASPNRCKKSNSTGSSASPLKRWKVLDLLRRSNSDGKNMFMFLKNNKEVKSENSKERRDFGSSNEFAGKKYSGGGEGKLPKTTSAYEGVYFGKRERRIKEKNKRRTYLPYKQNLIGYASTFNSFSPF >OIW11248 pep chromosome:LupAngTanjil_v1.0:LG05:26147810:26152698:-1 gene:TanjilG_28339 transcript:OIW11248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIRVEAESLRHLSAKYCPLLPPPRSTIAAAFSPDGKVLASTHGDHTVKIIDCETGSCLKVLVGHRRTPWVVRFHPLHPKILASGSLDQEVRLWDANTSECIISHHFYRPIASIAFHAKGEIIAVASGHKLYIWHYNKKGEASSPVFVLKTRRSLRAVHFHPHAAPYLLTAEVNDLDSSDSSMTEAISIGYLQYPPPAVFVTNIHPREHSSLSSELPYVSLPFFIVPSYAVDESREPQHASHDAAGSGSVQIESSDTLQFQVDTNTTEQYDTAVSPMDTVSEVPVSSQTGREYPAHTTFSNGVRIGVNNPTMDGMEMDETEPAEGTQQGNSTNAYSLNGLLNGLSRQTANRDLPSEIGQFHQFYPSGDPSGWELPFLQGWLMGQSQVGVPSMLPHVDVNRDSLTQQLGSSNPNVDVAMPVSISIPGSSLRSGLRNHYSQSRIPVSESGNLAASVNIPHDGSDIQTYISRIQSELATSVAAAAAAELPCTVKLRVWSHDIKNPCASLHADRCRLTIPHAVLCSEMGAHFSPCGKFLAVCVACMLPHIEGDPGSQTPVHQEPGIATSPTRHPISAHQVMYELRIYSLEEATFGSVLASRAIRAAHCLTSIQFSPTSEHILLAYGRRHGSLLKSIVIDGETTLPIYTVLEVYRVSDMELVRVLPSAEDEVNVACFHPFAGGGLVYGTKEGKLRVLQYDGAQPVSGTGPSYFPEESIIGISQ >OIW11478 pep chromosome:LupAngTanjil_v1.0:LG05:23148290:23151595:1 gene:TanjilG_26844 transcript:OIW11478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLERNGTETAGSDTSSSLSYAADVSKLQVEENQNSHLTKEEDHDAEKIGVEEVKPEVYNQQKGTAKIHDFCLGIPFGGFVLTGGIIGFLLSRNPATLTSGVLFGGALLFLSTLSLKIWKQGKSSLPFILGQAGGFVLTGGIIGFLLSRNPATLTSGVLFGGALLFLSTLSLKIWKQGKSSLPFILGQAALSGLLIWKNFQSYSLAKKLFPTGFSAIISSAMLCFYLYVLISGGNPPPKKLKPSASTA >OIW11260 pep chromosome:LupAngTanjil_v1.0:LG05:26208871:26211986:-1 gene:TanjilG_28351 transcript:OIW11260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLQNNCNNMVGVLKCLKPVLDDVVDYKIPLDENLNTECEELDMQVNEAREFIEKLSSKMSKIHGVLQSGEMLIKLQSTSLEICHMLVRCLNSPPYTSGLANIQKYMQELQCLKKETAVVYIEKALRNQRDNVKPCYENLKEIIDLLELTSNQELLKESIAVEKERLNTEVNKMKGELDETNQIVNLVCDLRDYAMKIECHEIRSGVSVPPYFRCPLSLELMLDPVIVASGQTYERQSIQKWLDHGLTVCPKTHQRLTHTNLIPNYTVKTMIVNWCEENNVKLLDNSKNEKFSHISPPSDHFPQDLDRACSFGSSCSSNSTSKSSLQSGKASEKKKGDITFRLSGESNGLQSGETEKFEQQSSAPSCSHSRSESFSSSISSADYVLPVSKEASRVSNKHQNVEFSGETTNRCPASPASKELVISPSLSGKLFENLGSKNGRMQDGNNYNKNDNIIIHSHSKVDSHPVSNVQSDEMTPASHVKKLIEDLHNHSNEVQTAAAEKLRLLTKHDMENRIIAGKSGAITPLLSLLYSDVKITQEHAVTAVLNLSINEDNKALIMEAGAIEPLIHVLKTGNDGAKENSAAALFSLSVIENNKPKIGRSGAVKALVNLLASGTLRGKKDAATALFNLSIFHENKARIVQAGAVKFLVLLLDPECGMVDKAVALLANLSTISEGQLEIVREGGIPLLVEIIESGSQRGKENAASILLQLCLHSPKFCTLVLQEGAVPPLVAISQCGTPRAREKAQQLLSHFRNQREGAKGKGKS >OIW12276 pep chromosome:LupAngTanjil_v1.0:LG05:2786754:2793937:1 gene:TanjilG_06065 transcript:OIW12276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSSSMVSLLILLLLCFSSGYSSPLCLPQSSSFLYNLQSQCPFLIQSNPPLQVDGNFIEGVLSGRKSIGYISILFYASWCPFSRRMLPEFETLSSMFPQVEHLTLEQSSALPSLYSKYGIHSLPAILLVNRTSRARYDGPNNLLSLVEFFQRNTGLEVSGSIAVAQLSNMASDDNSTMKSLMGLSLKEISIREPYLVFSVLFLCLRILLFVFPKIVSRLRAFWVSYIPHLNMQIFGETSQVIGRVLHAIDIRRIWTKLRLCKTRSFHERARSARVWASSLASVSLGESSSARSSSQGLN >OIW11397 pep chromosome:LupAngTanjil_v1.0:LG05:23915669:23916166:1 gene:TanjilG_10715 transcript:OIW11397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNKIVLRPAAPSSHRPESILKSTSKHHVGEVVGGTAAECVAVCCCFPCGLANFLILAVYKLPAGLCRRVMKKRSRQRTVKEGRLPPPIKRHHCSCGCCDVNSLRVHPLCENDAYDIKTLHSIEHNDKDAMALEKEMWDRFYSTGFWRSSSRRETSSQTSPETDA >OIW11576 pep chromosome:LupAngTanjil_v1.0:LG05:21873304:21876879:-1 gene:TanjilG_26942 transcript:OIW11576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMVLYLEDKVEVTLFLCSQKRRYSVSWQFRVLLLIKFSNSLFPTWKNDTSFVSIYQEIPDGNWYCTYCTCRTFGDLVIDKKASDAYDSLQCAQCEHKLRLCTYNSPLTPKWWEPHAPSYPYYLDDFFEKKIKKKWRYQTLGFVVRIVRRSDFARLNFQGFYTVVLEKRDVLISVASVRKKIMVHGTTVGEMSLIATCGQYCRQGICRILASAIEEAHFGCRRKIVKAAIPDLVETWTKGFGFIPIDDNEKQRLKKINLMVFPGTVLLDKPLYQKDKSEESEPVDGENHLHCKAGCDIITDNNEQLSAHDNKQIIQLTVSGGSDKSIKENNM >OIW12409 pep chromosome:LupAngTanjil_v1.0:LG05:639156:639497:1 gene:TanjilG_04158 transcript:OIW12409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLSSPTTTIITTTTFSKGGNSKIKFSNKACTCFYISLQWWKRIVENNDINKIEKWWNQVYRKLRECSQIVAGPKWINFIRRFDKKRTISLNYDPLSYALNFDDGEGGKEIK >OIW12154 pep chromosome:LupAngTanjil_v1.0:LG05:3681281:3693844:1 gene:TanjilG_28562 transcript:OIW12154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDAFCSDCKRETEVVFDHSAGDTVCSECGLVLESHSIDETSEWRTFANESGDTDPNRVGGPSNPLLTDGGLSTVIAKPNGGSGEFLSSSLGRWQNRGSNPDRGLIVAFKTIATMSDRLGLVATIKAEETLVGEQIGGASCGEMGLGDGRIGLILGDRIIGGGLCERDEALVLCQASKSKLNHGRLQRKWTVSQDRANEIYKRVEDQKSSRGRNQDALLAACLYIACRQEDKPRTVKEICSVANGATKKEIGRAKEYIVKQLGLEKGQSVEMGTIHAGDFMRRFCSNLGMNNQAVKAAQESVQKSEEFDIRRSPISIAAAVIYIITQLSDDKKPLKDISLATGVAEGTIRNSYKDLYPHVSKIIPNWYAKEEDLKNLCSP >OIW12364 pep chromosome:LupAngTanjil_v1.0:LG05:101705:102007:1 gene:TanjilG_04113 transcript:OIW12364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSALNNRMVVEDEAIQAVEDRLGEVVEVDDDEDDDDDEDDDEEEEEDVDDDEDDGDVVVVVVMEGENQVEVDRVVEVDGQARVVVDAVVLVEEGACCNS >OIW12093 pep chromosome:LupAngTanjil_v1.0:LG05:7752720:7759811:1 gene:TanjilG_06298 transcript:OIW12093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFEPPLLESYKTLLQSSLGFGVGTGDVRNDDKSSMVVEICELPLIDLNLKREEFMKEIIEAARDWGFFQVVNHGIPQEVLQKLKFEQKKVFQRPFSNKSQGNFLNLPVTCYRWGNSFAKNLRQTNWSEALHIFLHDIGKVYQEESLRSTMDAFATAVSPLVENLVQILAQKLNVPLSYFKENCSEKSCSVRLNRYPPCPLPSKVYGLMPHTDTSFITILQQDQAGGLQLMKDGQWVDVKPVTEALVVNIGDLFQALSNGFYKSVKHRVVAMEKVERLSAAYFYNPSPDAVIQSYGTPPLYKKFTFGEMAKLKQKDVMETGDKVGLSWFLCTPS >OIW12294 pep chromosome:LupAngTanjil_v1.0:LG05:3050919:3052163:-1 gene:TanjilG_06083 transcript:OIW12294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNDKHLHQDSSDPFPDPSTYRRLIGRLIYLTNTRLAICFSVQQLVQFVAKPNATHHNAALRVIRYIKGSPAVGLFFHKTSIVQLKAYSDSDWATCPDTRRSTIGFCIYLGTSLISWKSKKQKTVSRSSCEAEYRAMTITSCEITWLTYLLEDLQFSFNLCALLYCDNQSAIYIATNPIFHERTKHIELDCHTTREKCTTSKIKLLPFPSHLQLAKALTKPLGSKDYSSIQSKLGLINICAPTCGGGGVSKDIADHTSEQSHTAETTHTYTKPTLINMTRTINKYTHIKLTHESTNTQDIKHQAWIIDIANNGSITQEPNIVDKLTQDIKHGSNIEGTLDKQTQHTNQIGQRSHARHGPDINIISPTLELVAASEPIHNQSLASIAFQPQLHIIFAYITSLLYHFVIDIFDMCH >OIW11505 pep chromosome:LupAngTanjil_v1.0:LG05:22828707:22831149:1 gene:TanjilG_26871 transcript:OIW11505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRSSSSLLSSLLRSSLRRSLPQSKLPISSPNISSRPSPPTTRIFPQTEVFKRFESSHGLASVLGCSRLASFQQAALVPSFCSRFMSTQTNSDDSSSQDSFSPGPNAPPRIKVKRLDKTARHIMQILDKEAVEEVKAQREMPEIKPGYIVQLKVEVPENKRRVSIIKGIVIAKRNAGLNSTFRIRRLVAGVGIESLFPLYSPNIKEIKVLDKKKVRRAKLYYLRDKMNALKKH >OIW12140 pep chromosome:LupAngTanjil_v1.0:LG05:7037639:7048199:1 gene:TanjilG_02361 transcript:OIW12140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEFRGKTEELKEKLQRFVNGGIHRDSNERKNREGLRRDARNRGESRDNEVRRGREFRGIAESRGDIYGGRDDSRGDGVRRRREVPREDEFKGGDFRGELHDENDNTHGNGARRRRELHTEGEFRGGLRGGEEFRAGGQNGNEFRGGLRGGEEFRAGGRTGMSFVVVSAVVRSFKQRFKMGMSSKEVTEAEMTSEKAFTDSPGSTGSSTKQGAGAKQGSHTSPEEEHNQKSESSNASDSLFGKFKSTISSPHVSAAFQKLKEAKLVDVAKRGYGIVKEELSSNPTRRKRVPFTSTGETSTRTELVIVHSKQSWWSKKFDEIKEKVRGHPASKRIVKYSGPVTAKGQEIVEDLRERYETSDNPVIHKIQDEFSLPEFVAEVQEAVKPVLNACIKGDVETLKKYCSPELIERFKAERSAFQSHGIFFDNKIVHISDVELKETKMMGASPVIIVMFQTQQIHCVRDIHGSITEGGKVRVSE >OIW11787 pep chromosome:LupAngTanjil_v1.0:LG05:16172624:16174227:1 gene:TanjilG_31189 transcript:OIW11787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGHGQLKKPTHHEPVKHVDHDAPMVATGYGSAAIDGDPITIGEALEAVITSVGDKPVNQSDAAAISAAEIRATGEKNIRPGGVGAAAQSAATQNSHVTRREEMTRLSDVLTDATGKLPMDKAVTKEDAEAVYDAEVQSPRLGDAAQLNSEPGGVAASMVTAANLNQQN >OIW11343 pep chromosome:LupAngTanjil_v1.0:LG05:24461489:24464101:-1 gene:TanjilG_19599 transcript:OIW11343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMYDTIFAKSFGRNDQKRLRYGAFVFCLLMVLSVCTVFKPYLVPIHVFENVVAKIVDDTSSFAQLNYDGRVVTKIIFNDTRSSHPISKVEENVSKNIINASSISPPKGEVKEIEAKKAEGETLCISEERTDFCQTRGDIRIHRKSSSVYIVSPKTSILAENISWSIRPYARKDNIFAMVKVREWLVKQVKVSAKVLHYTQNHSIPAVLFSNGGYTGNHFHEFTDVLIPLFLTSRHFKGEVHFIITDKKPWWILKYQPILNKLSNYEIMDIDRDDEVHCFPSVSVGLKRNQKELSIDPQRYSCSMRDFRDFLRSSYSLKRVQAIKTKVDQPKKPRLLIISRQRSRTFTNVPQIAKMAKRLGFVVIIKEAGGSMSSFADAVNSCDVLMGVHGAGLTNILFLPENAVFIQVVPYGKVEWLAKNDFAEPSKDMNLKYLEYKIRLEESTLIQQYPLDHIILKDPPSIGKIGWETFKTIYFDKQNIRLDVNRFKPTLLKALELLHH >OIW12190 pep chromosome:LupAngTanjil_v1.0:LG05:4792672:4793889:-1 gene:TanjilG_28598 transcript:OIW12190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDPVTVSTGISYDRESIEKWIFSGKNKTCPVTKQPLSDSINLTPNHTLRRLIQAWCTINASHGVERIPTPKSPINKTQIEKLIKDASLSPQLQTKCLGRLKTIVSESEGNKRCMEDAGAVEFLASIVMNSIASSSSNNQDDDVDGDDDDDWFDQKTGAGDEALSILYNLHLSEAGLKTLIGLKSGEFIDSLTRVMQKGIYDSRAYAVLLLKSISEVSNHVDIANLRNELFIELVQVLKDQISSKASKAALLTLIQLTPWGRNRIKAVEAGAIAVLIELLLDSNERKACEMILVLLEMLCQSADGRAELLTHGAGLAIVSKKILRVSTMANDRAVRILLSISRFSPTTSVVQEMLHLGVVAKLCLVLQVDSGNKTKEKAREILKLHARSWRNSTCIPRNLLVSYP >OIW12392 pep chromosome:LupAngTanjil_v1.0:LG05:424193:427777:1 gene:TanjilG_04141 transcript:OIW12392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAFVYVILGGGVAAGYAALEFVNRGISNGELCIISQEPVAPYERPALSKGFLLPEAPARLPSFHTCVGANEERLTPKWYKEHGIELILGTAVKSADVKRKTLLTATGETISYKVLIVATGARALKLEEFGVTGSDAENVCYLRDIADANRLLDAMQSAPGGKAVVIGGGYIGMECAASLVINKLSVTMVFPEAHCMARLLTPKIATYYEEYYKSKGVEFIKGTVLSSFDFDSNGKVTAVNLRDGRKISVDMVVVGIGIRPNTGLFEGQLTLEKGGIKVNGKLQSSNSSVYAIGDVAAFPVKVFGETRRLEHVDAARKSARHAVAAIIDADKSGEFDYLPFFYSRVFALSWQFYGDNVGEVVYYGDMSSGETFGAYWVSKGHLVGAFLEGGTKEEYEAIAKTTRLKPEIEDLSELQRQGLGFAVTISQKPPPVSSPVDVSSSGLLLEKPLFAWHATAGVILAASVAALAYVYGRRRRRW >OIW11876 pep chromosome:LupAngTanjil_v1.0:LG05:11741482:11745584:-1 gene:TanjilG_25789 transcript:OIW11876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEENKYKNGDALDYIMNLSSVPSKLPEKLELLRTRVLCNNDAPQHTDTIQYSGAYAALGVDNSLRLDNFCENFKVEVNKLTEETIEFDMIGIDPALANAFRRILIADVPTMAIERVYIANNTSLIQDEVLAHRLGLVPINADPRLFEYPENAGDEKNEKNTIVFKLHVRCPNKVGQPRITVKSGELKWLPNGSELLGENKKPNAGSQTSFSCSQDSIPQFSDNPPRPQYSDIILAKLGAGQEIELEVHAVKGIGKTHAKWSPVATAWYRMLPEVVLLKDVEDELAEELKNKCPQNVFDIEDFGYGKRRATVKNPRDCTLCRECIRGGKDWEDRVALRRVKDHFIFTIESTGALPPEALFTEAVKILEEKCERVITELS >OIW11660 pep chromosome:LupAngTanjil_v1.0:LG05:20630034:20634971:1 gene:TanjilG_24354 transcript:OIW11660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQADKNDTVVVQEEPSSSSNSHNPEAESNAIDPLAKSSEVLAKGLSSMLSTVIKEFDVRAQQTLNSQDQVSFAIDRLTRELDQLLEDAPLPFIMQHSAKISSVRKRVSSLNSLLKSIQGRVDNIDRMLSIGTTHGI >OIW11242 pep chromosome:LupAngTanjil_v1.0:LG05:26111568:26113469:-1 gene:TanjilG_28333 transcript:OIW11242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLFRLVDHEDFFSKRCIWVNGPVIVGAGPSGLATAACLRDEGVPFVVLERADCIASLWQRRTYDRLKLHLPKQFCQLPKLPFPEDFPEYPSKKQFIDYLETYAEKFEINPQFNECVQSARWLVVATGENAECVRPDIEGLSEFKGDIVYASDYKSGEPFKGKKVLVVGCGNSGMELSLDLCNHHASPSMVVRSSVHVLPREIFGLSTFELAVLMLKWLPLWLVDKLLLILTWFILGNIEKYGIKRPSKGPLELKNTKGKTPVLDIGTLEKIRSGGINVVPGIKRFNNRDVELVNGEKLHVDAVVLATGYRSNVPSWLQECEFFSKNGYPKMPFPHGWKGNSGLYAVGFTKRGLSGASSDAVNIAQDISKVWKQETKQKKQRTIACHRRCISQF >OIW12216 pep chromosome:LupAngTanjil_v1.0:LG05:3375051:3377463:1 gene:TanjilG_10300 transcript:OIW12216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREIISIHIGQAGIQVGNSCWELYCLEHGIQPDGLLPSDTSVGIANDAFNTFFSETGSGKHVPRSIFVDLEPSVIDEVRTGQYRQLFHPEQLISGKEDAANNFARGHYTVGREIVELCLDRIRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTIFPSPQVSTAVVEPYNSVLSTHSLLEHTDVVVLLDNEAIYDICKRSLDIERPTYTNLNRLISQVISSLTTSLRFDGAINVDVTEFQTNLVPYPRIHFMLSSYAPVISSAKAYHEQLSIPEITSAVFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVSNIKTKRTIQFVDWCPTGFKCGINYQPPAVVPGGDLAKVQRAVCMISNNTAVAEVFARIDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALERDYEEVGAEDQEEEVEEGY >OIW11641 pep chromosome:LupAngTanjil_v1.0:LG05:20836649:20838073:1 gene:TanjilG_24847 transcript:OIW11641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDESTFFFPPDSDLDLSFTSTTTDRTFTSSSARTSLARSSLTLSFNDRLSTTSATATNDSSTSVICRPHRSSDPHWSAIKAATTLSSDGRLHLRHLKLIRHLGTGNLGRVFLCRLRDYDGANFALKVVDKDLLSAKKLSHVETEAEILHALDHPFLPTLYARIDVSHYTCLLIDYCPGGDLHSLLCKQPGNRLPIQAARFFAAEVLVALEYLHALGIVYRDLKPENILLREDGHVMLSDFDLCFKADVDPKFEYRAHSGKSKTKVSCFNYETKSSKEKVVAEFVAEPITAFSRSCVGTHEYLAPELVNGYGHGNGVDWWAFGVFIYEMLYGTTPFKGCNKESTLRNIASSKEMRFHVADREEIGMVEARDLIEKLLVKDPIKRLGCCRGATDIKGHPFFNGIKWPLIRTYKPPEVRGLLRKKSRSNISNVTLKKRRWWWKGLEYIMRNKGVQSNFLSSYSNHNYYQYVNSSKVR >OIW11355 pep chromosome:LupAngTanjil_v1.0:LG05:24289607:24293878:-1 gene:TanjilG_19611 transcript:OIW11355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATCMSTSFAPSNSRHLARVLAVPGGRTLTENHNGRSCFMKMYENKYGFSGGNRKSGLLQVKCSANSNSVYHGKDPFLDLHPEVSMLRGTGSGGVNNLIKDIPNGNVAESLEPISSSSNYNEAKIKVIGVGGGGSNAVNRMIQSSMNGVEFWIVNTDVQAMRVSPVFPDNRLQIGQELTRGLGAGGNPEIGMNAAKESRESIEEAVHGADMVFVTAGMGGGTGTGGAPIIAAVAKSMGILTVGIVTTPFSFEGRRRAVQAQEGIAALRDSVDTLIVIPNDKLLTAVAPSTPVTEAFNLADDILRQGVRGISDIITIPGLVNVDFADVRAIMADAGSSLMGIGTATGKTRARDAALNAIQSPLLDIGIERATGIVWNITGGSDLTLFEVNAAAEVIYDLVDPTANLIFGSVIDPSLNGQVSITLIATGFKRQEENEVRPTQATQLTQGEPIVINRRSSSFTDGSFVEIPEFLKKKGRSRYPRA >OIW11340 pep chromosome:LupAngTanjil_v1.0:LG05:24481820:24483839:-1 gene:TanjilG_31108 transcript:OIW11340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFMKSGKPWRKLKPLSASLSVPPPLDLTENNVRQVLVDAREELGQIFDTSVGMTGKVELVELDGPFVKISLKGRFWHKRSTVLARVANYMKQRIPEILEVDIEDEKQLDDSPENF >OIW12246 pep chromosome:LupAngTanjil_v1.0:LG05:2348672:2351433:1 gene:TanjilG_06035 transcript:OIW12246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSINFPLRWESTGDQWWYASPIDWAAANGHYDLVRELLRIDSNHLFKLTSLRRIRRLEVVWDDEQQFNDVAKFRSQVAQKLLLECESKRGNKSLIRAGYGGWLMYTAASAGDLDFVQLLLERNPLLVFGEGEYGVTDILYAAARSKNCEVFRLLFDFAVSPRFHTDKGGTFEEHIGDIPSVYRWEITNKAVHAAARGGNVKILEELLQNCSDILAYRDAHGSTILHSAASRGKVEVVKYLTTSFDMINSTDHNGNSALHVAASRGQLSAAEALVTAFPTLISLRNNTGETFLHKAVSGFQTPAFRRLDRQVKLLRKLISGKNVNVEGVINVENNDGRTALHMAIIGNIHTDLVQLLMTAPLIDVNICDANGMTPLDYLRQRPNSASSDILIKKLISAGGMFGFEGYNSRKAIASQLRMQSIGRSPGTSFRISDTEILLYTGIENSPDDHGSGGKSSSSSEHIPYDSAAMNHVSTATSKRPNSVSYAAARLKRALQWHRVKDKKGEGSKKSMDEGSLDSYSKWNNNNSDESPLSLRQRFSSSRPSTLPNNKRTLSVRSHQSSPNAKKRFASELVHGVMQSMPQVKVSGRSRSSSFSKSTTSSPRSIDDKQKGAYIDNDIAGPSCSRSNQLPDDDESPNSAKRTSISKKLRGHYFCLRSKT >OIW11803 pep chromosome:LupAngTanjil_v1.0:LG05:15649412:15651373:1 gene:TanjilG_12079 transcript:OIW11803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKILNGLFGARRRRAHGVFNGLCVMLVFFFFYNREDIIRNPLLKQSTYLGNDQNSILRTWHSHIAVIRRRMIETDLSTSWVVGEPRDEDLALGSSPGLCAGLLHHDGYVSRCEFLKVNPQCSSEGYLDYLWFFYCKCRSFRLLGYLVFALWLAALFYLLGNTAADYFCPSLEHLSRLLKLPPTVAGVVLLPLGNGAPDVFASIAAFVGTDTGEVGLNSVLGGALFVTCVVVGAVSLCVADKEIRIDRRCFLRDIGFFIFSLVSLLVILVVGKVGVGAAIAFVSIYVVYAFIVAANEILRKHAHRLKWDAVTPLLPVKGSVFSQGSEEDTSIYSSLLHLDTESDHPCLPPSLPQWMWSSNVAIYSNQGNKITLDERPPWGWSDESMENTRLSFSVSKLFFFMEMPVSIPRRLTIPMVNEEVWSKPYAVASASLAPILLAFLCGTQDNMSYQSTILSYCIGVTTGCTLGILAYKYTVADHPPRRFLIPWVLGGFIMSIAWFYVIANELVALLLSFGVIFSINPSILGLTVLAWGNSMGDLMSNVALALDGEDGIQIALSGCYAGPMFNTLGGLGISLLLGAWSKKPALYVVPKDNSLFYTMGFLITALLWALIVLPHNNMHPSRILGMGLIGLYLIFLSFRVCTAMGLVTVAGLS >OIW11790 pep chromosome:LupAngTanjil_v1.0:LG05:16259144:16260418:-1 gene:TanjilG_31192 transcript:OIW11790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQKSNQFKGLNKKKSIPPNRHGKVPQIRKGKRFVKPSKVTKDMDADREVSKFINHCNELKAATQANKEGGQLSIVKPPPESINGTDK >OIW11629 pep chromosome:LupAngTanjil_v1.0:LG05:21318205:21321138:-1 gene:TanjilG_31908 transcript:OIW11629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRFFNFTKLCLLHSKASTFPPFISISNNIGVRSQMGSYSTSSSSKLLFRQLFDKESSTYTYLLADSSHPDKPALLIDPVDKTLDRDLTIIQELGLKLVYAMNTHVHADHVTGTGLIKSEVPDVKSVISKASGAKADIYVEQGHKVWFGDLFLEVRATPGHTLGCITYVTGDASDQPQPRMAFTGDAVLIRGCGRTDFQGGSSEQLYKSVHSQIFTLPDDTLIYPAHDYKGFTVSTVGEERRYNPRLTKDEETFKSIMANLNLPYPKMIDIAVPANLVCGIQSKAT >OIW12433 pep chromosome:LupAngTanjil_v1.0:LG05:888533:891657:-1 gene:TanjilG_04182 transcript:OIW12433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLKRHHHSSIERKWFFPLLIVSIFSLFLFLLVITLTSPAVTPIFPFYRSVTATYSVFVESKLRPLPISAVPPPPRISYLISGSAGDGNATKRTLLSLYHPRNYYVLHLDLEASPQERLDLREFVRGSELFRRFRNVKIVSKANLVTYRGPTMVANTLHAAAILLRECGDWDWFINLSASDYPLVTQDDLLHMFSYLPRDLNFIDHTSDIGWKDHQRARPIIIDPGLYMSKKQDVFWVTQRRSRPTAFKLFTGSAWMVLSRSFVDYTIWGWDNLPRTVLMYYSNFLSSPEGYFHTVICNAQEFSNTTVNSDLHFISWDNPPKQHPHYLTVDDMQRMVDSNAPFARKFHREDPVLDQIDTELLSRGPGMAVPGGWCIGSRENGTDPCSEIGDTEILRPGPGSKRLETLINSLLSNESFRPRQCV >OIW11230 pep chromosome:LupAngTanjil_v1.0:LG05:26026594:26027853:1 gene:TanjilG_28321 transcript:OIW11230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMRSPVNSVHDNLISNLDSTFSESLHIQDAQKTECASKENDICDVAKKNSFQGFKQQGRKPNKKYLKKSATFPVPEKKLPSSSSDEEAETSFTESLSKHSANKKCPRSLSLPFWLGLKFSIDNGVDVSDKAPSTIISAMKGSREKHGGQLMKLTVKWDPDVYDPTPTLMSHTVKNKKPQKSKRKKKNEKKNGKKGQKGNSSQGGNGKDKKQCHKLGGTSDLCYKSSDSPNEVNEASTEIDDLDVRIEDSYCGTSFLKESVTELHYSVAEAQ >OIW12363 pep chromosome:LupAngTanjil_v1.0:LG05:94229:96820:-1 gene:TanjilG_04112 transcript:OIW12363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEIDGNILVAMSLAKFGIRHMFGVVGIPVTSLANRAVSLGIRFIAFHNEQSAGYAASAYGFLTGSPGVLLTVSGPGCVHGLAGLSNAMANTWPMIMISGSCDQNDVGRGDFQELDQIEAVKPFSKVSLKATHITEIPNCLSKVLNSAASGRPGGCYLDLPSDVLHQRISKEEAETLLQNIQTQNNNPSDSTLPLTTSHEISEAVSLLRHAERPLIVFGKGAAYARAEDTLKKLVESTGIPFLPTPLGKGLLPDTHHLAATAARSLAIGKCDVALVIGARLNWLLHFGESPKWSNDVKFILVDISQEEIQLRKPHLGLVGDAKQIVETLNKEIKDDPFCLGKSHTWVEAISKKVKDNVNKMEAQLVKDVVPFNFLTPMRIIRDAIVALGSPAPIVVSEGANTMDVGRSVLIQTEPRTRLDAGTWGTMGVGLGYCIAAAVASPDRLVVAVEGDSGFGFSAIEVELVPLSYDALTFAQTLVRYQLAVVVIVFNNGGVYGGDRRSPEEIDGPHKDDPAPTSFVPNAGYHALIEAFGGKGYLVGTPEELKNALSEAFSARKPAVINVIIDPYAGAESGRLQHKN >OIW11336 pep chromosome:LupAngTanjil_v1.0:LG05:24551768:24551968:1 gene:TanjilG_20485 transcript:OIW11336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLDTELLLKNISIMQENERLRKRAQELNQENQALLNEIKQKLSKGGNNDSNNKSSNQNASSSNN >OIW12225 pep chromosome:LupAngTanjil_v1.0:LG05:2164456:2169647:1 gene:TanjilG_06014 transcript:OIW12225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKLPGIDIKLQKILYANMDEVAARRRARQAFKDIQLGIDHVLFKTPSHGLRIKESYEVNSKGLEIFCKSWLPEASKPKAALFYCHGIAKKLALSGYGVFAMDYPGFGLSEGLHSYIPNFDELVDNVIEHYSKIKENSEFQSLPSFLFGQSMGGAIALKIHLKQPQAWDGAILVAPMCKIVDGMIPPKWLTKILLLMVNFLPKHKLLPQKNLFNIAFREPKKKEQAAYNIIAYKDNPRLLTALEMLKTTEEIERRLSEVSLPLLILHGEADLVTDPSVSKALYEKASCSDKTLKLYKDAYHCLLEGEPDETIIQAIDEIISWLDEHSLKVET >OIW12091 pep chromosome:LupAngTanjil_v1.0:LG05:7663525:7684104:1 gene:TanjilG_06296 transcript:OIW12091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDELLFGILSMLMVVALIPLYLWKRRHDSQPPLHDDEPQQAPRRETVVRATGTRRMRRRPAASGASSSSAPTQEESADESDNEADGGEYYEAKASKKKELKRQEREARRQAEEAARESKVVKQDRYAEMRRRKEEEREAHERLLEEEAKAQKAKEEEAAALEFDKWKGEFSVDDEGTLEEVEDNAEDLVANFVEYIKKHKCIPLEDLAAEFKLRTQECINRISSLESMGRLSGVMDDRGKYIYISQEEMKAVADYIKRQGRVSISHLASKSNQFIDLEPKDHYIEDISNVGEITVN >OIW11599 pep chromosome:LupAngTanjil_v1.0:LG05:21561949:21568029:-1 gene:TanjilG_15293 transcript:OIW11599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERSLSFDAWEEVQRHGQDLADRLAQGFTGLIQTHMNNPQFMWPNPSKSKLFDLEFPSQNFGTMDFGLGSYGYGANGVSAIFDIGNRIGQAGADFGASLNGLVHQFFRWLPMPVVPFTPEDVIMGSLRVEGDKGRHRNGVGVIVKEDLGLGSLSERLKNHGFAEISSGGIVDDDVGGFNLGRRQGIINVTATYDSRTQEVERSFVARGDLWRLEASHGSSTSTSGNENSSLFLVQLGPLLFIRDSTLLLPVHLSKQHLLWYGYDRKNGMHSLCPAVWSKHRRWLLTSMLCLNPLACSFVDLQCPNGQLTYVSGEGLTTSAFLPVCGGLLQAQGQYPGEMRFSFSCKNKWGTRVTPMVQWPDKSFSLGLAQALAWKRSGLIVRPTIQMSLCPTFGGSNPGLRTELIHSVKEKLSLICGCAFMSHPSAFASVSIGRSKWNGNVGNSGLVLRVDAPLSNIGCPSFSIQINSGIEF >OIW11225 pep chromosome:LupAngTanjil_v1.0:LG05:25990121:25994738:1 gene:TanjilG_28316 transcript:OIW11225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTATISVQLSAPTRRSLNSSVNLRHQFSTSHSTFATLQRNFQFPRSRITVSSSSSMSIDAPLKTSSSSFLDRRDTPFLHFAKFHGLGNDFVLVDNRDTIEPKISPEKAVQLCDRNFGVGADGVIFVLPGINGTDYTMRIFNSDGSEPEMCGNGVRCFAKFVSQLENLHGRHSFTVHTGAGLIVPEVLEDGNVRVDMGEPVLKASDVPTKLSPNKDHAVVKSELDVDGVIWNVTCVSMGNPHCITFSGKGFENLFVDELKLAEIGPKFEHHEVFPARTNTEFVQVLSESHLKMRVWERGAGATLACGTGACATVVAAVLEGRSGRNCTVDLPGGPLQIEWREEDNHVYMTGSAELVYYGSLPL >OIW11301 pep chromosome:LupAngTanjil_v1.0:LG05:24834248:24837398:1 gene:TanjilG_20450 transcript:OIW11301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNLIFEEPDMKVPDYASSQLRYAWESIRAPVIIPLLKLAVILCSVMSVMLFVERVVMATVCAIVKVLGKKKYRKYNLDVMKQKLHRSQRYPNVLIQIPMYNEKEVYKLSIGAVCGLSWPSDRFIVQVLDDSTNPILRELVELECQKWIAKGVNVKYETRKHRNGYKAGALKEGLEKQYVEDCEFVAIFDADFQPDTDFLWNTIPYLIENPKLGLVQARWKFVNSEECIMTRLQEMSLDYHFTVEQEVGSSTYSFFGFNGTAGIWRIQAIKDAGGWKDRTTVEDMDLAVRASLQGWEFVFVGDVTVKNELPSTFKAYRYQQHRWSCGPANLLKKMTREILLCRRVSIFKRLHLIYAFFFVRKIVAHWVTFFFYCIVIPACVIVPEVQLTKKVAIYIPATITILNAISTPRSLHLLVCWILFENVMSLHRTKAAIIGLLEANRVNEWVVTEKLGNAMKQKNNTRPSSSSRISWFRISERIHPLEIIVGMFMLHCAIYDLLFGQDHFFVYLLLQAGAFFTMGFGLVGTVVPN >OIW11512 pep chromosome:LupAngTanjil_v1.0:LG05:22729926:22731287:1 gene:TanjilG_26878 transcript:OIW11512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFLNMKTILISTGILSMAMGLKLSFPIITHFIISELVPSISSFFYTSLTPPYLYILLNFIILTILASSKFHTEPVLYTTTVQPEPVKITQIDYNNNVYNGVVSDAPFYNSETTPLSIDTVVNVNGNDRYVYDVDLPEETTVNNVVSGSEAYQPETAPLRDDAVMNSDGYLYDGHAPVETTVASGNDAVATPYLHKKEPLKFSNDENEKPPFSARFSQRKAVRTTPEGGKVVALGVAKSKKQDTLESTWKTITEGRAMPLTRHLKKSETWEQQNRRNATPFTDLNGGDGGGGKAVMKKSETFSGRENKNTVSSSPGSGGKLRKESSLSQDELHRRVEAFIKKFNTEMRLQRQESLRQYSNMIDSGAQ >OIW12394 pep chromosome:LupAngTanjil_v1.0:LG05:435601:438165:1 gene:TanjilG_04143 transcript:OIW12394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVETRSKVPSEGTSSSEEEENSVQDSETEEKDLSEEVLGALKEEEGGSSIQNHGLGDDGVVEVVKSRVSETKVSVPRGNDCQGSADCETNGASSLLKMEGSGACAVFAGGDGVSERRDGKKSEEEERDEDCGGKIVSNDVPDMETGEKVDVESEDLSDEGYEFSVGDFVWGKIKSHPWWPGRIYNPSDASDFALKLKQKNRLLVAYFGDGTFAWCHPSQLKPFEENFEDMVKQSSSKSFVNAVQKAVNEVGTLLFLKISHSFVAKKTGSEFAAPMAKNSGIKEGVLVPENGIERFSVVAIVPTELLSQVKRIGKVIPIASILELEILKAQLSAFHLSRGGYKLPSYEDPQPVPGLEDISMDTRVNVGNGNGGGETPFQGPYGELCRSPGFSGNRSNFGRKQKSIAQILGEEKDVKEGDASDEVVDAIVSTGRKKRKNSEDYSDRNMPSAENNGRSLRSKEKKEAFGNENISGGSQKETEEEEMKTKEHNVKGYVSRERKKSKYLSPPFTSPVSGQWKGDIETESLEFSNEARESKGMANFGAENQDSFSDEVAIEWELSDGSNYNKQEDDKKKTVDLTKIHIPSVEVLSKAQYAAVNPQSPGESTSLENTVDFISAFRSSLFLRGSYYKVYNKRRPRRKRNTDQNQTDHVSHNHDSEPRKRRKEKSPGTNTVKDGSGDENGSAAALFVSFYPLSTLPSKSDLINVYSKFGALNEAETEMFRTNYTARVSFLRTSDAEKALMDSQNSNPFEPSEVTFQLQYLSAGSKSLERGERSKFKPSAAAAKKKNKTPPTTPSVSLSWGNEASKLNYIKQKLEGVTSMIEASDGKSHDTRTTLLSEVKDLLEDVSKMVESSS >OIW12400 pep chromosome:LupAngTanjil_v1.0:LG05:497079:502167:-1 gene:TanjilG_04149 transcript:OIW12400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVVPPLFNYPPIGARTRIDVNDPHTFMDLYVSTTDPILRMRSCAYYPRYGFGAFGVFPVLSKKREPSEDHGLMGLRYGSENLSIGVTLMPPALMPFASGKEKLSNLMNWSCAMGYGGGLGSPLSPSFNFSLELVRSSQVKNPLEENVVVGITNYIDFGFELETSVDDAIATNNIKDSSFRIGASWQANKNFLLKGEPQHNQRVIRLYDLEVTWICCFSTATRDHADGKMQCGFGIQSESLREASYERADPNFVMVTPSKEHLAEGIAWETGKRPMLQSDVDAGLFDGLPKELRPLDKIL >OIW12143 pep chromosome:LupAngTanjil_v1.0:LG05:3443526:3447733:-1 gene:TanjilG_28551 transcript:OIW12143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVCPLLFNEYIPCHDASYVATLIPNLDLSRKEELERHCPPLEKRLFCLVPPPKDYKIPIQWPRSRDYVWRSNVNHTHLAEVKGGQNWVHEKDQLWWFPGGGTHFKHGASEYIQRLGNMITNGTGDLRSAGIVQVLDIGCGVASFSAYLLPLNIRTMSFAPKDGHENQIQFALERGIGAMISALSTKQLPYPTGSFEMIHCSRCRVDFHENDGILMKELNRLLRYGGYFVYSAPPAYRKDKDFPVVWDKVVNLTTAMCWRLIARQVQTAIWIKEDNQSCLLHNAEQKLLNVCDTNDVSKSSWNIPLKNCVDIKTDSYKLPPSNERLSVFSESLNKIGINQDEFTSDTLFWKDQIRHYWRLMNVKETEVRNVMDMNALYGGFAAALDNVPVWVMNVVPSSMKNTLSGIYDRGLIGTFQDWCEPFSSYPRTYDLLHANYLFSHYKNQGEGCLLEDIMLEMDRLTRPLVMSVLKDYPTVPTMVMCI >OIW11504 pep chromosome:LupAngTanjil_v1.0:LG05:22833322:22839289:-1 gene:TanjilG_26870 transcript:OIW11504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESQWNPVPTDDEIKTYRETETDYMPTKKHYKDPINLNLRKLAVTEIAKEDIFEGFYTREIKAMEFWFREKGERLKQPVTPFCFVNYYYPAFRNIKRCCIDEIIIQAQGEEDFIGYKPSDIAFSSFLAATRIANPLIAIGSVKLPDGLNRCDEELYHLYHKKGIRVEISMSEAPPSLIATVEIPKEQPKEAATSKIETKIEEATPSLIATVEILKGQPEEVATSEIEEVTTSEIEEVATSEFEEVIRSLIATVEISKEQPKEVATSEIEEIIPSLIANVKIPKELPEQDTLSTIEEEVATSEMEEVIRSLLATEEISKEQPKEVATSEIEEVIPSLIENVEIPKEQPEQVTTSEIEEVVPSLIANVEILEEQPQEVSTCEIEEVVPSLIANVEIPEEELEEVATSESETESAKQRRVKGKAVAEIVEEKSPYDPLRYCPKRLMNFKLLWLMDDPNESSMACVLPETPVTEPVRDKNSCCCFGNMESEWNRVTSEKEIKRYLESEIDYLPTKNYYHDPYNLNIRKLAVSVISKYWRVLEVDAFVPYLAMTYFDNFASQSHDPFLDIDEVRLIAITCLFLAAKMRNFSWRVFLKHVYDDFKPEAIVAMAKEVQKIISYFIRPVTPFCFLEFYYPNFKIFGEFKRRTINWIIIQAQGENDFVDCKYSDIAFSSFLTATRILYPRIDLCLIDKPDSLTRCDDKLIDLCKRNGIVIENVGYRTAPTSSVPRPIIREKPEEIEPAKTEIELVQRRPGKEVAEASESDRKRKDKGKAVMVEPEKKKEEKKDKSSDDPLKHCPDYLMDFLLLWPRDDPLVVKKQIQD >OIW11218 pep chromosome:LupAngTanjil_v1.0:LG05:25942984:25945751:-1 gene:TanjilG_28309 transcript:OIW11218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTHENRLSFFNQSMELVQPQSRTLGELLKRVEDAQNDTNILHSHHVLNLPPSHSFILSFTNLTYSIKLRRKITFSPIFSPNHSLQNDVVEPKHNCTTKTLLNGISGEAKDGEIMAVLGASGSGKSTLIDALADRISKESLKGTVTLNGDVVDSSLLKVISAYVMQDDLLFPMLTVEETLMFSAEFRLPRSLSKSKKKARVQALIDQLGLRNAATTVIGDEGHRGVSGGERRRVSIGIDIIHDPIILFLDEPTSGLDSTSAFMVVKVLQRIAQSGSIVVMSIHQPSYRILGLLDRLIFLSHGETVFSGSPAIIPVFFSEFGHPIPENENRTEFALDLIRELEESPNGTTNLVNFNKTFQLKTKTPSSKSPIPNLSLKDAISASISRGKLVSGAPTTTTTTTTLTSNETTPVEAFANPFWLEMLVIAKRSLTNSRRMPELFGIRMGAVLVTGAILATIFWKLDNSPKGVQERLGLFAFAMSTTFYTCAEAIPVFLQERYIFMRETAYNAYRRSSYVLAHSIISLPALAFLSFAFAATTFWAVGLAGVVSHVMLGFTVVVAILAYFLLFSGFFISRNRIPPYWIWFHYLSLVKYPYEAVLQNEFNINPPTCFVRGIQIFDGTPLGLVPLELKMELLKSLSKVLGINITSSTCVTTGADILQQQGITQLNKWNCLWVTVAWGFFFRFLFYLALLLGSKNKRR >OIW11552 pep chromosome:LupAngTanjil_v1.0:LG05:22171113:22171745:-1 gene:TanjilG_26918 transcript:OIW11552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRDRTAAAITVATTPKTTHLAAAKEIRYRGVRKRPWGRYAAEIRDPGKKTRVWLGTFDTPEEAARAYDAAAREFRGARAKTNFPTASELMNINVNINNTVTRSPSQSSTVDSSSPPPPLALTLSPFSSSDNTPYPVARPVVFFDVFAKHVGREICTIDRHVAEFRRASTVSAAVKCESDSSSVVDYERVPRHRLLDLDLNLPPPPEVA >OIW12324 pep chromosome:LupAngTanjil_v1.0:LG05:1903754:1907665:1 gene:TanjilG_32440 transcript:OIW12324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAMRGGRGGGSGFRSFFSFRIFISAMFSLLFIATLSVLFTTNPSTQQHDALPITGNAYVHRTFLALKSDPLRTRVDLINQQAKDHITLVNVYAAYARKLKLDSSRQLKMFDELARNFSDISVKPNYQSSLFDSDGPIDEDLLRQFEKEVKDRVKNARMMIVEAKENYDNQLKIQKLKDTIFAVNESLLKAKKNGALASLISAKSVPKSLHCLGMRLMGEKISYPDKYRDDEIIISNLKIQGRKRTKTLLSFVPMAVAMRGGRGGGSGFRSFFSFRIFISAMFSLLFIATLSVLFTTNPSTQQHDALPITGNAYVHRTFLALKSDPLRTRVDLINQQAKDHITLVNVYAAYARKLKLDSSRQLKMFDELARNFSDISVKPNYQSSLFDSDGPIDEDLLRQFEKEVKDRVKNARMMIVEAKENYDNQLKIQKLKDTIFAVNESLLKAKKNGALASLISAKSVPKSLHCLGMRLMGEKISYPDKYRDDEPKPEFEDPSLYHYAIFSDNVIAVSVVVRSVVKNAAEPWKHVFHVVTNRMNVAAMKVWFKMRPVEGGAFLEVKAVEEFTFLNSSYVPVLRQLESAKMHKLYLGNQAENTTNDAHSMKFRNPTHLSMLDHLRFYLPEMYPKLNKILFLDDDVVVQKDLTGLWKIDLDGKVNGAVETCFGSFHRYAQYLNFSHPLIKESFNPKACAWAFGMNIFNLDAWRYEKSTDQYHYWQNMNEDQSLWKKGTVAPGLITFYSTTMSLDKSWHVLGLGYNPSISMDEINNAAVIHYSGNMKPWLDIALNQYKNLWTKYVDNDMEFVQMCNFGL >OIW11802 pep chromosome:LupAngTanjil_v1.0:LG05:15992634:15999680:1 gene:TanjilG_21136 transcript:OIW11802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIIERIKEIEAEMARTQKNKATEYHLGQLKAKIAKLRTQLLEPPKGASGGGDGFEVTKFGHGRVALIGFPSVGKSTLLTMLTGTHSEAASYEFTTLTCIPGIIHYNDTKIQLLDLPGIIEGASEGKGRGRQVIAVAKSSDLVLMVLDASKSEGHRQILTKELEAVGLRLNKRPPQIYFKKKKTGGISFNSTLTLTHVDEKLCYQILHEYKIHNAEILFREDATVDDLIDVIEGNRKYMKCVYVYNKIDVVGIDDVDRLARQPNSVVISCNMKLNLDRLLARMWEEMGLVRVYTKPQGQQPDFTDPAVFSASRGGCTVEDFCNHIHRSLVKDVKYVLAWGTSARHYPQHCGLSHVLHDEDVVQIVKKKDKEGEGRGRFKSHSTAPARICDRQKKAPLKQ >OIW12388 pep chromosome:LupAngTanjil_v1.0:LG05:358033:364011:-1 gene:TanjilG_04137 transcript:OIW12388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRKVILHQQKSQQHKWKRKILTLIFVVGVCFATLLFVQTRYNDVFGLVSFQHQVVSQSQVQIPKIAFLFIARNRLPLEMVWDAFFRGGNNKFSIFVHSRPGFLFNNATTRSTYFLNRQVNDSIQVDWGEASMIEAERILLRHALSDPLNDRFVFLSDSCIPLYNFSYTYDYIMSTPTSFVDSFADKKDGRYNPKMHPVIPVRSWRKGSQWGVLTRKHAIVVVEDETVFPMFQKYCKKKPLPEFWRDQHIPADTSKIHNCIPDEHYVQTLLTQKGLEGELTRRSLTHTAWDISNSKARDRRGWHPVTYKYSDATPMLIKYIKEIDNIYYETEYRREWCSSKGKPSTCFLFARKFTRPAALRLINMFVVGDFN >OIW12192 pep chromosome:LupAngTanjil_v1.0:LG05:4964983:4980753:-1 gene:TanjilG_28600 transcript:OIW12192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRPEPCVLFAQTFVHPHLDEYVDEVVFLEPIVITACEFLEQSAASVSQAVALVGATSPPSFAIEVFVHCEGETRFRRLCQPFLYSHSSSNVLEVEAVVTSHLVVRGSYRSLSLVIYGNTAEDLGQFNIEFDDNALTDLVDSAEGKLEDLPLALHSTNFTIEDSTSSLRVLSIPVPASDISLEVKLFLQLMLKILEFSELGDAGHKVVSTVVSAISSYISSDVCESISGRYQTRKRSEKFDELNSVVNKARKELLEVYKVLKQNSGSKSSECLSEGIDLELEAELLDSKVLVDMFNHYFHFKRHCSYVGDHCLSRSEHALLGLSMAHILCSGSESCFQFVSSGGMDQLAKFFSKDRQNSTTTILLLLGVVEQATRYSIGCEAFLGWWPREDENIPSGISDGYSQLLKLILSKPRHDVASLATYLLHRLRFYEVASRYEFAVLSVLGNISTVGRVTDVTLNMLTSAEILLKKLLKLINSHGPIEDPSPMAFSSRSLITGQTDGLLSYKTTSGLISSSSCCFSDWDIDSHLLGLLKERGFLSLSTALLSSSKLRAEEGHAMEIFMDVTSSIEAVILSFLFCRSGLVFLLQDPELSSTLIHALRGGHRGNKEDCIPLRYASVLISKGFFCSPLEIGMIVGMHLKMVNAIDCLLSSNPQSEEFLWVLWELSALSRSDCGRQALLSLGNFPEAVTILIEALSSVKESESSGKNSGSSPVNLTIFHSAAEIIEAIVTDSTASSLSSWIGHALELHKALHFSSPGSNRKDAPSRLLEWIDAGVVYQKHGGIGLLRYAAVLVSGGDAQLTSTSILVSDLTDVENVVGESSGGSDINVMENLGKFTSEKSFDGVTLLDSSLAQLTTALRILSFISENPTIAVTLYDEGAVIVIYAILVNCRFMLERSSNNYDYLVDEGTECNTTSDLLLERNRELSIVDLLVSSLVLLITLLEKLQEAKEQHRNTKLMNALLRLHREISPKLAACAADLSSPYPDFAIGYGAVCHLIVSSLAFWPVHGWSPGLFHTLLTSVQATSLLTLGPKETSSLLYLLSDLFPEEDVWRWTSRMPLLSARRMLAVGTLLGPQKERQVNWYLEPGHADKLVGQLALHVDKIAEIVQHYAISALVVIQDLLRVFVIRIARQNADYASMLIRPLLSSIIHLVSESYSPSETDAFKVLRLLDFLVSLLEHPLGKGLLLREGTLQMLTKVLERCFVTVDVVRKQTPDSRSCADCNFSLLSWCLPVFRFFMLLFNYEASWYYPQRPDIKKIENLSDEDCCLILRYLLKGCQVLPVGKELLACLAAFKELASCSKGQMAIGSTLFGIHSHARELDSQKDNMNESYNGPSVAEWENCPPLLSCWMKLLRSIEAKEDLTTYTIEAVYALSVGCLQFCMSGGSLISDRVVVLKYLFGLSDDMAKSVGFPEESINYILEFCSLLSSQMVMDDCLITSHLQIPLSQVSESVKSLSLVLQRPIGSMELNDVVLPQNDVFVVSKTHQMLENSVEKIDDHLYIGGLGDEFLWQCPETLPDRLTQTNHGAKRKLPSMDGPARRHRGDSFQTDNSAQNAYSRGIAHSTVASGPTRRDAFRQRKPNTSRPPSMHVDDYVARERNVEGVSNVIAVPRSGPTGGRPPSIHVDEFMARQRERQNPSAPVVGEAAGHLKNDSPVKPTDGEKLNKSKQLKTDFDDDLQGIDIVFDGEESDSDDKLPFPQPDDNLQQPAPVIVEQSSPHSIVEETESDAVDSSQFYPMGTPLGSNIEENAQSEFSSKMSGSRPDMSVTRESSVSSDRKYVEQSDDSKNVVQAKYSGGYDSAAANNSGYPVSLYNNPSTSSMQLPVDSRMASQSFFVKNSPQHVGNATGSQGLYDQRFLPNQPPLPPMPPPPTVSPVISHAKDSVPIQSSSLVNSPAGMQHQMAFQVQTEYSSQFNNGSTSTSLASSVPMPDSKYSRSSISSPGGPSRLAPPLPHTPPPFASSSYNLPSGKTSASQPSLYNQTAIGTTELSQASIPPSGARFSSYPPNLSMMSMGFSRPASMTLYGNTPNQQLSENQPSIMQNVSNPAASFQSMHSVTQLQPLQPPQLPRPPQPPQLLRPPVPALPQLEQRMAVQSNVQVHQLQMLQQSQVSSMQTYYQTQQQEFSHALQLQQVEHTQHPGDAQSQQEPDSGMSLHEYFKSPEAIQSLLRDREKLCQLLEQHPKLMQMLQVVNYWKGWASYSSMMMNAFQSVISCADVC >OIW12164 pep chromosome:LupAngTanjil_v1.0:LG05:3925827:3926378:-1 gene:TanjilG_28572 transcript:OIW12164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKRRDGRKKFHETRHPIYKGVRKRNGKWVCELREPNNKTRVWLGTFAHPDMAAIAYDVAALAFKGDTASLNFPHSSTLLPRLNSKTSSIRAIQFAAMEEAQKHFSRELKPKEISTENASELSLEVGLEGDSGSFFWDEEEVFNMPGLMNSMAEGLIITPPALQRGFNWVGVESFVDLTLWGD >OIW12083 pep chromosome:LupAngTanjil_v1.0:LG05:8710577:8710732:-1 gene:TanjilG_15323 transcript:OIW12083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKIEELDESSAKRRPKMNHGSSRFFVFVDYLFLLLFIGFLCFIVFKFLIV >OIW11434 pep chromosome:LupAngTanjil_v1.0:LG05:23597473:23599504:-1 gene:TanjilG_26800 transcript:OIW11434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLITLPLTCYHINLYVKRQHLVDVTEVFSVLNAEKKFRLAKLAFYLVLLIITIFRFVMPLKLHMLICYALVLDPYCLTSCLNV >OIW11721 pep chromosome:LupAngTanjil_v1.0:LG05:18262449:18263033:1 gene:TanjilG_20205 transcript:OIW11721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFKNKNGDDKEIYNDYKEDATVTRRSSGRPRGSKNKPKPPIIITTKSPKAIKSHIFEFASGVDIAESLLCFASTRQRGLCVLSATGIVTNVTLRQVDGTVIVLHGQFNIIMMNGLFFPLGSSPSRLIVYLGKDQGRMIGGTVVGPLVASGSVMVMATSFANAINVRLPLLDKDNDDDEQSCGCGNVDISSLKK >OIW11865 pep chromosome:LupAngTanjil_v1.0:LG05:12162269:12197818:-1 gene:TanjilG_04942 transcript:OIW11865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYMPGLDAALFLSQSKMLPNLKRFCRKPRALLALSCVSDGMRTVDALLTCKLKRKREQHSSIMPKSTLISQVFVYFFGQCLTSEGFELTRVTLVDVEGQVLIDNLVKPSNAITDYNTRFSGITSEMFDAVTTNLRDIQVKNDKVQFIWTQFSELHSYLKKQAEDSEILNKRLAERMALLTCGKNSTKGKGLKFSAFAELKQILARMDNRVYKLYMSRPTNAMLIICTGHGDTAIVRRLRKMLAEQSESNLCREHIIEILEEVQARAEVALCFVGVKH >OIW11534 pep chromosome:LupAngTanjil_v1.0:LG05:22359089:22359688:-1 gene:TanjilG_26900 transcript:OIW11534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELTELFPLDNQVDGLMHMYLYIITFDIPTFIIAFIILTSFFFIFYQILYGLFYWFVEMRPEYEYEHGHGHGHDLEHGITHVADGSTSEPSQHVTIFQALVHTNLQAWTMVASFNEGVFDEKRGRMLRASKKLPPLVHYGNHHNPIRSCGECAICLENFEAGQFCQVFPMCKHIFHSDCIDNWLQKKLTCPICRSCIA >OIW11739 pep chromosome:LupAngTanjil_v1.0:LG05:17299844:17300035:1 gene:TanjilG_10941 transcript:OIW11739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLISTFLNCFLLSMPSSTHVSDYERFQLKASSTEKPNNKSTESKGAPLVVSYFPVNTYLSRL >OIW12347 pep chromosome:LupAngTanjil_v1.0:LG05:1687074:1688710:-1 gene:TanjilG_32463 transcript:OIW12347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNQIPTLLMLPCPATGHVNPMITIALKLVEQGCNIIFVNTEFNHNRVVKQESTNNNGSSIKFVSIQDGLGAENDRSNAGELCESMLKTMPSMLEKLIDEIHLSSDHRISCIVADMAMGWAMEVACKMRIRGALFWTGSAVMFALTSNTPMLIHNGVIDSQGMPLTTRRFQLLPGMPAEMDTNIIWWSKISNTTIQKKVYDYFVQCMQYINLSDWWLCNTTYELEPATLSYVPKLLPIGPLLRSHDDAIATATSIGQFWDEDLSCMSWLDQQPHNSVLYVAFGSSTLFDQNQFAELTLALELTNRPFLWVVRQDYHRTDKKAYTSEIRGNRGKIVGWAPQEKVLSHPAIACFVSHCGWNSTIEGLSNGVPFLCWPYFTDQFHNKTYICEELKVGLGFDSDENGLIQHGEIKMKVDQLLNDENIRSRSLKVKEKLLSNISKEGQSSMNFKRFVKWLKE >OIW12362 pep chromosome:LupAngTanjil_v1.0:LG05:83655:92385:-1 gene:TanjilG_04111 transcript:OIW12362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDDSVWEGVIELTKWAQSKNTDPVLWSVEVSSTLSSAGVSLPSTELSRRLVSYICWDNHVPITWKYLDAAISVNIVPPFLLLSLLSTRVLPKRHLYPAAFTLFLSLIKRHLILSLSSSSNINSPNYPHIMKSVDQSLHLSKLYNHSHSHSDLDPEPGVILVWFLFTILSRLLEASLDDEGLLEHKPHVVVDDDVDDDDVIMSEPEKEALLRKNTGMTIELIALFLQNKLTSRILSLLRTNMPTHWETFVHQLQRLAANSLLLRTLKNVTPESLLSFTIKSDGLKLLSSQWKTTHKLELNAVMAAGAGSQISCALQTHDDSWSLLWLPIDLILEDAMNGDHVAETSAVDVLTGLVKSLQAVNGTAWHNAFLGLWMAALRLVQRERDPSEGPVPRLDTCLCMLLCITTLVVANIIEEEEGELIVEAERSPTNQRKDKPALGERRGELVISLQLLGDYEGLLNPPQPVTWVANQAAAKAVMFVSGHAVGNGYLESMNVNDLPTNYSGNLWHLIVEACIARHLLDTSAYFWPGYVSAPCNQIPHCIPNHLPSWSSLMKGSPLTPPLVNVLAATPASSLAEIEKIFEFAINGSDEEKISAATILCGASLVRGWNVQEHIIFFMIKLLSPPVPPKYSGSESHLISYAPLLNVLLVGISPVDCVQIFSLHGAVPLLAAELMPICEAFGSCLPNVSWTAATGEKLSCHAVFSNAFILLLRLWRFNHPPLDHVMGGAATPALGSQLGPEYLLLVRNCMLASFGKLPRFVVSSRRFSKMISFSTDPIFMDSFPKLNIWYRQHQECIASTRSALAPGGSVLQIVDALLSMMCKKISRSSQALTPTTSGSSTSSGSSLDDAMMKLKVPAWDILEAAPFVLDAALTACAHGRLSPRELATGLKDLADFLPATLGTIVSYLSAEVTRGIWKPAFMNGTDWPSPAANLSTVEQQIKKILAATGVDVPSLAIDGNAPATLPLPLAAFLSLTITYKLDKSAERYLILTGPSLITLAAGCPWPCMPIVGSLWAQKVKRWSDFFVFKASGTVFNHSRDAVVQLLNSCFTSTLGLGSACIYNNGGVGALLGHGFGSHFSGGISPVAPGILYLRVYRSIRDVMFLTEEIVALLMLSVRDIANGGLPKGESEKKLKKTKHGMRYGQVSLAASMTRVKHAALLGASFLWISGGSSLVQSLITETLPSLCLSAHGFEQDVLESGVVVAMLRGYAMACFVVLSGTFAWGIDSSSPASKRRPKVLGIHLDFLANALDGKVSLRCDSATWRAYVSGVMSLMVSCTPQWIEELDVGILKRVSKGLRQLNEEDLALRLLEIRGRSVMGEVAEMISQSRF >OIW11918 pep chromosome:LupAngTanjil_v1.0:LG05:11124529:11125275:1 gene:TanjilG_18191 transcript:OIW11918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSSTTTTSSLNFTTFTSFPHNKISSFSHSLSFPFSKQNLSFSSHFTLPPTPRAHAPTNATAPPSSTSSFHGLCYVVGDNIDTDQIIPAEYLTLVPSKPDEYEKLGSFAMIGLPASYTARFVEPGETKTKYSVIIAGANFGCGSSREHAPVALGASGSAAVVAESYARIFFRNSVATGEVYPIESEVRLCEECRTGDVVTIELEESRLVNHTTGKEYRLKPIGDAGPVIEAGGIFAFARKTGMIPTR >OIW12265 pep chromosome:LupAngTanjil_v1.0:LG05:2609260:2616600:-1 gene:TanjilG_06054 transcript:OIW12265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVLVDSEDGGRNGGQGSWKFFTRRKRVDSTTISNNNSQAQLAKDLTICNLMSIGVGATIGCGVYVLVGTVARENTGPALAISFLIAGLAAALSAFCYAELASRCPSAGSAYHYSYICVGEGVAWLIGWAMILEYTIGGSAVARGIAPNLAVLLGGDNSLPFFLSRQHIPGIDIVVDPLAAIMIFIVTGLLCVGIKESAMAQNIVTSVNICALVFVIIAGGYLGFKSGWVGYELSTGYFPFGVNGMLAGSATVFFAYIGFDAVASTAEEVKNPQRDLPLGIGVSLFMCCGLYMMVSVVIVGLVPYYAINPDTPISSAFAEHGMQWVAYIINAGAFTALCGSLLGALLPQPRILMAMARDGLLPPFFSDINKSSQVPVNGTIVTGLIAAALAFTMEVSELAGMVSVGTLLAFTVVAISVLILRYIPPEEVPLPPSLREPIDSSSTPYKKYLVVEEVDVSLENPLIAKHVAFGKYLHEGNRRCVVGWVIAFTCFGVFVLTYAASDLTVFSSIRTALCGVGGALVLCGLIFLTIIDQDDARHNFGHSGGFSCPFVPLLPIACILINSYLLISLGAATWVRVSIWLAIGLVVYLFYGRTHSALKVPITQVDEIYHTSTSYIA >OIW11690 pep chromosome:LupAngTanjil_v1.0:LG05:19511513:19518006:-1 gene:TanjilG_12209 transcript:OIW11690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSILRSASLVPSHSDLSPSPLDRFKVLNNASSSSLKSVRFQNSMFGSSIPCESLVLRNCNARSIHPIKATATEIPLPVEKSKGTGKTRIGINGFGRIGRLVLRVATSRDDIDVVAVNDPFIDAKYMAYMFKYDSTHGPFKGTIKVIDDSSLEINGKKVKVVSKRDPVDIPWGDFGADYVVESSGVFTTVDKASLHLKAGAKKVVISAPSADAPMFVVGVNEKTYQSNMDIVSNASCTTNCLAPLAKVVNEEFGIVEGLMTTVHATTATQKTVDGPSMKDWRGGRGASQNIIPSSTGAAKAVGKVLPELNGKLTGMAFRVPTANVSVVDLTVRLQKRASYEDVKAAIKRASEGPLKGILGYTDEDVVSNDFVGDSRSSIFDAKAGIGLSDSFIKLVSWYDNEWGYSNRVLDLVEHMALLGARN >OIW11436 pep chromosome:LupAngTanjil_v1.0:LG05:23574583:23575320:-1 gene:TanjilG_26802 transcript:OIW11436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPRWKGKDKKAKKDAEAEALREPMSKIISQLQSSLIQSEACGFLSDNNVQLAVGAEQIDLLDKACFGQPVRTVEKDVVWFQLSLEEAFYLCYHLKCLKIKDGGSGIQSDEELWTKIKSKNKTFPYFYKAYSHLRMKNWVVRPGALYGVDFVVYRHHPARVHSEYGALVLSHDDDKDLNGRLNVWSDVHCTTRLLGGVAKILLVLYINRNGNKDDSPLCLANYTVQERTITRWIPEQSREKGSSC >OIW11372 pep chromosome:LupAngTanjil_v1.0:LG05:24130633:24131379:-1 gene:TanjilG_19628 transcript:OIW11372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKMKVPSLLNNSEVKSSSSWPWLYCNQPRTLSFRDNNCIFKTINPVYLNATNPTMDVVESSESLFTISPDSNSFSTTSEASRRGQDSTETVIRESRSDRLFFELDETSSILEAKSGGRVPFKDSVAFTMDSQDPYVDFRRSMEEIVETHGVKDWKNLEQIFCWYLRVNEKSSHGYIVSAFVDLLVNLEFVPASSSSSSYSTPCVSSLEAEEEVDSTTSSSLLFEQVKEVIKHEDEKASSSSSDVSF >OIW11782 pep chromosome:LupAngTanjil_v1.0:LG05:17150381:17154218:-1 gene:TanjilG_14322 transcript:OIW11782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLHKLASFTSLHSPFNNNTHSLNPRNPISSINCNNNTTTSPSPFTENHSIERYQRDQWVYNENKFNNQTMSCPFSSDSSAIREDDIALQLPELKKLLQVLKEKRESEGKCSGGKCPPGNVYLVGTGPGDPELLTLKAVRVMKSADLLLYDRLVSNDVLDLVGSDAKLLYVGKTAGYHSRTQEEIHELLLSFAEAGATVVRLKGGDPLVFGRGGEEMDFLQQQGIQVKVIPGITAASGIAAELGIPLTHRGIAISVRFLTGHSRKGGTDPLFVAENAADPDSTLVVYMGLSTLPSLAQKLMHHGLSPQTPAVAIERGTTPQQRMVFAELKDLAENITSAGLVSPTLIIIGKVVELSPLWPISAKENSCLMQAS >OIW11753 pep chromosome:LupAngTanjil_v1.0:LG05:17638093:17643253:1 gene:TanjilG_10955 transcript:OIW11753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRKETVLDLAKFVDKGVQVKLSGGRQVTGTLKGYDQLLNLVLDEAVESVCRGTAVMLVSPTDGTDEIENPFLQPDGA >OIW12270 pep chromosome:LupAngTanjil_v1.0:LG05:2714054:2718859:1 gene:TanjilG_06059 transcript:OIW12270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTRTVQVKQVSDLAGEREIHEFFSFSGEIEHIEIQRESGKLKTAFVTFKDPKALEIALLLSGATIVDQIVSITPVENYVLNYDMQEVRMVEHAMNIPPSENVSSNTEVENAGQTNGRMYISRAQDVVTSVLAKGSAIRQDAMNKAKAFDEKHQLTANASAKVISFDKKVGLTEKLTVGISVVNEKVKSVDQRLHVSDKTMAAVIAAERKLNSTGSAVKTSRYVTAGTSWLNGAFGKVAKAGQVAGTKTREKFHMAVSNLTAKEPPMVV >OIW11910 pep chromosome:LupAngTanjil_v1.0:LG05:11230735:11231217:-1 gene:TanjilG_18183 transcript:OIW11910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQYEEAMEKLARKLMLLMLASLRISKEELKWAGPRGEFKGACAALHLNSYPSCPNPDRAMGLAPHTDSTLLTILYQSSISGLQVLREGAMWEVVHPLHGGLVINVGDLLHILSNGLYPSVLHRVLVNRTEQRFSIAYLYGPSEDVQICPHEKLVGPSELE >OIW12402 pep chromosome:LupAngTanjil_v1.0:LG05:512794:515115:-1 gene:TanjilG_04151 transcript:OIW12402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESNVNGSAEENNGFRTPHKENFTTQQQASPRSTLSPRSIQSGDSIDLTIDGVVDTSIEQLYHNVCEMRSSDQSPSRASFYSYGEESSRIDSELCHLVGDIVDLEITKEVVTEENKEESYPEKDVVSCGNDPTKKGNGQRVIEGSTKSTRKGRNSSTYKTNNEKGVRKVNGVFHMRKHNRNLGLKEIEESDAELGPYLLKQTRYMISSGGNPRKALSLALRALKSFEMLSESNGKPSLEMVMCLHVLATIYCNLGQYKEAIPILERSIDIPVLEDGQDHALAKFAGCMQLGDTYAMMGEIENSILFYTAGLGIQGQALGESDLRFGETCRYVAEAHVQALQFDEAGKLCQTALDIHRENGSPASLEEAADKRLMGLICESKGDYEAALEHYVLASMAMSANGQEFDVAMVDCSIGDVYLSLARYDEALFSYQKALSVFKSAKGENHPTVASVYVRLADLYNKIGKFKESKSHCKNALRIFGKSNPGIPSEEVASGLIDVAAIYQSMNDLGRGLKLLKKALKIYGNAPGHQSTIAGIEAQIGVIYYMLGNYSDSYDIFKSAIAKFRASGEKKSALFGIALNQMGLTCVQRYAINEAADLFEEARTILENEYGPYHSDTLGVYSNLAGTYDAMGRVEDAIEILEHVVGMREEKLGTANPDVDDEKRRLEELLKEAGRARNRKSRRSLETLLDANSHLIKENFIKIL >OIW11822 pep chromosome:LupAngTanjil_v1.0:LG05:14290522:14290840:1 gene:TanjilG_07303 transcript:OIW11822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRQTEIKVDCGGANGRRHNTIHGGLQVHELGVVRLHRRRLTMVVPLFMAVAAETRCFPARMQFGHGGGVSAVPAAK >OIW12106 pep chromosome:LupAngTanjil_v1.0:LG05:7403905:7404153:1 gene:TanjilG_31213 transcript:OIW12106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGNKHRKSSPSFFSIFNIFSSKKSRSVQYDSYDSDPKVWPSDYDRDQWGVAESDIDRKAEAFILKCSESEHFQLDPAAGIA >OIW11707 pep chromosome:LupAngTanjil_v1.0:LG05:19097600:19100644:1 gene:TanjilG_12226 transcript:OIW11707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKALLIGCNYPGTKAELKGCINDVWRMHNCLINRYNFSEEDITILIDTDHSYTQPTGKNIRLALSRLIRFAKPDDVLFVHYSGHGTRLPAETGEDDTGYDECIVPTDMNLITDDDFRAFINKVPRGCKITLVSDSCHSGGLIQAAKEQIGESTRELTQNSGTGYESRTFIHRSMEDAVVEERHVSVPHQFHGYVKNRSLSLQTLVDILKQKTGDDDIEVGKLRPTLFNFFGDDASPKVKHVMKFILNKLEEHADGESGEHSGILGLVGNLAQEFLKHKLEESDEDETHIRRKQEVHDGSSKGRVVDHGILLSGCQTNQTSADASPFGNSDYAYGAFSNAIQAIIEETDGEVTNWELVVKARKKLKREGFSQKPGLYCSDQYADTPFVC >OIW12108 pep chromosome:LupAngTanjil_v1.0:LG05:7358924:7361063:-1 gene:TanjilG_31215 transcript:OIW12108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLASPTYPDSFTFPYLLKSCVRLFSLKLGIQVHCHVVKNGLESDVFVMNSLLNLYCVFGDTRNASKVFDGSPVRDLVSYNTLINGFVEAGLVSCSMRLFGEMRRFCVEPDGYTFVALLSACSSLEDCETGKKVHCLAYKKLDSLGSNALLMNALVDMYAKCGCLEMAKRVLMSGIRIGKSDVAAWTSVMSAYARCGEVDVARRIFDQMEERDVVSWTAMINGYSCAGHFEEALELFLKLEDLGMEPDVVAVIAALSACARLGALESGRRIHHKYAGKNWPCDQNGGFISAAVDMYAKCGSIDSALDIFCKTSDYLKTTFLYNSIISGLAHHGRGESAISLFKEMEILGLRPDEITFVALLCACGHSGLVDDVTLKVKQLESASHLHTACNHRKERKRVKNQNGEV >OIW12118 pep chromosome:LupAngTanjil_v1.0:LG05:7114945:7116734:-1 gene:TanjilG_31225 transcript:OIW12118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMIFTSSVAPRTPHCTLLPSSSSSSSSSSPPTFLQVPTTHYRHSFALASQNTRNVIGQKCFMVKEDKEVEFSETILHSFSPLPLLYAAALLPGAGAVTSAFEPFVDVVKSFDLPGWLVHWGHPGNMVLTSL >OIW12005 pep chromosome:LupAngTanjil_v1.0:LG05:9927222:9927410:-1 gene:TanjilG_16116 transcript:OIW12005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVLIPLGEIKEVNKNQNVNKLEQKYIEIVTKDDFEFWFMGFVWCKKILINLHNAIDMANLF >OIW11719 pep chromosome:LupAngTanjil_v1.0:LG05:18774361:18786619:-1 gene:TanjilG_14352 transcript:OIW11719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGCTLPPSSLLLHFHSGFNATNSFSFHIPIASILHSPKSKAFSTSLFAQKPLFSSSSSASSLSPQQQDGDDDEENFQVLTAMKTSYNDILIVDTPKSRMLLLDSSYNVHSVLYKEQKWTDSYWDEFASLPAIVPKGPIAILGLGGGTAAHLMLDLWPSLQLEGWEIDQILIDKARDYFGLSDLEKATEEGGILNVHIGDVFIPSENLHGKYAGIVVDLFSDGKVLPQLQEISTWLKLRERLMPNGRFMVNCGGIDGGSSVIDARNDPELLTSDETWFLNPALKALSKAFPGQVNWKRMPKKSGENFMALTGPMPDMESWSASVPYPLSTNVKDWRPCGPVSAV >OIW11655 pep chromosome:LupAngTanjil_v1.0:LG05:20580518:20581408:-1 gene:TanjilG_24349 transcript:OIW11655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDGSNNQSRCMVSMRFTVACAAVSAIALVNQDDENDQGNEKVFTVYKVIIAAMITHVIVSHLFTLLSSSCSGSQFETTEDDADGESDGWSFPPNGWIKCNVDGSAPEDKASGCGGVFRDSTGSWLYGFAISLDRGTSSAIELSAISIALDIAWDRGFRRLILETDSLTVADVVNNKDGACSHRSHEKKLVENIRDKKKKRKWDVRIVHSKREGNKVADWLANLSHGLQVGDQIELPKLPPGCFSCQKLMFEDTRLAKAQVGRSMIDRLRCIGMKGSSFLLVMFLVLSPYVVHVPN >OIW12390 pep chromosome:LupAngTanjil_v1.0:LG05:380711:386563:-1 gene:TanjilG_04139 transcript:OIW12390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDSGVESDNFDWNTEDELEIENFQSSSSCLTVVPNGEATTGSGEASSSAGSSSSKLSDHFVGMGFPVEMVCKVIQEHGEENEDKLLEELLTYSVLKSAPQPPVKIEPDPCSSDCAISSWGDLSDSDDTSSDYEETTKSVSVNDGKLLSLVKMGYKEEEALIAIERLGLKSSLEELVDFISVAQIVKEEDVLLPPEDQPQYGDHAKLKKQRYYEYEVLGRKKPKIREKRILNNEDDEDAAVHLPNPMIGFGTPTDSTFITHRKIPREAMGPPYFYYENVALAPKGVWQTISRFLYDVEPEFVDSKYFCAAARKRGYIHNLPIENRFPLLPLPPRTISEAFPLTRRWWPSWDPRTKLNCLQTCIGSAKLTDRIRKAVENYDGEPPQHVQKYVLDQCRKWNLVWVGRNKVAPLEPDEVETLLGFPRNHTRGGGISRTDRYKSLGNSFQVDTVAYHLSVLKEMYPNGINLLSLFSGIGGAEVALHRLGIPLKTVVSVEKSEVNRNIVRSWWEQTNQKGNLIDMEDVQQLDGERLEQLMNTFGGFDLVVGGSPCNNLAGSNRVSRDGLEGKESSLFFDYFRILDLVKNMMVKNE >OIW12268 pep chromosome:LupAngTanjil_v1.0:LG05:2661233:2668266:-1 gene:TanjilG_06057 transcript:OIW12268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNFDSDLSSVVRINIGGKKFWTTTDTLTQREPDSMLAAMFSGRHTLCQDSDKGYVFVDRDGKHFRHILNWLRDGVVPTLEESEYTELLREAEYYQLLGLMDGIHAVLNKRKEDDEFHTELTRTDIIKCIQSDRVRFRGVNLSGLDLSKLDLSFVDFSYACLKNVFFSRANLQCAKFRDVDAEGSIFHNATLRECEFTGANLRGALLAGACLQSANLQDACLVDSSFCGADLRSAHLQNADLTNANLEGAILEGANLKGAKLSNANLKGANLQRAYLRHVNLQNTHLEGARLDGANLLGAIR >OIW11904 pep chromosome:LupAngTanjil_v1.0:LG05:11339741:11342034:-1 gene:TanjilG_18177 transcript:OIW11904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYGAVVWDPWLIVGQIVCLQCLYYITLGMFLSLLVGTRVSRMSLVYFFDYVTITTSTITGWCVIASFLFSSLAGAVYMLYLIERAKKCLDFSATLYIIHLFICIVFGGWPSSITWWIVNGTGIAVMALLGEYLCIKRELREIPITRYRSNV >OIW11444 pep chromosome:LupAngTanjil_v1.0:LG05:23526331:23526534:-1 gene:TanjilG_26810 transcript:OIW11444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLVGSSVQFFTAIMVVGLFCMANTMAQGSEIAPTSQLQAGDGFAMHISWAALCSSVLASLVAFMM >OIW12177 pep chromosome:LupAngTanjil_v1.0:LG05:4360655:4375383:-1 gene:TanjilG_28585 transcript:OIW12177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDSTTRPVGGTEFSWCKAVPGGTGVTVLGLLLSKPPPISIIQNTLHNLQNSHPILRSKIHLDASTNTFHFVTPSNPRIQIEPFDLQSTAQIIDTESNGHDHGDNHTHNHTNPFQILVEHEMNRNAWRDIGNADSDVIYASAYEISNERFAVFIRIHTAACDRAAAVALLREMMRRVSGGGDGGRGEKEEEMNLAIEEMIPMEKRNKPFWARGLDMLGYSLNALRLGNLGFVDTESQRSSKVVRMQLNAQETMSLISGCKSRGIKLCAALAAAGMIASWTSKHLPEYQKEKYAVVTLIDCRSTLDPVLPNNHLGFYHSAIMNTHDVCEEPLWELAERCYTSFINSMNYNKHYSDMADLNYLMCKAIENPGLTPSSSLRTALISVFEDTVIDDSEQNEMHKELGLEDIVGCASAHGIGPSLAVFDTIRDGKLDCAFVYPSPLHSREQIQELVDHMKRILVDGCNSENQN >OIW11447 pep chromosome:LupAngTanjil_v1.0:LG05:23484170:23485277:-1 gene:TanjilG_26813 transcript:OIW11447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPENIDWDNIDSTFVQDDAYENFNAPKWVDLSSNEVLDVDDEAWFCTHDCKHPKTAEDFLKSTATRNTKGKLLRFASFSEIRPFRDRYRRQNSSPEGRSYVKLSEKSRRPNCSGNSNEENENKNPNFSSSNPNFSSSNPKGITTNPKKPLMRSESKIPKHPVKNDRKLKSTFSAQNLLGGRDILNQITGFCSELKRLASTRKCYYKKGESEKCSSSSDVSEELKKEEVVVKERVPLLKVKNRK >OIW11913 pep chromosome:LupAngTanjil_v1.0:LG05:11212392:11215735:1 gene:TanjilG_18186 transcript:OIW11913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVLQTKGLLSLPLNPRIRALQPSHGLKHRFFSSKPKTINGFSSLSAINHGFQKLHSTSSKVEFFGQKDKNLFICRAEAEADGKPVFGENEVVVEPKILGIEVTTFKKIIPLGLMFFCILFNYTILRDTKDVLVITARGSSAEIIPFLKTWVNLPMAIGFMLLYTKLSNVLSKQALFYSVILPFIAFFGAFGFVLYPLSSYIHPEVLADKLLNILGPRFLGPLAILRIWSFCLFYVMAELWGSVVISVLFWGFANQITTVEEAKRFYPLFGLGANIALIFSGRTVKYFSNLRQNLGPGVDGWAISLKGMMSIVVLLGFVICLLYWWVNNFVPLPTRSKKKKEKPKMGTMESLKFLVSSPYIRDLATLVVAYGISINLVEVTWKSKLKAQFPSPNEYSSFMGDFSTATGIATFTMMLLSQFIFDKYGWGVAAKITPTVLLLTGVGFFSLILFGGPVAPIIAKFGMTPLLAAVYVGALQNIFSKSAKYSLFDPCKEMAYIPLDEDTKVKGKAAIDVVCNPLGKSGGALIQQFMILSFGSLANSTPYLGGVLLVIVLAWLAAAKSLDTQFTALRREEELEKEMERADALKIPVVAENSEGGNGFSASGDSSSTPSEASSPHNN >OIW11259 pep chromosome:LupAngTanjil_v1.0:LG05:26204704:26206180:-1 gene:TanjilG_28350 transcript:OIW11259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSIQQFMDTSNSEHNLTNNTITSTNITTSFGAASASSSSSPATTSRYENQKRRDWNTFGQYLKNHRPPLSLSRCSGANVLEFLRYLDQFGKTKVHTPMCPFYGHPNPPGPCTCPLKQAWGSLDALIGRLRAAFEENGGKPEANPFGARAVRLYLREVRDLQSKARGISYEKKKKKRKQPPQQQQQTVLPPAPTSTQ >OIW11718 pep chromosome:LupAngTanjil_v1.0:LG05:18762599:18764612:-1 gene:TanjilG_14351 transcript:OIW11718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPSSGQLTVPPGFRFHPTDEELLYYYLRKKVSYEAIDLDVIREVDLNRLEPWDLKDKCRIGSGPQNEWYFFSHKDKKYPTGTRTNRATTAGFWKATGRDKAIYHSNSKRIGMRKTLVFYTGRAPHGHKTEWIMHEYRLDEDDAEVQEDGWVVCRVFKKKNQNRSYQQEIEEEDHHFTHMRTTGPSQILEPKHHHMQGLYDYNNFDGSLHLPQLFSPESAIAPTHSMASPMNAMDILECSQNLLRLTTTDACGHNLMHQQQQHGERFNGDWSFLDKLLASHNNNPPTHHDAAPSVGISAQKFPFHYLGCDTHDIMKFSK >OIW11337 pep chromosome:LupAngTanjil_v1.0:LG05:24533505:24536014:1 gene:TanjilG_20486 transcript:OIW11337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELEPLAMFSVMQIFTKVPFDTVLFSLIMITILIVILTTLSYLISSFLSRMNIFCSCETCQAYLTSSWSKDFDNLCDWYTHLLQNSPTKTIHIHMLRNTITANPDNVEYMLKTRFENYPKGKNFSMILGDFLGRGIFNVDGELWSFQKKMASLELNKSSIRSFAFDIINYEIKHRLVPLLLSKQDNHVLDMQDVFKRFSFDSMCKFSFGLDPMCLEQSFPISHFAMSFDLASKLSAERAMTVSPLVWKLKRLFNLGSEKRLQEAVRMIDILAKEVIRQRRKMGFCDHKDLLSRFMSSVNDEAYLRDIVISFLLAGRDTVASALTSFFWLLAKHPEVESAILIEADQVIGEDKDLTCFEELQQLHYLQAATYESMRLYPPVQFNSKFCLQDDILPDGTSLKSGTRVTYHPYAMGRLKEIWGQDCLEFKPQRWLKDGIFYPQNPFKYPVFQGGLRLCLGKEMALLELKSVALSLLRKFHIQLAPPFYKTPRFSPGLTATFSYGLPVKVRERRTENL >OIW12119 pep chromosome:LupAngTanjil_v1.0:LG05:7091469:7093179:-1 gene:TanjilG_31226 transcript:OIW12119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSSAVVLFAMGGYGTYLGFRIRYSDDVEEKAKAKDLHPKLLAGMFFFFALGATGGVTSLLTSDKPIFESPHAVTGVIGLALLTIQTILPSLFEGNPGLRNVHGILGSSIMTLFLIHAALGLQLGLSS >OIW11891 pep chromosome:LupAngTanjil_v1.0:LG05:11861003:11864051:1 gene:TanjilG_25804 transcript:OIW11891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VEFLPKFSVRGIWQRWRESYPTEGSKSDDAITLFTGVPTIYTRLIQGYHAMDPELKATSASAARNLRLMMCGSSALPQPVMQEWEAITGHRLLERYGMTEFVMALSNPLKGERKAGTVGKPFPGIQVKILADEDNKSETKGVGELCVKSPSLFNQYWKLPEVTKESFTDDGFFRTGDAATTDEDGYYIILGRTNADIIKVGGYKLSALEIESVIVEASSYSFLNLRLFVHPAISECCVLGIPDKDYGEIVGAIIVADADLKRKRDEESKPPLTLEELSTWAKDKIAPYKIPTRLIVWDSIPRNAMGKVNKKELKKLLVSEE >OIW12173 pep chromosome:LupAngTanjil_v1.0:LG05:4137013:4138798:1 gene:TanjilG_28581 transcript:OIW12173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERSSSTLSTREEEDQKDKSTKQAKTMELIHNSALMNQKASLRVDAVMENGKEAFETSTSLVMKRSVISCKDISKGVGANGMFDHTDEDEEADASHECFGSESESKEKSLHSYAHLLAKELMSQSQEGSWFCILDKELIEERMLLQNEEGIHEFHVVVTHGNSVINEEGLLPQFEQHAKGTNHGSVSRKGKAIMGNKCETSKAATGTQVEHSLVMEKHFNMHEKESSPCNVTYLWGPPTMTLKVLPLYLMGPQLMRKGGPHMGANLIDPPNYVNVQVYSPLSSVSWANCSTSSANRFMGQGVWEKTPDPNGMEVDDVLEFAAHSMNHDEDLVAHLESSRVQES >OIW11374 pep chromosome:LupAngTanjil_v1.0:LG05:24118575:24119027:1 gene:TanjilG_19630 transcript:OIW11374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDSTAATITTTTTTLRRHSSLNTPKRTQPLSFLSRSLYNLNLDTLNPPLSSSYTSLRDILPSTAATNSPTATAAASSSCYEIPIRNHLVKQAAWAYLQPMSGPVTSSPAPHSLRRLRRRFTAFVSFINHHIIPTVSQVFHRILHALCAS >OIW11297 pep chromosome:LupAngTanjil_v1.0:LG05:24859454:24864072:1 gene:TanjilG_20446 transcript:OIW11297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANQPESSDANKGTKRDFSTAILERKKAPNRLVVDESINDDNSVVALHPDTMEKLQLFRGDTILIKGKKRKDTVCIALADDTCEEPKIRMNKVVRNNLRVRLGDVVSVHQCPDVKYGKRVHVLPVDDTIEGVTGNLFDAYIKPYFLEAYRPVRKGDFFLVRGGMRSVEFKVIETDPGEYCVVAPDTEIFCEGEPVKREDENRLDEVGYDDVGGVRKQMAQIRELVELPLRHPQLFKSIGVKPPKGILLYGPPGSGKTLIARAVANETGAFFFCINGPEIMSKLAGESESNLRKAFEEAEKNAPSIIFIDEIDSIAPKREKTNGEVERRIVSQLLTLMDGLKSRAHVIVMGATNRPNSIDPALRRFGRFDREIDIGVPDEVGRLEVLRIHTKNMKLAENVDLEKIAKDTHGYVGADLAALCTEAALQCIREKMDVIDLEDETIDAEILNSMAVTNDHFHSALGSSNPSALRETVVEVPNVSWEDIGGLENVKRELQETVQYPVEHPEKFEKFGMSPSKGVLFYGPPGCGKTLLAKAIANECQANFISVKGPELLTMWFGESEANVREIFDKARGSAPCVLFFDELDSIATQRGGSSGDAGGAADRVLNQLLTEMDGMSAKKTVFIIGATNRPDIIDSALLRPGRLDQLIYIPLPDEDSRHNIFKACLRKSPISKDVDIKALAKYTQGFSGADITEICQRACKYAIRENIEKDIERERRRSENPEAMEEDIEDEVAEIKAAHFEESMKYARRSVSDADIRKYQAFAQTLQQSRGFGSEFRFADASSGGGATTAASDPFASAGGADDDDLYS >OIW11768 pep chromosome:LupAngTanjil_v1.0:LG05:16819092:16819694:-1 gene:TanjilG_14308 transcript:OIW11768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNKNNSDKDNTVTRRSFGRPRGSKNKPKPPIVVTRVSPSAFKSNVFEFASGVNIAESLLCFASTHQRGLCVLSATGTITDVTLQQGDGTNMVFRGQFGIIIMNGLFVPPGSSPSGLTSLTVYLGRDHGRMIGGMVVGPLVASGPVMVMAATFANAIYVRNPLSNNHNDEDGLLGGFGGGDDGNYNSFGKKGGSSSKMCN >OIW11448 pep chromosome:LupAngTanjil_v1.0:LG05:23476193:23479136:-1 gene:TanjilG_26814 transcript:OIW11448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKEATSENKASPLFSLSTPLLHLEKNNKGETTVGIQEDYIAVKGGDVEDTFDESEEKYRSEVGIIDGTEVNDCPIEQVRLTVPITDDPTQPALTFRTWVLGLASCVLLAFANQFFGYRTNPLTITSVPAQIVTLPLGKLMAATLPTKPIRVPFTKWSFSMNPGPFHLKEHVLITIFATSGSSGVYAISIITIVKAFYHRSIHPVAAYLLALSTQMLGYGWAGIFRRFLVDSPYMWWPANLVQVSLFRAFHEKEKRPEGGSTRLQFFFMVFAASFAYCIVPSYFFQSISTISFVCLVWKNSITAQQIGSGMKGLGIGSFGLDWNTVVGFLGSPLAVPGFAVINILVGFVLFMYVLIPLSYWNNLYDAKKYPLISSHTFDSTGAIYNVTRVLNTKTFDIDLDNYNNYSKLYLSIAFAFEYGLSFASLTATLSHVVLFHGKAILRMWRKTTALKGELGDVHTRIMKKNYEQVPEWWFVSILTVMVIMALVACEGFGKQLQLPWWGILLSLAIGLLFTLPIGVIQATTNTKLGINVITELIIGFIYPGKPLANVAFKSYGYTSITQALGFLGDFKLGHYMKIPPKSMFIVQLVGTVVASFVYFGTAWWLLTSIENICDETLLPVGSPWTCPGDTVFYNASIIWGVVGPQRMFTNDGIYPGMNWFFLIGILAPVPVWLLSLKFPNHKWIQLINMPIIIAGASNIPPARSVSYITWGVVGIFFNFYVYRKFKGWWARHTYILSAGLDAGVAFLAVILYFSLQSYGIFGPTWWGLEADDHCPLANCPTAPGVYAEGCPIL >OIW12448 pep chromosome:LupAngTanjil_v1.0:LG05:1078596:1086373:-1 gene:TanjilG_04197 transcript:OIW12448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGILIWVFTRIFKRCEAINSGCSSKHGNEIYETSYDNSDTLCESNNTIEQECGEVDSHGCEIEAQSNEDLSNIENLEVEEKETNELVFKFQYQTWNYSEDFSRKDGESFDKGSTNSNTNKYEFIPGKIFSHFLEEPEVSSFTVKELYVHSKDSALKENDSNPLSEICERGSVDAEEKFKGVESIEQPEEENDEVENLSNNDFICSTIELDSMSSIGEGFLSDTDFVTRNYDDEHEGLKEDFDFVKVKDSENFDDEDIDIVGELRKTEESRLQNSEMDNMLKLRDHCFQHSHRINSKHEESVKSNSHGSTGLDRADSSRFDTLWEHQYLVEEVKMELRKVIATGLPTMLENYESPRIMEELKPWKIEEQFQHGCTINDLPKAYRSYRERMRKFDILNYQKMYALGSLQLKDPLQSFSSGQNSSSAITSFLPNSFRLSRRKKSESEDPMKKFIKEFYSDLEMVYVGQLCLSWEILKWEYEKVLDLWESEQYELQRYNEVAGEFQQFQVLLQRFIENEPFQGPRVENYARNRCVMCNLLQVPIIREDNAKLNCNDKKKLRENEAYKDAITSQKLLEILEESIRTIWCFIRNDKDASKGPREIQVELQDPADSEFVMEIRAELQQLMGILIWVFTRIFKRCEAINSGCSSKHGNEIYETSYDNSDTLCESNNTIEQECGEVDSHGCEIEAQSNEDLSNIENLEVEEKETNELVFKFQYQTWNYSEDFSRKDGESFDKGSTNSNTNKYEFIPGKIFSHFLEEPEVSSFTVKELYVHSKDSALKENDSNPLSEICERGSVDAEEKFKGVESIEQPEEENDEVENLSNNDFICSTIELDSMSSIGEGFLSDTDFVTRNYDDEHEGLKEDFDFVKVKDSENFDDEDIDIVGELRKTEESRLQNSEMDNMLKLRDHCFQHSHRINSKHEESVKSNSHGSTGLDRADSSRFDTLWEHQYLVEEVKMELRKVIATGLPTMLENYESPRIMEELKPWKIEEQFQHGCTINDLPKAYRSYRERMRKFDILNYQKMYALGSLQLKDPLQSFSSGQNSSSAITSFLPNSFRLSRRKKSESEDPMKKFIKEFYSDLEMVYVGQLCLSWEILKWEYEKVLDLWESEQYELQRYNEVAGEFQQFQVLLQRFIENEPFQGPRVENYARNRCVMCNLLQVPIIREDNAKLNCNDKKKLRENEAYKDAITSQKLLEILEESIRTIWCFIRNDKDASKGPREIQVELQDPADSEFVMEIRAELQQSSGYEIGLESVEHVKNNQ >OIW11653 pep chromosome:LupAngTanjil_v1.0:LG05:20507321:20516172:1 gene:TanjilG_24347 transcript:OIW11653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKVELKRIENKINRQVTFAKRRNGLFKKAYELSILCDAEIALIIFSNRGKLYEFCSSSSMEKTLERYHRCSYESLEVHHQPAMETQKRYQEYLKLKSKVEALQRTQRSFLGEELEHLDIKELEQLEHQLDSSLKQIRSNKVRTFGYLSMRTR >OIW11330 pep chromosome:LupAngTanjil_v1.0:LG05:24613703:24614281:1 gene:TanjilG_20479 transcript:OIW11330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNGNNNTSASSSSDAATGRHGLYRGVRRRSSGKWVSEIREPRKPNRIWLGTFPTPEMAAIAYDVAALALKGKDAVLNFPNLASSLPVPASSAARDIQMAATSAATTIGAAKDALSGDEVSVGGHVPVSQEINMGSGSEFVDEDLIFDMPNILAYMAEGMLLSPPRFDIGGGEYDYATQENIEEDSNLWNFT >OIW11685 pep chromosome:LupAngTanjil_v1.0:LG05:19593102:19594547:-1 gene:TanjilG_12204 transcript:OIW11685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKPEDPQEQEQDVTDVAAYGSQMAYSSNYQIDANQHQQQQLHATEYAQQQQQHHATGVAADGSQMVYSSNYHTCATPHYLAYQQAQLFHHQQQQLHAAGLAADGSQMAYSSNYHTGASPHHLAYQERKLQHHQPKHQHHQQQQLQTFWASQMQEIEQTYDFKNPSFPLARIKRIMRADEDVRMISATALILFSKACEMFTTDLTLRSWAHTLENKRKMLQRNDIAAAIARKDAFDFLADIFERDESKEEGHGITKDTLGHGITKDTLPILGSPADPRYYHGPPQYPVGPIGMNMGNPIVDQATEMIMRNPVVDQATGMMTGNPVVDQATRTIMRNQVVDQATGMNMGNPVFDEATGMNMENPVFDQATGMNIGNPVFDEATGMNMGNPVFDQATRMNIGNPVFDEATGMNMVNPVFDQATGMNMGNPIFDQATGMIMGNPIVDQTTLYSTPQHGLPMPFMPWLDAQPPQQQLQHQEETDP >OIW12212 pep chromosome:LupAngTanjil_v1.0:LG05:5604412:5609203:1 gene:TanjilG_28620 transcript:OIW12212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHRLLRDHEADGWERSDFPIICESCLGDSPYVRMTKAEYDKECKICTRPFTVFRWRPGRDARYKKSEICQTCSKLKNVCQVCLLDLEYGLPVQVRDTALSIDSNDAIPKSDVNREYFAEEHDRKARAGIDYESSYGKAHPNDTILKLQRTTPYYKRNRAHVCSFYIRGECTRGAECPYRHEMPVTGELSQQNIKDRYYGVNDPVALKLLGKAGEMSSLEAPEDESIKTLYVGGLDARVTEQDLRDNFYAHGEIESIKMVLQRACAFVTYTTREGAEKAAEELSNKLVIKGLRLKLMWGRPQSAKPELDASDQARQQASVAHSGLLPRAVISQQQSQDQNQGIVYYGNPPPQPERSYYPSMDPQRMGALVTSQEGPPGGPSGSGENKPGLERQQMQHYAHPMMPPPPGQYHHQYHPPYGHMPQVPPYQQLYPPPPPYNAPMPPSQPPAINHPYQHSMQPGSSQAGSGQGGSAPVEAGTPTSGSQQQ >OIW12185 pep chromosome:LupAngTanjil_v1.0:LG05:4653301:4654050:1 gene:TanjilG_28593 transcript:OIW12185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFPFLKNTNSARRRSYTSWAWPSCHQTRTLSFRANNLKDDAAMFKTINSAYSEAESSKSFFTESHDEDSASFSTCSEDETMIRGLRSDRLFFEPDETSSLLEAKIASPPFKDSVILSMVSKDAYVDFRESMEEMVLAYGVNDWESLEELLCWYLKVNGRSNYGYIVGAFVDLLVGIALESASSPSPSTTAYSGGSTSYVSSSSSSMCSYRCSAFCASCLEGKVKVNGPSSSLLLEQVKEEITLEDDEA >OIW11772 pep chromosome:LupAngTanjil_v1.0:LG05:16855586:16862804:-1 gene:TanjilG_14312 transcript:OIW11772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYRRSLSIRRNIDRKCQPSFTYVLQSDEKKGERSDEKSSTAGISNFIQTRSFGSSRNGWMGFVAPSRDRMLSNGFLSPCSGYNLCRYMSTINQGSDKIEIMSNVANAVADTTIQAVASQAPNVSEVAIAAADSFLPVKLLQFLIDGVHSYTGLNWWAAIVLTTVLIRIATVPLLINQLKASSKFELIRPKIQEIREQIQKKDMDPVAAAEGQLRIKNLFHQHGVSPLTPLKGILIQGPIFISFFLAIRNMAEKMPSFEHGGAYWFVDLTTPDTLYIFPVLTALSYLITVECDMKERLEGNAYAGILKNAARGFSVLTVPFTMGFSKAIFCYWITSNLFSIMYTLVVKVPGVRKSLGLPQKASVAVAPTIAPQSPFSFPSPKQAASAKKGSNSLPDEPSKQYKKISSSPNNQRLKSLEKQVKGRKKNKR >OIW11919 pep chromosome:LupAngTanjil_v1.0:LG05:11110807:11111085:1 gene:TanjilG_18192 transcript:OIW11919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRSYIQTSSSCTNKHGKEESTNLKEKRNPATSSLHGLEKKREINMAKKLERMESEDIDAKADAFIKNFKHHLLIQRLQSIENYEQMLARGL >OIW11774 pep chromosome:LupAngTanjil_v1.0:LG05:16922902:16924805:1 gene:TanjilG_14314 transcript:OIW11774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELGLSLGDSSRPFVGFIEKPSEVSNQHGLGFNTTLSIGPIITTQRDQPQQHEQEQLETKPSNNNNSGHSVSPKNNNVMHQLDLLPRLSLPWLPPSQNAELGGCSRSLDVNTLPAAAAEDEVEEGAALSSSPNSAASPLQMDLSIYNARGGSLSGSGGNYYYNGGGGGDSGGKRDSDDGDEDDNVGGSSRKKLRLSKEQSAFLEDSFKEHSTLNPKQKLALAKQLNLRPRQVEVWFQNRRARTKLKQTEMDCEYLKRCCETLTEENRRLHKELQELRALKTSKPFYMQLPATTLTMCPSCERVATNSTSTSSATPSSATNNANSGAMVTAKTSNDSKVVGFPLGKPMFHSFSHTTQPYTH >OIW11401 pep chromosome:LupAngTanjil_v1.0:LG05:23886230:23888488:1 gene:TanjilG_10719 transcript:OIW11401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFECVFKEYLQEEKEGMLDKKCAHIEYSRLKEVLKSCQRCKQLNTSSDSDLNEHNLCRCQSCSLCDEKFFAELTKEASDIVGYFNSRVRHILHLHTATGMKSYVWRLRQCFKNDRQTLEKEGKNLIEYIAMNAIAMRKILKKYDKVRNPVNGENFKSRMLAEHIELLHSPWLIELGAFYLNFSGRDGCELDVFCGNFSCDLNITEAVMTMRLPDSIKLEYNLTCAICLDFVFNPYALGCGHIFCKSCACSAASVMIFQGLKAARPESKCPICREVGVYSKPMHMLELDLLIKKRCKNYWKERLYEERCDTLHQSKIYWNVHSTYSGLM >OIW11650 pep chromosome:LupAngTanjil_v1.0:LG05:20943230:20948267:1 gene:TanjilG_24856 transcript:OIW11650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVTNVTEYEAIAKQKLPKMVYDYYASGAEDQWTLQENRNAFSRILFRPRILIDVSKIDLSTTVLGFKISMPIMIAPTAMQKMAHPEGEYATARAASAAGTIMTLSSWATSSVEEVASTGPGIRFFQLYVYKDRNVVAQLVRRAEKAGFKAIALTVDTPRLGRREADIKNRFTLPPFLTLKNFEGLDLGKMDEASDSGLASYVAGQIDRTLSWKDVQWLQTITKLPILVKGVLTAEDARLAVQAGAAGIIVSNHGARQLDYVPATISALEEVVKAAQGRVPVFLDGGVRRGTDVFKALALGASGIFIGRPVVFSLAAEGEAGVSKVLQMLREEFELTLALSGCRSIKEITRDHIVADWDLPRTQPRISPRL >OIW11699 pep chromosome:LupAngTanjil_v1.0:LG05:19329145:19336187:1 gene:TanjilG_12218 transcript:OIW11699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSAAAAGSADPTATRRITKRPKYSKFTQQELPACKPILTPRAVISAFLLVSVVFVPIGVVSLMASRKVVEIVRRYESECIPHVVQDKVHKHMKSPIYVYYQLDNFYQNHRRYVKSRSDEQLRDRSKESSTSTCKPEDMANFKPIPRIQFILSLRLRLTGPITRPHRKYERTLGQGLDFVSKLSDSKFTQQELPACKPILTPRAVISAFLLVSVVFVPIGVVSLMASRKVVEIVRRYESECIPHVVQDKVHKHMKSPIYVYYQLDNFYQNHRRYVKSRSDEQLRDRSKESSTSTCKPEDMANFKPIVPCGLIAWSLFNDTYIFSRNNKNLTLNKKGISWKSDREHKFGKDVFPKNFQNSSIIGGAHLIESIPLSDQEDLIVWMRTAALPTFRKLYGKIEVDLNEGDTINVLLKNNYNTYSFNGKKKLVLSTTSWLGGKNDFLGIAYLTVGGLCFVLALAFTIVYFVKPR >OIW11438 pep chromosome:LupAngTanjil_v1.0:LG05:23556966:23559813:1 gene:TanjilG_26804 transcript:OIW11438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTFCIYLIFLLSLTFTIFNLSSSSSPSQTDILLSFKASIQDSNNALSTWSNTSSNHYCNWNGISCSTTKPFSVTSISLQSLNLSGDISSSICDLTKLSYLNLADNSFNEPIPLHLSKCSFLETLNLSNNLIWGTIPSQISEFGSLRVLDLSRNHIEGNIPESFGTLKNLEVLNIGSNLLSGNVPTIFGNLTKLEVLDLSQNPYLVSEIPEDIGELGRLKQLLLQSSSFQGEIPGSLVGLYSLTHLDLSENNLTGFVPKSLAYSLKNLVSFDVSQNKLSGPFPNGICKGLIINLSLHTNIFTGSLPNSTISECMSLERFQVQNNGFYGDFPIELFSLPKIKLIRAENNRFSGQIPESISKAVLLEQVQLDNNSFSGKIPIGLGFVKSLYRFSASLNNFYGELPPNFCDSPVMSIMNLSHNSLSGQIPQLKKCRKLVSLSLADNSIIGEIPTSLAELPVLTYLDLSDNNLTGSIPQRLQNLKLALFNVSFNELSGKVPYSLISGLPASFLEGNPGLCGPGLPKLCSDDDMPRHHNGGVTTLTCVLISFAFVVGTAFVVGGFVLYRRSCKGNDNGVGVWRSVFFYPLRISENDLLIGMNEKSSLGKGGVFGKVYVVSLPSGELVVVKKLVNFRNQSSKSLKAEVKTLAKIRHKNIVKILGFCHSDESVFLIYEYLNEGSLGDLISSQSFPLPWGVRLRIAIGVAQGLAYLHRDYVPHLLHRNVKSNNILLDANFEPKLTDFALDRVLGEAAFHSTLDSEGASSCYNPPEYGYSKKPTEQLDVYSFGVVLLELVSGRKAEATEESDDSIDIVKWVRRKVNLTNGVQQVLDPTISHTCHQAMVGALDIALSCTSVVPEKRPTLVEVVRGLQSFESRAQDLNEEHSIPV >OIW12088 pep chromosome:LupAngTanjil_v1.0:LG05:7990012:7992541:1 gene:TanjilG_24836 transcript:OIW12088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIDWYFDLPIAGPMYYKGVYHLFYQHNPEAATFGDRIVWGHSVSYDLINWIHLNHAIEPSEPYDINSCWSGSATILQGEKPAILYTGIDENKHQVQNFALPKDLSDPFLREWVKHPQNPVMTAPSGVEVDNFRDPSTAWKGGDGKWRVVIGAQNGDEGKVILYQSDDFVNWTVHPNPFYASDNTRVCECPDFFPVSINSTNGLDTSIQNASVRHVLKISYLSKQHDYYFLGKYVSDQENFIPDIKFTGTSSDLRFDYGKFYASKSFFDYAKNRRILWGWVNESDTSQDDIEKGWAGLQTIPRQVWLDKSGKQLIQWPIEEVEKLHDKQITITGEKLVSGSTLEVSGITASQVDVDVLFEIPELESAEWLDPSGVDPQLLCSQGHASISGTIGPFGLLALAAKDLTEQTAVYFRIYKAPNRYIGLMCSDQSRSSLRQDLDKTIYGTFFDIDPNVKTISLRSLIDHSIIESFGEKGRVCITSRVYPSLAIDKEAHLYVFNNGIKNVVISKLNAWSMKQALLGNEGNNSSA >OIW11542 pep chromosome:LupAngTanjil_v1.0:LG05:22273771:22277318:-1 gene:TanjilG_26908 transcript:OIW11542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVSVAALGISQTVQALKGEVDNLLTGAVERSVTKDVKHILEMARRASSRREVLHTDFLTPPVLKESMQVLEKLADVKAIAQGGYPQAERCRISVGHPEELTSDPDIISALSITGNFQFEPCSHGDFLGSILGTGIVREKLGDIILKGEQGAQIMVVPELVEFLMSSLDKVRNVPVSCTKIPLISLDYEPPRITKFKTVEASLRVDAVASAGFKVSRSKLVDMISNGDVRINWNPVTSKGTTLKSGDIVSVSGKGRLKIGEINSTRKGKFAVELIRYL >OIW12040 pep chromosome:LupAngTanjil_v1.0:LG05:9287338:9291476:1 gene:TanjilG_24438 transcript:OIW12040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFHRWSNSQQDQKSKELYAESKVKELKDAIGSLSGHSLKYCTDACLRRYLEARNWNVDKSKKMLEDSLRWRSTYKPEKIRWVYNEIKLIADIKDEVAMEGETGKLYRATFRDRQGRSVLILRPGMQNTSSMENQMRHLVYLLENAMLNLPPDQEQMAWLIDFTGWSITNTPIKSARETINILQSHYPERLAIAFLYNPPRVFEAFWKIVKYFLDNKTFQKVKFVYPKNKDSVELMKSHFDEENLPKEFGGKSILTYNFEEFSRLMAQDDLKCVAFWDSDNNLTNHIGNEISAA >OIW11474 pep chromosome:LupAngTanjil_v1.0:LG05:23199440:23202478:1 gene:TanjilG_26840 transcript:OIW11474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACNGDGCQSTCYKDEQPCSKPTESPNPSNNICIKCKLNDAVSGYGGVDDGRFCADCFRSNLFGKFRLAVTSNAMITPTDKVLVAFSGGPSSRVALQFVHDMQERAQKNFDASRDRSLPVFGVGVVFIDESAILSIPSGEMEEAVEVISSVVSSLAPPTKELHIVPIENVYSSDSSDGKEKLIKLVGSVSDPTGREDMLLYLRMLALQKVASEFGYNRLVLGSCVSRIASHVISATVKGQGYSLPADIQYVDARWEIPIVLPLRDCFAQEINMFCHLDGLKTFRLSTGPCSTINSLVSSFVALLQEENPSRESTIVRTAGKLIPFQFNRIPEIVDGKVPLATRRRQKRYNLKPNDSVSSESFCLLCNSPLDKSEIVDASNADNSRSSDIFYSACCSSCHFQILPSDSISMEQFYMDLPQSVLSRAKQANNGNLSLLR >OIW11298 pep chromosome:LupAngTanjil_v1.0:LG05:24855824:24857547:-1 gene:TanjilG_20447 transcript:OIW11298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLVFTMGLLGILIFFHAAYSTIQYRGLLKITEEEFSGPPFNVLIELLLGLVLCIWSALILPGKFLSIHPHSEDNRIVSLPANVDFMIFNHRGKVFPVETGVKLIQ >OIW11443 pep chromosome:LupAngTanjil_v1.0:LG05:23529882:23530064:1 gene:TanjilG_26809 transcript:OIW11443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMKKVACAVIIAAASMSAAVAATEVPAPAPGPSSGASATLPLVGSLVGASVLSFLALFH >OIW11201 pep chromosome:LupAngTanjil_v1.0:LG05:25848480:25852351:-1 gene:TanjilG_28292 transcript:OIW11201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKLVGFSLPMEGMLYAIFILNFVLVCQFILLQPLVSASGGQPGNSAELFERVSESIKVKHYTEALNDLNAAIEADPNLSEAYLFRASVLRQLCRYDQSERSYKKFLELKPEHSAAEKELSQLFQAKSALETAHSLYDLGNFTKSVEYIDKVVLVFSPACTKAKLLKVKLLIADKDYEGAIAESGFILKEDENNLEALLLRGRAYYYLADHDVATRHFQKGLRLDPEHSELKKAYFGLKKLLKKSKSAEDIASKGKLRVAVEEFKGALAIDPDHLAHNVNLHLGLCKVLVRLGRGNDALDSCSEALKINEELTEALVQTALGYLECSMLQRGEAKLLTEDWEGAVEDLKSAAEKSPQDMNIREAFMRAQKALKMSKRKDYYKILGISKTASAAEIKRAYKKLALQWHPDKNVGNREEAEAKFREIAGAYEVLSDEDKRVRYDRGEDLEDAGGMGGGGGFNPYGQGGQQYTFTFEDGFPGGGGFGGGFPGGYEFHF >OIW12206 pep chromosome:LupAngTanjil_v1.0:LG05:5443733:5444188:-1 gene:TanjilG_28614 transcript:OIW12206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSCISNCVNDARVPVRASYVNLYKWPESDAEFVRSNGRKGDNNYSNLHHVVYGHPRVVDRISCRQMYLKSYKFSRKETVHEKTQKCFERVKDKVAFNFDVGSKKRSSRRRRSKCLVLRKMKEISCAALIRIFHRFLSCAATVGVVDKNG >OIW11526 pep chromosome:LupAngTanjil_v1.0:LG05:22473464:22475525:1 gene:TanjilG_26892 transcript:OIW11526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAIFHKAFAHPPEELNSPASYKGSKKPKVPEETLRDFLSHHPNNSCSMSFGHAAVMAYVPPHHKPFSIHQRLFCGVDDIYCFFLGSLNNLSLLNKQYGLTKGTDEAMLVIEAYKTLRDRGPYPADQVVKDLDGSFAFVVYDSKVGSVFAALGSDGGVKLYWGIAADGSVVISDDLEVIKEGCAKSFAPFPIGCMFHSEGGLMSFEHPMNKLKAMPRVDSEGAMCGAYFKVDKFARVNSIPRVGSQSNWMEWEQH >OIW11814 pep chromosome:LupAngTanjil_v1.0:LG05:14967645:14967977:-1 gene:TanjilG_07295 transcript:OIW11814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKHHPDLIMCRKQPGIAIGRLCEKCDGKCVICDSYVRPCTLVRVCDECNYGSFQGRCVICGGVGISDAYYCKECTQQEKDRDGCPKIVNLGSAKTDLFYERKKYGFKKR >OIW11999 pep chromosome:LupAngTanjil_v1.0:LG05:10036258:10039505:1 gene:TanjilG_16110 transcript:OIW11999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGVMILLHVFVYTYAFQLSLIFGFIQEGQSWRNEEEQIAPVALALKGKTKSNWDDEDLSDNDIKDSWEDDDEPAPAPAAPAVKTTEKAPKKSSEKATEKKGKQVEPVKEEPLDPVAEKLRQQRLVEEADYKSTKELFGVGNDEKNIDTFIPKSESDFLEYAELISNKLRPFEKSYHYMGLLKAVMRLSMTSLNGADAKDIASSVTTIANEKIKAEKEANAGKKKGGKKKQLTVDKPDEDFVPADRYDALDDYDFM >OIW11944 pep chromosome:LupAngTanjil_v1.0:LG05:10256397:10260430:-1 gene:TanjilG_02151 transcript:OIW11944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHKDHERFITSSFLNFFTHIIGFGFGLLIGISLTSYLSKTFSLNFQIQQFLLPSLGAKPQLSPPLSPPPPSIIPITSTNVSVLVSNNKTKVASNTNEKRVIKKSKTRKGLSDFLEPPIAMHDMSEEELLWRASMVPKIQKTPFKQTPKVAFMFLTKGAVLLAPLWERFFKGHEGFYSIYVHSEPSFSETVPQSSVFHDRRIPSKEVKWGENSMIEAERRLLANALLDFSNQRFVLLSESCIPLFNFSTIYTYLINSTKVYVETLDVKTNVGRGRYNSNMMPQIDLSQWRKGSQWFQIDRELAIEIVSDELYFPVFKNHCNPPCYSDEHYIPTMVNIKFLMRTASRTLTWVDWSKGGPHPSKFIRPDVTIDFLKQLRHGSSCDYNGQTTNICHLFARKFTPHALDRLLRFAPKLMQFN >OIW11205 pep chromosome:LupAngTanjil_v1.0:LG05:25865980:25866990:-1 gene:TanjilG_28296 transcript:OIW11205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLSTKPISSPGRSDKFPPPLMRFLRSNVGSRSRGRSRSNSRTMFVRKKNTIIETSQEPTSPKVTCMGQVRVKRSSKSKQQNRTTRAAARDGAPTRCRCFWIRKSAFQIKLCHCQPVWPKWGFSFKVGSFRRKSTKMKEDSIKTELEAEHVEEEEDEQEHEERVMSGDDASSLASKSCTPPSNALLLTRCRSEPYRSSSLASRFWGSPLKSEEETEEKQGNEIENRGSSYSENEIPHLERNSVSDEEGKRVSENEEKVEFFKELEDSFRDRISSMNSSENVDELKKRENGEGDCEEPRPIVLTRCKSEPARTGFKLEHEVNNLSKKRKLDLDDTSD >OIW12172 pep chromosome:LupAngTanjil_v1.0:LG05:4133459:4133656:-1 gene:TanjilG_28580 transcript:OIW12172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVENLMDHMKEILTSHTDNMMGKFKEHDDILNVRMDIMGLMIDLGFEDIYRRISRLEGNFPPIG >OIW11741 pep chromosome:LupAngTanjil_v1.0:LG05:17309648:17309918:-1 gene:TanjilG_10943 transcript:OIW11741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEPAEDLSLNKILERKTRKLSARMFFEVLVLKNHGLIDVQQDEPYGDISLKLTFSFSKAHI >OIW12290 pep chromosome:LupAngTanjil_v1.0:LG05:2937515:2940883:1 gene:TanjilG_06079 transcript:OIW12290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASATFSVAKPTLQVNGKSFSEFSGLRNSSSCYLQFPRKTSEDLYSVIAFQTSAVGSNGGYRKGVVEAKLKVAINGFGRIGRNFLRCWHGRKDSPLEVVVINDTGGVKQASHLLKYDSTLGIFDADVKPVGDDGISVDGKVIKVVSDRNPANLPWKDLGIDLVIEGTGVFVDREGAGKHIEAGAKKVLITAPGKGDIPTYVVGVNADAYNPDEPIISNASCTTNCLAPFVKVLDQKFGIIKGTMTTTHSYTGDQRLLDASHRDLRRARAAALNIVPTSTGAAKAVALVLPSLKGKLNGIALRVPTPNVSVVDLVVQVTKKTFAEEVNAAFRESADNELKGILSVCDEPLVSVDFRCSDVSSTIDSSLTLVMGDDMVKVIAWYDNEWGYSQRVVDLAHIVANNWK >OIW11777 pep chromosome:LupAngTanjil_v1.0:LG05:17039270:17044496:-1 gene:TanjilG_14317 transcript:OIW11777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFVDDLPFSTHTHSSSTSLGKDILLALLENPVLVSASSSFKANPEKKFSVFDESNPERSKVVYIFQREYATVNPEFVDFVGTDEATTCVGLVIRNRRNGMISVAHMDSPEIVETGLSQMLSLLVDDSTEIEFDVHLVGGFEDVSPQHDNVTTGSESDADLDGYSFPLCTKIVQTLWTREEKFHIKTLCVLGHNTRRDLDGITFPFFNGFVVDTTTGILVPASFDRSSRCPDEIIRRIRISTSYEDTHWNGKLLETYDTGTDRFKIAPCRWTLRQYQIALSHQHYSDSEILSICSTSPTAEAPDFVDTLRRNWNYMIEHPHWMETFPKNQPRIFERGTDGRWRRC >OIW11954 pep chromosome:LupAngTanjil_v1.0:LG05:10352577:10354016:1 gene:TanjilG_02161 transcript:OIW11954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVAASGVVGGNGSSSSSSWMKLRGRREMSNKVNRVKISCSYSSSSSVMDPYKTLRLQSDASESEVRKAFRQLALQYHPDVCKGSNCGVQFHLINEAYDVVMANLRGESKEREVYEEAYDVGIDEPLRGMNDPDWDMWEEWMGWEGAGIRDYSSHINPYI >OIW11986 pep chromosome:LupAngTanjil_v1.0:LG05:10803075:10807046:1 gene:TanjilG_02193 transcript:OIW11986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFLHHRATAKFKSSLLHRLLSTSGDQPPPDSNSPFSSTLRDIKQTLKQPKPSSSSSSSLQEIQRNLSEFRRRTSVAPPNSGQISFQELYKRNTAQNPDDSSPSPPTATGVGNLNLNSAKPNRGTPSLDAIRESLRQMNADGKGADRMSLPAYRDVLKSRPSTASSKVFGGTSELPESVFGPELRGRLRKDESSVAAAMRMEFVKVYGAEELGEKLRKLRPERKEKDWFSIKELNERLIKLREIEEKEARSTVKGVHYNDLKESLIRMVYDKDNAKKNSVQRMEILNQIGGAPEYLMGPPKEHLVEKYFHPDNMSSAEKLKIELTKVRDEFKMSESDCGSARVQVALLTTKIKHLSAVLHKKDVHSRKGLIAMVQKRKKLLKYLRRTDWDSYCLVISKLNLRDNPEHTYRGRSTQAA >OIW11765 pep chromosome:LupAngTanjil_v1.0:LG05:17994594:18001569:-1 gene:TanjilG_10967 transcript:OIW11765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPLAKDILRIALPAAMAVAADPLASLIDTAFIGHLGPVELASAGASIALFNQALKISVAPLVSITTSFVAEEDTKEKINTIEAEKQFNESVKAKSNGINSNDHLPQDIKLENSETPTEAFAANGEKNDAFAVPQNVDKEGTLRSETNNGDDRPRIWAFIVINVAFNESDISLEEAECIKVNRIILISNILIRLKSKVGSKKRHLASASTALLFGTILGLLQATILISAAKPLLGVIGLKHGSPMLFPAIKYLRLRALGSPAVLLFLVMQGIFRGFKDTKTPLYVIVAGYTFNVALDPLLIFYCKLGIQGAAISHVISQYIMALALLLLLMKKMILLPPSIKNLQISKFLKNGGLVLARIVAATFCVTLSASFAARLGPIEMAAFQTCLQVWLTSSLLADGLAVAGQAILACSFAEKDYEKLTAASARTVQFGIVLGLGLSLVVGIGLYFGAGIFSKSILVVNLIRIGVPFVAATQPINSLAFVFDGVLSGASDFAYSGYSLASHSVITKCCSTVPSLQETWFCWDLDWINHLYESSHVCWCMEAGNRNRTMAIP >OIW11747 pep chromosome:LupAngTanjil_v1.0:LG05:17514230:17528870:1 gene:TanjilG_10949 transcript:OIW11747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKSNKGRNRKGSHNNNASTASNPSEPPVPSDVPAKDNVDDTSESVKVDTTEVPAVSDSTSANLEVKEHEAENEGSEPKQGDLHLYPVPVKTHTGEKLELQLNPGDSVMDIRQFLLDASETCFVTCYGLLLHTKDGSTHHLEDYNEISEVADITTGGCSLEMVPALYDDRSSRTHVLRTRELLSLSNLHASLSTSLALQNEAAQTKAANSGDSLKPEVPELDGLGYMEDVSGSLGNLLSSPLKDSKCVESIVFSSFNPPPSYRRLVGDLIYLDVVTLEGNKFCITGSTKVFYVNSSSANNLDPTPSKATYEATTLVVLLQKISPKFKKAFREILESRAAAHPFENVQSLLPPNSWLGLHPIPDHRRDASRAENALTLLYGSEPIGMQRDWNEELQSCREFPHTSPQERILRDRALYKVTSDFVDAAINGAVGVISGCIPPINPTDPECFHMYVHNNIFFSFAVDADLEKLSKKRSDANSKAGGTSTLPSSSDKAAHISLHGDSQVSNGGKDDSPSSEDLTNATEVTQDVSPEAELADNEQATYASANNDLKGTKAYQEADVPGLYNLAMAIIDYRGHRVVAQSVLPGILQGDKSDSLLYGSVDNGKKICWNEDFHSKVSEAAKRLHLKEHSVLDGSGNVFKLAAPVECKGIIGGDDRHYLLDLLRITPRDANYTGPGSRFCILRPELITAFSQAQAAETLKSKEAKSQGATDSQTATDSQTATEADKPDLANEEKTEDVKELASAASEASDRSEEIVFNPNVFTEFKLAGSPEEIAADESNVRKVSQYLTDVVLPKFIQDLCLLEVSPMDGQTLTEALHAHGINVRYIGKVATGTKHLPHLWDLCSNEIVVRSAKHVIKDLLRDTEDHDLALAVSHFLNCLFGNCQAPGGKTISSTTQSKTPKKACPLWFAFEIMQLLLEHAGYRSAGKHSKGQARKKGKTSLRKNQPLYTNMSSEALWSDIQEFASIKYEFELPEDARSNVKKISVLRNFCQKVGITVAARKYDLNSTAPFQTSDVLDLCPVVKHSVPSCSEAKELIETGKLQLAEGMLSEAYTLFSEAFSILQQVTGPMHREVANCCRYLAMVLYHAGDMAGAIIQQHKELIINERCLGLDHPDTAHSYGNMALFYHGLNQTELALRHMSRALLLLSLSSGPDHPDVAATFINVAMMYQDIGKMNTALRYLQEALKKNERLLGEEHIQTAVCYHALAIAFNCIGAFKLSHQHEKKTYDILVKQLGEDDSRTRDSQNWMNTFKMRELQVLHIFPYEIPVMSLNFIVNAQKQKGQALNAASAQKAIDILKAHPDLVQAFQAAAVAGGSGGSGASANKSLNAAIMGEAQPRGRGIDERAARAAAEVRKKAAARGLLVRPHGVPVQALPPLTQLMNIINSGMTPDSVENGNADAAKKEANDVPSSDPIDAKKGQSVPVQEQAPVGLGKGLSSLDDKKQKSKPKAGA >OIW11254 pep chromosome:LupAngTanjil_v1.0:LG05:26182311:26184158:1 gene:TanjilG_28345 transcript:OIW11254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESVFSSPLEGLSRVRSEEGKILTQPFLDICKNLLPVVDKFGGAFSVVKSDVGGNISRLESKYQSNPSEYRFLYSLVQKEVEAKTEKASSSCTCGLLWLTRSMDYTVKLFSNLQEHQDWSMQRACTDSYKKTLKNWHGWVATSSFNLAIKLAPDRKKFMETISPNGTRDIGPDIEKFCAGFSSVLAENHKFLASVGMDNLEG >OIW11799 pep chromosome:LupAngTanjil_v1.0:LG05:15676704:15677161:1 gene:TanjilG_21133 transcript:OIW11799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVNVDQQQPDPIGPNQNLPVLDVVHEVVVYIHRFHNLDLFEQGWYKIKVTMRWEEGEDSHPGIPARVVQYEGDICS >OIW11405 pep chromosome:LupAngTanjil_v1.0:LG05:23867034:23869588:1 gene:TanjilG_10723 transcript:OIW11405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENKEVIMGIALEKFTWKIETFSKFSTKRLSSKAFKLGGYRWKIVLYPMGENGKNFSLQVKIADFMPAYGWKIFAYFRVSLINQFHTKNSIAKETQQKFNGGNKSWNSPSFIPLNEFYDPSQGYLVNDTCIIEAQIATSKDIAFNILDNVELNEPEHLGMAPLSPTSTHESRDDVSETEVQLLHHEHQGIGPSDETPSPKQVQFVPSAPPMYPNLSNEHEEVFLTPLAELVDFKSLEPEESAFIPLWEEVCSCHPSLVENQRKRSSRFILWAFLALGQVLHFLKTKKIRDMGEDETKHLKVLWDELQSFGFDLTWLEPHVQSALGAKAYLERAHEVKNLRAKVATLDIEMRKLKAKLAVEEIDLEVTRRHLAKAENGFEERDMDVVLGYGIP >OIW11593 pep chromosome:LupAngTanjil_v1.0:LG05:21662042:21666955:1 gene:TanjilG_15287 transcript:OIW11593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQTETLTLIHEIESLISDKLQVVSYKWLSRNYMISSDEAKRLLQEFVEKHDGGLEVVYALSGWLKNSHPSYHVKLATAPELEEARQEFDGYCSVHVYSVQSSLPKDPTMLWNAEFIQAEELSQQPFSVDNCLRDNRFCGISSSFVCRRVDGTTVVSEVPQTKSEVSMGPTKKNTVQVPPKVIAHDSIPKVLKQDVMKDVKSESNGAGSAGVHNHINKPTEDKEKVLPLPTGKRKAQADKSGSVTRGSLASLWGRASAKPKPCTLPAEKNNTVSDPTATQSTQTRACEAGEGDSGDDDNHIHVAVRRSTNRRRVVFDFSDEDEDAVNLASPEPIIQSSQDSRQNDKKSSEKATLNFDKRVESKSKVKEEKESDQKANQPWREDLSVINKCTRTGKSSTVKLQSHAPEISVDKDCQNNAASCSPKRRRVMKTKIDERGREVTEVVWEGEETEARKADKVTTKKSDNIVVNRLVLFLLTLNDLN >OIW12078 pep chromosome:LupAngTanjil_v1.0:LG05:8523816:8525412:1 gene:TanjilG_15318 transcript:OIW12078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKKCELCNFPATTFCDSDQATLCWNCDSKVHTANFLVARHTRTLLCHTCHSLTPWKASGATTGNAVSLCVGCAGGTRIHGDEGEESEGDNGDELERLEEDGDNQVVPWSSTATAPPDCKLFGSEGSVTRCGYGDEDVSESAVIVSVKRRRQDHDIQEGSKRRNEYAGNRRDQVDYSGEPQLKVSPATAMDILSGSRGYCDSRESEDR >OIW12038 pep chromosome:LupAngTanjil_v1.0:LG05:9312266:9316229:-1 gene:TanjilG_24436 transcript:OIW12038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLQRRLTLSVVIMLLFVQLGFCLNSRTEWLSFLELRSSLGIRGKDWPLKAEPCRNWTGVQCRNGRVIGINISGLKRTRIGRVHPSFDVDALTNLTALASFNASGFMLNGSIPDWFGQNLSAMEVLDLRSCSITGLIPESLKGLSVLKTLLLSGNSLTGRMPSSLGLLSELSVLDLSGNSLSGSVPNALSKLGNLTKLDLSSNFLSGSIPPELGSLSNLHILNLSDNELTASVPVQLGNLSKLVELDLGMNSLSGSLPDSLFSTLLDIHILILRGNMFVGALPNSSWSLPSLHVLDVSSNNLTGPLPKFSNVSSAGAIFNLSNNLFYGSLNTSFTKFKMIDLSGNYLEVVVQGGGNVTLTKNCLQMIPDQRDSEDCRLFYAQRSLPFAPGIQEPTQSPFQESRSRNNKRVTFILAGTFGGLGFIVLVALVLILVLRHCTNHSTLAIERGTANGGAVPEGESPTPPKDPVFVTSVGKSFTFEQILHLTGNFAEANIMKHGHSGDLYWGVSEGGAIVVIKKVDLNLFKRESYIVELGLLSKVSHARLVPILGHCLENENEKYIIYKYMPNGDLVTSLHRVTGSDGKLQSLDWITRSKIAIGAAEGLAYLHECSPPIVHRDIQASSILLDDKFEVRLGSLSEVTCQGDFHQSAFSRLFSKPLSFNQGNPGTSSVSSDYDVYCFGKILLELVTGNLDISNSDDAMTKEWLEQTLSYITIYDKERVTKILDPSLIIDEDLLEEVWAMAIVARSCLNPKPSKRPLMRHVLKALENPLKVVREDNGSSERLRTTSSRRYWSSALFGSWRHSSSENATATGNAKEGTSSFKQSCRVGSQRSVGFDHSSSNKRSSNEIFPEPFEMQEVEIGEGR >OIW12227 pep chromosome:LupAngTanjil_v1.0:LG05:2182744:2190039:1 gene:TanjilG_06016 transcript:OIW12227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRLSFRPRPLDIHKKLPIVKSFKDFDDDEAPTSTRNSQFLRAVPEVENEVHPAPSKKVGTSEIPTPQFVVVDTYERDYSCTFSQPTSYLRARGARAEIGEFIEYDLDNEDEDWLSEFNEEINILTPEMFECLLFKLEVLDHKARERAGLITPTLGSPILVQLRLDTAMEALQVQSIKYTVIQSVYEYWKEKPPPPVNDTNPYNVFRPREKAHKLHTRRMQRRENNLQSFEKLRQVRRNLDQAKGLLEDLIKREEKKREVMESEVTLQRMQMKYKNEAEFLEDNLAPAGFTPVSSKFVSSEEEFFDSDDVMTNRLPRPSAVQNLPSYDTNLPMVPAVSTKQVFKRRYVPREWPHKLDPLEPVLLFTKPLLPHKLAMAGIMAPNSITKNGMSQNSYKFRGRMGRGGRIIFDRWNPLMQTPIDCGNSFYVPPKPHSSQHVTN >OIW12310 pep chromosome:LupAngTanjil_v1.0:LG05:3324343:3324690:-1 gene:TanjilG_06099 transcript:OIW12310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPRWPTLTKEAIIVAEPRWDILANDATVVVDPRWDILTEKPSHRSRHDRYILIEKPSHTSSHDRASLTEAHEITPSHDRASLTLMHETTPDHNRSSMTVVHECTSDHDCANLT >OIW11751 pep chromosome:LupAngTanjil_v1.0:LG05:17603231:17604328:1 gene:TanjilG_10953 transcript:OIW11751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSFLIFLTILLSAVLSAVHSCTPSDREALLAFKAALSEPYLGVFNSWSTANSCQNWYGVSCDATTGRVTDINLRGESEDPMFEKAGRTGYMTGTISPEICKLDRLTNLVVADWKGISGEVPACITSLSSLRILDLIGNRISGEIPANIGNLQRLTVLNLADNSISGKFPASIVNLASLKHLDLSNNRLTGAIPADFGKLSMLSRALLNRNQLSGSIPVSIGNIYRLADLDLSMNRLTGSVPVQFGKMPVLSTLNLDSNLLSGQIHPNLLSNMGIGILNLSRNRLEGTIPDVFGSNSYFMTLDLSYNNLKGRIPGSLSSAKYIGHLDLSHNHLCGSIPIGSPFDHLEAASFSYNDCLCGNPLKTC >OIW11567 pep chromosome:LupAngTanjil_v1.0:LG05:21982203:21987851:-1 gene:TanjilG_26933 transcript:OIW11567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHNSKTPYFIFLLLILITVKIQCRYLPDHASEFVSDGVHDVQSNKTSSNYLVLKGIDEPFEEHCKQMYGFLPCTDNIFGHLFLILVYEYLLFHGESYLVSGGEQIFKILGPGIFGASAFHIIGALPESLILLVSGLLSNGEVAQEYAFTGVGLLAGSSIMLLTLVWGSCVIAGKQDFEHESRSRIYQGCGITTDLESSYTARIMVLSIIPLVIMQIPNLFQFSSEERSVTLVVAIIITTILLLLYFIYQLFEPWVQKRRLEYVRHDHLILRIINDVQQNTLQRILTKHGTPNLSAIRRLYKEIDQDGSSGISASEIKDLLLKNKVNETNIDEQKEVEEVLQIFDRNNDQKITKEEFVSGFAKWLDQTKHALAKQYFSRKSLKEVYQVFGPWIENKRKEHEGKKQLITEILRHVQSDMVESLFTADGKPDEQALKGLFEKIDRNRDSCISQSELKELIMNIKFVKVSMEVEDAVSLVIEELDFNRDQIINEEEFVDGFQKWLGSTSSPAPVSNSESLEDIYQAWEEANMVVEEKQIKAVVDKSIWAWLKAIMYVVLGIAMLSILAEPLIESVKNFSNNAGFHPFFISFILIPLAINAREATSAIKEVSHKKPRTTSLAISEVS >OIW12376 pep chromosome:LupAngTanjil_v1.0:LG05:222915:223399:1 gene:TanjilG_04125 transcript:OIW12376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNSEVQDVVVNNMKDDAAKELLNVRHDHNVYINLLKDLIVQCSSTWLSVSDAVV >OIW12122 pep chromosome:LupAngTanjil_v1.0:LG05:5823453:5830038:1 gene:TanjilG_02343 transcript:OIW12122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFRVPFILFYLSLILLLFFPFSSAQMTGFLSLDCGGNGNFIDEIGLQWNPDDKLTYGEISNISVVNETRNQYKTLRHFPADSRKYCYTLDVVSRTRYLLRATFLYGNFDNNNFYPKFDISVGATHWSTIVISDANIIETRELIFLATSPTISVCLSNATTGQPFISTLELRQFNGSIYYTEFEQHFYLSVSARINFGAASDAPIRYPDDPFDRIWQSDSFKKANYLVDVAAGTQKISTNVSVDVNRFELPPAKVMQTAVVGTKGSLSYRVDLNGFPGFGWAVTYFAEIENLAQEESRKFRLVLPGRPDISMPVVNIEENAPGKYRLYEPGYTNLSLPFVLSFKFKKTNDSSKGPLLNAMEINKYLEKNSGSPDGDAISSLLSYYPSADWTKEGGDPCLPVPWSWVHCSSDPQPRIVSILLSNKNLTGTIPLGITKLTGLVELWLDGNMLTGPIPDFSGCMDLKIIHLEKNQLTGPLPTTLLNLPNLKELYVQNNMLSGIIPSDLRNKDLVLNYTGNFNLHKESGKKSDKYVIIIGSVVGAAVLLLATVISFFTINKGKKKYDQKDHFVSHPSPSMVSSKNDGHAEAAHAFSYSEIQNSTNNFEKKIGSGGFGVVYYGKLDDGKEIAVKVLTSNSYQGKREFSNEVSLLSRIHHRNLVQFLGYCREEGNCMLIYEFMHNGTLKEHLYGPLTHGQSIDWIKRLQVAEDAAKGIEYLHTGCVPAVIHRDVKSSNILLDRQMRAKVSDFGLSKLAVEGVSHVSSIVRGTVGYLDPEYYISQQLTDKSDVYSFGVILLELISGQEAISNDSFGTNCRNIVQWAKLHIESGDIQGIIDPVLQGNFDLQSMWKIAEKAMMCIQPHGHMRPSISEVLKEIQDALSIERESGRNSDDLSRNSIHSSTHMGSMDYGVAENFLSIDESIAQPTAR >OIW12204 pep chromosome:LupAngTanjil_v1.0:LG05:5398757:5399092:-1 gene:TanjilG_28612 transcript:OIW12204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLGGGKHIPKDKESPYVLHYSGYYGKTGGVGEKRTLKVDVVIGADGANSRVAKAIDASDYEYDIAFQVIALVSF >OIW11838 pep chromosome:LupAngTanjil_v1.0:LG05:13290868:13291575:1 gene:TanjilG_31588 transcript:OIW11838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGTFFCILLALQIVSFVAAARGPFLPTDGTSLLAQEKLQKSSSPFTIESKEGLMNIKAMLETRKEAYDKGVSKIGSSPPNCENKCYGCVPCEAIQVPSKSHLGIQYANYEPESWKCKCGISFYSP >OIW12128 pep chromosome:LupAngTanjil_v1.0:LG05:6009239:6012972:-1 gene:TanjilG_02349 transcript:OIW12128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRNGNWFSSVKKALSPEPKERKDQKSSKSKKKWFGKQKLQTSEAYSETDRAPPLPAPEEIIVTHVENENSNDHVVEVATVVEAEELVLAVQTAADKVQVTAVDHLTGKPNDEVAAMRIQTAFRGYMARRALRALRGLVRLKSLMEGQVVQRQTISTLRSMQTFAHLQSQIYSRRLRMLEETQALQKLLLQKHAKELEIVRLGGEWDDSLQSKEQIEAKLLCKYEAATRRERALAYSYSHQKNGKNSSRSMNPMFMDPTNPSWGWSWLERWTAARPWESHSLMEKEKNDNSSVKSATRGITSAEISKSFARFQLNSDKHSPTASQTPGSPSFQSHSNPPKPASAALAKKLKKASPKDNWVIDDDTKSMVSVQSERFRRHSIAGSTMRDDESLASSPALPSYMVPTKSAKAKSRMQSPLATENGTPEKVTVETAKKRLSFPASPARPRWHSDPRKVGSSLTSEITVGNGVAG >OIW11633 pep chromosome:LupAngTanjil_v1.0:LG05:20733121:20740693:1 gene:TanjilG_24839 transcript:OIW11633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLGGGAEAHARFKQYEYRANSSLVLTTDSRPRDTHEPTGEPESLWGKIDPRSFGDRAYRGRPPELDEKLEKAKKKKKNKERDANFAEPKSKRRRLQEESVLTATEDSVYQPKTKETSAAYEAMLGVIVKQLGGQPPSLLSAAADEILAVLKNDAIQNAAKKKEIEKLLDTTMSGGDFDNFVSIGKLITDYQEGGDDAGAAGFAGAGDGDDALDDDVGVAVEFEENEDDDEESDLDMVQEDEEDDDDLAEPNGAGGMQMGGIDDEDMDEGNEGMSLNVQDIDAYWLQRKISQAFEQQIDPQQCQELAEKVLKILAEGDDREVESKLLFDLQYEKFSLIKFLLRNRLKIVWCTRLARAQDQEEREQIEEEMKGTDLEPILEQLHATRASAKERQKNLEKSIREEARRLKDDTTGDGDKEGRDRDRSRRGVADRDAESGWVKGQRQMLDLDNLAFQQGSFFMAKKKCDLPNGSYRNLSKGYEEIHVPALKAKPLGDDEKLIKIASMPDWAQPAFKGMTQLNRVQSKVYDTALFKPDNLLLCAPTGAGKTNVAVLTILQQIALHRNADDGSIDHGAYKIVYVAPMKALVAEVVGNLSNRLQDYNVKVRELSGDQSLTRQQIEETQIIVTTPEKWDIITRKSGDRTYTQLVKLLIIDEIHLLHDNRGPVLESIVARTVRQIETTKEHIRLVGLSATLPNYEDVALFLRVDVTQGLFYFDNSYRPVPLSQQYIGITVKKPLQRFQLMNDICYEKVMAVAGKHQVLIFVHSRKETSKTARAIRDAALANDTLGRFLKEDSASREILHTHTDLVKSNDLKDLLPYGFAIHHAGMTRADRNLVEDLFADGHVQVLVSTATLAWGVNLPAHTVIIKGTQIYNPEKGAWTELSPLDVMQMLGRAGRPQYDSYGEGIILTGHSELQYYLSLMNQQLPIESQFVSKLADQLNAEIVLGTVQNAREACNWIGYTYLYIRMLRNPTLYGLAPDVLTRDITLEERRADLIHTAASILDRNNLVKYDRKSGYFQVTDLGRIASYYYITHGTIATYNEHLKPTMGDIELCRLFSLSEEFKYVTVRQDEKMELAKLLDRVPIPIKESLEEPSAKINVLLQAYISQLKLEGLSLTSDMVFITQSAGRLMRALFEIVLKRGWAQLAEKALNLCKMVSKRMWSVQTPLRQFNGIPSDILTKLEKKDLAWERYYDLSSQEIGELIRAPKMGRTLHKFIHQFPKLNLAAHVQPITRSVLRVELTITPDFSWDDRVHGYVEPFWVIVEDNDGEYILHHEYFLLKKQYIDEDHTLNFTVPIYEPLPPQYFIRVVSDRWLGSQTVLPVSFRHLILPEKYPPPTELLDLQPLPVTALRNPSYEALYQDFKHFNPVQTQVFTVLYNSDDNVLVAAPTGSGKTICAEFAILRNHQKGADNVMRVVYIAPIEALAKERYRDWERKFGGGLKLRVVELTGETATDLKLLEKGQIIISTPEKWDALSRRWKQRKHVQQVSLFIIDELHLIGGQGGPVVEVIVSRMRYIASQVENKIRIVALSTSLANAKDLGEWIGATSHGLFNFPPGVRPVPLEIHIQGLDIANFEARMQAMTKPTYTAIVQHAKNGKPALVFVPTRKHVRLTAVDLITYSDSGEKPFLLRSLEELEPFLDKITDEMLKVTLREGVGYLHEGLNSLDRDIVTQLFEAGWIQVCVLSSSLCWGVTLSAHLVVVMGTQYYDGRENAQSDYPVTDLLQMMGHASRPLVDHSGKCVILCHAPRKEYYKKFLYEAFPVESHLHHFLHDNLNAEIVAGIIENKQDAVDYLTWTFMYRRLTQNPNYYNLQGVSHRHLSDHLSELVENTLSDLESSKCVSIEDDMDLSPLNLGMIASYYYISYTTIERFSSSLTPKTKMKGLLEILSSASEYAHLPIRPGEEETVRRLINHQRFSFDNPKVTDPHVKANALLQAHFSRQFVGGNLALDQREVLLSANRLLQAMVDVISSNGWLSLALLAMEVSQMVTQGMWERDSMLLQLPHFTKDLAKRCQENPGKGIETVFDLLEMEDDERRDLLGMSDHQLLDIARFCNRFPNIDLSYEVLESDNVQAGDDVTIQVTLERDLEGKTEVGSVDAPRYPKAKEEGWWLVVGDTKSNLLLAIKRVSLQRKLKAKLEFAAPADAGKKSYVLYFMCDSYLGCDQEYGFTLDVNEAAGDDDSGRD >OIW12434 pep chromosome:LupAngTanjil_v1.0:LG05:899208:911580:1 gene:TanjilG_04183 transcript:OIW12434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFFQKANVVRLRSYHDKYMLADDDQEGVYQDRNGTYKNAKWNVEIVENSNVIRLKSCYGKYLTASNMPFLIRGTAKKVLQTMPRRLDSSIEWEPIREGVQVRLKTRYGQYLRGNGGLPPWRNSITHDVPHRTATANWILWDVDIVELRPKQEEKGQKPKPRPTPITPPDRSMYSTPTDRSPSPSSSCGSSMPIGDDLEKIDLRSPDTHEDHEISYDCKSPVKEGRVIFYDVGNENGDVDDTQKEAFFTFKGSNVEELKDKLMKETGLDDIISKEELLVVVGGGAAGVYGAIHAKTVAPHLGVVIIEQGKPLTKVKVSGGGRCNVTNGHCADNMILAENYPRGHKEFRGPFFNTHGPTDTMSWFVSHGVELKIEDDGRVFPVSNSSSSIIDCLMSEVKQRGVSVQTRKAVTAVSVANSGKFLLEVEQLPAHHVEHVEADYLLMASGSSRQGYALASQLGHSIVDPMPSLFTFKIEDLSLRELSGVTFPRVKVKLKLVSVQKNIPQLTQVGPVLVTHWGLSGPAILRLSAWGARYLFSSGYKGKLIVDFIPDLHVGSLKSMLIEHKNQFAGVNGDILWASISNNSLMSIGSMLKDCTFEVIGKGQFKDEFVTAGGVPLSEVIFLLTLLLFLITLPLVILNVDGVTGGFNFQNAWSGGFIAGTTIGELALSRFKVNADF >OIW12313 pep chromosome:LupAngTanjil_v1.0:LG05:2016483:2022755:-1 gene:TanjilG_32429 transcript:OIW12313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVRVLNPNAEVLNKSAALHMNINAAKGLQDVLKTNLGPKGTIKMLVGGSGDIKLTKDGNTLLKEMQIQNPTAIMIARTAVAQDDISGDGTTSTVLFIGELMKQSERRIDEGMHPRVLVDGFEIAKRATLQFLEKFKTPVVMGDEPDREILKMVARTTLRTKLYEALADQLTDIVVNGILCIRKPEEPIDLFMVEIMHMRHKFDVDTRLVEGLVLDHGSRHPDMKRHAENCYILTCNVSLEYEKSEVNAGFFYSNAEQREAMVTAERRQVDERVKKIIELKHKVCSDNDSNFVVINQKGIDPPSLDLLAREGIIALRRAKRRNMERLVLACGGEAVNSVDDLTSESLGWAGLVYEHVLGEEKYTFVENVKNPHSCTILIKGPNDHTIAQIKDAVRDGLRAVKNTIEDESVVLGAGAFEVAARQYLVNEVKKTVKGRAQLGVEAFADALLVVPKTLAENSGLDTQDVIIALEGEHDRGNIVGLNQNTGEPLDPQLEGIFDNYSVKRQIINSGPVIASQLLLVDEVIRAGRNMRKPT >OIW11921 pep chromosome:LupAngTanjil_v1.0:LG05:11076412:11076696:-1 gene:TanjilG_18194 transcript:OIW11921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYTQPSDHSMQVFHDMHGKEKNTKSIEKKHQAAASSSSSSPSSHRLEKKLERMPTEDVDAKADAFIKDFKHHLLIQRLQSIENHEQMVARGLK >OIW11368 pep chromosome:LupAngTanjil_v1.0:LG05:24169014:24169865:-1 gene:TanjilG_19624 transcript:OIW11368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRYNLQRSGAGDNDNPSIFLDGSKENLANNEENTTVLANLSLKIGKQSEFLSKDGNEKEKEGHPTFLQQGNESDRKGKGLMYGLEEKLELQVGPSILLKPNAKSFFIKNMEGNNMMLEGSRIESLTENVDSQFSAHMVSSLDLGNKMKARNLNPNVIIGQPSLSDNSNILEIVLETKDDNIILPSPKSKYSKAIKKKKGKPRSKPISHMFSSFRPGVKIVRPYPKPKKGGKGKKSKKKQNSIESMAPPVSLGVEGVGDDDQMVDSSISNSITDLNVRSANLLH >OIW11544 pep chromosome:LupAngTanjil_v1.0:LG05:22240637:22248380:-1 gene:TanjilG_26910 transcript:OIW11544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSRDRLVKTVTKFVKVNYKLFTTRYGQNVIDILDFPVKLVLSPFTLAFDIVGSAPRGFGVPEFISKLSYASVFAVATLGTYDIALEMGKKLICQRNCNTCNGWQALRCTMCRGSGKVHYQVKSCNLKRGEKPTAESVADAITDNRAELVHLPSSLDFHQSLPSKECPTCDGTGVMGCPECKHKLQVRISADDIMEPPWQAYNVLRKMDYPYDHIVHSMKDPSIAAFWLLTLPQIMGGFTYDDDVKQKIWWQYKESMRYDQVRDVVAKRKPGWEYLQDALISIDPERARDDPVIVKNVPFYKAKKALETEVMKLNPPPRPPNWGELDLPLSTSSWSEEDLKDPDKFYEMTVLLNAQREISDNILDAQWETKWRQEKVNELLVEKVKPYIQNIDNAVLSEPIFLKPQNQEKKKQQRQRRWFFF >OIW11942 pep chromosome:LupAngTanjil_v1.0:LG05:10242859:10247177:1 gene:TanjilG_02149 transcript:OIW11942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVRSTPGTPASKIDRTPVSTPGGPRVREEKIVVTVRLRPLNRREQLAKDQVAWDCVDDYTIVYKSPSHERAAQPASFTFDKVFGPACLTESVYEEGVKNVALSALMGINSTIFAYGQTSSGKTYTMRGITEKAVNDIYEHIMNTPERNFTIKISGLEIYNENVRDLLNSESGRNLKLLDDPEKGTVVEKLVEETAKDDKHLRHLISICEAQRQVGETALNDNSSRSHQIIRLTIQSAQRENADCVRSFVATLNFVDLAGSERAAQTQADGTRLREGCHINLSLMTLTTVIRKLSVGKRSGHIPYRDSKLTRILQHSLGGNARTAIICTLSPALSHVEQSRNTLFFATRAKEVTNNAQVNMVVSEKQLVKHLQKEVARLEAELCTPDPSKEKDWKIQQMEMEIEELRRQRDLAQAQVDELRRKLQDDQQQVSNQLESQHLPVKKCLSFAGAALPKPKLGSERVRNTTLRQSMRQSSTAPFTLMHEIRKLEHLQEQLGEEANRALEVLQKEVACHRLGNQDAAETIAKLQAEIREMRFVRPAPKEVEVGNMVSVHKSVSANLKEEITRLHSQGSTIANLEQQLENVQRSIDKLVMSLPNNFQQITSEASPKHKKEHKRKKLLPLSSSNAVNRPNFLRSPCSPLSTTQQVLESEIENKAPEVNDDTVSIETLPESEKDTPSKSEDGGDVSSKENTPGHRRCSSVNMKKMQKMFQNAAEENVRSIRAYVTELKERVAKLQYQKQLLVCQVLELEANEANGQDIGSEEYSGEPEESQVSWQITFKEQRQQIIELWDVCYVSIIHRTQFYLLFKGDQADEIYMEVELRRLTWLQQHLAEIGNASPAPHVGDEPTVSLSSSMRALKREREFLAKRLTSRLTPEERDALYIKWDVPLDGKQRRLQFVNKLWTDPHDPRHVQESAEIVAKLVGFCTGGNMSKEMFELNFVLPSDKRPWLMGWNPITNLLNL >OIW11737 pep chromosome:LupAngTanjil_v1.0:LG05:18204025:18204192:1 gene:TanjilG_26967 transcript:OIW11737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLFSTIFCCFKWKKDKNSWVDAGSLSKKSTLNIQPSAAPIVVSYFPVNSQPSRL >OIW11723 pep chromosome:LupAngTanjil_v1.0:LG05:18309318:18317871:-1 gene:TanjilG_20207 transcript:OIW11723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFFKSVAAISRLRSRVDLFSELKEIVPEHQERVKKLKKEYGNAELGKITADMGIRFRGMTIPDCQKTLPGAFPGGEPLPEAVLWLLLTGKIPSKEQVDSLAQELRSRATIPDYAYKAIDALPVSAHAMTQFTTGVMALQVQSEFQKAYEGGIAKARYWEPTYEDSLNLIARLPSIAAYIYRRKYKDGKIIPMDDSLDYGANYAHMLGFDDPEMLEFMRLYISIHSDHEGGNVSSHTAHLVASPLSDPYLAFAAALNGLAGPLHGLANQEVLRWIRSIVAEFGTPDISTEQLSDYIHKTLNSGQVVPGYGHGVLRKTDPRYTCQREFALKHLPNDPLFQLVSKIKEVVPPILTKLGKVKNPWPNVDAHSGVLLNYYGLTEESYYTVLFGVSRSIGVGPQLIWDRALGLALERPKSVTLEKLEALCGKSS >OIW10432 pep chromosome:LupAngTanjil_v1.0:LG06:6408465:6410518:1 gene:TanjilG_24992 transcript:OIW10432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQIQHKFVKVDSLNLHIADIGTGPNVVVFLHGFPEIWYSWRYQMIALAEAGFRAIAPDYRGYGLSDSPPQPDKANFSDLLTDLLGILDALSLSKVFLVGKDFGALPAHLFSIMHPERVLGVVTLGVPYVPPSLSKYDKYYPDGFYILRWRIPGRAEADFGRFDAKTVVKRVYILFSGSELPIANENQEIMDLVEPSTPLPSWLTEEDLAEYGALYEKSGFQTALQIPYRSLGENFDLPDPVLKVPSLLIMGGKDYVIKFPGFEDLTKGEMAKQFATNLEITFIPEGSHFVQEQFPAQVNQLILAFLAKHT >OIW10800 pep chromosome:LupAngTanjil_v1.0:LG06:2936433:2947780:-1 gene:TanjilG_27746 transcript:OIW10800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDDLFQEQKLPHGLIKAIKLDVLNEADIDKIAALEINAAGQVNCSDLGLPNLSSECTTCGGKSSDKNSCEGHYGVIKFPFVILHPYFMSEIAKILNKICPGCKSIRRELQNKAAQLLSGFKQLNGCKYCSGNSMVRYPTMKFRVSSNDLYRRTAIIAEVNDKAPKKKSFCLGLPDDYWDIIPVDAQQDESCIKSNRRVLSPAQVCSLLTDVDLNFIEKFVPRKDLLCLKYFPVTPNCHRVTEFAHAFCNGSRLSFDDRTRNCKKLVDFRAEVNDKAPKKKSFCLGLPDDYWDIIPVDAQQDESCIKSNRRVLSPAQVCSLLTDVDLNFIEKFVPRKDLLCLKYFPVTPNCHRVTEFAHAFCNGSRLSFLNPDKTPSNNIFADILKRRVGENACNSSGLRWMKDVVLGKRNSSSFRTVVVGDPDLELSEIGLPCQIAESLEVCEHVNMQNREKLFDCCELRMLEKGHINVCRKGSQIKLFKKEDLKIGDIFYRPLTNGDIVLINRPPSIHQHSMIALSVRVLPISNVVSINPICCSPLSGDFDGDCLHGYIPQSVGARVELSELVALDRQLINGQSGGNLLSLSQDSLTAAYLLMEDGVLFNVYEMQQLQMFCPYKLTLPAIVKAPSSNSSFWGGKQLFSMLLPSNFDYSFPSDGVFVRDGELISSAEASGWLRDSDCNVFQSLLEHFKGKTLDFLYASQKALCEWLTMTGFSVSLSDLYLSSDSYARKNMMEEIAYGLQEAEESCNFKQLLVDDYCDFLSGNLQDITVKVDRLNHERQISLSLCQGSVDAFRQVFRNIQSLADKYARKNNTFLAMFKAGSKGNLQKLVQHSMCLGLQHSLVRLSYRIPRQLSCAAWNRQKKLDSTKRFFGTPQSVQCYIPYAVVENSFMTGLNPLECFVHSVTNRDSSFSDNADLPGTLTRRLMFFMRDLYDAYDGTVRNLYGNQVIQFSYDTDKDSSSDSCNQEHPMDGEPVGALSACAISEAAYSVLGQPISLLEASPLLNLKHVLECGSKKKGGDQTVSLFLSKKLAKHRNGFEYAALEIKNYLERMMFSEIVSTVMIIFTPQSCSQEKYSPWVCHFHLDMEIVKRRKLKMHSIIDFLYQRCDSLRKESKVTFPNLIISSKKCSANKGKEGEDCVTVTIVENSGDSVQVDAVRQLMLPLLLGTAIKGFLEITKVDILWSNMSKVSNSSNGSLGGELYLKVTISSGGGSGRFWGSLINCCHKIMHMIDWTRSHPDNIHHFCSAYGINAGWQYFLCSLASATSATGKTILPKHLRLVANSLSATGEFVGLNAKGMKRQRQHASVASPFVQACFSNPGSCFINAAKCGIKDNLRGSIDALAWGNCPSIGTSGQFDILYADKGQEIAKSVDVYNLLEASINQLSEKIDTPDAHNYSFDKCGSGYRYKNGDYTLKQFKQVKSNIRNFVTVKDIQKLTYASRSILNNYLIDQQLSDRDLSTMLRVLHFHPHKDEKFGAGPQGIKVGHHPIYKDTRCFFIERTDGTVEDFSYRKCILGALEIVDPEKAKSQKKKWSGDNAEKAKSQQERCWEDNV >OIW10923 pep chromosome:LupAngTanjil_v1.0:LG06:1960052:1961597:1 gene:TanjilG_27869 transcript:OIW10923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLDSVVVREFNPNKDRRRVEEVERICEVGPSGKLSLFTDLLGDPICRVRHSPAFLMLVAEIGDEIIGMIRGCIKTVTCGKKLSRNGKYKHFTTTTNNNNNCNDTIQSTTPKHVPVYTKVAYILGLRVSPSHRRMGVGLKLVQRMESWFKDNGVEYSYMATENDNIASVKLFTHNCGYSRFRTPSILVNPVFAHRAHVSPKVTIIKLTPNDAESLYRSRFSTTEFFPRDIDSILNNKLSLGTFLAVPHGSYTQDTWLGSSFFLSHAPKSWALLSVWNCKDVFTLEVRGASRTKRALARTTRLLDRTLPFLQLPSIPDFFKPFGFHFLYGLGGEGPKAVKMVKALCGFAHNLAKEHGCGVVATEVSCREPLRFGIPHWKMLSCADDLWCIKRLGEDYSDGSIGDWTKSPPGISIFVDPRDF >OIW10703 pep chromosome:LupAngTanjil_v1.0:LG06:3860930:3863873:-1 gene:TanjilG_16075 transcript:OIW10703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAACTVYSTLSLKTNCFISTPSSKTHYGFHHKQIVLYTTSKRHNKRVNNPYVITCAASDSQTVVIGLAADSGCGKSTFMRRLTSVFGGTAEPPKGGNPDSNTLISDTTTVICLDDYHSLDRTGRKEKGVTALDPRANDFDLMYEQVKAIKDGISVQKPIYNHVTGLLDPPELIKPPKILVIEGLHPMYDARVRDLLDFSIYLDISNEVKFAWKIQRDMAERGHSLESIKASIEARKPDFDAYIDPQKQYADAVIEVLPTELIPDDNEGKILRVRLIQKEGVKNFSPVYLFDDGSTISWIPCGRKLTCSYPGIKFAYGPDAYYGNEVSIVEMDGQFDRLDELIYVESHLSNLSSKFYGEVTQQMLKHADFPGSNNGTGLFQTIVGLKIRELYEQLVASRAQSPVKAAKA >OIW10371 pep chromosome:LupAngTanjil_v1.0:LG06:9231601:9235034:1 gene:TanjilG_28122 transcript:OIW10371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGKRSCSPFDAQTWLSTAHTNIQTCPTAASELNAVDFKVSKLSNNVTEMISNSLAINMDFLKQNANNIHRTAKGGSKVFPSWVSSSDRKLLTSSSSIKADLVVAKDGSGQFTNIQGAIDKAASTQSNKRFIIHVKEGTYVENIKVDVKNGNIMLIGDGTKQTIITGSKNAKDGFSTYNSATAGKSRSFIFLLSSINGPHFIARDVTFQNTAGPLKEQAVALRSASDFSVFYRCSFEAYQDTLYIQAQRQFFTECDIYGTVDFIFGDAAAVFQKCNIYARKPIQGQANMITAQGRNNENKNSGISIQNCQIRAAPDLEPVVGQVKTFLGRPWKKYARVAVMNSFLDTLVDPQGWSPWDTTNFALDTLYYGEYQNSGPGSSTSNRVKWPTFHVMRSPSEVFPFTIDGLLSDRKTNVPISTDL >OIW09596 pep chromosome:LupAngTanjil_v1.0:LG06:22395135:22398930:-1 gene:TanjilG_28195 transcript:OIW09596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSDLCIVTYNGIQSCILDNQSDENRTSRGDGCITDSFNDDDSSCSSSKDAFGSFSSKCMTMKRDEQEFEEWELPESPQHFYVKEKPPYAIQCSDLEAMKEKFAKLLLGDDVTGGTKALSTALVLSNAITNLAVTVFGELWKLEPLSEERKSKWCREMEWLLSPTNYMVELVPAKQNGANGGIFEVIMTPKARADIEMNLPALQKLDSMLIETLDSMMNTEFWYAEGGSKGEESNTSGRQKQRLWLPSPQVPRNGLSDAERKRLLHQGRVVHQVCKAAKSINDSVLLEMPVPTVIKDALLKSGKASLGEELHKALMVESSSGEEMLKYLNLKSEHSVLETINRLEAATLSWKERIIQEDSGKSSVRIPWSFMKDPMSGMDKMEILLDRAETILKLLKTRFPNLPQTFLDAAKVQYGKDIGHSILEAYSRVLGSLAFSILSRLGDILLEDSLSNPNSPVATTSSPWINLSQALVVDSRIRRSLIDKMNKTDSQHCDSSCGSTSDLEHSSIEAEATSTTATPIRSRIWCTDKESCRSVSPQNSP >OIW10507 pep chromosome:LupAngTanjil_v1.0:LG06:5692235:5695147:-1 gene:TanjilG_15879 transcript:OIW10507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFPLRTKSFGFGEEGVVANHWWDEIDESDQWQRGIYYALCAAYASVSFVALVQLVRIQMRVPEYGWTTQKVFHLMNFVVNGLRAVLFGLYNSVFTIKPKALEQVLMEVPGLLFFSTYTLLVLFWAEIYHQAIIWICMSVSRTDAGVEAAKLFLAVISFCAALGFLLYGGRLFFLLRRFPIESRGRQKKLYEVGSVTSICCTCFLIRFVMLALSAFDEGVDLDVLDHPILNLVYYLLVEIVPSALVLFILRKLPPRRVSDQYHPIR >OIW09475 pep chromosome:LupAngTanjil_v1.0:LG06:26060660:26063315:1 gene:TanjilG_06347 transcript:OIW09475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPLSLSNDAVSTLMDKESMVDPFLVEALQNPRHRLTILRMELDIQRFLNNADQQHFEFQHFPSSYLRLAAHRVAQYYGMQTMVQDNSLDGQGSKILVRKLAESKYPMVRLSEIPVKQLENDKSEQKKVVLKPRPNKNSFHEANEAGKKGNSWRSMEERKEEYDRARARIFSSSDSDDIKSLVPVDGKTSFMSKDENETSKNPVADSERSLSVRDINSNRVAIFRDREKDRTDPDYDRSYGRYTRSVPAPALNLVPFNLQNVQPSFAQYDATFNQLPQIPQTQPSLGYGPPASPIMSPFSITGLNQTPRDGAYLQWPSPTMMYAHSYDQIRHAVFQVY >OIW11106 pep chromosome:LupAngTanjil_v1.0:LG06:587874:590021:-1 gene:TanjilG_22913 transcript:OIW11106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHSSAESDNRAAVEVIKDKNGIEQVVLRNQRGASAKVSLHGGQVLSWKTENGEELLFTSNKAIFNPPKPVRGGIPICFPQFGNRGSLEQHGFARNKIWAIDQNPPPLPNYSNGNAYIDLLLIPSEDDHKLWPHSFEFRLRVSLAVDGYLTMISRIRNVNSKPFSFSFGYHTYFSISDISEVRVEGLETLDYLDNLCEKKRFTEQGDALTFESEVDRVYIDSSNMVAVLDHERKRTFVIRKDGLPDVVVWNPWEKKAKSMVDLGDEEYKQMVCVDGAAIEKPITLKPGEEWTGRLELSLIHST >OIW11042 pep chromosome:LupAngTanjil_v1.0:LG06:1556739:1560576:-1 gene:TanjilG_22849 transcript:OIW11042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCCHSIIEIEETVSRCKARKRYIKHFVEARNAFSASHAMYIRSLRAIASSLLHFADAENTTTTTTTTIFHRHYQQHHHLPPEPIQPLTPMPPSMCPTSDTWTSSVIASPLPPPPPPPVYPSAWDFWDTLMQPHPPLSTAIDEEWEATKTINGPEVVVVAPGVPASMTAPLPTVSGFYDETKTKSELAMVVSKNSKDLVEVIKELDDYFLKAADAGAHVSFLLEVPNSDFYDHNQRVGNIGANGFRNFGHCSTVERLYAWEKKLYHEVKISKTLKMEHEKKVELLRKLEMNRAEYVKTEKTKKEVDKLESHMMVASEAIDSISAGIIKLRDTELYPQLIELVKGLMCMWRSMYECHQVQKHIVQQLEFLNTIPSTNPTSEIHRQATLQLELQVQQWHQSFCNLFKAHRDYIQSLTSWLRHSLLQFSRNQLSRTTEEAKIVSLCEEWHLAVDRIPDKVASEGIKSLLAVIHAIVVQQTEEHKQKKRSDSAFKEFEKKVDQLRSLECKYGPYSMHESSGSMRTKDHVAEKRVQVENLKARAEEEKSKYEKWISITRAMTVNNLQMGFPHVFQGIVGFSYVCKEIFESVYNLAKLYEHEHDVKRILPKEN >OIW10459 pep chromosome:LupAngTanjil_v1.0:LG06:5880101:5880508:1 gene:TanjilG_00397 transcript:OIW10459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSNLSTMNFSILVLTLLLATSHPKVAAARNLLESTLPKLEVPQLPKSELPPLPQFPNIPKPTLPEFPKPELSPLPKPEFPKVPELPKPEVPKIPEFPKPELPKLPELSKPELPHFPELPKIPEITKASPTTIP >OIW08878 pep chromosome:LupAngTanjil_v1.0:LG06:32878334:32879256:1 gene:TanjilG_05853 transcript:OIW08878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGDAVNPKAYPLADSQLTITILDLVQQAANYKQLKKGANEATKTLNRGHSEFIVMAADTEPLEILLHLPLLAEDKNVPYVFVPSKQALGRACGVSRPVISCSVTSNEGSQLKSQIQQLKVATLRNVFYLNILFAVAKF >OIW08955 pep chromosome:LupAngTanjil_v1.0:LG06:32238411:32242035:-1 gene:TanjilG_05931 transcript:OIW08955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESDPQQNNSSSGLTRYKSAPSSYFTNIIDKDFYQHIFNHPSSPETEQIFSRFMNSIGGENDDVTPAAEEEEEDSLPHNFSPVKEEIIINQQVNYEPVVWKEQQQHCDINSNYSSDVHGFYQSSARPPLPNQNLNSGMDGTYSVGAVNRLQQMKTGSRNNLIRHSSSPAGLFSQINIENVYAGIRGMGNNKGAASSNTMEEAKFCNARKLKNPPNYSSGLMSAIAEVEDKGNRENNEESEGFAENHGNDFIQGYNAGTWDDSQIMPNNVTALKRHRNDELKPFGGLNATETQNEREGQSSLAHQLSMPNTSSEMAAIEKFLQFSDSVPCKIRAKRGCATHPRSIAERVRRTKISERMRKLQDLVPNMDKQTNTADMLDLAVDYIKDLKKEVQTLSECQSKCTCSHKHEQ >OIW10112 pep chromosome:LupAngTanjil_v1.0:LG06:9734965:9742553:1 gene:TanjilG_21949 transcript:OIW10112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIEEEIRSLQLDSAEENNGIVNLEDGKPEVGKSDKKDEGDKIDEVPKQEVQPQPVQAEEPKAKDKEIPAVQDEKDDLQMKKRHVNVVFIGHVDAGKSTTGGQILFLSGQVDERTIQKYEKEAKDKSRESWYMAYIMDTNEEERVKGKTVEVGRAHFETEKTRFTILDAPGHKSYVPNMISGASQADIGVLVISARKGEFETGYEKGGQTREHVQLAKTLGVAKLLVVVNKMDEPTVQWSKERYDEIESKMLPFLKQSGYNVKKDVIFLPISGLNGANLQTRMDKSICPWWGGPCLFEALDSVELPTRDPKAAFRMPIMDKFKDMGTVVMGKVESGTVREGDSLLVMPNKHHVKVVAIFIDEDRVKCAGPGENLRIRLSGVEEEDILSGFVLSSVESPIPAVTEFVAQLQILELVDNAIFTAGYKAILHIHSVVEECEIVELLHQIDPRTRKPIKKKVLFVKSGAGVVCRVQVSNTICIETFSSFPQLGRFTLRTEGKTIAVGIVTGL >OIW10618 pep chromosome:LupAngTanjil_v1.0:LG06:4703879:4704349:-1 gene:TanjilG_15990 transcript:OIW10618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISFTRLPWWLWGSKEKDPVVSNNSPLNSSSEWRRESVKFPLVKGTKISPPSHRKVKRKRQSREERRIDRECDVVLVPSDGGGFLSGAESDDDSDWSIGWLEPHGSDFQSEDESDNSFAVLVPCYRTGCKEVEGSNNELLSAIKNLPNELSHGKI >OIW09305 pep chromosome:LupAngTanjil_v1.0:LG06:29326754:29327020:-1 gene:TanjilG_01276 transcript:OIW09305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMRMVGIWVEKAWMLDAVPFLVLLSIILLIALHLLALVYWIYRLSTDNKVQVQVQVQEQRRKAH >OIW09522 pep chromosome:LupAngTanjil_v1.0:LG06:24631700:24631939:-1 gene:TanjilG_11644 transcript:OIW09522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGKRTAGTIRLCLSDEVMYHVMDLKSPTEVWETLEKRFMSKSLTNKLYLKQRLYGLKMQEGADLQQHLNNFNQVINDL >OIW09982 pep chromosome:LupAngTanjil_v1.0:LG06:11556341:11558688:1 gene:TanjilG_32722 transcript:OIW09982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRATRWLKNLFGIKRDKEHKKDSNSRGLCHNPATIPPNISPSEAAWLQSLYSETEKEQNKHAIAVAAATAAAADAAVAAAQAAVAVVRLTSHGRDTLFGGGHEKFAAVKIQTVFRGYLARKALRALKGLVKLQALVRGYLVRKEATATLHSMHALVRAQATVRSHKSRGIMNTLNESHRFQSESRRSMERFDDIRSEYSAPIYGRRLSSSFDATMNNTTNSVEDSPKIVEVDTGNGKPKSRSRRTNTSISDFGDDPSFHALPSPLPIPFHRNFHDSEWVLGGEQCKFSTAQSTPRLTNSCSFGSIASMTPKSVCTDSLFIGQHVNFVPNYMARTQSFKAKLRSQSAPKQRPELGPKRRLSLNEMMESRSSLSGVRMQRSCSQIQEAVNFKNVVMCKLEKSTKSGRETDRNYFNH >OIW10861 pep chromosome:LupAngTanjil_v1.0:LG06:2487648:2489895:-1 gene:TanjilG_27807 transcript:OIW10861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHNHKDRYKRGFPSKSELDRKRITDLIDRSSPSTSKAINGGSSAKSSSLTNRDSRSSSNPISKYSNAEEDEESETDSDDSNGRGSDGDDTSWISWFCNLRGNEFFCEVHDDYIQDDFNLCGLTSQVPYYDYALDLILDVESSHGDMFTEEQNELIESAAEMLYGLIHARFILTSKGMASMLDKYKNYDFGRCPRVYCSGQPCLPVGQSDIARSSTVKIFCPRCEDIYYPRSKYQGNIDGAYFGTTFPHLFLMTHGQLKPQKPSQNYVPRVFGYKLHKPRS >OIW09520 pep chromosome:LupAngTanjil_v1.0:LG06:24522835:24532970:-1 gene:TanjilG_11642 transcript:OIW09520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVEEPVNEVVKVDSDGKSVLEKSLDEGTEKKESGIVGGKVLENNGVKELKEDGIKEIEEDKKVDSVEEVEEDKTVGCMEEVKEDKKDEGVKEQNEDEKNDGVEKLTEDRKDDGVKELKEDEKNDCVEELTEEKNDDGVEELTEDKKHDVVKELKEDEKNNGVEELTEDKNDDVVEELTEYKKHDGVKELKEDKKNEEQTEDKNDDGVEKLTEDKKHDIVNKLKEDEKNDGVKELTEDKNDDGVEEVKEDKEVEGVEEVKEDKEIDGANQVLQDKKDDGMMEIDDNGFEEEKVDEDTEVKETTETKEEKEKGEANKPAVDVLKVEGSMKDKEENIEKMDEDTEVKETTETEEEKEKGEAEKPEVDAMEVEGSAKDKEESSEKMDEDTEAKEAMETKGEKERGQAERPEVDAMEVEGSTKDEEEKSEKKKTEAEIEEEDNIVKSKEEEKVAHSMIEEGSKKHGKEKINGEKGKKKTTELKKTEPLTPTIDRPVRERKSVERLVTLIDKDATKEFQIKKGRGTPLKDIPKVAFKLSRRKIDDTLKLLHTILFGRRGKAIEVKSNISRFSGFVWHDNEEKQMIKVKEKFEKCNKETLLEFCDVLNITLDKTPRRKEDIIVKLIDFLVAPHPTPAVLLAEKEKSSQGKKRKRIVKSRFGMTTSKRSAKSWKKNIDSLAEERKSTTDTDESEEEKDEEAEEENENGIPEKSDDETLENSESDDKSDSESESEDVNKTKRTSKTSSTKKESTVKSKSRSPPKRTPKKLSYTHSTFGDDSDGSTKVFSRRKKNDKEGKQKKATQAKSGTKEKTAEKATVGKAQKKQKLNPSDNQLRHAVCDILKEVDFNTATFTDILKHLAKQFDVDLTPRKTSIKIMIQEELTRIAEEEEDDEEEEDADKNEARPSGQAKADA >OIW10573 pep chromosome:LupAngTanjil_v1.0:LG06:5146856:5148534:1 gene:TanjilG_15945 transcript:OIW10573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLTFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIANKVAIGFFPPFL >OIW10788 pep chromosome:LupAngTanjil_v1.0:LG06:3096213:3098565:-1 gene:TanjilG_27734 transcript:OIW10788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGPSTMFSLFASFLFIFVLFKTFIKFLVSKRQHLPLPPGSMGYPYIGETFQMYSQDPNVFFATKIKRYGSMFKSHILGCPCVMISSPEAAKFVLNKSHLFKPTFPASKERMLGKQAIFFHQGEYHSNLRRLVLRTFMPEAIKNIVPSIESIAQDCIKSWEGREITTFLEMKTFTFNVALLSIFGKEEVLYRDDLKRCYYTLEKGYNSMPINLLGTLFHKAMKARKELAQIVAQIISRRRQGNQDYKDLLGSFMEEKAGLTDEQIADNVIGVIFAARDTTASVLTWIVKYLCENPSVLQAVTEEQESILKSKKENEEKGLNWEDAKKMQITSRVIQETLRVASILSFTFREAVEDVEYQGHLIPKGWKVLPLFRNIHHSPDNFKEPEKFDPSRFEAAPKPNTFMPFGSGIHACPGNELAKLEMLVLLHHLTTKYRWSMEGAKNGIQYGPFALPQNGLPITLYSKN >OIW09918 pep chromosome:LupAngTanjil_v1.0:LG06:12353214:12357694:1 gene:TanjilG_32067 transcript:OIW09918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGGAQALKRIPRIKFPQRHPKSSGSTSGTQASSSANDAVLSFFSNSKATQSVGGKASLQPKRTPVSNEEIEAILLGGRF >OIW10970 pep chromosome:LupAngTanjil_v1.0:LG06:966074:966700:-1 gene:TanjilG_22777 transcript:OIW10970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSTDSGGNHRPSKPQNHGTQPPEQENLPCPRCDSINTKFCYYNNYNLSQPRHFCKSCRRYWTHGGTLRDIPVGGGTRRNAKRSRTLSSSTTVMASSTPVQDHTTLVPIPQGTKVQANPVSVCGSGGFTSLLNNGLGLEDVGFGMGRVGWAFPGMVDGGCIGGGVAVAGSGVGNTWQQFESGGEDGFVSGDCFTWPGLAISTPGYGLK >OIW09892 pep chromosome:LupAngTanjil_v1.0:LG06:11995113:11996494:-1 gene:TanjilG_32041 transcript:OIW09892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCVSIDEPNTNSSSTKPKTSASSLGYESSSVSTTTATSMNIKDLRENAGYSNVDIFTYEELRLATKHFRPDFILGEGGFGVVYKGVVDDSVRSGYNSIQVAIKELNLEGFQGDREWLTEVNYLGQFSHPNLVKLIGYCCEDDHRLLVYEYMANGSLEKHLFRNSRFEHLQYYIILIPKDLSA >OIW09304 pep chromosome:LupAngTanjil_v1.0:LG06:29320056:29324515:-1 gene:TanjilG_01275 transcript:OIW09304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVHLPISVFKGWICSLLKTLCRNHHRRDYTPVRQETNLKCCLITDKDVKEREEGINKEEEDQPPLLEQIYEDTSWKIAKCGFYLTPIWFASEYFSNLALANTSVVSTTVLSSTSGLFTLFFGALFGQDSVNVTKLVAVLISMAGVAMTTIGKTWAADDKTRMSEAGKHSITGDIFGLLSAICYGLYTVLLKNSVGTGDKVDIQKFFGCIGLYCLIGFWWLAWPLNAARIEPPFELPSSKSTWKIVIANSIWSSVISDYFWALSIVWTSPLVATLGMSLTIPVAMIADMVIHARNYSATYILGCIQVFAGFSLANLSDKFSRNDAELTSSCYEKQELWLKNSMGSGMEKGKGVMVGSVGRRWGIDFSDNSISPSSRDFLDPPGFSRSSLDLDDSSLSRQSKDAESTWKSQKAWEVAQAPFKNLLMMGFMMWMAGSTVHLFSIGITFSALWQPISALQSVGKIFEPYKDTKVELLGPKLLFIALNLGGLALGVWKLNAMGLLPTHTSDWVSSLPPAQVALTAILFRNYALIPGSFTLSAYDLDVNYLQEVEYSGGGINLG >OIW09922 pep chromosome:LupAngTanjil_v1.0:LG06:12432449:12433741:1 gene:TanjilG_32071 transcript:OIW09922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESDLSWEQNTLINELIQGMEVARKLKADLKTPYSVDTREMLVQRILSSYEKALQILNASASMSRTTSPTTVTSLPESTISLDGNPTGDGIYGAIKSDKEIKHDSKKRKTMTKWMDQIRVSSENGLEGSHEDGYNWRKYGQKDILGAKYPRSYYRCTFRNTQGCWATKQVQRSDEDPTIFDITYRGKHTCSQGSNASLLPKSPEEQEKPRSHNSNIHHAKQPQESLTMLRNNLTVKTDNLGNEEIPYSFTFPSTSFGCMTQENQSLLPLAVDNDPFLSSLFQTHLLSSTIPESNYFPSPSFQMNEFDWVYNMPHSESDITEIISTNTSVTNSPIPEFNFPLDPVEINPNFPFNSPGFFS >OIW10335 pep chromosome:LupAngTanjil_v1.0:LG06:8901250:8909406:1 gene:TanjilG_28086 transcript:OIW10335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDERYEPLKDLGSGNFGVARLAKDKKTGEHVAVKYIERGKKIDENVQREIINHRSLKHPNIIRFKESAILHSQPKSTVGTPAYIAPEVLSRKEYDGKIADVWSCGVTLYVMLVGAYPFEDPEDPRNFRKTIGRIIGAQYSIPDYVRVSAVCRNLLSRIFVADPTKRVSIPEIKQNPWFLKNLPKEIIEAEKEGYEGIQKDQPSQSVEEIMKIIQEGRTPGPGSKDGDYAVTGSMDFEDDDNEEVEEEVDVSAMNALSATNRNFQRAARILGLDSKLERSLLIPFREIKRVYFARGVCSLHVECTIPKHDGSLMSFVGFRIQHNNARGPMKGRIRYHPEVACDEVNALAQLKTWKTAIANIPYGGSKGRIGCNPRDLSIGELERLTRVFTQKIHDFIGVHSDVVAPDMGTNSHTMALILDEYSKFHGYSPAVVTGKPIMNVMENAADVKAKFIIEAANHPTDPDAKGVIILPDIYANSGGVTVSYFEQVQNIQGFMWDEEKVKHELKKYITNAFKGIKKMCKTHNCDLRMGAFTLGINCVAHATLMRG >OIW10038 pep chromosome:LupAngTanjil_v1.0:LG06:10537469:10542780:-1 gene:TanjilG_32778 transcript:OIW10038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDSKSTGKVIKKHESKKVSSLGHQPPRKQHRKGENPTRLISTDQSSDFGHSNSWICKNAACRAVLSIDDAFCRRCSCCICHLFDDNKDPSLWVVCTSESTKGGSCGLSCHIECALQREKVGVVDHGQLMQLDGGYCCASCGKVTGILGCWKKQLIIAKDARRVDVLSYRIYLSYRLLDGTSKFKELHQIVVEAKAKLETEVGPVNGVSAKMARGIVSRLSIASDVQKLCSLAIEKADSWLATVPSVNPDFTDGSLPAACKFFFEEVTASSVKIILIEISNVSSEDIKGYKLWYYKNGEELLTKEPVSVFPKSQRRILISNLHPCTEYTFRIMSYTDSGDLGHSEAKCFTKSIEIIQKNPSPSVVMNQIKENLQTGGSSSGSKMDLHSTMEDSGFKVRDLGKILRFAWAQEQGYFEEFCCGDKKICGGQSKIVKPKIPEEKLPPVSRDLDLNVASVPDLNEELTPPFESSRDEHNGCTSLQAVEADDDAASRDIEKNGLARSHGSGDSQTWTHGPAREVPAVESHVDAGRKRIASRNEETHDCDSTLINGSPLCRSSGSISLDENFEYCVKVIRWLECEGHIKQEFRLKLLTWFSLRSTEQERRVVNTFIQTLIDDPSSLAGQLVDSFSDIISNKKPRNGFYDAFCRRCSCCICHLFDDNKDPSLWVVCTSESTKGGSCGLSCHIECALQREKVGVVDHGQLMQLDGGYCCASCGKVTGILGCWKKQLIIAKDARRVDVLSYRIYLSYRLLDGTSKFKELHQIVVEAKAKLETEVGPVNGVSAKMARGIVSRLSIASDVQKLCSLAIEKADSWLATVPSVNPDFTDGSLPAACKFFFEEVTASSVKIILIEISNVSSEDIKGYKLWYYKNGEELLTKEPVSVFPKSQRRILISNLHPCTEYTFRIMSYTDSGDLGHSEAKCFTKSIEIIQKNPSPSVVMNQIKENLQTGGSSSGSKMDLHSTMEDSGFKVRDLGKILRFAWAQEQGYFEEFCCGDKKICGGQSKIVKPKIPEEKLPPVSRDLDLNVASVPDLNEELTPPFESSRDEHNGCTSLQAVEADDDAASRDIEKNGLARSHGSGDSQTWTHGPAREVPAVESHVDAGRKRIASRNEETHDCDSTLINGSPLCRSSGSISLDENFEYCVKVIRWLECEGHIKQEFRLKLLTWFSLRSTEQERRVVNTFIQTLIDDPSSLAGQLVDSFSDIISNKKPRNGFCNKTVESN >OIW10827 pep chromosome:LupAngTanjil_v1.0:LG06:2713266:2717969:-1 gene:TanjilG_27773 transcript:OIW10827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSHTDQDPRLHAIKTNIRVVPNFPKPGIMFQDITTLLLDPKAFKDTIDLFVERYKAKNISVVAGIEARGFIFGPPIALAIGAKFVPLRKPKKLPGKVISQEYTLEYGRDCLEMHVGAVEPGERALVVDDLIATGGTLCAAMDLLERVGAEVVECACVIELPELKGRDRLNGKPLYVLVEYLEM >OIW10214 pep chromosome:LupAngTanjil_v1.0:LG06:7740861:7742216:-1 gene:TanjilG_27965 transcript:OIW10214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSTIINTFIIFIFFFFQSFILSSSSKSTNPFPKQAFPTKSGYLPINSTSSSSIFYTFYEAQNSTSPLSQTPLVIWLQGGPGCSSMLANFYELGPWRVTKSLTLQPNPGSWNRRFGLLFLDNPIGSGFSIASTPEEIPTDQNGVAKHLFAAITRFVKLDPVFKSRPIYITGESYAGKYVPAIGYYILKKNEKLHASKKVNLAGLAIGDGLTDPVTQVATHAANAYYVGLINERQRIQLESAQLEAVRLTKTGNWSEAADARNRVLHKLQSITGLATLYDYTRKVPYEDELVAQFLNLPEAKKALGVNESFAYELCSDVVGAALHADVMKSVKHKVEELVKRTRVLLYQGQYDIRDGVAQVEAWVKTLKWEEINEFLNAKRKIWKVNGEVAGYVQKWKSLTNVVVLGAGHLLPTDQPLNSQAMIEDWVLERGLFHSVQLDNVTTNSTSSHLL >OIW10033 pep chromosome:LupAngTanjil_v1.0:LG06:10621131:10624269:-1 gene:TanjilG_32773 transcript:OIW10033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQSMNMTEGTDFGVPRPSLLVDGLRLVDASDLATDAPSLTDTDAPSAPRLTKIHAPHHVLRLTEVDALGLVEVDAPGLTKIRWETTVLGVIFIVFLQFTRYVRKRNPRLFWVSAIGPMTTVIVGAVFTYLVKGQKHGIQIVGRLDRGINPLSIKDITFESKYLPTILKTGIITAIMSMADGIAVGRGLSVVENTPYDGNKEMLAFGLMNIFGSFTSCYVTTGPFSKTAVNYNAGCKTAMTNIIQAFLVALILQYLAPLFGYTPLVALSAIIVSAVLGLIDYTQAIHLYKVDKFDFIICMAAFLGVTFVAMDFGLMLSLGLGLVRAALYVARPGTCKLGKLPDVGLYRDVEHYTTSTFQGVLIVQLGSPIHFANSNYVRERMIRYVRDEQDSSGDTIEHIIADLSGVTSIDTTAIEGLLETKKIFEKNEIQMSFVNPKMEVLEKLILSNFVDMIGKESFFLTLDDAVKASQYTLRKLKTNGPEEAIRETSVV >OIW10207 pep chromosome:LupAngTanjil_v1.0:LG06:7614408:7615847:1 gene:TanjilG_27958 transcript:OIW10207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYCTVSFPNVQIVHPQRISCRYENTILAAHSNRKRRPPKNHRYPRHAKQPPEFGVNLFLKKPIPALEPTQEEEEEEEEETTDVVWESDEIEAISSLFQGRIPQKPGSLDRKRALPLPLPYKLRPLGLPTPKRLVKLTAPDVVSSRASFAKKVYKSPTFLVGLAREISRLNPDEDASIVLAKWVQFLRKGSLSLTIRELGHMGCPERALQTLCWAQDQPHLFPDDWILASTVEVLARNHDLKFPFNIQKLTSLANRGVLEAMIKGFIKGGNLRLAWRVLVVAKRDKRLLDPSIYAKVILELGKNPDRHIHVLPLLDELGERDELNLSQQDCTAIMNVCTKMGKFEVVESLFNWLKQSGSQPSVVMFTSVIHSRYTEKKYREALALVWEMEESNCLFDLPAYRVVIKLFVALNDLSRATRYFSKLKEAGFSPTYGIYKDLLEIYMASGRIAKCKEICKEAEIAGFRLDKHLESYYSINRRQ >OIW10130 pep chromosome:LupAngTanjil_v1.0:LG06:9566070:9568232:-1 gene:TanjilG_21967 transcript:OIW10130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREILHIQVGQCGNQIGSKFWEVVCDEHGIDPIGQYIGKSQLQVERVNVYYNEAINGRYVPRAVLMDLEPGTMDAVRAGPYGQIFRPDNFVFGQSGAGNNFAKGHYTEGADLIDSVLDVVRKEVENCDCLQGFQVCHSLGGGTGSGTGTLLISKIREEYPDRMMLTFSVFPSPKVSETVVEPYNATLSVHHLVENSDECMVLDNEALYDICFRTLKLATPTFGDLNHLVSATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSHGYSALSVPELTQQMWDAKNMMCAADPRNGRYLTASAVFRGKISTKEVDEQILNVQNRNSSFFVEWIPNNVKSSVCDIPPRGFSMASTFIGNSTSIQEMFRRLSGQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLIAEYQQYQDASADDDREEEDGGAER >OIW09819 pep chromosome:LupAngTanjil_v1.0:LG06:14436273:14438959:1 gene:TanjilG_32257 transcript:OIW09819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPYHSLPEAIAHLGRNLTFAETLWFNYSAKKSDHFLFCHNILFLFVIFSLAPLPVVFLEIKRILPFDKYKIQPNVRLSLKQMFKCYKDVMVIFFLVVGPLQLVSYPSIKMIGIRTGLPLPSWWEILGQLLVYFMIEDYTNYWIHRFLHNKWGYEKIHRVHHEYQAPIGFAAPYAHWAEILILGLPSFFGPALVPGHMITLWLWIALRQIEAIETHSGYDFPWSLTKYIPFYGGAEYHDYHHFVGRQSHSNFASVFTYCDYIYGTDKRGFTNDTRKNGQAHETNGTNQNGRSHETQDFKTD >OIW09418 pep chromosome:LupAngTanjil_v1.0:LG06:28011056:28012516:1 gene:TanjilG_14569 transcript:OIW09418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPDQALIFLNYPPSFHFHSKHDLECIYFNGNSSHFIEPPIKVDSNGLNDKIVRCSLPPNVYNISLLFKSNGVVSTLDSSTHQWDPLVYEALFDRDNTTIVFVKGLNLRPERLEEPSRFQCIYGWDFTNNKFLLKSDVISVAQEIIRCRTPTSILSGKTHTQAHDLKVSIKMEGKGIFPSIARPQYSPPKQKAHKMCVCTMLRNQARFMKEWVMYHTRIGVQRWFIYDNNSEDNIDIVIESLQGSGYNITRYLWPWVKTQEAGFSHCALRASATCEWVGFIDVDEFFNVKMKGNLHNVIMEYARAGSNVGEIRTPCYSFGPSGLKEVPREGMMVGYTCRLAARERHKSIVKPEALNQTLINVVHHFHLASPFVTVNVDNGVMMINHYKYQVWEVFKEKFYRRVATYVADWQQEHNVGSKDRVPGLGTKAVEPEDWSKRFCEVRDMRLRNWVIRNFRNRRTHLLPWQPEFENHIRRRRKMRKDKGHL >OIW09912 pep chromosome:LupAngTanjil_v1.0:LG06:12241066:12243829:1 gene:TanjilG_32061 transcript:OIW09912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCFWFKKSRSKIKQEQSIILASETYFTENEVEALLHLFKKLSSAIIDDGLIHKEEFRLALLRDSKQPNLFADRVFDMFDIKRNGVIDFGEFVRSMSIFHPNASEERKIEYSFRLFDLRQTGYIEHIQLKEMVLATLIESDVTLTDDVVESIVEKTIKEADTNGDGKIDKEEWQEYVAKNPSILKIMTLPYLKWVSLLIN >OIW10019 pep chromosome:LupAngTanjil_v1.0:LG06:10749494:10750144:-1 gene:TanjilG_32759 transcript:OIW10019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLRSSISNTKKFFQKTLHSFKSLFIAHYQKLPKNPPHNSMAVVSGMDKDSNPSYKDLEKFYSDFTGKWDAEKYHKVRRRSKKKAVLPCTTKQEKEACNESLISMKLNNARNEMKEGGMEKGEECNNDNKKRNLTHQRVRHEDSLFNSMVEKKLRELEKLDQSNVDYVLDIEEVLHYYSRLTCPAYLEIVDKFFMEMYLELEAKFRCNNKVECLVT >OIW09967 pep chromosome:LupAngTanjil_v1.0:LG06:11828508:11831069:1 gene:TanjilG_18274 transcript:OIW09967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSISDQVSMEAHLSLVLDTVDGAIDYKGQPAIRSKSGSWKSARFIIGVEVAERVAFYGIQTNLISYLTGPLHQTTVTAAENVNIWTGTASLLPIFGAYIADSHLGRYTTIIIASLLYVVGLGLLTLSAMLPSLTNSECKVGNEFTSCPPRVQVILFFVSLYMVAIGQGGHKPCVQAFGADQFDEQHPKEYKDRSSFFNWWYFTMCAGCMVTLWILNYIQDNLSWVLGFGIPFVAMIIALLVFLLGTMTYRFNIQGNDSSPFLRIGRVFVAALTNWRTNLSSSAIEEEHGEIVPHHNYDQQFNFLNKALLAPNDSKEKETCRHSEVEEAKAVLRLVPIWAASLVYGIVFAQSPTFFTKQAVTMDRNIFPGFDIPAASLQTLPLTGIVLFSPIYDRLFVPIARAITGKPSGITVLQRIGTGLFISIFAISIAALVEMKRLKTAQESGLVDDPNATLPMRIFLWPGPK >OIW10399 pep chromosome:LupAngTanjil_v1.0:LG06:6689143:6692267:1 gene:TanjilG_05547 transcript:OIW10399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESRVLSRAGTFSPLSHFRKLPSREPTAVFYNSSVAPVTAKATGSVADGGNLVWGRQLRPALISPALKKVILQPCHATAAEGGDSAGEAKVAPVGFFSKYPALVTGFFFFTWYFLNVIFNILNKKIYNYFPYPYFVSVIHLAVGVVYCLISWTVGLPKRAPIDANLLKLLIPVAFCHALGHVTSNVSFAAVAVSFTHTVKALEPFFNAAASQFILGQSIPITLWLSLAPVVLGVSLASLTELSFNWLGFISAMISNISFTYRSIYSKKAMTGMDSTNIYAYISIIALIVCLPPAIILEGPTLLKHGFSDAIAQVGLVKFVTDLFWVGMFYHLYNQVATNTLERVAPLTHAVGNVLKRVFVIGFSIVIFGNKISTQTGIGTAIAIAGVALYSLIKAKLEEEKRVSLSLT >OIW11175 pep chromosome:LupAngTanjil_v1.0:LG06:136366:138628:1 gene:TanjilG_22982 transcript:OIW11175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACILQGAYVSSHRQFNDKDGSTPRPCSSVVVRSVVTTLETMKTITPCVPPLRSLKERKELISEGKFGRFGGKFVPETLVTCLSQLEAEFKKALHDELFQEELATALREFVGRETPLYNAERLSEYYKSKNSGEGPEIYLKREDLNHCGSHKMNNALAQAMIANRIGKRDVITATGAGQHGLATSAACAKLGLECTVFMAAKDMDRQYSNVQFMKLLGAQVEAVNGSFKDAASDAFRCWVENLEKSYLLTGSAVGPHPCPTMVREFQSVIGKETKKQALKKWGGKPDVLIACVGTGSNALGLFHEFIKDEDVRLIGVEAGGLGLKSGKHSSTLTNGEVGVYHGAMSYLLQDEYGQIIEPHSIAAGMEYPGVSPELSFLKESGRAQFCSATDQEALNALTVVKFSAYERLCKLEGIIPSLEAAHALAILDKVVPTLCNGHKVVVNCCGRGDKDAPIVLDRTI >OIW10815 pep chromosome:LupAngTanjil_v1.0:LG06:2811911:2813159:1 gene:TanjilG_27761 transcript:OIW10815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLADLEFDYINPYESSSRINKVVLPEFITHGVLCCFYLVTGHWIMCLFAAPYLYFNVRLYRQGKHLIDVTEIFNLLSWEKKQRLVKLFYLIFTLFFSVFWMIYTSVDD >OIW09843 pep chromosome:LupAngTanjil_v1.0:LG06:13949821:13965440:-1 gene:TanjilG_20550 transcript:OIW09843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDDDGVLKVPSSVIIAINFDVLTAADKEKIAALEINAPGQVTSSDLGLPNLSSECSTCGSTDVNSCEGHFGVIKFPFGIFHPYFLPEIAEILNRICPGCKSIRRELCTKGAKSMSRIKKTSGCKYCARSSMGGYPTMKFKVSSSSNDAFRGNAIIVEINDKVPKKKGTSGRELLADYWDFVPKDAEEDESCRKLNRRVLSPLQVYHLLSDVDPNFIEKFVRRLDLLGLNSLLVTPNSQRVTEVMHTLSYRNRLSYLNPDQTPSNSFADIQKRKNAENVCNSSGLKWMKDVVLAKRNDHSFRAVVVGDPDLELSEIGIPFQIAERLQVPEHVNMQNKEKLFYYCELLLLVKGHIKVCRKGSPVLLHKKEHLKIGDIFYRPLDDGDIVLINRPPSIHQHSLIALSVRALPISSVVSINPLVCSPLCGDFDGDCLHGYIPQSVDATVELKELVALDKQLINGQSGRNLLSLSQDSLTASYLLMEDVVLLNTYQLQQLQMLSPHNLTLPAIEKSCSSETSDWSGKQLFSMLLPSNSVDDWLCDSGYNIAQRIVHHFQGKSLHFLYGVQKSLCEWLSMIGFSVSLSDLYLSSNAHERKNMTEEISWALREAEEACTFKQLLVDYNCNFLSGVCEDGEIDMTIEAEHLNYERQVSSALSQASVHAFRRVFRDIQSLACNHACKSNTLLCMFKAGSKGNLLKLVQHAMCLGLQHSLVRLSYKIPYQLSCDGWNSQKCVCPDSVQSYVPYAVIESSFLTGLNPLECFVHSVTNRDSSFSGNADLPGTLTRRLMFFMRDLYYAYDGTVRNLYGNQLIQFSYDPDGDSSSDSFYQECTIGGEPVGALSACAISEAAYSALDQPISLLETSPLLSLKNVLECGSKKSGDQTMSLYLSEKLGKHIYGFEYAALEIKDYLERMLFSDIVSTVMITYTPNSNRQAKYSPWICHFHLHKEIVMRRKLKLHSIVNTLYQRCDSVRKERKVSLPSLKISCKKCSAAYNATDGEDSCIEKDKESEDCVKVEIVENSMNTIQLDSVWDLLIPFLLGAAIKGWRLDLLGLNSLLVTPNSQRVTEVMHTLSYRNRLSYDERTRACKKLVDFRGTANELSSRLNPDQTPSNSFADIQKRKNAENVCNSSGLKWMKDVVLAKRNDHSFRAVVVGDPDLELSEIGIPFQIAERLQVPEHVNMQNKEKLFYYCELLLLVKGHIKVCRKGSPVLLHKKEHLKIGDIFYRPLDDGDIVLINRPPSIHQHSLIALSVRALPISSVVSINPLVCSPLCGDFDGDCLHGYIPQSVDATVELKELVALDKQLINGQSGRNLLSLSQDSLTASYLLMEDVVLLNTYQLQQLQMLSPHNLTLPAIEKSCSSETSDWSGKQLFSMLLPSNSVDDWLCDSGYNIAQRIVHHFQGKSLHFLYGVQKSLCEWLSMIGFSVSLSDLYLSSNAHERKNMTEEISWALREAEEACTFKQLLVDYNCNFLSGVCEDGEIDMTIEAEHLNYERQVSSALSQASVHAFRRVFRDIQSLACNHACKSNTLLCMFKAGSKGNLLKLVQHAMCLGLQHSLVRLSYKIPYQLSCDGWNSQKCVCPDSVQSYVPYAVIESSFLTGLNPLECFVHSVTNRDSSFSGNADLPGTLTRRLMFFMRDLYYAYDGTVRNLYGNQLIQFSYDPDGDSSSDSFYQECTIGGEPVGALSACAISEAAYSALDQPISLLETSPLLSLKNVLECGSKKSGDQTMSLYLSEKLGKHIYGFEYAALEIKDYLERMLFSDIVSTVMITYTPNSNRQAKYSPWICHFHLHKEIVMRRKLKLHSIVNTLYQRCDSVRKERKVSLPSLKISCKKCSAAYNATDGEDSCIEKDKESEDCVKVEIVENSMNTIQLDSVWDLLIPFLLGAAIKGFMEIKKVDILWNNQSKVSRSNNGSPGELYLRVSISGDSGSGRSWGALINHCFQIMDMIDWTHSHPDSIHHICSVYGIDAGREYFLHSLASAISDTGKAVLPKHLLLVANSLSASGEFVGLNAKGMALQRQHACVSSPFVESCFSNPGRCFIKAAKSGVVDHLQGSLDALAWGKSPTMGSTGQFDIIFLEGQELAIPVDVYNFLEASSGKLNENINAPTAVQNNLSDKCSSEFRHKNGGYALKWSKTLESLIRNSVTVNDIRKLLFRSKCILKKYSTNSTDQQQLGERDKSTMLMLLHFHPNRNEKIGIGPQYIKVGWHPKYKDTHCFFIVRSDGTSEDFSYRKCIIGALEIVHPQKAKTRKKKWLENA >OIW09327 pep chromosome:LupAngTanjil_v1.0:LG06:29549466:29550084:1 gene:TanjilG_01298 transcript:OIW09327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKASSMCVLVMLMLMVYGVGGTDHIVGANRGWNPGINYTLWSNNHTFYVGDLISFRYQKNQYNVFEVNQTGYDNCTTEGAFGNWSKGKDFIPLNMAKRYYFICGYGQCFNGMKVSVLVHPLPSPPSQSQSSANHSSHNSAAPLTFQSHLHSLILSSALFCFAWNWI >OIW08881 pep chromosome:LupAngTanjil_v1.0:LG06:32856468:32857772:-1 gene:TanjilG_05856 transcript:OIW08881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEKGIITVPESVLKKQKRSEEWALAKSKQAQVDKKNRSLNRKLIFNRAKLYAKEYTDQDKELIRLKREAKFRGGFYVDPEPKLLFIIRIRGINGMDPKTRKILQLLRLRQVFNGVFIKVNKPLVNMLRRVEPYVTYGYPNLKSVRELIYKRGYGKLNKQRIALTDNSIIEQGLGKQGIISTEDLIHEILTVGPHFKEANNFLWPFKLKAPLGGLKKKRNHYVEGGDAGNRENYINELIRRMN >OIW10007 pep chromosome:LupAngTanjil_v1.0:LG06:10903359:10906598:-1 gene:TanjilG_32747 transcript:OIW10007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISKDQDKATEKVTKKGGFKTLPFIIANESFEKVANLGLHVNMILYLLYEYHFDPSTAAIILFLWFAASNFMPFFGAFLSDSCLGRFRVIAWGSVVALLGLVFLWLTAFIPQARPPHCKLHEEPCASPTGLQILFLCCSLALMAFGAGGVRPCSLAFGADQINNPENPQNERILKSFFNWYYVSVGLSIMISMVFIVYIQVKAGWVVGFAVPVGLMSFSAIIFFLGSFMYIKVKPNKSLLTGFAQVIVAAWRNRHLPLPPKNSDTWFSQDGSNLLKPTDKARFLNKACIIKNREKDLDSNGMPIEPWSLCTVRKVEEMKAVVKVLPIWSTNIIFAMIINQHSFSAVQAGTMDRHVFNLEMPATSFSAFIFISLFLWVAIYDRILLPLISKYTKVEQGRGITLKKRIGTGLLLSCLAPAIAVLVERKRRDAAISEGLINNPKGVVNMSAMWLLPQHGLTGLAEGLTCVGQIELYYSQFPKTMSSIAVGLFYLGFGTGNLLASLIVKIVNDETKRGGKVSWLSSNLNQGHYDYYYALLSILSLVNLLWYILCSSAYGSLEDITTWDEDVGRELEQEKEKETTQKEEENK >OIW11141 pep chromosome:LupAngTanjil_v1.0:LG06:340215:344506:1 gene:TanjilG_22948 transcript:OIW11141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISIETQNTPELSSSKNDQNQPSPITNTHVFKSKLPDIPLSNHLPLHTYCFQNLSQFSNRPCLIVGSASKTYTYAETYLLCRKVAAGFSKLGIGKGDVIMILLQNSAEFVFSFIAASMIGAVATTANPFYTSAEIFKQLSVSKAKLIVTQSIYVEKLREHAKIGEDFVVITVDDAPEDCLHFSVVSEGDENEVPEVEIQPDDTVALPFSSGTTGLPKGVILTHKSLTTSVAQQVDGENPNLYLTPEDVLLCVLPLFHIFSLNSVLLCALRAGSAVLLMHKFEIGSLLQFIQRYRVSVAMVVPPLVLALAKNPTVAEFDLSSIRLVLSGAAPLGKELEEALHSRVPQAVLGQGYGMTEAGPVLSMCLGFAKQAFPIKSGSCGTVVRNAELKIIDPQSRISLGYNQPGEICIRGQQIMKGYLNDEKATAETIDAEGWLHTGDVGYIDDDDEIFIVDRVKELIKFKGFQVPPAELEDLLVSHPSIIDAAVVPKKDVAAGELPVAFVVVFYKRLHKVYFVDAIPRSSAGKILRKDLRAKLESSDQTS >OIW10221 pep chromosome:LupAngTanjil_v1.0:LG06:7811308:7812745:1 gene:TanjilG_27972 transcript:OIW10221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEFALDLEELRHLQAIAKRPRSLSLLSSEIRNVEKLSSEASSAAAPQIPAPISTGTRVPTAPTLHYSTLASFSWDQDGDKVKIYVSLEGVDESKIESEFKPLSFDVKFHDVQGKNYRCAIPKLNKEIEPEKCKILVKPKRVVITLIKASKGNWLDLHFKEDKLKPSLGKEKDPMAGIMDLMKNMYEEGDEEMKKTIAKAWTDARTGKTADPLSSYR >OIW09535 pep chromosome:LupAngTanjil_v1.0:LG06:23020900:23028486:-1 gene:TanjilG_30854 transcript:OIW09535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVKNSRSKKRKRDHVDGSKKSKLLLNERVEVRSEEDGFLGSWHPGIVIKFDKLKRHVRYDNILDDNGMDYVEVVNVTGALDGDINSSYVYTRGLIRPPPPTISFEGCELQFGLCVDANHEEAWWEGVIFDHCDRMKERRVFFPDLGDEMTIGIHQLRITQDWDEVTQVWERRGKWVFLELIEEFHRVSLVSVSAKQIWYDLRTKKDFDMIREWTLNVKFLWRDPVMKVIRDYLTVTLEEVLSRLDLPSTLLKETQELESVEPTANPDSIWEVDQANPLVVNSGSEFIDNTNFNCIGSPINAFDSELGKGDTPLKNGDSLNLSDTVQNYEKCNIDPFVGVEPDMHLMADSNMTFTEKEILVQEEPIPPIQEVLPELQKEASCHDAGEVVAGASSEKNGESGCSSCSGSNWNPLILSEVQFCPNAVTEYALGVHRSKARDEVLKHLAYLGWEIEWTSRYDGNQYRYRYKSPDKNGQKIYYSLVIVCNMLMDSMLSQKDQSMNNSTDDSHLSHVLNQSQKIQNIDILTPIVPPLVEDTHDPEFCPEAVVEYYSHASEMDMADKRKLILKARKHLLAVGWILTDPPPDNRRKGVLYTSPENRRFHSLYTACGFYIQESNPNMTISPKRKRKCLKNSKSNLLKFQSNGLPLRVLRSSKSVQQVSTPCPSHYKPQNVLSWLIDSNMVLPRSKVYYQAKGRNRALAEGRISRDGIRCTCCQTVHTLAGFEKHASSYRTSRPAASIHLEDGRSLLDCQIQIMEDYKTRESMEKPCNDLCQSENDYLCSVCHYGGDLILCDQCPSSFHKTCLGLEDIPDGDWFCPSCRCGMCGQSKIDGAEDGHFLTCIQCEHKYHVRCLRNRDLCKSRSDLENWFCGKDCEKIYAALHKLLGEPVSVGVGNLTWTLVKSITSESCDLDSTENEPLAENFSKLKVALSVMHECFEPLKEPFSSRDLMEDVIFSRWSKLNRLNFKGFYNVLLERNDELISVATVRVHGRKVAEVPLVGTRLQYRRHGMCRILMNELEKRLVQLGVERLVLPAVPSVLETWTGSFGFVKMTNLERSEFLDYTFLDFQGTMMCHKLLMKVPSPDSVLSIESQQKPQYAYSGSCIINFGNSSPVSELYQAEEIEKRGMMYLQMGDDTCAGKNDHLASDACDQVTMVKQPNQEEQQCQNGTSPEWLLEKQDDRFSGSFKFYRRRRLRKAHQ >OIW08922 pep chromosome:LupAngTanjil_v1.0:LG06:32537381:32546558:1 gene:TanjilG_05898 transcript:OIW08922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASDVQNNGSTIRNAFGNMLAFFILILIGVLAFSIRLFSVIKYESVIHEFDPYFNYRVTQYLTKNGIYDFWNWFDDRTWYPLGRVIGGTVYPGLTLTAGTLWWVLNSLNIPLSVETVCVFTAPIFSAFASWATYLLTKEVKGTGAGLTAAVLLAMVPSYISRSVAGSYDNEAVAIFALIITFYLYLKTLNTGSLFYATLNSIAYFYMVCSWGGYTFIINLIPMHALLCIVTGRYSSRLYIAYAPFIVLGTLLASLVPVVGFNAVMTSEHFASFLVFIIIHVVALVYYIKGILSPKMFRVAVALVVSVGLAVCFAVIAVLIALVASSPTKGWSGRSLSLLDPTYASKYIPIIASVSEHQPPTWPSYFMDINVLAFLVPAGIIACFLPLSDASSFVVLYIVTSVYFSGVMVRLMLVLAPAACILSGIALSQAFDVFTRSIKFQLPSLSGDASSKSVVANDVAKTDKSEDTSKERISRKSKKEKEPVEKPLRKSQVKKRLLVLPLETSVIAIILLVFLGAFYVVHSVWAAAVAYSAPSIVLTSETPAGLHVFDDFREAYAWLSHNTDVDDKVASWWDYGYQTTAMANRTVIVDNNTWNNTHIATVGTAMSSPEKQAWEIFHSLDVKYVLVVFGGLVGYPSDDINKFLWMVRIGGGVFPHIKEQDYLRDGQYRVDSQATPTMLNSLMYKLSYYRFVETDGKAFDRVRQTEIGKKYIKLTHFEEVFTTHHWMVRLYKLKPPKNRIRGNAKKSKSVLLQGAQFNLDAKDK >OIW09131 pep chromosome:LupAngTanjil_v1.0:LG06:30238856:30243579:1 gene:TanjilG_11269 transcript:OIW09131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNSRHESHVAQQIRRDKLRIQNSFHHLQEFPNNNPEQLSLHQGFDLDIQVRNVRNANMLVDESAAVYSSEMAKISNPSPPPRNNNTLGYEELGEAEPIKLMMHQHGLVPHSYNSLGNENTFLASELNNNVFGYQHYAKQSYNELTDTQSSLSREIQKQLGVNVMHHSSSSSSYQNALQDIVKSSASIVVCNGSHTGSLIQQTSQNIWGGDPNELEQLQPSYANQPNHEPRFGSGNLWANRPHPSDSTPRSLSLSLSSNSQSKPSVSHFEEASASACISDHGISKHPPQYVKPSIISRNSGKSPLQDYIVIPNPNPTSYRNVGPLGPFTGYATILKSSRFLNSAQQLLEEFYCVSHPRFANVFDLPKRVSGEVNASTSPSADTVTINETEGFAPKGGNSGSSSSMFYSANENSTNRGSMSSFGLSPIPDYQQKKAKLLFMQEKVGKRYKQYHQQMQTIVSSFESVAGLSSATPYVSLALKSISKHFRCLKNSISDQLKHITEVSGEDIPSTSTSSKVDTNTANPSCMDQSFQKNKVSRSNMCLHEPQQHVWRPQRGLPEPAVAILKAWLFEHFLHPYPTDNDKHMLATQTGLSRNQVSNWFINARVRLWKPMVEEIHMLETKGTIGNNTSKNEGTSCTEGGRLDKPLSKFGMHSITESQFQCNNAEENGTNDEEQWSQEKRSKLEFQMMGFVPYQSGGALGSVSLTLGLRHGVEGAQNQQQLQEEQLIPHFGGHIYHH >OIW11130 pep chromosome:LupAngTanjil_v1.0:LG06:409134:411737:1 gene:TanjilG_22937 transcript:OIW11130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRVSGSVTVVNTDNDDDGGGDNLIEPGSEVVRNFYARINVHDVDSVQDLISDNCVYEDLVFPRPFVGRQEILEFFKKFTNATSIDLQFVIDDLSTQDSSSVGVIWHLEWKGKPFPFSKGCSFYRLEVINGKRQIVYARDSVEPAIKPGDAALRRIHKIAKMNTNVVSACVDCICTWIEFDPPINVQAAIRSVTWLLQQFPQLADRL >OIW09427 pep chromosome:LupAngTanjil_v1.0:LG06:28184445:28187193:-1 gene:TanjilG_14578 transcript:OIW09427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIARLRAVAQPFKALLRSNRTFSSSAAAVQYNYNDNEEKEAMLDMADSTSGRGVQWVMIGEAGVKRHFFAEKLSKLLEVPHISMATLLRQELNPRSSLYQQIANALDHGKTVPEEIIFALLSKRLEEGYSRGETGFILDGIPRTRIQAEILDHIAHVDLVVNFKCSEQNLVKNNLGARTFTPCQEYIFMTRSRNPTIQPQDENVSNHAKECKLLEDYYRKQKKILNFEVAGAPGETWKGLLAALHLQHINAHSSSPKLTA >OIW10831 pep chromosome:LupAngTanjil_v1.0:LG06:2679470:2687614:1 gene:TanjilG_27777 transcript:OIW10831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEQSEGEDNRELYALLNLSPEASDEEIRKAYRQWAQVYHPDKYQAPLMKDIATENFQRICEAYEILSDPNKRQIYDIYGMEGLKSGLELGPRLDKAEEIKAELERLKKMKESRKMMSQFQSSGTILASMSLPHFLDGDGLFRGMAMTSEIQSQLSKRNAVTVGGNLAVDGNKGGGAATALFKHQISPVSSLEFVASSGLRSLIGLQTSRNLSSHSAATMGIAMSLQDGSLNLSNLWTRQLSETASGHIQLDLGQQSSIAVGWQKKDERGSASGEVKIGTGSFDTTVRYTHRFSPNSLGCIAGRFGSSALELEVGGGRKISKFSTVRWMYTVGIQGIFWKFELIRGGQKLIIPVLLTRHLSPVFATGALVVPASLYLVLKNFCIKPYYLRRNKQKALENDEKTSNQVQEARAASEKAQTLLQNVATRKRNKQLETGGLVIMRALYGNQRIVNNLNSSSETSFESTSEVVDVTVPLNFLVNDSGQLKLHEGVKKSGIMGFCDPCPGELKQLYVEYVYAGNQYKVCVGDYEELLIPQGTHRI >OIW10212 pep chromosome:LupAngTanjil_v1.0:LG06:7671751:7674084:1 gene:TanjilG_27963 transcript:OIW10212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLESREAAFAFFKLALGENYDSEEIVHLCCTAAHVLAAQKLQLLTQDLVSWVIGRVGASRSKELVEFMWKNHAAYESDFSVLNTLMRGFLNVGMSSEALDILHRMRVVGVRPGLSAVTILVRLLLRIGDYGSMWKVFKDMLCKGPRPSNITFNMMICGFCRQNGLAIAENLLHLMPKFGCYPDVYTHNILIHAYCNRGKTSVAVDRLNLMIKSGCEPNISTFNTIVHALCREGNVIEAHKLFDGIQEMGITPNTVMYNTLMDGYVKARDIGRASMLYDEMKTKGISPDCVTFNIMAGGQYKYGRKEDWNRFLENLTVSGDFQDCSLYDVTISWLCWAGILDEAMKLLQDVLEKGLILSVVAFNSLIGAYSRAGLEEKAFESYRIMVKCGFTPSSSTCNYLLMGLCRKERLQEARALLYRMSEKGFPINKVAYTVLLDVYFKLNDLDGAQNLWNEMKERGIYPDAVAFTALIDGLSKAGMVEEAYEVFLEMTAIGFLPNNFAYNSLIGGFCNSGMMTEALKLEKEMRLKGLLPDIFTYNILIDGFCRQGKMKSAVDTFLAMHRIGLMPDIFTFNILVGGYCKAFDMVSADEVVNKMYTCGFDPDITTYNTRMHGYLGIRKMNRAVMILDELVSFGIVPDTVTYNTMMSGICSDILDRAMILTAKLLKMGFIPNVTTTNILLSHFCKQGMPEKALLWGQKLREICYGFDEISYRILDQAYHLMQTDIELVTVRATYEKSIFLDFLMYITFDYVSRNKPHKIENDNSLKLIESRFVAL >OIW10714 pep chromosome:LupAngTanjil_v1.0:LG06:3788989:3790894:1 gene:TanjilG_16086 transcript:OIW10714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGAQLRKHIDATLGSGNLREAVKLPPGEDLNEWLAVNSVDFFNQVNLLYGTLTEFCTPENCRTMTAGPKYEYRWADGVQIKKPIEVSAPKYVEYLMDWIEAQLGDESIFPQKLGTPFPPNFKDVVKTIFKRLFRVYAHIYHSHFQKIVSLKEEAHLNTCFKHFILFTFEFGLIDKKELAPLQELIETIIVPY >OIW09302 pep chromosome:LupAngTanjil_v1.0:LG06:29311201:29313165:1 gene:TanjilG_01273 transcript:OIW09302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVKVFVVLVLLLVLLLPIILVQSLSFNITDFNNGVNASNMAYEGDGQPINGSIELNKVSYLFRVGRAIYGQPLHLWDSSTKVVTDFTTTFTFIIDKVNDTSYGDGFAFYMAPLGYQIPPNSAGGTFALFNATTNSNLPQNHVLAVEFDTFIGSTDPPMRHVGIDDNSLTSVAYARFDIDNNTGNPCHTIITYSAYTNNLIVSWSFNGKSSSNSSSLSYNIDLMKILPEFVNIGFSASTGLSTERNVIQSWQFSSTLNSSVDGIELNRESKKSKTNKVVEVVAITCPIVLVLVGIFVGWLVLKKRRANVGDNNENLGNASVEFDLDKATIPRRFDYKELLAATNGFADDKSLGRGASGLVYKGVLSYLGRVVAVKRIFADFENSERIFINEVKIISRLIHRNLVQFIGWCHEEGELLLVFEYMPNGSLDAHLFGDKKALPWDVRYKVALGVAYAIRYLHEDAEQCVLHRDIKSANVLLDTDFSTKLADFGMAKLVDPRLRTQRTGVVGTYGYLAPEYINGGRASKESDIYSFGVVALEIACGRRTYQDGEFHVPIINWIWQLYVEGNVLNAADERLNKDFNEDEMLSLLTLGLWCTHPNDKERPKAAQVIKVLQLEATLPVIPSNIHERSSHLTHTHYSSHSQPITTSLVHVGR >OIW10692 pep chromosome:LupAngTanjil_v1.0:LG06:3921163:3922341:1 gene:TanjilG_16064 transcript:OIW10692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGALKGKVVIDEMGNGDLEKEEDVAEWGIGRLRTRGLKKMMSTVAEVFESSGPKRIKTGEDTMTLAKFQNEVKKKLQQKKVKLKLMENEEEKGKDKRNKQGEKVEGSENNRGSEIGKTRSLKKSMKPAIVEKPKASMKKRELRLEKHRDCSVGNVENMAVVDSDFYDFDKDRSERSFKKGQVWAVYDDDDGMPRTYALVDEFICANPFEVRVNWLDLQNNGGEKIINSGNMGVHIPCGRFKVSSKTTINSINIFSHVVNCDRPARELYKVHPKKGSVWALYGDAALDVDKRRLAVKGKICYDIVVFLTSYSEMSDLSMAYLEKVDGYRTVFKRQEMGSHAIRFLGKDDMWLISHQIPARKFPRDHETPELLKDCWELDPASLPSDLLTIGGI >OIW09404 pep chromosome:LupAngTanjil_v1.0:LG06:28552629:28558210:-1 gene:TanjilG_21001 transcript:OIW09404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDEDECTNSEQSILISRSDSKINLREKLEAQRKRVKKKDLDSVAQSDGDKEHRVHRSFGEKEMSQITKIPVATMDASQEAKSGRNTFSNEVDLAPRPMGLNNEACSAKHSSLKSGGCVENVTKVSLSEKREREQHSNFAKSKGISVNLNAEDVIRPVNLESAHSRKGHGQLKSKDVPENASCTGGTEEKDPMKIWKEMKQNGFLSSSHGGIPVPKPRGRKSKNDTLKRKMELAKREQVNKFSKIAAPSGLLNDLNPGIINHVRNRKQVHSIIEALVKSEKNENSSTGSKHASRRMSGSTEVSKTDLGHVTNVGEHQLTFPHEEGNLHSPSGSNQTTNYQVTVSDSSWILNDKVSDRETETCTIEKASLESCASHSTHVTEDDILALKLSSPSNASMSSTNLSKEEPSNFSIVSSLSLKAATVASQWLELLHQDIKGRLSALRRSRKRVRSVISTELPFLISKELENNQDNDHHATEISGVLPPSKTTDLHRARWTALFDRMDEELSEEEKQLETWLNQVKEKQLLCDQGLHHVNWTMAYGLPQSGTENDSRASTVDSSEKELAVNAAAASIYSTCNFLLSES >OIW09646 pep chromosome:LupAngTanjil_v1.0:LG06:21669756:21671771:1 gene:TanjilG_28245 transcript:OIW09646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENEDIVTLALKSQLNQSHETRKQEMERSQSQVDVLQEKLTEVMDCVQGSEENAKKELEILWRRVKTTGTLLTYLKSKARIMAVPHLAHTSCGIKKLEGGGLVDKNGIPLLGWSRSVELSSFDDPDEESWIGISRLQGSLDEQDAAYIGEVFESVQLVTDVMEALVKRVLLAESETTIEKEKVSLGQEEIMRKSAQLENMSMKLEEVERLASGTNSILNDMRQRVADLVEETTRQRQQAAENEEELCRVKQEFESLKSYVSSLISVRETLISSEKQFENIERLFESLVGKTTQLEGEKMQKEAEVQKLMEENVRLSALLDKKEAQLLALNEQCKMMALSASNM >OIW09851 pep chromosome:LupAngTanjil_v1.0:LG06:13441712:13442369:1 gene:TanjilG_15333 transcript:OIW09851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVNEGTTVTDFRPPAAMNNNHGQRWFTVRCGGSSGDEQQSRPKVVHGEMRWFKVTLGGDRGS >OIW09880 pep chromosome:LupAngTanjil_v1.0:LG06:11898192:11899471:1 gene:TanjilG_32029 transcript:OIW09880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSGMKRKDVLDKVSDDFSEFSLSSPPTKIRRLDVELCPIPEIEDEMESDEVANEERAIVVFNPLPSPSPFNFIVQSHLLSPINNNWSKQYDSDCDRLIRSEEEEKKKQCLALVPWVSSSSYNSHVDDSISNNTNTEEADEEIGDMDIEIEQQDMDSIANINSSSTSTSTIHPLSMHQGFSGITPEEFHQWQQQQQHCFLPQFSQNTSTPITWTR >OIW10797 pep chromosome:LupAngTanjil_v1.0:LG06:2973600:2976294:-1 gene:TanjilG_27743 transcript:OIW10797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKGSYWRRPLMKVAFFCTQAATSRRPLMLSKKTRLNEKQLTAPGFFLDSGESSRNKSSYYGSLTYQFSSTHVPGNPKVKPNQVAVKTLSAGSRLGVRDFFTEINTVSHVKHPNLVELIGCCVEGPSRVLVYEYEENNSLDRALLGTWISIIRLDWRKRASICMGTTKGLAFLQEELVPHIVHRDIKASNILLHRDFNPKIGDFGLAKLFPDNITHISARIAGTTYWLLAPEYAMGGQLTMKADVYSFGFLIREVVGGKSSARANWGGS >OIW09118 pep chromosome:LupAngTanjil_v1.0:LG06:30112541:30114424:-1 gene:TanjilG_11256 transcript:OIW09118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRRPSFFASTDSILSHSFSFFTFTTNSPSSSSSSSLITLPDSHSKPISSPFYNLLPPTQNPNNIVNLVYSTLKNKSSNLYHDNDINSLILHMGPLQISRVLLRCQSDYSSALTFFNWVKNDLGITPTVQNYCITVHILACSRMFSDAMKLLCDLIKLVEFDGVYQSLVLCTEDCNWNPVIFDMLIKAYVKVGMVDKGFRAFRKNIEACFIPSVTACNCLLNGLSKFSYIGRCWEVYEEMGNLGIRRNAYTFNILTHVLCKDGDTNKVNGFLDKMEEEGFEPDLVTYNTLINSYCRKGRLDDAFYLHKIMYIRGVMPNMVTYTTLINGLCKEGKIKQAHQLFHQMVHRGIDPDIVSYNTLICGYCREGKAQTSRSLLHDMLGNGICPDDITCRLMVEGYAKDGKLVSALNLVVELQRFGIKIPEDVYDYLIVSLCKDGRPFAARSLLLRISEIGHMPKMSTYYELFESLCKFNNVEEALILKSEMLNKNMKLNLTGYRSIISSLCRVNKAQEAEDLLQEMVSSGILPDLEISRAIVNGYCKEKNIDKAVSLLKFFAKVFKVHDTESYNAVVKVSCEIGNVAELMELQDKLLKVGYVPNSLTCKYVIRGLQKAMELDDEISGHNMLEV >OIW09036 pep chromosome:LupAngTanjil_v1.0:LG06:31034300:31036896:1 gene:TanjilG_16263 transcript:OIW09036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTSTRVDLDGNPINSITICMIGAGGFIGSLLCDKLMHETPQKVLALDVYNDKINISWNQAISHGMIEYNSIASMSNKIRDLKVSSKWQVKYCSENNKRLIHFSTCEVYGKTIGSFLPKDSPLRQDPAYYVLKEDESPCILVPLKSRDGLMPNLLRGELLKLVDCGQSQRTFVYIQDTIEAVLSLIVYSKVSGEPSLESPTIDISSKQFYGEGYDDSDKRIPDMNIINKHLGSNPKTSLWDLC >OIW10814 pep chromosome:LupAngTanjil_v1.0:LG06:2815055:2817871:-1 gene:TanjilG_27760 transcript:OIW10814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWPACTDEYEKLIIRMSTPRVVIDNIVCPTATLVKVDSARRHSILLDAVQVLTDLNLLIKKAYISSDGRWFMDVFHVIDQNGNKLKDDSVLKYIEQSLGSIHNGRIDGSNGLTALELTGTDRVGLLSEVFAVLADLQCDVVEAKVWTHNGRIASLIYVRGCNSGSTIEDCQKINGIEVRLRNVLKGDNDIRSAKTSVSMEVMHTERRLHQMMFTDRDFERTPILKFNSDAPIVTIQNWAEKGYSVVNIQCKDRIKLLFDVVCNLTDMEYIVFHATINTRSDQAYLEFYIRHKDGNPISSEPERQRVIQCLKAAVERRASEGVRLELCTEDRRGLLAEVTRTFRENGLNVTRAEVSTMGNMVANVFYVTDAIGNPADPKIIESVRHKIGLSNLEVKELPLICHRQKAEGDDQAFGVGGAVLISICNLLRRNLYNMGLIKSCS >OIW10668 pep chromosome:LupAngTanjil_v1.0:LG06:4143863:4145420:1 gene:TanjilG_16040 transcript:OIW10668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALETLPSNEFSNFTIYDTISATPFRSYDSSEASFLLGENIVDYHEHDPDAFSNYALGTQKRQSGEPRQNFAAQGRKKRRRKPRVCKNKEEAEAQRMSHITVERNRRKQMNEHLAVLRSLMPESYVQRGDQASIVGGAIEFVKELEHLLQSLEAQKLQLLHQRVAQTNEDTAISKINTPPFAQFFVYPQYTWSQAPNKYTSQTKVGAIADIEVTLIETHASIRILSQRRLRQLSKLVAGFQTLYLTILHLNVTTIDPLVLYSVSAKVEEGCQLGSVDDIATEVHHLLRIIDEEASLCC >OIW09871 pep chromosome:LupAngTanjil_v1.0:LG06:13074123:13074593:1 gene:TanjilG_24526 transcript:OIW09871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILYANNDAHMIRTNDEVVPSYNTQMANHFVNCTRTKFGAPDPVVAACCIENDQNFHADASVSHRAKSISWCCCITRPRTKPNHVDHYYRTLDQCREFSRSTSFNVGRLGFGHEPASLDQGLANRVSNRNPYLVQSRITCDTSKRPMFLMSFRMLF >OIW09986 pep chromosome:LupAngTanjil_v1.0:LG06:11215716:11221044:-1 gene:TanjilG_32726 transcript:OIW09986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPCHKRLNSMFVLQGCIICLVLALGWALAARVRNREIKQMTDSMKNGNSLSFLYHDINEFEHSNQVNLPRVTVIMPLKGFGEHNLHNWRTQITSLYGGPIEFIFVVEGTEDPAYRAVSCLISEFEDYVDAKIIVAGLSTTCSQKIHNQLVGVEKMHKDSKYVLFLDDDVRVHPGSIGALTREMEKRPEIFIQTGYPLDLPSGSLGSYCIYEYHMMHADDFRQDRYGLVSGLKNGGYSDDMTLAAIAGAHKRLISSPPVAVFPHPLASDLNFGRYWNYLRKQTFVLESYITNVNWLMNRALFAFHTWASWGFVTPYFMALIHVAAALRFYNMPYSFEEMPYTSGGLVLVNVLAVCTLVELLSMWNLTRIEVQLCNMLSPEAPQLSLASYNWCLVFVAMLVDNFLYPISAVRSHFSQCIDWSGIQYHLKDGKVSKIERTKRSNDMGPVFTDLGGKHLYGKKGLPTRGSFLSSLVKSFAQWHQPKKFDN >OIW10784 pep chromosome:LupAngTanjil_v1.0:LG06:3130654:3137827:-1 gene:TanjilG_27730 transcript:OIW10784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGHDNHNGDDRGIFSHLAHGVAGGAHGYPPGAYPPQPGAYPPQSGAYPPQPGAYPPHQGYPPGQGYPHEHGYPPQQGYPPQGYPSAGYPPASYPPAGYPPAGYPPASYPPASYPGSHGAPPHHAHGSHGSHGGGMGALLAGGAAAAAAAYGAHHVSHGHYGHGHGMMPHGKFKHGKFKHGKFGKHGKFKHGKFGKNPPDWQEILTYFRGSELQNYFTRILEDDLKAIIKPQYVDHIPKAVQGNVGQVLDQKDEREAKAELCADLELNQVIDRNVGDLSGGELQRFAIAVVAIQHAEIYMFDEPSSYLDVKQRLKAAQVIRSLLRPNSYVIVVEHDLSVLDYLSDFICCLYGKPGAYGVVTLPFSVREGINIFLSGFVPTENLRFREDSLTFKVAETPQETAEEAQSYARYKYPTMTKTQGNFKLRVAEGEFTDSQIVVMLGENGTGKTTFIRMLAGLLKPDIIEGGSDVEMPEFNVSYKPQKISPKFKSTVRSLLHQKIREAYTHPQFISDVMKPLLIEQLMDQEVVNLSGGELQRVALCLCLGKPADIYLIDEPSAYLDSEQRIHAAKVIKRFILHAKKTAFVVEHDFIMATYLADRVIVYEGNPSIDCTANCPQSLLSGMNLFLSHLDITFRRDPTNFRPRINKLDSTKDREQKNAGSYYYLDD >OIW09579 pep chromosome:LupAngTanjil_v1.0:LG06:22621318:22622148:-1 gene:TanjilG_28178 transcript:OIW09579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEPKISIKQKEKQIIAYPESLKGSSISQRFFDTPNTKFLSLSLPNSANSSPHRASNLTSKQHENRLMRSKSCREGRARAPSDEFYLWSSNMSHTNFSGTRAIDENHEDINDLRTRAEKGFKCSALCLFLPGFGKTKMVKSRKDGSEIIEGVISRTVSMEKFECASWASSALFHEIEVGDSMNSPFYDLPMELIKFSANDVNTPIKSAFVFEKDLKGVLKNSSTGGSVPKSEGSPRHVRFSISSSTSHNASPTSCITHNARKTRENFNAFLEAQSA >OIW10839 pep chromosome:LupAngTanjil_v1.0:LG06:2628490:2629371:1 gene:TanjilG_27785 transcript:OIW10839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQLKKYFHRFGYLSETTSNFTDTFDKQLETAVKLYQKRLGLHVTGKLDSDTISTIVAPRCGVSDNSSPHGLHVTRHYAYFNGKPRWVRASPVTLTYAFSPYNMIDRLSVTEIRTVFNSAFNRWSSVIPVSFKETQYETADIKIGFYRGDHGDGEPFDGVLGVLGHAFSPENGRFHLDAAEMWSVDFERDTSKVAVDLESVATHEIGHVLGLGHSSIKEAVMYPSLSPRKKKVDLRIDDVEGVQALYGSNPNFTFGSLLQSENSFNHAIGSETAFSKSLLSLVIGLLILFLCD >OIW10663 pep chromosome:LupAngTanjil_v1.0:LG06:4202531:4203463:1 gene:TanjilG_16035 transcript:OIW10663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSKVFSIVFFVVLGLGVCSAARTLLTFGLDHGIGGEGGYGGGGGSGGGGGSGGEYGVGGYGGGAGGGEGAGGGYGAAGGGGGQGGGGGSGGGAAGGGYEGGIGEGGGGAAGGGYGGGIGEGGGGGYSEGGAHGGGIGEGGGGGYGEGGAHGGGYAGGGGSGGGGGGGSGAGAATGGGYGGGEGGGAGGGAGGGYGGANGGGYGGGGGGGSGGGGGGGIGGAHGGGYGTGGGAGEGGGGGYGGGAHGGGYGTGGGAGEGGGGGYGGGAAGGGGGGYGSGGGAGGGGAHGGGYGAGGGGGEGGGHGGYYP >OIW10651 pep chromosome:LupAngTanjil_v1.0:LG06:4347430:4348142:1 gene:TanjilG_16023 transcript:OIW10651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIIRDVSVVWMLERHQDLSPSTSNVDTSRPSIGFPLGTALLLITIFTLSGIFSCCYHWDKFRSLQRTLSDPHIQTHQSSSPKSKLNSTEWKQSMTVLMPGDEVPRFIAMPCPCQPSRPEQIIVTLEKLTAPSKPPQMPVPLY >OIW09124 pep chromosome:LupAngTanjil_v1.0:LG06:30159302:30161505:-1 gene:TanjilG_11262 transcript:OIW09124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHLFTLLTFSLIICSTTSAKGPPSPGYYPSSNVSPVSFGQGFSNLWGPQHQKLDQGSLTIWLDSNSGSGFKSLHSYQSGYFGAAIKLQQGYTAGVITCLYLSNNQVYPGNHDEIDIEFLGTTPNKPYILQTNVFIRGSGDRNIGREMQFHLWFDPTQDFHNYAILWKPNEIIFLVDDVPVRSYPRKSDATFPSRPMYVYGSIWDASSWATEDGKYKADYKYQPFIGKYTNFKLQGCTNETSTTCKPPSASPSGGNGSLSPQQLGSMQWVQNNYLVYNYCHDPRRDHTLTPEC >OIW10536 pep chromosome:LupAngTanjil_v1.0:LG06:5415570:5416331:-1 gene:TanjilG_15908 transcript:OIW10536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKDICELSSAWEGDNGNSMDKRLRLFGFELNPSKNNEGCVKEPAEGDESVNSTNSFSSGEKIAQEKSSAGDQDERKFECQYCLKEFANSQALGGHQNAHKKERMKEKRLQLQARKASIKYYLQPFQTNNHGFAYHGSNTHWFYDPSSYNNNSDFTFCEESQISFNSNDQNTNFVTSDKRYSNWYNSLPSHTPSKQDTSCMFTFSNPDNNNRPFISEPYHLPASNQSHNKALDLQLGLNLESNTRSLSKKGVI >OIW09044 pep chromosome:LupAngTanjil_v1.0:LG06:31110351:31111247:1 gene:TanjilG_16271 transcript:OIW09044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDIISCFSENAVIVTPYSSCSSFSSKACISPSLIPSTQNSVSYVYKAILSNNQKHFFITVTWSMSHLNQGLTISLGEEETIFRLNTNSRFFRKKGSKMLESDESKIEIFWDLYNAKYDIGPEPVNDFFVAILIDAELVLILGEETMTKKFKTRRIPLAKVSLLSRREHCSGNTIFTTKTKFCENGTLHDILIKCSGENEGLNYPVLSVCIDNKTMIRVKRLQWNFRGNQSIFVDGLVVDFLWDVHNWFFNPAPSGYAVFMFRTKSELDSRMWLEEKTSLRDEDTVEFSLLIYACKST >OIW08934 pep chromosome:LupAngTanjil_v1.0:LG06:32449484:32452266:-1 gene:TanjilG_05910 transcript:OIW08934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVVRGSYGESPYDVLGVSSSATVDEIKRAYRKLALKYHPDVNKEDKAQEKFMRIKHAYNTLLNSSSRRKYDSRDRGSNFSSYSSANTQTEEEFYGLGDFFKDLQNEFRNWEANAASQGKPKSLWEELSEIGEEFVEFLEKELNIDDPEVNARNDDKTPQGSGKGTPSNSTKGEADKGNKSIDDNIDEIEATLAQLKKELGL >OIW09453 pep chromosome:LupAngTanjil_v1.0:LG06:26175594:26177585:-1 gene:TanjilG_22727 transcript:OIW09453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFHIETHRPTQHRIDMASPLFLRQVLLHRLRLRLTVAAPHRLWCSAATPTPPSSSENANEKQSSAFTSTSTSSSNLQLKTNDPLLEDDVEHRKWKVKEEEILRDIEPIVMLTRNILFSRRYMDGELLSVEDEKAIVERLLAYHPHYEDKIGCGLESIMVDRHPQFVYSRGLFVVRIDGDWIHFSHQNCLREYIRYNYPTYAERFIEEHLQHGMRLRKIHQYPT >OIW09108 pep chromosome:LupAngTanjil_v1.0:LG06:31618806:31621265:-1 gene:TanjilG_16335 transcript:OIW09108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGIAFGRFDDSFSLGSIKAYLAEFISTLLFVFAGVGSAIAYGKLTSDAALDPAGLVAIAIAHAFALFVAVSVGANISGGHVNPAVTFGLAISGQITILTGIFYWIAQLLGSIVASFLLKFVTGGLAIPIHSVGAGVGAGEGVVTEIIITFALVYTVYATAADPKKGSLGTIAPIAIGFIVGANILAAGPFSGGSMNPARSFGPAVVSGNFSGHWIYWVGPLIGGGLADE >OIW10919 pep chromosome:LupAngTanjil_v1.0:LG06:1989047:1996390:1 gene:TanjilG_27865 transcript:OIW10919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRDPGAPADSFYEVRPECTDVLKSRVKYAALKEECCHSFPLVGSGKFITAPVITEDGTMGPSSTGANVERVTYKNIIQWMLTLHRIGMSDLYSPMIILLNDEADAFWCFERLMRRLMMWALEYDPDLFNIYEDSEGEKSEESKGRLKSIRHYGMFERENMKNGAKNGEEAPLPIFVFLVASVLKEKSAILLQQARGLDDVVKMMWALEYDPDLFNIYEDSEGEKSEESKGRLKSIRHYGMFERENMKNGAKNGEEAPLPIFVFLVASVLKEKSAILLQQARGLDDVVKVSHVTFQV >OIW09822 pep chromosome:LupAngTanjil_v1.0:LG06:14384615:14384965:1 gene:TanjilG_32260 transcript:OIW09822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINAIIFAVSDGSRKPASADIDKVFVFPSIFEAGGETQEGILEYETDDDEVNENGDEYCKSQGYNKDEGVDYTNEITKQEEYDDNLKTRIENFIAKVYKGWIEEKQWDKYNYGLDI >OIW10441 pep chromosome:LupAngTanjil_v1.0:LG06:5783184:5785991:1 gene:TanjilG_00379 transcript:OIW10441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QDGGILAIPTVADSPLKLNTKKGLSSEFYDRTFALSSIASMSGCCQVTIPLGYHNDCCVSVSLISFHGADKFLLDTVLDMYTTLQEQVSVASSLPLPDTNGNMETSELLKEKGNAAFKGRQWNKAVNYYTEAIKLNGMNMTYYCNRAAAYLKLGCFQQAEEDCSEAILHDKKNVKAYLRRGTARESLLRYKEAFEDFKHALVLEPQNKDASVAEKRLRKLMS >OIW09466 pep chromosome:LupAngTanjil_v1.0:LG06:26708363:26738413:-1 gene:TanjilG_22740 transcript:OIW09466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPFTNKHIYPVNRNLGTLTDFKPYSPHTAVMACCCSSIHFNFNLSFPSDASLIPYTLSHLSLTPLLNTKKKAINKDSISFCFSFLLVLHAPRRTIAAVTSRVTMEADKPVSDNRMLVFVPPHPLIKHWVSVLRNEQTPSPIFRNAMAELGRLLIYEASRDWLPTVSGEILSPMGVASVEFIDPREPVAVIPILRAGLALAEHASSILPATKTYHLGIIRDEETLQPSIYLNKLPENFAEGSKVFVVDPMLATGGTIVAALSLLKERGVGNKQIKVMAKKPEESFKEYTERWRALTSQVITSLEEEEQLSIFVDTLKEPYYDYLVGAVASSFNTLIKVGEKIEVES >OIW10042 pep chromosome:LupAngTanjil_v1.0:LG06:10497230:10507446:1 gene:TanjilG_32782 transcript:OIW10042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGGDEVSAIVIDLGSHTCKAGYAGEDAPKAVFPSVVGAIDQMDIHGHGDAAENMGAAVEIQNNAKSLDSEKTKGKCKLYVGSQSLGYRRDHMEVLSPLKNGVVVDWNIVDNIWDHALRECLLVDPKERPMLLAEPCSNTQEQRERTAELMFEKYQVPALFLARNAVLTSFASGRATSLVIDCGGGSTTVAPVLDGYVLHKGVTTSPIGGDFLTECLMKSLEGKGIRAINLDFPQTTESYKVYSQRVIASDIKECVCRAPDSPYDESAYANIPTTSYELPDGQIIEIGSDKFKIPDILFNPSLVQTIPGMESFAGIAPSIRGLPKMVIDSINKCDVDIRRELFSSILLAGGTASMQQLKERIEKDLLEESPQAARVKVIGSGNATERSKCGSPRLSMKSKVLPTSKESALEFLWM >OIW09047 pep chromosome:LupAngTanjil_v1.0:LG06:31122447:31125401:1 gene:TanjilG_16274 transcript:OIW09047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPEAVRQIIFGAFPRLWPIDTRCYNIYSLRPNKLDACSRRKRVLVSMQAQSNSRVFYTSKRVSLFHSTNKAPHRKSGFDRLETIMCNCERQENGSSITNNDQDSTRSKMEKRPAVGSLSEIVTDSTQHTEAIGVDGITKHVNGGFTSKQKMPIDGLIKDPVHKSSPNSIEDEAWNLLRDSMVYYCNNPIGTIAANDPNSSSNLNYDQVFIRDFIPSGIAFLLKGEYDIVRNFILHTLQLQSWEKTMDCHSPGQGLMPASFKVRTIPLDGDDFATEDVLDPDFGESAIGRVAPVDSGLWWIILLRAYGKHTGDLSVQERVDVQTGIKMILKLCLADGFDMFPTLLVTDGSCMIDRRMGIHGHPLEIQALFYSALMSAREMLAPEDGSADLLRALNNRLVALSFHIREYYWIDMRKLNEIYRYKTEEYSYDAVNKFNIYPDQIPSWLVDFMPSKGGYLIGNLQPANMDFRFFSLGNLWSIVSSLSTEDQSHAILDLIEAKWAELVADMPFKICYPALEDQEWRIITGSDPKNTPWSYHNGGSWPTLLWQLTVACIKMNRPDIALQAVQVAERRISKDKWPEYYDTKRGRFIGKQAQLFQTWSIAGYLVAKELLANPNAAKILVNEEDSELVNASSCIISSNPRRKRDKKKKTIIV >OIW10542 pep chromosome:LupAngTanjil_v1.0:LG06:5355996:5357867:1 gene:TanjilG_15914 transcript:OIW10542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTTSRPVAKVLWGELEEDDGEDLDFLLPPKQVIGPDHNGIKKVIEYKFNDEGNKVKITTTTRTRKLANARLSKRAVERRSWPKFGDAVHEDVGSRLTMVSTEEIVLERPKPLGSKNEEPKAAGDPLAQFQKGAVLMVCRTCGKKGDHWTSKCPYKDLAAPSEGFVDKPPTSDAAAGAPGAAKGAYVPPGMRAGAERGAGSDMRRRNDENSVRVTNLSEDTREPDLLELFRPFGAVSRVYVAIDQKTGMSRGFGFVNFVIREDAQRAINKLNGYGYDSLILRVEWATPRTT >OIW09387 pep chromosome:LupAngTanjil_v1.0:LG06:28309423:28311618:-1 gene:TanjilG_20984 transcript:OIW09387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEGYYNSKKTDDICNDVCGQFYNFSDNEVTTSNPGNSLFACEAKAVHIYPHQILLSGSLGTHAALSISRIKCILQGLDFKTFIFIFIVVPMSIFGLYVHGQKITYFLRPLWESPPKPFHEIPHYYHENVSMETLCKLHGWEIRESPRRVFDAVLFNNEVDLLTIRWNEMYPYVTQYVLLESNSTFTGIVKPLFYASNRDNFKFVESRLTYGLIGGRFKKDENPFVEEAYQRVALDQLLRIAGIEDDDLLIMSDVDEIPSAHTINLLRWCDDIPPVLHLQLRNYLYSFEFFQDTESWRASMHRYQTGKTRYAHYRQSDVLLSDAGWHCSFCFRYISDFIFKMKAYSHNDRIRFAHYLNPDRIQDVICKGADLFDMLPEEYTFKEIIGKMGPIPHSYSAVHLPAYLLNNADRYRFLLPGNCKRKSD >OIW09911 pep chromosome:LupAngTanjil_v1.0:LG06:12221873:12235209:-1 gene:TanjilG_32060 transcript:OIW09911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAEAEAESDFVMNDMLTSTYDQDPFDDDNNNGNLAIEGERCGICMDVVIDRGVLDCCQHWFCFVCIDNWATITNLCPLCQNEFQLITCVPVYDTIGSNKVEDESYFRDDDWSIEGKSNTLSFPSYYIDENAVICLDGGGCKVRSGSASIEEDSGLDTSIACDSCDIWYHAFCVGFDTESTSDSTWLCPRCVVGEVSKGTDTNSIERTAVEYNPENSNSKCLAEDSFSRKVSVSVADTGDTAVVVSMVDGNKWIPATSEKDMFPLEVDGDPLNESHILMSDTHNEQKGAKKTTTTPVMEEQELELCLSNNVSGSLTFKSLVHNDLKKSTHGAKGEKSSFVGKKLLDESHVKTSPSRNESDMGLHLVDKADENETKDQATVILHSSPEKPFLKVDTADGKNQAVDIPQLSPEKTYLKGHEIETGIHKDNARVTARKRKHVDCSYDQVHIKVDDGDAKPELLVEISQKKIRAAGSQMISANNLADAPLSDNAKQHPALKPSPSREVVASDILNIVKGTNRRVSKGRAGTDACDKLSEKKENMAGLRVKKIMKRVSDDGESSLMVQNLRKEIREAVRNKCSINFEENHFDTKLLAAFRAAIVGPKTEPVNKLSPSALKAKKSMLQKGKVREHLTRKIFSTSNGRRKRAWDRDCEIEFWKYRCMRATKPEKIETLKSVLDLLRKSSEDPESKQTPESRAKDPILSRLYLADTSVFPRKDDVKPLSILKTSASSEQTKQNNPPGKGSNPFLNNNTTKATEANNLLSKIGISSSEGKVNRQIVNGSAGDNSTSGKVHVSSHSGVKLVSSSAGAKVATKELGLKSDSTKIDKKKWALEILARKTAAASKNTSNETQEDNAIFKGNYPLLAQLPTDMRPVLAPCRHNKIPISVRQTQLYRLTERLLRNTNLSVIRRTADTELAVADAINIEKDVADRSNSKLVYMNLCSQELLHRTNNTKSTTVKDTNPPASSAELTDQSEQNTDDHSSDPEVEIALKNAGLLSDSPPSSPQVNRELCKDDMSGPDNILELDSHPELDIYGDFEYDLEDEDYIGITKVSNPKQEESESKVKLVFSTMKLKSDIPLECAVGEGSENIEVPQDASSSPNCHNVAVHMDSTTNVEIGQPSVSSELPLCEGSVEPFDSEFEELYGPDKEPLIKKFPDGESRTLCGEGKTEALGEANDCHNKENVSVTSITDKSCNVSETAENAPRTEEKSEKNAKQSGSLNHVTKKVEAYIKEHIRPLCKSEVITAEQYRWAVAKTTEKVMKYHSKAKNASFLIKEGEKVKKLAEQYVEAAQQNKKN >OIW10276 pep chromosome:LupAngTanjil_v1.0:LG06:8326120:8327223:1 gene:TanjilG_28027 transcript:OIW10276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISSEGCSPRNRSDHLRKDGSEHETPLQKQGLELELPDHGYGHNNNDDIIQSMNALDILKETVRILRFNSCSFMAIAVLLICPVSSVVLSNVLVDDSILKSLSIRLMLVAKASGLPLRPLIKQSCQRFAEMVISSATNFPLYVTLLLLSKAAVVYSVDCTYSRKKFEASKFCVIIRKFWRKIVSTYMWVCTIIVSCITMFFVFLILFCSALSVLGFSLDVAVYAAVMVGLVFSVIFANAIIICNIAIVISVLEDVSGAQAMLRSSILIKGQTHVGLLIFLGSTIGLAFVEGLFEHRVKTLSYGDGSSRMWEGPLLVIMYSFVVLIDSMMSAVFYFSCRSSTIETSDGEGNSILEKMAISADTVGAQ >OIW09470 pep chromosome:LupAngTanjil_v1.0:LG06:25897967:25899690:1 gene:TanjilG_06342 transcript:OIW09470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFFSIVLFLFLSLLFSYLYFHSHTRKHNNTGFKIYPIVGSLPEFLINRHRFLEWTTLVLCECPTNTAVLFSPTSVHSVVTANPHNIEHILKTRFGNYPKGEQFISLLVDFLGMGIFNSDGDLWKVQRKTASYEFNTRSLRNFVMENVAVELQTRLLPIFSRASETDRILDLQDLLERFAFDNVCKLAFNVDPGCLSGDGTTGTEFMTAFEDAAMLSSQRFMSALPKIWKIKKLFNVGTERRLRESIAIVHKFADEIIQSRMEAKNLNHDEDLLSRFIGVEDNNSPEFLRDIIISFILAGRDTTSSALSCYEELREMHYLHAAISESMRLYPPVPVDTKACLNDDVLPDGTVIKKNWFITYHTYAMGRTESLWGKDCNEFKPERWLENGVYRKENPFRFPVFHAGPRMCLGKDMAYIQMKSIAACLIERFEIDPVDKDTCPKHLLSLTLRMKGGLPVRVRIRARNAT >OIW11102 pep chromosome:LupAngTanjil_v1.0:LG06:617543:619991:-1 gene:TanjilG_22909 transcript:OIW11102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLSAFEVLHLGFPILLLVYIIQVHGVNGHAKNFITWDDLMVDEHNLASDIGGQVIVVDHGGNGDSTTVQGAVDMVPEDNTERVKIYIYPGTYSTKASDKGSDGQEIGTVSTATVWVESDFFCATALTIQNLVDRDADKRQAVALRVDGDKAVFYKVKLIGEQDTLLDNNGTHYFYRSYIQGSVDFIFGQAKSLFHECIIYSVAEFWGAIAAHHRNSVDEDTGFSFVGCTIKGNGSVLLGRAWGDYATTIYSNCYMDNIISPMGWSDWNVPSRQRTAMFGEYQCSGKGSNRTERVEWSKALSSEEAKPFLGRDYIYGDTWLKLQ >OIW09344 pep chromosome:LupAngTanjil_v1.0:LG06:29655665:29658183:1 gene:TanjilG_01315 transcript:OIW09344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKLFHSSSSSTTDQTNNINNNNNNLFFILLFIILSFFLLTLLTKLILRWLLFPMDIGFPTNVRHVAHVTFDRFNGFLGLPAEFEPDMPTRPPSASATVFGVSTESMQLSFDSRGNSVPTILLLMQTHLYAQEGLQAEGIFRINADNSHEEYVRDQLNLGSVPDGIDVHCLAGQIKAWFRELPMGVLDPLSQEQVMQCQSEEECVELVKHLPNTEAALLDWAINLMADVVEHQHLNKMNAHNIAMVFAPNMTQMADPFTALVYAVQVMNFLKTLITRTLQERKDSVVESSLTLYLQPLVENASHSILESCKQGTAAENEDADENIVAKDTALDNNSSIGGESKSLISSYCECPPKGNMAKSKTVQSSSSSSIKGSQKTRNQKPVEKIGIRSLDSKEEKECKLII >OIW11176 pep chromosome:LupAngTanjil_v1.0:LG06:131658:133798:1 gene:TanjilG_22983 transcript:OIW11176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNAFILLLLLLLVFLNSNGSEAQNKTQPRGPKAPVAKPKAKAVADPDALPPAAENCNGVYISYEFHSRKKEFPHVKNVSAQSWAFNATAVVLNTGREILKRWKLFIKFQHDEILVNVNGGVLFDGEDFPVSVGNGTHIVGGSNADLDTSINTANDLTQIQAEIQISGTQFGVRTNGIPMPKTIKLENDGYKCPPPTTKKSSMWACCKRDKKSKLKEKKTKYLPRQKGDLTIAYDVQQAYDNNYNVEVTMQNNNPLGRLDHWNLTWEWTKGEFIYNMKGAFTREIEYSGCVNGVAGQYYKDMDFSKVWNCQKKPIISDMPPEKINDTQLGQIPYCCRNGTILPTLMDESQSKSVFQMQVFKVPPDMNKTSIFPPEKWKIMGILNPDYQCGPPLRVDPARTPDTRGLDATVIGIASWQIVCNITKSSKRSTRCCVSFSAYYNDSVVPCNTCACGCDDTDHCNPNAKALLLPPEALLIPFQNRTVKTLAWAKLKHFHTPKKLPCGDNCGVSLNWHIASDHKGGWSARITLFNWETTPFQNWFTALQFKRRVGIGFEKVYSFNGTFLPKLNHTVFMQGIEGANYLLGIDNSSNPKVPGKQQSVISFTKKFTPGIKIPKGDGFPSKVFFNGEECSLPTQFPIGNGNPHNNVDLVHALLLLVLPFIINHIMY >OIW10151 pep chromosome:LupAngTanjil_v1.0:LG06:7109973:7110350:-1 gene:TanjilG_27902 transcript:OIW10151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTFDPRKRITVEDALAHPYLTSLHDISDEPVCMTPFSFDFEQYALTDEQMKELIYHEALAFNPDYQQ >OIW09194 pep chromosome:LupAngTanjil_v1.0:LG06:30766851:30771779:-1 gene:TanjilG_11332 transcript:OIW09194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKMNNNMSSVSSSDLIDAKLEEHQLCGSKQCPGCGHKFESKPDWVGLPAGVKFDPTDQELIEHLEAKVESKNMKSHPLIDEFIPTIEGEDGICYTHPEKLPGVTRDGLSRHFFHRPSKAYTTGTRKRRKIQNECDLQGGETRWHKTGKTRPVMVNGKQKGCKKILVLYTNFGKNRKPEKTSWVMHQYHLGQNEEEKEGELVVSKIFYQTQPRQCNWGDRSAATTGEGSGEVPNNNNNSGRKDSGSGSCSSSKEIVTHRDEMSVVVGVPPPITSFTHPLDIQQHLKSDHHFTFMPFRKSFDHEVGIGEASTAREIQASGSCEEVHEHHRPQLIAHHHQQHQHQQQQQQQQQQHQQQHVQHQITTSAFHMNRPSHPISTLISPPPLHHTSIILDDNPYHASRIMLQQNQHFQQQHHNLGTRSASGLEEFIMEGTSTDIKEIPLQESSITNPQEAEWLKYSSYWPDPGNLDDHHHHHHG >OIW11109 pep chromosome:LupAngTanjil_v1.0:LG06:565126:566535:-1 gene:TanjilG_22916 transcript:OIW11109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHLPERGLLRVPLSSTPVVTKKNFNISRSFQKFLRFVFKSSNSSNKSMVCNSSNSISFQVPVKYSKERVYVVYDKSGPFLSTIPELPELEIGAAISPDKFKPTTIEEYIDYLFPDETQTTNLKILEAAYKWKKQKLSSGF >OIW11105 pep chromosome:LupAngTanjil_v1.0:LG06:592964:596140:1 gene:TanjilG_22912 transcript:OIW11105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDSNVSKQIQQMVRFIQQEAEEKANEISVSAEEEFNIEKLQLVETDKKKIRQEYERKEKQVDIRKKIEYSMQLNASRIKVLQAQDDVVNNMKDAAAKELLNVSGDHHVYRNILKDLILQSLLRLKEPSVLLRCREDDLQLVESVLDLAVQEYAEKANVHPPEIIVDKEVYLPPAPSDDDSHALHCSGGVVMASRDGKIVFENTLDARLDVVFRKNLPEIRKQLFAGAAA >OIW09420 pep chromosome:LupAngTanjil_v1.0:LG06:28063520:28065106:-1 gene:TanjilG_14571 transcript:OIW09420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRFRSKIRVGILIIVVVGTCLAALYGLLQPISNGCIMTYMYPTYIPIASSESVSPVKYGLYLYHEGWKKIDVKEHLKKLSGVPVLFIPGNGGSYKQASCFCHFIYNTERSYFVINTLLVRSLAAESDRAYQSGPLDRTFYQEASLSPEEGGAYIDLSGFQLPNQYTRRLDWFAVDLEGEHSAMDGAILEEHTEYVVYAIHKVSLLSFLDYIQGK >OIW09780 pep chromosome:LupAngTanjil_v1.0:LG06:15598178:15598795:-1 gene:TanjilG_32218 transcript:OIW09780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQSPSGSSLGPGDLDLTQVFFKPITNAAPPSSTKRQTKISVIGAGNVGMAIAQTILTQDLTDELVLVDAKPDKLRGEMLDLQHAAAFLPRTKIHASVDYAVTAGSDLCIVTAGARQIADESRLNLLQRNVSMFKNIIPHLVRYSPDSTLLIVSNPVDILTYVAWKLSGFPSNRVIGSGTNLDSSRFRFLIADHLDVNAQDVQVL >OIW10596 pep chromosome:LupAngTanjil_v1.0:LG06:4938916:4940031:1 gene:TanjilG_15968 transcript:OIW10596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEALRVLNGMPPIPEPNLQDTIITTDYHRGKKSSAANKRALRENGSSAAPSTGGALRYRGVRRRPWGRYAAEIRDPQSKERRWLGTFDTAEEAACAYDCAARAMRGLKARTNFVYPTSPPSPATEFCHFNLPKNPHPQQQQHLNTISPFSASPTHHHQDPSSSLHMLLFRDFLNSSSNHHFHNYNNNVTSASTPFVNCYANSASVNANNITRGAVENCYGNKTYTKADDENEDLEFYDSGLLEEIVHRFLPKSRTKKCETPQKIAETNFSNPVCSDNVLLSNAQCYEEMKSELPRNNGFGCVASFDYHQGYPTQQCGTFDNGFNVNAVQAVPPLGNEQIMMNHAEYTSIMEDIFPYPDFLNAFSLRVQNA >OIW09524 pep chromosome:LupAngTanjil_v1.0:LG06:23652023:23652685:-1 gene:TanjilG_13748 transcript:OIW09524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSTEASSAMEVEAVSSESKLLPPKPEFAPLKPHEMSDGQVQFRKVSVPPHRYTPLKKAWMDIYTPVFEQMKVDIRMNLKARKVELKTRRDTPDISNLQKCADFVHAFMLGFDVIDAIALLRLDELYVESFEVKDVKTLRGDHLSRAIGRLSGKGGKTKFAIENATKTRIVIADSKIHILGSFANIKIARDSLCSLIMGSPAGKVYSKLRAVTARLAERF >OIW09438 pep chromosome:LupAngTanjil_v1.0:LG06:27566977:27567597:-1 gene:TanjilG_07823 transcript:OIW09438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLHSPMAKRLWNVLRITFFMIRKGLISKRKMIMDINLMMKKGKVLRKSLSNLIHLNHNHSKSIMGRGRFGVQDYEFSCSNSPNPVFLQIPKRKHHFNFPCIHSHEVYEEEPHLSALEEVESVEPMTLVLVPKTPEYAFNFRFDASDFGHGEKKSPLLSPFSVRISNYSALDENEEIVNGQVDDQAEDFIKRFYEQLRKQSPKQLL >OIW09251 pep chromosome:LupAngTanjil_v1.0:LG06:28651649:28657323:-1 gene:TanjilG_01222 transcript:OIW09251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLSNCFTHSSHIFAKFSHRQKTDVKVNAVTSSDLNSKKANLFAEKKERVKLPTYSDDLGGKKYHISEFLSHSSGIAAVLNTRALQSFQPLDDNTYKCALPKLQFLNFEAAPSMDLRVTSTDEDCLVEMLSCKFEGSELVEEQNNHFSAFMRNHMTWGGGDDAESFLEVDVKLNLTLEIYTRPFTMLPISAVERPGNLMMQALVDKLVPMLLQQMIQDYDEWVQNQLENTP >OIW09214 pep chromosome:LupAngTanjil_v1.0:LG06:30896127:30902565:1 gene:TanjilG_11352 transcript:OIW09214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVFVGVSWDLNWFLRFIFSVLVVAIGLHILVRKTASKYFEVEANFEGDNSINSTSTMSGVMDLCAFCRKQGSKQCSRCKAVRYCSQECQLSHWRSGHKESCTVSTQSEVTKRGALIAGGKKPSIALIPSKGSGTSRPIKQAKDVLFPYDEFVKLFNWAKPGPPPCGLLNCGNSCFANVVLQCLSFTRPLVAYLLEKGHRRECGCNDWCFLCEFETHVERTRLSSQSFSPMNILSRLPNIGGTLGYGRQEDAHEFMRFSIDTMQSVCLDEFGGEKVVPPNLQETTLIQHIFGGCLQSEVICTKCDQKSSQYESMMDLTVEIHGDAASLEECLDQFTAKEWLHGENMYKCDGCKDYVKAWKRLTVKRAPNILTIAFKRFQSGRFGKLNKRVAFPETLNLSPYTSEAGDGSDIYKLYAVVVHLDMLNASFFGHYICYIKDFQGNWYRVDDWKVTRVELEEVLSQGAYMLLYSRCTPRPSNLQIQTTETSRKAEMQTVKMGVEPETSKQAECVSIVESVTCSRGEVLPSDISPELVSGHEYQPSTIIDSGAKCEHSDDVDMIDKSTNPGNEISCSPVGSSSIPISQAVEDFGDVDMSISPEETPGNTEEQHDIAISTLPGLPNDISCSDKHSSVSTDCPKMGDAEHIDVAKCKSLTSKDGAYYGNGYVSANKSAV >OIW11171 pep chromosome:LupAngTanjil_v1.0:LG06:166555:169860:-1 gene:TanjilG_22978 transcript:OIW11171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIISCIMAATGGLMFGYDVGVSGGVTAMPPFLKKFFPAVYRKTVLEKGVDSNYCKYDNEGLQLFTSSLYLAGLTATFFASYTTRNLGRRLTMLIAGIFFILGVIFNAAAQNLAMLIVGRLLLGCGVGFANQAVPVFLSEIAPSRIRGALNILFQLNITIGILFANLVNYGTNKIKGGWGWRLSLGLAGIPALLLTVGALLVVDTPNSLIERGRLEEGKAVLRKIRGTDNIEPEFLELVEASRVAKEVKHPFRNLLKRRNRPQLVISIALQIFQQFTGINAIMFYAPVLFNTLGFKNDASLYSAVITGAVNVLSTVVSIYSVDKVGRRKLLLEAGVQMFLSQVVIAIILGLKVKDHSDDLSKGYAVFVVVCD >OIW10012 pep chromosome:LupAngTanjil_v1.0:LG06:10852150:10857023:-1 gene:TanjilG_32752 transcript:OIW10012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKNRRGEGAVFLELQNDVVIGVSSSLVMGDDKSSTIMANRDRDRELLIPVAGSAVDDGAASSKPSSSSSSMHQAGRETFSKVIRSWASKKFMTGCVILFPIAITFYITWWFIHFVDGFFSPIYAQLGMNIFGLGFITSMSFIFLVGVFMSSWLGTSVLGLGEWFIKRMPLVRHIYSASKQISAAISPDQNSQAFKEVAIIRHPRIGEYAFGFITSSVVLQNYSEDEELCCVYIPTNHLYIGDIFLVNTKDVIRPNLSVREGIEIVVSGGMSMPQILSTLDSRGPVDISRPQRR >OIW10489 pep chromosome:LupAngTanjil_v1.0:LG06:6118431:6120594:1 gene:TanjilG_00427 transcript:OIW10489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFNPSNAKPTQPISDILSIYQWESVAQTLQETQLHMSGPMVVAGVLCFIASSISSAGGIGGGGLFIPILTIVAGLDLKTASSLSAFMVTGGSIANVMCNMCTTNPKFGGKSLIDYNIALLSEPCMLLGVSIGVICNLVFPEWLITILFALFLTWSTSRTCNSGLQFWKVESEKIRKVGFEELENGTSEEINGLVQVIKENEGLKSIEEPLLGPEENSKLRIPWFKLGVLVLVWFSFFSLYLLRGNKYGQSMIPIEPCGLGYWVLSSVQIPLAVVFTAWILLRKESHQDQNLIEGSCQSSKLIFPMMALLAGALGGVFGIGGGMLISPLLLQVGIAPEVTAATCSFMVFFSSTMSALQYLLLGMEHIESAMILAIICFVASLVGLLVVQRAIQNYGRPSLIVFSVSIVMALSIVLMTSFGAIQIWRDYISGRYMGFKLPC >OIW10746 pep chromosome:LupAngTanjil_v1.0:LG06:3498306:3499732:1 gene:TanjilG_27692 transcript:OIW10746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWATKDTHLPSLGAFYGNQLATLGESLGLSNDPSLITCQRCETVLHPGLNSTVRIEKNRSKVKHKCKLSGNNAQNNVVYKCHFCLHQNLKRGTPKGHVKGLCPPKPKSKHKSNSKSSLKSKPSTKPIKHEPSKLENSIISKDEVNETDVLASQVVAKGPTHIDDSSATPSSIGIPTLLEGNKRKKNSTSKKAAETPSMSAKVGDKTVGASSKRRRKSWTSLKEIAQRNEFDKSRVANLTIPFFL >OIW09987 pep chromosome:LupAngTanjil_v1.0:LG06:11211703:11214091:-1 gene:TanjilG_32727 transcript:OIW09987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCCFRGSTTMPPIETSKPVTNEEYYSEPINLKQEVLIHIPRCKVHLMDEGEALELAQGDFMIMKTMDENVSLATIIKVGDDLQWPLTKDEPVVKLDVLHYLFTLLVKDGEPLSYGVSFSEECFGSLSLLDSFLKEHSCFSGLKLSRKSDLDWKEFAPRVEDYNHFLAKVIAEGTGQIVKGIFICSNAYTNKVHKGGETILNSSAEEQNGVVARESMNSNTADASKKNKINKNLKRVRKLSKMTEKLSKSLLNGVGIVSGTVMGPVVKSQAGKAFLKMLPGEVLLASLDAVNKVLDAAEAAEKQTLSATSKATSRMVSNRFGENAGEATEHVFATAGHAASTAWNVFKIRKAINPASSATTGVLKNAVKNKSFK >OIW09005 pep chromosome:LupAngTanjil_v1.0:LG06:31829281:31841998:1 gene:TanjilG_05981 transcript:OIW09005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNVKVVLLLLASSLIAFATASVSYDSKAITINGQRRILISGSIHYPRSTPEMWPDLVQKAKEGGLDVIQTYVFWNGHEPEPGKYYFEGNYDLVKFIKLVQQAGLYVNLRIGPYVCAEWNFGGFPVWLKYIPGISFRTDNGPFKFQMQKFTKKIVNMMKAERLYESQGGPIILSQIENEYGPMEYEIGAPGKSYTKWAADMALGLGTGVPWIMCKQDDAPDPIINTCNGFYCDYFTPNSAHKPKMWTEAWTGWFTEFGGPVPYRPAEDLAFSVARFIQKGGSFVNYYMYHGGTNFGRTAGGPFIATSYDYDAPLDEYGLLRQPKWGHLKDLHRAVKLCEPALVAADPTVTQIGDYQEIENEYGPMEYEIGAPGKSYTKWAADMALGLGTGVPWIMCKQDDAPDPIINTCNGFYCDYFTPNSAHKPKMWTEAWTGWFTEFGGPVPYRPAEDLAFSVARFIQKGGSFVNYYMYHGGTNFGRTAGGPFIATSYDYDAPLDEYGLLRQPKWGHLKDLHRAVKLCEPALVAADPTVTQIGDYQEAHVFKSKSGACAAFLANYNPKSYAKVAFGNMHYNLPPWSISILPDCNHTVYNTARIGSQSARMKMTRVPIHGGLSWQAFNEETASTDDSTFTMTGLLEQLNTTRDSSDYLWYSTDVVIDPKEGFLWKGKDPVLTVLSAGHALHVFVNGQLSGSIYGSLEFPKLTFSEGVNLRAGVNKISLLSVAVGLPNVGPHFETWNAGVLGPISLNGLDEGRRDLTWQKWSYKVGLKGETLSLHSLSGTSTVEWIQGSLISRKQPLTWYKTNFDAPAGDSPFALDMGSMGKGQVWLNGKSLGRYWPAYKASGTCDYCSYAGTYTETKCRSNCGEASQRWYHVPHSWLMPTGNLLVVFEELGGDPNGIFLVRRDIDSVCADIYEWQPNLRSYQMQVSGKVRKPVRPKAHLSCGPGQKISSIKFASFGTPLGSCGNFLQGGCHAHKSYDAFERNCIGQNWCTVTLSPENFGGDPCPNVMKKLAVLPSDSSKWVTLFDDPNSASSGSGENYSKKKRKKANKKKRMNLGSEERENSGLDYGFRFEPGCFYPFTSSSSAMQRRIKQQYDELVRCNESKKLTLPQVVEFANSLVGVRNELQHKAAVIQRRFVITKALLCKADRSTFDRLRQQIYKLELEQKRLEEDAFVYNSLQQQLKLSPAYQQMLEIGACMEKAKSCEPEEKLDDEFDDISFEELLAQEKKDSFWQKNGKSRICSR >OIW10351 pep chromosome:LupAngTanjil_v1.0:LG06:9065895:9066104:1 gene:TanjilG_28102 transcript:OIW10351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLFDSFLPTLRNNHFCTNIVFSCKNQATYEAERTSLIWLHEASITRPSTTHLIYQEEETLIFRISKVG >OIW09961 pep chromosome:LupAngTanjil_v1.0:LG06:11768509:11771051:-1 gene:TanjilG_18268 transcript:OIW09961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPHVREQIIWKKFGLIYCSVVFPDVSCFQGLFFCPEAASLLLHNFCIYHISPPGHELGAAAICPEDPVPSAEDLADQIVEVLNYFGLGAVMCMGVTAGAYILTLFAMKYRKRVLGLILVSPLCKAPSWTEWFYNKVMSNLLYFYGMCSLVKECLLLRYFGKEVLGNVEVPESDIVIACRKLLDERKTKNILRFLHAINERPDITEGLKTLKCRTLIFVGDSSPFHSEALHMTSKLDRRFSALVEVQACGSMVTEEQPHAMLIPMEYFLMGYGLYRPCYFSDSPRSPLSPSCISPELLSPESMGLKLKPIKTRVSKVSYEKRL >OIW09441 pep chromosome:LupAngTanjil_v1.0:LG06:27659044:27672625:-1 gene:TanjilG_07826 transcript:OIW09441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNASMNQAKLFGLHVRNYFEELLYHTEPSATPAPPAPTSTSNQMPLPALPPVPTINVTLRVSSTQAPSPMPYVIPLGSTILKNEMRNSAVEKRKRK >OIW09335 pep chromosome:LupAngTanjil_v1.0:LG06:29599200:29600549:1 gene:TanjilG_01306 transcript:OIW09335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSNTSSDDEINNSRNIIFNKYEIGKVLGQGNFAKVYHGRNLATNENVAIKVIKKERLKKESLVKQIKREVSVMHLVRHPHIVQLKEVMATKAKIFMVVEYVKGGELFAKVTKGKMDEDEARKYFQQLISAVDFCHSRGVTHRDLKPENLLLDENQDLKVSDFGLSALPEQRRSDGMLVTPCGTPAYVAPEVLKKKGYDGSKADIWSCGVILYALLSGYLPFQGENVLRIYRKSFKADYAFPEWISPQAKDLISKLLVVNPEKRYSIDDITKHPWFQVGFMRPIAFSMKENAVQNNIDFNYDNDVEDVNIGGKPARPFYNAFEIISSLSHGFDLRSLFETRKRSPSMFISKFSASAVVAKLEGVAKKLNFRITGKKEYTVRMQGETEGRKGKLAMTVEVFELAPEVAVVEFTKSAGDTLEYIKFCEDQVRPSLKDIVWTWQGDSNCQQQ >OIW10635 pep chromosome:LupAngTanjil_v1.0:LG06:4580013:4581938:-1 gene:TanjilG_16007 transcript:OIW10635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEAELEHPRKAFGWAARNASGLLSPFNFSRRETGEKDVRLKVLYSGVCHSDLHMIKNEWGETTFPIVPGHEITGIVTEVGSKVQKFKVGDNVGVGSLVGSCHSCQDCIDNLENYCPNPILTYGVEDIDGTMTYGGYSDSMVADEHFVINIPDTLPLDVAAPLLCAGITVYSPLLYFGLDKPGLNIGVVGLGGLGHMAVKFAKAFGANVTVISTSPNKKEEAIQHLGVDSFLISSDHDQMNAAIGTLDGIIDTVSAFHPLSPLIGLLKSHGKLVMLGAPVKPLELPVFPLLLGRKIVAGSHMGGLKETQEMIDFAAKHNVKPEVEVIPIDYVNTAMERLVKADVKYRFVIDIGNTLKANF >OIW09394 pep chromosome:LupAngTanjil_v1.0:LG06:28425703:28432938:1 gene:TanjilG_20991 transcript:OIW09394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKEVEASQGNCNDCEEINAISREEGRYKSCHLFLSGEDNSPASVAPSHGNDVFHFSLHLSSDVDSLSLPTQDLIESHEAVTLSKVQSLQPVQISVNLGENLNYMIDNHACDQNMLPDFVPETLTNDASKSRIDTIGSARRKKEKSDVKRFEGDDISNAVELSIAASEALVIHDLIKIESVSETICADAVLEAALRVKQARLEGLDNGFHSSSEESDCSDSLSDLNDFLMEDDYQDIGLPLGVSTEENIRNSAISQAIGVSYAENYSGCIKKQSDRELTSQHADFDKCKQKQLEVEMEMQQTQDPSLDSLRCEWEMHPDGGGSGSDTPRHFENDLTTSHQLIQNDSNILALNQELDSSSLNQNNAECIPKFFVRETSFLSESADIVPDESSCVQKADPKCTSGSQLSMPSEGLHNKLDECTLHSQDVVRCSSLSLIDPLCSVVPCSFSSEHANFKSHIDRENDSGNFVPFISELVDNCQKVPDKNVTFDCRDEKVMPVLLVKDIPITAVMVVEQMPEKLTMVEHTCQKQFNSLQTCSIMLPNQALNRNNLTPLPTNQCVSAAVAASFETMVSENLFASKHTDERKNDEKHGHFVYHKSIIETIDDKSADDLKLNAADTICILEEPIHEKKSPLNLNRKTRHRVLGPKTDVNDISVEKHMNHHVVSETVVQHQQNNDPIKLQAECNEFHDGHVSVRKRVRFSEKVEEIHPKRKLLKLEPSYKKCSSVRAKRQRVSKSSTPSMPNMKHSLTNYCRRVVNDYIFQGTEFLLTGLSSQKERDMEVLIRKSGGIVLSDIPLPPNSRGKRCSTLSCLELPIILCIRKLQTTKFLYGCTVGAPILKVDWLSDCLASGTILQPEKYMILPNRNDMKWIRIERGVHCTIQKHIFERVGIMLHGKHNFCTKLTSIIKHGGGKVFKTLQWLLPSTDDKRISVRVIVVEDKSRISRHLSYCALEQDIPIMPSSWIIKSLYSGKLLPFKENNTSPSIPFVKVPSSIDMSQEI >OIW09717 pep chromosome:LupAngTanjil_v1.0:LG06:19040951:19041391:1 gene:TanjilG_21243 transcript:OIW09717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQGLVSNVLRPGLLMHQCPFFDAPRPNILKRQCLIPNVPRPSLLMRQGLVPRSIRYGYLHHDPQSWLGPLVILEWSTAPRSTVMAWSLDHFGTIRCIMILGHGLVHRSSWHGQLLVSDLIMAYHAMHVGLNMVQHAMVNWTNMEV >OIW10754 pep chromosome:LupAngTanjil_v1.0:LG06:3332174:3335961:-1 gene:TanjilG_27700 transcript:OIW10754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKVHAHKKNHGGLAPPQNRLDSQAETSHNPQRELPYSYQVEDWSENNCYSNVASMKNLINEELSKGSSTKQNVPSLVARLMGIDMMPLDTKSAVPSERIRENMGKKLSKKGMNGNNSISRGSSNFSYSKQTELDSLYGHGYDAGWSPSFREARSQEHPQEEELQKFKKEFEAYQEARFVECSKVADIGSVPRRLRAQENLNNEKVAHNASSRRAAREKLAEYGGDMTELIPTMPKQAFPSRSRTLSRDFEECLMLKSKNRLDRSSSPTHIVILKPGLDSICNNEESWSSSSSTLQGSSIEEFLEEVKERLKCELQQGKTSKKCSVVRGSGIETPYTEKPCDHKPIGCHIVKPVTRDTESNLLRSESARSYTSEMQFHGPSSPEFINRDTRRFLSERLRNIMKCETHVDIPEVIYRNSRSNALDDHRVRLNAGDIIKCANGMSYSEEENEIQTGSFRHEPEPDGNTLPRCHRDLSPRNLVRSLSAPVSGSGTSFGKLLLEDRHIFTGAQIQRKVEAVENNSVDVKKRRKDRFSIKEKVSSFRYSLNLRKNIFGKRNQSMAESHVNEYVPMVRDIRSGPTVLMNYGDRHENSTEVPPSPASVCSSVHDELWRQVEYLSPISTPDVSSRDNNVVSHVFRDISSGLNEVRKKLSQLESDSVDEFITKQEAEPDESELVQIEDPAESYIRDLLIASGLYFGSWDKSLLRGDTFAKPIGNSVFEEVEASHKKSVKESNDMSTKDHKVLLDLLNEALSLVLGPPLTWSRFKRKLCNTSVMLPPYGKELLKLVWDIIRISLHPPCDICPYSLDTLVAQHLESIPWSRLTYEEMNLLGRDIECLITSDLVEEITKDML >OIW09045 pep chromosome:LupAngTanjil_v1.0:LG06:31114698:31115223:-1 gene:TanjilG_16272 transcript:OIW09045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEFKHFVIVKFKEGVVVEDLTKGMEKLVSEIEAVKSFEWGQDIESLDVLRQGFTHAFLMTFNKKEDFSAFQSHPNHIEFSATFSQAIEKIVLLDFTSTLVKAPA >OIW09875 pep chromosome:LupAngTanjil_v1.0:LG06:12980030:12984009:-1 gene:TanjilG_24530 transcript:OIW09875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWFLGWKGASGFSASSTAEQVTQAIDGNSLTAIVTGASSGLGLETTRVLALRGVHVVMAVRNVENGMNVKETLLKEIPTAKIDVMELDLSSMVSVRKFSADYNSSGRPLNILINNAGIMATPFTLSQDNIELQFATNHLGHFLLTNLLLETMKKTVRESNREGRIVILSSEAHRFPYREGICFEKLNDESGYSSYFAYGQSKLANILHANELAKRLKEEGVEITVNSLHPGSIITNILRHHSYMNTFANMVGKYFLKNVQQVFVTSLKISKTGMRGAATQCYVALHPQVKGITGEYFMDSNKAKPTSLAQDSELAKKLWDFSLSLTNNTK >OIW10122 pep chromosome:LupAngTanjil_v1.0:LG06:9624713:9632672:-1 gene:TanjilG_21959 transcript:OIW10122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNQSEDAAAQGSTTSSRGNKSNDGSSTPKKISNWLHSVSNRQSQSPPSPDLAIGERGELFDAVSSGGGVGLDIVSDSMRHDSGSSSSRDPEVEEEYQIQLALEMSAKEDPEAVQIEAVKQISLGSCDPDNTPAEVVAYRYWNYNALGYDDKVSDGFYDLYGILTESTSARMPSLVDLQGTPTSDGVTWEAVLVNRAADSNLLKLERKALELAGELKTDFEVVIDSNLVIKIAILVSDYMGGPVEDPASMTRAWRSLCYSLKATLGSMVLPLGSLTIGLARHRALLFKVLADRLGIPCRLVKGLQYTGSDDVAMNFVRIDDGREYIVDLMADPGTLIPTDATGSLIEYDESSFVASHSSRDFDSSHIASFSSGVGSLSEETLDFGTPDKGNRSKHLAYTEKEPEVSKPATSKEELKKPSSELKNNTNAEKIVVGESPSRPNYPYMHGRSPSWTEGISSPAVRRMKVKDVSQYMIDAAKENPNLAQKLHDVLLESGVVAPANLFSEIYNLGSSSEASFPTEEKDEYKQGSVHQETKALFLPPLPHPRLHPKASPSSQVEHSKPIEGLGTGLPLEARASSGQHIPSQEEATLVKYGKNVPVAAAAAAAAVVASSMVVAVTKSNTESNIEIPVAAAATAAAVVATTAAVSKQYDHGSQSDGDTDGAGYEPKVSGEGENIALGANSEGERISDRSVGNESTRSESALDDVAEYDIPWEEITLGERIGLGSYGEVYRGERHGTEVAVKRFLDQDFYGESLEEFKSEVQIMKRLRHPNVVLFMGAVTRPPNLSIVTEFLPRGSLYRLIHRPNNQLDVRRRLRMALDAARGMNYLHNCTPMIVHRDLKSPNLLVDKNWVVKVCDFGLSRMKNSTFLSSRSTAGTAEWMAPEVLRNELSDEKCDVYSYGVILWELSTLQQPWGGMNPMQVVGAVGFQHRRLDIPDDIDPAVADIIRQCWQTDPKLRPTFAEIMTALKPLQKPITSSQVPRPSAQSSRAAEDAAG >OIW11066 pep chromosome:LupAngTanjil_v1.0:LG06:1719963:1722057:1 gene:TanjilG_22873 transcript:OIW11066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWSHRFRDDLLRKSSATSTPLSFQGKNSGTDNNNNSLIRQGFLQRFQGPTIFLKISCDGDYILPIAVGKIAIEKLIDAESERENGDCPDQFQFVKNLVERLDHEVIMVRITERVVSTYFARLYLSQPGKSDIISVDMRPSDAINVANRCKAPIYVSKHIVLTDAIRLGYGMGRVRNKKPIYDVLLDSPGDGPDLVAQELSMMHNMHTAIKQERFKDAAAWRDKLAYLRKSAQEH >OIW10944 pep chromosome:LupAngTanjil_v1.0:LG06:773170:774684:-1 gene:TanjilG_22751 transcript:OIW10944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKNYGGEVESDEVLRAIFLPFLSTSHIIPLVDMARLFAMHGVDVTIITTPHNSSIFQKSIDLDSTRGRSIRTHVVKFPAEKVGLPVGVESFNVDTPRESHSKIYMGLFILQNEIEQLFDVLKADFIVTDMFYPWSVDAAAKLGIPRLMFHGASYLARSAAHSVELYSPHSKVESETEKFVLPELPHALEMTRLQLPDWLRSPNPYTELMKAIKESEKRSYGSLFNSFYELESGYHEHYKKVMGTKSWGLGPVSLWANQDASDKAARGLAKEEGGEEEEGWLKWLNSKPEKSVLYVSFGSMNKFPYSQLVEIANALEGSGHYFIWVVRKNNEAEADQEGSGFLEEFEKRVKASNKGFLIWGWAPQLLILENKAIGGLVSHCGWNTVVESVNAGLPTVTWPLFAEHFFNEKLVVDVLRIGVPVGAKEWRQWNEFGSEIVKRDDIGNAIALMMDEQEGAEMRRRAEVLSDAAKKAIQVGGTSYNSIIELIQELKSVKLSKGQKQHD >OIW10612 pep chromosome:LupAngTanjil_v1.0:LG06:4753546:4773425:1 gene:TanjilG_15984 transcript:OIW10612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDQRNRWSWDVTGFEPWSSSSPSSGQDDQRPRAPLARRHSISAPSVTPHYLASKVQRLNDKVKLARKDYLQLRQEASELQEYSNAKLDRVTRYLGVLAEKTRKLDQAALETEGRISPVINEKRRLFNDLLASKGNIRVFCRTRPLFEDEGPSVVEFPDDCTIRVNTGDESLSNSKKDFEFDRVYGPHVGQVELFSDVQPMVQSALDGYNVSLFAYGQTHSGKTHTMVVLSVFHCVTVYVSVKITALLFQKKTLVNSLEFSVDLTNKMPVLWEGSSYDRGLYARCFEELFDLANSDTTATTEYKFCVTVFELYNEQTRDLLLESGKGMPKLCFGSPEYFVELLQEKVDNPLDFSKVLTSAFQRRGNDVLKINVSHLIVTIHIFYNNLITGESSYSKLSLVDLAGSECLITEDDSGEHVTDLLHVMKSLSALGDVLLSLTSKKDVVPYENSVLTKLLADSLGGSSKTVMIVNLYPNVSNLSETLLSLSFSARARNSALSLGNRDTIKKWRDIANDARKELYEKEKEIQYLKKEGLGLKQALKDANDQCVLLFNESEHILLAEKHKIDKEENAQLKNQVAQLLQLEQGQNLLIQQRDSTIQTLQAKIGILETQCNEALRSSDTGSNVGPESESGSVSYSRVTGDGMESSAVTKKLEVELKKRDALIERLHEENEKLFDRLTDKAPLAGSTQLSSSLSTDVHPRDMGRNDTSNNARAHSLDVFPSPLVTDKSDSTVGLVKSGPEKVMTTPAGEYLTSALNDFNPDQYEGLAAISDGANKLLMLVLAAVIKAGASREHEILAEIRDGVFSFIRKLEPKRVMDTMLVSRVRILYIRSLLARSPELQSIKVLPVERFLEKANTGQSRNSSRGSSPGRSPVQYDEQIKGFRVNLKPEKKSKFSSVVLKIRGIDEDTWRQKVTGARLREITEEAKSFATGNKALSVVFVHTPAGELQRQIRSWLAENFDFISVTGNDTPGGSTGQLELISTAIMDGWMAGLGAALPPQTDALGHLLFEYSKRVYTSQLKHLKDIAGTLSTEDTDNAAQVAKLRSALESVDHKRRKILQQMRSDVALLTLENGGSPIQSPSTAAEDARLASLISLDGILKQIKDITKLSTVESIGKSKKRTVLVSLDELTEQMSSLLEIDHPCAQRHIADAYRKVESIPEEDEPSQDLSNVRKPSTDPGSGSGNEVAQWNVLQFNTGNTSPFIIKCGANSNSELIIKANARIQEPKGGEVVRVAPKPSVLENMSLEELKLLFAELPEALSLLALARTADGTRARYSRLYRTLAMKVPSLRDLVSELEKGGALKDART >OIW10153 pep chromosome:LupAngTanjil_v1.0:LG06:7129020:7135304:1 gene:TanjilG_27904 transcript:OIW10153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATSLINSLSCSSRVPTEFSLHRTTTKSLRLWNNHSSRSLTTTSITVSSPSSVVCKAVSVNPKTDVEGLNIAEDVTQLIGKTPMVYLNNIVKDSVANIAAKLEIMEPCCSVKDRIGHSMILDAEQKGAITPGKSILVEPTSGNTGIGLAFIAASKGYKLILTMPASMSLERRVLLKAFGAELVVTDAAKGMNGAVQKAEEIVKRTPDAYMLQQFDNPSNPKVHYETTGPEIWEDTKGKVDIFVAGIGTGGTISGVGRFLKQQNPKVKIIGVEPLESNILTGGKPGPHKIQGIGAGFVPRNLDQEVLDEVIVVSSDEAVETAKQLALQEGLLVGISSGAAAAAALKVGKRPENAGKLIAVVFPSFGERYLSTVLFHSIREECEKMQPEP >OIW11165 pep chromosome:LupAngTanjil_v1.0:LG06:214722:219024:1 gene:TanjilG_22972 transcript:OIW11165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVERFQIAKDVTELIGKTPLVYLNKLVDGCVARVAAKLELMEPCSSVKDRIGYSMIADAEEKGLITPGKTVLIEPTSGNTGIGLAFMAAAKGYKLIITMPASMSLERRIILLAFGAQLVLTDPAKGMKGAVQKAEEILAKTPNAYILQQFENPANPKVHYETTGPEIWKGTEGKIDALVSGIGTGGTITGAGKYLKEQNSNIKLIGVEPVESPVLSGGKPGPHKIQGIGAGFVPGVLEVNILDEVVQISSDDAIETAKLLALKEGLFVGISSGAAAAAAIKIAKRPENAGKLIVASSDRVGQPRQ >OIW09716 pep chromosome:LupAngTanjil_v1.0:LG06:19028764:19031563:-1 gene:TanjilG_21242 transcript:OIW09716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCYPSKCTLLTLYFLSTLFFSLLTSSTSCSNSNCQVLEACSAATDCGPGLYCGNCPALGLNQPMCIRGQPTLPTSTVNGLPFNKYSWIVTHNSFSIVDAPPLPGVQRLTFYNQEDTVTNQLRNGVRGLMLDMYDYQNDIWLCHSFQGQCFNFTAFQPAINTLKEVEAFLTANPTEIVTIIIEDYVHTPKGLTNLFRNAGLDKYWFPLSKMPKKGIDWPTVTEMVQANHRLLVFTSDASKEAEEGIAYQWRHMVENESGDPGVQKSSCPHRKESRALNSRGSSLFLMNYFPTYPVEADSCKEHSAPLAEMVNTCYKAAGNMLPNFIAVNFYMRSDGGGVFDIVDKMNGHSLCGCTTVTACQYGT >OIW09423 pep chromosome:LupAngTanjil_v1.0:LG06:28096020:28101558:1 gene:TanjilG_14574 transcript:OIW09423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAEPVASFGNKSSLSATPEKDDKRTAEQKAIDDWLPITSSRNAKWWYSAFHNVTAMVGAGVLSLPSAMANLGWGPGVIILILSWLITLYTLWQMVEMHEMVPGKRFDRYHELGQHAFGEKLGLWIVVPQQLICEVGVDIVYMVTGGKSLQKVHDLLCHDCKKMRTTYFIMIFASVHFVLAHLPNFNAISGISLAAAIMSLSYSTIAWTTSVHKGVQEDVQYTYKATTTSGTVFNFLSALGDVAFAYAGHNVVLEIQATIPSSPEKPSKGPMWKGVLIAYIVVAVCYFPVALIGYWMFGNAVSDNILVSLNKPTWLIVIANLFVVIHVIGSYQLYAMPVFDMIETVMVKRLHFKPTRLLRFVVRNAYVGFTMFVGITFPFFGGLLGFFGGFAFAPTTYFICIILGLLLMILSPIGGLRSIILNAKSYGFYQ >OIW11160 pep chromosome:LupAngTanjil_v1.0:LG06:238086:242869:-1 gene:TanjilG_22967 transcript:OIW11160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTPHRNQINSPLHPNFSPFQDSPVFNFINSLSPIQPVKTVPITQTFNSLACSSPPSIFTSPHVTTLKESRFLRRHIPLDTSKHTDSSEDVDKIYSNEEALADSTHVHHNLSRLQDQEISIGDAATELPQSLKYNCGSPGYDATLCIDEENILLELPSEAASDVPFVKKEHRKKNSVESEVLCQGTCQIEPKSELQECVWDGLTPDPADLFIFNSPNEARAFNGLFQKPLDSSVDFMSLLPQSTINNGQNVQIVHSIASGFEHEIEDHRSEPVAATETDHAVDNVAMSSNPNGKTDDELVSVMHRGLRRRCLDFEMASVRRKNSDDYSKTDSNTLQSGGRNVANEKQPIPTEQNSVSRNCILPGIGLHLNALATLKDHNIIKKEKFPSGRQHTLLCSTSSLQISTSQEHHLSLGAVSSEREFDSSNNDVQPGEDCSQASAYTARENHISISPKKKRKVQFLLTVLIHKSDPAGETEGCKHCNCKKSKCLKLYCECFAAGVYCIEPCSCQDCFNQAIHEDTVLQTRKQIVSRNPLAFAPKVIRSSESVPDFGGPWVLYHLKENLIRQTMMFSLVKIVPRRQLTRLVKITFRLAPKRKGKHKSDPAGETEGCKHCNCKKSKCLKLYCECFAAGVYCIEPCSCQDCFNQAIHEDTVLQTRKQIVSRNPLAFAPKVIRSSESVPDFGGDPNKTPASARHKRGCNCKKSSCLKRYCECYQGGVGCSISCRCEGCKNAFGRKDSSALVDAWPEEESGAREKNVAKKALQKTEIQNIEDHSDSALVATPSRLSRTLLPLPSSSKGKPPRSFVTTISGSALPASSQKLGKPNSLWSQSKHVQTVPDDEIPDALCNDNSPVTCIKTSSPNGKRISSPKCDLGSSPSRKGGKKLILKSITLFPSLANQQ >OIW09546 pep chromosome:LupAngTanjil_v1.0:LG06:23397592:23408070:-1 gene:TanjilG_30865 transcript:OIW09546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAAAVFPKIGTPHYDIHYWLGNDTKKVDSGLASEKALELDAALGSCSVQYREIQGQESQKFLSYFKPCLIPIEGVFTSKQGNLNGEYQVRMYTCKGDYVVHVKEVPFQRASLNHEDIFILDTAPKIFLFSGCNSTIQERAKGLEVVQYLKDNKHGGKCEVATIEDGKFVGDIDVGEFWSLFGGYAPIPRESPSVQEPVAPSIKLFWINLQGKLRQTGSNAFSKEMLESDKCYMLDCDGEIFVWMGRQTLLTERRTATKAIETAVLSFAYVPALSLVAPIEEKMLKSCEYVRNEGRSNRTHLTFLSEGLESPIFRSFFANWPKTVEPRLYEEGREKVAAIFKHQGYDVKELPEEDNEPSIDCTGTTKVWRVDGDELFLLVVTEQTRLYSGDCYIVQYIFPGNGKDETLFYAWLGGRCVMEDKTAAISHMDTMINSARTSPVVAQIHEGKEPAQFFSILQRLIIFKGGNSPGYKKFIEEEGMVDETYNENRVALFRVQGTSPDNMQAIQVDQVSSSLNSSYCYILQTEESVFTWIGSLSSSRDHNLLDRMVELFNPTWLPVSVREGNEPDIFWDALGGKADYPKGKEIQGYTDDPHLFALKLTGGKACLIDGSVTSVSHAHLSEFKTRRLQGKLLLQVKAIHVFYTSHVVKEIYNYTQDDLVTEDVLLLDCQREIYVWIGLHSVIRSKQEALSLGLKFLEMDVLVEGLSLEVPIYVVSEGNEPPFFTRFFSWDHSKANVLGNSFERKLAILKGKSTSVEGHKRISLKAKSRDSTPVGYRSTPVTTNGRGPRGRSSSPVPSGASSDFRASGDRLLSSPSLVVKKLFEGSTADNSAEQTTPLSGSPSTELRSSNESESSTQDRNADDEKLLIYPYERLRMVSPNPATGIDLTRREAYLSNEEFREKFGMSKPAFYKLPKWKQNKLKMSLDLF >OIW09548 pep chromosome:LupAngTanjil_v1.0:LG06:23461995:23464347:1 gene:TanjilG_30867 transcript:OIW09548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGRIISSGGYGAIPIHGSENMKAINPSMFRSGSSLTAIDRFLWSQQSHFPQKQQQQPHINVAKNTHASVFDDEFYRFSCSDGGSTYRFVWPSYTQEGSLYDEFIENEEALNWAQQFPTLCQNEDVQGLGKNVKMVGRRPKKGSPVSLIKGQWSQEEDRKLLKLVKQYGVTKWSQIAEKLEGRAGKQCRERWNNHLRPDIKKDSWSEEEERILVETHSKIGNRWAEIAKCIPGRTENAIKNHWNATKRRQNSRRKNKRPATSNGKPQPTILQDYIKHKTLTTSTTTIPSSFTTEISQNIAAEASSSQLSLLLSQPSESITTENGTSSPLIDELNDYEFLFMQELFKEHNFQTLNVAESVNHSKSVSYSQTNNGNQLLTDVNECGFVYSNIKPNNMFFDESLISKKTPTPTNYLDLYLSHLLNGAASSSSSLCCDYGNQNLNTDLKLGDDQDCSEENKKEMDLVEMVCSTSFPTSSF >OIW09154 pep chromosome:LupAngTanjil_v1.0:LG06:30471230:30475519:-1 gene:TanjilG_11292 transcript:OIW09154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFNDTNTPNSSPFSHSFPTIYTSFPPQPIAPTQVVMNPCAACKILRRRCAEKCVLAPYFPPNEPAKFTFAHKVFGASNIIKFLQELPESQRADAVTSMVYEAGARIRDPIYGCAGVICNLQKQINQLQTQLAKTQAEVVNMQLQQANLVALICMQMAPQQSLDNFISNGHNGNLNLVIDNVISCLPRTNMLKTNGAMTSLKLMPTTPMLMPIAAKPEINMRTLGIIKISNIVYVPNMVNVLFLIKTDWVDQDIALHQLRQVKVLRQLHMHQARVTRHHQMHQMHQLHQAKELPESQRADAVTSMVYEAGARIRDPIYGCAGVICNLQKQINQLQTQLAKTQAEVVNMQLQQANLVALICMQMAPQQSLDNFISNGHNGNLNLVIDNVISCLPRTNMLKTNGAMTSLKLMPTTPMLMPIAAKPEINMRTLGIIKISNIVYVPNMVNVLFLIKTDWVDQDIALHQLRQVKVLRQLHMHQARVTRHHQMHQMHQLHQAKVVPCTTQAI >OIW09328 pep chromosome:LupAngTanjil_v1.0:LG06:29551804:29553942:-1 gene:TanjilG_01299 transcript:OIW09328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSRPFSFSPKPSTPSFFTKTSVLFLALTISASVVIFCSILYFLYHIWNSLVHRAKTIPFDASAPLKLQRFSYKDLKQATNGFDTANVIGKGGSGTVFRGILKDGKLIAIKRLDSLSLQSEREFQNELQTLGGLRSPFLVTLLGYSVEKTKRVLVYEYMPNRSLQESLFGEGCVSLSWERRFCIILDIARALEFLHLGCDPPVIHGDIKPSNVLLDAECRGKISDFGLSRIKVEGEFGMDLFSQDLGKSQDLSGNLTAETQTPPVIGTPVESVNEVDFALALQASSSSKNSRTCFNVRALNLNSMNYNANIIASESEIRSVNSNAKGKEISTSDIGGDDCWNSKFVPYDDEFSSIDYSKELSPNASLVDDEKENGKQWGKDWWWRQDGSGELCSKDYVKEWIGSQICASKPSWDDGKNNIHEKVVELENSDPTIDKANDAIGNANNVVFKKELKGKKNQKKKQRKMREWWKEEHVAELSKKGRKLKSFQSKWKKGLKVPHFDLGRRFYISKRKKNFGVEKDDENECDQNGGEFSFRRGWRKKKSTHSVGSDMDLFSHELSSTTSMRGTLCYVAPEYGGCGFLMEKADIYSFGVLILVIVSGRRPLHVLASPMKLEKANLISWSRHLAHVGNILELVDERFKEDYNKEQATMCINLALTCLQKIPEFRPDIGAIVKILKGEMDLPPFPFQFSPSPPPSKLYSGSRRKQKGSVE >OIW10909 pep chromosome:LupAngTanjil_v1.0:LG06:2102063:2107325:-1 gene:TanjilG_27855 transcript:OIW10909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNFTYVSSALDQNTTLQLPHGLPVGNSPGSKSGTTLLVQRVRICGLSSEGPIKLQNGPQLLSDFERAPLLLLRVSVRSLAIAMAGSHSQQYSPSVYILRLTFLCTFALLVCANEPSLSLDQNTTLQLPHGLPVGNSPGSKSGTTLLVQRVRICGLSRFRNLRKFAHSMKVKVLPANSNVRVPNIEICFHRNASLAVGMCPQGQWEKVSKGSWARSLSPFDNKLLDIRTAGSTLENFEVSVDEEFFAYRIIFLILGLTLMSLASFVSKSLAFYYSTAMAIGIILVILMILYQGMKLLPTGRKSSLAIFLYASAVGFGTFLLQYVPDLLRSVLTELGIGEDMYNPVCEEPTNFKINVGILGRLWMLDTMIIGGAFPIVHSVLFSFPCNHINFLISIVITLAIFLLAFVAIAGAWMGFWVVHKLILTEEGSVDISTAQFVAWAIRIVAAIMILQSSVDPLLGTLALLCGSLVSLLKRLHRLRFLRRLRRNLFKSPTKNRRSQVPDSPSFDDSLDKHMYKMQIKNDSSYLRPQSKSFTPLPCKSSERGFGRTPPKKLSEDLYPSIIHTTPERRKYSAAEWDAFTKESTEKALVELAASPDFGRWLSSNADRVSVTPNSRTDGQRRWWLLWW >OIW10312 pep chromosome:LupAngTanjil_v1.0:LG06:8636797:8641212:-1 gene:TanjilG_28063 transcript:OIW10312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNGVSSLCSCLEPANRTERDDDVIFSAVEPLDETLGHSFCYVRSSNRFLSPTHSDRFVSPSTSLRFSPTHEPKTRPDSHETGFKAISGASVSANSSIPKTVIQLENSNNGNSNNALDDAVLDHGAAVIVNGFEGTSSFSALPLQPVPRGGEPYEKSGFFLSGPIESGAAAYCGGASGEIPFSAPLGGFYVKKRKNGISSFRKAFHRNKSSEKKRPWVVPVLNFVGRKEVPADEVKEAKSVMETKNESNVQWALGKAGEDRVHVVVSEEQGWLFVGIYDGFNGPDAPEFLMGSLYRAVHNELQGLFWEIEDLEESINPSNLGVAAESSVLDEVKNNEGAVTEIDVKKDSNPRSEKRVTFQADGTESRRRRLWEFLAEDPEDGLDLSGSDRFAFSVDDALSVNNGHAGSAVSRRWLLLSKLKHGLSKHKEGHISRKLFGTEEKEKVEVENQNRVEEKPSGGSSGKKRKVGPVDHDLVLRAMSRALEASELAYLDMTDKLLASNPELALMGSCLLVVLMRDEDVYVMNVGDSRAIVAHYEPKEVDSNVELGSKGDRGSNTGSIVEESLALGEGESASKLGNEGPAQEMRLAALQLSTDHSTSIEEEIIRIKKEHPDDSHCIVNDRVKGRLKVTRAFGAGFLKQPKLNDAVLEMFRNEYIGTAPYISCSPSLRHHRLYPTDQFLILSSDGLYQYLTNEEVVSQVESFMEKFPEGDPAQHLIEELLLRAAKKAGMDFHELLDIPQGDRRKYHDDVTVMVISLEGRIWKSSGKYL >OIW10408 pep chromosome:LupAngTanjil_v1.0:LG06:6615439:6617744:-1 gene:TanjilG_05556 transcript:OIW10408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSRCLLNSLTRQVTTFLFRNTYPSLQSFSVSSHFPNPSTPLPIFTRPISAFAQWSHLRYFSSDKIIDHSNKIEDEDEDDFEDEEDYESGEEGEDYESDNESVTSRKKVYTEEEKEAEALAIGYKVEGPLHKEDRVFKPYEPVFAVVQIGSHQFKVSNRDSIFTERLKFCDVNDKLILNKVLLVGSDSQTIVGRPTVPGAAVHAVVEEHALDAKVIIFKKKRRKNYRRTKGHRQELTKLRITNIEGIEKPQNVVVEKPSKAAKKEQEKVAVTA >OIW09278 pep chromosome:LupAngTanjil_v1.0:LG06:28995732:28998743:-1 gene:TanjilG_01249 transcript:OIW09278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFWKILSNQIEQTLPEWRDKFLSYKDLKKHLKLISPNHLHYPSPKRIRFDRDGDGDGDGDVSKEVKDFLRLLEVEIDKFNAFFVEQEEEYIIKWKELQDRVAVAKDSNMELMSVGREIVDFHGEMVLLENYSALNYTGLVKIIKKYDKRTGALIRLPFIQDVLNQPFFKIDVLNKLVKECEVMLSILFPKNRSLVPSLSISEDFEEDGCTTTTVNENKETLVHVPKELSEIENMENMFMKLTQSALQTLEQIRGGSSTSKQSQIDSGITAGKMDLDFVNFGNGVFSDLANSSPLDNIESKLCFDSGLLRSGFKSSDLLKSRKPPSSNPGGRC >OIW10284 pep chromosome:LupAngTanjil_v1.0:LG06:8384922:8386489:1 gene:TanjilG_28035 transcript:OIW10284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEIAEQMQNLRSKATELFIREEWEDSIDAYSHFITLSTQHQTPSDPDQLQKLHKSLCIAFCNRDEARFKIGYLYCALQDCDHALKIDGTHFKTLLCKGKILINLNRYPLECFRSTLIDPQAVENSEMVNGYVEKCKKFEYLSRTGSIDLSYWGGSGFQGKAPELAEHVGPVQIRKSEISGRGMFATKNIDAGSLILVTKAIPMRGVYGEFLMEDLKKIGGGVVEMEKALKLARDVYGKVVKKKAMRTLLELYIGA >OIW11110 pep chromosome:LupAngTanjil_v1.0:LG06:554654:557204:-1 gene:TanjilG_22917 transcript:OIW11110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKELLKFNNVTTCSSWNYSSTRLASGSKDGSLSIFDFTHPSSSSSLRSSFNSKIHEGTIVKVVWVPPEYGDAVASVTADGIVSLWEEVANDSQPLQWKNCKTFRCSSSKVLDVQFGSLTTLKMVAAYSDGHVRVYELLDPLELKNWQLQAEFQNVVESVSAFGKALCLSASISWNPQKGGSQESSFIIGFNSNTAELNSSKVWEFDQAHQRWLPVAELALPEDKGDQVYAVAWAPNIGRSYETIAVATHKGLGIWNLGLNPDHDGRLPVERVALLSGHEGMVWQMEWDMSGMTLATTGHDGMVRLWQSNLNGVWHQQAALEPTS >OIW09615 pep chromosome:LupAngTanjil_v1.0:LG06:22152479:22158224:-1 gene:TanjilG_28214 transcript:OIW09615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFWKLLEVASSPIIQVLLISALGAFMATHYFDNLLSSDFRKSLNKLVFIVFTPSLIFASFAKSVSLDDMISWWFMPVNIGFTFIIGGFLGWIIVKLMKPNLKVEGLIIAACSTGNMGNLPVVIMPAICDEKKGPFGSRDACHTRALAYASFSLALGGIFIWTFTYQLVLDRSLRYKAFEAAEILKIPNKVLDSNAETLLLKGNDNEKAVIFMRQTNDIGDTENQIIVNQVPFWHRMIYAMRNLVAELMSPPTIATFFGFLFGGVKCLRNLIIGQDAPLKVIQDTIQLLGDGTIPCITILLGGNLTQGMQSSSMQPLILISLVIARLFILPAIGYFVVRAAANFGYLPEDPLFQYVLVMQYAMPPAMNISTMAQLFDVGTEECSVILLWSYGASTITLTIWSTFLMWVLA >OIW09111 pep chromosome:LupAngTanjil_v1.0:LG06:30080980:30081600:1 gene:TanjilG_11249 transcript:OIW09111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPKRVEKLVVKSTSKVVQQNVEVSVVSSTKRATRGNKDTLIGEEVDTLKQEKVRVIPIQEVPPKTKENGQETSEDSRVQNEAENGVKKVKRGRIKNENDGGKEKRKKKRGRRNGEGYQRYVYRVLKQVHPDLGISSQTMTILNNLLNDMFERLANEAAKLNTYAGHMTLSSREIQGAVKLVLPGELGKHAIAEGAKAVTNYISYV >OIW09744 pep chromosome:LupAngTanjil_v1.0:LG06:16691697:16692245:-1 gene:TanjilG_14267 transcript:OIW09744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIVHCPLFLLATIAIFHISAQGSTPPRTVLEDSPSLPLPSAARDFLEAHNKARAEVGVQPLNWSQQLAFATSRLVRYQRINNACQFANLTAGKYGANQLWARGSAVTPRVAVEDWVKQKQFYNHSNNSCLPNHRCGVYTQVVWKNSTSLGCAQATCVKEKTSLTICFYDPPGNYVGESPYP >OIW10637 pep chromosome:LupAngTanjil_v1.0:LG06:4553738:4562254:-1 gene:TanjilG_16009 transcript:OIW10637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMTVVMALRRFSSSIDNPLRPLFNAAGSIYYKSSLPDEAVYDKEKSRGSFPCTSYFMMLFILMMSYLQWPKQLNAPLEAVDPEVADIIELEKNRQWKGLELIPSENFTSLSVMQAVGSVMTNKHSEGYPGARYFGGNQYIDMAETLCQKRALEAFRLDPTKWGVNVQPLSGSPANFQVYTALLKPHDRIMALDLPHGGHLSHGYQTDTKKISAVSIFFETMPYRLDESTGYIDYDQLEISATLFRPKLIVAGASAYARLYEYERIRKVCDKQKAVLLADMAHISGLVAAGVIPSPFDYADIVTTTTHKSLRGPHGAMIFFRKGLKDISKQGKEVLYDYEGKINKAVFPGLQGCPHDHTVAGLAVALKQATTPEYRAYQEQVLSNSSKFAQALTEKGYDLVSGGTENHLVLVNLKNKGIDGSRVEKVLEAVHIAANKNTVPGDVSAMVPGGIRMGTPAPTTRGFVEEDFVKVAEFFDAAVNIAVNIKAESKGTKLKDFLAAIQTSSYFQTEIGKLRHDVEEYAKQFPTIGFEKATMKYNK >OIW09601 pep chromosome:LupAngTanjil_v1.0:LG06:22367847:22375070:1 gene:TanjilG_28200 transcript:OIW09601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPISRYHIRSEFTLSDPELYRRPEYDVPESLLQGVSMAALVGFLRQLGDLSQFAAEIFHDLHEEVIATTQRGHSLMTRVQQLEAEVPAIEKAFLSQTHHSSFFTNAGIDWHANFRSEQNLVTRGELPRFIMDSYEECRGPPRLFLLDKFDVAGPGACLKRYTDPSFFKVDSDSSETATLEVHREDKFHKVKQKKGAQPRNSETPEKVPSPAKLYQLFLEERIENAHSDPPQLVKLKKTQLNESEVEVKSETSYMEQILEIPSPPDQKIVGETSTTPLPVKMISDDTSETGVKILEISNIAPVRRSLRNESALPQSWSRQGLWHSSSPNMHESELKPYLDMDSWSNADLVKVHQQVSAEVTNEISSRHPMVVDEQKEMEYIFNGYHSDDVTSEVEYMDALATIESDLESDDDCIPKKSLLKIQQVTTSEGKEEDQMRTRFSDSRSFGDSSTSDEISSFRNDRNGIHTQLQAQFSDFQSIGNYMPFDQLPQTVKEFIKCDDAHVQGQAISNYRQVSSGLSVMDSGHLLLSPDLGPFSPMSLPAETQSGEISSDPIELHLRLEDEEDRKRLAESVGAIPDPYAAGSFDDNSWNNLDMCVPYVYSNALSQVSDDLNLTDEDKCSDHSEMKVLQEESPNEYSSEILASGDIGSQGEGGIKANELKSEDLSLVMEAPPSSSFTEELCCDFTLKNPPDDPDSEEIEVLHSDPQSKYEEVLRMIHSHGRSGSICSVDQVKDDDHIEHPSSPDYTSQDNHDMVNDMFTQKVQSEAHPSVGDDKTDTSSRSRNLANLHEPFPGSSDSLVMDVNEAAARESLTELEAQEVVDQPQTASADVQSNFSTSVPCNSSDYEIYSNIQDSYLKDKIKYDSYINDMKMLPICSIGENKENYTSDKFQPQQMQISNQLERERISHSASEFTPETHCDEPSSCVSSSKSSDLEINQTKHGMDPSKPFLPGLFPKATKTNIEEMPPLPPLPPKQWRMGKSQHPSLVSQREEIEASQAAFQPMRPVKPDDKSQIRLSTSERETLLYQNPFFSIIDVENNEHKHSPGFSTGVLEHPVPVAIPFKFPIMVNEADGQHDYLALERSQIQNPSLTIPVVSTGWLPHEFVIASEREMVQNSNPFPPIPPAECAASRANPISPQEKATQSPSYSKDETILQVINNRPEHAESAASGGDPISPQENPSQSPSQSMDETSLEVERDILRDSHLVQPAEFAVSGDNLVSSNQKLTQSPTLFMKVTSLKVETHQQCSIDLEKEQEDPSISSVSPPCIEIVQPNHSLLPSGVEMALSLEKPAQTPTLDSEMENGKNKPPPPPRNPLLDAFDRSKLRKVTDRIRPQLAPKIDERDSLLEQIRTKSFNLKPAVATSTRRSIQGPRTNLTVAAMLEKANAIRQAFAGSDEDDDADSWSDC >OIW09611 pep chromosome:LupAngTanjil_v1.0:LG06:22196110:22197180:-1 gene:TanjilG_28210 transcript:OIW09611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYSPNKLLSRFLNLIPIGFASTISATSSSSSDSSIHNRTTVHKTKLCIIGSGPAAHTAAIYAARADLNPILFEGWMANDVAPGGQLTTTTDVDNFPGFPDGVIGADLMDRCRTQSQRFGTNVITETVSKVEFSKRPFRVFTDSRIVEAESVIVATGAVAKRLRFDGSDGFWNRGISACAVCDGAAPIFRNKPLVVIGGGDSAMEEANFLTKYGSKVYIIHRRDNFRASKIMQAKVMSNPKIEVLWNSAVVEAYGDDKNERVVGGVKVKNVMTGDVSDLKVSGLFFAIGHEPATKFLDGQLELDSDGYIVTKPGTTKTSVEGVFAAGDVQDKKYRQAITAAGTGNYCLASFLFCLL >OIW09788 pep chromosome:LupAngTanjil_v1.0:LG06:15333281:15333787:-1 gene:TanjilG_32226 transcript:OIW09788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKAAIALSLNILFFTLVSSTYVPCPPPATPKHTSPPTPKHSPPPTPKQSPLPTPKQSPPPTPIHSPAPKAPSTNPADPKKQPSCPKDTLKFGVCADVLGLVNVQLGKSSKDACCSLIDGLSNLDAAVCLCTALKANVLGINLNVPINLSLILNYCGKDVPKGFECA >OIW09144 pep chromosome:LupAngTanjil_v1.0:LG06:30385322:30388550:-1 gene:TanjilG_11282 transcript:OIW09144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVAEETSHGNRQKNIVLEVPSRTTSLESGQDFVGIKMPMTPSPGPATKRVNFLATSRSVDAPNSNSAPGPSAPRGKPSIRNMLPKLTFRQRTSSDIEKANLTTATPDSYFSGIQEKSPIPRSVSMAKMFTPKIRRTSSLPVEEFGHANTEFAPGGCFDGSPYIRESQGTIARSNSVPVNSKEQSIRRMDSHFRIISTPQVKKGNEWLTSSTTQNTENGDADGEDIGAEEAVCRICMVELCEGGETFKMECSCKGELALAHQECAIKWFSIKGNKTCDVCKEEVQNLSVTLLRVQNAQPQSTGATPHRNELRHPSHVYCFLAQLNRVWQELPVLVLVSMLAYFCFLEELLVGKQAILSILLATFAGFGVVMSGSSIVAEIFKWRRTWQALTMQQNGSQGVTHSEGQYPQTINAASQTGPSNRTQIMV >OIW09451 pep chromosome:LupAngTanjil_v1.0:LG06:26161659:26165785:1 gene:TanjilG_22725 transcript:OIW09451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTNGRSDFPDISWLNQNSIQMNQTSLEDSFTHLSLNHMPLGSHGYALSPHPNRYNVASKNRGHVSGYASVPLMSQTQFQRFPSNEELLCTVDSHRKFRGGVMNESSNNSNRLNISNSVNGRHNQRWLLQQHQNLNHHSIYDFRGRILLLAKEQGGSRVLQEIMKRLKSQEEISFIFLELVYNVMELMMDPLGNYVFQKLVEICSEQQRTRIILVVTNSDFRFVNMCLDIHGTRAVQKLLEHVTTQEQQSLIMSALSTGVVALTKDTNGLHVVEHCLKHFSNEDNKYLLNIVANNCFEIATDKNGCCVMQHCIDYVQGETKERLMAEIIVNASVLSEDCYGNYVVQHLVAMKIPRVTENLLRQLEGKFLLLSCNKYGSNVVERIFLDSAEQHSAHIIVELLHNPNVSRLLVDPFGNYVIKTALSVSKDAIRNALLELIQLHSAMMRSNIYGKKLLDRVDNEKIRHM >OIW09516 pep chromosome:LupAngTanjil_v1.0:LG06:24403941:24404597:1 gene:TanjilG_11638 transcript:OIW09516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISKFLLLFVVLTCLVSVHGNNALAPSLSKPTSPANTPAYSPANPNASPAKSPTLSPPLETPKVSPSGSSLPLPPSPASSPPANSPAATPQSSVATSPASSPSSNASPPPVPSPESPPPVAETPAVVPVSSLVGAPPVAEVSSASPTPETSAGIPSSSATPSGSPATLPSSIASPGTSPGISSPETSQGPVGDDSGSSSNFGAPIILYGMALWLPLAI >OIW10526 pep chromosome:LupAngTanjil_v1.0:LG06:5503066:5512930:-1 gene:TanjilG_15898 transcript:OIW10526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRSREDGLASSQLKRPFMSRGEASGQPQMLNGGGQKLTTNDALAYLKAVKDMFQDKKDKYDEFLEVMKDFKAQRLDTTGVIERVKELFKGNRDLILGFNTFLPKGYEITLPLDDEQPPQKKPVEFEEAINFVNRIKARFQGDDRVYKSFLDILNMYRKENKTITEVYQEVAALFQGHHDLLDEFTHFLPDTSTAVSARNSMVRDRSSAMPTVRQMHVDKRERIMASRGDHDLSVHCPGPDHDRGLMRAEKEQRRRLEKERDRREDRDRRERERGDRDYEHDAGQDRERFSHKWKSNHMAEDFGAEPLLDADENFGIRPMSSTCDDKNSLKSVYAINSCHLEPSNFFGKCSQELAFCEKVKAKLRNSDDYQEFLKCLHIYSKAIITQHELKSRVGDLLGKYPDLVEGFNEFLVQSEKNDGGFFAGVLNKKSVWSEGQGPKPMKVEDRDRDRDRHRNDGLKERDRECRERGKSTAIAFKDVSGSRVSLYPSKDMYLSKPINELDLSNCEQCTPSYRLLPKNYPIPLASQKTELGAEVLNDHWVSVTSGSEDYSFKHMRKNQYEESLFICEDDRFELDMLLESVNVTTRRVEELLEKINRNVIKGGSPIRIEEHLTALNLRCIERLYGDHGLDVMDVLRKNASLALPVILMRLKQKQDEWERCHADFNKVWAEIYAKNYHKSLDHRSFYFKQQDTKSLSTKALLAEIKEISEKKRKEDDILLAIAAGNRRPILPNLEFEYPDPDIHEDLYQLIKYSCGEICTTEQLDKIMKIWTTFLEPVLCVPSRPSGAEDTEDAVKATHNSFKSGTAGFNERDDSPGFGTSIMNSKHLNSSGIGDVCMPLDKSNSIKACQSNSNCGVKEDKCLDPDPSGSKTETLDSNIPNDIMNNSAFTPDELSGVNKQDHSGERLVNTNVSPASGMEQSNRRTEIDNASGPAATPSRTGNVSVEGGHDLPSEVGDSTRYGTSTNAAVTEGTRVHRHKEGSVQHFKGDREEGELSPNVDFEEDNFRVYGDGGLDVLHKAKNSGLSPQYQNRHREEAFGEARGENHAHADDEGEESPHRSSGDIENASENDVSGSESAEGEECSREDREDGEHDNKAESEGEAEGMGDAHDVEGDGTSLPLSEHFLLTVKPLVKHVPPVLHVKEWNSQVFYGTDSFYVLFRLHQTLYERIRSAKINSSSAERKWGASNDTNSRDQYDRFMNALYNLLDGSSDNAKFEDDCRAVIGTQSYLLFTLDQLIYKLVKQLQAVATDEMDNKLLQLYAYEKSRKPGRFVDIFYHDNAHVLLHDENIYRIEYSPRPMQLSIQLMDHGHDNPEVTAMSMDPNFSAYLHRDFLSVVPDKKEKSGIFLKRNKRRYTCDDEVSSQAMDGLKVMNGLECKIACSSSKVSYVLDTEDFLVRMGRKRTLHLKGSGHEQAKSSKRSCKLFSSP >OIW10870 pep chromosome:LupAngTanjil_v1.0:LG06:2399119:2400927:1 gene:TanjilG_27816 transcript:OIW10870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPERNVVSWTAMLSGLADAGRIEDARRVFDEMPEKNVVSWNSMAAGLIRNGDLDEARVVFEQTPDKNVASWNAMIAGYIENDRNDEARVLFDEMKCRNVITWTSMISGYCREGSMEEAYCLFRKMPEKNVVSWTAMIGGFTWNSLFQEALLLFLEMILSGAKPNGETFISIIYACAGLGFPFLGKQLHAQLIVNSWKLDDYDCRLSRSLVRMYSVLGLMDYAHNIFEGDLSYCDDQSFNSMINGYVQSGQLERAQDLFDRVPIQNKIAWTCMVAGYLSAGQVLKACNLFNKMPDRDSIAWTSMIYGYVQNELIAEAISLFAEMMGHGVLPMNSTYAVLFGAIGSIAYLDQGRQLHGMQLKTIYEYDLILENSLISMYAKCGEIDDACRIFSNMTYWDKISWNTIIMGLSDHGRASESLKMYETMIEFGIYPDSVTFLGVLTACAHSGLVDKGWELFNTMVNDYALQPGLQHYISMINLLGRAGKVKDAEEFVLRLPIEPNHAIWGALIGVCGLSKTDTDVARRAAERLLELDPLNAPGHVALCNIYAANDRHTEETSLRKEMRLKGVRKVPGCSWILVQGRVHVFSPGDRSEPLVKDMLLEV >OIW09186 pep chromosome:LupAngTanjil_v1.0:LG06:30711496:30716194:1 gene:TanjilG_11324 transcript:OIW09186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSPKKNENKGFFAAMSSGISMFSSAMHRSVNGFLGYEGVEVINPDGGKDDAEEEAQRGRWKQEERDGYWKMMHKYIGSDVTSMVTLPVIIFEPMTMLQKMAELMEYSYLLDRADECEDPYMRLVYASSWAISVYYAYQRTWKPFNPILGETYEMANHGGISFLAEQVSHHPPMSSGHAENEHFAYDVTSKLKTKFLGNSVDVYPVGRTRVTLKRDGVILDLVPPPTKVNNLIFGRTWVDSPGDMIMANLTTGDKVVLYFQPCGWFGAGRYEVDGYVYNSDEEPKILMTGKWNESMSYQPCDLEGEPLPDTELTEVWHVADVPKNDKFQYTYFAHKINSFDTAPRKLLASDSRLRPDRYALEMGDMSKAGAEKSRLEEMQRAEKRTREAKGHNFTPRWFDLTEEVTSTPWGDLEIYQYNGKYNEHRAAIGNIDDFDNKSIEFNPWQYGNLSTE >OIW09299 pep chromosome:LupAngTanjil_v1.0:LG06:29278990:29279693:-1 gene:TanjilG_01270 transcript:OIW09299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKLAATTTSESEEAVKETLLNVEKVEKELPEFLSSLSDSEMLSPLQRAHSLFSLAKITNTLFSLKLRCRGVNPRAHPIKSEFERLTLYQRKLQHLFDLAQAQNHHTNVTYQDQQPAQKRKFPSSEADDDQFHPTIQEPILIDLSSDDDHDDH >OIW10938 pep chromosome:LupAngTanjil_v1.0:LG06:718790:720211:-1 gene:TanjilG_22745 transcript:OIW10938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATESKVNVLMVTTALQSHINPMLRFAKRLVPKGVHVTLITTETARDRMLGNNNNVSDTTNQEEIQFEFFSDGLSNDFDRNKDKTTFIDTLRTIGAKNLSNLINNLSKTKGQNYSCMIVDPLLPWAIDVSSDLGIPCALLWLQPCAIYFIGYHWYKNPLVFQNLEDPNEEVQLPGLPLLEAKDVPTYLLPDFPAFGKQVMAEIYQGSEKLKWVFAMSNSELEEEIVKFMTSFIPICPIGPLVSQFMLGEKETNGVIMNLWTAESSCLEWLDDKPDSSVIYISFGSVIAMSQKQVNNLATALKNSNKSFLWVIKPAEKGSEDEIPEIPLGFLEETKGKGLVVTWCEQEKVLMHPAVACFMTHCGWNSMLETIAAGVPIIAYPKWLDQPTNAKLIVKQFRNGVVMNCGEDSVASVEEIEGSIKEVMEGSSAVAIKKVAMEMKEAAREALQEGGSSDNNINQFVNELKNIVNADSA >OIW09885 pep chromosome:LupAngTanjil_v1.0:LG06:11942343:11950167:1 gene:TanjilG_32034 transcript:OIW09885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSTISMSLCKSLSSPSVPLVGLFAVRSRGMKSSQCSFLPGTNNMSFPKQTAVQQVSYKKEQRARCGALGATCRADKILIANRGEIAVRVIRTAHELGIPCVAVYSTIDKDALHVKLADEAVCIGEAPSNQSYLLIPNVLSAATSHKCTMLHPGYGFLSENAVFVDMCREHGINFIGPNPDSIRVMGDKATARETMKKAGVPTVPGSDGLLQSTEEAVKVANEIGFPVMIKATAGGGGRGMRLAKEPEEFVKLLQQAKSEAAAAFGNDGVYLEKYVQNPRHIEFQVLADKYGNVVHFGERDCSIQFGAVIEVTAIAIRRSLTCKSLLMLLDNNSRDAYLRRNQKLMEEAPSPALTPELRKAMGDAAVAAAASIGYVGVGTVEFLLDERGSFYFMEMNTRIQVEHPVTEMISSVDLIEEQILVAMGEKLRYKQEDIVLRGHSIECRINAEDAFKNFRPGPGRITAYLPSGGPFVRMDSHVYPDYVVPPSYDSLLGKLIVWAPTREKAIERMKRALDDTTITGIPTTIEYHKLILDIEDFRNGKVDTAFIPKHEEELAMPPQKIVPSNRA >OIW10001 pep chromosome:LupAngTanjil_v1.0:LG06:10998997:10999407:-1 gene:TanjilG_32741 transcript:OIW10001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGDIEKMILVGVVWGATNAIMRRGASLWDEALKSSPKPHPNSTLQNRILISLRNWLNLFLIWQYSIPFAVNLSASATFFAILSHAPLSLAVPVTNAVTFAATAFFAVLLGEKTHLPRAFIGTALIVGGVTLCINS >OIW09424 pep chromosome:LupAngTanjil_v1.0:LG06:28109895:28110590:-1 gene:TanjilG_14575 transcript:OIW09424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLSIYLFSYFIIFRNWNPNLRSESSSCLISLFHGTPAVILGCAAVFSDPNRGFDAANTVFQKLVLDYSTAYFLMDLLHYLVFFPGDVLFIAHHLATLFVIVTCRHAVSHGAFAVIVLLVLAEVTSACQNARTLAAARRMEDRFAAKVYDALSVPFCALYSVVRGFVGPYFMYRMIVFYVRGGADGLVPRWIWVSWVVVVVMAIGVSIMWITNLWLQLYRERTRRLDEKIR >OIW09734 pep chromosome:LupAngTanjil_v1.0:LG06:18421780:18421992:1 gene:TanjilG_09407 transcript:OIW09734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKASQGKQELGVGTYDAENTKKELASAIIMHDYPLSIVDHVGFRRYSASLQPLFQVPSRNTIKKEILKV >OIW09631 pep chromosome:LupAngTanjil_v1.0:LG06:21852747:21856348:1 gene:TanjilG_28230 transcript:OIW09631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVATATLQAKRIFKLTLNLLRLGFNSSKCKTAAKMAVARIKLLRNKREVVVRQMRRDIAMLLQSGQDATARIRVEHVMREQNVLAANEFIELFCELVVARLSIISKQRECPADLKEGIASIVFASCRCSEIPELVSLKKIFEKKYGKDFVSAATDLRPSCGVNRQLIEKLSVRTPPGEVKLKVMKDIAKEYQIDWDTAESEKELLMPPEELIEGPRAFVSASSLPLTPSTAVFVESNKAATRFSGGGNNNDLRFQDSKSAAEAAAESAKKAIAAAEVAAYMAMKDCNVAPQSFVSGQNHGHFQSNDPAINTHNMDRKSTTEEKMFMSQSLPKYDQMKSEDSMATQNSSGGKDYRRYSYHPTSGQMDNDANNYRRYSYHPTSAHSDTKFDESDCDEEIEAEEPPVSLPPTRLPPPVPSSLVKQDNSFHVHPKLPDIDELTARFDSLRFRKSQS >OIW09054 pep chromosome:LupAngTanjil_v1.0:LG06:31170158:31174319:-1 gene:TanjilG_16281 transcript:OIW09054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSNFKEQAIEYVKQAVHEDNAGNYAKAFPLYMNALEYFKTHLKYEKNPKIREAITQKFTDYLRRAEEIRAVLDQGGPGPASNGDAAVATRPKTKPKDGGGEGDGEEAKLRAGLNSAIIREKPNVNWNDVAGLESAKQALQEAVILPVKFPQFFTGKRRPWRAFLLYGPPGTGKSYLAKAVATEADSTFFSISSSDLVSKWMGESEKLVSNLFQMARESAPSIIFIDEIDSLCGQRGEGNESEASRRIKTELLVQMQGVGNNDQKVLVLAATNTPYALDQAIRRRFDKRIYIPLPDLKARQHMFKVHLGDTPHNLTESDFGHLARKTEGFSGSDISVCVKDVLFEPVRKTQDAMFFFKNPEGMWIPCGPKQQGSVQITMQDLATQGLASKILPPPITRTDFDKVLARQRPTVSKGDLEVHERFTKEFGEEG >OIW08866 pep chromosome:LupAngTanjil_v1.0:LG06:32937873:32941055:-1 gene:TanjilG_05841 transcript:OIW08866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHEVSSLNVMFTSLNSPSKLSGWKSSGGDPCGDSWEGITCDGSSVTEINLSNMGLSGSMGYQLSTLTSVTLFDLSNNNFKGDIPYQLPPNVRHMDLSNNGFTGSIPYSISQMKDLNYLSLAHNKLNNQLGDMFAKLNKLKELDVSDNSLSGDLPQSLKSLSSLKKLHLQNNQFSGSINVLTKLPLQELNVENNKFTGWVPEELKEIDSLQTGGNSWSKGPAPPPPKGTPPFKHSEKEKSTNKSVASGIAIAGIAIAVLIVIIIIAALIKKRSPSPSSNFIDEETHSHRHRSFTPLASQELTKNDSGSDAVNKEYKVTGFKSSLDSTTIDMKALQKNPSIGVRSSVSDCVQSFNDNEFAKRLNSKRSTSVRCTPFSLAELQTATANFASGRLLGEGSIGCVYRAKYVDGKVLAVKKIKPSLLDGGHPEEFSQIVSNMGKLHHPNISELVGYCSEQQEHMLIYDYFRNGSLHDFLHLSDDFSKPLTWNTRVRIALGTARAVEYLHETCSPSVTHKNIKSPNILLDMDLNPCLSDYGLSSFHHRTSQNLGAGYNAPECTKPSAYTLKSDVYSFGVVMLELLTGRMPLDSSKPKAEQCLVRWATPQLHDINAVEKMVDPALRGLYPPKSLFRFADIIALCVQSEPEFRPPVSEVVQALVRLVQRSSMKMRDDLTTYDD >OIW09345 pep chromosome:LupAngTanjil_v1.0:LG06:29659660:29660445:1 gene:TanjilG_01316 transcript:OIW09345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAACGSLQHMFDNLLPENQALLETLSWNQIKTIEQSPNPFTEIFGELHLNESPIPSLSSLSEVTTSSSSSIEININHKKINHNEDSESLPFTNQPPIVNHRNRHKSSDSFSSLSSESLQLCTEGLGFESSEDLKSELSECWQTREVEKEGVRKHPTSEDKYCRRRSRVVSRGRYPPPISCIGRVFFRSYKTNGRFVLEEISIPKQEFFRAYREDGRLKLQLIQPHDDEFLEEDYDDDGGVEDNVESVAEEEKNMEKEMMDV >OIW10402 pep chromosome:LupAngTanjil_v1.0:LG06:6663402:6664211:1 gene:TanjilG_05550 transcript:OIW10402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKGIRTFCINKGSTSSLNQHNSSNIGKSSQSQGDLTSKVSSPYMQQGDKSMKNSPTLEDLILQLEMEEEMAKKSKLYEYSILRGRMSCVNNSDILRSARNALNQYPRFSLDGRGAMYRSSFRDIEGRGSICSGRSLGELLLEESDLVSKFDKTMSFPSTLAGESVVWCKPSVVAKLMGLEVMPIPVSSKRSRNKEKLSSSANVRKQNLRKKFERHDLEIKLAIEMQGCHGIRRHKASCFSKNDYCIVKPVAVEALAGGPGSFQPHRYG >OIW10319 pep chromosome:LupAngTanjil_v1.0:LG06:8753436:8758355:1 gene:TanjilG_28070 transcript:OIW10319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVSALAKYKLVFLGDQSVGKTSIITRFMYDKFDNTYQATIGIDFLSKTMYLEDRTVRLQLWDTAGQERFRSLIPSYIRDSSVAVIVYDVASRQTFLNTLKWIEEVRSERGSDVIVVLVGNKTDLVDKRQVSTEEGETKARELNVMFIEASAKAGFNIKALFRKIAAALPGMETLSSTKQEELVDVNLSSAGRDSQSSGGCAC >OIW10059 pep chromosome:LupAngTanjil_v1.0:LG06:10340563:10342270:-1 gene:TanjilG_32799 transcript:OIW10059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYTSRKKIHKDKDAEPTEFEESVGQALFDLENTNSELKSELKDLYINSAVQVDVSGNRKAVVIHVPYRLRKGFRKIHVRLVRELEKKFSGKDVIVIATRRIVRPPKKGSSVQRPRSRTLTAVHEAILEDVVLPAEIVGKRVRYRVDGSKITKVFLDPKERNNTEYKLETFSAVYRKLSGKDVVFEYPITEA >OIW09368 pep chromosome:LupAngTanjil_v1.0:LG06:29804578:29808908:-1 gene:TanjilG_01339 transcript:OIW09368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWVPEPELPLLDIFVTTADPVLEPPIITINTVLSLLSLDYPTNKLACYVSDDGCSPITFYALVQASIFAKIWIPFCKKYNVQVRAPFRYFSDEDTANNSDLPEFKQDWLRMKEEYEQLSSKVQNAAQNSIPLVGEFAAFSKTQLRNHPTIIKVIWENNEGVSNVVPHLIYISREKRPQHSHHYKAGAMNVLTRVSALMTNAPFMLNVDCDMYVSNPKVVLHALCILLDSKGEKEVAFAQCPQRFYDALKDDPFGNQLVALPLYIGGGFAGLQGIIYAGTNCFHRRKVIYGVSPDHGIQSRNKDHCLTNETLSEKVIIQKFGASKVLGESTAHTLEGKTFTPNDNHCKSLDLEAASEVASCEYEYCTAWGKQVGWIYGSTSEDVLTGLKFHTKGWRSELCTTDPIAFRGCTPQDNIGQMSQHKRWASGLLDIFLSKHCPIFGTLFGELQLRECFAYIWITNWALRSIPEICYALLPAYCIITNSSFLPNKEPGLWIPATLFVLYNISTLTEQLKSGLSIKTWWNNQRMGRITTMNSCFFGLLTILLKHLRISEAVFEITKKEQPSSSEGSDENVGRAIQERKVWDSFIYNMQINGAHFPICSFL >OIW09158 pep chromosome:LupAngTanjil_v1.0:LG06:30497057:30497380:-1 gene:TanjilG_11296 transcript:OIW09158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKTHRRNHKELRVAEKLEIVQAVNSEIEAELKRVKIQSDQWRKAAEAAMSMLSVGNNGKMSKRSLSFNNNYSISTTCNENIENDFQRKKNGNMLKKIGVLCKMPQK >OIW11155 pep chromosome:LupAngTanjil_v1.0:LG06:270202:272316:1 gene:TanjilG_22962 transcript:OIW11155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECVFGLVGNGFAIVVADTSAVNSILVHKSNEDKIMFLDSHKLIAASGEPGDRVQFTEYIQKNVALYQFRNGIPLTTAAAANFTRGELATALRKNPYSVNVLLAGYDKETGPSLYYIDYIATLHKLEKGAFGYGSYFSLSLMDRHFHSGMSVEEAVDLVDKCILEIRSRLVVAPPNYVIKIVDKDGAREYAWRQSVKDTPAPTA >OIW09486 pep chromosome:LupAngTanjil_v1.0:LG06:25483067:25486724:-1 gene:TanjilG_23769 transcript:OIW09486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLMASSSDSWTKEYNEAVKLADDINGMISERSSFPASGPETQRHSSAIRRKITILGTRLDSLQSLLSRNPGKSEKEFNRRKDTLSNLRSRVNQMASTLSMSNFANRDSLLGPDIKPDALSRTVGLDNSGLVGLQRQIMKEQDEGLEKLEDTVISTKHIALAVNEELGLHTRLIDDLDEHVDVTDSRLRRVQKNLAVLNKRTKGGCSCLCMLLSVIGIVVLVVVIWLLVKYL >OIW09333 pep chromosome:LupAngTanjil_v1.0:LG06:29574338:29577504:1 gene:TanjilG_01304 transcript:OIW09333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAMAACSLGLHFSADKNIASVPMFKASSLFDATSTTTKPCYINLLNKTRMSSSGITIIPRATPVADVEDGNQGDTDTIPTPIVIIDQDSDIDATVVEITFGDRLGALLDTMNALKNLGLNVVKANVFLDSSGKHNKFSITKADTGRKVEDPEDLEAIRLTIINNLIQYHPESSSQLALGAAFGLVPPKEEVDVDIATHLTISDDGPERSLLYVETADRPGLLVDIVKIITDINISVESGEFDTEGLLAKAKFHVNYKGKATIRPLQQVLVNSLRYFLTRPSTEEASF >OIW09521 pep chromosome:LupAngTanjil_v1.0:LG06:24600416:24601468:-1 gene:TanjilG_11643 transcript:OIW09521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATCFDSSIPLSRKPNSSQPENHSFNYMKFCRSSFFDHVTSIPICKNRENALHTRMILEEEDHDKDKDKDEDQDDEDEVEGEDLWLKMHEEAKLDVEQEPILSSYYYGSILSHKSLESALANHISIKLSSLSLPSSTLFDLFFAIFVENQDIMDAVKDDLRAVKERDPACISYVHCLLNFKGFLACQGHRVAHKLWLQGRKVLALLIQNRVSEVFAVDIHPGAKIGRGILLDHATGLVVGESAVIGKNVSILHNVTLGGTGKVCGDRHPKIGDGVLIGAGTCILGNIKIGDGAKIGAGSLVLKEVPPRTTAVGNPAKLIGGKDNPFKMDKMPSFTMDHTSHISEWSDYVI >OIW09037 pep chromosome:LupAngTanjil_v1.0:LG06:31042058:31064029:1 gene:TanjilG_16264 transcript:OIW09037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMSGMRGLSVFISDIRNCQNKELERLRVDKELGNIRTRFKNEKVLSPYEKKKYVWKMLYIYMLGYDVDFGHMEAVSLISAPKYPEKQVGYIVTSSLLNENHEFLRLAINTVRNDIIGRNETFQCLALTMVGNIGGREFAESLAPDVQKLLISSSCRPLVRKKAALCLLRLYRKNPDVVNVDGWADRMAQLLDERDLGVLTSSMSLLVALVSNHHEAYWSCLPKCVKTLERLARNQDIPQEYTYYGIPSPWLQVKTMRALQYFPTVEDPNTRRSLFEVLQRILMGTDVVKNVNKNNASHAVLFEALALVMHLDADKEMMSQCVALLGKFIAVREPNIRYLGLENMTRMLMVTDVQDIIKRHQAQIITSLKDPDISIRRRALDLLYGMCDISNAKDIVEELLQYLSTAEFAMREELSLKAAILAEKFAPDLSWYVDVILQLIDKAGDFVSDDIWFRVVQFVTNNEDLQPYAAAKAREYLDKPAIHETMVKVSAYILGEFGHLLARRPGCSPNEIFNIIHEKLPTVSTSTISILLSTYAKILMHTQPPDPELQNQIWTIFKKYESSIEVEIQQRAVEYFTLSRKGADLMDILAEMPKFPERQSALIRKAEDTEVDTAEQSAIKLRAQQQSSNALVVTDQSYGNGTPPRSQLSLVKIPSMSSNVDDSSADQRLSQENGALNREDSVPPSADILSDLLGPLAIEGPPDSNVHPQPSTDPGLEDIVVEATAIVPAGEQANAVQPIGNIAERFLALCVKDSGVLYEDPYIQIGIKAEWRAHHGHLVLFLGNKITSPLVSVQAIILPPTHLKMELSLVPETIPPRAQVQCPLEVINLHPSRDVAVVDFSYKFGNNMVNAKLRLPAVLNKFLQPISVSAEEFFPQWKLLIGPPLKLQEVIRGVRPLPVLELANLFNSFHLTVSPGLDPNPNNLVVSTTFFSESTRAMLCLMRIETDPADRTQRGCSIKLLQLFDIRNCQNKELERLRVDKELGNIRTRFKNEKVLSPYEKKKYVWKMLYIYMLGYDVDFGHMEAVSLISAPKYPEKQVGYIVTSSLLNENHEFLRLAINTVRNDIIGRNETFQCLALTMVGNIGGREFAESLAPDVQKLLISSSCRPLVRKKAALCLLRLYRKNPDVVNVDGWADRMAQLLDERDLGVLTSSMSLLVALVSNHHEAYWSCLPKCVKTLERLARNQDIPQEYTYYGIPSPWLQVKTMRALQYFPTVEDPNTRRSLFEVLQRILMGTDVVKNVNKNNASHAVLFEALALVMHLDADKEMMSQCVALLGKFIAVREPNIRYLGLENMTRMLMVTDVQDIIKRHQAQIITSLKDPDISIRRRALDLLYGMCDISNAKDIVEELLQYLSTAEFAMREELSLKAAILAEKFAPDLSWYVDVILQLIDKAGDFVSDDIWFRVVQFVTNNEDLQPYAAAKAREYLDKPAIHETMVKVSAYILGEFGHLLARRPGCSPNEIFNIIHEKLPTVSTSTISILLSTYAKILMHTQPPDPELQNQIWTIFKKYESSIEVEIQQRAVEYFTLSRKGADLMDILAEMPKFPERQSALIRKAEDTEVDTAEQSAIKLRAQQQSSNALVVTDQSYGNGTPPRSQLSLVKIPSMSSNVDDSSADQRLSQENGALNREDSVPPSADILSDLLGPLAIEGPPDSNVHPQPSTDPGLEDIVVEATAIVPAGEQANAVQPIGNIAERFLALCVKDSGVLYEDPYIQIGIKAEWRAHHGHLVLFLGNKITSPLVSVQAIILPPTHLKMELSLVPETIPPRAQVQCPLEVINLHPSRDVAVVDFSYKFGNNMVNAKLRLPAVLNKFLQPISVSAEEFFPQWKLLIGPPLKLQEVIRGVRPLPVLELANLFNSFHLTVSPGLDPNPNNLVVSTTFFSESTRAMLCLMRIETDPADRTQLRMTVASGDPTLTFELKEFIKEQLIVIPTAARAPTQASPVVQVASNPEALTDPGAMLAALL >OIW10292 pep chromosome:LupAngTanjil_v1.0:LG06:8442005:8443753:-1 gene:TanjilG_28043 transcript:OIW10292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDRNYAEKVDEVMLPGFRFHPTDEELVGFYLKRKIQQWPMSIELIKQLDIYKYDPWDLPKLASTGEKEWYFYCPRDRKYRNSARPNRVTGAGFWKATGTDRPIYSSEGSKCIGLKKSLVFYKGRAAKGVKTDWMMHEFRLPSLTDSSPSPKKYIEKTIPTNDSWAICRIFKKTNATAQRALSHSWVSPLPETRTSDMLTNDRNSAHFCSSNMPLTKQTGLASQFCNINHNDTHHLTTSISTLCPLDLASYNKSIINPLLYKPFDQLPNLNGHISTGLMFSTPLETSTTSAKSTVDVSSLLLNMSSSVLGDFSKTCEGTITNFGVLQEHNNGYSIPLQREMQGTIGNQYDSVLVKIPNINVPCVDEQELEKARSIGFPLSMPNFNIGDAWKSNLLWDSSSCDYVSSSYSTTKCYT >OIW09434 pep chromosome:LupAngTanjil_v1.0:LG06:27746843:27752621:-1 gene:TanjilG_10306 transcript:OIW09434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQFCLQITRLYKGKEHVEVEFIVGPVPIEDGTGKEVVTKISTTLETNKTFYTDSNGRDFIKRVRDYRTDWDFEVNQPIAGNYYPINLGIYAKDNKTEFSVLVDRSIGGSSLEDGEIELMVHRQVSIGVLKRLLLDDSRGVAEALNETDCVADDCRGLTVQGKFYYRLDPLGEGAKWRRTFGQEIYSPLLLAFSEKDDKDDWLNSHVPTFSGIDSSYTLPDNIVIITLQELENGSTLLRLAHLYEIGEDKDLSVSATVELKKLFPIKKIKEVKEMNLSANQERIEMERKRLVWKVEGSSEDENVSRGGPVDPKDLVVELAPMEIRTFIINFNSIHDLVFDA >OIW09219 pep chromosome:LupAngTanjil_v1.0:LG06:30937919:30939846:1 gene:TanjilG_11357 transcript:OIW09219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVSFAVAVALRVGNLVCDNNRTVATTHMDVSRFKLMEDAGLLTDSVTKVETVASSDDRHKQVGIVEVVSPKQDREGDIPLSDTMSQNKSSVLDPGDDVLVHEVEEDNSLSLEGDQIIDSSCSHSAVSENSSMCDEEFINFEANSDVGTPCSLDIDKSVCGVNIVAQPADLPESNVVTDIMSEPLAVAGSLEEDTGVGSVPEPSATGLHQQEKGVSGTVGRSVFELDYAPLWGFISLCGRRPEMEDAFTTVPRFLKIPIQMLIGDRVLDGMNKCFNQQMIHFFGVYDGHGGSQVANYCRDRIHLALAEEIELVKEGLISGSMVDGCLDRWKKAFTNCFLKVDAEVGGKVNNEPVAPDTVGSTAVVAIICASHIIIANCGDSRAVLCRGKEPMALSVDHKPNRDDEYARIEAAGGKVIQWNGYRVCGVLAMSRSIGDRYLKPWIIPEPEVMFLPRAKDDECLILASDGLWDVMTNEEVCDLARRRLLLWHKKNGSELPPERGKGVDPAAQAAAEYLAHRALQKGSKDNITVIVVDLKAQRKFKSKT >OIW09086 pep chromosome:LupAngTanjil_v1.0:LG06:31461829:31467603:1 gene:TanjilG_16313 transcript:OIW09086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDPLFNYWGLSLFPQSTSISPSNIPYDSHHDLHQIHNHLKPMALANPGKLLDEAKSILDANPDLLNSQNSNVPPSAETNDVPEESQDQEFPRRRRPALGLKRGRFSLKPTKNTPVENLLPTLDLDKLKDPVEFFLAHERLENAKREIQKQMGGVSFESNGDNTSNKPRQRRPELPGNNQRPVRYKHRYPKETFDSNAYVPSSQEIIGSDSHSPVGENTDKGGSNILDPVGENPENGEACLTSLENEVTDLSAKEDVKLNDLLDGLLHCSPEDLEGDGAMTLLQERLQIKPIVLEELSVPDFPVNKMIDLKSLQGNLSKPRKALSNIDNLLKGMNKTPLKQGSGSPAQQLASPTPPRSPFAALSSLQRHISRSKPSVDPFSAVEIVQLSARNNSPAPMINQELDLVGSGNPSNEQNEHIIEDVIAVSKPSSVVNIVSNKTGTSEESKKGDFGKSSDMSNVPLPEETIATSETALVEDTIMNFASTSMEDNAGEPGFDANADSNECHVDMDVDVGGSFMCEGPMNDKEDRPNFEGIIKAPAASVPMADLDFNLVSPQDQSNPAGFQASARDKCTRKEDDVSEKCVQVQEKPESSLVPVNGQRKAKLRSQKQRQSEAHKLSRRQSLAAAGTSWNSGLRRSTRNRTRPLEYWKGERMVYGRIHDSLATVIGVKCMSPGTDGKPTLKVKSYVSDKYKELLELASLH >OIW10684 pep chromosome:LupAngTanjil_v1.0:LG06:3999418:4003820:-1 gene:TanjilG_16056 transcript:OIW10684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCLNLCTTGEGRVHLSKEVKNGLEYLKRKRLQRAKFVTGTDTSIANMMNRSGGDTLRASVSCGTGLHGNADLFSKRKVHKFDRSDLEWTDKIPECPVYSPTKEEFEDPLAYLQKIAPEASKYGICKIISPLSACVPAGVVLMKENAGFKFTTRVQPLRLAEWDTEDKVTFYMSGRNYTFRDYEKMANKVFARRYCSAGCLPATYLEKEFWNEISCGKIQTVEYACDVDGSAFSSSPTDQLGISKWNLKKLSRLPKSILRLLEMSIPGVTEPMLYIGMLFSMFAWHVEDHYLYSINYQHCGASKTWYGVPGNAALAFERVVKEHVYTNDILSSDGEDGAFDVLLGKTTLFPPNILMEHEVPVYRAVQNPGEFIITFPRAYHAGFSHGFNCGEAVNFAIGDWFPLGAIASRRYAHLNRVPLLPHEELLCKEAMLLHTCLELEDVDFPSPDMLSHNCIKIAFINLMRFQHCACWLLKNSRACISVSSHSHGTILCTLCKRDCYIAYVDCNCHMHPVCLRHDIESLDLTCGSKYTLYLREDISDLEAAAKIFEQEDGALSEIKSDHNLYSYPLSNMFQRAEAKGYTPYCELKLDSVIEFYASPEQSANNEECGTQSQSVISDCSGNLKPEVEPLESSSTPKHAMGHVNSDFGTVDCEEFSGRIPNSRCESSLSPAQYHESSCRPLGDLHIFDTKPIVSNESDDSDSEVFRVKRPSSLKAERRNMNEAMSSKRTEQQGLKRLKKVLPEGRSRLPTDFSRTNESSNKHSHPVGHKAGGENSSGGRFARSNGIPTSIRYKKLCNEDISRQRDHPMKERLQQTLKEHQSVDIGPKRLKVRGPSFLGLESRSN >OIW10465 pep chromosome:LupAngTanjil_v1.0:LG06:5919510:5921869:-1 gene:TanjilG_00403 transcript:OIW10465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRDGEEVEETVPIITPAGNTIIRRRPDPFLVTIRCFSFVTCLAAILCIVVNILSAVRSFKHGSDIFDGIFRCYAVVIACLVILAETEWSFIIRFFQISEYWAARGMLQIFVAVMTRAFPDYLGDRRELVLFQRIASYFLLACGAVYVVSGILCIGFLKRSRQKQEITREQAAKDLEELQRRREELEQVLLEERV >OIW10340 pep chromosome:LupAngTanjil_v1.0:LG06:8952055:8954316:1 gene:TanjilG_28091 transcript:OIW10340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRTTPPGILLIRTLRGKDWNLQTYRYIVLLITFIAYTCYHATRKPSSIVKSVLCPYPNTKKLTSSKLITHGWIPFDGPEGTSRLGEIDVAFLACYAMGMYIAGHLGDTVDLRLFLTIGMLGSGAFVGLFGMGYFWNVHEFWFYLVMQMIAGMFQVTGWPYVVAVLGNWFGKRKRGLIMGIWNAHTSVGNISGSLIAASVLEYGWGWSFIVPGVLIVFGGIIVYLFLAAYPEDVGFDGNIDTAASDLMPNTTVDDVDEEAHVSTKDVGSRKGSMNRKSIGLVEACMIPGVMPFALCLFFAKLVAYTFLYWLPFYLTQTEIGGEYLSVKAAGNLSTLFDVGGIVGGILAGYISDKLSARAITAASFMYVAIPCMYLYRSYGSVSMNANIALMVVTGLFVNGPYALITTAVSADLGTHHSLRGDSRALATVTAIIDGTGSIGAALGPLLTGFISTRGWDGVFIMLTLGAFIAGLLLSRLVIDEIAEKAVKPLSTGPQNSGAPASQPLLHEER >OIW09023 pep chromosome:LupAngTanjil_v1.0:LG06:31686151:31697190:1 gene:TanjilG_05999 transcript:OIW09023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVFASGRYSRRTSTVDEDEEALKWAAIERLPTYDRLRTSIFQTYVEGTDHAERPREVDVRKLDGNERQQIIDKIFKVAEEDNEKYLKKFRNRIERVGIKLPTVEVRFHNLNVEADSYVGTRALPTLPNVALNIIESAIGIFGISTAKRRKLTILKNASGIIKPSRMALLLGPPSSGKTTLLLALAGKLDPDLRVNGEISYNGHNLNEFVPRKTSAYISQNDVHQGEMTVKETLDFSARCQGVGSRYDLLAELARREKQAGILPEAEIDLFMKATAMEGTESSLMTDYTLKILGLDICKDTIVGDEMKRGVSGGQKKRVTTGEMIVGPTKTLFMDEISTGLDSSTTFQIVKCFQQIVHLTQATIFMSLLQPAPETFDLFDDIFLISDGQIVYQGPRDHIVEFFESCGFKCPDRKGTADFLQEVTSRKDQEQYWADRNIPYHYITVSEFANMFKQFHVGRQLESELSVPFDKARGHKASLIFKKYTGSTVKLFQACWDKEWLLIRRNAFVYIFKTGQIVVIGFIAATVFLRTQMHTRNESDAALYVGAILFTMIMNMFNGFSELPLTIARLPVFYKHRDHLFHPPWTYTLPNFLLKLPISVLESVVWTIMTYYSIGFAPAAATFFKHLLVVFLVQQMAAGMFRLISGVCRTMIVANTGGALMLVLVFLLGGFILQKDQIPNYWIWGYWISPLMYAFNAFSVNELLAPRWQKRSSNGQTTIGIATLDNFGAHPEKRWFWIGAGALLGFVIVYNVLFTLALMYLNPIGKKQSIISEEEASEMEGGGDSREEPRFLRPDSNKGIPRQSLSSTDGNNTREVAMQRMGTNPSEIRNADPAIELATGVAPKRGMVLPFQPLAMSFDSVNYYVDMPAEMKEQGVSEDRLQLLREVTGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGEVRISGFLKNQETFARISGYCEQTDIHSPQVTVKESLIYSAFLRLPKEVGDDEKLKFVEEVMDLVELNNLKDAIVGLPGVTGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLMKRGGQVIYSGPLGRNSHKIVEYFEEIQGVPKIKDKYNPATWMLEVSSIAAEVRLGMDFAEYYKTSALAQRNRALVNELSVPPPGAKDLYFPSQFSQPTLGQFKSCLWKQYLTYWRCPDYNLVRYFFTLLVALVVGSVFWKVGTKRDSSSNLTTIIGALYGSIFFVGVNNCQTVQPVVAIERTVFYRERAAGMYSALPYAIAQVIIEIPYCFVQTIVFAFIVYAMVSFEWHVAKVFWFIFVSFFTFLYFTYYGMMTVSITPNHQVASIFGAAFYGLFNLFSGFFIARPKIPKWWVWYYWICPIAWTVYGLIVSQYRDVLDQIEVPGWDHKPSIKDYIDQEYGFKANFMGPVAGVLVAFPVFFAFVFATGIKMLNFQTR >OIW10192 pep chromosome:LupAngTanjil_v1.0:LG06:7508229:7509703:1 gene:TanjilG_27943 transcript:OIW10192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANNGEQNQTEAGRHQEVGHKSLLQSDALYQYILETSVYPREHEAMKELREITAKHPWNIMTTSADEGQFLNVLLKLINAKNTMEIGVYTGYSLLATALAIPEDGKILAMDVNKENYELGLPVIKKAGVDHKIDFREGPALPVLDELVKDEKNHGSYDFIFVDADKDNYLNYHKRLIELVKVGGVIGYDNTLWNGSVVAPPDAPLRKYVRYYRDFVLELNKALAVDPRIEICMLPVGDGITICRRIK >OIW09858 pep chromosome:LupAngTanjil_v1.0:LG06:13340853:13344213:1 gene:TanjilG_15340 transcript:OIW09858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSNNQTILVTGGAGFIGTHTVVQLLNDGYNVSIIDNFDNSVMEAVIRIREVVGPQLSNNLEFTQGDLRNKDDLENLFSKTKFDAVIHFAGLKAVGESVANPRRYFDFNLIGTINLYQVMAKYNCKKMVFSSSATVYGQPETIPCVEDFKLQAMNPYGRTKLFLEEIARDIQKAEPEWKIILLRYFNPVGAHESGKLGEDPRGIPNNLMPYIQQVAVGRLPELNVYGHDYPTKDGSAIRDYIHVMDLADGHIAALRKLFTTENLGCTAYNLGTGHGTSVFEMVTAFEKASGKKIPIKLCPRRPGDATEVYASTEKAEKELGWKAKYGVEEMCRDQWNWAKNNPWGYKRKSLE >OIW09825 pep chromosome:LupAngTanjil_v1.0:LG06:14210615:14211517:1 gene:TanjilG_32263 transcript:OIW09825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRGHSLPPPFHTTRNFPQHQFHPFPQNKEDEQSGSSSNVLKRDREENNNNNNNNSDEGKEATGGSGEAELSRRARGRPSGSKNKPKPPIIITRDSANALRTHVMEVADGCNIIESVSNFARIRQRGVCIMSGTGSVTNVTLRQPGSSGGVVTLHGSFEILSVSGSFLPPPAPPSASSGLTIYLAGGQGQVVGGSVVGTLLASGSVVIMAASFSNAAYERLPLEDEDSSLPLQGGGGSIIGSPGASQVGQYHHQQQQQLQSQGFGDGTTAPIYHGLPSNLLNSIQMPSSEGFWANTQPPF >OIW09740 pep chromosome:LupAngTanjil_v1.0:LG06:16530940:16536168:1 gene:TanjilG_14263 transcript:OIW09740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHIVGYPRIGPKRELKFALESFWDGKSSAEELQKVAADLRSAIWMQMAEAGIKYIPSNTFSYYDQVLDTTAMLGAVPDRYNWNGTEIGFDIYFSMARGNASVPAMEMTKWFDTNYHYIVPELGPDVKFSYASRKAVDEYNEAKVLGIDTIPVLVGPVSYLLLSKPAMAVEKSFSLLSLIDNIIPVYKEVVAELKAAGATWIQFDEPMLVKDLEAHQLQAFPYAYAELESTLSGWNVLVETYFADVPAEAYKILTSLKAVTAYGFDLVRGTKTLDSIKQGFPPGKFLFAGVVDGRNIWANNLASSLNTLQALGDIVGKVDRVNETKLDEEIKSWLAFATQKVVEVNALAKALSEQKAEVFFSANAAALASRKSSLRVTNETVQKAVAALNDSDHRRATNVSVRLDAQQKKLNLPILPTTTIGSFPQTADLRRVRHEFKAKKISEEDYVNFIKEEINNVVKFQEELDIDVLVHGEPERNDMVEYFGEQLSGFVFTANGWVQSYGSRCVKPPIIYGDVSRPKPMTVFWSSTAQSLTKRPMKGMLTGPVTILNWSFVRDDQPRFETCYQIALAMKDEVEDLEKSGITVIQIDEAALREGLPLRKSEEAFYLNWAVHSFRITNSGVKDTTQIHTHMCYSNFNEIIHSIIDMDADVITIENSRSDKLLSVFREGVKYGAGIGPGVYDIHSPRIPPTEEIADRINKMLAVLESNILWVNPDCGLKTRKYSEVKSALTNMVASAKLIRNQLATAK >OIW10322 pep chromosome:LupAngTanjil_v1.0:LG06:8797790:8799050:-1 gene:TanjilG_28073 transcript:OIW10322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVQAQYPPNILLLNRNGQEGNDYSLQQPQSKVELLHQSQNLHNNENANSRQRGREDVVTNPSPNNIMNPMFPMQPHPQNFIELSQLHNQHQNVVSTGLGLSFGDQQKQRLQLHQQQHGCNSSSFLSEGFSSQVKQKGDEFDIFLHAQGEELMRTLAEKMQRHYQELLRKAEEAVARRLREKEAEVEKAMRRHAELEARAAQMSAEAQVWQAKAMAQEAAAASLQAQLQQTLTAAGSAGCRGGDDGGAGLPFAQDGGQAEDAESTYIDMDRVEVVESVAARAKCKGCRKRVVSVVVLPCRHLCICAECDSRLRACPICLTPKNSTVEVYLS >OIW10372 pep chromosome:LupAngTanjil_v1.0:LG06:9260540:9268407:1 gene:TanjilG_28123 transcript:OIW10372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDISFEDLKNENVDLENIPIEEVFQQLKCTKEGLSSEEGQKRLQIFGPNKLEEKTESKFLKFLGFMWNPLSWVMEAAAIMAIVLANGGGKPPDWQDFTGIVVLLIINSTISFIEENNAGNAAAALMAGLAPKTKVLRDGKWGEEEAAILVPGDLISIKLGDIVPADARLLEGDPLKIDQSALTGESLPVTRHPGQQVFSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDSTNNVGHFQKVLTSIGNFCICSIAIGMIVEIVVMYPIQHRSYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKNLIEVFPSGMDNDTLVLYSARASRTENQDAIDASIVGMLGDPKEARAGITEVHFLPFNPVDKRTAITFIDNNGNWYRSSKGAPEQIIELCDLKGEILKKAHKTIDSYAERGLRSLGVARQTVPEKTKESAGDPWEFLGLLPLFDPPRHDSAETIRRALELGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSSLLGNSKDSAIASIPVDELIEKADGFAGVFPEHKYEIVKRLQDRKHIVGMTGDGVNDAPALKKADIGIAVDDATDAARSASDIVLTEPGLSVIVSAVLTSRAIFQRMKNYTIYAVSITIRIVLGFLLVALIWQFDFSPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLNEIFATGVVLGTYMAIMTAVFFYVVHDTDFFSRIFGVKSIADSEEHLNSALYLQVSIISQALIFVTRSRSWSYFERPGAMLCIAFLAAQLVATVIAVYAHWDFARINGVGWKWAGVIWIYSIVTYIPLDILKFFIRMGLTGSAWDNMLQNKTAFTTKKDYGKGEREAQWAVAQRTLHGLQVPDAHLNNSHEHSEIAEQAKKRAEAARLRELHTLKGHVESVVKLKGLDIDTIQQHYTV >OIW10043 pep chromosome:LupAngTanjil_v1.0:LG06:10494032:10494241:1 gene:TanjilG_32783 transcript:OIW10043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENYAQSVAYKKNLQRRDIDHRSDNLCVFCKDAVETVNHFFPHDSVWQECYNTHGWRISFYAAFMVGNL >OIW09058 pep chromosome:LupAngTanjil_v1.0:LG06:31211572:31216017:-1 gene:TanjilG_16285 transcript:OIW09058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASLQSLNLNPLSLKPFHKSSTLTFSKSNSIRCSAATPSKRSYTITLLPGDGIGPEVISVAKDVLLLSGSIEGIKYEFHEKLLGGAALDATGVPLPDETLSVAKESDAVLLGAIGGYKWDKNEKHLKPETGLLQLREGLKVFANLRPATVFPQLVDASTLKREVAEGVDLMVIRELTGGIYFGKPRGFGTNENGEETGYNTEIYAAHEIDRIARFAFEVARKRGGKLCSVDKANVLEASMFWRKRVLALAQEYPDIELSHMYVDNAAMQLIRNPKQFDTVVTNNIFGDILSDEASMITGSIGMLPSASLGDSGPGLFEPIHGSAPDIAGQDKANPFATVLSAAMLLRYGLGEEKAADRIEKAVLDTLNRGFRTADIYSPGTKLVGCKQLGEEILKSVESHIPAGAL >OIW09902 pep chromosome:LupAngTanjil_v1.0:LG06:12093416:12096190:1 gene:TanjilG_32051 transcript:OIW09902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMEVTHILLNAQAVDGAVRKQAEDSLKQFQDQNLPVFLFSLAAELASDEKPVESRKLAGLILKNALDAKEQHLKIEFVQRWLSLDPTVRAQIKAFLLKTLSTPSLDARSTASQVIAKLAGIELPHKQWPELIGSLLSNLPQLPAHAKQATLDTLGYICEEVSPDVVDQDHVNKILTAVVQGMNSTEENDVRLAAIRALYNALGFAQANFSNDMERDYIMRIVCETTLSPDVKIRRAAFECLVAISSTYYEKLAPYIQDIFNITAKAVKEDEEPVALQAIEFWSSICDEEIDILEEYGGDFSGDSDVPCFYFIKQALSFLIPMLLETLLKQEEDQDQDEGAWNIAMAGGTCLGLVARTVGDDIVPLVMPFIEENITKPDWRQREAATYAFGSILEGPSPDKLVPLVNMALNFMLTALVKDPNNHVKDTTAWTLGRMFEFLHGSALDAPIINQTNCQQIITVLLQSMKDVPNVAEKACGALYFLAQGFEDVGSASSPLTPFFQDIVQSLLAVTHREDAIESRLRTAAYEALNEVVRCSNDETAPLVVQLAPVIMMELHQTLEGQASADEGQNELQGLLCGCLQVIIQKIGSSDQTKYHFMQYADQIMSLFLRVFASRSATAHEEAMLAIGALAYATGPDFAKYMTEFYKFLESGLQNFEDYQVCAITVGVVGDVCRALEDKILPYCDGIMTQLLKDLSSNQLHRSVKPPIFSCFGDIALAIGENFEKYLLYAMPMLQSAAELSVNTAGADDEMLEYTNSLRNGILEAFSGIFQGFKGSPKTQLLMPYAPHVLQFLDSLYVEKDMDDVVTKTAIGVLGDLADTLGSNAGPFIQQSVSSKEFLKECLSSDDHLVKESAEWAKLAISRAISF >OIW09197 pep chromosome:LupAngTanjil_v1.0:LG06:30795770:30797704:1 gene:TanjilG_11335 transcript:OIW09197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIIMFPSICLSTNITVTSTLRTTKTQYHKTTTPPLNNTTNLNSLCKFGKLDEAFNLIQSNSEQDINAFSLFLNACISTKSIHHAQKLCSHLSKTQKDSILENPILKSKLITLYSVCGKVDEAHRVFETSGKRTESVFVAMAIGYSRNGFSKEALLLYCDMLSQCIEPENFAFSMALKACTDLSNGLVGRAIHAQITKHRGEADQVVNNALLKFYVECECFNEVLKVFEVMPQRNVVSWNTLIAGYAALGRVFETLDAFRIMQREEEIGFSWVTLTTVLPICAQVTTLLSGKEIHGQIVKSRKKADVPLLNSLMDMYAKCGAISYSEKVFDRMHSKDLTSWNTMLAGYSVNGRIEEAIALFDEMIRFGIRPDGITFVALLSGCSHSGLTGEGKRLFNVMQDYGVQPSLEHYACLVDLLGRSGKLDEAFATAENIPMKPSGSIWGSLLNSCRLHCNVSLAETVAERLFDIEPNNPGNYVMLSNIYANARMWDDVNRVREMMAMKGIKKDSGCSWIQMKHKIHTFVAGGSSDFRCSAEYSKVWTELSNAIEEEGYIPDTSVVLHDINEDMKAMWVCGHSERIAAVFALIHTSPGMPIRITNNLRVCVDCHSWMKAVSRVTGRPIVLRDTNRFHHFEHGTCSCKDYW >OIW09222 pep chromosome:LupAngTanjil_v1.0:LG06:30954999:30956117:1 gene:TanjilG_11360 transcript:OIW09222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHHRFEKKSETKFYTETTITAKKHNRSNVRYNNKSIVEKEQAQEKDKKVGTQQPCFVPKHHHHGDHDQHVLFFSSISISSDSSSGGFSSSDTESIMPRASCFAPSKPKPVVNKDRNNENQAASTLTFEGFSQKSKTEGIEIHDEKALFKSKLRALKIYNNLKKVKQPISPGGRLTTFLNSLFANAKKSKSSRRSYCEEEGDMKSERKLKSKSFSSCSSASSFSRSCLSKTSSEREKIFNGDKRKVRFYPVSVIVDEENRPCGHKYLYEEEKVKLPVLEKSRVMENDLVLKGLPIRINNANDQRQGFKLQSTTVNDEEDEDDDDDDAASYASSDLFELDHLSMLGNGMHCEELPVYETTHVSTNRAIVNGFII >OIW11015 pep chromosome:LupAngTanjil_v1.0:LG06:1330123:1331229:-1 gene:TanjilG_22822 transcript:OIW11015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDSAFSDDKSNENIQVFEQEAFIDDSTQFCAKFLSPQLESTLNRLSKWIVTVLMGCFILWRHDVEALWFGAGSVLNGLLSVWLKHILNQERPTTLKSDPGMPSSHAQSIFFAAFFVILSISIVNYEEN >OIW10462 pep chromosome:LupAngTanjil_v1.0:LG06:5897224:5898039:1 gene:TanjilG_00400 transcript:OIW10462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDQLHHHTKSTNTTSTTRLKLFGFNVHEDTIIDDELAPVEETSDSNLKPTSPSGDSGGGGGDGDAAGFTTSSGDRKYECQYCCREFANSQALGGHQNAHKKERQQLKRAQLQATRNAAVSFVRNPIISAFSPPPHLLAPAGSMMVPAGTSSWVYMQPRAAPPPFHVSVSHGCVFPNSNNNNGTSSNNCNGGSNYSFISSTRSAGAGLLPYGGRVEDSSSAFSTMGSQVEARAHLSRIDGPSSVSRFSKGEVGPSFDDGFGLDLHLSLAPP >OIW10378 pep chromosome:LupAngTanjil_v1.0:LG06:9391290:9398660:-1 gene:TanjilG_28129 transcript:OIW10378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFDQNSIPNMNRTVAQEPLVLPTSTIAVRTGECCFAPVRTGECYGSPPGLVGIGNENVASSGGNWCVRPAVPVAHPTMNPAIGFNYGHSFLNPIAGGNGVVDVSGCVVPSEGGYPMNLVNLVVGNGLDNALQGKEKVISNSASDQVGGVVSTRVDQQVSDEGGDDSVSGKKLKLMCSYGGKILPRPSDGMLRYVGGQTRIISVKRHVSFGDLMQKMVDTYGQPVVIKYQLPDEELDALVSVSCTDDLENMVEEYERLVERSPDGSAKLRVFLFSASELDPSGVIQFDDLLDSGQKYVEAVNGITDGISGKLIRKESFTSAASTQNSDLSGIEVCDSSNAGQVDVSGAPRSDILSPEGIVGAVSQDAIANLVVSEPAISMYSDTSAVSLCMPAANSDPSTSPFQNEIGLEKSVATVTQQQFGLQQGGMEIPLPAPYLQPLVDPRHEVMSQADYVKMHPQMGFPNSQLLGNTGSLYSQHQFHDSTPGLVSHQVIAGPAVQMTTRPTSHAGVRPNVIQPQPLIQPQQNRLDQYNDENTSGARILHLPAGQSYNTYPVQFPPVVVGGNYRWVQVPPPEHVVFTDALLPQQPAMIPEKVQRVEECYMCQNKLPHAHSDPVIQDQRNSFAGLIPDSIPSYHSVPVEDNLKAQATNRILVTSPLKEGNVEQVGGSRPMVINILESPDGVPRADATALNHNLDPEPVGQRNFIQKPDGFDHSRNAIIQEAIGRTVEKQSQSHGLTGTAPLSYDVINQHVVPVENCAKEDVFVNKNVTNAIPVVGVSSIETSQCVVQGSPQEHTNELASIVSKADAVGNWITQDHLKAVDGRVGVLNLGNPEIHVNNDKLDYNTQHAVEKKGVFLDNNLGESKLTVDGNQIQMMNVLPSSTMGISYGNNCMPVEHSEVAQPPVWGLPGSNLQPSSGNHHQDDSISSSILPSVRFGNEQDSSSSLFSNQDPWNLHGTYFPPPRPKNIALKKETYPYKNQFGENPGNSGEQNLESQLDEGLYQTLRQNLTSDDVQSSKGSSEDRQNQQLQAVAEGVAASVLHSSTPSNPDLHARDVSNHEDIDNRESQTNLIDIQCTDKTHVVKTKLPEKANFGFPASDIGSLQVIKNYDLEELIELGSGTFGTVYHGKWRGTDVAIKRINDRCFAGKPSEQERLRADFWNEAIKLADLHHPNVVAFYGVVLDGPGGSVATVTEYMVNGSLRNALQKNERNCDKRKRHLIAMDAAFGMEYLHGKNIVHFDLKSDNLLVNLRDPHRPICKVGDLGLSKVKCQTLISGGVRGTLPWMAPELLSGSSSLVSEKVDVFSFGIVMWELLTGEEPYADLHYGAIIGGIVTNTLRPPVPESCDPEWRFLMERCWSSEPSERPTFTEIANELRFMASKIPPKGQNQQQQPASLQSQVQK >OIW09544 pep chromosome:LupAngTanjil_v1.0:LG06:23320040:23320507:-1 gene:TanjilG_30863 transcript:OIW09544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGLIWVYARPTIEEDEGIIKEYQQREGTNTRCIILINQQLRVPRTETLCDVTQYPMAISTPSIIVIHISSSSLCFVNAKLVREGILKWVIEDDDLKATILD >OIW10374 pep chromosome:LupAngTanjil_v1.0:LG06:9314774:9315175:-1 gene:TanjilG_28125 transcript:OIW10374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKNRDNKDKRVELLQKFAHTHFPSMKYMEYAVKVETCTLTKASNLVRNLDDAIGSLFLDLLVDSGMFSKQEIDEIVEIDYLNELFVLTCSIGLIRRNFDQKRLKQPLYCHPWENVLYTKWEDFVWLKEHHHT >OIW10240 pep chromosome:LupAngTanjil_v1.0:LG06:8022792:8036746:-1 gene:TanjilG_27991 transcript:OIW10240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFEVIAVGGLNNCFVSLPLPLIQSLQFTRSSPLALELRSPSHPPHLWFVAWSGATSRSSSTIEVSAQFAECISLPNHATVQVKVASHVPHASMVTIEPHTEDDWEILELNAEQAEAAILNQVRIVHEGMRFPLWLHGHTVITFQVASVFPKNAVVQLMPGTEVAVAPKRRKRILDSAGDSHPDSYDKEHTAKMLLRIQDTKGLCHTNTHVKDVELDIELTSVAFVHPETAKRFSFNMLQLVSIVPRVTKENVNNSRTNIMKAGGGSAVNGVEDGNLSDKKDHQQVTVHLLFSESVAEGHVMVAKSLCLYLRAGLHSWVYLKACDIILEKSFPSISLCPCQLKPSRHDSFVEKDGLEVIHGHTNHIDGKLHAKAMSGVFVDTMDWSVHGEVVAALSDESNYKADKEAANQSQNKKGLQSLVRLWYIAQLEAINSVMGVEVNSLIMSSKTLLHFKLSSYKLGSDGKVRLVSNSSENSGKTAELLFILAFGEEYPHNGKLNAYEVALGGSLNNIKVGDLELFEKVKLGDPVSIHHSIEERASEEHISSDVSSLGWMETTASDVINRLSILLSSASGMWFGSYNLPIPGHVLIYGPPGSGKTLLARTVAKFLEEREDILAHIVFVSCSKLALEKVPIIRQELADHVTEALNHAPSIVIFDDLDSIISTPDSDGSQPSMSISGLTDFLVDIMDEYREKRKKSCGFGPIALIASIQSLEKIPQSLSSSGRFDFHIQLPAPAASERRAMLKHEIQRRNLQCGDDILLDVAVKCDGYDGYDMEILVDRAVHAAVHRFLPSNAAVSEHECPTLLGEDFSQAMHDFLPVAMRDITKAASDGGRSGWEDVGGLVDIRNAIKEMIELPSKFPKTFAKAPLRLRSNVLLYGPPGCGKTHIVGAAAAASSLRFISVKGPELLNKYIGASEQAVRDIFSKAAAAAPCLLFFDEFDSIAPKRGHDNTGVTDRVVNQFLTELDGVEILTGVFVFAATSRPDLLDAALLRPGRLDRLLFCDFPSSNERLEILSVLSRKLPMADDIDLATVANMTEGFSGADLQALLSDAQLAAVHDVLENIDATGPTTTPIITGAHLNFTASKARPSVSEEEKRRLYNIYHQFLDSKRSAAAQSRDAKGKRATLA >OIW10323 pep chromosome:LupAngTanjil_v1.0:LG06:8815001:8817489:-1 gene:TanjilG_28074 transcript:OIW10323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSSPASPNIAAAAAATPTTTKTGGTLTVPFNRTDTPSKLARGHNKPKCRQCGNVARSRCPYECCKSCCSRNQNPCHIHVLKASSTLPDKIPSSGAAPIDQQSSEPSHTTAGRVASLRQLSNSFAQFNNLNISVRSKRPLTRKDAAAINEWRFSKLKEYKERNIELENEAFDRYMQNVDLLEEVLSVKSVDDDESSASESNPTSAENNETTIPGLKFQRRSNSTRTDAVRMRIQQVVDEGLRKLPKSAVGSGINEPIDEEADKDSKRGKMTERLSAVSDLIDKINKARTEEDLKSCLEMKFQLFNNADKDSDTDMMELDYETHENQTVESDAAPAEELDYSLPKLVCTTEVDQETLNTIDKHFTSLEDVQEL >OIW10846 pep chromosome:LupAngTanjil_v1.0:LG06:2585726:2587645:1 gene:TanjilG_27792 transcript:OIW10846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAYKYVSELWRKKQSDVMRFLQRVRCWEYRQQPSIVRLTRPTRPDKARRLGYKAKQGYVVYRVRVRRGGRKRPVPKGIVYGKPTNQGVTQLKFQRSKRSVAEERAGRKLGGLRVLNSYWVNEDSTYKYFEIILVDVAHAAIRNDPRINWLVNPVHKHRELRGLTSAGKSNRGLRGKGHRYHKNRPSRRATWKRNNTLSLRRYR >OIW11097 pep chromosome:LupAngTanjil_v1.0:LG06:660656:664225:1 gene:TanjilG_22904 transcript:OIW11097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METKSPDSWHYSSLRSVHNIGHDLFGGDLKRDVFWTHNRCNLESSPISSSRKISPNLNSRIQSEAVTPLHPLATHNGLLFPTSYSTVQASSPHSPGSGILTRPSKWKKGRFLGQGTFGQVFVGFNSDSGLICAMKEVTLCPDDPKSVESAKQLRQEVALLSNLRHPNIVRFYGSEMIGRNPCQFSLKGSPHWMAPEVAAMFKLGNTEEIPLVPDYLSEEGKDFVNLCLQRDPQCRPSASQLLLHPFVKNFKLERSIQGIGPYKLDSYLHSKASDINLSRNSSGSSDMLSPWNISSLVSPTPMSIATIKSGFSSPLFGTSGSGAISFYQTKHNPTYSPRSASGFSSLSSRTSATTEAISFHQPNQQIFIPYTACDSSSSSSSSLCTCGDTGIKPLHQTKKPTLLHEALGVIQTPQNVSLKMSFSPICHPIIASRSSSLSLCTGGSGVIPYNQSNKQQTYSQEPLGIILRLQNIAPTLQNSYALRDTSFENDVLENNNRKNTISKVEETQLDLMIMMKLN >OIW09425 pep chromosome:LupAngTanjil_v1.0:LG06:28118345:28128115:-1 gene:TanjilG_14576 transcript:OIW09425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGVIQQLLRRKLQSQSTVSAIPALNSTSLLSSIVAKKDDAGSNGSRSIRALALIGAGMSGFLGFATTASADEAEHGLACPSYPWPHEGILSSYDHASIRRGHQVYTQVCASCHSMGLISYRDLVGVAYTEDEVKAMAAEIEVVDGPNDEGEMFTRPGKLSDRFPQPYPNEAAARFANGGAYPPDLSLITKARHNGQNYVFALLTGYRDPPAGVSIREGLHYNPYFPGGAIAMPKMLNDGAVEYEDGTPATEAQMGKDIVSFLTWAAEPEMEERKLMGFKWIFVLSLALLQAAYYRRLRWSVLKSRKLVLDVVN >OIW10624 pep chromosome:LupAngTanjil_v1.0:LG06:4662885:4665040:-1 gene:TanjilG_15996 transcript:OIW10624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFYSSLIAQCAHTKSLTTLRAVHSHIIKSCSSYLFGHKLIHGYIKCGCLAEARNMFDEFPKRHIVTWNSMISSHVSQGKSKEAVELYNNMLLQGVLPDAYTFSAIFKAFSELGLLCHGQRAHGLAVVLGLEVLDEFVATAIVDLYAKFDKMRDARLVFDRVFDKDVVLCTALIVGYAQHGLDRAALEVFEEMGDRGIKPNEYTLASIFISCGNLGDLFNGQLIHGLVVKSGLESVVASQTSLLTMYSRCNMVEDCIKVFNMLAYASQVTWTSFIVGLVQNGREEVALSIFREMIRCSVSLNPFTLSSVLQACSSLAMLEVGEQIHAINVKIGVDGNKYAGAALINLYGKCGNVSKAESVFDILTDLDVVSINSMIYAYAQNGLGCEALQLFKSMEKLGLEPNGVTFISILLACNNAGLVEEGCQIFASIRNNHKIELTRDHVSCMIDLLGRCRRLEEAAMLIEEVRNPDVVLWRTLLNACRIHGEVEMAEKIMRKVVELAPEDGGTHILLTNLYASAGKWNHVIEMKSTIRDLKLKKNPAMSQVTCNIIIHSSGSSL >OIW09644 pep chromosome:LupAngTanjil_v1.0:LG06:21679418:21680449:-1 gene:TanjilG_28243 transcript:OIW09644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRRQVYGQGPDVGNQYRSIIFVNGTEESRIASVSKEQEQTRSRSSIVTTQILQLGTFHPAEPEQQKFELKKNSFLIKLIGNLPQEELERSSLATKLNGYVAEFCPPNIQKQIDAKINEFIKKGWPILMEL >OIW11064 pep chromosome:LupAngTanjil_v1.0:LG06:1712751:1715653:1 gene:TanjilG_22871 transcript:OIW11064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLFHSTTPLFNPQPHKLFFPIPFFISTLTPRKIQPLQTLKFTTHWNLFYSLSSSFDNQDDFEEHVIGDCVVFEEGIFEDPIFRNDTNSHNLTKPKPKPVPKNKNKKVAPPEIVGENLVPEKWREIQAEINITKKERRKIAQEIQFNSKVDKKRRGLIPLRDMNLNEYKAYKEATLAQMKPLFLDNPSRFPVKEENFVEKEEDYDEFGGIERVKPKNPRWAVYGRGLEDVSESFNSEFYDPDANKNTEGRRKLLTKEEKVLLNKKIPDIATATSDKWLPLHTLAACGEFYLVDALMKHDVDINAVDKDGLTALHKAIIGKKQAITNYLLRNSANPFLKDKDGATLMHYAVQTASSQTIKILLLYNVDINLQDDDGWTPLHVAVQAQRTDLVRLLLIKGANKTLKNKDGLTPLDLCLYYGQGLRTYELIKLLKQPQRRATFLPQMRTNVESVEQTNQE >OIW08864 pep chromosome:LupAngTanjil_v1.0:LG06:33092934:33095119:1 gene:TanjilG_25107 transcript:OIW08864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSYTVDDALVCLGFGKFQILVLAYAGIGWVSEAMEMMLLSFVGPAVQSAWNLTPHQESFITSVVFLGMFVGAYSWGLLSDQYGRRKGFLVTATITAIAGFLSAFSPNYLFLMALRSLVGLGLGGGPVLLSWFLEFVPAPNRGTWMVVFQAFWTLGTIFEASLAWIVMPKLGWRWLLALSSLPTSFLLMFYKLTPESPRYLCLKGKTNDAITVLEKIARVNGTKLPSGILVSDHQIELQKVDNPSLEEEEEDTSLLSPRKDEIEQYPNPKGMLSKVGCLSSLMMLLSPELARSTLLLWVVFFGNAFSYYGLVLLTSELNNPHSKCIPHKSQRETSQDISYKDVFIASFAELPGLLLSAVAVDKIGRKLSMSTMFFMCCIFLLPLIFHLPQGLTTGLLFGARICITTTFTVMYIYAPEIYPTSVRTTGVGTASSMARIGGMLCPLVAVGLLHTCHQTVAVLLFEMVALLSGISVLFFPVETMGQELRDNVSNLKQTNKV >OIW10451 pep chromosome:LupAngTanjil_v1.0:LG06:5854781:5856022:1 gene:TanjilG_00389 transcript:OIW10451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLMELGREYNKARKWSKLKHLHDFNSDTILVFQIADAHVFSIVSRFLFLAMVFVTLLFLGSIKGSSSSSNSVFFTGFRDSAFESINAEALNLALNELKEEGLVKKEDKALIMSPPSGFEGVALLNNEVDVVKDSDLEIKSSEPDQLYDFVYMPSFEDAKFADRILKPNGIVAFPLSINPSNADFRRPSDYKVVYIRRHGSIIVALRKLGLTDKLADSSPKRKLLTAGAKTVALKGLEDVLLEPPGKDFAKSKENLKIRYLPDLLGEHSLEGYKRKVFLGIGLPEENKAAIEWFERNYPKKNTKFEIHSLIVASEDPVVPHTDISAWLSKHVKEEEYVVMKAEADVVEDMIRKKTTHLVDELFLECNNEWWQTGEVKKSGRAYWECLALYGKLRDEGVAVHQWWGNEADMALN >OIW10056 pep chromosome:LupAngTanjil_v1.0:LG06:10368934:10369359:-1 gene:TanjilG_32796 transcript:OIW10056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRTPFNPKTEITINNLNRGMKTNRVSHSIKKTSSLPLNSQQHQQPVIIYTHSPKIIETHPKDFMTLVQKLLGLSHDHSEEKDKKANTNSCFNLLPQLPPSKHEAMAEEYVAVDVKYPKAENEKPSVITNENQCDYSCMER >OIW09379 pep chromosome:LupAngTanjil_v1.0:LG06:29912032:29916113:1 gene:TanjilG_01350 transcript:OIW09379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLCFTRHCIKFMCKLLLFLLVLCLWIPREVVGRTGTTTISNSTDSYSRPSVLRIGALFTFNSVIGRSARPAIMAAIDDVNANTTILPGTKLEVILHDTNCSGFLGTVEALQLMENDVVVAIGPQSSGIAHIISHVVNELHVPLLSFGATDPSLSSLQHPYFIRTTQNDYFQMHAIADLVEYYRWSEVIAIFVDDDNGRNGVSALGDALAKKRAKISYKAAFTPGATKSDISDLLNGVNLMESRVYVLHVNPDSGLEIFSVAKKLGMMTSGYVWISSDWLPSVLDSLENVDTDSGVMNLLQGVITFRHHTPDTNLKKSFISRLKSLKDKDTKNFNSYALYAYDSVWLAAHALDVFLNEGGNTSFSSDPVLHNRNGSMLHLSSLRVSNGGQQFLQTILRTNFTGISGQIQFDMDKNLIHPAYDILNIGGFGSRRIGYWSNHSGLSVIAPEVLYQKPPNTSTSNQQLYSVIWPGETTKIPRGWVFPNNGKPLRIAVPNRVSYLEFVSKDKNPPGVKGYCIDVFEAAINLLPYPVPRQYILFGNGDTNPDYNDLAYQVSQNNFDAAIGDVTIVTNRTRILDFTQPFMESGLVVVVPVKRIKSSSWSFLQPFTAQMWCVTAAFFLFVGTVVWVLEHRHNTEFRGTPRQQITTVFCLFAHQCLAKFSSSFAGENTVSTLGRLVLIIWLFVVLIINSSYTASLTSILTVQQLSSQIEGIDSLTSGNQPIGIQEGSFAKKYLIDELNIAPSRIVTLKNPDAYIDALTRGPNNGGVLAIVDELPYVELFMSNTNCKFRTVGKEFTKSGWGFAFQRDSPLAIDLSTAILQLSENGDLQKIHDKWLLKQDCVVEFSDDESYKLSLSSFWVLFLIIGIACFIALTVFFIKVMCQYNKFNPDPDEEHGDDKVQVQPARFKRPMLTTSFRELMDFVDKREVDIKDILRRSKKRRRNQNSEDTLGEKTCS >OIW10750 pep chromosome:LupAngTanjil_v1.0:LG06:3421591:3421779:-1 gene:TanjilG_27696 transcript:OIW10750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKQCVEDAYTCLINRYSFSEDDIAILIDTDESYTQPMGKNISVAPPCGTREEDDTGYDECT >OIW10812 pep chromosome:LupAngTanjil_v1.0:LG06:2834680:2844262:-1 gene:TanjilG_27758 transcript:OIW10812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCDEVPGRVRVAVRLRPRNAEESVADTDFADCVELQPELKRLKLRKNNWDGDTYQFDEVLTEFASQKRVYEVVARPVVESVLDGYNGTIMAYGQTGTGKTYTVGCLGEEDTAARGITVRAMEDIFANVSFETDSVSVSYLQLYMETIQDLLEPTNDKIAIVEDPKTGDVSLPGATLVEIRDQQSFIQLLRLGEAQRFAANTKLNTESSRSHAILMVHVKRSIKGRDAALSTENGNHQDMVKSLKPSVVRKGKLVVVDLAGSERINKSGCEGHTLEETKFINLSLSALGKCINALAENSVHVPFRDSKLTRLLRDSFGGTARTSLVITIGPSPRHRGETASTIMFGQRAMKVENMVKLKEEFDYKSLSRRLDIELEKLIMEHERQQKAFEDEIERLATEAQHRISEAEKNYADSLEIPKVSAKELSDLKKVIQKETVLRKAAEGEVKNLQIQMAELKMSEASGKSEILKLHKMLDGEASQKEKLEGEIAILQSQLLKSSLETHETRQEVGRAGFENEVGGLDSLISQVKVQQRASGNGEKPSMAKLFEQVGLQKILSLLEAKDADVRIHAVKVVANLAAEETNQGKIVEAGGLTSLLTLLKSSQDETIHRVAAGAIANLAMNDKLQAKLRSEHGIQALLGMVRCKHPDVHAQVARGIANFAKCESRASSQGTKSGRSFLIEDGALAWIVQNANNESSSIRRHIELALCHLAQHEVNARDMITGGALWELVRISRDCSREDIKTLAHRTLGKIVEAGGLTSLLTLLKSSQDETIHRVAAGAIANLAMNDKLQAKLRSEHGIQALLGMVRCKHPDVHAQVARGIANFAKCESRASSQGTKSGRSFLIEDGALAWIVQNANNESSSIRRHIELALCHLAQHEVNARDMITGGALWELVRISRDCSREDIKTLAHRTLVSSPTFQTEMRRLRINY >OIW10936 pep chromosome:LupAngTanjil_v1.0:LG06:1868759:1874105:-1 gene:TanjilG_27882 transcript:OIW10936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAPIQFISAVSVIILLYLAQLAVSGDPNDFLLFRSGEEGSLAAMILPLHLSSPNSSISSFNPRRRIQRSDSKLHPNARMRLYDDLFRNGYYTTRLWIGTPPQRFALIVDTGSTVTYVPCSNCQQCGTHQDPKFQPDLSKTYQPVKCNPGCNCDNGKNQCMYERQYAELSTTSGVLGEDVVSFGGQSELAPQRAVFGCENVETGDLYSQHADGIMGLGRGDLSIMDQLVEKKVISDSFSLCYGGMDVGGGAMVLGGISPPADMVFTHSDRGRSPYYNINLKDIHVAGKRLPLNPEVFNGRHGTVLDSGTTYAYLPESAFLAFKHAITKELKSLKQIHGPDPNYNDICFSGAGIDVSQLPKKFPVVDMVFGNGHKLSLSPENYLFLHSKVRGAYCLGVFPNGNDPTTLLGGIIVRNTLVMYDREHTRIGFWKTNCSELWERLHISDSPTQVHQNPKVTNSTSAFVPSVAPSASHYMDMKPYITELTGLIARELDVNTSQVHVINFTSLGNGSLSRWVITPRPYADYISNTTAMSIIARLSEHRMQLPDTFGSYRLLDWNVEAPSKRTWWQQNHLVVALAVLLTLLVGVLAFGIFLILKNRQVMHSYKPVGVAVPEQELQPL >OIW09224 pep chromosome:LupAngTanjil_v1.0:LG06:30960046:30963042:-1 gene:TanjilG_11362 transcript:OIW09224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEAPEIFYVNGFSKFIHETQQNQCSDKNHDTSWYEEIIDDDLKWSYKLNSVLHKGISEYQEIALLDTKRFGKALVIDGKMQSAETDEFIYHECLIHPSLLSHPNPKRVFIMGGGEGSTAREALKHKSIDKVVMCDIDQEVVSFCRKYLVVNKEAFSHKKLELVINDAKAELEKRKDKFDIIVGDLADPLEDGPCYQLYTKSFYEKILKPKLNDNGIFVTQAGPAGIFTHKEVFTSIYNTIKQVFKYVKVYSTHVPSFADTWGWVMASDQPLSIGSEEMDRRIGTRVNDELLYLNGAWFHSSSIMNKIVSLSLQNETHVYTEENARFIHGHGVSHHL >OIW10973 pep chromosome:LupAngTanjil_v1.0:LG06:985199:987979:1 gene:TanjilG_22780 transcript:OIW10973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHFSLWKPISHCASLLMDKKNRRKDESTVDDKRNPSILRKLQENKLREALEEASEDGSLLKSQDIEPDPVGNQDKSLGRSRSLARLNAQREFLRATALAAERTYESEDAIPSFQEAYSKFLTMYPKYQSSEKVDQLRSDEYSHLLSPKVSLDYCGFGLFSFVQTIHYWESCTFSLSEITANLSNHALYGAAEAGTVEHDIKCRIMDYLNIPENEYGLVFTGSRGSAFKLLAESYPFHTNKKLLTMFDHESQSVAWMAQRAREKGAKAYSAWFKWPTLKLCTTDLRKQISSKKRRKKDSAVGLFVFPVQSRVTGAKYSYQWMALAQQNNWHVLLDAGSLGPKDMDSLGLSLFRPDFISTSFYRVFGYDPTGFGCLLIKKSVMASLQNQSGSTGSGMVKLTPEYPLYLSDSGDGVDKLIGVEDDENTGDCDKTSEARQPSQLPAFSGVYTSAQVRDVFETEMDQDSSERDGTSTIFEETESVSVGEVMKSPVFSEDESSDNSFWIDLGRSPLGSDNASEMNKQNIGSPLPNQKRHSPKPFSKIYGSPMYDDRLLSVGPHDDHNVMSFDAAVLSVSQQLDHVKEVPEEEHDAEVDQYSRNGNGSSRAYLNEIQEAPGTSEAVNAGCIAWKGTRLNNSNFIDQHRCLVDGSTSEICSEVKESAIRRETEGDFRLLGRREGNRCGGRFFGLEEIESISRGRRVSFSMEDNHKEYPRHTLEHGDVSVTSFDEEEVTSDGEYGDGQDWVRREPEISCRHLDHVNMLGLNKISLRLRFLINWLVTSLLQLKLDDKVNLVHIYGPKIKYERGAAVAFNVRDRNRGLINPEIVQKLAEKEGISIGIGFLSHIRILDNSKQHREAINLEDTTLCRPMENGRRDGKGGLVRLEVVTASLSFLTNFEDVYKLWAFVAKFLNPAFIREGALPTVQEGSET >OIW09382 pep chromosome:LupAngTanjil_v1.0:LG06:29976806:29977363:-1 gene:TanjilG_01353 transcript:OIW09382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRGRKACCAVSCLFLIIVIVVIVALALTVFKIKDPIISVHPLGLDHLQFTVDPNSKISVAMLITMVNRNFGSFRYIDSTGYVNYRGTIVAEIPILSHYVPARSTINVTTNAEFMVGKMIENPMFIPDFVTRKVINMTSRAELPGKVIVLKFIKIKAMAYSTCNISLNLYNKTADTNCISKIKL >OIW10984 pep chromosome:LupAngTanjil_v1.0:LG06:1096351:1097058:-1 gene:TanjilG_22791 transcript:OIW10984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNGFGKSTTSFSPPSPSCSGNNNNDAGDFECNICFDLAQDPVITLCGHLFCWPCLYRWLHHHSHSQECPVCKALVQEEKLVPLYGRGKNQSDPRTKSYPGMEIPHRPSGQRPQTAPPPPPNAAGANPFGNIGFGLMGGFIPMATASFGNFTLSTAFGGLIPSLFNIQFHGFQGANVYGSTAGYPFGSNTFHGGGNSHDFSQGTGQVQRQEDNVLKNLLLLIGFLVLLTVIFLC >OIW09300 pep chromosome:LupAngTanjil_v1.0:LG06:29281018:29282563:-1 gene:TanjilG_01271 transcript:OIW09300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRGSLNSSQFHTSLRNSKIALKYNALLEDYLDLQKEYVSKKKKIEAEKQKREVLLDEVRFLRQRHLYLMKSQCAKVEPELGPHQNADTNHLPVRKERNHFVKKTNLVHESNRSLKELVWKKPSIEKKPINFSINEKKSGKRIKVALKV >OIW09832 pep chromosome:LupAngTanjil_v1.0:LG06:13603500:13606641:1 gene:TanjilG_20539 transcript:OIW09832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIAIRSISLALKRFSLVQPPNPIRKNKAFFCKMSNESTPLTHSITLPSQHSQPVHIVASPGVSHSDFWSAIESSLFKQWLRNLETETGVLADGTMTLRQVLIQGVDMFGKRIGFLKFKADIIDKETGNKIPGIVFARGPAVAVLILLESEGETYAILTEQARVPVGKIILELPAGMLDDDKGDFVGTAVREVEEETGIKLSLEDMIDLTAFLDSSTGRRVIPSPGGCDEELSIFLYRGRVDKEIITQLQGKQTGLIEHGELIKVHVVPYKKLWRTTADSKVLMAIALLEMATKEGLLPPFAT >OIW09006 pep chromosome:LupAngTanjil_v1.0:LG06:31820418:31825571:1 gene:TanjilG_05982 transcript:OIW09006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVVSSTSCFLKLGVKASWDTQQRPSYNPNAPRKELNKKLTKSQSPPSPPPLISTKKEQYISDLLKRNNAPNPSTINGKQQQQQQQEDDADDNGVYLGYERWLPTPPKVVKPRSVFNAATLAYIGDCIYELYARRHFLFPPLGIEEYNVRVMAVVRCEAQDALLLKLLNSDFLSDQEKDVLRWGKNIDSTSKTKTKRRAGSAVYSRASSLETLVGYLYMTNVIRLEKLMSELGFSVDSSIALNVEEVVASELNNVKR >OIW09934 pep chromosome:LupAngTanjil_v1.0:LG06:12616349:12620541:-1 gene:TanjilG_32083 transcript:OIW09934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGANVKNKQAETPRFSARSGKLSTSEMKFLCIFVSIYVFGLILWQGNGEPVEDKEILLDFVKKFPPSRPLNWNENSSVCDNWTGVTCNEDKLRVIAIRLPGVGFHGSIPPNTISHLTSLQILSLRSNVISGNFPADFSNLRNLSFLYLQFNNLSGPLPDFSAWKNLTMVNLSNNNFNGSLPVSLSNLTQLAALNLANNSISGKIPDLNLPRLQMLNLSNNNLHGSVPKSLKRFPESAFLGNNVSLGVGNTAVSPLHHFPAYEPSLESKKHGKLSETALLGIIIACAVIGLVGFVLLVFVCCFRRRRSEDDAAFSEKLQKAGMSPEKAVSRNQDANNKLTFFEGCNYAFDLEDLLRASAEVLGKGTFGTAYKAVLEDATAVVVKRLKEVAVGKKDFEQHMEIVGNIKDENVVELKAYYYSKDEKLMVYDYYSEGSISSMLHGKRGEERVALDWDTRLRIALGAARGIARIHVENGGKLVHGSIKSSNIFLNAKQYGCVSDLGLATIMSSLALPISRAAGYRAPEVTDTRKAGQPSDVYSFGVVLLELLTRKSPIHTTGGDEIIHLVRWVNSVVREEWTAEVFDLELMRYPNIEEEMVEMLQIAMSCVVRIPDQRPKMSEVVKMIENVRQIDTVTQQPTSSDNHEQHKSPQCDDENSSFTPSSPYPKDK >OIW09777 pep chromosome:LupAngTanjil_v1.0:LG06:15655298:15657571:-1 gene:TanjilG_32215 transcript:OIW09777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGITGCMVDGNLDDTKFNKPMPWIGIYIAAASLACLIAMAADLIHGIRGRKLWFPCKYFCLNATSLALIAVAVKLSVDLNTPMPHRHDQLAKVSSSALICTIIGNSMPSLGVTENKEIMMNVMAMWILVITMIVNICIQFVTGVIYVFWIEHGIIMFLMLILLMNMASSAITLPKMKHYLVLKYMIALKECLHQVEGEEEVDQVIIKLRCRLMKYWMMAHTSSPQFVLGRSVTCTASGAFCLLSTITLGEAMLRSYLAPWSMKFCAGNCDYKWSTILVLIIQVAAVGIGTIAPAFRWFIAINYRCPKARNKRTKRFFKLEGYWTEKLILIKESPLGFRIHNRHYRKLAHDVKVLVLNFCIKLQMGIVLMSKATQYVSIFLMCWILTGCYYCTKLKSKFNNSTSSISCSGTDSCPVARLDLRRFVLHLEGEEELVEVMMRDNRDATNHWVQVGEKKQPKYVIELLEKSSMLQGFKGVGEFDSDHILSLDARETPNSWSLPLVTLASIIVALPNIKNCSAKKLIGAINEGLPYVKFIENNLDKEGKLLKLRKAADIVWLGVDLYGKWLGLDLHKLSLQNKSPKETLEILADAAKIRYQKFRAKYDHICTKVSPSSWPIKVLASNSMYRISQTILLNHVSMKDDNCERLFEPLTAMISDIMGACFTNLPHVISTTCSNSAIEEREESVRHAVYILGQTKKIIEMIEKRAFHNFDLCLGTNIEDWRSMHKQNSFLSSVKSSPEDDTQSPVSKSSEVCLNVD >OIW09140 pep chromosome:LupAngTanjil_v1.0:LG06:30335583:30357581:-1 gene:TanjilG_11278 transcript:OIW09140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSESHSDQFHLPTLTSSLFDPEEDSSIHDPNGVVHGSSSAHSQPSDDVSIRAHTASSQPSTPSSSGYVAEIEDVALQNEIQELSIHGDDQYHNALAYSNHDELIGKPHRSDEDDASISWRKRKKHFFVLSHSGKPIYSRYGDEHKLAGFSATLQAIISFVENGDFSKGQTFFHPVFRGDRVKLVRAGKHQVVFLVKGPIYLVCISCTEEPYESLVEQLELIYGQMIVILTKAVNKCFEKNPKFDMTPLLGGTDIVFSSLIHSFSWNPATFLHAYTCLPLAYATRQAADAILQDVADSGVLFAILMCRHKVISLVGAQKATLHPDDMLLLANFVMSSESFRQADTYLMLLTTSSDAFYHLKDCRIHIEMVLLKSNVLSEVQRSLLDGGMRVEDLPPLPRFGSSQLGQNRLQLDSPDRLREPNSGIGGDAGLWHFLYRSIYLDQYVSSEFSSPINTPQQQKRLYRAYQKFFVSMHDKGIGPHKTQFRRDENYVLLCWVTQDFELYAAFDPLADKHYPLSEKSYKYKNLLIVLFVKCVIVRLVLKNMAMSGTQHRESNSSGGSSIDKHLDSGKYVRYTAEQVEALERVYMECPKPSSLRRQQLIRDCPILSNIEPKQIKVWFQNRRCREKQRKEASRLHTVNRKLSAMNKLLMEENNRLQKQVSLLVCENGYMRQQLRIPSARAADASCDSAVTTPQHYMRDANTPAGFLSIAEETLTEFLSKATGTAVDWVQMPGMKPGPESVGIFAISQGCIGVAARACGLVSLEPTKVAEILKDRLSWFRECRSLEVFTTVPAGNGGTIELVYTQTYAPTTLAPARDFWTLRYTTTLENGSLVVCERSLSGSGAGPDAAAAAQFVRAEVLSSGYLIRPCEGGGSIIHIVDHLNLQPWSVPEVLQPLYESSKVVAQKMTIAALRYIRQIAQETSGEVVYGLGRQPAVLRTFSQRLSRGFNHAVNGFNDDGWSVVNCDGAEDIIISVNSTKNLSGTSNLATPLTSLGGILCAKASMLLQNVPPAALIRFLREHRSEWADFNIDAYSAASLKSGSYTYPGTRPTSFTGNQIIMPLGHTIEHEEMLEVVRLEGHSLAQEDAFVSRDIHLLQICSGIDENSVGPCSELIFAPIDEMFPDDAPLVPSGFRIIPLDSKPGDKKDATTGNRTLDLTSGLEVGLATSHAAGDASSCYTNRSVLTIAFQFPFDSSLQDNVAGMALQYVRSVISSVQRVAMAISPSGIDPAAGLDMMETTLVALQDITLDKIFDESGRKALFSDFAKIMQQCKFLLHNNISNVVAYDNDSASKLNSFFYMYRCINLLLNFQVYNLTFPFDL >OIW09738 pep chromosome:LupAngTanjil_v1.0:LG06:17331901:17332227:1 gene:TanjilG_23878 transcript:OIW09738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYMISETQPGFSVNKMQNIEHLEGKDIETVDAISSQLHLKPSLSSSSKESKPQPLDKQVVLRRIRQRKSYNKVKSVLEALVGSSEAHNSASSQESKWLQLGDNFSSP >OIW08983 pep chromosome:LupAngTanjil_v1.0:LG06:32018867:32025778:-1 gene:TanjilG_05959 transcript:OIW08983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIYILSLSRLLKGRSIPGKISSSQMVDQPDNSNLYSPTYKRSLSYNDVGTSYHTSETGKEEVHSKSKPFGSSDENKQKISTSAVETSSELVRKSSFRGRATDSTRVMKFTKVLSGTMVILGISSFLFSFMSVIDCLPYFSYMATTNYGNVICKCKLRAQTNILVTWLHLPLDIFGEKLRELAWSGVPDYMRPTVWRLLLCYDIPDTERSDDEINMLHQIAVDCPRTVPDVPFFHQQQVQKSLERILYTWAIRHPASGYVQGINDLVTPFLVVFLSEHLEGSIDHCDHTDGNQTSPPFSAASVSIPAASSSSNRSSPLPIITQSLTNLHTLQFSTSSGIDQLDCD >OIW10157 pep chromosome:LupAngTanjil_v1.0:LG06:7173747:7174271:-1 gene:TanjilG_27908 transcript:OIW10157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLWKSMSKSTNIDTSNSTILKTMPKSPQVPTPSSNKNNLRKSTSLKVANSFTRVCLCAPIYSYNDVFKAEVPPRRSNTYPRSKPSLQATTTHERIHVSSARLSTEGRRVFRGKSLTDDVLMRRFVIEEEAMMQVRRRNQMEVIKRRSMIWRKKLGPSPLSRMVMANDIGQFN >OIW09743 pep chromosome:LupAngTanjil_v1.0:LG06:16683831:16687624:1 gene:TanjilG_14266 transcript:OIW09743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLVLFQDSGYLTECSKARKKRVPTNDVQHTLKQEPTTELIKEIAVLELEVVYLENHLLSLYRKAFGQQSFPASASTKEERPKSLLKTPRERFIEGFKPECLTERGCSPVQSIDHEFDRPQKEQNGNELQSSGKGYNVSQLEGKYVDSGVCHSQSSLTQCSVFTTRASPSSESLTISERACHYQPLSVMKYDRRVDGSSNIISLAEHLGTDISHHIPDTPNRLSEDMIKCMSAIYCRLAEPPIANPGLSSPSSSLSSMSAFSIGDRGDMWSPGFRNNSSFDARLDNPFHVEGQKEFSGPYSTMVEVLWIYRETQKLGDTEQLLQKFRSLIYRLEEVDPGKLKHEEKLAFWINIHNALAILDYGIPPNNVRRVFLLLKAAYNIGGHTVSADTIKNTILGCTMSHPGKLLRTFFSMTKFRVGDGRQAYAIEHPEPLLHFALCSGNHSDPAARVYTPKRVFHDLEVAKEEYIQATFGVRNHQKILLPKLVESFTKESGLCHSGVMEMIQQSLPESLRKSVEKYHLAKSRKSIEWIPHNFTFRYLIPKQLIK >OIW10777 pep chromosome:LupAngTanjil_v1.0:LG06:3174756:3178892:-1 gene:TanjilG_27723 transcript:OIW10777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPRSSLTATITAIITILLLLPATTSQAQILKSEDHVYGPFNSSYIYGPLINIFHVIPSASINQGALQITPDSAGNISFHNNSGRIFYNKPFKLWDDHDGALASFNTSFLINVYRVNKTPGEGIAFVIAPSLSIPLNSYGQYLGLTNATTDGSGANKLVAVELDTVKQDFDPDDNHIGLDINSVRSNVSVSLSKLGIQISPNGTVFHVLWVEYDGERKVIDVYMAEQDDKDAPIAAKPTKPVLSSSLDLKSLVNQESYFGFSASTGVTYELNCVLRWNISMMVFPENKSKDWNGITIGLGVGVPLVLIGIGGLVYFLLKKKRAGSYSDPQIMGTLKSLPGTPREFIYQELKKATNNFDEKNKLGQGGYGVVYKGTLPKENLEVAVKMFSRDKMKSTDDFLSELTIINRLRHRHLVRLLGWCHKNGVLLLVYEYMPNGSLDSHIFCEEGSTIETTLSWHLRYKIISGVAYALNYLHNEYDQKVIHRDLKASNIMLDSDFNARLGDFGLARAIENEKTSYAELEGVHGTMGYIAPECFHTGKATRESDIYGFGAVLLEVVCGQRPWTKIEDYQLLVDWVWYLHRERRILEAVDPRLESNYVIEEAERILKLGLACSHPIASERPKMQAIVQIISGSVPVPHVPPFKPSFVWPAMDFSNFDSEITATSTTEYSPFNTQSSSVHVGLSDV >OIW10879 pep chromosome:LupAngTanjil_v1.0:LG06:2326718:2329872:1 gene:TanjilG_27825 transcript:OIW10879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNNMGSTVREKHIRANRKTRSQKSDSNSNSNSRCKSMLDNNKPCKHHVDSASNESSQNPNPIPNQNPNFGSSGWGLCTEEQLEQILSKNMENVYKDAVSKLVALGYDEDVALKAILQNGYCHNGMDVLTNILHNALGYLSSNESESDSEFESQTVFSDLKELERYTLAAMLSLLQQVRPNLSRGDAMWCLLVTDFHVEKAATIQIPVPDGNAVETGSTDNSKNIFGLMPPPLCTFHEGWGFGNGGTSQMALQLQRDIELPKRFNLSPQMKSLLKRNVAMFAAGFRANSNQLQIQPKAVPNSSTASSNSEFPAEKPGDSHNLNNLDAVNSVLLSKFPNLNLDEKLDFVAEDKKGEVIVTLFRQIKDLEKQVKDRKEWAHQKAMQAARKLSGDLTELKTLRMERDETQKLKKGKRTLEDTTMKRLSEMENNLRKASGQVDQANAAVRKLEIENAEIKAEMEASKLSASESATACLQVAKREKKCLKKLLAWEKQKAKMQQEISDEKQKISEIQEQLARIKQRQKEAEVKIGEELKAKEEALALIEEERRAKEAAEANNKRNLKALCLNIEIDFQRRKDDILRLEQELARLKASAQSANLHHKSNTSRTSESEGAKLQREAIAKLIQDFKNMEDFSEKEVSSDRQCIICKKDEVSIVLLPCAHQVMCVSCSEEYGRKGRAACPCCRVPIEQRIRVFGASS >OIW11184 pep chromosome:LupAngTanjil_v1.0:LG06:57232:58531:1 gene:TanjilG_22991 transcript:OIW11184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAASMSSSLGLFSIPTFHVTETHSPSLITLRPHAPPPSSVKLPVMRKVKTLATVSPPPPPPPPPGKTRGIMKPRRVSPEMQELIGLPEISRTQALKHIWAYIKQNNLQDPENKKVIICDEKLKKVFAGRDQVGMLEIAGLISPHFLK >OIW08994 pep chromosome:LupAngTanjil_v1.0:LG06:31915105:31916735:1 gene:TanjilG_05970 transcript:OIW08994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHHSCCNKLKVKRGLWSPEEDEKLINYITTYGHGCWSSVPKLAGLKRCGKSCRLRWINYLRPDLKRGSFSSQEAALIIELHSILGNRWAQIAKHLPGRTDNEVKNFWNSSIKKKLLSHDVIPSLSTSSGNGSMESFFPLSTENPILINSHLHNHLDHLYLPISSPILQGSYDHNGIKIDMNNYNSNFLHIQNPMLQTVSSNNPSFFEDSWSLGSVPSHLNPNQETQLTKSDATTHHYNIVEKFINPSTMQHYDLVEPNITVPKACDSIEDYVYTIPYNSSASSQEHEALERIQCYIPSICPQDDQTLVATNQVEYIDALIISSFHHSNQQQHLHQ >OIW10561 pep chromosome:LupAngTanjil_v1.0:LG06:5209753:5210372:1 gene:TanjilG_15933 transcript:OIW10561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGAVAVPGSLPPMQSLTSYQIPPFHQANPALRPFLPFPNGYAAPPAGHYQILNFQLQTFNSFITLSILFMKKKVENSLHIIPADVKVGGITCSLCT >OIW10129 pep chromosome:LupAngTanjil_v1.0:LG06:9570041:9572484:-1 gene:TanjilG_21966 transcript:OIW10129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSVIGNIYKTLKFSNWDSAQKELENLQLRWDSYTVNQVLKSHPPLEKAWLFFNWASRVRGFKHDQYTYTTMLDIFGEAGRISSMKHVFQEMVEKGIKVDSVTYTSMMHWLSSYGNLDEALKVWEEMKSKGCYPTVVSYTAFMKILFDNDRVKEATNVYKEMLQSGCVPNCYTYTILMEYLICSGKCKEAHEIFEKMQDAGVQPDKAACNILIERCSKIGETVLMTQILQYMKENSLVLRHPVFVEALNALKIAGVSEALLRQVNPQFYMDSGIRDKANDCNKNSAYSPTDIDKELLFVLIKKRNVVGIDHLLAGMMHKKLPLEHKVISAIIGVNCDRCRPHGGLLAFKYSVRMGISIDRTGYLALIGLLTRSNMFPKLVNIIKEMTRAGHSLGIYLASLLIYRLGCAKQHTFATKIFNLLPENHKCTATYTALISTYFSAKRVNQALKTYQIMCKKGCCPVLGTYNVLVAGLERNGRNSAAELYRKAKKNLHANSGSQKSVRMEEKICNLLFAADVVL >OIW09269 pep chromosome:LupAngTanjil_v1.0:LG06:28852155:28860544:-1 gene:TanjilG_01240 transcript:OIW09269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLGVGSLNGIQHVIEIAGSTGASTTASHDRHINGIDISRQEDIHSGARLTVSQPSVLTSSASNGSNTRNSLSVRRGETRRHRSPVHSALWISVELVLLVSQIIASIVVLSLSRHEHPRTPLFQWIFGYAIGCGATLPLLYWRYYHHNHIREQDSAQPRQTSPQISDPSGTLLSSSRTNGGEDVQAVVSSRSNHASVLANRRMKTLMEYFKISLDCFFAVWFVVGNVWIFGGHSSADDAPNLYSLCIVFLAFSCIGYAMPFILCSTICCFLPCIISILGVREDLAQNRGATSESINALPTFKFKMKKNKRSGESNSAAAEGGIVAAGTEKERVITGEDAVCCICLAKYENNDELRELPCSHLFHKECIDKWLKINALCPLCKSEVVENVRRSISREGASQQQHEIRVENGVASTAV >OIW09152 pep chromosome:LupAngTanjil_v1.0:LG06:30453661:30464313:-1 gene:TanjilG_11290 transcript:OIW09152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVLILCRRHDSQIPLVHLALEKLTYFRIKELKDVLTQLGLSKQGKKQDLVDRILSILSDEQVSRMWAKKNAVGKEQVAKLVDDTYRKLQGSGATDLASKGQGASDSSNVNVNVKAEIDDSFQSDTKIRCLCGSSLETEPLIKCEDTRCHVWQHINCVIIPEKPMEGTPPVPDKFYCETCRLSRADPFWVSMAHPLLPVRLTTTSIPTDGTNPVQSVEKTFQLTRADKDLITRPEFDVQAWCMLLNDKVSFRMQWPQYTDLQINGFSVRAINRPGSQLLGANGRDDGPIITPYTKDGVNKICLTGCDVRIFCLGVRIVRRRSMLQILNMIPKESEGERFEDALARVVSCVGGGNASDNADSDSDLEVVSDTFSINLRCPMSGSRMKIAGRFKPCVHMGCFDLEIFVEMNQRSRKWQCPICLKNYALENIIIDPYFNRITKMMRNCGEEVTEVEVKPDGSWRVKAKGEIERMELGNLSQWRCPDGSLSVSTGGEVNSVETSKLKQGGVSDSPAGLRLGIRKNCNGVWEVNKPDGTNTSSGNRLIKDFGNHEHVVIPMSSSSTGSGRDGDDRSVNQGGAGHIDYSTANGIEMDSLYHNNVDSAHGYAAHNTSAPVGAAEVIILSDSDEDNDILASPTLGYENNQTGDAGVVYSVPSHEVIDSYAEDQQLGGNPCSGLYNNPEEDDFGFSSSLWSLPSGTQAGPGFQLFSSDVDVSDALVHLQHGDINCSSSLNGYTLAPDTAALGSSTLIPDSSARRSDADLNGGLVDNPVPFAGEDPSLQIFLPTRPADSSVQDELRDQEDVSNGICTENWISLSLGGGASCSNGDASTTNGLNSRQQITSKEGPSNTSTDTVHGYFVHLESVEKLTSNHKASLLLGMNDVRSDKESRQRSDSPFSFPRQKRSEVKADMIGGIGGRVMKFVLPKYLHL >OIW08916 pep chromosome:LupAngTanjil_v1.0:LG06:32579679:32581477:-1 gene:TanjilG_05892 transcript:OIW08916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPIAVGDVIPDGTLAYLDDENKPQAVSIHSLAKGKKVIIFGVPGAFTPTCSLKHVPGFIERAEELKGKGVDEVICISVNDPFVMNSWSKTFPENKHVKFLADGSAKYTYALGLELDLTDKGLGVRSKRFALLVDDLQVKVANVENAGEFTVSSAEEIIKAL >OIW09240 pep chromosome:LupAngTanjil_v1.0:LG06:30047450:30052322:1 gene:TanjilG_26453 transcript:OIW09240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTILLSTTKLQEEYFKSSPQDVSAATNLEHLVFGLGSGKTLWSKRKEYVRLYWKPNKMRGCVFVGTLPNENNNDTSIPPLCLSSNTSKFHYTWGPKGHLSANRMTLIVKDIVEMNYSNVRWYVFGDDDTIFFPENLVKTLSKYDHRLWYYIGAHSESYLSSQYFSFGMAFGGGGFAISSSLAKVLAKVIDSCIERYHYLYGSDARTYSCITELGVGLTQEPGFHQVDMRGTSKLLNVHSSLEDVFEPTTLDHLVFGIASSKASWPKRKEYAKLWWKPNNNKMRGCVFVDSIPPKKDHTSNDTHLPPLCISEDTSRFRYTFRNGLRSAIRVARVVKEIVALNHSNVRWYVFGDDDTIFFPANIVKTLSKYDHRLWYYVGANSESCKQDWFFSFGMAYGGGGFAISSSLAKVLAKVFDSCIERYPHLYGSDGRVYSCITELGVTLTLEPGFHQVDLKGNIFGLLASHPITPLLSLHHLDSTNPIFPNMTTTKSLQHLLEAANVDSQRMLQQTVCYHKQFSWTISVSWGYVVQIFSNHVSLPDILKVQETFKEWKPKNDIAGAYTFNTIPLHHDPCKRPITFYLDNVSSGKNGITISSYRKSFQNCSYKMESVQKMEVIKVFTNKLDLSIKQAPRRHCCDVLPSRARDQMEITIRECKDDELIYMH >OIW10160 pep chromosome:LupAngTanjil_v1.0:LG06:7199370:7202217:-1 gene:TanjilG_27911 transcript:OIW10160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPGNGYCLALLSYSLLVSNIWNLLAVYQFHRQLERRDDFDDDAADVKGGGRWVNGSSKVSQFLNANLKKPKLVSGDDDLPKRDDIGERRRKHEQLQVLAGVGVKTEDDDDDHEMNDIGSNEVSDEADDVENDDSENEFYKQVEQQRAEKLAAKAASYSSRNKDKKNPRKNYKLKHQKAVKNRKGQVMVESYLELMLMQKTLGQHTGCNNCELLHGQLCGDCLYMRYGENVMEANGNPKWTCPVCREICNCSRCRRANGWMPTGNIYRKVLKMGFKSVAHYLIQTYRSEKSMEGSDAENTVAVKESETSADTTVNRRRRRRGLRS >OIW10346 pep chromosome:LupAngTanjil_v1.0:LG06:9023225:9024100:1 gene:TanjilG_28097 transcript:OIW10346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPAEVSALNRIKLHLLGELSPLAISTSNQSKPWFEFEEPNPSFSRSESQSQSSSTSESSASLNHYFTEPELEFPQFELEVKPDVVDLEIETPEIVTEVKKPQLSRKPSLVISVPKKTEWIRFQKQEPEPVQKVEPEEKKHYRGVRQRPWGKFAAEIRDPNKRGSRVWLGTFETAIEAAKAYDRAAFRLRGSKAILNFPLEVCALAENGDGERKRRREEREEEVEEVKAVVKKKKTVETVTEVKGVNETPLTPSVWTGFWDSDVNGVFNVPPLSPLSPIPALGYPQLMMV >OIW11031 pep chromosome:LupAngTanjil_v1.0:LG06:1480910:1481137:-1 gene:TanjilG_22838 transcript:OIW11031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYGMWEVKVLCCVHEPNTSAPLLQQPNQTYLLLDTINIEFQICKPTSKSKTLILPYVSMGNHIYCPQVVSPHGP >OIW09120 pep chromosome:LupAngTanjil_v1.0:LG06:30122561:30124712:-1 gene:TanjilG_11258 transcript:OIW09120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVHQDNLVHFSDFELNEFIDDPNSYQFINSIQVENEDPINNFGSNEFINDCFFDNQFQSYLIEKPIFDDHINNNVVNVYDPSSTLNSLSCFHDKIKGDGEEANDGVDSSATTTTETTTDPKSRLKTDRSKTLISERRRRGRMKDKLYTLRSLVPNITKMDKASIIGDAVQYVHELQAQAKKLKAEVTGLETSLLVSENYQGSIKNPLKFHGPHNIHPISKKIMQIDMLQVEEKGYYTKIVSNKGEGVAASLYKALESLSGFNVQNSNLSAVCDTFLLTFTLNVKGSNQEINLPNLKLWVTGALLNQGFEVMPFFHA >OIW09275 pep chromosome:LupAngTanjil_v1.0:LG06:28966207:28971706:-1 gene:TanjilG_01246 transcript:OIW09275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMDCSPEPCSIKKKDEDEESSCINTSQSPQLQESPFLKFISNLSPIQPVKSCHMTQGFVGLSSPPIVFMSPRISCHSETKFLERTQSLSADISESDNGGKSLVNELPGDSINLRSQLSLPGSFTTDTTQTDVVIKNDANTQNCSPSASVDEYLADPVDIDRIYSANPDVKLSDDAIETLKHGSEDGPGDRAEQTLLLSQESNKFHQEKPACVEEPGKVEEQKLSADVLETQYRHDSHAQCAGSELQEYSDQTPEPMPEPVKDAKECEDCDEMVSTSHVSTENISQCGSEATLKHHGIRRRCLQFGEVALGSNNSHANLNATSSKMKMVKLSEPVTSLFPQRCSGIAKPSGIGLHLNSIINAIPPGCAATTGIKSTLLISLHRMDNMKRCLISSNMDGQASIDTGNESHEIDASTAADSLNSESPSLAEPIPVNPASVHGKRKLSPTDAGNSEEFNRSSPIKKKKKISITNDENSCKRCNCKKSKCLKLYCDCFAAGIYCSDPCSCQGCLNRQEYQETVLETRRQIESRNPLAFLPKVVQHTTDIPSTNMEDANLTTPSSARHKRGCNCKRSMCLKKYCECYQANVGCSSGCRCEGCKNAYGRKEDYVPIEHALSKERVVEQGSDKTFYDKLDMVVSKPELYDLHRLSPITPSLQCSDQGKEAAKSRLLSGKYLPSPESADVNMIPSHKKYTKPVPDSHPEIDSYEWQMDQLSPTCNSAADVLTPVSSYPPEPISFSAITKESADIPQSRLSHAPIRHLSGGSLRWRSSPNTPRTRISETKYVDQSLESDSRRLFDILEDETPDILKEVSTPTNPVKANSPNQKRVSPPHGHSHLRGLGLSSSGGGLKSGRKFILKAVPSFPPLTPCADSKGNDDDHENLGNCSSK >OIW09465 pep chromosome:LupAngTanjil_v1.0:LG06:26535914:26536177:1 gene:TanjilG_22739 transcript:OIW09465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKKPKQMEDDAAVLHDLQPKVEKEMGAVQEDPVGSYSTQVKKQHDQDQDVASIILLIDHHVNIGLYLTCANARIIRPTNSENHQMR >OIW10359 pep chromosome:LupAngTanjil_v1.0:LG06:9128969:9133171:1 gene:TanjilG_28110 transcript:OIW10359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPFFSTPFQPYIYQSPEDAIIPFQILGGEAQVVQIMLKPQEKIIAKPGSMCFMSGSIEMENAFLPENEGGSWQWLFGKTITSIVLRNSGAIDGFVGIAAPSFARILPIDLAMFNGEILCQPDAFLCSVNDVKVINTVDQRGRNIVSGAEGFLRQKLCGQGLAFILASGSVVQKSLEIGEVLAVDVSCIVAVTRTVDIQIKHNGAARRAVFGGDNAVTALLTGPGIVFIQSLPFNRLSHRIARAVTSPNMRENPKFFIQIAIFFFLAYVVIVSSFILTDI >OIW10567 pep chromosome:LupAngTanjil_v1.0:LG06:5175192:5175914:1 gene:TanjilG_15939 transcript:OIW10567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTDSGEFELDLMANLRLQDENEYKQIHEQEEEEDEEEFTFMFTNPDGSPISADGALEDGQILPVFPVFDQDLLFSDDYDGASGIRSSIRKVFVQNQDNFPSSSAAEASESGSESDLAAPAESYCEWTPKSAVKSNSTGFSKLWRFKEHKLRSNSDGKDAFVFLHPPPTDAEKASSGEVRNVVVKKVKGKTTSSSAHEKNYVMYRAKKENEKHKSYLPYKQDLFGFFTNANGLSRNLHPY >OIW09386 pep chromosome:LupAngTanjil_v1.0:LG06:28302177:28304184:1 gene:TanjilG_20983 transcript:OIW09386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSAATTSHYEDQWELRNDDGFVYKLKKRRIDPSASQPPPPSTDAGGAAAAEEEAVLRRRERKKRNLLKLKAKYESEILQWDNLSNSLCAMEERAIELQQEREVMHSLASSSEVEKAVDRVGETLLDELLSQVEGQEAIIHDCSNICDVAEALCLKKEEQFKQSLFNLPVWASPRELMKSLCDD >OIW10521 pep chromosome:LupAngTanjil_v1.0:LG06:5552785:5554343:1 gene:TanjilG_15893 transcript:OIW10521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCANSPLFLSLFFFIAISLFYEASFGASVHQTDSNSEEIQNGNGFGRRVLLSFKENPSGSNVTFECAPSGPCVPCIYSEKGDDKYRCSETGYRIPFKCVETKDSIKDTKKTKAQKGRSSMEISDSIEKSHKVSHVDVDFTTPQSHRILLDDSSASDNKSQAYITYRSCIPPETDEKLSVLGFEFLALQISRKCVANSFAT >OIW11153 pep chromosome:LupAngTanjil_v1.0:LG06:275249:276417:-1 gene:TanjilG_22960 transcript:OIW11153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCFARLCCLALALTVSMFIAAPAYAQISTPCNASGLNTLFIPCSSFLTNSSANGATSPTAECCNSIKSITSAGMDCLCLVVTANIPFKIPINRTLAIALPRACKLPGVPVQCKTSGSPIPAPGPESLGPSVSPVSAPSLSPEGFSVLPSPVTPSLAPQSDTTAPPLSTPSSTTTRSGRSDLTPSSAKSSYSLIPSVVFIVLGFAVLKQY >OIW11087 pep chromosome:LupAngTanjil_v1.0:LG06:1842475:1843385:1 gene:TanjilG_22894 transcript:OIW11087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNPNHPSGDSNTDRKSPSSSPPQPPLPPSDDNDNSRGRRGRQEATTIMKTALQVLAELASDDSTNDGGGEGSGGGGVASMELAVGGGVGGSTVVVQEGVGGSGNQGKRAGKKRKSSDVKDPPSGKPCCPLCHKEFNSWKGAFGHMRKHPERGYRGFHKPPSFSTPSSLHARNQGDGEGNSTSSQPVGGVLFDLNEPLTAEAESSNADAERNEAPVSPPHPRPRAEENKLGFDLNELPGDDDNEDN >OIW10620 pep chromosome:LupAngTanjil_v1.0:LG06:4686738:4692208:-1 gene:TanjilG_15992 transcript:OIW10620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGSEGVRGSGTGNNRNGSVDPKIDPFVPRREHNPRELRSWAKRTGFVSDYSGEVGSSASVKFESLGRREGSSPKIEIDPIMGRTRPNRGNEIEPDSLDGDRKVGFRGSGNGNSNGIGMANRDNNGHGISAVAPVPEEKKEENVAQGDVKVNVYSEAEQPPTIADGDGGWQGPSELKCGLKENPGFVLLIYYGLQHYLSLVGSLVLIPLVMVPAMGGTDKDTATVISTMLFLSGITTILHSYFGTRLPLVQGSSFVYLAPALVIMNAQEYRNLTEHKFRHIMRELQGAIIVGSIFQCILGFSGLMSILLRIINPIVVAPTVAAVGLAFFSYGFPQAGTCLEISVPQIALVLIFTLYLRGISVFGRHIFRIYAVPMSLTIIWIYASFLTAGGAYNYKGCSNPDIPSSNILMDACRKHAYTMKHCRTDVSSALSTAAWIRIPYPIQWGIPIFHFRTSIIMVIVSLVASVDSVGTYHTASLQVNSRPPARGVVSRGIALEGFCSILAGLWGSGTGSTTLTENMHTIDSTKVASRRAVELGAVFLILFSFIGKVGALLASIPLALAASVLCFMWALTAALGLSTLQYAQSASFRNITIVGVSLFLGMSVPAYFQQYKPETNLILPSYLVPYAAASSGPFHSGIKQLDFAINALLSLNMVVTLLVALILDNTVPGSQQERGVYVWSRAEDVAVDPSLSSEYSLPKKVAWCCCWLKCLGV >OIW09406 pep chromosome:LupAngTanjil_v1.0:LG06:28574832:28577488:-1 gene:TanjilG_21003 transcript:OIW09406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGITILPFQMATKRFLLLISIAFAVILGFQYLELPYSNILFSLFSTNKIPTSESSTLQDEHALDVANKTRMSGEENITSNSEQKSGGPYANSPEIAPAYLNSPLSPITKVSPDITTMVSSNDYNVSLSRNDGNALDKNSSINSVPKENQGSPLPVSDVTTISEMNKLLLQSHASYRSMRPRWSSAVDQELLQARSKIENAPIVENDPNLYAPIFRNLSTFKRSYELMEETLKVYVYREGDKPILHSPYLVGVYASEGWFMKLIEADKRFVTEDPKMAHLFYLPFSSVMLVKTLYVPGSPSYENLIQYLHDYVDMIAGKHPFWNRTGGADHFLVACQDWAPSETKVHMAKCIRALCNADVKNGFVFGKDVSLPETHVRYAGNPTGELGGNSASNRTTLAFFAGKLHGYLRPILLQQWANKDPDMKIFGKLPKSKGNKDYINHMKSSKYCLCAKGFEVNSPRVVEAIFYECVPVIISDNFVPPFFETLNWESFAIFIMEKDIPNLKVILLSIPEKRYLLLQKRLKQVQQHFLWHKNPIKYDVFHMILHSIWYNRVFSATTT >OIW10027 pep chromosome:LupAngTanjil_v1.0:LG06:10680279:10680605:-1 gene:TanjilG_32767 transcript:OIW10027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIKRWNSSNGRSIQLGRSCNEIKQVNSVTTCGSGKLRWKMLWMKLKKEKKKLFECASSPLQVPYDPYTYSQNFDHGTALDEPDNLSRSFSVRFSDPSRAVLVKKRVI >OIW10392 pep chromosome:LupAngTanjil_v1.0:LG06:6746614:6747417:-1 gene:TanjilG_05540 transcript:OIW10392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIHAASEGILENVWSKIVSSDNAAESPNSWEELPNHDVSMEILKRLPSLGRWISMGAEFWEEILDGTILPDARNTEDSSNTNLEIDTANKPNKKIEEQESVVREKHYRGVRRRPWGKYAAEIRDSSKKGARVWLGTFETAEEAALAYDKAALRIRGQKAHLNFPLETVAKALGLDQKGIISGVTACVSRTFKEDCSASTYTGDKENYSNGRKRGSRGRKRFVDMVDDEQPATKKLATLEGILENELDVLVFHDLGSDYLENLLSSF >OIW11044 pep chromosome:LupAngTanjil_v1.0:LG06:1567942:1573821:-1 gene:TanjilG_22851 transcript:OIW11044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTKFRCMFDRICSSWKKSSSRPNGRRIFHRDVEKEEFQYASTHCLSSYYSVFVVRLAIMVMLAILIGLLTILTWHFTKIYTTKSLNSLAYGLRYELLQRPILRMWNILNSTAEITTAQVKLSQYVIRRYSNPASQAEQVELYEAMRAVTWAMFVSRKALNSITINYKNGFVQAFHRDLKDNNTFYIYSDLANYSIGSSNSNEMASFSTHQAWDDKAIRGNRSAIWYREPLDPLTGEKIGKAMQIAPDDLINIAGLSQVPDGVASWHVAVSKFTDSPLLSAALPVWDSSNKSIIAVVGVTTALYSVGQLMRELVELHSGHMYLTSQEGYLLATSTSSPLLTNSTKSLKLKMPVDCEDDVIRLGAEWLQRTYGNNFPAGDEVHVENVKLGHQQYYIDSFFLNLKRLPLVGVIIIPRKYIMGQVDERAFKTLVILISAALCILVIGCVCILILTNGVSKEMNLRAELINQLEARRKAEASSNYKSQFLANMSHELRTPMAAVIGLLDILISDDCLTNEQYATVTQIRKCSTALLRLLNNILDLSKVESGKLVLEDAEFDLGRELEGLVDMFSVQCINHNVETVLDLSDDMPNLVRGDSARVVQIFTNLINNSIKFTPSGHIMLRGWCEIPYSDSLSFPLEQKKSCRVQNTREKQNANHAKRISKKDIKVKLWFEVDDTGCGIDPSKWDSVFESFEQADPSTTRLHGGTGLGLCIVRNMVKKMGGEIKVVKKEGPGTLMRLCLLLSAPIDVTEQHCAIDFTNNGLVVLLALHGNMGRFIASKWLQKNGVCTMEASEWNGLTHILREIFHARSSVHNNDFDAHYQVNEGLKSKLLHIQDMRNPTFVIVVDIDILDLSTDIWKEQLNFLYKYFVRAKFVWMLNHDTSNTIKMELRRKGHVVMVNKPLYKAKIVHILEAVIKERNLQLQKKNMTDPRTTMKENDLHEFLEIDSTHFGAATSDDSDISEMSGSNPASASGDNNVRQEESCHSTPNSNYASEDIELKPLSTKEISYPTDAKSQESECDETNKVSSSSKVVNAKKSLEGLKILLAEDTPVLQRVATIMLEKMGASVVAVGDGQQAVDALNFILGVEDCRRESLQKERNTRSQKDILTCPSYDLVLMDCQMPKMDGYEATKAIRKSEVGTGLHIPIVALTAHAMSCDEAKCLEVGMDAYLTKPIDFKKMVSTILSLTKRES >OIW10268 pep chromosome:LupAngTanjil_v1.0:LG06:8281210:8281404:-1 gene:TanjilG_28019 transcript:OIW10268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCVHSSSNNCHCYPLQMWNSGATNICIRNINIRRSTEVTSAHAFIALSVIPTCSRRDVNTNCN >OIW09312 pep chromosome:LupAngTanjil_v1.0:LG06:29429277:29430655:1 gene:TanjilG_01283 transcript:OIW09312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGGTKTSTRRLLLNNKFISKAEKHSSSSSWWNNFFFQDDGNWFGLKEDDMLEMEVEKGETELSEENKFEAWKQRAEAIIELREAQQDNMNQDSRKWEDWLLLDSGSDSDSDSDSWMKDYYNDNKVPPDYDYRDEERGLVKSIRSFIYGGQQQDEDMLYEDRVFQYASSNSAKFLAVLIIIPWALDFLVHDYILMPFLDRYVKTVPLAAQVLDVRRYQKLQIVEELRTERKRFEFEVEIGKSPPLSDDDIWWELRHKA >OIW10325 pep chromosome:LupAngTanjil_v1.0:LG06:8828678:8835103:1 gene:TanjilG_28076 transcript:OIW10325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTLRSSISFINQKETNFLKASDDASTTVSFAKNKPLFPFRAKSSMQEAQKSFNLEARRTEKGEMVHAPSVVHHKHNDDSKKVPVFVMLPLDTVTFGAQLNKPRAMNASLMALKSAGVEGVMVDAWWGLVEKDGPLKYNWNGYVELVQMVQMHGLKLQVVMSFHKCGGNVGDTCSCNYMIFNDLCHCNLQPDSETQLLTIQFEWNGVLKSVSSTLIGVSPEFEVALYTLCFYAGEEDNHIQLGPYSVNIKCYHLGDRIGSVFPISDS >OIW09794 pep chromosome:LupAngTanjil_v1.0:LG06:15046024:15055457:1 gene:TanjilG_32232 transcript:OIW09794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVKEMHPLCCISLENPGIGSHSPEPDTALLSRTKSLPASFAASGSELVNGGGSEATVAGILYKWTNYGKGWRSRWFLLRNGVLTYAKIRWPENLNLLSPLDDVRLIGEVSANRLSRMDSASATMRRKNHKPPSSSGVVHLKISSFRESKSDDRKFYIFTSTKTLHLRTDSRKDRVAWIQALVSTRGLYSLQPLNDHISLASNHISVSTERLKKRLLEEGTNENLVKECEQIMLTEFSDLQGQLKILFQERTDLLDTIRQLEAANVEADASAIHDSEYQLTKNVLSRLGRGKFSECSTTESSDDIEKQELEEVSDEDEIIYYDTREDFTEPGFECGSIGALDQVNKSGEANTQCTNMENNYVYPQIARRRKLPDPVEKEKGVSLWSMIKDNVGKDLTRVCLPVYFNEPISSLQKCFEDLEYSYLLDRAYEYGKSGNSLQRALNVAAFAVSGYASSEGRHCKPFNPLLGETYEADYPDKGLRFFSEKVSHHPTVIACHCEGKGWKFWADSNIRSKFWGRSIQLDPVGVLTLEFDDGEIFQWSKVTTTIYNLILGKIYCDHHGNMDIRGNRHHSCKLKFKEQSILDRNPHQVQGFVEDVMGQKVATLVGKWDESLYYINGDVNVKPKDFTSLDASLLWKRTMPPANLTRYNLTSFAITLNELTPGLKEKLPPTDSRLRPDQRHLENGEYEKANIEKQRLEKRQRMSRKMQENGWKPRWFYQGGENGTFGYIGGYWEARAQGKWNECPNIFGEFNEDIVDHSDAS >OIW09861 pep chromosome:LupAngTanjil_v1.0:LG06:13291365:13294484:-1 gene:TanjilG_15343 transcript:OIW09861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFAMQCSSYSYSTSLLHSHPIRPTLLSFHANYHSKRFNTAPRNHRVIISAAFDDRSSDLDSEIKRRKVVEHVCLVKAKEDLSEEEENDMLDYLYTTQYQMGGVVATSLGRVSGPNPEHYTHAIYMRFQGKENLEKFYENPFYLKVLKEHVMTYCHGLINVDYESEVEDDMLSIFRKGEEFNYGVEFVLLISFNEGALDNLVEHALDSLASLVLGFPSLIVQFTQGLNFSPSSKEYTHGVVIRFRSVEAFEIFIVSKEYKDVWLYKFQPIVRKSLSVHFSVDPVGTKIM >OIW10124 pep chromosome:LupAngTanjil_v1.0:LG06:9609081:9611621:1 gene:TanjilG_21961 transcript:OIW10124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHFPTAISLFTQLELKGITPSIATFTILINCFCHEGHMAFAFSLLGTIFKKGYDPNIVTFNTLVNGFCVNGMMTKALGFVDELMAKGYVFDEFTYGSLINGLCKNGQTSAALRLLYKMEEVLVRPNVVMYSAVIDGLCKDGLVNEALGLCSRMSAKGILVDVVTYNSLVRGCCRVGQWQEATQLLTIMVQENVGPDVCTYNILVDALCKEGRIVEAQGVFAMMTKRGEEPDVVTYNAMMDGYCLKNNVIEAKQLFDRMVERGLEPDVLNYNVLINGYCKIDKVDEAMILFKEIRDRNLHPNIATYNSLIGGLCKIRRLSYVQELLNEMRESGQPPDVVTYNILLDVFCKTEIFDEAISLFRQIVVQGSCSDFYMFDVTVDDLYNVEKLKTAEKVLQHLLIHGCRPNVQTYTIMISALCKDGSFDEAMTLLLKMDGNGCPPDAVTFETIIGALLERNETDKAEKLHQEMIARGLVKNETSHHYLSVWLTSLLPFSLMISLNPAFFLSSKLAYLILIMFHMFDLNPDVPSYSLDNCTFHDQGRTQISVFLILGAFEDSVSVHSLVDFARVM >OIW11011 pep chromosome:LupAngTanjil_v1.0:LG06:1303114:1306054:1 gene:TanjilG_22818 transcript:OIW11011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANHEESSARNSDFSQTKLNERIVSSISQRTVAAHPWHDLEIGPGAPSFFNVVIEISQGSKVKYELDKTSGLIKVDRILYSSVVYPHNYGFIPRTICEDGDPMDVLVLMQEPVLPGSFLRARAIGVMPMIDQGEEDDKIIAVCADDPEYRHYTDVKELPPHRLAEIRRFFEDYKKNENKIVEVEDFLPAKAAVNAIKYSMDLYASYIVESLRQ >OIW09750 pep chromosome:LupAngTanjil_v1.0:LG06:16874484:16874636:1 gene:TanjilG_14273 transcript:OIW09750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEETADVKDYDAVKHVVEDEGSIIIFVLNHGFLKVLDLEKRELSDVFVL >OIW10690 pep chromosome:LupAngTanjil_v1.0:LG06:3934690:3938990:-1 gene:TanjilG_16062 transcript:OIW10690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKLTKHGSSSMVRKITQLSSDLDQESENVSVGLATYTVHIPPTPDNQPMEIALERSNTRRVVDQYASSSIFTGGFNQATRAHFMETVTESDSSDPQMTGTNGSSCAVPGCGGKLLADERGLDILYCDCGYKICRDCHRDALSSDEGICPGCKEPFKDLDMQDASMVNHQALPLPHGDEVPRMERRMSLMKSGPLARSQTNDQNLSRSETNEFDHTQWLFETKGSYGYGNAMWPKDSENDASSGSEWMGGDPNAFQDKQWKPLTRKLSISAAILSPYRLIIFIRLVVLGFFLTWRVQHPNDEAVWLWGMSVVCEIWFAFSWLLDQLPKLYPINRVADLDVLKEKFETPSPLNPAGKSDLPGMDIFVSTADPEKEPPLVTANTILSILAVDYPVEKLSCYVSDDGGALLTFEAMAEAASFANLWVPFCRKHDIEPRNPESYFSLKKDPYKNKVRSDFVRDRRRVKREYDEFKVRVNALPDSIRRRSDAYNAREEIKAMKLWREKGTDEPRESMKISKATWMNDGTHWPGTWTTSAPEHSRGDHASIVQVMIKPPNDEPLAGTTSDSTDIDLTEVDIRLPMLVYVSREKRPGYDHNKKAGAMNALVRASAIMSNGAFILNLDCDHYVYNSEALREGMCYMLDRGGESICYVQFPQRFEGIDPSDRYANHNTVFFDVNMRALDGLQGPVYVGTGCLFRRIALYGFDPPTVKEETGWFGRKNKKSSSVASVPEVGYSEDQSLRNGSTDDEEMNILLIPKGFGNSSLFVDSIRLAEYQGRPLADHQSIKNGRPPGALIRPREPLDAATVAEAISVISCWYEDKTEWGDRVGWIYGSVTEDVVTGYRMHNRGWRSIYCVTKRDAFHGTAPINLTDRLHQVLRWATGSVEIFFSRNNALLASSRMMFLQRIAYLNVGIYPFTSIFLIVYCFVPALSLFTGKFIVQTLQITFLVYLLGITITLVLLAVLEVKWSGIDLEEWWRNEQFWLIGGTSAHLAAVLQGLLKVIAGIEISFTLTSKSGGDDENDEFADLYMLKWTSLMIPPLTIMMMNVIAIAVAVSRTIYSNDNKWSSLLGGVFFSFWVLAHLYPFAKGLMGRRGRTPTIVYVWAGLISITISLLWVAISPPSGNSQIGGSFQFP >OIW10600 pep chromosome:LupAngTanjil_v1.0:LG06:4881062:4881502:1 gene:TanjilG_15972 transcript:OIW10600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSATFQVFMILGLLATSCIAQGPRAAPSHSPTTTPSPVTPPTTTPPPPPKSAPSPAPTKATPPPPSHAPTHAPAHAPTTASPPSHTPASTPSKAPTSTPPPASGPGPASHAPHPPPAHTPSSAFSLSKAVITGTALAGTIFAMLA >OIW09125 pep chromosome:LupAngTanjil_v1.0:LG06:30169186:30172385:1 gene:TanjilG_11263 transcript:OIW09125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAPSYSTNNDLHFSSTASLPKLQAEKLIRGLNLFPKYSINTPLSDPYFVPGKIVEKKFSFLNFDDSLGPSVQEFGHHAGYYPLPQSKAARMFYFFFESRNSKDDPVVIWLTGGPGCSSELALFYENGPFQFSKNLSLVWNDYGWDKASNILFVDQPTGTGFSYTSDDNDIRHDEEGVSNDLYDFLQAFFKEHPQFLKNDFFITGESYAGHYIPALASRVHQGNKAKQGVHINLKGFAIGNGLTNPEIQYQAYTDYALDRGIIRKADYNSINKLLPACQKAIKTCGTEGGDTCVSSMYVCNDIFNQIMSIAGNINYYDIRKKCEGDLCYDFSNVEKFLNKKTVRDALGVGDLDFVSCSSTVYDAMMQDWMRNLEVGIPALLEDGIKVLVYAGEEDLICNWLGNSRWVHAMEWSGRKEFGASPTVPFLVDGAEAGELKSHGPLTFLKVNEAGHMVPMDQPKAALQMLRSWTQGKLAMTRSGDNVSPN >OIW10427 pep chromosome:LupAngTanjil_v1.0:LG06:6332346:6340107:-1 gene:TanjilG_24987 transcript:OIW10427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAESLLYQNGVGSNGDLGTNSNTSAPAKKSRESDRRRRRRKQKKNKQASQEPDSNAAEDGDDTKENNDPHQVFEQVEIEYVPEKAELDEGLDAEFRKIFEKFSFSDVTGPEDNDKLDESAENVTASKKADSDSEEEENDNEKKEKGGVSNKKKKLQRRMKIAELKQICSRPDVVEVWDATAADPKLLVFLKSYRNTVPVPRHWSQKRKFLQGKRGIEKQPFQLPDFIAATGIEKIRQAYIEKEDSKKLKQKQRERMQPKMGKMDIDYQVLHDAFFKYQTKPKLSSLGELYHEGKEFEVKLREMKPGMLSHELKEALGMPEGAPPPWLINMQRYGPPPSYPHLKIPGLNAPIPHGASFGYHPGGWGKPPVDEYGRPLYGDVFGVHQEDQPNYEEEPVDKTKHWGDLEEEEEEEEEEEEEEEEEMEEEDLEAGIQSVDSLSSTPTGVETPDVIDLRKQQRKEPERPLYQVLEEKEEKIAPGTLLGTTHTYVVGTGTQDKSASTKRVDLLKGQKSDKVDVTLQPEDLDALENVLPAKYEEAREEEKLRSQREDFSDMVAENENKRKRKMQEKEGKSKKKSFKF >OIW11055 pep chromosome:LupAngTanjil_v1.0:LG06:1646377:1648309:-1 gene:TanjilG_22862 transcript:OIW11055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDEFKKFVIRMNPPRVTHTSIKLGVLTLVVSRHIQLPSVLKNVSGNQLWKKSKHYSVRAYKVKSSLYDIMAEVYKNGPVEVAFSVYEEFTTITGGALGGHAVKLIGWGTSDDGEEYWLLANQWNRSWEDDGYFKIKRGTNECGIEDDITAGLPSS >OIW10306 pep chromosome:LupAngTanjil_v1.0:LG06:8581301:8582884:-1 gene:TanjilG_28057 transcript:OIW10306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAYNLFLEECNLDIDDKEFHSHDIASAMQGNTSSNSSSITENLSPKISPPSSILSFDQSQILSFDNNNNAQFYGFESTLNPPKQNETVSVSLPKLGNTLISAQTTEGASTNQKSETKTTFGKRSASHSRDHIMAERKRRKKLSQSFIALAALIPGLKKMDKASILGDAIKHAKELKERVAILEEESKQTRVVVLKKQQVNIGDNDDSSSCDNESIENASGSEPLLQVGARISGQDVLLRIHCQRQKGLLVKILEQIQSLNLFLVNSSVLPFGDSTLEIIFIAQMGKDYNLSIKDLVKNIRMATLKCLSVIIT >OIW10405 pep chromosome:LupAngTanjil_v1.0:LG06:6637191:6637571:-1 gene:TanjilG_05553 transcript:OIW10405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKKSSKIAPSQLQENPVVKEEKPSSASKKAGSEIDEIFASKKRKKPESKKSRKHDGATKTIDKTKKTKRDKKTKGPDDGGFADAPSRPRKKTGDGLTIYTEEELGLNSADVGGTPLCPFDCSCCF >OIW10356 pep chromosome:LupAngTanjil_v1.0:LG06:9100609:9107681:1 gene:TanjilG_28107 transcript:OIW10356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGVDHLAPERNKAEIDVDSLKIVWAGSSHDLQLSERMARLVASDPAFRKDNRPILGRKELFKNTLRKATYAWKRISELRLNEEEAYRFRTFVDEPAFTDLHWGMFVPAIKGQGTDEQQQKWLPLAQKMQIIGCYAQTELGHGSNVQGLETTATFDPKTDEFVIHSPTLTSSKWWPGGLGKVSTHAVVYARLIIDGQDHGVNGFIVQLRSLDDHLPLPGITIGDIGAKFGNGAYNTMDNGVLRFNNVRIPRDQMLMRISQVTREGKLVKSSVPRQLVYGTMVFVRQAIVADASVALSRAVCIATRYSAVRRQFGSHNGGPETQVIDYKTQQARLFPLLASAYAFRFVGEWLKWLYTDVTQRLQAGDFSTLPEAHACTAGLKSLTTSVTADGIEECRKLCGGHGYLCSSGLPELFAVYVPSCTYEGDNVVLQLQVARHLIKTISQLGSGKKPIGTTAYLGRLEQLLQYRSDVEKAEDWLKPNVVLGAFEARAAKKSVAVAQNLSKFSNPEDGFQELSADLVEAAVAHCQLIVVSKFIEKLQKDIPGKGVKQQLEHLSSIYALFLLHKNLGDFLSTGSITPKQGHLANEQLRSLYSQVRPNAIALVDAFNHTDHYLGSVLGRYDGNVYPQLYEEAWKDPLNDSVVPDGYQEYIRPLLKQQLRNARL >OIW10368 pep chromosome:LupAngTanjil_v1.0:LG06:9206274:9209835:-1 gene:TanjilG_28119 transcript:OIW10368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTAARPTWAPAKGGNEQGGARIFGPSQKYSSRDIASHTTLKPRKEGQDTNEELKRRNLRDELEDRERRHFSTKNKSYNDDRDHGKGSHLLLEGPRRDIEDRIVARNVDADDSDVEVKSDDESDDDDSDEDDTEALLAELEQIKKERAEEKMRKERQEQEEELKVKEAELLKGNPLLNNPTSFNVKRRWDDDVVFKNQARGENKVAKRFINDTIRNDFHRKFLHRYMK >OIW09410 pep chromosome:LupAngTanjil_v1.0:LG06:28605124:28620033:-1 gene:TanjilG_21007 transcript:OIW09410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFYASKKRKAVSPATKVGKSEKGARHGGDGSPSAKGTLDSYLVASQDDCNAARLSNMPADSLTRNDLVKRNLALEINSASDNELDKPPVYSARGHQEFEANQLRPINELPKVSSMEVQDPVQENNCNDFKQGAVKSELKHFAEDFLSLYCSDLRPSINSPSEMKVDDHKRRDSTTLEVESNSLAQKFSDTGKCGENISSAKIPEDIKSGSCSTKVSGGGDTMALDTSLRKCSYTPKSPKNMGEYCTPGSLIVKTRVKETPKSTRGSSMFSPGEAFWNEAIQLVDGLSVPMVNGSKDTVMEERLEMESSCNVQNCDGKPRKIFDESKSKLWNTEMSTSQGLIGMHTKDPLKEESRLPVKHFDFSCEDSNLDEKTMQNCSAGNLTNINFGAGRGCKSGSSKGHMHGKMNEEQEDILVDAICKRVNFSRQDNVSMAFNSPLYDARTAISAHASDESTPSSGVSLNDHLDLNSWLPPEICSIYRKKGISKLYRWQVDCLRVDGVLQRRNLVYCASTSAGKSFVAEILMLRRVITTGKMAILVLPYVSICAEKAEHLERLLEPLGKHVRSYYGNQGGGTLPKDTSVAVCTIEKANSLINRLLEEGRLSEMGIIVIDELHMVGDRSRGYLLELMLTKLRYAAGEGISKSSSESSGGSSDKADPAQGLQIVGMSATMPNVAAVADWLQAALYETEFRPVPLEEYIKVGNSIYNKSMELTRTISKAADLGGKDPDHVVELCNEVVQEGQSVLIFCSSRKGCESTARHVAKFLKSFIADVHEKDCEFADINAAIDSLRKAPAGLDPILEETLPCGVAFHHAGLTVEEREIIETCYRKGLLRVLTATSTLAAGVNLPARRVIFRQPRIGRDFIDGTRYKQMAGRAGRTGIDTKGESVLICKPEEIKKVTGLLNGSCSPLHSCLSEDMNGMIHAILEVVAGGIVQTASDIHRYVRCTLLNSTKPFQEVVKSAQESLKWLCQRKFLEWNEDTKLYSTTPLGRASFGSSLCPEESLIVLADISRAREGFVLASDLHIVYLVTPINVDVEPDWELYYERFVKLSPLDQSVGNRVGVTEPFLMHMAHGAPIRASNKSRDNTRWLHNTRGNQLGISSGTVNSDDQTLRVCRRFYVALILSLLVQEAPVGEVCEAFKVARGMVQALQENAGRFASMVAVFCERLGWHDLEGIVAKFQNRVSFGVRAEVVELTTIPLVKGSRARALYKAGLRTPLAIAEASIPEIVKALFESSSWATDEGSARRISQFSVAKKIKNGARKIVLDKAEEARVAAFSAFKSLGYNVPQFAPPILSAAASDSIRKEAGSPSGSDTADTSHSVVAADHINNSNKFPLVKERGDLVKSLDNAPLAPVDGKLGREMPYSISTEKSEVPVVGSTRNELKATFNLTKNSDMTTVSVQLQKTNDKTSIHEGGHARGIDEHQSGNLASGNGVSSSQRGPINAVSSLGGLDQFLDLWDTISEFYFDIHYIKRMELHSAAPFEIHGMAICWEGSPVYYINLPRDILLSDSRKNGCLPLSGHSPKLKVSSSNSKEGLEIAMHRWSRISKILGDKNVRKFAWNLKIQIQVLKKPTVSVQRFGCLDNLGNTVDLEIVDNSYVLLPHIHVKDAIDMCVVAWILWPDEESSSKPNLDKEVKKRLSAEDAATATQSGRWRNQMRKAAHNGCCRRVAQTRALCSVLWKLLVSEKLVEVLMGIEIPLLNVLADMELWGIGVDLERCIQARKLLVKRLRYLENEAYKLAGIKFSLSMPADIAKVLFEHLKLPIPDSRNKGKQHPSTDKHCLDALRHEHPIVPVIKEHRTLAKLLNATLGSICSLARLSVSTQKYTLHGHWLQTSTATGRLSMEEPNLQCVEHAVEFKMNEDKNGGDADDSCCTINARDFFVPTQDNWLLLTADYSQIELRLMAHFSKDPSLIELLSEADGDVFTMIASRWIGCPEASVGSHDRDLTKKMVYGILYGMGANSLAEQLDCTSDEASEKIRNFKRTFPGVASWLLEAVASCRNKGYVETLKGRKRFLSKIKLGNSTEKSKAQRQAVNSICQGSAADIIKIAMLRIYSVIVTGVDSADSSSSVATKFSMLKDHCRILLQVHDELVLEVHPSMIKEAAMLLQTCMETAISLLVPLQVKLKVGRTWGSLESYTPETMLKYDAQINEP >OIW10546 pep chromosome:LupAngTanjil_v1.0:LG06:5328136:5332773:-1 gene:TanjilG_15918 transcript:OIW10546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMGATTTNASKDQSLSLIKTLLPIALLPIAILCIYFYPLSFTSPEKEKAYEYSCDYSYGKWVKDKRGPLYNESTSSKIKIKRSQNCIANGRPDSDYLYWRWKPSQCNLPRFEPHTFLQLIKNKHVAFVGDSLARNQIESLLCMLATASTPKRVKHQGSRRWHFASYNANLSFYWSSFLVQGVQRSESSQKGPNFNTMYLDHVNERWARDIDQMDLIVLSFGHWFLVPSIYYEGDSVIGCLNCTGLNYKEIGFYGPLRKALRTSLNSIIERKAAKGNRIDVIVETFSPSHFEGDWDKGGTCSKSTPYREGEKQVEGTDAEIRKIELEEVENAKAYGKQFEGFRLEALDVTKLALLRPDGHPATEFPHSRLITITSHSSLPFLSVPPTSPPPKEKEKAYEKPCDYSNGKWVHDKKGPLYNDTTCGTIKYGRNCITHGRSDTGYLYWRWKPRRCNLPRFESPTFLQIIRNKHVAFIGDSMARNQLESLLCMIATASTPNLVYQKGEDNKFSRWHFPSHNASISLYWSPFLVQGVEKSETKPYNELYLDHIDEKWGRDIDQMDLIVLSFGHWFLLSAVYYENDSVLGCHYCPGLNHTEIGFYDVLRKALRTTLNSIIDKRGTKGNGIDVIVTTFTPHHFEGDWDKAGACPKIRPYRNKEKKLEGMDAEMRKVEIEEVENAKAKASEFGRFRLKALDVTKLALLRPDGHPGPYMNPFPFANGVQEHVQNDCVHWCLPGPIDSWNEIFLEMMKMWE >OIW11068 pep chromosome:LupAngTanjil_v1.0:LG06:1737044:1738485:1 gene:TanjilG_22875 transcript:OIW11068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNATISEIEQEELLEKLQVFKIKGKDKNGRKILRIIGKFFPARLVSVDVLKKYLEERVFPMLGKRKFSVLYVHTGVNRSENFPGISGLRSIYDAIPVNVKDNLEAVYFIHPGLQSRLFLATFGRFLFSAAGLYGKVRYVSRVDYLWEHVRRKEVEIPEFVIDHDDDLEYRPMMDYGLESDHARVYGGPPTVDSPVSTYSMRCIS >OIW10309 pep chromosome:LupAngTanjil_v1.0:LG06:8616810:8618707:-1 gene:TanjilG_28060 transcript:OIW10309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVPLAPYPTPPAPAANGAQSQLVCSGCRNLLLYPVGATSVYCAVCNAVTAVPPPGTEMAQLVCGGCHTLLMYIRGATSVQCSCCHTVNLALEANQVAHVNCGNCRMLLMYQYGARSVKCAVCNFVTSVGASGSTNEQKFST >OIW10036 pep chromosome:LupAngTanjil_v1.0:LG06:10586495:10590501:-1 gene:TanjilG_32776 transcript:OIW10036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSDERKSFQSEYESDGDGPSPLRWTARRTEASDDDDSFEGEVVKRKFRCGSRCDESESECNDEGEPEYSEGGVVVIGEELEEVSQEEKEEEVCETVRFVKGEENQVLSPDFGPKHGFFYMHDDRFQSNRRYGSRRGSMIPKNGWDWTGEQKWKHDKFEEITTVAMKCVSNHENMMNKYDSPFQDKNAPKNHHQHQRENRSKGHRYEKKDQVGPLENFGNPNKTSRIVKGRGPVKYRSTVESNNLNPSSKHQRSAKLKGSTSTSSSHGVLSLAFAMDSLPFSKSVTSHHLSTVKQPVSLPIPNVLQQKKTVFADEMSLQKKVYSFCSFATEVSMATVFSLGGLWMIPKRRRAHMLANVD >OIW09849 pep chromosome:LupAngTanjil_v1.0:LG06:13457652:13459511:1 gene:TanjilG_15331 transcript:OIW09849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTESTKDPTSVYDFTVKDSNGNDVDLATYKGKVLLIINVASKCGLTNSNYAELNQLYNKYKDKGLEILAFPCNQFGEEEPGSNDQIVDFVCTRFKSEFPIFDKIEVNGENSIPLYKFLKTAKWGIFKDDIQWNFAKFLVDRDGQVVDRYYPTTSPLSAEQDIRKLLGIV >OIW10900 pep chromosome:LupAngTanjil_v1.0:LG06:2152896:2156473:-1 gene:TanjilG_27846 transcript:OIW10900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIFYQPHIDPEIESLIERIHPPRVCIENDSCRNCSVVKVLTDLDLNISRSYISSDGGWFMDVFHVTDQAGKKLTDQNLMLHIQKALCAPRSRGQGNPEVCKEPKSQQNVPKENTALEITGMDRPGLLSEISAVLVDLGCHVTSAMAWTHNDRAACIIYVEDAIKPGPINDPTRLARVEEQLETVVEAHRGKGERKSVRLTTFAAGRTHPERRLHQLMYADRDYESCRACHGDSSGEHKKGCDGTHVSVTKCEDKGYWAVQVRSRDRPKLLFDTVCVLTDMQYIVFHAAISSKNSIADQEYFIRHKGSNSTLDNKSERDKLILCLISAIERRVSHVRHVTPTIKGSRVDIRTDNRMGLLSNITRVFRENGLSISRVEFGTDGEKAVGTFFVTDSSGEEVNPSIAELVRQVCGGTVVIDHKSPHRVAPLSTKVDKESKIEAEPRFSLGSMLWSQLERLSSNFGPIKS >OIW11017 pep chromosome:LupAngTanjil_v1.0:LG06:1348619:1352829:1 gene:TanjilG_22824 transcript:OIW11017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSFKQKAINASNMLRNSLTRKGRQSSKVMSVEIEDFHDAEELKIVEQFRQVLVQDELLPAKHDDYHIMLRFLKARKFDIEKTKQMWSDMLKWRKEFGADTIMQDFEFKEREEVLKYYPQGHHGIDKEGRPLYIERLGLVDATKLMQVTTMDRYVKYHVMEFERSFDKFAACSIAAKKHIDQSTTILDVSGLGLKSLNKHARDLLTRLQKVDGDNYPETLNRMFIINAGSGFRMLWNTIKSFLDPKTTAKINVHKTSQLEANVTPQAPPVCDEVPATKPSKAENSIPVADKTAVKKVNETKSLDASKEFTTVMKRMVELEEKMADINNNPPTMPPEKEEMLNATISRADALEQELQATKKALEDSLTKQEEITAYMEKKKMKKKTWCLW >OIW09706 pep chromosome:LupAngTanjil_v1.0:LG06:18612224:18618745:-1 gene:TanjilG_21232 transcript:OIW09706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQRKLVVLGIPWDIDTEGLKEYMSKFGDLEDCIVMRERSTGRSRGFGYVTFTSVDDAKNVLSGEHILGDRTLEVKVATPKEEMRTPSAKKVTRIFVARIPPSVTEETFRSHFEKYGEITDLYMPKDQGSKAHRGIGFITFANADSVENLMVETHELGGSTVVVDRATPKEDDFKPIGRMPHSNIRSHSHSQSQGGYGAYDAYISATTRYAALGAPTLYDRPGPIYGRSEPVRGMGNKIFVGRLPQEATSDDLRHYFGRFGRILDAYIPRDPKRSGHRGFGFVTFAEDGVADRVSRRSHEICGHQVAIDSATPLDDAGPGAGPRPPGGNFMLNSMDTYGGFGGPMRTYGRMYGSLDFDDWGYGVSSSRPSRSDWRYRPY >OIW10965 pep chromosome:LupAngTanjil_v1.0:LG06:939389:944210:-1 gene:TanjilG_22772 transcript:OIW10965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVTVQNVGYPNIRFSFFPFKTHDRQSHREHTRPRAKKRKHTIARAALVTAPSLLFLNEKRARERVRSIFCLFTFVSSPQIPPLSLLSVLRRLSHITRFILCIYFKMVSESELIGRLREFLRSSDLNTTTTTTVRRQLESDFGIDLSDRKAFIREQVDLFLQTEHQQQQEEEEEEGEEAFKSEQSQGSNSKVEENDDDDDDDEEDKPNHSRNGKKKKKKNKERSNKLGDEVVKKRSGGFCKLCSLSPQLQELMGAPEMARTEVVKQLWAYIREKDLQDPENRRNIICDEPLRAIFGVKSINMFQMNKALSKHIWPLDSDDDSDEPKRKEKRQKGGKSGFLAPLKLSDALANFLGTGESELTRAEVIKKMWDYIKGNNLQDPSDKRKILCDEKLKELFDVDTFNGFTVTKLLAPHFIKTS >OIW10811 pep chromosome:LupAngTanjil_v1.0:LG06:2849266:2852553:1 gene:TanjilG_27757 transcript:OIW10811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLKATSDQQGKVEEDAVVDSNVLQYCSIDKKEKKTVGELEQEFLQALQSFYYEGKAIMSNEEFDNLKEELTWEGSSVVMLSSDEQKFLEASMAYVSGNPILNDKEYDDLKLRLKAEGSEIVAEGPRCSLRSRKVYSDLSVDYLKMFLLNVPATVVALGLFFFLDDVTGFEITYILELPEPFSFIFTWFAAVPLIVWLAQSLTSAIVKDFLILKGPCPNCGTENTSFFGTILSISSGSSTNNVKCENCGTALVYDSSTRLITLPEGSNA >OIW09560 pep chromosome:LupAngTanjil_v1.0:LG06:22848335:22849609:1 gene:TanjilG_28159 transcript:OIW09560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDVAKDLTAGTVGGAAQLICGHPFDTIKVKLQSQPAALPGQPPKFSGAIDAVKQTIAAEGAGGLYKGMGAPFATVAAFNAVLFTVRGQMETLLRSHPDVPLTVNQQFVCGAGAGLAVSFLACPTELIKCRLQAQSALAGTGTASVGVKYGGPLDVARHVLRSEGGAKGLFKGLIPTMAREIPGNAIMFGVYESIKQLFAGGTDTSGLGRGSLIVAGGLAGGSYWFLVYPTDVVKSVLQVDDYKNPRFSGSLDAFRKIKASEGFKGLYKGFGPAMARSVPANAACFLAYEMTRSALG >OIW10491 pep chromosome:LupAngTanjil_v1.0:LG06:6130885:6133253:1 gene:TanjilG_00429 transcript:OIW10491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAYKWKSFEENEDRPMKPRRYGVTEMRSPHYNLFTRNVLQDIFESMGEYVDGLKFCGGSHSLMPKTCIKEVIDMAHQHNVYVSTGDWAEHMIRKGPSGFKDYVEECKEMGFDTIELNVGSLGIPEEILLRFVRLVKGGGMKAKPHFEVKFNKSDVPSGGDRAYGAYIPPAPRSFELVEDVDLLIRRAERCLEAGADMIMIDADDVCKHADNMRADIIAKIIGRLGIEKTMFEASNQRTSEWFIKQYGPKVNLFIDHLDVVDVECLRGRNLGRNHASVLGSSYFMF >OIW10809 pep chromosome:LupAngTanjil_v1.0:LG06:2861510:2867324:1 gene:TanjilG_27755 transcript:OIW10809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKDSSHNNHLLPSSSSSNRLKRLLNSDHYTSPSKSLCSDRFIPCRSTSKFSLFDIPTSLKETSTAYNSLLRTALFGPNAPVTPDKRTAGNIFRYKTETRQNMHTLSPFLVNDHDLRHDTNYSYTPVVKPNREVPKSPFKVLDAPALEDDFYLNLVDWSSHDVLAVGLGSCVYLWNASSGKVTTLCDLGIGDYVCSVEWAQRGTYIAVGTSKGKVKIWDASQCKTVRTMEGHRLRVGALAWSSSILSSGGRDKSICQRDIRAKDNFISKMSGHTSEICGLKWSYDNRELASGGNDNKLLVWNQHSTQPVLKYCDHTAAVKAIAWSPHANGLLASGGGTADRCIRFWNTTTDSRLSCMDTGSQVCNLAWSKNVNELVSTHGYSQNQIIVWKYPSMSKVTTLTGHTYRVLYLAISPDGQTIVTGAGDETLRFWNVFPSQKSQI >OIW09526 pep chromosome:LupAngTanjil_v1.0:LG06:23701535:23701729:1 gene:TanjilG_13750 transcript:OIW09526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFQEIQEVVQQSPPSVDLKYDAQTRQISIYGGYLNNAAILLLVFSILVAYGRVIRCSSAYDPS >OIW10194 pep chromosome:LupAngTanjil_v1.0:LG06:7516142:7522182:1 gene:TanjilG_27945 transcript:OIW10194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIAHDHEQPPLPLLSLDGTPIHGETLANSPTSSSSCSSPSSSSTEGKDIEVTASSSKAPPPSAVKYDDDDEEGDVCRICRNPGEADNPLRYPCACSGSIKFVHQDCLLQWLNHSNARQCEVCKHPFSFSPVYADNAPSRLPFQEFVVGMAMKACHVLQFFLRLSFVLSVWLLIIPFITFWIWRLAFVRSFGEAQRLFLSHLSTAVILTDCLHGFLLSASIVFIFLGATSLRDYFRHLREIGGQDADREDEVDRNGARVARRPPGQANRNVAGDVNDEDAAGAQGIAGAGQVIRRNAENVAARWEMQAARLEAHVEQMFDGLDDADGAEDVPFDELVGMQGPVFHLVENAFTVLASNMIFLGVVIFVPFSLGRIILHYLSWLFSTASGPVLSVVAPLTDASLSLANITLKNALTSVKNLSSDAQESGSIGQIAEIMKVNASGSSEISNNISASVSADLLKGGSISTSGLSDVTTLAIGYIFIFSLIVCYFGVVALIRYTKGEPLTIGRFYGIASIAETIPSLFRQFLASMRHLMTMVKVAFLLVIELGVFPLMCGWWLDVCTIQMFGKTMVHRVQFFSASPLASSLIHWVVGIVYMLQISIFVSLLRGVLRNGVLYFLRDPADPNYNPFRDLIDDPVHKHARRVLLSVAVYGSLIVMLVFLPVKLGMRMAPSIFPLDILVSDPFTEIPADMLLFQICIPFAIEHFKLRTTIKSLLRYWFTAVGWALGLTDFLLPRPDDNGNQENGNGEPARQERLQVVQAGVHDQGVMAFAGDDLNRVINAAGELNAAEDNDNDDQSDTDYAFVLRIVLLLVLAWMTLLVFNSALIVVPILLGRALFNSIPRLPITHGIKCNDLYAFIIGSYVIWTAVAGVRYSIEQIRKRRASVLFGQIWKWCAIVVKSSALLSIWIFVIPVLIGLLFELLVIVPMRVPVDESPVFLLYQDWALGLIFLKIWTRLVMLDHMMPLVDESWRAKFERVRENGFSRLQGLWVLKEIVIPIIMKLLTALCVPYVLARGVFPALGYPLVVNSAVYRFAWLGCLSFSLFCFCAKRFHVWFTNLHNSIRDDRYLIGRRLHNFGEHVQRAIEAGASPEMQDTLLGTNLIPQDGEADIGLRLRHINQQAH >OIW10350 pep chromosome:LupAngTanjil_v1.0:LG06:9061959:9063836:1 gene:TanjilG_28101 transcript:OIW10350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGANANAIHNTKHQKKPTSEKNHEEYPNKFHHHFLFKAAVIAIFLVILPIFPSHAPEFINQTLLTRCWELLHLLLVGIAISYGLFSSRNNETDKEKENNMSKFDNAQILVSRFLHVSSFFEADENSSSESDNESNKVQTWNNQHHRNEPVVVVAPHEDQGGSASAHASSSVRSRIGEKPLLLPVRSLKSRLSNDDDVKDVSESQWPQPQRRLQVNDAKVDNSESSIISSFSLNRSNSKSGSKRFYKGRNDELEGTSDAKAENKMKDNAVLSSPIPWRSRSGRLEPKQEVDTPNQHASKPSMEESEFNKMEPGLVRSQTSLSSRTSPLPSPKFTPSPSFSPESVKEPLAKNAEDSMRKIFYKSCPPPPPPPPPMFQKSISMKPRRGSFNEKANYSSFDKELKRSFSSETKGMKMDRIDSSAEVKSKGYAENMSNIGKSVRKIRADQNQAMFGKQVKVEKDEEQFMEEPARKVVGYDSMEFGEEEKKESFIDRMVMESDDEDTETEDEDVEGRIVQKESMENSKTDETNSGGIDCDEGPDVDKKADEFIAKFREQIRLQRIESIKRSTTKITRNATK >OIW09330 pep chromosome:LupAngTanjil_v1.0:LG06:29559368:29560591:-1 gene:TanjilG_01301 transcript:OIW09330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKDAKFTKNDASTKCKYLVWTASNGLGNRMLTLAAVFLYAILTDRVLLVKFGDDMLGLFCEPFPHSSWLLPRDFPYWKDRKHIQTYENVLMKHGGNNSKELLPSFLILNLQHTHDGHNNFFHCDYSQELLHKVPVLILSSDQYFVPSLFMIPSFRQDLSKMFPEKDTVFHHIGRYLFHPSNEAWELITKFHETHFAKANERIGVQIRVFNTHKAPHQTIINEIIACSVKHKLLPELDLQKPAEAASVLKNQTSKAVLVASLFSEYGDKLRALYLRNTTVTNEVVRVYQPSHEERQKSSNGMHNIKAWTEIYLLSLCDSLITSRKSTFGYVAQSLGGLKPLILQRAFGETIPNPPCQRARSMEPCFHYPPKYDCSRSNTTVDFTSLFHDMMHCEDVSSGLRMVNIKH >OIW10676 pep chromosome:LupAngTanjil_v1.0:LG06:4083349:4084728:1 gene:TanjilG_16048 transcript:OIW10676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRPPCCDESGVKKGPWTPEEDEKLTDYINKHGQGSWRTLAKRAGLNRCGKSCRLRWTNYLRPDIKRGKFTEEDERLIINLHSVLGNKWSKIACHLPGRTDNEIKNFWNTNIRKKLLQMGIDPETHKPRTDFNHLMNLANLIGMSNFGNNVAMNTWSNPLRLQADVTQLAKLQQLQNMLQVMNNSFFNIGNPSFLGNHSLNNPFDAILNGTNIMQTTEPAMLRSQEYANPGLLSQARSDSSKSWTYVEGGSNPQEFDCNKVSRTTLENKVENPFPALVACSPEMETFNQMENGSNTAQTSIQSPSNTFFDDWEKFLDNETSGSYWKEILE >OIW11039 pep chromosome:LupAngTanjil_v1.0:LG06:1544295:1546299:-1 gene:TanjilG_22846 transcript:OIW11039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASRFIKCVTVGDGAVGKTCLLISYTSNTFPTDYVPTVFDNFSANVVVNGSIVNLGLWDTAGQEDYNRLRPLSYRGADVFILAFSLISKASYENVSKKWIPELKHYAPGVPIILVGTKLDLRDDKQFCIDHPGAVPITAAQGEELRKLINAPAYIECSSKTQENVKAVFDAAIRVVLQPPKQKKKKNKAQKACSIL >OIW10935 pep chromosome:LupAngTanjil_v1.0:LG06:1876544:1878163:-1 gene:TanjilG_27881 transcript:OIW10935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTVEEAHNVKVLGSGTRFIVLAHGLGTDQSVWKHFVPHLLHDYRVILYDIMGAGTTNPDYFDFERYSSLEGHAYDLLAILEELGVHSCIFVGHSVSAMIGAVASIARPDLFLKLIMLSASPRYLNDVNYYGGFEQEDLDQLFDAMAANYKAWCSGFAPLAVGGDMESVAVQEFSRTLFNMRPDIALIVARTIFQSDMRQILGLVTVPCHIIQSKKDMAVPVMVSEYLHQRLGGDSIVEVMATDGHLPQLSSPDIVIPVLLKHIQHDIAV >OIW08907 pep chromosome:LupAngTanjil_v1.0:LG06:32647984:32661975:-1 gene:TanjilG_05883 transcript:OIW08907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVVKLHVFPESFNQHRTRLLRSSFFGPSTSSPPRVLGFGHNCEGLSVKQFRAFRSEDGGDLKEKKIRTLKKNEVNSKRESGFWNSLKYILLRSKSDDVYRHALVKVEEVLSVIAIQIGRYIVTMMSTGVILAIGFQMSGGDSQMDALIWYSWLGGIIIGTMIGANMVLEEHCRAGPRNVVITGSTRGLGKALAREFLLSGDRVVVTSRSPESVEATIKELEENLKEGINNAVGSSLSKLSHAKVVGIASDVCEPHDVQRLGKFAVNELGHIDIWIVSTNLVGSILCTREAMHIMRNQAKAGHIFNMDGNGSGGSSTPLTAVGSTVQNRQMFNIICELPETVARTLVPRMRVVKGTGKAISYLTPPRILLALVTAWLRRGRWFDDKGRALYAAEADRLRNWAENRARFSFTDAMEMYTENTCIGSGSNFSAAESLSKSSQALSLGFVKSTFSFEELMCATDGFSDANLLGSGGFGYVHRGLLANGKEVAVKQLKSGSRQGEREFQAELEIISRVHHKHLVSLVGYCITGTQRLLVYEFIPNNTLEFHLHGKGRPTMDWLTRMRIALGSAKGLAYLHEDCHPKIIHRDIKAANILLDFKFEAKVADFGLAKISSESNTHVSTRVMGNFGYLAPEYASTGKLTDKSDVFSYGIMLLELITGKRPVDKNYSFTDSLVDWARPLLTHSLEENDFDSLVDPRLQNEFDRHEMARMVACAAACVCHSAKRRPRMSQVVRALEGDVSLSDLSEKIVPGNTTPYSSYDSSDYDPSQYKEDMKKFRKTALEGTHEYGASSGYSGTSDYGLYPSGSSSEAQSRRNTMEMNKLNRKGFSPGKS >OIW11164 pep chromosome:LupAngTanjil_v1.0:LG06:220080:220310:-1 gene:TanjilG_22971 transcript:OIW11164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQERKDKEEINNNFFSGVAQEITQIEWPPFPKVFSTTALVLAVIFGSTVVLLSLNAVFAELSDRVFAARGLQDFFT >OIW08964 pep chromosome:LupAngTanjil_v1.0:LG06:32158320:32158715:1 gene:TanjilG_05940 transcript:OIW08964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGRGKGKKLTVANHDDAVSGEDEKVPVQKRRGRPQKPLKDDFDEDEVEKMDNESDNVKSGVSKEIKSPIAAERKRKRNVKEKLEPTEEENGIDNRSSTEDLTKSNGFRHNGSRRKSTPRRAADAGVQCK >OIW10049 pep chromosome:LupAngTanjil_v1.0:LG06:10436818:10437495:1 gene:TanjilG_32789 transcript:OIW10049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGECNNEKKRVRDDSEENSPESKILRLDSVSSDISSSESHTNLVTSTESCELGRVDSYDPVLNSSGINEIQDELLNMFDETDNVTEGLDSVIKSFEEEIIAPGSDPLDSGILPESEEFNPNLGYLLEASDDELGLPPTVAVTGEEEKVEFEEPGPVGPEGVDLTGFLNLEDDLRSLSYEAFGFGNGVVYDGYNGENGGSYVMVDGLFDYSETPDILWRSESLQAM >OIW10143 pep chromosome:LupAngTanjil_v1.0:LG06:7042509:7045069:-1 gene:TanjilG_27894 transcript:OIW10143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGQNKKEKNKYSIIVPTYNERLNIGLIVYLIFKHLKDVDFEIIVVDDGSPDGTQDIVKQLQQVYGEDQILLRPRPRKLGLGTAYIHGLKHASGNFIVIMDADLSHHPKYLPSFIRKQFETGADIVTGTRYVQGGGVHGWNLMRKLTSRGANVLAQTLLWPGVSDLTGSFRLYRKSALEDIISCCVSKGYVFQMEMIVRASRKGYHIEEVPITFVDRVFGSSKLGGSEIVEYLKGLAYLLVTT >OIW09080 pep chromosome:LupAngTanjil_v1.0:LG06:31367582:31371120:-1 gene:TanjilG_16307 transcript:OIW09080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDYRFTLEEMAVNENLGYPKAYAKICRDRGFSPYSNGPPFTFIPYALHEDEAARAKDLDQMFPLIDPKAKPTNNPKIFVSILWKHLSHLGNAGFDPSVIRVDTYGNVLYFHADSASPLAWDIDHWFPCSRGGLTVMSNLRIIQRQAYKRKKNNLEFLVPWWDFQLGISVNQFLSIFASSNSDFRHRGFSFLFCEGENQELNASQIVDSHSFPQHFFGLKEEVGLAPAAIVDTRREHYDALALKQLDYNKKPRPMSPAIVAARKRNGNILKENEDPEFVKNPYQAIVMARDSLKQRDETTNMKGEMQKLDDEVNEMKLKNEEEKLIIQDLESALIKRKRKAEKCRRLAEAQSSYRTTLEKMIRDTMHQSVIYKEQIRLNQAASNTLMARLEAQRVTCDAAEKELHKKYKQKDDIEKQLRPEWEPGRKRSRIDYYSTFEENDKCKPVPYLLEMRPRSSLHKELRVFLEEEQKVPENDLPANEEQEHEEKEEEPKFTQEKLDEEHARPLVNLDDENSIEKRLQKLEITEEKRDYGASFLFHHETETEEDEETRKQRGKGNVDKWLQMLLGNSQEGMDLQEAKENSYYGTDEIIQQLNEKFPQKELKNSKVSGTTEWKVKQLQLLRDKNSWMEKEDRIENESRSVMPIENKSNCEDSCIGEGKGTLSFEGMERKKQHKKEKGLGRSESDKTLRRIPSAPSLLLRKGVDYFRGRSLW >OIW09726 pep chromosome:LupAngTanjil_v1.0:LG06:17943905:17949449:-1 gene:TanjilG_09399 transcript:OIW09726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMVNSFDMKRAAAGLRRINLDGLRWQVFDAKGQILGRLASQIATVVQGKDKPTYTPNRDDGDMCVVLNAKDICVSGRKLTDKVYYWHTGYIGHLKKRTLQDQMAKDPTDVIRKAVLCMLPRNKLCDDRDRKLRIFPGSEHPFVDRPLEPYVMPPRTVREL >OIW09976 pep chromosome:LupAngTanjil_v1.0:LG06:11615552:11616811:-1 gene:TanjilG_32716 transcript:OIW09976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKTCSLSFLLLLLFHHLTAAKAAIGVSIGTGGVGVGVGIGVGNGGGGSDNGANSPGPSGSSSVPKHDNSYTALQAWKSAITDDPLKILDTWVGPDVCSYKGIFCSNSPQDATTSSTVAGIDLNHANLQGTIVKELSLLSDMNLLHLNSNRFTGTLPDTFKDLVSLEELDLSNNQLSGPFPTVTLYMPNLIYLDLRFNSFSGTLPEQLFNNNLDAIFLNNNQFGGEIPQSLGNSPASVINLANNKLSGNMPISFGIIGSNSKLREILFLNNKITGCIPQGLGIFTEMQVLDLSFNSLMGHLPDTISCLQDIEVLNLAHNKLSGELSDVICSLRSLVNLTVAYNFFSGFSQQCSRLFNVGFDFSDNCIPGRNMQRPQPECSVIPGGSLSCLRIPTPKPIACISLAASLNSMHTDHSSSSP >OIW09245 pep chromosome:LupAngTanjil_v1.0:LG06:30068353:30071916:1 gene:TanjilG_26458 transcript:OIW09245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFTRPATYVVLYVILLCALVKWVSEGKRWKPHEFFKVEQVWEHCRKELIEKNNNDIEDFDLVMEKNIDKETRFLPPHMKQTFLECIRKKVPTTPVFEEDVSLFSIAYDHGRYLISESPPSPVTDPSTPPDSPSSTSKPSFEDLPLPPDMLVLIPPPPPSPPPQTNVHSKYDNMQEKAIILGACASGVIIFIGLILCCCVIRKSKKVDQDVKPLLTLSSSDLSSGSQKSGSMEDSLKKEYSINYGKNLSIVRKSSIKLEDNNTSLPETTLSEIKGQLPISMLKPPLAKPVPEQPQQPPPPPPPLAPRPPPPPKVARPPPAPPKKPVAGSGNQISPLGPLRASEDGEESDAPKPKLKPFFWDKVATNPDHAMVWNDIREGSFQFSEEKIESLFGFTTHNKNERRKDSPSLEPSVQYIRIIDPRKAQNLSILLRALNVTTEEVVDAIKEGNEISVELIQTLLKMVPTTEEELKLRLFTGELSQLGPADRFLKVLVEIPFAFKRLESLVFMFTLPEESSSIKDCFSTLEVSCNKLRKSRLFLKLLEAVLKTGNRMNDGTYRGGAQAFRLDTLLKLADVKGTDGKTTLLHFVVQETIRSEGIRSVRTAKASSSYSSMKAEDFVEGGNEDSEEHYRSLGLQVVSSLSSELEDVKKAAVIDGDALTAAVSKLDQSLKKSEALLNNDLKNGQEECQFQHSLSCFVAKATIEVSWLIEEEKRIMAEVKSTADYFHGKAGKDEGLRLFVIVRDFLIMLDKVCKEVKDKAVLKSEKAKKESQTSSSNTHHNNSLSDVHRRLFPAIAERRVHNSSSSSSSDDET >OIW10896 pep chromosome:LupAngTanjil_v1.0:LG06:2188382:2189816:-1 gene:TanjilG_27842 transcript:OIW10896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAPCCDKANVKKGPWSPLEDAKLKAYIEQHGYGGNWIALPQKIGLKRCGKSCRLRWLNYLRPNIVHGGFSEEEEDVICSLYVSIGSRWSIIATQLPGRTDNDIKNYWNTKLKKKLIGKQRKDQQTQACIVSSLRQDMKREPYSAVASGSLPQTSYWPSEHNSSVHVQAANDNNVSIQYNDLNNQTSFKNLLLNNKAVTATFNSQVACDISFQQEQQVFPLTMNIVNPINSGSRTSLTSNIFQGFENFPNDLRELVCVDPQQIDGSMQGFYGMEMMDIANNNGSNNTTPTESTSWGDISSFVYSPNLVSDYEGCPQGIPQDITFEESRYFGIQMR >OIW10803 pep chromosome:LupAngTanjil_v1.0:LG06:2908927:2910440:1 gene:TanjilG_27749 transcript:OIW10803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELDFDPEKLGMMEGGFSQTMQIDPKRGRFPCSIVWSPLPVISWFIPFIGHIGICREDGVILDFAGPNFVCVDNFAFGSATRYLPVSKEKQCYIPLSQTAYNGEEHDIRGENGGDLRTWDDALRKSTQEFQHLAYNLLTCNCHSFVANNLNRLGFLSGGWNVVNLALFILLNGRWVSTPSMLRSILPFVVVFFLGVTFGGFTFLKFWFIFTSILIGWFLIGTYCFNNLIQL >OIW11018 pep chromosome:LupAngTanjil_v1.0:LG06:1354395:1361371:-1 gene:TanjilG_22825 transcript:OIW11018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKRDLAILMLSAFAIFFSLHHDGGVSFKEAWMHLTDEYPIKYEAERLPPPLVADLNGDGKKEVLVATHDAKIQVLEPHSRRVDEGFSEARVLAEVSLLPDKIRVTSGRRPVAMATGYIDRYNIGKQQKQVLVVVTSGWSVMCFDSNLQKLWENNLQEDFPHNAHHREVSISISNYTLKHGDTGLIIVGGRMEMQQHIFMDPFEEMGNGARFAEQHRRSAAEKEASENSGTVDLRHFAFYAFAGRSGVERWHRKNENIEARSSDASQLLPQHNYKLDVHALNSRHPGEFECREFRESILGVMPHHWDRREDTLLKLAHFRRHKRKPLKKTPGKAMNYPFHKPEENHPPGKDTTKKISKIIGKAANYAGSAKYKKHVPYVPTITNYTQVWWVPNVVVAHQKEGIEALHLATGRPICKLHLQEGGLHADVNGDGVLDHVQAVGGNGAEQTVVSGSMEVLRPCWAVATSGVPIREQLFNVSICHYTHFNLFQHGELHRSFSRGSETASLEVATPIFIPRSDGHNHRKGSRGDVIFLTNRGEITSYSPGLHGLDAIWQWQQSTGVTWSNLPSPVGMTESGQVVPTLKPLSLRLHDNQEVILAAGEQEAVVISAGGSLLATIELPAPPTHILISEDFSNDGLTDLILVTSNGVYGFVQTRQPGAIFFSMLVGCLIVVMAVIFVTQHLNAMKGKPRPSSGPR >OIW09174 pep chromosome:LupAngTanjil_v1.0:LG06:30628473:30631964:-1 gene:TanjilG_11312 transcript:OIW09174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNTVDCSKLSNSSKPGSFMTENKAYKKLLIPSQPKCFTLINYGGFGSSSVGSITIFLLKVAALEMFRRFSKSRCPYVWRVLQALQMLCYPPLEWIPWWEPFKGLVKSMQVLSRPLLVISIATSFSDKSECSNGTLDCSTDSHDSVAYSEQSKVENDMNTSQCSTDPKVLESENWLMKLRQELENQGISLPERINDDELHRFCAASNNDFSCFLASIKKTINWRNTYGILSEEELIMWSEMIFWHGSDVMHRPCLVVRLGLACNTLTSQDRPGFAQAVISQVEYGVLQLVDADNPQITVLVDCEGLSPLRIPMQMMKYCSSLLQDHFPNCLGCLFVIRLPEVVDAIAQTFIEVLEPATRNKLKIEGHMYQKVLSDYLLTLPSYLGGSCTCMKCSEFGNWEMLQPHAIGTSRRYDESDVSDDEDSSSLHLSNELRFSLYGSFEQVIRNVITGFLIFWAFIALCALVHDSGGLYSPS >OIW08996 pep chromosome:LupAngTanjil_v1.0:LG06:31891535:31899430:-1 gene:TanjilG_05972 transcript:OIW08996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKNPIFKATLFFIFIYFTVFCGSASVSTSIPSITSHNAHHSHHHKVNRKFEIASDMFWKDGQPFRIIGGDLHYFRVHPEYWEDRLLKAKALGLNTIQTYVPWNLHEPIPGNLVFEGFADIESFLKLCHKLDLVVMLRAGPYICAEWDLGGFPAWLFSMNQSPRLRSSDPTYLQAVERWWSNLLPKLVPLLYHNGGPIIMVQIENEFGSYGDDQAYLHSLVSCARHHLGNEVILYTTDGGARENLEKGTIRGDAVFSAVDFTTGDDPWPIFKLQKEFNAPGKSPPLSAEFYTGWLTHWGEKNAKTDADSVAAALEEILKKNGSAVLYMAHGGTNFGFYSGANTGADEDDYHADLTSYDYDAPITEAGDVDNVKFNAIRKVIAQYGSAPPPPVPSNTEKTRYGPIHLQRKASVFDMFDFTSSKNVFESEKPMSMEHLGQLFGFLLYVTEYKAKSSGSTLFIPKLHDRGQVFVSCPNGESGAGPTYIGTIERWSNDKLNLPEAKCHSNINLFILVENMGHINYGHFMFDRKGILSSVYLDGEPLNWWKMFPINLHNLNAVPTYNPIMQATYSAFNKKLSFRKNLIHQFENKTSKEPAFYSGRFTIDKPSQIKDTYISFKNWGKGIAFVNEFNIGRYWPLRGPQCNLYVPAPILKQGDNFLVIFELESADPELVVHTIDEPDFTCGSSGMNVHQL >OIW10285 pep chromosome:LupAngTanjil_v1.0:LG06:8387746:8389321:1 gene:TanjilG_28036 transcript:OIW10285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGCRTSSELPPGFRFHPTDEELIVYYLCNQATSKPCPASIIPEVDIYKFDPWELPSKTDFGENEWYFFSPRERKYPNGVRPNRATVSGYWKATGTDRAIHSGSKHIGLRKSLVFYKGKPPKGIKTDWIMHEYRLVESKREASRQLGSMRLDDWVLYRIYKKKHMAKAFEPKEEYPSVQINLSAPNNEGEQDMLKLPRTCSLTYLLDMNYLGPILSDGSYNPIFDFQLNSANIGTDPFVKPELVEMANNYASSSGMYQVKQSNTMNQPMFLKQVHDLQGYHQ >OIW09343 pep chromosome:LupAngTanjil_v1.0:LG06:29651493:29654470:-1 gene:TanjilG_01314 transcript:OIW09343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSWNNNNRYHSESSYAPSAPSLPDQHQQPQPHYSNNNNNNAPSNYHHAPPPPSSNYGSGYYGQVSSSSYSFPQGTNPDVIRTFQMFDRDQSGFVDDKELQQALSSAFHNFNIATIRFLIFLFKDPRQPLRIGPKEFSALWNCLGQWRGIFERYDKDRSGKIDPLELRDALYGIGYAVPGSVLQLLLSKYGDGSGRRVELGFDSFVECGMIIKGLTEKFKEKDTRRTGSAKLSYDEFMSMVIPFLVSYD >OIW09661 pep chromosome:LupAngTanjil_v1.0:LG06:21506535:21506753:1 gene:TanjilG_15367 transcript:OIW09661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPQLSSLSYLHRQSDLAQDMLASHHFNKQLQCMIMKPIMSITQNHGVPTNYVSNRHCIKQVACVSKIVTFD >OIW09648 pep chromosome:LupAngTanjil_v1.0:LG06:21652345:21654659:-1 gene:TanjilG_28247 transcript:OIW09648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVSGLSGCPSRCFPPPPCRLGSRRFRVTAFSLAGKNKRVTEFLQSERTSFLSPALKWNTRQVQLVKSAMDASFGDMANESFAVFPRINVGDPYKRLGISREASEDEIQGARNFLIQKYSGHKPSLEAIESAHNKIIMQKFYDRKNPKIDVKKKIREVNQSRFVQFIRGRFHTPSTNFIIKSSLAFLLLGVLTVLFPTEEGPTLQVALSLIATMYFVHERLKSKFRTFLYGAGAFIVSWLLGTFLMVSVIPPIPILKGLRAFEVITSLITYLLLWVSSTYLK >OIW08875 pep chromosome:LupAngTanjil_v1.0:LG06:32895379:32897721:-1 gene:TanjilG_05850 transcript:OIW08875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTGIHPYHHQWPPSAAVPPPPSSVAVPPPPPQPSDEVRTVFITGLPEDVKERELMNLLRWLPGFEASQLNFKADKPMGFALFALPQQAHSAKDILQDLLYDHQSKSVLHTEMAKKNLFIKRGIGADANPYDQTKRLRTTGDYTHTPYTTPSHFHPPPPPVWGAPHGYMAPPPQPPYDPYGGYPVAPVPMPVPAPIAAPSSYVQVQNTKDNPPCNTLFIGNLGENINEEEVRGLFSVQPGFKQMKILRQERHTVCFIEFEDMNSATNVHHTLQGAVIPSSGSVGMRIQYSKNPFGRRKDGNPATAPGANGTPPTITYQ >OIW10841 pep chromosome:LupAngTanjil_v1.0:LG06:2612657:2612938:1 gene:TanjilG_27787 transcript:OIW10841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREFLQANVVVNKSGKVFIPKLVERYSREASINLDDLLGWVMERVDKKLRDSIQKCLHRKSNKKPSQIIEWLPYSSKFRYIVSKDLTDKPWRV >OIW10698 pep chromosome:LupAngTanjil_v1.0:LG06:3891553:3896450:1 gene:TanjilG_16070 transcript:OIW10698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALQYLDTLRNSHPELSDWYNSLADLYQKKLWHQLTLKLEQFVALAVFQAGDALIQLYNNFITDFETKINLLKLAHFAVIVSRQYAEKEAAVGYLDGIIEKLQATREQRIEEPILYIKMQIAIFKLEQGDQKECKKLLEDGKSTLDSMTDIDPSVYASYYWVSSQYHKSRQEFAEFYKSALLYLAYTSVESLSDSFKLDLAFDLSLSALLGDNIYNFGELLAHPIIKSLLGTKVEWLYYILQAFNSGDLVRYQELCRVHNAALRAQPALVQNEQRLLEKVNILCLMEIIFSRPSEDRTIPLSVVADRTKLSIEDVEHLLMKSLSVHLIEGIIDQVEGTVHVSWVQPRVLGIQQVKSLRDRLDGWTEKVHTALLSIEAETPDLIGS >OIW09030 pep chromosome:LupAngTanjil_v1.0:LG06:31647889:31650957:1 gene:TanjilG_06006 transcript:OIW09030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVLSDKELDKGRVCVTGASGFLASWLIKRLLLSGYHVTGTLRDLEKQKKFEHLLKLEGAKDRLQLVQADLMEEGSFDNAIMGCKGVFHIASPVIKPTSDPKMEILEPAIEGTLNVLRSCKRNQFLGRVVLTSSSSAVRVRDDFDPNIPLDESSWSSLELCDKIQAWYVMSKTLAEKAAWEYCKENGIHLVTILPSFIIGPSLPSHLCSTASDILGLLKGEKEKFQWHGRMGYVHIDDVALCHILLYEDEASHGRYLCSSKVMDIDALVDLLATRYPSLPIPKRFEKLDRPHYDLNIGKVRRLGFKFKSIEEMFDDCIESLVDQGHLTLHPPNHASN >OIW09941 pep chromosome:LupAngTanjil_v1.0:LG06:12705979:12706825:1 gene:TanjilG_32090 transcript:OIW09941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVNRMEMEDHLCKAFEYFDKDKSGYITTEELEFALKKYNMGDEKTIKEIIAEVDKDNDERIDYEEFVAMMRKGSPDFLPYKHRK >OIW10493 pep chromosome:LupAngTanjil_v1.0:LG06:6142466:6143647:-1 gene:TanjilG_00431 transcript:OIW10493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRVLHRNLCTLIQPTAEAATTAAATTAAADATVTNFKSISQDIFKEQNFKRLVDKFKKASDVDSFRTKNSFYGAIVRRLAVAKCFNYVEDILEHQKQYSDISKEGFNARLITLYGKSGMHRNARKVFDEMPERNCTRTVFSFNALLAAYLHSSKFESVHRLFNKLPKELSIEPDLVSYNTVLKALCENDSFDSALSLFSEMDKKELKPDLITFNTMLDQLYSKGRFEEGEKIWNQMDVKGVEPDIRSYNSRLVGLALEKRTNEAADFLVEMEKKGVKSDIFSINALIKGFVNEDNLEEAKKWYGEIAKSSYDPDKTTFATFVPFLCEKGELKLAIEVCKEFFNIQCRVDASLLQLVVDKLVSESLISEAKEIVEHAKTNRYSRYKLNLPADE >OIW09977 pep chromosome:LupAngTanjil_v1.0:LG06:11612585:11613607:1 gene:TanjilG_32717 transcript:OIW09977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGRIPMEVIKKEKARKTTFQKRKNGLMKKVYEFSTLCGVDVCLIIYAPDFDDQGDAEFETWPHDTREVHRIIQKYNNTTSDRRPRIYDVQEYFKDRMKKVEAEISKVHKEKHKIMYPTWDESFNGLGEEQLRMFVSSLDAKLNTCNQRINMLKGDIGKGKIAEPNKAGLVTPYLASKPSNHLNFLLNMPQGQFYPSPMKPISDNINNQLAFYPYQFGQISQTNMLHFGQNCLQLMGPNGMSDCVGGQFGGVTYDPKTAMLKDYEAENNENISPYYYNGNVHTMQPYNIMQSFPSQNSQYEAAFNTPPNLSPSQGFAPHTFYDTNMLQAHILNDMHGRK >OIW09237 pep chromosome:LupAngTanjil_v1.0:LG06:30029820:30030218:1 gene:TanjilG_26450 transcript:OIW09237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGEYSNHGLKLPPETSRTELNLPRVLCVLSSMLEKLVVRNEKLMDDLSYGSMILGKSLNAFHGVRAPNISIPKYLERIYKYTNCSPSCFVVGYVYIDRLTHKYPHSLVISLNVHRLLVTSVMVASKMLDDE >OIW09790 pep chromosome:LupAngTanjil_v1.0:LG06:15269316:15269876:-1 gene:TanjilG_32228 transcript:OIW09790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMFSKSKAEASFFILMIFMLNAMPPITHACGPCTQPHPPYHRPGHPKHPPHHGGGHPKVSPPQPPVVVPPIIITPPILPPPVIYPPPSSPYYPPPHQYQPTCPIDALKLGLCLDVLGGLVNIGIGNPVENVCCPVIQGLLDLEAAICLCTIIRAKLLNLNIFIPLALQVLITCGKTPPPGFVCPPL >OIW10717 pep chromosome:LupAngTanjil_v1.0:LG06:3777548:3777733:-1 gene:TanjilG_16089 transcript:OIW10717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNKNKRQRHRQRKMGLSVGIPLSFGLLFFPFFYYLKVGLKIDVPIWVPFIVSFFFFGSAL >OIW10032 pep chromosome:LupAngTanjil_v1.0:LG06:10629202:10631675:-1 gene:TanjilG_32772 transcript:OIW10032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIDKIKDEHAVNFATPRGFLTKLKTGVKETFFPDDPCRQFNREEKPLHKAFKGVQYFMPIFEWLPTYTWRLFISDFLAGLTITSLAVPQGISYAKLADLPPIIGLYSSFVPPIVYAIFGSSRQLAVGTFASGSLLIYQSMSTVIKPEDDPILYLHLVFAVTFVAGAFQACLGLLRLGILVDFVSNSTITGFVAGAAVMLCLQQLKGIFGLKHFVTKTDLITVVKGIWTNSHEVNHYMLQSINMTEGTDFGVPRPSLLVDGPRLVDASHLANDAPSLTDTDAPSTPRLTEVHVPHHVLRLTEVDALGLAEVDAPGLTKVHAPHHAPSLTETSAPHTPDADANL >OIW09568 pep chromosome:LupAngTanjil_v1.0:LG06:22758073:22761921:1 gene:TanjilG_28167 transcript:OIW09568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNPQLFPNGMPVPFINEIFVLARDGIDFHLDKIPSSLGVGGDLKTKGVIYLSNIRMVFVAKSPVGGFVAFDMPLLYIHGEKFNQPIFHCNNISGQVEPVAPNDQHRALYSTYTFKIIFKEGGCGTFIPLFLNLIASVRQYNQQFNVQTQSHVDPLQASQTPVDEMMRHAYVDPNDPTKIFLQQPNGESQLRRRTYQPQASDGGHA >OIW10121 pep chromosome:LupAngTanjil_v1.0:LG06:9644412:9646444:-1 gene:TanjilG_21958 transcript:OIW10121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPPPPPPTNVAFPFDANNYIYDPASANFNDNPLPNNPPAIPSQPRNANNPSYAEMIYTAIGALKEKNGSSRRAICKYMEQVYKDQLPANHDALLTKNLKTLKKNGHLVLVKRSYHLPSGSIQPHSEPEQAQQVAQPAQIAKPEPAQNANTSGGGGGVTEVKKRGRGRPPRASSLKAQAKPADALALVPAVKPKPRGRPRKNAVIASSAGAATAAAGGGADKKLAVAGKKSKKKSSGKPVGRPEQGSTADVEVAKKAENDILKRRIQYFQSKVGESVSVLGTYFNGESPITARAALRDLENLANLNIDLPLEEITYEEPYRPQLLRFPTQMQSIFVPHA >OIW09980 pep chromosome:LupAngTanjil_v1.0:LG06:11569652:11578050:-1 gene:TanjilG_32720 transcript:OIW09980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVREFISGTDARNTNWDVKENFDDNISDSKSIGSISEDSMNSTSSFSSSELAEYASSSISYLSTSSSSSSSSSQSNGSLYELSDLMNILPLKRGLSMFYQGKAQSFTSLARVQSIEDLPKKEKPYRKKMKPCKSFGYNIVLKEVLERGRFIKTIQSKHDQGLVKVYFKRRDFIDVADYELRISHINHIFRSIHHSHVWPFKLGEVDLLKNGIEELDSFKNWVDKQHGPGVQVFNTPVATGFQKPKLTDCDKLSEGYLLYSFNMDRHAMGISPEASDFPLQMSSLGVSSSSTPCMVHEPVSGSSISLEGTTAQTDLSGVPSYAHTSVPDCAKIWDYRKLEKDITFRGLGNVDKYSGISDITKKDIKEGSMLSLLYYPAHNFTIKYSTQNCGIHMWDTRSNSTMWTLKATPEVSGVSSLSSGLSDNWFVSGSSRGVLTLWDHWFLIPVNSLQYSVASPKDKICRFLRPPNSSQSSTARPLVYVASGCGEISPWNAENGIFHQVHGNKGNMHSNYSKSQV >OIW09935 pep chromosome:LupAngTanjil_v1.0:LG06:12628788:12631554:1 gene:TanjilG_32084 transcript:OIW09935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDSDVSKQIDQMVRFIRQEAEEKANEISVSAEEEFNIEKLQLLEAEKKKIRQEYERKEKQVDIRKKIEYSMQLNASRIKVLQAQDDVVNFMKEAASKELLSLSNYDTVYGNLLKDLIVQSLLRLKEPAVLLRCREDDLHLVEEVLDSAAQEYADKENVYLPEIIVDNTVFLPPAPSHQNVHDLHCSGGVVLASRDGKIVFENTLDARLDVLFRSKLPEIRKQLFGQVAA >OIW10418 pep chromosome:LupAngTanjil_v1.0:LG06:6255988:6258950:1 gene:TanjilG_24978 transcript:OIW10418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDKKMLSESEDYSKELDVAVRAMQMACLLYQKVQDTLVSKSRCNDKVLFKDENSLLTIAEWSVQAIVIWILSQSLGSENISILAKGDVQTLSKTNASELLEAVVKTVNECIAEAPRFGVQEYKSALGTLEVLELLSHCNSVEAPSARFWVLGPIDETSGFVNGDQYAVSLSLIEAGEVVLGVLGCPNYPMRKEWLSYHHSYHRIISMLTPTTSETWNKGCVIYAKKGSGKAWMQPVLHVNKKFVWPNHAKQVSISSIDNPALATFCETVEKANSSHSFTEGLALSVGLSKQPLRARSAMKYVALACGNAEVFMKFGRASYKEKIWDHAAGVIIIQEAGGIVTDVRGDPLDFSKGLYLEGLDRGIVACAGATLHEKIIDAVDASWSSSCL >OIW11004 pep chromosome:LupAngTanjil_v1.0:LG06:1245475:1245792:-1 gene:TanjilG_22811 transcript:OIW11004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSRNFKLSSSHHIMLSSELSSESSRIGGYERLSKSVRLTGEHDFIDQNKELKRGMGIFGKVFSFTRISSSSHKGLDNKVMVKKQNKRSSWLPDPEKRWPIQGW >OIW09027 pep chromosome:LupAngTanjil_v1.0:LG06:31655469:31660792:-1 gene:TanjilG_06003 transcript:OIW09027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFHFLLPRYPSSFPQSINPNFKTLTNSPIPNFRSISSRIQTPETNDEEDRDEDKNKTPIPNNFNFLKLSVTLTIISASLPQPASAATATVVKDKKRAPKKSSTKKLEPLSPEELKSWTQDLPMVSDRIPYSEVLELKRNGKLKHIIKPASVNLRLRAEPILVVLDDTRVLRTVLPSFESHSKFWDSWDELKVDSLCVNAFTPPLKKPEIEPSVLSNIWIPPIDKLWDLAFWNRKPKRESKRALEVRQQRLALKREREEEMKRMREETETVARNMKIQRKQEERRRKKELRKVKYQQSMRDSRENYLRMADFWSDLATNPNVANVLGLVFFYIFYRVVVLNYRKQKKDYEDRIKIEKAEAEERKKMRELEKDMEGIEDDDDESEQGKGEQNPYLKMAKQFMRSGARVRRAQNKRLPEYLERGVDVKFTDVAGLGKIRLELEEIVKFFTHGDMYRRRGVKIPGGILLCGPPGVGKTLLAKAVAGEAGVNFFSISASQFVEIYVGVGASRVRALYQEAKENAPSVVFIDELDAVGRERGLIKGSGGQERDATLNQLLVSLDGFEGRGDVITIASTNRPDILDPALVRPGRFDRKIFIPKPGLIGRIEILKVHARKKSMAEDVDYIAVASMTDGMVGAELANIVEVAAINMMRDSRTEVTTDDLLQAAQMEERGMLDRKERSPDIWKQVAINEAAMAVVAVNFSDLKNIEFVTIAPRAGRELGYVRVKMDPVRFNEGMLTRQSLLDHITVQLAPRAADEIWFGSDQLSTIWAETADNARSAARTFVLGGLSEKYHGISDFWVADRINVFHCQELDSEAIRIVNTCYERAKEILQQNRTLMDAIVNELVEKKNLTKQDFFHLVELHGSLKPMPPTILDIRNAKLRELQELISNGKETALNSQA >OIW09380 pep chromosome:LupAngTanjil_v1.0:LG06:29918177:29922752:-1 gene:TanjilG_01351 transcript:OIW09380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQPNMMEGLHHLDMTTQNTSESDIPIIRNDEFDSGTKSGSENHDGGASGEDQDPRPNKKKKRYHRHTQHQIQEMEAFFKECPHPDDKQRKELSKELGLEPLQVKFWFQNKRTQMKTQHERHENTQLRTENDKLRADNMRYREALANASCPNCGGPTAIGEMSFDEHQLRLENARLREEIDRISAIAAKYVGKPVVNYPLLSSPSVPPRPLELGVNGAFSGQQGGIDMYGGGDLLRSISGPTEADKPIIIELAVAAMEELIGMAQLGEPLWLTTLDGSTTMLNEDEYVRSFPRGIGPKPNGFKCEASRETAVVIMNHVNLVEILMDANQWSTVFSGIVSRAMTLEVLSTGVAGNYNGALQVMTAELQVPTPLVPTRESYFVRYCKQHPDGTWAIVDVSLDNLRPSPSSKCRRRPSGCLIQELPNGYSKVIWVEHVEVDDRGVHNLYKQLVSSGHAFGAKRWIATLDRQCQRLASSMATNMPTVDVGVITNQEGRKSMLKLAERMVISFCAGVSASTAHTWTTLSGSGTGADDVRVMTRKSVDDPGRPPGIVLSAATSFWLPVSPKSVFEFLRDENSRSEWDILSNGGVVQEMAHIANGRDTGNSVSLLRVNSANSSQSNMLILQESCTDSTGSFVIYAPVDIAAMNVVLNGGHPDYVALLPSGFAILPDGTTTHGSSIVENDHGGSLLTVAFQILVDSVPTAKLSLGSVATVNNLIACTVERIKASLTGEAA >OIW10060 pep chromosome:LupAngTanjil_v1.0:LG06:10336179:10338571:1 gene:TanjilG_32800 transcript:OIW10060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMKINEQGVETKAKNQLWRTVLLRPFKLVTVFIHEASHAIACKLTCGHVEGIQVHADEGGTTQTRGGIYWLILPAGYLGSAFWGMVLILASTKLLTARIAAGCFIAALLTVLFVAKNWTLRGLCIGFIIFLGIIWVLQETTKVRILRCNEQLVFSIWYALGNMESY >OIW09680 pep chromosome:LupAngTanjil_v1.0:LG06:20144839:20145405:1 gene:TanjilG_06486 transcript:OIW09680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLIKEHEVDYEISINKQDIDGGSNSSQTHEDATKFGEWLSLSLKGDMPLESEADHQNTDSQSKPNKNNKLFSCNFCMRKFYSSQALGGHQNAHKRERGAAKSYQSHKMMMTRTSMKRPLGIQPHSLVHKPNQERSTMVARFSDANNSEVGMIWTPFMHVDSIWPGSFRVDLPKQESDINKLDLDLRL >OIW09633 pep chromosome:LupAngTanjil_v1.0:LG06:21806691:21807557:-1 gene:TanjilG_28232 transcript:OIW09633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANKYNIRSISLPCRSHPSTVIVEEELNKFKTWEATCTSFSISIGLSLLEDLYVGLDDLLNMASTQHVITQHKGEKCVEEVLDGSMRILDICGITRDTLLQIKENVQALHSSLRRRKGESKIEESVGQYNAFMKKMKKNVKKLIKSLRQMDSKFGVSSLLDFDHHLSCVIRVLREVILMNLSIFQLLLSFLTMSSTKSKATKWFLLGKIVHKRVITCEDNLENVNELECVEEVLSTLLNEGTNGEKMQATNEILEALENVIESLENSLERVFRIMIRSRSSLLNIISK >OIW08978 pep chromosome:LupAngTanjil_v1.0:LG06:32065958:32069559:1 gene:TanjilG_05954 transcript:OIW08978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLIPGVLLKLLQYMNTDVKVAGEHRSSLLQVVSIVPALAGSELFPNQGFYLKVSDSSHATFVSLPNEHVDLILSDKIQLGQYVFVDKLESASPVPILHGVRPVPGRHPCVGTPEDIVATHSLGFLDNDDDSKSSSKDRNSVSSGSININRSKSVTKMSGNRGVVGEKEKKVIRSSIVGGGGKEEKSEKRSVAFGRWNSLPSKPGGALKVDAKKGNELSLVQLRTMDSRSIPSSPRSCYSLPNSFDKFSNGVKQHEAKVKGVDKLTGKVGVVETGKTVSSTSSVVMKIGVGNTIRSLVQGIGLGAKVLRKSWEEGMEVKIRESSKPRPVTKYDPKPEVPSSVPRRRSFSSEKLPFKEESRIQASIKPSKEEHKTQMSVKKATANRTMEEQEKSSERRNSLGQKSPEGSNNVIPGNMVKVSLNSRKVKDANVQWALLPSSMSRLGKEVMKHRDAAQMAATVAMQEVAATDSLLQCLSMYSELSNSANEHNPQPAVEQFLTLTASLSATKTIVESLSKPISEGSSPDSERSTTQEALKVRTDRQKHAASWVQAALATNLSPFAVFTKEPQSSRPPASSNLQNQKTVLGSKHMLVLHNSSEDTSSKAPVKTRPAANLKHASQGTARRPSDMLANEHKQQAQPLPEWIEGNGLHEVINLAEMLQLQSRDWFLGFIERFLDTDGDTTLSDNGQIAGMLTQLKSVNDWLDEIGSSSEEVEGESCQISAETIDRLRKKIYHYLLTHVESAAAALSGGSQSSPKIQTTEIKTKR >OIW10430 pep chromosome:LupAngTanjil_v1.0:LG06:6383593:6385554:-1 gene:TanjilG_24990 transcript:OIW10430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLENLLLEAAGRTGSGGRNRHSLPSSRRRRDGSYSDGGSDSRDDDSDDELNYGSRKPSGSQVPLKKRLDQAERDDDMGSHEEADDGHGRSDHEGDSSDESNIGDDLYKDDDDRRKLSEMTELQREMILSDRAAKKDDKNLLGKISSKRDKGKAVAPRKQSPPMSSSRVRASARSADRSAKNVALNELRAKRLKQQDPEAHRRLREASKGSGPRRFSPQKRKSFTSASLSSSSHSDSESHSDDDGSTGDGGQDSDDDRTLAGSGGLSFQDIKEITIRRSKLARWFMEPFFEELIVGCFVRVGIGRSKTGPIYRLCMVKNVDASEPDREYKLENKNTYKYLNVVWGNESSAARWQMAMVSDSPPIEEEFKQWVKEVDRSGGRLPSKHEVLEKKQAIQKITSFVYSAATVKQMLQEKKSASSRPLNVAAEKDRLRREMEIAQSKNDEAGVRRIKKRLQELEASRQAQGKDVKALRLAEMNRKNRVENFKNASELKRTNIGLKAGEAGYDPFSRRWTRSRNYYASKPGEKAAAGNIIADGVVADAGSNGTGAPLTADGLVATAAALEAAADAGKLVDTVAPVDQGTVTNELHNFELPISLTLLQKFGGAQGVQAGFMARKQKIEATVGLRVVENDGRRHALTLSVSDYKRRRGLL >OIW09950 pep chromosome:LupAngTanjil_v1.0:LG06:12881002:12890074:1 gene:TanjilG_32099 transcript:OIW09950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCRSVACILPGTPFPHRVTAVAAFPPTTFFTAGSDGSIIWWSLSPEVKAVGMLCGHAAPITDLAICSPIPAEENDDGSSNLVTNSISSGFSSLISACSDGFLCVWSRSSGHCRCRRKLPPWVGTPWIIRTLPSRPRYVCISCSFMEGNEVLVDRETQIKKPSKCTIVIVDTYLLSITQTVFHGILSIGPVKFMAVVLDDNDENGFSVFVADSAGKQQMVSMSEDHREHKKSLTSSHRNASQLESSSCFEGLSDTDQIVSILTYGNIIAFILENRCVFRLSSSDTLIGEISLVDDLFCSDGHSTQEHVVGGLFLESDYVVNMSDTHECSDSIPIYLVVWNNRGHAIIYKVTYQNEAIQCEPHFEIPATHYHSEMKLSNFFQQVNHYLICIKSVCFHYEEPLLWRPHVTIWSLHHFDDKPGKLYHQCRMISDGVSFTNWFEESTTQLKGLNTPETKSIYESTSTAIPSSEDMDNTDVDSISNDYAYKGRIVSSSMIISENLFTPYAAVYGFLSGEIEVVRFDLFQEIDYDGANSNPDEKSTVCKQYFSGHKGAVLCLAAHPMMGSAEGWDFKRVLVSGSMDCTVRLWDLDTGCLIMVMHHHVSPVRQIILAPSLAGYPWSDCFLSVGEDACVALVSLESLRVERMFPGHSNYSSKVVWDGARAYIACLCQTRHGASDAADMLYIWDLKTGSRERVLRGTAAHSMFDHFCKSIRMSSISGTLLNGNTSVSSLLLPIVDEARFSNSAHSLSAKQKPSSLFGLWSNKLPIKCSCPLPGIVALSFDLASVLFSYQKNESFENGGSKTVNVDLKQQGVQEQNPNYQNPETFERHELLGLFEEYLLRFSLSFLHLWNFDSELDNLLISDMKLRRPENFVLASGLQGDKGSLTLTFPCRGATLELWKSSSEFCAMTSLMMVSLSQRLVSLTNSGSAASSALTAFYTWSFMEKFPDMKPPSLQLLVSFWQDESEHVRMAARSIFHCAASHAIPPPLCNSNPTEPATISSLTGNRGNQLGNTIVESIFPQAKNQGSSQDEESKILAWLESFEVPDWISCVGGTSQDAMTSHIIVAAALAIWHPTLVKPSLAKLVVHPLVKLATAMSEKYSSTAAELLAEGMESTWKGLVVSEMPGLIEDIFFQIELSSPSNSMKEIPAASFSIQKTLVEVLLPSLAMADIPRFLSVIERQIWSNASDSPVHLVSLLTLIRIMRGSPRNLAQYLDKVVNFILQTIDPSNSVMRKTCYQSSMTTLKEVVRVYPMVAVNDSWTRLAVGDVIGEINNASIKVYDMQSVTMVKVLDVSGPPGLPSLLTATSGTMLTIAISALSFSPDGEGLVAFSEHGLMIRWWSVESVWWEKLSRNFVSVQCTKLIFVPPSEGFSTNSSRSSIMANILENDRQLNIQDDLKDHEDSLKQLLHILDLSYRLEWVGERTVLLSRHGYDLGTFQL >OIW10829 pep chromosome:LupAngTanjil_v1.0:LG06:2697390:2701666:1 gene:TanjilG_27775 transcript:OIW10829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTAELLSIDPHELKFVFELKKQISSSLQLSNNTDNHVAFKVKTTNPKKYCVRPNTGIILPRSTCDVMVTMQAQKEAPPDLVCKDKFLLQSVKTHDGATTKDISAEMFNKELGHVVEESKLRVVYVAPPQPPSPVAEGSEEGSSPRGSVSENGNVNGGDSTTVARAFTERHEAPEKSAEVKALISRLTEEKNNAIQQNNKLHQELKQLKVSNLNRRRILLMDTVLPIMRVMLALFEELLLTSRGVLMFPSAKTH >OIW09171 pep chromosome:LupAngTanjil_v1.0:LG06:30601631:30602869:-1 gene:TanjilG_11309 transcript:OIW09171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQQAMKKGPWTEQEDFKLVYYVGLFGDRKWDHIAKVSGLNRTGKSCRLRWVNYLHPGLKRGKMTPQEEKLVLELHSKWGNRWSRIARKLPGRTDNEIKNYWRTHMRKMAQEKKRAPIPSPTSSGCHSSISSSSNHAVDSHGSKNAGEECIYDTRGPSLKGFSSEVNIVEDQEGFSMDDIWKDIDMSEQNIVQPNYDYGYNFSCPSRECCLDPLWVMDEESMLFPTSDPYFSCYIHGSTFLTG >OIW10267 pep chromosome:LupAngTanjil_v1.0:LG06:8278263:8278478:-1 gene:TanjilG_28018 transcript:OIW10267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAPNKTSSELLTSAKSAKEKVTDAAGNAVEATKENVHKAAEYVGSATAPPKQEGALDKVTRLAGDVFKK >OIW09981 pep chromosome:LupAngTanjil_v1.0:LG06:11563710:11566081:-1 gene:TanjilG_32721 transcript:OIW09981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRVQFSEQQFMKWVKFVGGLKHTVFGTAKNKLFPSLTLQVSKNPSKGDFSSIQAAIDSLPFINLVRVVIKVHAGVYTEKVNIPPLKSFITIQGSGADKTIVQWGDTAQTPGPRGQPLGTYGSATFAVNSPYFIAKNITFKNTTPVPAPGAVGKQAVALRISADTAVFQGCKFLGAQDTLYDHLGRHYYKDCYIEGSVDFIFGNALSLFEVTGSGALYLGRAWGPFSRVVFAYTYMDNIIIPKGWYNWGDPNREM >OIW10985 pep chromosome:LupAngTanjil_v1.0:LG06:1100677:1102700:-1 gene:TanjilG_22792 transcript:OIW10985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKKTLVQRLFNISKISSQTLNNCRISSSSVHGRTSSNPTRPEIEPEPGDNAIFRRFIHKRPGFQPETRSSAFSGSLIGKLRDMDITRTRIRLEGLAPPVTEKVDELREEVVTVEDARKLLKAAQVELVKSRLREIQKTCIPVSEFFRICSENCSDRDQAARIAKMLDESAAVIILGDVVFLRPEQVAKAIHGLLPQRGAKVEESIRKEFEEMERKKSAIDNRADTLVRRELWGGLGFLVVQTMAFMRLTFWELTWDVMEPICFYLTSMYFMTGYTFFLRTSKEPSFEGFYQARFRTKQKRLMKLHNFDTEKYNELRAACSQSTLPKFDSFAAHPFDRPPQHDMEGIIGLKEK >OIW09968 pep chromosome:LupAngTanjil_v1.0:LG06:11832458:11833972:-1 gene:TanjilG_18275 transcript:OIW09968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSDPLSSALTSTKPTPIPTHEEDQDLPLTSSTTSLLSFNTDSSSPPPPPSSSSHKPLFISLIFITCISLSAAFAFAFLFFSSSPKTTTKTETTAPSNSTPTVSLARPLTKLKNPVVLLVSSDGFRFGYQFKTFTPNIGRLIQNGTEAEKGLIPVFPSLTFPNHYSIATGLYPSYHGIINNHFVDPLSGEAFYMGSHDPKWWLGEPIWETVVKNGLKASTYFWPGSEVNKGSWTCEVKYCSHYNGSVPFEDRVDAVLKYFDLPSDEIPDFMTLYFEDPDHQGHRVGPDDPEITEAVARIDRMIGRLISGLEQRGVFEDVSIIMVGDHGMVGTCDKKLIFLDDLAPWISIPESWVVSYTPVLAIRPPAGFAASDVVAKMNEGLNSKKVENGKNLRVFMKEDLPSRLHYAASDRIPPIIGLIEEGFKVEQKKTKRKECGGSHGYDNAVFSMRTIFIGHGPQFERGRKIPSFENVQIYNLVTSILKIKGAPNNGSASFVESVLLSGA >OIW10137 pep chromosome:LupAngTanjil_v1.0:LG06:9500510:9503131:-1 gene:TanjilG_21974 transcript:OIW10137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLLDDCREHLLEVDDTTKSKFEIRNLTKVSDAGITILKGITVDIPKGVVVGIIGPSGSGKSTLLRALNRLWEPPSSTVFLDGQDITDLDVLSLRRKVGMLFQLPALFEGTVADNVRYGPQLRGKKLSDDEVRKLLIMADLDDSFLGKSGTELSVGQAQRVALARTLANSPEVLLLDEPTSALDPISTENIEDALLKLNKTRGMTVIMVSHSIKQIQRIADIVCLLVDGEIVEVLKPNELSEAKHPMAQRFLELSS >OIW10096 pep chromosome:LupAngTanjil_v1.0:LG06:9897713:9897955:-1 gene:TanjilG_21933 transcript:OIW10096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVLELEVEDEKNMLFETSKQLSSLFCNDSLRSPSSSGSHPPLVFETNNASKATNVKDQLIPKVLTHTSSYGCKYSKDEI >OIW09619 pep chromosome:LupAngTanjil_v1.0:LG06:22029287:22030185:-1 gene:TanjilG_28218 transcript:OIW09619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFRNKSKPPWSSLCIDILLNISNRLEFIDNLRFRAVCSTFRAVNPLPFHPNFPLLPFPIKPNNHENLVGHFSLVEVTTLNIQPLKSNNKCWLIKVEASQEEVGGKVHLKMLNPLSSYYLDDYLKELPESFDVLRNRVSIVGKGYYLKQEGNNTSLDGEDNQDIQRVFVSSMEDDFVVMAMHSNGKISVWRKGDKKWTHIENGLGQCEDIVLHKGKFYVVEKTGLTVIVDKDFNVTKVASSLPLSRQNYGYGKDLVEIEEELYLLLRKVEMG >OIW08926 pep chromosome:LupAngTanjil_v1.0:LG06:32500318:32510082:1 gene:TanjilG_05902 transcript:OIW08926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKRKTRSSSGAASASSPNSSSVQISSKARKKTNLKGPAAKEVVKEEEKPSVSVLVADGNGNDGEEESSARFLGDPILDEEARQRWPKRYQEKKKQPSRSKSNSDDDEIIQARRHYTQAEVDGSLLFKLYDDAHVKAGEGEDNYICRIVEMFEAVDGSLYFTAQWYYRAKDTVIKNLDYLIEPKRVFFSEIQDDNPLDCLLEKLNIYRLPLNVDLEAKKETIPTCNYYCDSLYLLPYSTFMNLPPESKETVSETSSTLSSEVDASGRSEVNSQQCETFHHKENKKPEFRLLDLYSGCGGMSTGLCLGANLSGVNLVTKWAVDLNQHAIESLKLNHPETEVRNETAENFLSLLKEWEKLCSYFSLVKYTVPHEHNCKEKIKDFVTRGFKSKVLPLPGDVDVICGGPPCQGISGFNRFRNKDNPLEDEKNKQLVVYMDIVQYLQPKFALMENVVDIVKFANGFLGRYALGRLLQMNYQTRLGIMAAGAYGLPQFRLRVFIWGAQPSERLPQFPLPTHDVIVRGVIPCEFEICTVAYNEGHNVQLQKKLLLEDAISDLPPVENNERRDEIPYDKLPQTEFQQLIRLSKSEMLSFSAGSKSSRTQLYDHRPLELNADDYQRVCRIPKKKGGCFRDLPGVRVRPDNKVEWDPDVKRVYLDSGKPLVPDYAMSFVNGTSSKPFARLWWDETVPTVVTRAEPHNQAIMHPEQDRVLTIRENARLQGFPDYYKLCGHVKARYIQVGNAVAVPVARALGYTLGLSFQGSVAATADGPLYKLPEKFPILTERVSSGCLICLILAFGWACAAYVRNREIKRIKNSVQNGNSFVFLCDDIDELEHSNQIKLPKVTVIMPLKGFGEHNLHNWRTQLTSLYGGPLEFLLVVESIEDPAYLPVSRLISEFEGSVDARVVVAGLSTTCSQKIHNQLVGVETMHKDSKYVLFLDDDIRLHPGSIGTLAREMEKNPEIFIQTGYPLDLPSGSLGSYCIYEYHMPCSMGFATGGKTFFLWGGCMMAIFLQMHADDFRQDNCGVVSGLRDGGYSDDMTLAAIAGAHKKLISSPPVAVFPHPLATDLNFGRYWNYLRKQTFVLESYTTKVNQIMNRALFAVHCYLSWGFVAPYFMAMIHVAAALRFYVKGYSLEEITFTSGGLSMASILATCTLAELLSMWNLTRIEVQLCNMLSPEAPPLSLASYNWCLVFIAMLVDNFLYPISAICSYFSQSINWSGIRYYLKDGKISKIERTKRSQDMAPVFTDLGGKHLYGKKGMPTKGSLLSSFTSFSKGLIKWSQPKRHE >OIW09838 pep chromosome:LupAngTanjil_v1.0:LG06:13736446:13738744:1 gene:TanjilG_20545 transcript:OIW09838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTFSHVPPGFRFHPTDEELVDYYLRKKVTSKRIDVDVIKDVDLYKIEPWDLQELCKIGTNEQSDWYFFSHKDKKYPTGTRTNRATKAGFWKATGRDKAIYTKHCLIGMRKTLVFYKGRAPNGQKSDWIMHEYRLETNENGTTPEEGWVVCRVFKKRMPTMRKVGEYESWYDDQVSLMQDLEFPRPIYHHPTYASPYQQHSHHCKGELELQYNMPHDANFLQLPQLESPKVPYGNFDSSNSNGTTTLQFSSLIQEDQAQTQHCHHHQNLHSIYGNNDEAAAEQVTDWRVLDKFVASQLSNDQNASNAAILHVAEQITMLANGSKRAQTSQEYASTPTSSDQNDLWK >OIW10652 pep chromosome:LupAngTanjil_v1.0:LG06:4327504:4328767:-1 gene:TanjilG_16024 transcript:OIW10652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPLLVTEEEGQSKVLSAASASLQSLDSFSQDGIELKEINYLGLSDCSSVDSCSPTVLIFSDEKKGNLNLKATELSLGLPGSQSPEWNTDLYSLSSAKLDKKLLFPLLPMKDEICLASRKTVVSGNKRGFADTLDGFPQGKFTGNTGMGLMLSPRSSGAQPTTVKEIQSNVLQERHCAANGASISGSAPAPKAQVVGWPPIRSFRKNSMATTSKNNDEVDGKPCPATLFVKVSMDGAPYLRKVDLNNYTTYQELSSALEKMFSCFTLGQCGSHGTPGKEMLSESKLRDLLDGSEYVVTYEDKDGDWMLVGDVPWE >OIW10694 pep chromosome:LupAngTanjil_v1.0:LG06:3908224:3911838:1 gene:TanjilG_16066 transcript:OIW10694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYENNPYRDEDGEALMNYDDFQSDREASPDPNQKGGLMDGLEEDVDNLRGRDRSQTPVFDTDDSKAKPRKRLIKKSDIGKQKVAPELYDEVEEEEGDALRFGREGSEEGDARNKRKKGNEVGSGRKEKRHKGEKRFGGSSSNVNGGKSTPKFGSLRRGSVGNRGRDHDSEEVKDMWDSIAGGDSEDDQEGQRNVDDDNFIDDTGLEPAYYGDEEPRSPGDAPQAEEGEEDDEIKNLFKIGKKKKNKRSPAEIALLVENVMAELEVTAEEDAELNIQGKPAINKLRKLPLLTEVLSKKQLQLEFLDHGVLTLLKNWLEPLPDGSLPNINIRTAILQILNDFPIDLEHYDRREQLKKSGLGKVIMFLSKSDEEISVNRKLAKDLVDKWSRPIFNKSTRFEDMRNVEDERVPYRRPSVKKPVNRAAGMESRDGDLDLDLSQPISGQSSSRQLTSRPEATPLDFVIRPQSKIDPEEIRARAKAAAQDQQRMKMNKKLQQLRAPKKKQLQATKLSVEGRGMVKYL >OIW10765 pep chromosome:LupAngTanjil_v1.0:LG06:3269235:3275414:-1 gene:TanjilG_27711 transcript:OIW10765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASESSGNSRELDQTPTWAVAAVCTVFILVSITLEKSLHKLGTWLGHRQKMALFEALEKVKAELMILGFISLLLTFGQSYIVKICISASVADKMLPCPYREKGTNNASSSGGEHRRKLFSYERRYLAADATSYKCSDEGHVPLLSVNGLHQLHILIFFLAIIHVLYSAVTMLLGRLKIRGWKAWEEETSTHGYELSIDASRFRLTHETSFGCFFRQFYSSVGKVDFQTLRNGFIAVHLAPGSKFNFRKYIKRSLEDDFKVVVGVSPVLWASVVVFLLLNVNGWQTRIWAALIPVVIILAVGTELQVILTKMALEITERHAVVQGIPLVQASDRYFWFGQPQLVLHLIHFALFQYSFGLTNCFRIDYKLAVVELALGVIMLCLCSYSTLPLYALVTQMGSSLKKSIFDEHTAKALRKWHMTVKKKQGVVKLGKSTMRAMDMDGSTTIGSTKLHSSRPTLHRLKTTGHSTHTSIYEDEDEYQSDIQLSPTSSSTTLVVNVDNGHQQQVEENTEETNIQGDFSFVKPSPIEKNHDVELL >OIW09856 pep chromosome:LupAngTanjil_v1.0:LG06:13372916:13374180:1 gene:TanjilG_15338 transcript:OIW09856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATERDEPQPSRWLPILVPTRTAAYGGSIGEDGVTVGNTDYHATYPFLVYVRYASGIVLGYVCELFILTKSPLKQREDTNKVGV >OIW10771 pep chromosome:LupAngTanjil_v1.0:LG06:3223602:3229013:-1 gene:TanjilG_27717 transcript:OIW10771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEINLEKLAFDIDFHPSDNLVAAGLINGDLHLYRYTADSVPVRLLEVHAHTESCRAVRFINGGRALLTGSPDHSILATDVETGSTIARLDNAHEAAVNRLINLTESTVASGDDEGCIKVWDTRQRSCSNSFDVHEDYISDMTFASDAMKILATSGDGTLSVCNLRRNKVQAQSEFSEDELLSVVIMKNGRKVVCGSQTGIILLYSWGCFKDCSDRFIDLSPNSIDTMLKLDEDRIITGSENGLINLIGILPNRVIQPIAEHSEYPVERLVSISSCCTCYVFVLCSVAAELWDLDNILPGSESTQRNEAGEIDSDDDEMDVDVDADSKSNKVNKTKHASNGHTLGGSNNFFADL >OIW10029 pep chromosome:LupAngTanjil_v1.0:LG06:10667788:10672156:-1 gene:TanjilG_32769 transcript:OIW10029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQLSTTTITENKVHQFFDEVGAHKRILGNFTNLFTTLSDHYSSLQNSLSEKSQSIDSKLQTLQSNSTETLDSLLLKENSIPERESAAAALIDEQKETALAEFHKPLPGNLEIGATLKSLCRRMDSVALLRFIIMKRKESAVLRAEISAALAEAVDPVRLLLETVEDYLNSKSEKSGATDKRWACGILIQAIVPESGSKGVEVPRKIVEKAVVVVDRWKGQLDGEEGSGAVGFGPVGVGAFGAAEAVMFLQMVVFFGLRDRFDEEYLRKTVMEFASRRDMAKVAATLQFGDKIIDIIDELVKNGKEVEAVYFASESGLTEKFPPINLLKSSLRNYRKNAATISKKGNNSQAATDDSNTESNFIRALIRCIEDHKLESEFNLDSLRRRIAQLERSKSDRKRGSASASKPPSKRAYGTSSSRGSRGSGSSRPAKSAKFSSYPSPSSSSFSRRNLAPSLQPSPTARYSGPYNYPTQTVYDSSTPNPYAATYGTSHAQSPAGLQQHYSLPADNLASSGYQSTSSYAASSYAPSSYAPSSYAPSSYAPSSYAPSSYPTGQTSYGGIYDYGNAAPPNYQTTYTLDQTSYRG >OIW09602 pep chromosome:LupAngTanjil_v1.0:LG06:22329593:22330984:1 gene:TanjilG_28201 transcript:OIW09602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSQSFNLYNKNNNYPFLLSTLLNSFKAYCIDPTPKAYFFLIKNLTQNSNFQDIVHVLNHIELNEKFETPEFMLMYLIRFYGFADRVQDAVDLFYRIPRFRCTPTVCSLNLLISLLCRNRDCLKMVPQILLKSRLMNIRVEESTFRVLIKALCRIKRVDYALRIVNFMIEDGYGLDVKACSLIVSSLCEQIDLSSVEALVVWRDMRKLGFCPDVMDYTNMIKFLVKQGSGKDALNILNQMKENGVKPDIVCYTIVLSGIVAERDHVKLDELFDEMIVFGLVPDVYTYNVYINGLCKQNKIDEAREIVASMEELGSKANVVTYNTLLGAVCSAGDLNKARVLMKEMRLKGIGLNLHTYRIMLDGFIRKGEINEACSLLEEMLEKCFHPRTSTFDDIIFQMCQKGLFTEALVLMKKLVAKSFAPGARAWEALLLNSGPELQYSEATYDSLIIPEITQLSKLTES >OIW09460 pep chromosome:LupAngTanjil_v1.0:LG06:26323230:26326155:-1 gene:TanjilG_22734 transcript:OIW09460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIFQSRISRLHQFERSASKDNFYVLHGKTRLFNWADHPYLVADAVENGWSRFAFMDYKSCISSSTTSKRSTLLGVYEGDDHGRGNEAGISWEMCQESNELMQKIRLNSGLKGVHCPNNSSMSIASVIRTSLPLPGPPFGNYTFPQEAYFEITILYCNVGYHELVEKRREGEKTKLLIEDGLNGEGNLDNVDEMKLGCKENGKSGSVMFSLGLTAGGAFPMRVPGSYPGSIGFNSNGSIFFDGKEVVSESENAEWVETDRVIGCGFDPKQKKVFFTLDSELVHVFHCQSQHFGTPLRPTLAANIDILVLVNFGQSAFKYAPANAQRTPNPSFIAPLVNSPATILAYEDNNDSQRLSCSTTTFDYDDGSDELFEIVLDASGNFSNTSLVSQNVRQQML >OIW10871 pep chromosome:LupAngTanjil_v1.0:LG06:2385561:2391372:-1 gene:TanjilG_27817 transcript:OIW10871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHALKCPVPENSVGVLKEDAKPAMVWTANDFPKGLKVLLLDGDINSVAETKAKLEAMDYNVSSFSNESAALSAFLSRPEDFHVAVVEVSTSSSQGGFKFLENAKDLPTIMTSNNQCLSTMMKCIALGAVEFLNKPLSEDKLKNIWQHVVHKAFNAGESVLPGSLKPVKESLESMLQLQKKIEQHENKMSIELDNVSRLSDNDHNHYSGSDKYPAPSTPQLKQGERLLNDGDCQEQTNVSTEKESGEHDGDSMSVDTSCENLNNENITQLRKPEKTLIKREDDSADGCKGESAVSLNPHNRKFLSKTGGNTTSPSKAIVPRDSCEIKANRKKMKVDWTPQLHTKFVQAVEQLGVDQAIPSRILELMKVDGLTRHNVASHLQKYRMQKRQIVPRGEWANHRDPIQRSYGAQRPIMAYPPYHSNQTHTPAPVYPIWRQPVIQTAGVQVHMDAWGCPVLPPPQASCFPYTQASISDLNNFDMVGLQNAKTVDYRFAMPQNSFEHHPAEEVVDKIVKEAMSKPWLPLPLGLKPPSADSVLAELSKQGISSIPLGSKFSKPH >OIW10989 pep chromosome:LupAngTanjil_v1.0:LG06:1119494:1121249:-1 gene:TanjilG_22796 transcript:OIW10989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERADENLLPSVYKEVSEAFNAGPSDLGYLTFIRNFVQGLSSPLAGILVINYDRPTILAMGTFCWALSTAAVGACQDFMQVAFWRAINGFGLAIVIPALQSFIADSYKDGVRGAGFGLLSFIGSIGGIGGGVLATIMAGKQFWGIQGWRCAFILMATLSAVIGILVLLYAVDPRQRLTSIHDARESSHSAASVWKDSWTAMKAVIKVKTFQIIVLQGIIGSLPWTAMVFFTMWFELIGFDNNTSATLLSAFAIGCAIGSFVGGSIADKLSQVYPHSARIMCAQFSAFMGIPFSWFLLKVIPQSVSSYLTFCVTLFLMGLTISWNGTAANAPMFAEVVPVKHRTMIYAFDRAFEGSFSSIAAPLVGILSEKMFGYNSKSVDPIKGSSPEALALSKGLLSMMAVPFGLCCLCYTPLYYIFKRDRENARMLAIKEEEMI >OIW10864 pep chromosome:LupAngTanjil_v1.0:LG06:2461064:2461815:-1 gene:TanjilG_27810 transcript:OIW10864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSKRHCFLEEDDGLASLADTNDVGYYRHHPYNFQHGFVSRTLGYAYPTYQTRSARFYDARFYDARFEDHIPHFLEACFLCKKPLGNNRDIFMYRGDTPFCSEDCRLEQIEMDEAKEKNRNLSSMKALRKKEQNKSSTSNKTQDYSIRTGTVAAA >OIW08999 pep chromosome:LupAngTanjil_v1.0:LG06:31880790:31882946:1 gene:TanjilG_05975 transcript:OIW08999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNAVSERFFSLSRRFISSSNPNLITSLSLSSNHNELLDFFDALLQRCLTLHQARQIHSQFIVTSAYRSPFLAARFIAVYARFGFISDARKLFDSTSIEGLNNILLWNSILRANVSNGYYEDGIRLFVKMRKFGFLPDGFTLPLVIRACAHVGNYSLCRIVHCHVFQMGFRNHLHVVNELVGMYGKLDRMEDACHLFDGMPVRSVVSWNTMVSGYALNYDSDGAYSIFKRMEMEGLHPNSVTWTSLLSSHARCGLYVETLELFRLMRERGIEISAEALAVVLSVCADMDEVGRGKEIHGYVIKGGYEDYLFVKNALIGTYGKHEHLGDAHKIFFYMKTKNLVSWNALISSYAEAGLCDEACAVFLELEKSGGHRLVRPNVISWSAVIGGFASKGRGEESLTLFRRMQLAKVMANCVTICSILSVCAELAAMNLGRELHGYAIRTLMNDNILVGNGLINMYMKCGVFKEGHLVFDNIKGRDIISWNSLIGGYGMHGLGENALRIFDEMIKSGMKPDNITFVAVLSACSHAGLVAAGRNLFDRMVTEFRTEPNVEHYSCMVDLLGRAGLLQEASDMVRNMPIEPNECVWGAVLNSCRMYKDTDVAEEIASHILTLKSEITGSFMLLSNIYAASGRWEDSARVRVSAKTRGLKKIPGQSWIEVRTKVYTFSAGNVVHLGLDEVYVILEGLALQMASENYEVNSCFSQQYIEEKSEILLVAN >OIW10307 pep chromosome:LupAngTanjil_v1.0:LG06:8596568:8598885:-1 gene:TanjilG_28058 transcript:OIW10307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLPPPPPSSSSSSIMEPTSFVSHPLMSPSSTMLAPATARFPYHHVPPPSLSSVMPSEPFTTASVVTTTIPYDGSLNSSALNPSGPAKKKRGRPRKYSLDGNVALGLAPTSSTGHGGDNGGGSGGGGGDLSGTLSTEAPVKRPRGRPPGSGKKQLDAHGAGAVGFKHHVIFVNSGEDITEKVMACSQQGTRIVCVLSARGLVTNVTLRQPPLSGRIVSHEGQFEIISLSSSFPQSGNNGEISRTSYMNVVMTGSDGLLLAGGVVGALTAASPIQIVVGSFIPNKKQSSSNVNESSRPSSSAPTSSQMLTFGGSVTQTIPTPQGPSSESSDENDHSSFRGPGLYNNVTQPITNNMQMFQHPLWPDYNNH >OIW11120 pep chromosome:LupAngTanjil_v1.0:LG06:488589:490502:1 gene:TanjilG_22927 transcript:OIW11120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIADVALTPIYKESVHWQNQQVGLGAYPGESILIYLTVNGAVTPMRVLESDSIASVKMRIQTCKGIVGNKKKQKLVFGGRELARNGSLVKDYGVTAGNVLHLVLRLSDLIFIVVRTTCGKEFEFQIDRHRNVGYLKQHIKKKGKGFVDVEDQEIFRDGEKLDDQKLFHDICKSDDDVIHLVIKQSAKVKARPIHKDLELSVVAAGVDFCFEPIIVNPKINFLPFFWDMINSTFDGLQKGNHPIRSSEGTGGTYFMQDSTGMEFVSVFKPMDEEPMAVNNPRGLPVSSNGEGLKRGTKVGEGAFREVAAYVLDHPKAGRRLVSSEVIGFAGVPPTAMVKCLHKAFNHPEGYDCSSKHFKMGSLQVFMKNDGNCEDLGPGAFSVEEVHKITVLDIRMANADRHAGNILFKREPNGQTLLIPIDHGYCLPEKFEDCTFDWLYWPQASQPYSPETVDYIKSLDAEEDLELLKYYGWDVPLECARTLHISTMLLKKGVERGLTPYDIGSIMCRENLNKESVIEEIVCEAQESLLPGYGESEFLESVSQIMNSHLEKLWK >OIW09253 pep chromosome:LupAngTanjil_v1.0:LG06:28694297:28698864:-1 gene:TanjilG_01224 transcript:OIW09253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEKVVEDGENKEKLSRTSNENKGKKGIIYRIWNGIFRSRRNDFEKRLEYISKEEGVVMGRIKRREFSWRRTSKQLIAFSVLFEVIAVGYAFMMTRTMDMNWKMRAIRVLPMFLLPALSTAAYTTFVSFIGMCDRRDQKILEKLRAERQAKINELKEKTNYYTTQQLIQRYDTDPTAKAAAASVLASKLGADSGLKVYLGDESKPGAPTGKSDDVELVQSNRLRNRKQVQSRSTSPGMTTPNHSDKQLVGSRGIDQTQTSDRLAVEHHQPQSSTANGGGWIARIAALLVGEDPTLSYALICGNCHMHNGLSRKEDFPFTTYYCPHCHALNKPKQSDERSISGLNLPNKDVGEVVKDATASAVDSIIKSNSSINTSPEVEEVSESTNLVENAS >OIW10999 pep chromosome:LupAngTanjil_v1.0:LG06:1198113:1204631:1 gene:TanjilG_22806 transcript:OIW10999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAEVKSEEEALLDIKDDGSLLLAASIAEEEEKLLKARMKEEEEQCEEAPDLNETQFNKLDELLTQTKLYSEFLLEKMDDITLNAGEQENRDEQESEPATKKKGRKRKAASQCNTGKAKRAVAAMLTRSKESAKTEDTNMSVEDRIAKEQKELMPLLSGGKLKSYQLKGVKWLISLWQNGLNGILADQMGLGKTIQTIGFLAHLKGKGLDGPYMIIAPLSTLSNWVNEISRFAPSLPAVIYHGDKKQRDEIRRKLMPRTIGPQFPIVITSYEVALSDARKCFMSYNWKYLVVDECNNGATEEELEEKRRAQVVAKLHAILRPFLLRRMKTDVELMLPGKKEIIIYATMTEHQKKLQDHLINKTMGKYLEEKSSSGRATKSLKNLCLQLRKVCNHPDLLESAFDDSYVYPPINEIVDQCGKFQLLERLLEQLFAQKHKVLIFSQWTKVLDLMDYYFSEKGFEVCRIDGSVKLDDRKRQIDNFSDPNSKCRIFLLSTRAGGLGINLTAADTCILYDSDWNPQMDLQAMDRCHRIGQTKPVHVYRLSTAQSIEVLDDIVFGVAEFVVINWWLSIMRRVRMLKRAFSKLKLEHVVIGKGQFHQERAKPVSVDELEEEDILSLLGDEETGADKMIQTGIRDEDLNKLLDRSDLVVHNPKDDEDFKAPVSSFPLKGPGWEVVIPTAAGGMLSTLNS >OIW10592 pep chromosome:LupAngTanjil_v1.0:LG06:4972787:4973116:-1 gene:TanjilG_15964 transcript:OIW10592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFSKKPQVEESSDNRKWVIHGIALREPLKPIYTIPVEKEEGECDIEEECSTTTPTGEEARVPTTLTCPPAPKKRKPSLKYNYRGGGAREFFTPPDLETVFISHAESAK >OIW09184 pep chromosome:LupAngTanjil_v1.0:LG06:30696060:30698010:-1 gene:TanjilG_11322 transcript:OIW09184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMVMAIVLYLTFLMSLLMWNVEARIPGVYSGGAWESAHATFYGGADASGTMGGACGYGNLYSQGYGVKTAALSTALFNNGLSCGACFEIKCANDKEWCHSGSPSIFITATNFCPPNYALPNDNGGWCNPPRPHFDLAMPMFLKIAEYRAGIVPVAYRRVPCRKPGGIRFTINGFRYFNLVLISNVAGAGDVVHTYVKGSRTGWMPMSRNWGQNWQSNAVLVGQAVSFRVTGSDHRSSTSWNIAPPNWQFGQTFTGKNFRV >OIW10496 pep chromosome:LupAngTanjil_v1.0:LG06:6155778:6156884:-1 gene:TanjilG_00434 transcript:OIW10496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTHLPDHFKCPISLEIMSDPVILSSGHTFDRSSIQRWLDHGHRTCPITKLPLPDHPSLIPNHALRSLISTFSLPDSKTRPETCALISTLVSPSSTVSSKAQSLRLLRRFSARDSAFRRCISDSGVVSVVLACVDSNVSSLQESALSLLLTLSLDDDNKVGLVAEGAIDRLVPVLLDREAVPDCRAVAATVLTSLAVVEVNKATIGAYPNAIAALVSVLRDGKGGRERKEAATALYTVCSFPENQRRAVKCGAVPVLLRNVGSGLERGVEVLGVLAKCKEGREEMEGYDGCVKILVHVLSNGSCRGIQYALLALISLCTNSEEMIMVALEEGVLEICVGLMEDDNEKVRRNTSNLIQVLRGNHHWIGL >OIW10333 pep chromosome:LupAngTanjil_v1.0:LG06:8880408:8886747:-1 gene:TanjilG_28084 transcript:OIW10333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFTSKVKIQFPGQEDPVILASQTAFTVSEVEALFELFKSISSSVIDDGLISKEELQLAIFKNRKKENIFANRIFDLFDVKKKGVIDFDDFVRSLNVFHPNAPLEDKIDFSFKLYDLDNTGFIERQEVKQMLIALLFESEMKLADEVIETIIDKTFMDADLNQDGKIDIMEWQNFVSKNPSLLKIMTLPYLSLLSLYFKFNDIDAAAKLVLDMNSLHDCYLNKEDRKDSRKPCFIATGSHNLKNGLKIHVEPQLLQKDSVLKLEEGRQDIVFNRRGKLVLSNIALATFISGYKKEERISELSELVINIQDELYSVAGSSLCSYVIGACIQLGWLETAHDILDDVGATRSLMVWDTYMFLLTAYHNGGMQREANALLKQMRRVGLNEGLSLIGMIEDALKAYRRMCKMKIQPTIQTFAFLLSGYSSLGMDREITYLWGDIKRFMRSDSLVANRDLYE >OIW10286 pep chromosome:LupAngTanjil_v1.0:LG06:8402008:8403801:1 gene:TanjilG_28037 transcript:OIW10286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKASVLYSSQQQNTNSSSYSSSSLSPSMGMVYADMGALSLCPNYGAAVSTSQDCFAPNGGSSWGFPFTRECHIARNFEEHPNNSDIVMEGKGSDCSDGVGENSEQTHQNAYTNEKNPNENHVSGKEVDTTGQSKLCARGHWRPAEDSKLKELVALYGPQNWNLIAEKLEGRSGKSCRLRWFNQLDPRINRRAFSEEEEERLMQAHRIYGNKWAMIARLFPGRTDNSVKNHWHVIMARKYREQSSAYRRRRLSQSFYRRMEEDTTTFVSKDTTSSGIEPPPYCLNLPNNLSTFPYASSFHRSGRSGVEYGLNGSHHMTGGREAIISSSKKVPPTHFGLNAQQTPSELFSGARSNEMVSEYFNQTRYLDNKPNDAPPPQLQSSGYYPYYNTYLMPMQQHQNYNNIYSFSSNSTASVMLTSEELSLSSVAEQHRDNEVVLSSDPPVDAIPTPTFIDFLGVGATTT >OIW08944 pep chromosome:LupAngTanjil_v1.0:LG06:32341721:32342896:1 gene:TanjilG_05920 transcript:OIW08944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTSLGPKHRPRIFYAVAFCLVTISVAADYNTPNYGSEPKHAQYQPHHPNSHSQDQEHPSYKIPIYAYISPPPPHSPPPPSPPPPYVYQSPPPPSPSPPPPYVYKSPPPPSPSPPPPYVYQSPPPPSPSPPPPYVYKSPPPPSPSPPPPYVYQSPPPPSPSPPPPYVYKSPPPPSPSPPPPYVYQSPPPPSPSPPPPYVYKSPPPPSPSPPPPYVYQSPPPPSPSPPPPYVYKSPPPPSPSPPPPYVYQSPPPPSPSPPPPYVYNSEHPLKNWPHIPYFYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYVYKSPPPPPHHDHLPQYHFPYFYQSPPPPTTLY >OIW10962 pep chromosome:LupAngTanjil_v1.0:LG06:913631:918122:-1 gene:TanjilG_22769 transcript:OIW10962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRWFSTLIFSPSSSSSSSAHSSSSDAATPTRGLWVFWTGKRSRRSRKLQQLGDHVGNGKSHPMWHETVDDIVVTHPTSLSRSPSVVSRSSTSSFDRCYNRSTSVAPQPLPLPELVSSAVLRNNRDADSRLPSPKYAVGPTSVSKATQVDGAVDAFSSTGFRMRSVFASQKKNKEQVETRTPGMATQDTNGAASTRSDSNFWISVPARSVPSSPFGSPTIKPHITRNEDFVPYHYVTPKTNQFWSAPEMPKYETLAGLPLPAFFDLSSLGSTINNNSTYSPHQSPRGTSPCQQQHPKSPSTRSSSPMPRRENIAPLTVHPLPLPPWAGSGAGAGSALPSPSSTYTQPGTKTEPLSMKSKWQKGKLIGRGTFGSVYVATNRENGALCAMKEAEIFSDDPKSAECIKQLEQEIKVLSHLKHPNIVQYYGSEIVEDKFYIFLEYIHPGSINKYVRDHCGAITESVVRSFTRHILSGLAYLHSKKTVHRDIKGANLLVDSAGVVKLADFGMAKHLTGHSADLSLKGSPYWMAPELMQAVMQKDNSSDLAFAVDIWSLGCTIIEMFTGKPPWSEYEGAAAMFKVMKDTPPIPETLSPEGKDFLRLCFKRNPAERPTASMLLDHRFLKNNLQQQDISSER >OIW09930 pep chromosome:LupAngTanjil_v1.0:LG06:12504511:12506601:-1 gene:TanjilG_32079 transcript:OIW09930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKIMKLIQNEEQSKEVRNLTHSREETELVGLIEDLYNHYQSLYAMYDGLYGELGKLVSRRRSRRLSMSFSDSDSEYFSPEEVEGNNLRSETYEVLNSEASNEAKKFEEKLTSNMKEIENLSQQKRNLELQVENQAQEVKQLSEKNEELELLLKEKYSAVSILRAKLKNNENQANSNIEELMAQINKLELDAKYLQTQKDEMEEKCKCDENESLAQRKDLMNQLNVMQQNLDSICKQNKELEALMEKKREETSQYSIQIENLKENLAEMSSVEQIRLEEKETFLARIKDLELELETRTNEKNEGEEQLRDITYEIKQLEDENKALQDRNNELKGAMIQSSDDISAFLKEHDGDKNGASMQIMDLKQEVNVLRLELDWLHEQKNKLEQQNERSVKEHAESLAKMENLNLKLSSQIADQAATIERISAEHKQEKILSNKFKLNRQSIERKIEELAQEFRRKMEDNIRLLHQRIHVAEQLNNENKNSCKMTKQRYEQENKLLGEKVTSYEEELRMLKMSATHSPVSPQNRFLDLEALHGLELFVQKGFDFATDKVDEHKDYVMSSVSKMMSEVMFMKDWIKRRNDEMKKLMENANGLNMLLNSKEEQELLLREKVWELEAKVSKEGGDKLNLAKAVSQLEKKVVKLEKNLKEKDEELISLGEKKREAIRQLCFMVDFHRDRCSYLRNLVIEPRKANNRT >OIW09527 pep chromosome:LupAngTanjil_v1.0:LG06:23715021:23721360:-1 gene:TanjilG_13751 transcript:OIW09527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTKSAVDLMESSSEVHFSGFHMDSFEQRKDDIEQPTTSATNMYRQPFVIGVAGGAASGKTTVCDMIIQQLHDQRVVLVNQDSFYHNLTEEELTRVQDYNFDHPDAFDTEQLLRVMDKLKCGEAVDIPKYDFKSYKSDLHPSRRVNPSDVIILEGILVFHDPRVRELMNMKIFVDTDADVRLARRIRRDTAEKGRDIGTVLDQYSKFVKPAFDDFILPTKKYADIIIPRGGDNHVAVDLIVQHIRTKLGQHDLCKIYPNLYVIHSTFQIRGMHTLIRDAQITKHDFVFYSDRLIRLVVEHGLGHLPFTEKQVITPTGSVYTGVDFCKRLCGVSVIRSGESMENALRACCKGIKIGKILIHREGDNGQQLIYEKLPNDISDRHVLLLDPILGTGNSAVQAISLLLRKGVPESNIIFLNLISAPLGVHVVCKSFPRIKIVTSEIEIGLNKDFRVVPGMGEFGDRYFGTDDDDEQVVASSK >OIW10693 pep chromosome:LupAngTanjil_v1.0:LG06:3912704:3916446:-1 gene:TanjilG_16065 transcript:OIW10693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESFLFTLTNPLHSFHNTSSAPFRLTTTASIPVQAEVAPISLQEEPLPDKTPRLIVKPDSLQYPSGYIGAFPNPSRSDNDDTAMSYLTNILSSKVYDVAIETPLELAPKLSERLGVNVWLKREDLQPVFSFKLRGAYNMMAKLSREQLEKGVICSSAGNHAQGVALSAKKLNCCAVIVMPVTTPDIKWKSVERLGATIVLVGDSYDEAQAYAKKRAIKDGLTFVPPFDHPDVIIGQGTVGMEILRQIKDPLHAIFVPVGGGGLIAGIAAYVKRVTPQVKVFGVEPTDANTMALSLHHGQRVILDQVGAFADGVAVKEVGEENFRLCMELVDGVVLVNRDSLCASIKDMFEEKRSILEPAGALAIAGAEAYCKYYGVKGENVVAITSGANMNFDKLRMVTELANVGRKKEALFATILPEEVGSFKHFCQLLRLGHLNITELKYRYNNKENAVVLYSIGVDEDSELKAVQERMELSQLPTSNLTENDLVKDHLRYLMGGQPNIENEVLCRFIFPERPGALMSFLDCFSPRWNITLFHYRGQGGIGANVLVGIHVPQNEMDEFFDRANKLGYDYTMVNNDHALQLLAQK >OIW10492 pep chromosome:LupAngTanjil_v1.0:LG06:6135259:6139694:1 gene:TanjilG_00430 transcript:OIW10492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKKKVSGSTMTLKDFHGGSIPSDLPLPSAPGVTVRNGDRSGYDRTSSWAAPMGRSDHWSRPHTSPMTRHYDDKTPFFPHTALIGRNFDEDERKPLDDGSAPPRTISDDSIRAMPARVQVKPEYVVGGSSLGRQVAPVSHVGTVNLYPARVTETVHVGVNSQGLGGKNKEHGTAGGGGYANVWAMRKEAASVVETEKPSWSRPNAVSSLANASALEKVSSGRWQSKVVHYQTNVDMVRSSEVENGTCASVNGYNIYNRVDEVDEKDYHDAMLARHAERGLGIDSSMQGVRNESLDYERSGVPKYSEVLPRSIAHRANGVQLAQNDDKLSGSELPHPMPSESTGRSKLNLPPKATPLERVEPSVTVNAQVNDPSRVETVYQVHDHANFLKPVSAGNESGKEVGQRPKLNLKPRSQPLEQLEGNTDRERIALFGGARPRELVLKERGVDDAAINSYDVVDHSNRVEHNILRTEKLPDHSVQTRYGEKSDDALHDQRTGRKPERKDQRVDADRVQAQKNWRGDNRRNVKETDKQQPPERPKSPETWRKPVDQPKPSPGGGVGVRYGRATSAVELAQAFSRSVSDPKVNDRFSSGQRGLNSGRTQVPFSRLVGPTSRPQINGY >OIW11050 pep chromosome:LupAngTanjil_v1.0:LG06:1612725:1617485:-1 gene:TanjilG_22857 transcript:OIW11050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGICFSTTKVSGSNSNNNNNVAAAAGSATTSTATPNPNRKWSSNTQSDVSTTMVSIQKQQQPLTGGQRQKVKEESQNNNHRTKDKVSSRRNGGHVPCGKRTDFGYEKDFDLKFSLGKLLGHGQFGYTYVGIHKANGDRVAVKRLEKNKMVLPIAVEDVKREVKILKELAGHENVVQFYNAFEDDSYVYIVMELCEGGELLDRILSKKDSRYTEKDAAVVVRQMLKVAAECHLHGLVHRDMKPENFLFKSTKDDSSLKATDFGLSDFIKPGKRFTDIVGSAYYVAPEVLKRKSGPESDVWSIGVITYILLCGRRPFWDKTEDGIFKEVLRNKPDFRRKPWPTISNAAKDFVKKLLVKDPRARLTAAQALSHPWVKEGGEALEIPIDISVLSNMRQFVKYSRLKQFALRALASTLNEEELSDLKDQFDAIDVDKNGAISLEEMRQALAKDLPWKLKESRVLEILQAIDSNTDGLVDFTEFVAAALHVHQLEEHDSEKWQERSQAAFQKFDLDKDGYITPDELRVHTCLKGSIDPLLEEADIDKDGKISLPEFRRLLRTASIGSRNMMSPHSRLRKI >OIW10580 pep chromosome:LupAngTanjil_v1.0:LG06:5084129:5089881:-1 gene:TanjilG_15952 transcript:OIW10580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKKIREYDSKRLVKEHYKRLSGNDLPIKSAQVTESTDLVELQEKEPWLSSSKLVVKPDMLFGKRGKSGLVALNLDLAQVASFVKERLGNEVEMSGCKGPITTFIVEPFIPHNEEFYLNIVSDRLGNSISFSECGGIEIEENWDKVKTVFVPTGVSLTSEIIAPLVATLPLERACTALNLMAMAVATTTPLCTFKSFSVKGNKTWDVCTEEAQNLHVTLLQIQSVPTQMTTARSQLLSEFRRERGYERRKERIGQVALTFLQIKGEIEEFLNVIFNLFQDLDFTFLEMNPFTLVNGKPYPLDMRGELDDTAAFKNFKKWGNIEFPLPFGRVMSPTESFIHGLDEKTSASLKFTVLNPKGRIWTMVAGGGASVIYADTVGDLGFANELGNYAEYSGAPKEDEVLQYARVVIDCATSNPDGQKRALVIGGGIANFTDVAATFSGIIRALKEKESKLKAARMHIYVRRGGPNYQKGLAKMRALGEDIGIPIEVYGPEATMTGICKEAIQCITASA >OIW11006 pep chromosome:LupAngTanjil_v1.0:LG06:1257542:1258733:1 gene:TanjilG_22813 transcript:OIW11006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHHSCSDDHQSHVMGHCTCGMFHSQTTPYSTLFSMPNHKPYEYDHHEPEMYSYTTTPSSSSVDCTLSLGTPSTRSVEDEEKRSRHERRSISNFCWNLLQPKYSTKSQTKASSRGSNSNNTNDSILPRRCANCDTTSTPLWRNGPQGPKSLCNACGIRFKKEERRANAAAATPTAASCGVVDSAQRYSHHNNSWYSQSQSQKMQCLSPAMGNEFRFMDDANRDSENGIPFLSWRFNVTDTTSFVHDFTG >OIW10253 pep chromosome:LupAngTanjil_v1.0:LG06:8190765:8193348:-1 gene:TanjilG_28004 transcript:OIW10253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTQQHRTPASTPPPRQSHPVESSSAILIPFLSFLAIAAVFLIPSSLPSVLHQVPEGHVGVYWRGGALLKTITDPGFHIKMPFLTHYEPVQVTLQTDQVTDIPCGTKGGVMISFGKIEVVNRLSKKFVYETLLNYGVDYDKTWIYDKIHHEINQFCSSHSLQQVYIDVFDQIDEKMKDALQVDCTRYAPGIEIISVRVTKPTIPDSIRRNFEQMEEERTKVLIAIEKQKVSEKEAETVKKMAISEAEKNANVSKILMEQRLLEKDSSRRQEEIENAMYLAREKSLADADFYRVIKEAEANRLKLTPEFLELKFIEAIANNTKIFFGEKLPNMILDQRLLGNFLQEVSRGEALKMKK >OIW09666 pep chromosome:LupAngTanjil_v1.0:LG06:20520740:20522600:1 gene:TanjilG_11053 transcript:OIW09666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMRLPNLAFAVVILFSLNAVLGIRFVLDREDCFSHNIQHVGDTIHASFVVIQADIAWHWQNSKEGVDLVVKGPSGELVQDFHDSLNEKFEIVARQKGLYRFCFTNKSPYHETIDFDAHSFHFSYFDQHAQDDDILIFAEHFNPLLEQISKLEEALYNIQFEQHWLGAQTERQAIVNNSMSTRTLHKAILEAIALIGASILQIYLIRRLFERKLGVTRV >OIW09503 pep chromosome:LupAngTanjil_v1.0:LG06:24052448:24059175:1 gene:TanjilG_11625 transcript:OIW09503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLTKKKTSKPQKPIAKKNPKNSKVKTPKDDSTSESEQEEILQQQHENDVVDDDSGSDSDLSSDGDDPLVDDFLQGSDDDEEKASGLDSGSGSDLDSDSDDEDIETKSRAIDEEREREKEDADAEMQLNIKEESDEFRLPTSEELEEEALRPPDIANLKRRIEEIVRILSNFKTLRQEGSARKDYIEQLKKDISSYYGYNEFLIGALVEMFPVVELIQLIEAFEKPRPMCLRTNTLKTRRRDLADVLINRGVNLDPLSKWSKVGLVVYDSQVPIGATPEYMAGFYMLQSASSFLPVMALAPQEKERVVDMAAAPGGKTTYIAALMKNTGIIFANEIKAPRLKSLTANLHRLGVSNTVVCNYDGKELPKVLGQNTVDRVLLDAPCSGTGVISKDESVKTSKDLEDIQKCAQLQKELILAAIDMVDANSKSGGYIVYSTCSIMVAENEAVIDYALKKRDVKLVPCGLDFGRPGFTKFRELRFHPSLEKTRRFYPHVHNMDGFFVAKLKKMSSSKPSSKLSEPSEKEEEETELVEEEEKRINDLKENDNETSESKSKKEKRRNPPSKLSNGIKENGKESSDSKYKVEKKRKFPSKPSNDVKENSKESSKREPQKKKKKSQHKEEISKARLNVFSCFDISFWDHSNAVEKNISSYLINIHALSMPYFENLRFL >OIW09722 pep chromosome:LupAngTanjil_v1.0:LG06:19264481:19265815:-1 gene:TanjilG_21248 transcript:OIW09722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEATRVISTTTIKAPSDNNNTNPTPKIHLTPWDLTYLPIETIQKGLLFHNPNNEEKQDISIQIQHLKNTLSTTLSFFPLLTGRFDIIHNEHEDNKNATCYILCNNSGVLFVHAVAENTSVSDIIESKYVPSIVHSFFPLNGVKNYEATSQPLLAVQVTELIDGIFIACTLNHAAADGKSFWHFMNSWAEISRGNNKISKLPSFQRFFYDTIDQPIRFPFTKEDETYSGNPSLLRERIFHFSKEEIAKFKSKANEEANTDQISSLQALLSHVWKSVLRNKHVDPQEVIHYVLMIGVRPRTVPPLEEDYFGNAAIICVVTMKAGEVLESGVGKVALEMNKAISSYTGDNIKSQYEYWVRNPMLLSLVNGASTNAMATSSSPRFNVYGNDFGWGKPLAVRTGPANKATGKVTLHEGVEKGSVDIELCLPYEILEALGNDPYFMNAIP >OIW09591 pep chromosome:LupAngTanjil_v1.0:LG06:22488200:22490744:-1 gene:TanjilG_28190 transcript:OIW09591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVTVIYSNWIYNQGLGRYYVVLDCGSTGTRVYVYQAQIYNKDDSVSSSSSLPIAIKSLRDGSKKNRGGRAYDRMETEPGLDKLVHNVSGLKAALKPLLKWAQKQIPEDAHRSTLVFLYATAGVRRLPSQDSRWLLDSAWNVIKGSSPFVCRKDSVKIITGTEEAYFGWIALNYHSRILGTKPRKETYGALDLGGSSLQVTFEGDREVNGETSLNVRIGSVNHHLTAYSLAGYGLNEAFDKSVAHLFKKEFGSTSAVVANEIKELKHPCLQTGYKDQFTCSRCSANNQEGESPRVKGEMLDKKGQSRTPVMLIGAPNWQECSALAKVAVNLSEWSDVKPGLDCEVHPCALRDNLPRPLGHFYVISGFFVVYRFFNLSSEATLDDILEKGKDFCEKRWDIAKKSVAPQPFIEQYCFRAPYISSLLREGLHITDKHITVGSGSITWTLGVALLEAGKAYSPRFGLHGFDLLQTKINPFILIPILVISFILLLCALSCVANCMPRFFWRQYLPLFRHNSASSASVINIPSPFRFRRWSPIISGDGRTKTPLSPTIASSQERPFSTEHGLSVNNGGGGIQLMESSFYPSASSVSHSYSSSNLSQMQFDSSNIGTFWTPHRSQMRLQSRRSQSRDDLISSVPDAHIVNL >OIW11162 pep chromosome:LupAngTanjil_v1.0:LG06:225007:226804:1 gene:TanjilG_22969 transcript:OIW11162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDSSSRKSLGGGGGGGGYVASEESGEGLPYAPENWPEEGDIWGWRTGRRVTGNGYFQDRYLYLPNRLCETVGSRKKRLCFASKLSLQRYLTTNFPNAHFPSFFSSFQWKIPSIHTPSSHGIAVPIAAVPLQQIAQQHSHSDIDLVKCKAGNIMCHSLLPEEVEKYSPAMPCHMCCTEPHFCRDCSCILCCKTISSAYGGYDYIKCQVKHGDGICAHVAHMECALRSRMAGTVGRDIGLNAEYHCQRCDGRTDLISHVNKLLQTCTATDLDEEIRKKILNLGACLLRGSQKPTVKELQNHIEMEISKLKCVTWNQDRLTAHSTGLSDNDNDAMEVQVNGGPSGSRNGSEEYLPQSLKLEAEIDEVLHALRKSQEFEYEVAEGRLQAHKTFLWNLYQQLDSEKSKLASQNSSHSDALSHVVREREQQIRRELMKLGVMKKVAIGFGRTSKDILKEYFGLEIAD >OIW09809 pep chromosome:LupAngTanjil_v1.0:LG06:14632818:14633819:1 gene:TanjilG_32247 transcript:OIW09809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRMHSSGKGMSASALPYKRTPPSWLKISSQDVEENICKFAKKGLTPSQIGVILRDSHGIAQVRSVTGNKILRILKAHGLAPEIPEDLYHLIKKAVSIRKHLERNRKDKDSKFRLILVESRIHRLARYYKKTKKLPPVWK >OIW09415 pep chromosome:LupAngTanjil_v1.0:LG06:27906011:27911877:-1 gene:TanjilG_14566 transcript:OIW09415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKVTVVGSGNWGSVAAKLIASNTLKLKNFNDEVRMWVFEETLPGGEKLTEVINQTNENVKYLPGIKLGKNVIADPNLENAVKDADMLVFVSPHQFMEGICKLLIGKIKAGAEGISLIKGMEVKKEGPCMISTLISNQLEINCSVLMGANIANEIAMEKFSEATVGYSQHKEVAERWVQLFTTPYFIVTAVDDVEGVELCGTLKNIVAIAAGFVDGLEMGNNTKAAIMRLGLKEMMAFSKLLFPSVKDSTFFESCGVADLITTCMGGRNRKVAEAYATNGGKRSFEELEEELLKGQKLQGVLTAKEVYEVLSHHGWLEMFPLFSSVNLISQGRLPPSAIVEHSDNKSSMIHNVLWHGLLHAFNLPLLPFIRHSHVSMRAPSIRYRSVQELEASRGRTRGPKLAITHSSYSVVQG >OIW09223 pep chromosome:LupAngTanjil_v1.0:LG06:30959199:30959558:1 gene:TanjilG_11361 transcript:OIW09223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASASMTLPLTFATQNKLNPSSSAPFLRPLPKREVVKKSQLKSKVLGIQASLKEKSVAGLTAAAVTASLVIPEMAQAAGNDFSPSLKNFLLSIAAGGVVLTAIFGAVIGVSNFDPVKRA >OIW08954 pep chromosome:LupAngTanjil_v1.0:LG06:32262149:32264962:1 gene:TanjilG_05930 transcript:OIW08954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSVLMGSTILEPSADLEFESHEAAYAFYKEYAKSAGFGTAKLSSRRSRASKEFIDAKFSCIRYGNKQQSDDAINPRPSPKIGCKASMHVKRRQDGKWYVYSFVKEHNHELLPDQAHFFRSHRSSDPLSNDVRMRRRKNSTGVSKLFSAYQNVDCLENFMKHHDKGQSLVLEAGHAQLLLELFMHMQEENPKFFYAVDLNEEHRLRNVFWVDAKGLEDFPFFADVVSLDTTYFTSKYKIPLVLVIGVNHHIQPKLLGCALIADETIYTFAWLLQTWFIAMGERAPQVILTDQNEAIKAAVAAVLPETRHCFCLWHVLEKIPKHLQFLCSWHDSFMEKFNKCIYKSWTEEEFEKRWWKLVDRFNIRDDEWVQSLYDDRSCWVPTFQRDISFAGLSTSSRSDSLNSLFDNYVRIDTSLKEFIEQYRVILEDRHEEEAKANFDAWHETPELKSPSPFEKQMLLVYTHEIYRKFQVEVLGAAACHLKKENDGLTAAYTVKDFENNQSYMVEWNTSNSDISCSCRSFEYKGYLCRHAIVVLQMSGVFSIPPKYILQRWTNAALSRHPIGEKLEEVQSKVRRFNDLCRRAIILGEEGSLSQESYFIALGAITDAFKRCANLNSVENDMRPDASATHVVSNVEEEYQSIIASNNKAPDPKLNTGNKAVRTGRDIVNTENGEGNQGKVLQLELANGKDAFQQMDLTDPRSHNIIPMQFHSMVPTLFHNVSSTPFHHTAASAHLHDNRLPR >OIW09946 pep chromosome:LupAngTanjil_v1.0:LG06:12760747:12769549:-1 gene:TanjilG_32095 transcript:OIW09946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGVPKHERRWASDTVPGKVTVSTETSPGAESYSTAEEFVEVTLDLQDDDTIVLRGVEPVSVVDIADDAVAGSSGYNTPASVPSTPSIRRTSSRGFRHFSQELKAEAVAKAKHFSQELKAELRRFSWSHGNTSRAHSTSSTGGGGGGAGAGFETALAARALRKQRAQLDRTRAGAVKALRGLRFISKKSNGVDAWNEVRSNFDKLSKDGYLYRADFAQCIGMRDSAEFALELFDSLSRKRRMRIDKISRDELFEFWSQITDQSFDSRLQIFFDMVDKNEDGRIIEEEVKEIIMLSASANKLSRLKEQAEEYAALIMEELDPERLGYIELWQLETLLLQKDTYLNYSQALSYTSQALSQNLQGLRMKSPIRRMSFRLLYYLQENWKRLWVLTLWAGIMIGLFAWKFFQYRNKDVFQIMGYCLPTAKGAAETLKFNMALILFPVCRNTITKLRSTKLSSIVPFDDNINFHKTIAAAIVIGIILHVGDHLACDFPRLVHSSELVYQKYLKGVFGHHRPSYIDLVKGVEGVTGILMIILMAIAFTLATKWFRRNIIKLPKPFSRLTGFNAFWYSHHLFIIVYVLLVVHGVKLYLVHKWYLKTTWMYLAVPVLLYIAERTLRFFRSGFYTVHLIKVAIYSGNVLTLQMSKPPQFRYKSGQYMFVQCPAVSPFEWHPFSITSAPDDDYLSVHIRQLGDWTRELKRVFSEACELPVAGRSGLLRADETTKKSLPKLKIDGPYGAPAQDYRKYDVLLLVGLGIGATPFISILKDLLNNIIKMEEQADSTSDLSRGSDLSVGSTDSPSLNKIAPRRKKTLKTTNAYFYWVTREQGSFDWFKGVMNEVAELDQRGVIEMHNYLTSVYEEGDARSALITMVQALNHAKNGLDIVSGTRVRTHFARPNWKKVFSKMCSKHCNGRIGVFYCGAPVLAKELSKLCFEFNEKEQT >OIW10619 pep chromosome:LupAngTanjil_v1.0:LG06:4697170:4700232:-1 gene:TanjilG_15991 transcript:OIW10619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKVKVVLKLIQEDGDSFAKRAEMYYKKRPELINFVEESFKAYRALAERYDHISTELQNANNTIASVFPDQVPFMDEDDDALPVPSRKQLPEGFKPDSLKVPKPPLEDLKTIITTETTIKKIQSKKPTAATPKVPQSGLSREDAVEEVDKLQKQILELQTVKEFVKSSYDSAISRYWETEQQINELQDRVSILQDELGEGIIIEDAVARRLMAQAALTSCQETLTQLQVKQKQSLDETRIETTRIKDVKAKLSCLMNEFQYDQIKSKEPRVKRDVKKETDTKNLEEIDNLTQQTQELKSLTQNNKEHLGAESNSSLSVTEMSEKVDELVSKVISLETSVSSQSAVVKRLRTETDELEDHIRILETDKESLINDKNKLNDLLRQMERKLYDVEDLNQIVNDQNSNLQTHFTEAFSNLDHLSEKVQNMHPDTEIKATDLSHTQRDSSGQAEWKHEHEGEVALNQDNIFLNDVQLDEELKVTDLVEDASNSHKELTDVLEYAVNSDKELKVTNISQDGVTLENELYVAGTFDDGVTSDKELNVTGTLRDIVPSDGELKITSSPENELKVTSPLENDLKSGNEVMTVGSLEMEEASQVENKSPKDLEEQEKTLDQSNSDKKATVALIHNQEVSEHPESNQADSCLESFEEQQENDVKQVQYKTDNPLKVDLVEQTTTQEDEPDWQKMFMNGMQGREQVLLKEYTTILRNYKDMKKRFAEIEKKNQDKDSSSQLKEMKTANALKDEEIRLLRQKLGFLMKSFEGNEDIAREHSLGELLKIEHHEYTTSAIEEKFRSNIDELLEENLIFWIKLSTSSAEIQKFETSIKDLITEVSKVEEKVKSSEGNSSIKFAIQSYARPIYKHLREIETEMTVWLGKSAMLKEELQCRFSSLCNIQEEITTTLNISAEDDDFKFTSYQAAKFQGEILNMKQENNRVADELQAGLDIVASLQLELGKVLLKLNENFGLSASKRQQSGQLRQSESKATIPLRSFIFETKPKKQSIFSCMPPRMHRKHHALKAHGNA >OIW09702 pep chromosome:LupAngTanjil_v1.0:LG06:19334594:19336897:1 gene:TanjilG_06508 transcript:OIW09702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNIGIMDSAYFVGRSEILSWINSTLQLNLSKVEEACSGAVHCQLMDAAHPGTVPMHKVNFDAKNEYDMIQNYKVLQDVFNKLKITKCIEVNKLVKGRPLDNLEFMQWMKRYCDSVNSGAYNYNPLERREVSKGGREVGKKSAQSHSSTKNSSAPKSHSSHTARRNDVSSTNNTNQAAKAVRPSSASNTAYEKQITELKLSVDNLEKERDFYFGKLRDIEILCQTPEIEHLTVVAAIQNILYSVNDDGTAMAEAQAMISGNREGLLSPIAEISEEKISSENQKRKSIVNLDFDAAGIANLSPRQRLSDISNVHCSESPLMPH >OIW10804 pep chromosome:LupAngTanjil_v1.0:LG06:2906290:2906685:1 gene:TanjilG_27750 transcript:OIW10804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVFMYVLQIKYRLESAIRNCNQFQVMMSKKLLIGRIPLLNRWKFHSSRTECFCRLSSHNGIWLQDCHQVANLDIRRAHQNCHVRKGTYEFTVMK >OIW09932 pep chromosome:LupAngTanjil_v1.0:LG06:12564120:12565399:1 gene:TanjilG_32081 transcript:OIW09932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPQFSFGFGVVVIFATLIFAVHAQTPAPAPSPTSDGSIIDQGIAYVLMLLALVLTYLIH >OIW10208 pep chromosome:LupAngTanjil_v1.0:LG06:7616646:7620466:-1 gene:TanjilG_27959 transcript:OIW10208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQADSIHSGSISFGRFENEPLCWERRSSFSHNRYLEEVEKCSKPGSVTEKKAYFEAHFKKKGLRGIIPSAGHDRSDISTSENDGSERIGNQDDFESNDGYVQFDQGSKEEYEPNEDRHYVPIEKRFEDGFEQNVDDHYVQFEQRFQEGFVQDESDYYVEQRSQEEFEPNDGYYVQFGDIPVSSDSDYHGDYGVIGYAREDAISECTMLSFSSPLTESSMNISNGLEKVTSKTITLDEAHNFENERGKLLSTNDEVVTEVKKVDNDNENTDETSRNMSMTENESAPDAEKAILHDNSYPSPKTEPGLNSEVNNAGVLKSTSSRSPKNPERRTNMEKSLPKLAVPTTCSSRRNAKEVSRSAKKLIRESKSEKVLRVKKAAESQPSVLKTDSKGVQESERLYRAFDSTKADEKKEAEIKKLRKSLNFKATPMPSFYRATLPSQSHGAKAASNSTRSKSVQYKPKCPRSEAEAASSSKLKMGNDQSADESVPATELCSLSIHEITETNASEASLMISPAPSTNRSCHSNHASENKERAKVTSQKHRASESSEGAKRQSSQGNKNSETTKQSDQTMRKGVRNVGLRNSSRSGNVAVRVAS >OIW09202 pep chromosome:LupAngTanjil_v1.0:LG06:30818870:30820779:-1 gene:TanjilG_11340 transcript:OIW09202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLEIEEGCVQLSNIAISQTHGEDSPYFAGWKAYDENPYHEITNPSGVIQMGLAENQVSFDLIEKYLEEHSEAATWGKGAPSFKENALFQDYHGLKSFRTAMASFMEQIRGGRAKFDPSRIVLTAGATAANELLTFILANPGDALLVPTPYYPGFDRDLRWRTGVNIVPIHCDSSNNFQITPKALEAAYKEAEAMNIKVKGVLITNPSNPLGATIQRKVLEEILDFVTLKNIHLVSDEIYSGSVFSSSEFISIAEILEARKYKNAERVHIVYSLSKDLGLPGFRVGTIYSYNDKVVTTARRMSSFTLISSQTQQLLASMLSDKGFTENYIKTNRERLRKRYTMIIEGLRSAGIECLEGNAGLFCWMNLSPLLVLQNKATREGELELWNETLHEMKLNISPGSSCHCSEPGWFRVCFANMSEQTLELALQRIRDFMAKRSKTEKMIFKN >OIW09203 pep chromosome:LupAngTanjil_v1.0:LG06:30829705:30835526:-1 gene:TanjilG_11341 transcript:OIW09203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKALLWFVAVSLVVSISHCSKKPVGIARKEDIPYIKCQVCEKLGKELHQQVQKKQAEISPKKISEYQIIEITENSCNLKKSEADWILRIDIVEKGDRLELVEQDSEGQCNSECKTIERACQEVLGYSDTDVAEYLYKSKPNVDSLRNYLCKDLTKTCSTNPPPVPKDRTPGEPFVAKSDKEAEMEKILKSMEVGLEPFVFICVIKKMKALLWFVAVSLVVSISHCSKKPVGIARKEDIPYIKCQVCEKLGKELHQQVQKKQAEISPKKISEYQIIEITENSCNLKKSEADWILRIDIVEKGDRLELVEQDSEGQCNSECKTIERACQEVLGYSDTDVAEYLYKSKPNVDSLRNYLCKDLTKTCSTNPPPVPKDRTPGEPFVAKSDKEAEMEKILKSMEGKVLREKESRKGDWKQVVRKGIADTSATLKKHADRVSNQIRKWWRGKKTTTSKKGSKAGKSEL >OIW10344 pep chromosome:LupAngTanjil_v1.0:LG06:8998219:9001734:1 gene:TanjilG_28095 transcript:OIW10344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ISYQTEEERLQQQNENKEHSSKVYFLKQTVGNACGTIGLLHALGNLTSEVKLENDREMEVAHSVAATSGDTEASDNVDTHFICLACVDGELYELDGRKSAPISHGSSSPSTLLRDAAKVIQSMIKKNPESLNFNVMAISKKSSDGQ >OIW09020 pep chromosome:LupAngTanjil_v1.0:LG06:31716264:31719783:1 gene:TanjilG_05996 transcript:OIW09020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGGSRNEVSPKLLDLISNEKEWNMNKSEGKCSDERKLELKLGPPSGEDWCEDKMKNINNTSTERDESLFSLSYFSNNGFQKQNLSTSDTPSKSILPSSWSSSTSYHHQHKGNNKASSFLVMGNDASKAKVVELQNGAVDKKMFSSPSSANTAVLNNTSQKRNAPTPMVGWPPIRSFRKNLASSSTSKPPLESSQQQEQHDKVVGKKHVDNYGNGGKGLFVKINMDGVPIGRKVDLNAYYSYENLSSSVDELFRDLLAAQRDSSAGGVNKKKEEEKPIMGLLDGSGEYTLVYEDNEGDRMLVGDVPWQMFISTVKRLRVLKSTELSAFTFGSKQEKISTESTMK >OIW09180 pep chromosome:LupAngTanjil_v1.0:LG06:30672914:30675101:1 gene:TanjilG_11318 transcript:OIW09180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSATGKSSSENRKKGRYTKESLHLEYSGENQANSENTLNLEKDGKSEEASLDQTYLETNIVKRDISDKLEKHNDILKICGVEKDLLLKFLHDLDVSRKKLQASSNKSRLTKSGSFPLTESSKMRSVSTRTFKHKQTEIWAFPKGEKLLVGTQAPKISASTLVKDVSNESSMEQKPSTYSRSSQGLNHKGWNQLVLHSFKVIKQKIKHALVEAIHRRYSLKYSITNDEKVVSQILDDGVIQECEKNDSSNETKAYDYDSNKHEVRLMRRTSSLNESLDRYTQLFEKSFSNDVKWHSSKSKSLRLTNEDKVLKSGNPPKFSRSNFSLPSLESLRFILHEVLLDTNIGDTVETDNHVQRKSVSFSSQTDKPLNQIEENEIAETVEGDGKDVNSSPLSGKIVEEIDRVTRDRMEDKHEIAAEDGNFRHEKVEISITIYPNKEVVACLETCSENNTIKQAKGGELNDRSSIVEESESDLAKRRSVDSFEGSSSKNASVTAKDTNTSSDKNFLLHKSVTNNNSDFMYVKKILEVSGFMENEQNQIWHTLDQPLKPSLLKDLYNEIDFSGEEIVSPYDHQLLFNLVNEVLIQIDEMSPTYFPRPFSFNYRLRPTPKEYYLLNEVWTSVNSFLSLRPELDQTLDDVVSRDLAKGSGWMNLQQEEEYVALELEEMIVDDLLDELVFS >OIW08967 pep chromosome:LupAngTanjil_v1.0:LG06:32134235:32136674:-1 gene:TanjilG_05943 transcript:OIW08967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRRMKDHQSSLGFDSDDSDLDMQVEISENHEKVDVFSENLELCAGEGERYAEQPVADITADAEALEPFIDMEFNSREEAREFYIAYGRRIGFTVRIHHNRRSRVNNQVIGQDFVCSKEGFRAKKYVHRKDRVLPPPPATREGCQAMIRLALRDGGKWVVTKFVKEHSHKLMSPSKVPWRGSGKNLVSEDEKDRRIRELSLELYNERQKYKRRCAAYEEQLNMILNELEKYTEHISEKIADVVRSIREIEEEKSDSDGG >OIW10135 pep chromosome:LupAngTanjil_v1.0:LG06:9512188:9516645:-1 gene:TanjilG_21972 transcript:OIW10135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTRDDYKFLTIKDAYSSINQKVNLIGIILEFGFPKRTRGTDYCCSLRIIDETHHQTGMSVNIFAENAERLPHVAAGGDIIQLSHVMVKKHGEEINAVFNKRYSSFALYKGEDGDDVAPYQFSSKFLQRDEDKNFIVNLRKWLVSFELREDASDFPMLREIKEGHINLACKFIAVTLHKMNGLSLFGTVLTPLRMQLEDEMSCPLPLQPECLPLPRELLCTLPTVGSILRITFDIGVDKNYLHLLPIGKWIKFVNMRLEVHAGLWFGVFTPFTKLRYTPNDDCLILECQRLYDERISLELGRMPSSSLPLSTCITEVNYDHVPYVTLMDVLTHLEVTAKFKCVARVVAVLPCEPEKLCSPLGTYRMRLTLEDPTTRIHAFVLAENGETLFDGYPDIDNLTRKLNRLLGISDCDDSIGAKDAPRNPPWVPFCLKSYYIDKSDIWGCRYFRIFDTKIVGEE >OIW10397 pep chromosome:LupAngTanjil_v1.0:LG06:6719271:6720494:1 gene:TanjilG_05545 transcript:OIW10397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQQQQQQQPPSLKLVFLQGPRQGETLHYPPASSIRIGRVARGNNLPIKDSGISSKHLSITSDSGKWVLVDLDSSNGTVLDSNKVTPHVPFDLHNDSTIKIGELTSIHVTLIPHQQGTDNDNNKVVRNPTRRGRTGSVEPGLKGENCVAPPVEVEPVAKQRRGRLAKGKGLITIGDNESGLVGQPDNKRVTRNAKHKRQSVIEIPDSSGHDDVEEPKKGTRAKRNLKKEETVIGNSDAPNEKVEERKNIRVTRNMKNKGIVSGENAQNSNLEECGVEKVEGKKKRGGGCAKKKNVQEERVKVAELEQPKVDDEIELNHHSEDGFKESSADERKGEDCSAQEENLGGICNWPDLEKMSLGDWFDFLEIQLPKQIIGSTEEIIDSMRHKAERLRDYITEQNNDKGKMPV >OIW09309 pep chromosome:LupAngTanjil_v1.0:LG06:29389740:29392170:-1 gene:TanjilG_01280 transcript:OIW09309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALITGTTHHHHHPLFPFFIPFLLFVVDAASDTELLLKFKDSLQNNQVLSSWNASTPPCSAGNSHWIGIRCYNQHIWGLKLENMKLKGVINVDSLTTLPYLRTISFMNNEFQSTWPELNKLVGLKNIYLSNNKFYGEIPDQTFVNMKWLKKVHLSNNIFTCDIPTSLSYLTRLIDLRLDGNKFTGHIPLFPKTLKSLNVANNQLVGEIPVILSNMSASSFSGNQRLCGAPLEACSKKNPAIVKIIVIVVLVAAALVVIGVVMFVLRRRRKQQQQQQTDSMENPGAGASNNQKKRSETSSSDDANSDQRSTRSQGSSTRSKGENMKLSFVRDDREPFDLQELLRASAEILGSGCFSSSYKAALTDGPMMVVKRFKQMNNVGREEFQEHMRRIGRLSHPNLLPLVAYYYRKEEKLLISDFVHNGSLAVRLHGYQALGQPSLDWPSRLKIVKGVAKGIEYLYKEMPSLIAPHGHLKSSNVLLSESLEPILNDYGLVPIINQDLAPDLMVIYKSPEYLQHGRVTKKTDVWCLGILILEILTGKLPASFLQQSHQGKGSELSLANWVDSIVPEEWSSEVFDKDMGAYNYNEGEMGKLLKIALACCQVDVDKRWDLKEAVDKIQEIKERDNSNENENIESEILP >OIW10261 pep chromosome:LupAngTanjil_v1.0:LG06:8243247:8245252:1 gene:TanjilG_28012 transcript:OIW10261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKICCIGAGYVGGPTMAVIAVKCPKIEVVVVDIAAPRIAAWNSDHLPIYEPGLDEVVKQCRGKNLFFSTDVEKHVSEADIVFVSVNTPTKTQGLGAGKAADLTYWESAARMIADVSKSDKIVVEKSTVPVKTAEAIERILTHNNKGINFTILSNPEFLAEGTAIKDLFNPDRVLIGGRETPQGQKAIESLRDVYAHWVPIDRILCTNLWSAELSKLAANAFLAQRISSVNAMSALCEATGADVSQVSHSIGTDSRIGPKFLSASVGFGGSCFQKDILNLVYICECNGLPEVANYWKQVVKVNDYQKSRFVNRVVSSMFNTVSTKKIAILGFAFKKDTGDTRETPAIDVCKGLLGDKAKLSIYDPQVTENQITKDLSMKKYDWDHPAHLQPPSPTSKKEVSVVFDAYEAVKDAHGVCILTEWDEFKNLDYQKVFDNMQKPAFIFDGRNVVDVKKLREIGFIVFSIGKPLDSWLKDMPAVA >OIW09542 pep chromosome:LupAngTanjil_v1.0:LG06:23262365:23262541:1 gene:TanjilG_30861 transcript:OIW09542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKDRVNMKLLTKQLSLDQTLAWLTWTRTWHSETQPGTVGTQAWHKLTCSSRQLSGAP >OIW10997 pep chromosome:LupAngTanjil_v1.0:LG06:1180523:1181535:1 gene:TanjilG_22804 transcript:OIW10997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDDDGLPTTKTVNIKKENSDLALFGKGRYKFWALAAILILALWSMLTGTISLRWSGNLNSLTNDIDTPIHDDLDVLEMEEREKVVRHMWDVYSNSRRIRLPRFWQEAFEAAYEELTSDVSGVRDAAINEIAKMSVRSLHFDPPSIQSAHSTLNHRKMPLSLRFHPDKAPNWPLATLAFDDST >OIW09419 pep chromosome:LupAngTanjil_v1.0:LG06:28030019:28058465:-1 gene:TanjilG_14570 transcript:OIW09419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRKYLTAVILDQYKVSYDARTREGVAVSGSLPKSVILVGHSMGGFVARAAVIHPRLKKSAVETVLTLSTPHQSPPVALQPSLGHYFAHVNSKWREGYKFQTNTGHHVSDPVLSHVVVVSVSGAYNDYQVRSKLASLDDIVPPTHGFMISSTAMTNVWLSMEHQAILWCNQLVVQVSHTLLSLVDSRTGQPFPETQKRLSVFSRMLRSGISHNFHSMMQLPSSKRQSINIPVDNTKDATGFQLEVHNACPPNIHWNDEGLDRDLYIETNVVTVLAMDGRRRWLDIQKLGSNGRSHFVFVTNLEPCSGIRLHLWPKKSKPASSLPSNVRVIEVTSKMMHIPSGPAPRQPEPGSQTEQAPPSAVFWLGPEDMSGFSFLTISVAPRPAQTVSGRPPPAASMAVGQFFNPDEGNQDLSPWFMLQSTYSQKELSLEESHPLAVKLSFAISLGLLPVTFSLKTVSCGIRNSGLPEEEAGDIESSRLCKLRCFPPVALAWDDISGLHIYPNLNSDTLFVDSSPAQWSSTQQSEKTVVLLLVDPHCSYKSSISISVSAAASRLLLLYSPKIVGLSVAVIFFALMRQAYSSDLDLRIPSMLTAVESNLTLLSHFFPLAILPIFFSFFFSLATSQPFPPFASFIGISLICYIFANGFIAILILISHLAFFVTAVSHIFIKTRWQMWERNSSFIFLHWFLDLFSSFLSLKVVRALRGNPVLVTTLAAMVLACLVHPAFGLLILLVSHFYCCHNALCSSFLTASCRTGDQNNEIFDSNSERVKFKFEGSFNRTFPSEDNFSNSPDSSKSFSDTQLDLFHHRHGLLILHLVATLMFAPSVVAWFQRLAMGESLPWLLDSVLSIGVILHGICNSKPESNSFFLSGFPVRRVRLYFIYLIAGYWSYFSGLTLAPYKVFYVMAAVGGISFALSILQRRNRDKKDVTYGSRKLH >OIW08871 pep chromosome:LupAngTanjil_v1.0:LG06:32911041:32917742:-1 gene:TanjilG_05846 transcript:OIW08871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDKEKEKEKETSEKKKYPIGAEHYVIYEEIGQGVSASVHRALCIPFHEVIAIKILDFERDNCDLNNVSREAQTMILVDHPNVLKSHCSFVSDHNLWVVMPFMSGGSCLHILKAAHPDGFEELVIATILREVLKGLEYLHHHGHIHRDVKAGNILIDSRGSIKLGDFGVSACLFDSGDRQRTRNTFVGTPCWMAPEVMEQLHGYNFKADIWSFGITALELAHGHAPFSKFPPMKVLLMTLQNAPPGLDYERDRKFSKSFKQMIASCLVKDPTKRPSASKLLKHPFFKQARSNDYIARTLLEGLPALGDRMEALKRKEEDMLAQKKMPDGKMEELSQNEYKRGISGWNFNLEDMKAQASLIQDFDDAISDISHIGSSCSLSTLDGNERQLPNAIHTPSQSVDMDDKDHQMQNQPTSAPVVVSSVNDVKTGVEKSDDDSSIASSSHEQQFSQNSSSCLDDHSDLNLGEKPDIENGGRSVENIATSSSQHQRRCSSSILPEVTLPPIRAESDKVRNLPPNSSNGDATSVPQIGEDVLTELPSKVSKSSGNSDDTDEKAKVPVVQQRGRFKVTSENVDPDKVTPSVLQKSHSMQVGGFEVISQHNAALLPTTLPLLSTPDNTSSILSGCSYSLFPVLHSVLQTNIHQRETILSLMKQITAGDPPGNNGLCNAMQLEAAHDREKELLHEITELQWRLVCTQEELQKLKTENAQV >OIW10390 pep chromosome:LupAngTanjil_v1.0:LG06:6776528:6780489:-1 gene:TanjilG_05538 transcript:OIW10390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIHYSTSPLALFSFYLLIPFVLINGYQAETTNADSKVISIGAIIDINSRIGKEQQVAMDIAAQRYNNTSNTYKLALYFQDLSDDPFKATALAENMIKKQKVQVIVGMHKWTEATQVAELASQAQIPIISFAAPSITPQMMGIRWPYLVTLANNGTAYVKCIADIVRAYGWQRVVAVYEDDGYGGDYGILALLSEALQVDGSIIEYRLALPSTSYMSDPRKFIHEELLKLIENTQSRVFIVMQSSLDMVIHLFREASQMGLVDRESVWIIPESITNSLEYVNKVDISYMEGALGIKTYYSENSNEYQYFEAQFRRTFRDKYPEEDNRNPGFYALQAYDSIKITAQAVDRMGNRDSSGRNILLSEILSTKYLGLSGEIQFEGMQLLHNPTLTIVNVDGKSYRELDFWTLEQGFTTSLSMEQGGDDASRNTESLSGLVIWPGKLVLRVPKGWNLPTKQNPMKIAVPGRTSFSKFVKVEYGEHGSQVKYTGFCIEIFEKVLKLLEYDLPYEYYPINGTYPDLVQLVYNKTFEAVVGDMTLLSDRLQYVDFTVPYAESGLSMIVPQKSEDSALMFLKPFTWQMWVVTGAILTYTMLVVWYLERGKNPEFQGNWKSQMGTALSFTFFSLFFAHREKMYSSLTRVVMGAWLFLVLILNSSYTASLSSMLTVQQLKPNVTDIEWLKKNNEKIGCDGDSFVRAYLVNVERFKPEDIINISSEYNYHGAFKNHLIAAAFLELPYEKVYASKYCTGYSGSVPSTRFGGLGFMFQKGSPVAKDVSKAILQLLERGELQKLEEKWLNPSGECTNTVISTNAETLKLGNLWVLYVISGGTSTICFILSTIYSLKYSQTPQNYEQGNDTPNHENPWKRAVKLVKKIFSRKHGEVKTQDDVTDCSSWWDYISTTDTPEHQQTTASKLPEIIIHSSPSPLQITPGHSS >OIW10384 pep chromosome:LupAngTanjil_v1.0:LG06:6914804:6916152:1 gene:TanjilG_05532 transcript:OIW10384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLLDNCEGILLSLDSHKSVPAPFLSKTYQLVNDPNTDHIVSWGEDDTTFVVWRPPEFARDLLPNYFKHNNFSSFVRQLNTYGFRKIVPDRWEFANEFFKKGEKHLLCEIHRRKTAHPQQVTMNHHHLSSPMFNNVPGFFPFHDRVSISPPTDSDELPSWCDSPSLTSPTATNISNYNSSVTALSEDNERLRRSNNILMSELAHMKKLYNDIIYFVQNHVKPVAPSNNTYPSFPQPSAPSMMQKQMNNQVQGCYNYYSSNPKQNSPTNTSRSSITIVEQPGDNSNCKTKLFGVSLQSKKRVHPEYGSNDLMDYSEMNNKTRVVLTKDDDLGLNLMPPNPTF >OIW09634 pep chromosome:LupAngTanjil_v1.0:LG06:21802652:21803725:1 gene:TanjilG_28233 transcript:OIW09634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVMSCRHLYGVYFMDYERDSSLRCSKRLNYVFFSSKANTMEIEEKKQAAADVLFQYSKFVMACIGNRARPCDMRLHLMKEISGLPASLNRESPRTAASPDAMGESSSSGTARLDKADSFRAL >OIW09558 pep chromosome:LupAngTanjil_v1.0:LG06:22857596:22864051:1 gene:TanjilG_28157 transcript:OIW09558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTSSNIRKENVVAKIETQKNENKVCDDNKDNNSSTVKAQTIDELHSLQKKKFASTIPKGSFVNSSTFCEDELNQQQLQSISASLASLTRESGPKVVKGDPYKRLKALRIGHVSHHPITPTISVSDSALKFTHFLYNLSPAELYEQAIKYEKGSFITSTGALATLSGAKTGRSPRDKRVVKDHVTQTELWWGKGSPNIEMDEHSFMVNRERAVDYLNSLDKVFVNDQFLNWDPDNRIKVRIVSARAYHSLFMHNMCIRPTPEELENFGTPDFTIYNAGQFPCNRYTHYMTSSTSIDLNLARKEMVILGTQYAGEMKKGLFSVMHYLMPKRHILSLHSGCNMGKSGDVALFFGLSGTGKTTLSTDHNRCIRPTPEELENFGTPDFTIYNAGQFPCNRYTHYMTSSTSIDLNLARKEMVILGTQYAGEMKKGLFSVMHYLMPKRHILSLHSGCNMGKSGDVALFFGLSGTGKTTLSTDHNRYLIGDDEHCWSDKGVSNIEGGCYAKCIDLSREKEPDIWNAIKFGTVLENVVFDEHIREVDYTDKSVTENTRAAYPIEYIPNAKLPCIGPHPKNVILLACDAFGVLPPVSKLSLAQTMYHFISGYTALVAGTEQGIKEPQATFSACFGAAFIMLHPTQYAAMLAEKMQKQGATGWLINTGWSGGSYGCGSRIKLAYTRKIIDAIHSGSLLNAEYKKSEIFGLEIPTEVEGVPSEILDPVNKWSDKKVYKETLLKLAGLFKNNFETFTNYKIGKDNKLTEEILAAGPIF >OIW09955 pep chromosome:LupAngTanjil_v1.0:LG06:11723969:11727361:-1 gene:TanjilG_18262 transcript:OIW09955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTRIFGKPAKQETNALATLGKLNETLEMLEKKENVLLKKAAAEVEKAKEFTRAKNKRAAIQCLKRKKLYEQQVEQLGNFQLRIHDQMIMLEGAKATTETVDALRTGAAAMKAMQKATNIDDVDKTMDEINEQTENMKQIQEALSAPIGAAADFDEDELEAELEELEGAELEEQLLQPATIAPAAQVHVPSGRQPTRPIPAKLTPEEDELAALQAEMAL >OIW09121 pep chromosome:LupAngTanjil_v1.0:LG06:30131861:30135350:-1 gene:TanjilG_11259 transcript:OIW09121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGRMKKYNQQVSPERSIVWKEKSPKYHQNRKVPVVYYLCKNRQLEHPHFMEVPILSPDGLYLRDVIEKLNALRGRGMASLYSWSCKRSYKNGFVWHDLCEDDVILPAHGSEYVLKGSELFDESNSDRFSPISNVKIQSLKQLPEPVSFRSHDEASSSSSQNGKETRNSQEDELSPEKHIGSSDVSPKSIAGKSGPLSLPLTEYKIYKTEGSADASTQTEENVNRPNIRKTCTRGVSTDDGSSEPECNEICEVEEPRVKDNSEICRDTVSPPPSTSSPSSSGGKTETLESLIRADVNKMNSFRILEDDDIRMPTGTRLKASNLLMQLISCGSISVKNHSFGLIPSYKAKFSDSKFPSPLFNTSITLRDFDCLSENPNAMGLRLEDKEYFSGSIVESKILKEEGDRHNVLKRSSYNAERTCQELKSQDREESSSRHSKCILRCVKASLTKQPHNESARSPVSDRPRNSMDRIESLRTSPVPSNGSSRRITEPSSGRRHSKRVDSFREEEKVIKIEES >OIW09162 pep chromosome:LupAngTanjil_v1.0:LG06:30533078:30536390:1 gene:TanjilG_11300 transcript:OIW09162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFGCAGKSSKKSDTNNPIFPDKVKVDLNENGKNEDQNSKDNQLSLDAKILDPKKDSINAKTFTFYELAAATGNFRSDCFLGEGGFGKVYKGNLEKINQVVAIKQLDPHGTQGVREFVVEVITLSSADHPNLVKLNGFCAQGEQRLLVYEYMPLGSLENHLHDLQPGQKPIDWYTRMKIAAGAARGLEYLHDKMTPPVIYRDLKCSNILLGEGYHAKLSDFGLAKVGPSGDNTHVSTRVMGTYGYCAPDYAMTGQLTFKSDIYSFGVVLLELITGRKAIDHTKAGKKLNLVSWARPLFRDRKRFTQMIDPLLEGEFPVRGLYQALAIAAMCVQEQPNMRPAIADVVTALNYLAYQKHEDQFHSARTSGDSLSSPRTKRGGHRRLASSDSETDKSGE >OIW10367 pep chromosome:LupAngTanjil_v1.0:LG06:9195396:9201094:-1 gene:TanjilG_28118 transcript:OIW10367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQLGHNDASFLRLLMMLLLFGIWFLSNNNNISSVEAKNAYATMMYVGTSRDYEFYIAIRVMLKSLARLKVDADLVVIASIDVHNRWVRALEEEDNAKVVRVENLDNPYKHQDNFDKRFQLSLNKLYAWSLVEYDRVVMLDADNLFLQKTDELFQCGQFCAIFINPCVFHTGLFVLQPSTAVFEDMVNELQNGRENPDGADQGFIASYFPDLLDKPMFHPTLNGSKLDGTYRLPLGYQMDASYYYLKLRWSIPCGPNSVITFPGAPWLKPWYWWSWPVLPLGLQWHEQRRKTLGPDWTSLSGLEKSGPTSCPVLNNPYNVLSSWFKSTSLLEWKIRNRPLPVWLLAIANPFNHNYSFDIIFRLNRYGAEMAVILIQSTVYLGILITTRLTRPSLSKLCYRRSDKSIGMMQNSLKLLALWSILAAYLTPFFIIPQTIHPILGWLLYLFGTFALCSIAINAFLLPMLPVLVPWFGIAGALMVMAFPWYSDGVVRALFVFGYAFCVAPILWAAMVRIMAGLQVSLEREGFMPRLGESSPPSWLNKLY >OIW10826 pep chromosome:LupAngTanjil_v1.0:LG06:2726246:2729221:1 gene:TanjilG_27772 transcript:OIW10826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIKSATRCLPPFMSSAITPRQVAVIGAGAAGLVTARELRREGHRVVIFERGNQVGGSWVYTPDVESDPLGLDPNRSVVHSSLYDSLRTNLPRECMGFRDYPFRKREGKGRDSRRFPSHKEVLMYLQDFANDFEIGELVRLETEVVFAGLGEGGKWKVKSRPLKAITECVDEIYDAVVICNGHYVQPRIAHIPGIDSWPGKQMHSHNYRTPEPFQDQVVVLIGGAASAVDISRDIATVAKEVHVADRSIEEDKLGKVFGHDNMWLHSMIDSVHGSTVVFQDGKTVDADFIVHCTGYKFDFPFLETNAAVIVDDNRVGPLYKHVFPPALAPWLSFVGLPWKVLPFPMFELQSNWIAGTLSNRIALPSKEEMTEDIEEFYSSQEASGTPKHYTHRMGDVQWDYDNWIADQCGFPAIEEWRVQMYKATSKNKRAQPESYRDKWEDNDLVLQAQQDFANYI >OIW09119 pep chromosome:LupAngTanjil_v1.0:LG06:30115766:30122053:1 gene:TanjilG_11257 transcript:OIW09119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSRNPSPSPSQVRVLIRPPPSSTSDHPPPPPPSSLPPPPSENVVVVGFISRRPDDSAHLLNRVIDSNAFGSGNLDTPLILDDDDEAIKEWSKRRRISYFHDHDKGILFLQFCSTRCPIGFAETSQGFDSVVEEHEFGDLQGMLFMFSESSHFGTRILRNFRVLQAAKHAMAPSLRSQTTPPIPSRSHSSSSSSRPIPPANNSSPGRGGGNLSRNASGISLMSGLGSYTSLFPGQCIPVTLFVFLDDFSNLPNSSTNEEDASDTSSLSQPSSLSGVAKTNLSAKGSGSVVVLARPASRSEGGFRKKLQSSLEAQIRFLIKKCRTLSGSETTHSGLRIGSTSSSAPLFSLDASRAVVLLDKFSNQRGESLEFATGLVEDVLNGKATSDSLLLESHGQSTNKEDLMSVKEFIYRQSDILRGRGGQVNSNSGSAAGVGMVAVAAAAAAASAASGKTFTTPDLPNFEVWLTSSHHILSKVLCAKGGCLDEFEIIKRKPRPRNTVSPAVEGSLNGTSSLDVAISWLQSGRGISTKFSTLWCQKTIPAAKEIYLKDLPACYPTSQHEANLGKALHAFRSMVKGPAVQLFAKKLEEECTSIWKSGRQLCDAISLTGKLCVHQRHDVETGNADVGASPKPHSSGYFCLHACACGRSRQLCPDPFDFESADASCFSDCDKLLPSVKLPKAKVAEPVQSSSWSLLRIGVARYYEPSKGLLQSGFCATQKFLLKWTIYPEKQKRPNGSTESIMNDGSVIRPAMVEYSADSKKTAVRQSEPAAQNGVEDQRTSLDIVKADDIKVSFGRGFPIFKMRKPFSEVVAGSVAADSGFPPLQQRKLPTSGSEKGVKQSRPSNQSVEQVAVASDNHVSQRSQDISFTPGPLDSHGNGRSGDGDPFLRIGSNILPTLLNDDERSNSHSSLKNVIIYVGFEHECPHGHRFLLNAEHLNELGSSYALSEESLLSSSMEPGSRSRAYHTKVNKNPSWGKVNRSSNGNVSASIRKERDVDKSKEIVPNGDLDGRIHTSVPLKEKKLTSMNILAKHPNIMKDFGGDLHSISMDGNDLAFSMLNQNLPIYMSCPHCRHSRHKKDRMKVMFSSGISQLKRIFMVTPAFPVVLATCPVIQFETSCLPQSVPDRERKLQFSLGCPVILPPDSFLTLKLPFVYGVQLEDGNKHPLNPFEQQPEMTAWITKGTVLQILSKGNNDEVHQTQ >OIW10849 pep chromosome:LupAngTanjil_v1.0:LG06:2561274:2563094:1 gene:TanjilG_27795 transcript:OIW10849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTFIFFILFLVITFQSSIIKVNCANFQATEFCGTGPDIHYPFQIKGQQTQYEALPGFELLCKDNITTIHFPSYGDLVVKSISYDTKKIDLLDPRNCSQGVFMNLDLSHTPFKFYYFLKNFSYLNCSTNLSPRFIEVPCLSGSTYHVYTVDPALYVPSSCEIVKTVAIPFEYSPYVSDNSLGLRLTWDLPESEDNKTLAQTRDSHKARYIENC >OIW10230 pep chromosome:LupAngTanjil_v1.0:LG06:7918065:7924501:1 gene:TanjilG_27981 transcript:OIW10230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRRTPLDLRIHHSGSTQSEESALDLERNCYGHPSSSPLPLPPFAAGAQHSESNTAYFSWPTLSRWNDAAEDRANYFGNLQKGVLPETLGQLPTGQRATTLLELMTIRAFHSKILRQFSLGTAIGFRIRGGVLTDIPAILVFVAHKVHRQWLNHVHCLPAALEGPGGVWCDVDVLEFSYYGAPAQTPKEQLYTELADGLRGSDSSIGSGSQVASQETYGTLGAVVKSRTGNKEVGFLTNRHVAVDLDYPNQKMFHPLPPSLGPGVYFGAVERATSFITDDLWYGIFAGINPETFVRADGAFIPFAEDFNMNSVTTSVKGVGEIGDVNIIDLQSSINSLIGRQVIKVGRSSGLTTGTIMAYALEYNDEKGICFLTDFLVVGENQQTFDLEGDSGSLILLTGRNREKPRPVGIIWGGTANRGRLKLKVGQPPENWTSGVDLGRLLDLLELDLITSNEALQAAVQEQRSGSAARIDSTVGESSPIIPITEKLEASFEPFCLNVEKAPVEDEPSERVNPSLRPYQFHITNEFEPAPNVEYQFIPTYADKSPAHQTYPKEDIEFRSVSELKNGPDEDNFVSLHLGEPEAKRRRHSNSSFSIKELK >OIW09145 pep chromosome:LupAngTanjil_v1.0:LG06:30400496:30401662:-1 gene:TanjilG_11283 transcript:OIW09145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKKSRFDCSSSEEDEDKLNNFLEMNTREGVNDGFSRHRPNESLLPGLVDDVALNCLAWVSRSDYASLSCINKRYNKLINSGSLFGLRKRLGALEQLVYLVCDPRGWEAFDPKINRWITLSRMPCDECFNHADKESLAVGYELLVFGRELMEFAIWKYNLIRRGWVKCQGMNRPRCLFGSSSLGSIAIVAGGSDGFGKVLKSAELYDSSSGSWELLPNMHTPRRLCSGFFMDGKFYVIGGMQSSTVSLTCGEEYDLNTRTWRKIEGMYPYVNGASQAPPLVAVVDNQLYAVEHLTNMVKKYDKENNTWSELGRLPVRADSSNGWGLAFKACGDKLLVVGGQRAPEGEAIVLNSWCPKSGLNNGTIDWQVLGVKEHVGVFVYNCAVMCC >OIW10638 pep chromosome:LupAngTanjil_v1.0:LG06:4543493:4551067:-1 gene:TanjilG_16010 transcript:OIW10638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSASLHDDLASNPLLQDFEFPPFDAVDPKHVRPGIRALLKKLEGDLEELERNIEPSWSKLVEPLEKIVDQLSVVWGIVNHLKSVKDSAELRSAIEDVQPEKVKFQLRLGQSKPIYNAFKAIQESPDWQTLSEARKRIVESQIKEAVLNGVSLEDDKREHFNRIEQELEKLSQKFGENVLDATKKFEKLITDKKEIEGLPATALGLAAQSAVSKGHENATAENGPWVITLDAPSYIAVMQHARNRSLREEVYRAFATRASGGQLDNTEIIEQILKLRLEKAKLLNYNNYAEVSMATKMATVDKAEELLEKLRSASWDAAVQDIEDLKKFSKSQGASEADDLTHWDFGFWSERLRESKYDINEEELRPFFSLPKVTDALFSLVKTLFGIEIESADGLAPVWNKDVRFYRVKDSSGSPIAYFYFDPYSRPSEKRQGAWMDEVFARSRVLARNGTSTRLPVAHMVCNQTPPVGNKPSLMTFREVETVFHEFGHALQHMLTKQDEGLVAGIRGIEWDAVELPSQFMENWCYHRETLMGIAKHYETGESLPEEVYLKLVAARNFRAGSLSLRQIKFATVDLELHTKYVPGGQESIYDVDRRVSERTQVTPPFPEDRFLCSFSHIFAGGYAAGYYSYKWAEVLSADAFSAFEDAGLDNNKAVQETGNKFRETILALGGGKAPLEVFVQFRGREPTPDALLRHNGLLSVPASA >OIW09361 pep chromosome:LupAngTanjil_v1.0:LG06:29754332:29755648:-1 gene:TanjilG_01332 transcript:OIW09361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEIDVPTHFLCPISLQLMKDPVKICTGITYDRENIEKWLFSCNNKTCPVTKQSLLLDTNLTPNHTLCRLIQSWCTLNASLGVQRIPTPKTPIDKAQILKLINEAKRFPDKQLKCLRKLRSFAFEGGRNKNCMESTGAIDFLASTMNCINNIERVDSTLLSKVLNCGCGHDYKDCINCNTGVVDRNLELCRHSELAIEVLFHLNPSEGMLKKLINNEGIHFLESLFQVLRLGNFQSRAYATMLLKSAFEVADPMQLMSVKTVLFVEIMRVLRDKISNQASKAALKIIVELCPWGRNRIKGVEGGAVLVLIELLLDAQERRLCELILAALDQLCGCAEGRAEFLNHGAGLAIVSKKILRVSHVASDKGVRILASICRYSATCRVLHEMLQVGVVSKLCLVLQVNSSLKTKERAKEILKLHSMVWKNSPCIPLPLLSSYP >OIW10513 pep chromosome:LupAngTanjil_v1.0:LG06:5634211:5635177:1 gene:TanjilG_15885 transcript:OIW10513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNFKGYYRYVETTNPDVWFETSEVWEVKAADLTINPVYHVANGVVNLNKVADMYNAQKHNNVNNGDDSEDD >OIW10502 pep chromosome:LupAngTanjil_v1.0:LG06:6191706:6197833:1 gene:TanjilG_00440 transcript:OIW10502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKSAATEEEEALPDHLRCGRTDGRQWRCRRRVMDNLKLCEIHYLQGRHRQFKEKVPESLKLQRKRKKNKKDPVVNFSSNAEIRAQRMKKKRKVSEALGSKKKGFKLKNGDSNMQLELIRMVLQREVEKRKNTKKMKKEEEEDYDDEETESDYNEEELRRELPNGVMAISQASTPHHYSNVGPGSQAHCDVKVGVDYRAVTPRYFRSKNVDRIHGGKLQVVPYGPNMKGKGRRKKCHWCQRSDSWKLIKCSSCQNNFFCMDCIKEGSFDTPYEVKKACPVCRGTCTCKDCLSNQCKDSESKEYLAGKSRVDRILHCHYWICMLLPVLKQISDEQKAVLETEAKSNGKELSDTQMKQLDFGYTEKICCNNCKRPVSDLHRSCLSCSYSLCLSCCQKLSPRRISGGTNSSMFKLPDKLKACISLDQKPISSGNLTGTSVLPAWTSCNGIDNISCPPTELGGCGNSHLDLRCTFPVSWIEEMEVKAEEIVCSYDFPETSDKSSSCSLCFDTDHKINRFKLLQEAAFREDSNDNCLFYPTVLDINGNNFEHFQKHWGKGHPMVVRDVLQSSSNLNWDPLIMFCNYLERSIARYENNKELLESCLDWCEVEINIRQYFAGSLKRHPQKNTCHEMLKLKGWLSSKLFKEQFPAHFAEVIDALPVQEYMNPMSGLLNLAAKLPQGSSKHEIGPYVYISYGCADKEANSVTKLCYDSYDVVNIMAHSTNIPLTTELLTKIRKLLKKQKALCQRESSKISTKQLQEQNVNGIPLLDAQDMEQKGWQSMATEGKDFCRRVNRTSCISTETKKSGTRSVDSNANLDGECDIFSDSEPSLLLHGCVQSTELFTKNNSINPSQNPNSEKSKFTEHSGAQWDVFRRQDVPKLIEYLKKHCDELSYTGDYHHKKMVHPILDQSLFLDSTHKKRLKEEFKIEPWTFQQHVGEAVIIPAGCPYQIRNSKCCVHVVLEFVSPENVSECIKLIDEVRLLPDDHKAKVDKLEVKKLALHSMSTAIKEVHELTCKT >OIW10176 pep chromosome:LupAngTanjil_v1.0:LG06:7351659:7352591:1 gene:TanjilG_27927 transcript:OIW10176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSKHAATIPINPDVAREIPNLLRIYKDGRIERLVGVDKISPGTDSRTNVKSKDVTINPETGLSARLYLPPNTPPSQKLTLLFYIHGGAFCVCTPFNPAYHLHMNTLSADANVVVVSVHYRLAPESPIPICYDDTWEAIQWVAKHVTGNGPEPWLNDHVDFGRVFFGGDSAGANIAHNMALRGGSEGFGGWNLNGIVLACPYFGGDEKDLLVELLYPNYEGVVDAKIHSTKDPKISGLGCNRVLILVAEKDFLRERGERYYEALKKSGWNGTVDINETEGENHVFYLFNPASEKSIALVKKFVEFITQT >OIW10495 pep chromosome:LupAngTanjil_v1.0:LG06:6150067:6150592:-1 gene:TanjilG_00433 transcript:OIW10495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAPPKSDVPIITPDELPEADGLLFGFPTRFGLMAAPFKAFMDANGGLWRTQALAGKPAGIFYSTGSQGGGQEISSLIFVPIGYTFGAGMFEMESVKGGSPYGAGTFAGDGSRQPTELELAQAFHQGKYFAGFAKKLKGSH >OIW11119 pep chromosome:LupAngTanjil_v1.0:LG06:491622:492590:-1 gene:TanjilG_22926 transcript:OIW11119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYHGKSVAASLVQGVYILEKDRQGQRYGVNALAPPWWLFFNFQLLHTLVDDVDSSIFGAIYEFKPPPCYCSYNLHRNPRFVIAFRGTITKGDTVSRDIKLDMHCVINGLHRTSRAQIAIQAVRNMVTSVGGSNIWLAGHSLGSSMAMLAAKTMAKCGIYIESFLFNPPYISAPIEKIKAQKVKHGLRVAGSMITAGLTIAVKGKQQQRSFPTFDPFAALSAWIPCLFVNPSDPICSEYKGYFEHRNKMEEIGAGNIERLATQNSIGCLVMGAFGKESEPLHLIPSASLTVNLSPSKDFKEAHGIHQWWKPEMHLESNLYKYY >OIW09824 pep chromosome:LupAngTanjil_v1.0:LG06:14278529:14280741:1 gene:TanjilG_32262 transcript:OIW09824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWSGCTDEYEKLVIRMSTPRVVIDNAVCSTATIVKVDSARKHGILLDAVQVLTDLNLSIKKAYISSDGRWFMDVFHVTDQNGNKLTDDSVLKYIEQSLGSIHYGGTNGSNGLTVLELSGTDRIGLLSEVFAVMAELQCDVVESKFWTHNGLIASLIYVKDSISGSAIEDSKKIERLEARLRNVLKGDDDIRSAKASISMAVMHPERRLHQMMFADRDFQRNPIFKFTSDTPLVTVQNWAERGYSVVNVQCKDRTKLLFDVVCNLTHMEYVVFHATINTTSDQAYLEFYIRHKDGTPISSEPERQRVIQCLQAAVERRASEGVRLELYTENKQGLLAEVMRTFRENGLNVTRAEISTIEDMAANIFYVTDAIGYPVDPKIIEYVRHKIGLNNLKVKELPLVCHEKSDREDQSVGVGGAVLLSLGSLVRRNLYNLGLIKSCS >OIW10794 pep chromosome:LupAngTanjil_v1.0:LG06:3035037:3037232:-1 gene:TanjilG_27740 transcript:OIW10794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGSRSWIGGLFNRTNTRRSSSGKFVDYPLSPVEEEKLQRLQEQLQVPYDETSSDHQEALRTLWHCSFPNVCLSGLISDQWKDIGWQGPNPSTDFRGRGFISLENLLFFARTYPSSFHRLILKKDGNRATYGYSFAVAGINISFMLIQMLDLCSGKPRCIPGMNFVKLLGENEEAFNILYCIALEMMDVQWLAMNASYMIFNLPKNGEKNGKNIFE >OIW09630 pep chromosome:LupAngTanjil_v1.0:LG06:21858024:21860991:-1 gene:TanjilG_28229 transcript:OIW09630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFLSWGRPSPQQQKACINNSGTFNYEDKYKGATAKSISSLKDDKGLSKDGFLLNNVRVLVGSGVDTFEKGKNALQSWRHFGLNWAFVDPKTPVQQGVKFCVCVKEFLPWVMMPLQVVYVNETNTAKNRVASFGFGSGTLHGHLLAGEERFSVEIDEKNQVWYEILSFSKPNHILSFLGYPYVILRQKYFAHESAKLMQKHINSSKS >OIW08861 pep chromosome:LupAngTanjil_v1.0:LG06:32989476:32991684:1 gene:TanjilG_25104 transcript:OIW08861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVNKQLTHLLVQKRLTFDSDNVFAFYSITFSGEELGQPNFAAPFLAPNATGKAILYGVNYASGGGGILNATGRIFVNRIGMDIQVDYFSITRKQIDKLLGESKAEQYISKQSIFSITVGANDFLNNYLLPILSIGARISQSPDSFIDDMINHFRVQLTRLYKMDARKFVIGNVGPIGCIPYQKTINQLNENQCVDLANKLALQYNARLKDLLAELNDNLPGATFVLANVYDLVMELIENYDKYGFTTSSRACCGNGGQFAGIIPCGPSSSVCTDRYKHVFWDPYHPSEASNLIIAKQLLDGDKRYISPVNLRQLRDL >OIW10551 pep chromosome:LupAngTanjil_v1.0:LG06:5305022:5305834:-1 gene:TanjilG_15923 transcript:OIW10551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIRGKLEGGFEAKSSADKFWGALRNWYTFFPEAFPSVYKAVEVVEGDGKAVGSVFRVSISEDSPFAKSIREKIEAVDDVKRTLILDVAGIDGNVFHIYKKYVLHVSVTPKGDGSVVKVAVEYENPTVKDPEPTEFIDVEVQGFQDLDAYLQNK >OIW10593 pep chromosome:LupAngTanjil_v1.0:LG06:4967456:4967896:1 gene:TanjilG_15965 transcript:OIW10593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTRFKKNRKKRGHVSAGHGRIGKHRKHPSGRGNAGGMHHHRILFDKYHPGYFGKVGMRYFHKLRNKFYSPIVNIDKIWSLVPKEVKDNATKDKVPLIDVTQFGYFKVLGKGVLPENQPIVVKAKLVSKIAEKKIKEAGGAVVLTA >OIW10288 pep chromosome:LupAngTanjil_v1.0:LG06:8414469:8416050:1 gene:TanjilG_28039 transcript:OIW10288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLQNVQNQSLRLVLSTDAKPRLKWTPELHQRFIEAINQLGGAENHIQIQSRDDHCKREISIGSQNQTTESLHIVQALQMQMEVQSKLCEQIEVQKHMQLRIEAQGKYLQSVLKKAQEALTGHNSSTVDVEVAKAELSHLVSIMNKGCPSSPISELTEIRGFSLNCGKRKQNGGTMCSLESSLTSSESEEEEEEKKPQKSNTHSVELSLMAFHTEDDKGSKVDNGACGRKRSTAIDSDGSCVDQPSEKRCCNKLRKLEMLEMIDLNSQYQKDIDTSSKEIDLNCSSSFWGQ >OIW09978 pep chromosome:LupAngTanjil_v1.0:LG06:11600836:11610354:-1 gene:TanjilG_32718 transcript:OIW09978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFQQQRKQLCSRFYHSLPPICKAYGTACLVATAAYQIGLYNPVYIALIYENVFYRFQIWRLFTNFFFLGPFSINFGIRLLMIARYGVQLEKGPFDRRTADFLWMVTFGAFVLLVLSAIPIFWSPFLAISLVFMLVYVWSREFPNAQINLYGLVSLKAFYLPWAMFALDVIFGSPLMPNLLGIIAGHLYYFLTVLHPLAGGKNILKTPVWIHKLVTRWRIGAPPPVSRGQPANNAQQDRGHHLSASAIIGHDGSIWAQSSSFPQFKAQEVTDIMKDFDEPGHLAPTGLHLAGAKYMVIQGEPGAVIRGKKGSGGVTIKKTGQALVFGVYEEPVTPGQCNMVVERSPLLPLLAMMFKAQEVTDIMKDFDEPGHLAPTGLHLAGAKYMVIQGEPGAVIRGKKGSGGVTIKKTGQALVFGVYEEPVTPGQCNMVVERLGDYLIEQGL >OIW10904 pep chromosome:LupAngTanjil_v1.0:LG06:2138815:2141850:1 gene:TanjilG_27850 transcript:OIW10904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWLARTIANSLRLDEDEQELHNIGDNLKSPQNKSESEPVQSDPQSPSSFASTPTARGVKEDLSEITKSISRQLWGVASFLAPPPDSDPVPLKPSASDRNPTSEPSDSNAIDEDIISGIRSDFEEISGRFRSGISKLSGNKTVSDFTKFASSFLQIGSDEAHGLDGVVGLTEEVLAFAGNIAMHPEIWLDFPHFVDPDSDDFDLSDPQQEHALAVERLVPSLAALRMELCPGYISDGCFWKIYFVLLHPRLNKSDADILSTPQIVEARAISSQALDKRSEENKISDFFPGANVPSNEEEERLSVPSSAQFESAPLQTSAVEAAPSMVVSNVEMKKHPVQCTGTHIIDTSVVKAAPVNPTVEQSSSGSANRFLDGSHETYEDDADDWLKEDTSEMVGSGGTSVPICNDEDVSFSDLEEDDNDVPAVHKKTTSGSDSSTKDSRDWVQLSRSTSKDVNSVESRHADSEHSSARNSYTKDSNDWLNVDDIDAM >OIW10876 pep chromosome:LupAngTanjil_v1.0:LG06:2341384:2345070:1 gene:TanjilG_27822 transcript:OIW10876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGIALTPAACNSCFIPSSYSYSSFQSHFHKFKTTRVSLHSPSFTTSDPYVLQLAETFEDSLPSSSSSNSTLLKLREASSQSLLSTPWPSRRDEPFRFTDVSFLRNSQIVPLSLPPNPSLLSSLSLHTDNPPYLTIVGGHLIQSMSKLSEFPKGVYVGTLSAMDPDLTARVLELISGFNGGDLFWSINGIAAPDVTVVYVPEGCRVENPIHFGYFSVEGSSKGSNETYVSNPRVVVVVEKGGELEIIEEFSGTQGSGESYWTNCALEVAIGEGAKLRHSYIQNESSAAAHIKWTSVRQESSSTYELTEVSTGGKLGRHNLHIQQLGPDTVTELSTLHLSVGDQTQDLHSQLVLDHPRGYSRQLHKCIVAHSKGQAVFDGNVKVNRYAQQTDAGQLTRSLLLEPRATVNVKPNLQIVADDVKCSHGAAISDLEETQLLYFQARGIDPKTARRVLTFAFGGEVIDKLPYSSIRERRVPLYCMILKVITFGASCITVCS >OIW10868 pep chromosome:LupAngTanjil_v1.0:LG06:2407650:2419780:1 gene:TanjilG_27814 transcript:OIW10868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSQQSNLFDTASQPDTGNDVYTFLEFNTQGEDFDYPEFHDSTRSPVSWPTPSGSLAEPSERGGGGVGAVSDHQSDGSPVSAAPGSATKGRSGSGGNSQMVDALVLGISGLNFEDTGDDDNFDYGKGDFTEHACKYCGISNPACVVRCNVPSCRKWFCNSRGNTSGSHIVNHLVRAKHKEVCLHKDSPLGETILECYNCGCRNVFLLGFISAKTESVVVLLCREPCLSVNALKDMNWDLSQWCPLIDDRCFLQWLVKVPSEQEQLRARHISAQQINKVEELWKTNPDASFEDLEKPGVDDEPQPVALKYEDAYQYQNVFAPLIKLEADYDKMMKESQSKDNITIRWDIGLNKKRVAYFVFPKEDNELRLVPGDELRLRYSGDAAHPAWQSVGHVIKLTAQEEIALELRASQGVPVDVNHGFSVDFVWKSTSFDRMQGAMKTFAVDETSVSGYIYHHLLGHEVEVQMVRNTLPRRFGAPGLPELNASQVFAVKSVLQRPISLIQGPPGTGKTVTSAALVYHMAKQGQGQVLVCAPSNVAVDQLAEKISATGLKVVRLCAKSREAVSSPVEHLTLHYQVRHLDTSDKSELHKLQMLKDEQGELSSSDEKKYKALKRATEREISQSADVICCTCVGAGDPRLSNFRFRQVLIDESTQATEPECLIPLVLGAKQAVLVGDHCQLGPVIMCKKAARAGLAQSLFERLVLLGVKPIRLQMGQEEISASGTSYLNRTEAANVEKIVTTFLKSGVVPSQIGVITPYEGQRAYIVNHMSRNGSLRQQLYKEIEVASVDSFQGREKDYIILSCVRSNEHQGIGFLNDPRRLNVALTRARYGIVVLGNPKVLSKQPLWNGLLTHYKEYECLVEGPLNNLKQSMVQFQKPKKIYNERRLFYGGGPGVATNDNFGSGATLDRRTGRGRGSYMPPGPPNGTHKPGLHPAEYTMPRVPLHPFHGGPQSQPYAIPSRGAVHGPVGAVPHVSSPGTRGFGAGRGNTGAAIGNHLPHQQGTHQSVGNIGPTFNFPGLENPNSQPSVGGPLSQPGFNNMPAQGASQSFRDQFSVPGMSQDFLGDDFKSQGSHVPYNVADFSTQASQSAYTVDYATQGAQGGFPGNFLNQNSQAGYSRFGSGNDFMSQDYMAHGSPDLFTQAGFSDHLQDDATQSHFSVANPNALQSQMNSLYSQPFAHYNTQSLNLQASQQQSQGQGSQNQKIHYNG >OIW10020 pep chromosome:LupAngTanjil_v1.0:LG06:10733914:10736309:1 gene:TanjilG_32760 transcript:OIW10020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKPIWVPGPVIVGAGPSGLAAAACLKHKGISSLILEKDDILASIWQFKTYDRLRLHLPKQFCQLPLMPFPKNFPSYPTKQQFLAYLKSYAEHFDIKPAFSNTVVSAEFDQRCGYWRVKCEKVKKKEEIEYVCRWLIVASGENAVEVVPQIEGMGEFEGPIMHTSSYKSGSMFCGKKVLVVGCGNSGMEVCLDLCNHNAQPSLVVRDTVHILPQQMLGKSTFGLSMSLLKWFPIRFVDKFLVLMSHVMLGDTAQFGINRPKFGPLELKNLYGKTPVLDVGTVAQIKSGKIKVCRGIKRLAKNAVEFVDGKIESFEAIILATGYKSNVPSWLKGTDMFSEKDGFPRKPFPNGWKGENGLYAVGFSKRGLFGSSIDAKRIAEDIERSWKAVEATHV >OIW09811 pep chromosome:LupAngTanjil_v1.0:LG06:14575864:14577134:-1 gene:TanjilG_32249 transcript:OIW09811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLVWSPETASKAYIETVQFCHLYRQSGIAELVSAMAAGWKAQLIVETWSQGGAISTSIGLAIASRHTSGRHVCIVPDERSRLEYAERMGEAGMSPEIMVGESEEVMEGLNGIDFMVVDSSHRDFSRVLMLAKFGAKGAVLLCKNANSRNGSPCFKWKGVLDERSQRLVRSVFLPVGDGLDIAHVSAIGGNSVSALKGGKRWIKHVDQQTGEVLVIRR >OIW09446 pep chromosome:LupAngTanjil_v1.0:LG06:27302330:27309330:-1 gene:TanjilG_31165 transcript:OIW09446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYLVRENLFIGNISDAAEILQNGTVSITHILSVLSSASISFFSDWRNGLTIPTKEIKKLYAGAAADGGAKTALSPEKLLYSLEYAGNDLKLVRMAVPIRDTENEDLLDYLEVCIDFIDRSRKESSVFVHCFAGVSRSAAVITAYLMRTEHLSLEDALESLRQSCEFVCPNDGFLEQLKLFEKMGFKVDYSTPIYRRFRLKILGDHFSVSGIDSSKLGADPGMPVQISSDVEETTKVENKRRLTYRCKKCRRVVALEENAIDHTPGEGETSFEYKRRSGNPFDNSNESECSSIFIEPLRWMKAVEEGTLEGKLSCAQCDARLGYFNWTGIQCSCGSWITPAFQLHKGRVDISRV >OIW09262 pep chromosome:LupAngTanjil_v1.0:LG06:28796009:28797271:-1 gene:TanjilG_01233 transcript:OIW09262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPRRSSRIDLDELKAHIVKKLGPDKAKQYFYYVNRFLSQRMSKTEFVKSCYRVLGRENLLLHNHFIRSVLKNVCQAKTPPPPLVQPSCPLKSGGRVTNTSPCREDGHEQSVNFHNQNVPVWSNGVLPVSPRKARSGIRDRKVKDRPSLLGPNGKVDSITHQSTPTEDSSGSKIDMENGTLEPCDYQRPIQHLQPVAEQLHENELGNAIQRPAERPRVYVEEPTETSIIENGEAVEQLNHLSFSKSPLIAPLGIPYCSASVGGAHKAMPLSSSDYVSCCDCGRLSDTVTLRSRMEQIATVQGLGGVSMECANMLNVVLDVYLKRLIRSSVDLVGARSTIEPRKPPFSKQQIQGKVVDGMWPNNHLHGQSAVEPEESEHERRPLCSISLHDFKVAMELNPQQLGEDWPLHLEKISLQSFEE >OIW10858 pep chromosome:LupAngTanjil_v1.0:LG06:2499898:2503024:1 gene:TanjilG_27804 transcript:OIW10858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNALAATNRNFQRAARILGLDSKLEKSLLIPFREIKVECTIPKDDGTLVSYVGFRIQHDNARGPMKGGIRYHPEVDPDEVNALAQLMTWKTAVADIPYGGAKGGIGCNARDLSQSELERLTRVFTQKIHDLIGVQRDVPAPDMGTNAQTMAWILDEYSKFHGHSPAVVTGKPIDLGGSLGREAATGLGVIFATEALFAEYGMSISGMTFAIQGFGNVGTWAAKSIFDRGGKVVAVSDISGAITNPNGIDIPALLKHKESNGNLTEFSGADAMDPNDLLVHECDVLIPCALGGVLNKENAADVKAKYIIEAANHPTDPDADEILSKKGVIILPDIYANSGGVTVSYFEWVQNIQGFMWDEEKVNHELKKYMTKAFRNIKAMCQIHNCDLRMGAFTLGVNRVARATLLRGWEA >OIW09659 pep chromosome:LupAngTanjil_v1.0:LG06:21489790:21493790:1 gene:TanjilG_15365 transcript:OIW09659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKVVESLDVSKSKGNKKKKKKNEENVLEEETVSGRCWLRFRFFGSCISLRSKVDSSVSGTTESKSTNDTSRDQPTVPVVSSTTTSNTESNASTSKLEEELKVASRLRKFSFNDLKFATRNFRPESLLGEGGFGCVFKGWIEENGTAPVKPGTGLTVAVKTLNHDGLQGHKEWLAEVNFLGDLVHPNLVKLIGYCIEDDQRLLVYEFMPRGSLENHLFRRSLPLPWSIRMKIALGAAKGLAFLHEEAERPVIYRDFKTSNILLDADYNAKLSDFGLAKDGPEGDKTHVSTRVMGTYGYAAPEYVMTGHLTSRSDVYSFGVVLLEMLSGRRSMDKNRPNGEHNLVEWARPHLGERRRFYRLIDPRLEGHFSIKGAQKAAHLAAHCLSRDPKARPLMSEVVEALKPLPNLKDMASSSYYFQTMQGNHFSTSPNTRNGRTQGGTLLSRNGQQQRSLSISHGTRASPYHHQYPQQSPKPNAKA >OIW09128 pep chromosome:LupAngTanjil_v1.0:LG06:30198504:30198941:-1 gene:TanjilG_11266 transcript:OIW09128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKFSIKKKGGRSSIVKFKFVVEKLQKKLLLVRNKTSSNFDKSYVPDDVKEGHFAVIAEGGDEPKRLVMPLSCLRNSTFLKLLAQAEEEYGYDHDGALTFPCRPSELERILTQQWHEERGYSQTSGLRWSSSNEYMLLQFKPNDI >OIW10882 pep chromosome:LupAngTanjil_v1.0:LG06:2309776:2310612:1 gene:TanjilG_27828 transcript:OIW10882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGLNLGTASRFVQNLHRPDFNLQHQQQQQPQQTESEEDGNHRDPYEDGPHQGLELGSGGNVVTRRPRGRPAGSKNKPKPPVIITKESANTLRAHILEVGNGCDVFDCVATYARRSQRGICILSGSGTVTNVSLRQPAAAGSVVTLHGRFEILSLSGSFLPPPAPPGATSLTIYLAGGQGQVVGGSVVGELTAAGPVIVIAASFTNVAYERLPLDEEENLQPPGHGGGQGSGGGGGGMGNNNRNPFPDPSSGLPFFNLPNVQLPVDGWAGNSGTRPSF >OIW10539 pep chromosome:LupAngTanjil_v1.0:LG06:5378320:5381707:-1 gene:TanjilG_15911 transcript:OIW10539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSCPDRFSDSNLLCSEDTSGVISGESPEFSSSNIDSLPPPLSPSSEEDESIAAFIEDERNFVLGLDYLSRFQSRSLDDSARKESVAWILKVQAYYGFQPLTAYLSVNYMDRFLNSRQLPQTNGWPMQLLSVACLSLAAKMEEPLVPSLLDLQVAGPKYIFEPRTIQRMELLVLGVLDWRLRSITPFSFLSFFACKLDSNGTFTGFLVSRATQIILSNVQEASFLAYWPSCIAAAAVLFAANEIPDWSLVKPEHAESWCEGLRKEKIVGCYQLMQELVIIDNNKKKLPKVLPQLLLTTQSPTSSMRLRKEIIDKDAFNGEIVLVWKKEVGILKYKVPLITTLTDEREDGGTMLKSLERKAGSHREDVSSLLGNIGRLQL >OIW10968 pep chromosome:LupAngTanjil_v1.0:LG06:953213:956240:1 gene:TanjilG_22775 transcript:OIW10968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNSSLSQLFLCFVICLVSVCVVQAEDAYKFYTWTVTYGTLSPLGTPQQVILINGQFPGPRLDLVTNDNVILNLINKLDEPFLLTWNGIKQRKNSWQDGVLGTNCPIPPNSNYTYKFQPKDQIGTFTYFPSTAFHKAGGGFGGLNVYHRSVIPIPYPNPDGDFTLLIGDWYKTNHKTLRQTLDSGKSLAFPDGLLINGQAHSTFTVNQGKTYMFRISNVGLSTSINFRIQGHTLKLVEVEGSHTLQNIYHSLDVHVGQSVTVLVTLDQPPKDYYIVASTRFSETVLTTTSVLHYSNSNSQPSGPLPAPPTDKYNWSTEQARSYRWNLTANAARPNPQGSYHYGKITPTRIINLANSAPLINGKLRYAVNKVSYVNSDTPLKLADYFNIPGIFNTNLLQSNGPETLATSVLPTSHHDFIEVVFQNNENAIQSWHLDGYDFWVVGYGPGQWTSASRSSYNLADALTRHTTQVYPNSWTVILVSLDNQGMWNLRSAIWERQYLGQQFYLRVWNAQQNLANEYDIPHNALLCGKAIGHHHHP >OIW09787 pep chromosome:LupAngTanjil_v1.0:LG06:15387501:15388079:-1 gene:TanjilG_32225 transcript:OIW09787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKAALFLSLNILFFTVVSSTYVPCPPPATPTHTSPPTPKHSPPPTPKHSPPPTPKHSPPPTPKHSPPPTPKQSPPPTPKHSPPPTPIHSPAPKAPSTNPADPKKQPSCPKDTLKFGVCADVLGLVNVQLGKSSKDACCSLIDGLSNLDAAVCLCTALKANVLGINLNVPINLSLILNYCGKDIPKGFECA >OIW10142 pep chromosome:LupAngTanjil_v1.0:LG06:7038221:7040938:1 gene:TanjilG_27893 transcript:OIW10142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSSNGGRSQSPRLLTLAQHLRLYKPPPFPTDEEDDEESSCNSGGKVVSQVGFPESATPIAQRFRPKKAAVLICLFEGDAGDLRVILTKRSSNLSTHSGEVALPGGKEEEGDKDDADTAKREANEEIGLDPELVNVVTVLEPFLSKHLLRVVPIIGLLHDRKAFKPVPNPDEVEAIFDAPLEMFLKDENRNQEEREWMGEKYLIHSFDYEMEHKRYIIWGLTAGILIRAASVVYQRPPAFMEQNPKFKVPPVLSKDNVMG >OIW11094 pep chromosome:LupAngTanjil_v1.0:LG06:674961:676787:1 gene:TanjilG_22901 transcript:OIW11094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREILHIQAGQCGNQIGGKFWEVVCDEHGIDQSGSYVGNSHLQLERVNVYYNEASGGRYVPRAVLMDLEPGTMDSLRSGPYGKIFRPDNFVFGQNGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTNPSFGDLNHLISTTMSGVTCCLRFPGQLNADLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTIPELTQQMWDSKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSSVCDIPPTGLSMSSTFIGNSTSIQEMFRRVSEQFTVMFKRKAFLHWYTGEGMDEMEFTEAESNMNDLVAEYQQYQDATTVEEDEFDEEEEESA >OIW10762 pep chromosome:LupAngTanjil_v1.0:LG06:3290972:3294510:-1 gene:TanjilG_27708 transcript:OIW10762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIELWLLVLMVLSNGFSSTGAGMHNSSIPDSVNIGALFSFNTTVGRMVKLAIEAAIEDVNSDPSILGNTKLNISLQEDSKYQGFLSISEVLQVMARHTVAIIGPQTSTTAHVITHIANELQVPLLSFSANDPTLSSLQFPFFIRTGPNDLYLMTAIADFVNYNGWKDVIAVYVDDDNGRNGISALSDKLSERRCKISYKAPMSPGATREEITDLLVQVALAESRIIVVHTNTNWGPKVFSVAKYLGMMGTGYVWIATGFLSAIIDIGSPLSSDVMDEIQGVITLRMYTPDSELKRRFVSRWKNLAADNKGDSPLGLSPLGLYAYDTVYVLARALDTFFKQGNSITFSSDPKLSILHGDNLHLDALKIFNEGILLCKSIYDININGVSGQFKYTSGGDLVNPAYEIINVIGTGSRRIGYWSNHSGLSVVPPEALYSKPANHFNSSQKLLPVIWPGDTTQKPRGWVFPNNGRVLRIGVPKRVSYQEFATQIQGTDSFKGFCIDVFLSAVNLLPYAVPYKFIPYGDGQNNPSDTELVRLITTGEFDGAIGDITITTKRTKMADFTQPFMETGLVVVAAVRQSDSNAWAFLAPFTPTMWSVTASFFIFMGAVIWILEHRVNDDFRGPPKKQLVTVLWFSFSTMFFAHRENTVSTLGRFVLLIWLFVVLIINSSYTASLTSILTVQQLSSPIKGIESLMNSKEPIGYVQGSFVKSYLVQEIGIDASRLVPLKTPEESSEALKKGPQNGGVAAYIDERAYIELFLSTRCDFTIVGPEFTRNGWGFAFPRDSPLAVDLSTAILQLSENGDLQRIHDKWLLSRACLSQGAKLEVERLNLRSFWGLYLICGLSCLLALSIHLIQIMRQYSKHHSEELEATNQSSGSGSYRLRTFVSFMDEKEETVKNRSKRRQMERISNRSNEGGSSINSNNGYASSTRSGFPNET >OIW09079 pep chromosome:LupAngTanjil_v1.0:LG06:31363892:31366991:1 gene:TanjilG_16306 transcript:OIW09079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNKQSLQERVSTSPETKSPTQFSSEYWFDDACILDMDYFVKTLSCIKEKGVRADLIGSIIAHYASKWLPDLSVGDIGQKGMNQFQGSSPESVTTSWMKKRFFVETLVGVLPPEKDSIPCNFLLRLLRTANMVGVEANYRAELEKRISWQLDQASLKELMIPSFSHTCGTLLDIDLVIRLVKRFVSLDKEGAKSGAALVKVAMLVDCYLAEAAVDAHLSLSEFVALAEALPSHARATDDGLYRAIDTYLKAHSGVSKQERKGLCRLIDSRKLTPEASLHAAQNERLSVRAVIQVLFSQQTKLNHHIDWSTSFSGLRSPSCATFDPPTRCLSKREMNVQQMDIRRLKEDVYRLQSQCNAMQVQMDRIAVERKKSFFKWKKFVFRKGVEEEEKVEDERFGRQTPTDMDTDLVKGRTPHKWRKSMS >OIW10856 pep chromosome:LupAngTanjil_v1.0:LG06:2510819:2520516:-1 gene:TanjilG_27802 transcript:OIW10856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ELDKQTFCDLKVANNTESYVAFKVKTTSPKKYFVRPNTGLIQPWDSCIIRVTLQAQHEYPPDMQCKDKFLLQSTVVNSNTDVDELPPDTFTKESGNTIEEMKLRVAYISPNSPQGSSEDDVLKNSSQKFDASSSQALQQLKEERDAAARQTRQLQQELDMLKRRRSRSDPGFSFIFAIFVGTPLWRNGPPQKPVLCNACGSRWRTKGTLANYTPLHAREEGDDYEDQRVSRVKSMPLNMIKEVKSLKRKLNNDSVVYGGLSPDYNLGFRKALNEVTNNRSSSGSTVSNSESCAQFSGTDASDLTGPVQPVVWDTMVPSKKRTCVGRPEPSSVEKLTKDLCTILHEQQSYFSASSEEDLLFESETPMVSVEIGHGTILMRHPSYIAREEESEASSLSIDNKQCAVNNAYLYPGAILMHNDSSGMNFSSQGVEKDVVNYEEFLKNLTDAEQQQLLKFLPVVDAVKLPDSLKFMFNSSQFKENLTYFQQLLAEGVFDISLSGTKPEDCKTLKRHALSNLSKSKWVEHYYFLKRCKTRSGKSVTLGSNGTVSSYVAHVKRMCENQNQNSPELKTIMRSPKRVVAKAGYEGKEVVEDGSHYSPKSLFALPPEASSLFLDSSNFVEESSDQDLLLEELLHLEQMGSINCYITDFKLLSSQPDSSI >OIW09351 pep chromosome:LupAngTanjil_v1.0:LG06:29689328:29690281:1 gene:TanjilG_01322 transcript:OIW09351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQLHNNTSLSSLPHHLIHSHILTRLHAHALFSAAATSSDFYRLCTVDNHLWHSITTAMWPSLTDPLAASLISPCINHHRPIPMENHSPSQPSELISAVDLYYDGKPLFSKCHVTQTRKGWFLSSSLWIDILEPNEVVPTRLKFSPNDDVQWLKNLEQKLTLSWIMIDPTRKRAVNLSSGSVVSTRWQTLTEELEVVYAVMIEEEVQCSVKVTCCGKVGGEMDVREVSLTMQGMDGRRVMGKDSMVILQRVMEFGNRKRLSRKEKKESYEKFCRMKKERGERLLKKYKNFDLVADSTLLAFTVSVLFFCFLRYSWVC >OIW10086 pep chromosome:LupAngTanjil_v1.0:LG06:9984374:9994139:1 gene:TanjilG_21923 transcript:OIW10086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWEEEIVMRDVTNAGLVVSDRIARELSSHLDLEESLEASRYATHPYSTNPREWPPSVEVANTWELPPVLIERYNAAGGEGTAFCGIFPEIRRAWASVDNSLFIWRFDKWDGQCPEYSGEEQAICAVGLAKSKHGVFVEAIQYLLILATPVELTLVGVCCSGGADGSDPFAEVSLQPLPEYTVPSDGVTMTCVACTDKGRIFLAGRDSHIYELIYSTGSGWQKRCRKICITAGLGSVISRWIIPNVFNFSTVDPIVEMVFDNERQILYARTEEMKLQAYVLGSNGDGPLKKVAEERNLVNQKDAHYGGRQLAGPRGSSRSSKPSIVCISPISSLESKWLHLVAVLSDGRRMYLSTSPSSGSLTGFNSNHHKPSCLKVVTTRPAPPWGVSGGHTLGAMALAGRPQNEDLSLKVEAAYYSAGTLILSDASPPNMPSILVLNRDSSTQSLPSGNLGTSTRSTRALRESVSSLPVEGRMLSVADVLPFPDATATVQSLYSEIEFSGYENSMESCERASGKLWARGDLSTQHILPRRRIIIFSTMGMMEIVFNRPLDILRRLLESNSPRSVLEDFFNRFGAGEAAAMCLMLAARIAHSENLISNVISEKAAEAFEDPRVVGMPQLEGSSALSNTRTAAGGFSMGQVVQEAEPVFSGAHEGLCLCSSRLLFPLWELPVVVVKGGPSGTLSENGVVVCRLSVGAMQVLEHKIRSLEKFLRSRRNQRRGLYGCVAGLGDVSGSILYGSGSALGAGDRNMVRNLFGSYSRNLESNGSGQTNKRQRLPYSPAELAAMEVRAMECIRQLLLRSSEALFLLQLLSQHHVTRLIQGFDANLQQALVQLTFHQLVCSEDGDHLATRLISSLMEYYTGPDGRGTVDDISRRLREGCPSYYKESDYKFFLAVEALERAAVTIDAEEKENLAREAFNSLSKVPESTDLRTVCKRFEDLRFYEAVVRLPLQKAQALDPAGDAYNDEIDATVREQALAHREQCYEIIIRALRSLKGDTTEREFGTPIRSAASESVLDPASRKQYICQIVQLGVQSPDRIFHEYLYQAMIDLGLENELLEYGGPDLLPFLQSAGHRPIHEVRAVTATTSPLGQSGAPMTSNEVKYYELLARYYVLKRQHMLAAHALLRLAERRSISGAPKLEQRCQYLSNAVIQAKNATDSDGLVGSGRSSTDSGFLDLLEGKLAVLQFQIKLKEELEAMALRSEVLSTMSDTVENGLVPEDSSSNTDFANATREKSKELSSDVKSITQLYNEYAVPFELWEICLEMLYFANYSGGADSNIVRETWARLIDQAISRGGIAEACSVLKRVGPRIYPGDGAALPFDTICLHLEKAGLERLNSGVEIVGDEDVARALLGACKGAAEPVLNTYDQLLSSGTILSSPILRLRILRSVLVILREWAMSVYSQRIATSTTGSPLALRGGFSFERTVASQGVRDKITSSANRYMTEIRRLALPQNQTEVVYRGFKELEQSLISPHSFDRF >OIW09797 pep chromosome:LupAngTanjil_v1.0:LG06:14933879:14938924:-1 gene:TanjilG_32235 transcript:OIW09797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILGAMFKLHASGLGVIASTTELEAVSAFSQIVPDTVIFDDFQKFPPTAATVSSSLLLGICGLPDTIFRNAVEMALADSECYGLENSDTRLSCFFNKALVNVGGDMTKLVPGRVSTEVDARLAYDTHAIIRKVHDLLRLYNDINVPPQRLLFKIPSTWQGIEAARLLESEGIQTHLTFVYSFAQAAAAAEAGASVIQIFVGRIRDWARNHSDDPEIESAQLRGEDPGLALVMKAYNYIHKYGYKSKLMAAAVRNKQDLFSLLGVDYIIAPLKVLQSLKESVASPDEKYSFVRRLSPQSAAKYVFSDEELVKWNQTSLTEAMGPAAVQLLASGLDGYASQANRVEELFGKIWPPPNV >OIW10598 pep chromosome:LupAngTanjil_v1.0:LG06:4904056:4909126:1 gene:TanjilG_15970 transcript:OIW10598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSNETSALQEANVPLLDDYIDGEKENDRLSAKIWTESKKLWHIAGPSIFNRVANYTMIVITQAFVGHLGDLELAATSSAINVILGFDMGLMLGMSSALETLCGQAFGGKKYYMLGVYMQRSWVILFITSVIILPWFLFATPILIFFGQPPEIAELAGVVSKCLIPTHFAFAFFFPLHFFLQSQLKNKVIAWVSLLALVVHVFLSWLVLYKFQLGVVGVISAGNVSWWIMVFGYFGYVVWGNCPLTWSGFSLKAFSGLWEFATLSAASGVMICLEFWYDKILMLLTGLLQNAKIPMEAVTICATINIWELMFPLAFLTAVGVRVANELGAGNGKGAKFATIVAVGTSFVISSFFWLLIMVFRTKLAYIFSSNQAVIEEVNKLSPLLGFTVLLNSVQPVLSGVAVGSGWQKYVAYINLGSYYGVGVPLGYLLGFVFDLKVQGIWAGLIFGGTAVQTLILIGVTIRCNWEKEAEKALLHLSKWEGPDKKTEE >OIW10470 pep chromosome:LupAngTanjil_v1.0:LG06:5945848:5949105:1 gene:TanjilG_00408 transcript:OIW10470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAILEVVLENLSSLIQKELGLFLGFDQDLKRLSSTLTAMKATLEDAEEKQFSYRAIKDWLQKLEHAAHILDDILDECATQALQLEYGGSGLLDKVQTSCLSSFNPKHVIFRRKIAKEMRMIRDRLGEIAEEKNQFHFIEMHTERRNRVIDWRQTTSIATKLQIYGREEDADKIVKFLVGDASNFEDLTIFPIVGMGGLGKTTLAQLIYNHERVVNHFEQRIWVCVSEDFNLKRMTKVIIESASGEACEDLDLDPLQKKLQKLLQMKRFLLVLDDVWDEHQENWERLKNALACGAKGASILVTTRLSKVASIMGTTPPYQLSVLSKDDCWEVFKQRAFQPNEECTELVVIGKEIVKKCGGVPLAAKTLGGLLRFKREEKEWLYVKESKLWNLTQDENSVMPALRLSYLNLSIQLRQCFAFCAIFPKGKIIGKHFMIKLWVANGFISSNQMLEAEDVGDEVVNELYWRSLFQDIETDEFGKITYFKMHDLVHDLSQSIAEGVCHNTNDNGVTRLSERICHLLIHNGKSFKQLVDSIQLHQFQSLRTCIIQNPSQQYVQLLSHVFRCYSLRLLDIKALPKLSSSIGYFKHLRLPDRVKFLKSLRHLCLTECNSLSRLAPEIGRLTSLRTLSMYIVGKQRGLLLGELGQLNLTGELHIKHMERVKNVMDAKDANLKSKQLNKLVLSWDRNEESQVQENVEQIIEVLQPHPKELQTFCVEGYPGVTFPQWIASHSLKNLSCVNLMDCESCLHLPPLGKLPSLNFLKISNMKHLKHMDNEPYDVGLVGNYIALEFLQISHCPKLALPCLPSLKQLQIEGKSSHDLLSSIHIFHSLECLRFMDNEELISFPDEMLRGLTSLKKLEIYYYSKLEVLPLEIMNLNAIQELNINHCNSLEPVTDQMLQGFLSLKRLEIVSYCKFNFSTGFQYLTSLEDLTISSCPEVQGFPESLQHMTSLQSLTLCDLCNLRSLPDWLGNLALLHSLIISKCPKLMHLPMSIQCLSTLQSLSIYGCPELKIPAEEDWPTIPHIPDIHVSPETIYTSERGGCYTTYGIA >OIW09236 pep chromosome:LupAngTanjil_v1.0:LG06:30025888:30028155:1 gene:TanjilG_26449 transcript:OIW09236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGQSYYKVLIICVVALFVSTHAQLELGFYAKSCPKAENIITNFVHDHIHNAPSLAAALLRMHFHDCFVRGCDGSVLLNSTSNEAEKDAPPNLTVRGFDFIDRIKSLVEAECPSVVSCADIIALAARDSIVHTGGPSWKVPTGRRDGVISNKTEATNNIPAPSSNFTTLQTLFANQGLDLNDLVLLSGAHTIGISLCTSFSNRLYNFTGKGDEDPSLDSEYAKNLKTLKCKNINDNTTIVEMDPGSRNTFDLGYYSQVVKRRGLFESDAALLTNSTTKSLVNQFLQGSIQKFYAEFAKSIEKMGQINVKTGTQGEIRKHCAFINS >OIW10377 pep chromosome:LupAngTanjil_v1.0:LG06:9358992:9360837:-1 gene:TanjilG_28128 transcript:OIW10377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNEDRNEKTSRGNEWEVVSLTASTYAAAPGPDEVELKDDDKVDLHLQGEAETSSALFMSGHFVFPPSKHENLPLEPEYNEVYDESGGGDVAFEVTSEDGNIPSRKDEKNFSFAGSNVPEEFDGIQYFDDKANKLYVHEKFDEGTTLPGFSLTEKEETMYDSAKYTSFHSETAIGVVTAYGESIDESETLELAEQGANVCPDLSESKSTSKYDNYSPSHLPCEAWWKRRAASFYAHAKEANAFWSVFVAATLMGLVMLGQRWQQERALKLKWQISVNDEVRSRVLAPLFRLKDVIVRGHRHGPLIRGSSTVEG >OIW09992 pep chromosome:LupAngTanjil_v1.0:LG06:11143470:11145203:-1 gene:TanjilG_32732 transcript:OIW09992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKQSTEAKSPQPSVLNCIDLSNPYTNQNVILLKQACTDSGFFYIINHGRSHDFMDEVFAQSKKLFTLPLDQKMKLLRNEKHRGYTPLLDELLDPQNQVVGDYKEGYYVGVEVTEDDPESQKPFYGPNVWPAPDVLPRWKETIEKFQQEALDVGKAVARLIALALDLDASFFDKPEILGEPIAITRLLHYEDKISDPSQGVYGAGAHTDYGLITLLATDDVPGLQICKDRDAKPQIWEDVAPLKGAFIVNLGDMLERWSNCVFK >OIW09708 pep chromosome:LupAngTanjil_v1.0:LG06:18768922:18769876:1 gene:TanjilG_21234 transcript:OIW09708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVETSGEDSSNFNIHSSETESIDSEVAKPHDDWIVATRKKRNPKNLKTITLKGNHNIQRLGGNMEKEVASNTFTWEVGLDSVHSGGSTCATSGVNINSRDIKKWQNVDILMPNNSKSGNTDTGPNSHIIEDDEEIMADESSPPTGMTKKDSSYPPQKFMFKDGKFTIVDKDMDDSMMAQVYTANAHEFMQQSNKTSMDF >OIW09960 pep chromosome:LupAngTanjil_v1.0:LG06:11758282:11765524:1 gene:TanjilG_18267 transcript:OIW09960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGSALSPPQVPMELHHKNRQKLLESLRQHLSLSSRPLHGFVLLQGGEEQTRHDTDHLELFRQESYFAYLFGVKEPGFYGAIDVANGESILFAPRLPDEYAVWLGEIKPLPYFKEHYMVNTAYFADEIASVLQQHYQGSGAPLLFLLHGLNTDSNNFSKPAEFQGIDKLDKDLTTLHPILTECRVIKTDLEISLIQYANNISSEAHVEVMRKAKVGMKEYQLESMFLHHTYMYGGCRHCSYTCICATGDNSSVLHYGHAAAPNDKTLEDGDIALLDMGAEYHFYGSDITCSFPINGKFTSDQSLIYNAVLDAHNAVLSAMKPGVGWVDMHILAEKVILESLKKGQILVGDVNDMLSARLGALFMPHGLGHLLGIDTHDPGGYLKGLERRKEPGLKSLRTARELQEGMVITVEPGCYFINALLLPAMNSPETSKFFNQQVIKKFNGFGGVRIESDVLVTATGCYNMTKCPRTTQEIEAVMAGAPWTISS >OIW10816 pep chromosome:LupAngTanjil_v1.0:LG06:2806926:2809258:1 gene:TanjilG_27762 transcript:OIW10816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQRNPRRRSLLIGGRRPPRPRPKILKRCSSAPLLFSRARDYDDDFDDHFGRSRETIFHHRISLDAFLSSPSLFPSSPYSHSNNQIKTQGYNKEAKVVVNVTVEGSPGPIRTMVKLGSSVEDTIKRVIDRYREEGRSPKLDPNPSSFFQLHDSHFSLQSLDKSDVIGDVGSRSFYLRKNGSLSSLNSFHSESGPCIAAPPLLPSSFIARKINKIVRRAQRLWNIVICSQ >OIW09284 pep chromosome:LupAngTanjil_v1.0:LG06:29094840:29097695:-1 gene:TanjilG_01255 transcript:OIW09284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCEREGEARICYSYFNNLNSFSSSRIMMNALFSSSSSSSSSLYHKLRPQPLTLSVLKLDGSSFHIQVPKTATVSQLKDSVEAVFTHNATPNISWPHVWAQFCLCYDGQKLVNEEDYLRNYGIKDGDQLRFIRHVSNSYNVRRKRLKKRVVISKKHRRSSSQVNSYQSKDHSDDADEVCSDDIATESGKIQLYNEDEQRAGKKKLTEFVGVLFSSPRLAVVKRTRIESKLFCPSMIAKCLMGSFGKIKRIICFGRRRHDFQKHILRQY >OIW10888 pep chromosome:LupAngTanjil_v1.0:LG06:2261999:2265323:1 gene:TanjilG_27834 transcript:OIW10888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMQPNSDASERIARIAAHLNPHNLNMEENDSCSLMGSNCRSKGGAPGFKVAILGAAGGIGQPLSMLMKINPLVSVLHLYDVVNTPGVTADISHMDTGAVVRGFLGQKQLEDALIGMDLVIIPAGVPRKPGMTRDDLFNINAGIVKTLCEAIAKSCPKAIVNLISNPVNSTVPIAAEVFKRAGTYDPSRLLGVTMLDVVRANTFVAEVLGLDPREVNVPVVGGHAGITILPLLSQVKPPSSFTPKEIEYLTDRIQNGGTEVVEAKAGAGSATLSMAYAAVKFADACLRALRGDAGIIECAYVDSKVTELPFFASKVRLGRGGVEEILPLGPLNDYEREGLEKAKKELVVSVEKGVSFARK >OIW10655 pep chromosome:LupAngTanjil_v1.0:LG06:4275764:4279974:-1 gene:TanjilG_16027 transcript:OIW10655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMRGYDREEPEDYGDHDDYEGEEYEEYEGEEEEGYKEEEPRKPTKEELEYLVLRQRLKESIRKQTKKESSSSLADSSGRKKKLPYDNYGSFFGPSQPVIAQRVIQESKSLLENQHQASRPANSTHTNKNTNRVPNGGLKSSSHSQAPPKVSEKIIKAQKIKGTRDYSFLLSDDAPVPKKEAPPQNISMRNSEGRPAQVTAKSQQPMMNGGKLVRGSGDDRKPVSGASHLHPQSGSNYKLTSASKASNASADCRKQLSSSSGNGPALSRKQLGSNSGNEPAGSRRHLGSNSGSGPGRPVEPKGLPSKKPVSTMMNKSSTPGIRSLVNGGHRPSPSKVHSSFPKQNVEQRKDLREQNKPKLVPRQPLAPSKAQVNKPPLKQNQMHSKSQDHHPRNKVVKRRADDDVEDGVDIRSMIRSMFNYNPNKFANDDDDDNMEAGFDEILREERRSAKIAKKEDEEQLRLIEEEEEREQRRRMAKKLGEYHTR >OIW09104 pep chromosome:LupAngTanjil_v1.0:LG06:31591244:31591606:1 gene:TanjilG_16331 transcript:OIW09104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRNSNKISSSLNFKETELRLGLPGCHSHEKNSGFVVGVSLFGMNLNNNNISSVATPLKNLVAGAKRGFSDAIDGSETKANTHQVNNNSSAQTIKEVGNGIVPHSAKLVQEKNVTVPAAK >OIW09613 pep chromosome:LupAngTanjil_v1.0:LG06:22173569:22174162:-1 gene:TanjilG_28212 transcript:OIW09613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRTLRILQSINNATAPPSPETPSTESDYVVILAALLCALICVVGLIAVARCAWLRRSSVPTVAGNSPTQTLANKGLKKKVLNSLPKFTYLDGDAGGNNGNKWVPTTECAICLSEFSAGDEVRVVPNCGHGFHVACIDTWLGSHSSCPSCRALLPPARCQKCGQLPAVVGRETELKFVGGGDGGAASNANCNSGFLP >OIW10287 pep chromosome:LupAngTanjil_v1.0:LG06:8406764:8407132:-1 gene:TanjilG_28038 transcript:OIW10287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHSLNCIEHDVRLCKEEVIVMMEKLGMNVDEDEDGIEEFGEEVSQMLEKEPSLEEVEEAFNVFDENKDGFIEAKELQRVLRILGLEKNLMQCQRMINVFDQNGDELIDHNEFAKLIEQSFG >OIW09248 pep chromosome:LupAngTanjil_v1.0:LG06:28633108:28634253:1 gene:TanjilG_01219 transcript:OIW09248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQLHHVDGLDQSKVRELLRAKENSSQDLITLVGSSGHVWGAAMRSTKASVKPIYISSGHRISLQTAIRIVQMTCKYRVPEPVRQADIRSRDYIRKLEMNAKRK >OIW09164 pep chromosome:LupAngTanjil_v1.0:LG06:30555355:30556500:-1 gene:TanjilG_11302 transcript:OIW09164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRAYPYSPEEKKVRIQRYRTKRNQRNFKKKIKYACRKTLADNRPRIRGRFAKNEEIGKNPTAQWSHIGDGEEEDEENENWVSIFDSLVAANLVHESLDSSSFGSVSLIP >OIW09629 pep chromosome:LupAngTanjil_v1.0:LG06:21874230:21874721:-1 gene:TanjilG_28228 transcript:OIW09629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRKLFKYLPKQSVASSIAFHQNPNLSPSSSVPTKGCRSSVTKVSIIPKEARRKHRSASFSAREPGSPKVTCMGKVKCKKREKTHNEKMVQVSVKKNDDDFVPCDEKKENILLWIFKGRDEEEGPKGNEEKLDTQIAPSLGMMNKFASGRGSLCDFDVKHVQR >OIW10621 pep chromosome:LupAngTanjil_v1.0:LG06:4681266:4686010:1 gene:TanjilG_15993 transcript:OIW10621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSPTEKIYVAVGNDVQDGLKTLAWALNKWNSQPISIVILHVTYNISMDYVYTPFGKLPASSVNDEKLEVLRKYEEEKINKLLSKYVALCGKVPVEIFEVEKFDEPMQKHIIDLIMGLGMTKLVMGFSFMKPSLKSKGAMSALFYVDQHKPGFCELFIICGGKQVFLRGKNDEKITEDDNEVMVEKMKDKITFKDWLDKMFNDKTNSSPDRIASTSTTNLKSHVTQTQWELYLQEIDTYYQELLSLDLFEEGSNQDNDNSEIRPIEPDVIESNSSNKSTAAEKIEILKSKLEEAHKTIQLKRKEAKENIERQEKAQWTICLCNTRIEELESKINEEVSVREELKKEIEAEKEQAYEMRMDFEESKRRVSSLSELQCELSNMLQISTLAKSRAETQLERAVEERTRMVREIEELRRQRDVLNRRIEFCKQKDAIGMAARLSETCCSFREYTEEELRLATENYSERLRLKSSGDLTNVYRGRINHFTVAIKMLNSFASLSQQDFLYKVRILGDIRHPHLVSMVGLCKDPKCIVMEYMHNGSLQDMLFSKRRNRALRWHDRIRIMTEVCTGLGFLNTAQSRPIIHCHLTPSSVLLDRNLVAKITGFGLHECHDEECNIESDIRAVGILLIHLLTGRNWAGLVDGPMTVDLSREAVVSVLDEMAGQWPLDLTEELVGLAMRCMSIKSKPKSELSIARVIEELNEIRRKGDEIVAREGRRVIIGGGVDREGPSDVPAVFLCPILQDVMKNPHVAADGFSYEVEAIEQWLNSGHDTSPMTNLRLNHTFLTPNHTLRSLIQDWRTNTSN >OIW10510 pep chromosome:LupAngTanjil_v1.0:LG06:5670884:5671831:1 gene:TanjilG_15882 transcript:OIW10510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRNDLKLIGGWYSPFVLRVQIALNIKSLDYENIEETLNPKSDLLLHSNPVHKQIPVLLHAHKPICESGIIVQYIDQIWTNAPSILPQNAYDRANTRFWVSYIDDKLVPSMRNILFAEDEAKKKHFIEVEEVLEVMEDVLKKQSDGKAFFGGDNIGFIDIAFGSLLSWLSVIEELNGRKVLVEAKVPALVKWAEIFADNPSVKGVLPETEKLIEYAKVIQQRYAAAVK >OIW10447 pep chromosome:LupAngTanjil_v1.0:LG06:5837815:5838003:-1 gene:TanjilG_00385 transcript:OIW10447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSGKAVIVVDEVEAVVNVVEKVGTVAECVSENIEEKLPDDSKLKEASLVNMHQNKLFMVHN >OIW09959 pep chromosome:LupAngTanjil_v1.0:LG06:11748040:11749794:-1 gene:TanjilG_18266 transcript:OIW09959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLEIEQPCVQLSKIALSETHGEDSPYFAGWKAYDENPYDEITNPLGVIQMGASGFRENALFQDYHGLKSFRTAMASFMEQIRGGRAKFDPERVVLTAGATAANELLTFIIANPGDSLLVPTPYYPGFDRDLRWRTGVNIVPIHCDNSNNFQITPQALEAAYKEAEAMNTKVRGVLITNPSNPLGATIQRKVLENILDFVNSKNIHLVSDEIYSGSVFSSSEFVSVAEILEARGYKNAERVHIVYSLSKDLGLPGFRVGTIYSYNDNVVTTARRMSSFTLISCQTQHLLASMLSDKKFTENYIKSNRERLRKRYQMIIEGLRSVGIECLKGNAGLFCWMNLSPLLLENNKVTMEGELELWNAIVHEVKLNISPGSSCHCSEPGWFRVCFANMNEHTLEVALERICKFMERIRTEGL >OIW09607 pep chromosome:LupAngTanjil_v1.0:LG06:22272892:22276372:-1 gene:TanjilG_28206 transcript:OIW09607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHKDGKPIGQTDKNRIVPMAIIFVVLCGFSFYVGGIFCSQKSRFAFINSQKSLESPKGSFVASLQVKSTSFPECSSEYQDYTPCTDPRRWRKYGSYRLTLLERHCPPIFERKECLVPPPDGYKPPIKWPKSRDECWYRNVPYDWINTQKSNQHWLKKEGQKFLFPGGGTMFPNGVGEYVDLMQDLIPEMKDGSIRTAIDTGCGVASWGGDLLDRGILTVSLAPRDNHEAQVQFALERGIPAILGVISTQRLPFPSNSFDMAHCSRCLIPWTEFGGVYLLEIHRILRPGGFWVLSGPPINYERRWRGWNTTIEDQRSDYEKLQELLTSLCFKMYNKKDDISVWQKSPDNNCYDKLSRETYPPKCDDSLEPDSAWYTPLRACIVVPDPKFKKSGLTSISKWPERLHATPERISMFHGGSASSFKRDDSKWKKHIAHYKKLIPDLGTNKIRNVMDMNTVYGGFAAALTNDPVWVMNVVSSYATNTLPVVYDRGLIGTFHDWCEPFSTYPRTYDMLHLDGLFSAESHRCEMKYVLLEMDRILRPTGYAIIRESSYFVDAIATIAKGMRWGCRKEKTVNGSEMEKILICQKKLWYSSNKS >OIW11013 pep chromosome:LupAngTanjil_v1.0:LG06:1313325:1313666:1 gene:TanjilG_22820 transcript:OIW11013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRSTSTIRGSSKYEKLEKGYDGNETWNEELKRSTSLPSTAQGSAFEGIKLHRNLTKKGNDNKKEKIHPLFNLLDFHRKKKTMAKPELSRYLDYLKEGGIWDSDSNKPVIYYK >OIW10090 pep chromosome:LupAngTanjil_v1.0:LG06:9957676:9959584:-1 gene:TanjilG_21927 transcript:OIW10090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLRDLQRELENKANDLSKLQKDIAKNHQVRKKYTVQLGENELVLKELDLLKEDANVYKLIGPVLVKQDLAEANANVRKRIEYISAELKRLDATVQDLEDKQNSKKDTILKLQQRIQSLQAGKGKA >OIW10105 pep chromosome:LupAngTanjil_v1.0:LG06:9799761:9803543:1 gene:TanjilG_21942 transcript:OIW10105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKKKRVSSKVWCYYCDREFDDEKILVQHQKAKHFKCHVCHKKLSTAGGMTIHVLQVHKESVTKVPNAKPERESTDIEIYGMQGIPPDVLAAHYGEEDDEVPSKAAKMDIPSTPFIGGMVPRPLGSGYPPRPPFGAMPPIYNPTLPVPPNGWAVPRPQSWYPQAPSVSIPPSAPYPQQPLFPVQNVRPPLPTTAPPALQTQIAPPGLPTSTTSIPVSQPLFPVVGNNNTTTQSSTFPLAPLASGVPSITPVLSTNVPVDALSSAISSLTNNYQAIGVPGGPASNSHSYASGPNTGGPSIGPPPVIANKAPVSQPATNEVYLVWDDEAMSMEERRMSLPKYQVHDENSQMSSIDAAIDKRILESRLAGRMAF >OIW09565 pep chromosome:LupAngTanjil_v1.0:LG06:22789367:22797955:1 gene:TanjilG_28164 transcript:OIW09565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNARIISLSRVSLEDYLSHGRDASNLQLVARLTKDIVDTYRICNPQFKYSEDLNPKRFLTSPSTGVLNDGYDNANSDLILTVNLVLTHLEKNRRYIVKDVLGHGTFGQVAKCLDSDTDSIVAVKIIKNQPAYYQQALVEVTILTTLNKKFDPEDKHHIVRIYDYFVYQQHLCICFELLDTNLYELIKMNHFRGLSLSIVQLFSKQILCGLDLLKEAGIIHCDLKPENILLCTSTMKPAEIKIIDFGSACMEHHTVYSYIQSRYYRSPEVLLGYQYTTDIDMWSFGCIVAELFLGLPLFPGASEFDLLRRMIKILGGQPPDYVLREAKNTSKFFKCIGSLQNIDNSESSKNGRSVYQALSEEEYEARDLKKPSIGKEYFSHMNLEAIVTNYPYRKNLPKEDILKESQIRLALIDFLRGLVELDPAKRWSPFQASKHPFVTGEPFTQPYKPSPKTPRMPVIQNIKVDNHPGGGHWFAAGLSPNVPGNNRVSHYSTPHFQMVQYPPANSYGSVGSYGSYNDNIGHASSYGSYGENNNMFAYYSPVGPSGMHMHNHGNMSMLGSTPDARRRLKYQPASGLGISPSAGNFAPLPLGASPSQFTPPSSYCQMSGSPGHYGPTSPARGASHGSSLGKSAAVSQFNRRKNWGYSASPQTQETTFSSHFQAQSHDGSSHDEGTSQGLGSSPSYLQSNINPGNWKQRGSGGIYANQSMTLQSSELAHDNAETRLLLPDPGDWDPNYSDELLLQEDGSEENSLTTEFERSMNLGSSEPWVGLGRFNHISSTSSPLIIQRRNGPSQTFSNVEMNSPPTHDIQAAYNIASMSKPLHLMPPHISQNSPSRFGQQPVQRFIHGRPPHGGGDWNQIKVPPPSSGFSSVGQHSPRNNSFTNSMTWGRRMNPAVSSLPPTSRTRNDYARIN >OIW10434 pep chromosome:LupAngTanjil_v1.0:LG06:6436818:6445907:1 gene:TanjilG_24994 transcript:OIW10434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYESVVYQGNVVLGSVVIHPEEKIIHLKEIRINHFSQSSERCPPLAVLHTVTSASVCFKMESKITQQQDGLFHLHSLCIRENKTAIMPFGSEEIHLVAMYSRNNDSPCFWGYVVASGLYDSCLVMLNLRCLAIVFDLDETLIVANTMRSFEDRIEALQRKINSEVDPQRITGMQAEVKRYLDDKNILKQYAENDQVVDNGRVMKVQSEIVPALSDSHQSIVRPLIRLQEKNIILTRINPQIRDTSVLVRLRPAWEDLRGYLTARGRKRFEVYVCTMAERDYALEMWRLLDPDLNLINAKELLDRIVCVKSGLKKSLFNVFQNGFCHPKMALVIDDRLKVWDEKDQPRVHVVPAFAPYYAPQAEASNAIPVLCVARNVACNVRGGFFKDFDDGLLQKIPQIAYEDDIKDVPSPDVSNYLVSEDDPSASNGNRDPILFDGMADADIERRLKEAIIAAASSIPVATANLDPRLTTLLQYTVVPSDSVSPPTAQPSMMQFSSVPFLQPATLVKPMGQVAPPEPSLHSSPAREEGEVPESELDPDTRRRLLILQHGQDIRDHTSSEPPFPISQPMQVPTPHVPARGAWFPGEEEIGSQQLSRAVPKEFRVDSEPLHIEKHGPHHPSFFSKADNAISSDKILNESHQRLPKEMFQRDNRSRLSHKLSSYHSFSGDDIRLSRSFSSHRGLDSESGHSLLHADTPAGVVQEIALKCGTKVEFTSSLVASTELQFSVEAWFSGRRIGQGLGKTRKEAQHKASEESLKYLADIYLSRAKADSGSTYGNAKGFSKANDNGHVGNVNSPGNQSWPKEDSVSFSTSSDSSRVLDHRFEVSKRPMGSVSALKELCMMEGLSVRFQSPDAPASPNSTQKDAVYAQVEIDGQVFGKGIGLTWDEAKMQAAEKALGSLRTMLGQNLQKRQDSPRSSPGLPTKRSKHEYPRNLQRIPSSGRYLRNAPPFH >OIW09014 pep chromosome:LupAngTanjil_v1.0:LG06:31759741:31760358:-1 gene:TanjilG_05990 transcript:OIW09014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNTEKLLNQIMELKFTSKSLQRQARKCDKDEKSEKLKVKKAIEKGNMDGARIYAENAIRKRTEQMNYLRLASRLDAVVARLDTQAKMFTINKSMSNIVKSLESSLATGKLQKMSETMDKFEKQFIDMEVQSEYMDSAMAGSTSLSTPEGDVNNLMQQVADDYGLAVSVGLPQPGSHAVPTKEADSEKVDENDLSRRLAELKARG >OIW10534 pep chromosome:LupAngTanjil_v1.0:LG06:5432955:5434091:-1 gene:TanjilG_15906 transcript:OIW10534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPHSCYHHCYLFSAIIIIFLSLSATSVSARLFPNVSSIPTWISNNATQGAWDAYRNFTGCQHGESYDGLSKLKTYFNQFGYIPNAPSSTFTDDFDDAFEAAVRNYQKNFNLNITGILDNATLSQVVKPRCGVADIINGTTSMNSGKTNSTGSGNNTLKFHTVSHYTFFSEMQRWPEGTQELTYAFEPNNGLDDVVKGVFSNAFDRWSKVTTIKFRETTSYESADLKIGFYSGSHGDGEPFDGVLGTLAHAFSPTNGMLHLDGAEDWVAIGDVTASSLSSAVDLESVAVHEIGHLLGLGHSSVEEAIMYPTIGARTRKVELAEDDIKGIQVLYGSNPNFTGSTTTSSPERNSSNGFRHEGSTWGMLFLLLLGFAHWIC >OIW09785 pep chromosome:LupAngTanjil_v1.0:LG06:15484384:15484962:-1 gene:TanjilG_32223 transcript:OIW09785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKAAIVLSLNILFFTLVSSTYVPCPPPATPKHTSPPTPKHSPPPTPKQSPPPTPKHSPPPTPKQSPPPTPKHSPPPTPINSPPPTPINSPAPKAPSTNPADPKKQPSCPKDTLKFGVCADVLGLVNVQLGKSSKDACCSLIDGLSNLDAAVCLCTALKANVLGINLNVPINLSLILNYCGKDVPKGFECA >OIW09651 pep chromosome:LupAngTanjil_v1.0:LG06:21622765:21629421:-1 gene:TanjilG_28250 transcript:OIW09651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIGWEMEKTIRELREYFKTGKTRSVIWRKNQLRAILDLVHDNENAMFDALYQDLGKHPVEAYRDEIGGVEKSANNSLSCVEKWMSNIPLLFFPAKGEVLSEPLGVVLIFSSWNFPIMLALDPLIGAISAGNVVVIKPSDQAPKCSNFLANIIPQYLDSNAVKVIEGGTNVCEQLLLQKWDKIFFTGSPRVASIVMTAAAKNLTPVTLELGGKCPAILDHLSNPSDFKLAVKRIVGGKWGPCSGQACIGIDYVLVEEKFSSTLIELLKKIISKFYGDNPIESKVISRIVNKQNFERLCNLLKDPLVAACIVHGGSVDEENMFIEPTILLDPPLDAEIMMEEIFGPLLPVITESIEFINSRPKPLAIYAFTKDETFKRKIQSETSSGSVIFNDTLVQFLCDTLPFGGVGQSGFGRYHGKYSFDTFSHEKAIMHRNLCLEIEPRYPPWNNFKLEFIRKAYRFNYFGLFLQMLGFNKYN >OIW10188 pep chromosome:LupAngTanjil_v1.0:LG06:7455125:7457387:-1 gene:TanjilG_27939 transcript:OIW10188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHDLGFDRVDSLISSDPEPPLLDACETVLTRTTSDSSSSITVANNSFHDLELHSPFPGQSTRWTNQQHSLYLNHLEDSFVNELHRSISLHGWRLQNSIDKDSKSRTLQKSINMPRQQSLAIQDGFLKKISHERIEHMLESTAESHVVAESRLGLASLEKGNSLTEPNAYDDALFRDDGIHGKGISKFSKRSPGILEKQSNCRLFHLELVGSTTGSFYCISLSISFILLSK >OIW10808 pep chromosome:LupAngTanjil_v1.0:LG06:2868877:2870521:-1 gene:TanjilG_27754 transcript:OIW10808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLTTTPVIPSKLNKPWFSPQKVSLFPHRRRLKKKNQAIVPVARLFGPAIFEASKLKVLFLGVDDNKHPGNLPRTYTLTHSDITSKLTLAISQTINNSQGWYNKLQRDEVVAQWTKVKGKMYLHVHCHISGGHFLLDLFARLRYYIFYKELPVVLKAFVHGDGNLFNNYPELEEALVWVHFHSNIPEFNNVECWGPLREASAPSSSENGSQEEGSRQATSPTTSNEGLAKPQPCQEVCECCFPPMRLSSIPWSQVDPNHPYDQEVPNVGTQQKL >OIW10568 pep chromosome:LupAngTanjil_v1.0:LG06:5169279:5170157:-1 gene:TanjilG_15940 transcript:OIW10568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANNNSSNESPSSNSGNASTPPSPPSDDISEPPQSSPPSKSHPPPSQPPPSPTNYSPPPSPSQSPPPSPPKSLPPSLPPQPESSPPPPPAPKSSPPPPNNSQPPKNAQPPTTSPPPPSSPPPTSPSTTPPPPSTPSTPPSPSNSGSPSPSPAQQSPPPQTQIPPPPPKNTPSPPTLPAPGSNVTPARPPPHGSVPNPPPVSPSGHHPKASDTPSSSPSRPNSNGSGENNTGEIVGLTLGVVAVLVILGLLLFLIFRRNKNRSNLYAIPPPNKFHHRNGGKVYMYIYIISDLI >OIW09064 pep chromosome:LupAngTanjil_v1.0:LG06:31250019:31253888:1 gene:TanjilG_16291 transcript:OIW09064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPYYGQDMSLIDLNFNYNTYSYADPIINPFSHPRSFHNDIILHHVPNDTVLPNPSTPKHRHDGTSPLPLGMDWSLPPRKWDGRNSVWPNDSHTGWSFCVILPSWVDVPQSIDSDPVVFYRVQVAVQSPQGITTSRVILRRFNDFLKLFSDLKNEFPMKKLPPAPPRKILRIKSHTLLEERRCLLANWMEKLLSDIDVSRSAPAAIFLELEAAARSAFHDMNQHISDETSAFQGNSRGSVAASNSGNDTPSEDQNMENSTAVSDKDFIYEDISSDNITGNNVDAIALRLDGSEFTPGVHDYKLNDNVKRLSMESNKSDLSSLRNSETSNSAATTSIHGDTYDLPRSHEPSRNSDLLVTFSSDERPKLNRILNSQQQRVTTAKTDVEDLIARLDQEMAARQNLMTMVKDLEAELETTRVKCRENVQQAVLTEKERFTQMQWDMEELGRKCLEMEMKLKSEEDERLLAESTKESVIQEKQMLQHELDAAREKLEHLQKNHDEFETKSKMDMKLLIKEVKTLRSSQLELKQQLDELMKEKLDVESLLQKEKQRMELSHNVNSKLLHECAILQKRLQECSVNFLVEEEDKLTVDTSASDAFDLLATSDNQIGLLLAEAQLLAQDVESDDVVVDETRNMTKGDEDTTYDELRKMLTHMFVDNASLRKQINSVIRCALNSNIKSEQDNGEETHLEKTVEASS >OIW10586 pep chromosome:LupAngTanjil_v1.0:LG06:5016258:5019023:-1 gene:TanjilG_15958 transcript:OIW10586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFVTFSIIYVEARNKIHNKHKLHKPHKNSSNGHIRGGSSSPLPAPAPLPHYGPPPTQMGIFNVMCFGAKGNGISDDSMALRAAWNGACKVAGATVKIPAKLKFLIMPITLQGPCLPGLTLQIDGTLLAPPDASSWPKSSLFQWINFKWVQNLTIKGSGTVDGQGYNWWSSSESYDMQAIRFYASNYIIVRDIKIINSPLCHLKFDSSKGINVNNITISSPENSPNTDGIHLQNTQDVEIQHSDIGTGDDCVSIQTGCSNVHVHHINCGPGHGISLGGLGKDNSMACVSNIIVEDITMRNTLYGARIKTWQGGIGIVKNVSFSRIQVYDVSYPITIDQYYCDKHICKNQTGTVVISGVKFDQISGSYATQPVHLACSNSIPCTDVDLTDIQLRPSLTNRGFQQTLCWNSYGKSQGSLLPSSIDYCLRSGGGSIKRIARSRDHSLCY >OIW10004 pep chromosome:LupAngTanjil_v1.0:LG06:10946848:10952949:-1 gene:TanjilG_32744 transcript:OIW10004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREAMDRGVFQNPAVQQMMGENPNNMWNINNMHPSSQQASPFLSNFLSPYASTPSFPFASMRDNEEELPESWSQLLMSGLVSEEDKAGMCQMLSQAPNAPFVGVKTEDSINSYVYGHGNEEFEAAKSTIWSQIVPSSSPKSCVSSLNSSMLDFTNNIKVDARSPPLDRSSECNSSGGALKKARVEPSTTQSTFKVRKEKLGDRITALHQIVSPFGKTDTASVLLEAIGYIRFLQSQIEALSLPYFGNGSGNMRHQQQPVQGEKNCLFPEDPGQLLNENCLKRKAPINQDSQEEPKDLKSRGLCLVPVSYTLQVGSDNGADYWAPAFGGGFQ >OIW08869 pep chromosome:LupAngTanjil_v1.0:LG06:32922713:32925381:1 gene:TanjilG_05844 transcript:OIW08869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIVELNENGNGNNVDVHGEGLRQYYSLHIHELQLLLRQKTHNLNRLQAQRNDLNSRVRMLREELQLLQEPGSYVGEVVKVMGKNKVLVKVHPEGKYVVDIDKNIDITNIIPSTRVALRNDSYVLHLILPSKVDPLVNLMKVEKVPDSTYDMIGGLDQQIKEIKEVIELPIKHPELFESLGIAQPKGVLLYGPPGTGKTLLARAVAHHTDCTFIRVSGSELVQKYIGEGSRMVRELFVMAREHAPSIIFMDEIDSIGSARMESGSGNGDSEVQRTMLELLNQLDGFEASNKIKVLMATNRIDILDQALLRPGRIDRKIEFPNPNEDSRLDILKIHSRRMNLMRGIDLKKIAEKMNGASGAELKAVCTEAGMFALRERRVHVTQEDFEMAVAKVMKKETEKNMSLRKLWK >OIW09318 pep chromosome:LupAngTanjil_v1.0:LG06:29490061:29502590:-1 gene:TanjilG_01289 transcript:OIW09318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSREELLERWRSIEEEEEEDNDDVNNPSQRHRLHRHKEQWFADTYNFVISLPTQTHVWCGFWDLMGPLLETFYNYFKDDRQDSPLRLLWKRISNEMRHCLQCTSHHHQAQQMYTMEYESDSVGPLLGVLQKLDSERVTSHLRDINTKIAGEGYDPASDNAQLVNVLYEVLMFPILLDSQPLFTEFELFVEAIDNKHELALSGNQQLPGVYALLFCKRSVRSVGYRLAGSMGKLRRAIDLEPLQPLLKKFIGCLETDALRSALETSAGVQLDRVSLWIGIKSLLGFLDPPTFEEGILERYPFFLDIVLNHISGDSLEFSHAVTCLRLLFEMLGCKLWLRSTLSPSVMRNTLLGQCFHSRNEKIHKDIFGLFQPFLQACVHSNDLGSLEALQDGEHEKQRRHFLYFLLHQVPVSSNFSILIRKLSCQIALLVVHRGYKMNPPCPPFECAHMWGPAIVKSLKDSSLHNSLRQPAFDLIQTIIVSDATALIYSVLNCCTTPTTGNSMAYEVIELDDENDDIWLPSIPDGEEKDSSSSWSEFSVQSGITSRECREWMCIPMLWFDVLVDINPSVLPVSFSKAVFWARSRFPMVELENSAEAVLPVRSYLASYAAEISSSFGWKVPTGSDDGGGNKSNNSVEVLTMSSPLIRTFNRLTAHFLAQMGQGELRSQWTWEPLMSESLILSLLDPNDEVRQFGKSMLEQISDTRGLSYGLKFLYSHKLSLYSTMLGLKHAMKLVQLDSVLLKFHALHHFWFLLCKLLKDGDPPASESLENTHGGKVPEFSSQGGFLKQPAFDSLPSGIGKQVSDAEIKTKEKFCCLISEMAWPIFCKCLVKGKEFIDFNLCQMTCVRLLEILPVLVDKLHLFVGKELKNFTMLVKKKWDFKWLHDLIQWRKSSLKVVIVYWKRAVTYLLNLFKGSCGKTSVSIIMTIENIISSDGYPLEELAEQVSHLSISLSGEGSRNFQEANMKPKSSISESSYFEKNCFTSDMHFSSVEDKGVQIVDSKMMTAKKDSENVVIILDDEEDPNDIPMVILSDTKTGRHMSDGKSMSCDAGKTSPASGLAKQNVSYLKTSKEIESTSQKKAAISDDIKISSQKLDSGNLHDKPVVPSFINSKGSLGIRSSRETSSKSGDRVNLSNCFDESNNAKIFKKACSIATPKTGLSSNISKMSSDFQEAEVNPIETALKSVGCVQLHAPKPTSVLRRQVIQLKTPFENRPNSLRKVEDPLKRFKPPRLDDWYKPILEINYFVTVGLSSKRKDENQTVSKLKEVPVHFQSPEQYVEIFRPLVLEEFKAQLQNSFLEMSSWEEMFYGSLSVMSVERIDDFHLVRFVHDDSDSATCKSFSENDLLLLTKDPPQKTSQDVHMVGKVERREKGNKRSLNIVLIKFYFQNGSSRLNQARRNLNERSKWHACRIMSITSHIREFQALSSVKAIPLLPLILNPVNDSFCLNDSKEVDLIKLSQPLQQTLRSSFNVCQLQAISVAIGRAKPKKNVELSLIQGPPGTGKTRTIVAIVSALLAAPSQKVNCLKNPSDGNLNHNSSCTSSRPKINQSAAIARAWQDAALARQLSDDVQSSSKSFGNCVKQRVLICAQSNAAVDELVSRISSHGLYGNDGKMYKPYLVRVGNVKTVHPNSLPFFIDTLVDQRVAEERMHMHDGKNDLRVDSSVVLRSNLEKLVDSIRFYEAKRANLMDGNAKVKTHLHDDCHLEDEKEMCDAEIEVKLRKLHEQKRQIYKDLTSVQAQEKKANDETWTLRNKLRKSILREAEIVVTTLSGCGGDLYGVCSETMLNSKFGGPSEHTLFDAVVIDEASQALEPATLIPLQLLKSSGTKCIMVGDPKQLPATVISNVASKFLYECSMFERLQRAGHPVIMLTEQYRMHPEICKFPSLHFYDNKLLNGCQMSSKSAPFHQTKGLGPYVFYDVTDGLEVHGKNSGGMSLCNEHEADAAVEALRFLKRRYPTEYNGCRIGIITPYKCQLSLLRSHFLHAFGSSSVSEIEFNTVDGFQGREVDILLLSTVRATHSSTAASEVNSSTIGFVADVRRMNVALTRAKLSLWIFGNARTLQTNPDWAALLKDAKERNMIISAKMPYHSIFKTAENKCRVENPDKHVRPLKHEKKAKDSCQNGAKKKGNDKCTFERKRKCSSSDVKDRNKGNRDERAISPLGKDVPFQGSNSKEHISIKDTTYLVATHENRSSCDQEVCNSGRESRDKMKNSGRTTLGRRLSKFQNSGNNLDHSVEETDDRHKASKLESKRPTMCSGADRSSRCKDVPAPSIGCHKGSEADDQSRVSGISRRKRHREAVDAKLLELERTTMNSGADRSSSSKEANAPSKGRDVDHQCRAPTESRASEISKRKQQREAVDAILSSSLLSTKKDETLTKVSAKKRFSSSVANGGMKPPKTRNGKLICCAC >OIW10948 pep chromosome:LupAngTanjil_v1.0:LG06:803034:805625:1 gene:TanjilG_22755 transcript:OIW10948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWDLNDSPDQSYDNKDKSVGSVSNSSSSTVVIEDDNGSEEELKKRSSKIFGFFVTHQHDDAESMNSNNLPVTQQLLPVEEESEMAAPSGGVRSSSNFPRGYCVGVKFCQSVEMSQPMKKSRRGPRSRSSQHRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVDADINFNVKDYEEDLKQLSNLTKEEFVHVLRRQSSGFPRGSSKYRGVTLHKCGRWEARMGQFLGKKYVYLGLFDTEVEAARAYDKAAIKCNGKEALTNFHHTIYDHELNPESSGNAADHNLDLSLGNSSSKHSNNKTLGCENAAINHTPKLVNILPKVCSNSHMDGYGPLTPFKSSGEPHNFAHHLHPPNFYLLSSSNGGQIGSDLSLSLTNQQQWQMSPPPFLATAAASSGFSSQIRMIRPSHGWVQKNGFHNLMRPP >OIW09994 pep chromosome:LupAngTanjil_v1.0:LG06:11113463:11114083:1 gene:TanjilG_32734 transcript:OIW09994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIEPSQPVVAKKLWNIVRVLFFMFRKGIAKSKILVDFNLLLKRGKLAGKALVNNLMLHHHHFAAAFACRHHDTNLSFISPREYEFSCSNSPTIPFHTIKRKHHYSNRLSKPCQYDDVSTLSAVQKVLEMLNKEKVEASPMVNLPGFGKSPIGKQLRVTDSPFPLKDDGDSQVDLAAEEFIKRFYKELNLQKRMAIESPYHSFWGR >OIW11128 pep chromosome:LupAngTanjil_v1.0:LG06:415419:417467:-1 gene:TanjilG_22935 transcript:OIW11128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAELIASLKHFVTQGHLPNAFNVFFHLQHHAAISSYVLLHPISSLLLACINVKSLPLGKQLHAQIISLGFHQHPILVARLVSFYSNVDFITDARVVVEKSNTLDPLHWNMLISAYVRNSLFVEAISAYKKMLSKQVRPDDFTYPTVLKACGELLDCHTGMEIHKSVEASSIGSSLVVHNALVSMYGRFGELEVARHLFDNMPVRDAVSWNTIISCYASRGMWEEAFQLFGRMQEEGIEMNIIIWNTIAGGCLHSANFKGALQLLSQMRSSIHLDNVALVIGLNACSHIEALKLGKEIHGHAVRTCLDRFENIKNALITMYSRCKDLKHAYMVFHRMEEKGLITWNSMLSGYAHLDQSEEVSFLFREMLHKGVEPNFVTIASVLPLCARVANLQHGKEFHCYIIKREEFKNYLLLWNSLIDMYARSGKVVEARRVFDSLSTRDEVTYTTMILGYGMKGDGEIALQLFEDMSKFNIKPDHVTMVAVLTACSHSGLIAQGQLLFRKMINVYGITPRIEHYACMADLFGRAGLLNKAKEAITGMPYKPTPAMWATLIGACRIHGNTLMGEWAAGKLLEMKPDHSGYYVLIANMYAAAGCWSKLAQVRTSMRDSGMRKSPGCSWVDVGNEFSSFLVGDTSNSHALEIYPLMDGLNEVMKDAGYVHSEELVSSEEDFEELSIVGNVY >OIW10857 pep chromosome:LupAngTanjil_v1.0:LG06:2504018:2505862:-1 gene:TanjilG_27803 transcript:OIW10857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYECGKVSAIRDFPEECGPFAFPGVHPVVKADIADIGSAKGTIVEDNYGGHSGDYTVKTSRCGDDSHHFELKRNLFHTEFLYQTTDCSLKNENPMVSSHHVDGLPLANYTPAKVASVAMEALEDTWLTGIANSVKCESSMPKSSSPVGEVALSSGSKSICNVSVSGSSACIEKAITRRYVPQEKLAAVRDFPPFCGRNAPSLSKDECLKKFSLNNKRVGQHNSAVNDNPLREKVITVMKEVENHTQDEYACKRKLVNIVEADPERNATKKVKKIDVFEPSGMNLTRENKRERFLTLPQESNHHPVEIGTRKKVGFFACLDRSVAAIKRKDAPNLYRHKPLKKKFESATSDEMGQLVGWDKDSLDPNENIKDFRVVPKSHGFNNSVNVGDSNGVRNKVRETLSMFQALCRKLLQEVEGKSNERANSRRRVDLEAAKILKGKGKYVNPGKQILGSVPGIEVGDKFQYRAELNMIGLHRPSQGGIDYVKHNGKVLATSIVASGGYADEVDNSDVLIYTGQGGNMMSPDKEPEDQKLERGNLALKNSCEVKNPVRVIHGYELMDGKSKALVYDGQYLVESYWQEMGSHGKLIYKFQMRRIPGQPELAFKKPKKSRMLF >OIW09673 pep chromosome:LupAngTanjil_v1.0:LG06:21051060:21056768:1 gene:TanjilG_11060 transcript:OIW09673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARASFSSLIIFLILHLTITTVAGDPDDVALLRNRHHGSGPAMILPLYLSAAPNSSTSALDPRRQLSGSESKRHPNARMRLHDDLLLNGYYTTRLWIGTPPQMFALIVDTGSTVTYVPCSSCEHCGRHQDPKFQPDLSSTYQPVKCTIDCNCDNDKMQCMYDRQYAEMSTSSGVLGEDVISFGNQSELAPQRAVFGCENIETGDLYSQHADGIMGLGSGDLSIMDQLAEKNVISDSFSLCYGGMDVGGGAMVLGGISPPSDMVFAQSDPDRSPYYNVDLKEIHVAGMRLPLNSKVFDGKHGTVLDSGTTYAYLPEAAFLAFKDAIVKELQSLKQINGPDPNYNDICFSGAEIDVSQLSKSFPVVDMVFGNGQKYSLAPENYLFRHSKVRGAYCLGIFQNGKDPTTLLGGIVVRNTLVMYDREHTKIGFWKTNCGELWERLHISDAPPPMDEINSTEAVEPSVAPSASQHNIPSGEIQIAQITIVISFNISYVDMKPQITELAGLIAHELDVNTSQVHLLNFTSLGNHSLSKWGITPSTQAAYISNSTVMSIIARLAEHRVQLPGTFGSYKLIDWNVEPPSKRNWWKEYYLVVALAVLITLLVGLSILGTFLIWKKRQQSMHPYKPVDATVPEQELQPL >OIW08919 pep chromosome:LupAngTanjil_v1.0:LG06:32563363:32567143:-1 gene:TanjilG_05895 transcript:OIW08919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRDKEQAPRNHHHHLLSSLVVRPEVTTVADTGADRGGDFEPGEFRRDHRSPYSRSDRYSGDAGYRIRAGSASPVHRRDANHRFGSDYNHLSQSRGYGGGRDPGRYRDPSPPYFRGKVGGRPIGRAFDRPGFVPGLARGEGNSRNNPNVRPREGDWICPDIRCGNLNFARRDSCNKCNRSRPAPAESPRRAYPGPPPLHSSPRRFPGSPGRTVIGYRSPPRGLARDGPREYGSAALPPLRHEGRFADPHLHRDRIDSLEDAYRGRNKFDRPPPPLEWESRDRGRNGFSNERKAFERRPLSPPAPLLPSLPPHHGGRWAQDVRERSRSPIRSSPPPKDYRRDMFVNRGRGDRRALGRDRIGGMY >OIW10486 pep chromosome:LupAngTanjil_v1.0:LG06:6090359:6090832:1 gene:TanjilG_00424 transcript:OIW10486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSSNLIFLTIFSISCCISQAHDFPGDFLNAHNKARAEVGVPPLRWNKTLAAYAKIYAKSKIIDCKMEHSNGPYGENLAEASWEMNNAEVVDYWITEKDNYDRKSGSCVKDVCGHYTQIIWRDTFQVGCAKEKCTNGWMFAICNYYPPGNVFGQRPY >OIW10164 pep chromosome:LupAngTanjil_v1.0:LG06:7229914:7231543:-1 gene:TanjilG_27915 transcript:OIW10164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEVDPAFVQEPDHRPKLSHIEAEGIPIIDISPIINKTVKDPSAIEGLVKEIGTACKEWGFFQVINHGVPLKLRQKIEEASRKFFAQSLEDKKKVSRNQTNSSGYYDTEHTKNVRDWKEVFDYLVKEPTFLPATPDEHDHQVNQWTNQTPQYPPNFRVVIEEYIQEVEKLAYKLLELIALSLGLEAKRFEEFFIKDQTSTIRLNHYPSCSYPELALGVGRHKDPGALTILAQDEVGGLEVKRKADQEWIGVKPTPDAYIINVGDIIQVWSNDAYESVEHRVVVNSEKERFSIPFFFFPSHDSEIKPLEELINEKNPPKYRPYKWGKFIVNRKSSNFKKQNVENLQIYHYKLA >OIW10264 pep chromosome:LupAngTanjil_v1.0:LG06:8259173:8261213:1 gene:TanjilG_28015 transcript:OIW10264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSFEMNDRKKIGLGLTGFGVFFSFLGIVFFFDKGLLAMGNILFVSGVSLTIGLKSTMQFFMKRSNFKGTISFGIGFFILIIGWPILGMIVEAYGFIVLFSGFWPTLSVFIQKVPVLGWLFQQPFVRSLFDRYRGRRVPV >OIW10138 pep chromosome:LupAngTanjil_v1.0:LG06:9496982:9497473:1 gene:TanjilG_21975 transcript:OIW10138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNAALCAPSLIANGIYKVLYLDGRLEFYTKPMRAAELMLEYPGQFVCDSSYLKVGHRIRGLLADEELRRHKFYFLLPMDLVYSVLTHEEMSSFNYKASKALKHGSFNNLGKIFPVCMFLPSEPKKIETHSEVMNEPGPIERCFKQRSWRPALETIDETPLRT >OIW09151 pep chromosome:LupAngTanjil_v1.0:LG06:30451026:30451352:-1 gene:TanjilG_11289 transcript:OIW09151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGASQSQSTASATTTRPGVMAPRGSAAATAGMRRRRLAAGGTTANTSVGTGGGTGGSNMLRFYTDDAPGLKISPTVVLVMSLCFIGFVTTLHVFGKLYRYKSGDSA >OIW09010 pep chromosome:LupAngTanjil_v1.0:LG06:31785978:31786391:1 gene:TanjilG_05986 transcript:OIW09010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSIIVVFDFDSTIIECDSDNWVLDEFGLTEKFYKLLPTMLWNPLMDKMMSELHSQGKTIEDIVQVLHRTPIHPCIVPAIEAAYSLG >OIW10667 pep chromosome:LupAngTanjil_v1.0:LG06:4150585:4151700:-1 gene:TanjilG_16039 transcript:OIW10667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLGNPRTWVPYMNTKDCTQGFCSLYCPQWCNVVYPPPPPFEFPDDDSGPNFSPLVIAIIGILASAFLLVSYYTIISKYCGRREASSSQRESHEANVEIQDNHNLALHEPWHVSTTGLDEALIKSITVCKYKKGDGLVGVTDCLVCLSEFQDGESIRLLPKCNHVFHIPCIDTWLKSHSTCPLCRSSIFTFNAAAFYVPPPVVELASRNDTSSQNHQHEDEITVVRRGSTRLDVVEEEMTMHGDGREVPKNAFRAFSDLGNLRGRNSIIEIGDEENESFRRSVSMDHSLQNVFSVADILNMNEEQDSQVEGSPTTEAGPSKISRGESSKSSYRRRVLHCVLSPIEMKRSFSSGRFSFSRNGRGRQGIIPL >OIW10657 pep chromosome:LupAngTanjil_v1.0:LG06:4261941:4262282:-1 gene:TanjilG_16029 transcript:OIW10657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVGCTRDVNVISGLPAATSTERLDILDDERHVTGFSIVGGEHRLRNYCSVTSVHGFDRDGEIWTVVLESYIVDVPEGNSEEDTRLFADTVVKLNLQKLASLTEGMNRDTNSK >OIW09139 pep chromosome:LupAngTanjil_v1.0:LG06:30333481:30334722:1 gene:TanjilG_11277 transcript:OIW09139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDSMFFDKNFNGLPDEGFNDIVNFFDFPLEDVEFNVEEDWDAQFKRLEEPCFDVFPVASSGMCGRTENNPQLGISFPTSCNGVPQIKQLAQTAVPAYGKTISDPNDSIGKHLHQFQTTSPVSVFYSSNSSSSAENSNVDPPTVRVKRSRSKRQRPSSCNSVFSISFIPTSPALQKYPETDTSLAEEKISPCYDMKLRNKVEKQNEKDLFLISDHVKMKRYSSKESVVTRKCMHCEAKKTPQWREGPMGPKTLCNACGVRYRSGRLYPEYRPAVSPTFVPSLHSNNHKKVVEMRSKAMQEAATVPCCRLQAFLEIL >OIW09888 pep chromosome:LupAngTanjil_v1.0:LG06:11964279:11970713:-1 gene:TanjilG_32037 transcript:OIW09888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELEIEQAGHVHYNHTLPSKHPRWNARESFEFMSLRPWQPINHFYLNLVHANSSLPLLFPSQTLFNHDDTAIPPPLSDQIELESVASKKRTGRWERATFKIVLSYHGGSFDGWQKQPGLNTVQSVVEGSLGKFVDERKTQQLKDRGVPIEGCATVAGRTDKGVTGLQQVCSFYTWDKDVKPRDIEDAINHAAPGKLRVISVSEVSRAFHPNFSAKWRHYLYIFPLISKGYRDQSNGNGDVSDYNGILDSACKDELESEKKSYVFSVRKVNRLLRELEGKLLSYKMFARDTKASRNEGPPTECFVYHARAMEARLPITDSGEETSVMCVELVANRFLRKMVRVLVATSIREAAAGAEDDALLKLMDATCRRATAPPAPPDGLCLVDVGYAEFDPKKCLIQEEK >OIW09904 pep chromosome:LupAngTanjil_v1.0:LG06:12104814:12109432:1 gene:TanjilG_32053 transcript:OIW09904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSKRWTVTYTKHLKQKRKVYQDGFLQLHVSTNKVMLYDECEKLLVCKVLKKEESVTSGETLELNGYLVDIGVPEGHNNPQPDSSVDYRKHNTGSRFKTPCKDTKLNSKESSAQPRRPISPSQKIIKEFKKRELLKYVSPKISPETTKPSTTEYQVLYTTQVTQKAKKYHDGFLQLVIRGSHGEQVMLFDASRKLLHSRYLKKDDIIKPGESIAFDAYLVDVGERQGSHIPASSVQGNNLSGVERMENDRQQPSVDTDTHAPVGKSEWQVSYTAQLTQKAKKYHDGFLQLEFCGSHGRQVVLYDLSKRPLERRFLKKDEVIRAGESVRFDGHLVDVGEPEGSHQSPVKLNEQDTGNRVIERRKLRQGLNNHLKVFPSIARGQSPSKPCLGQDAGLNSQITMEEKKSNRIVPPIKSSCDGSFGQDAGLNSPFTMEEKKSNPIVPPVKPLRDGSFLVIAFSLDSDLSVLICIMLPPLHQ >OIW10885 pep chromosome:LupAngTanjil_v1.0:LG06:2285451:2288792:1 gene:TanjilG_27831 transcript:OIW10885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSLTLTPNPSTSSLIIPPRLSNYPKLCGLYPNKKKRFHSRLVHHCVKASAERTGETIDDGETRTGFTTPAMEVTTLNRSLNDADFPVWEKIGAVVRLSYGIGIYGAMAVAGSFICSITGIDSLGGFHLSLDAILEGLGYSVPPIMALLFILDDEVVKLSPHARAIRDVEDEELWNFFYGMSPWQYILMVAASSVGEELFYRAAVQGALAEIFLRGSNLITDVQGMASLTGVLPIFVPFAQAFAAVLTAVLTGSLYYVVASPKDPTYVVTPVLQSRSGRQDLKKLFEAWYEKRQMRKIYSPLLEGLLALYLGFEWIQTNNILSPIITHGIYSTVILGHGLWKIHDHRRRLHQRIQQLKSEEKNFK >OIW09188 pep chromosome:LupAngTanjil_v1.0:LG06:30729447:30731393:-1 gene:TanjilG_11326 transcript:OIW09188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSSKAMTYKSTFIILCILASLLYPTKVHGDDNTTDASVNLHSKGLILVKIYCLIILLVTTFAGGVSPYFYRWNETFLLLGTQFAGGVFLGTSMMHFLSDSTQTFGDLTTNPYPFSFMLACVGYLITMLGDCVVVHVTRNIDREAKVVELEGGRTPNELELHATHKDLSLETTNPAFLKTSNLADTILLILALCFHSVFEGIAVGVSGTKADAWRNLWTISLHKVFAAVGMGIALLRMLPKRPLITTVAYASAFAVSSPIGVGIGIAIDATTEGSTADWLYAISMGIACGVFIYVAINHLISKGYNPQKPSPFQSPWFKFLSVLFGVAVISVAMIWD >OIW10939 pep chromosome:LupAngTanjil_v1.0:LG06:727060:728022:-1 gene:TanjilG_22746 transcript:OIW10939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTSITRKDMDRIRGPWSPEEDASLQNLVEKHGPRNWSLISKSIPGRSGKSCRLRWCNQLSPQVEHQAFTPEEDETIIRAHARFGNKWATIARLLSGRTDNAIKNHWNSTLKRKCASFMIDELEVNPQPLKRSVSAGAAMPVSTGLYMNPPTPGSPSGSDVSESSVPVASSSHVYRPVPRTGGVLIETTSSSNDPPTSLSLSLPGLDSSSEVSNRVTVSDYSASAPIQLNTASLLSPIMAEPVSTVAPMEVRQKEVHDLRSMAAFNFNGELLAVMQEMIRKEVRSYIEEQNGMCFQAAGDGFRNASVKSIEKQDRFVNIS >OIW09550 pep chromosome:LupAngTanjil_v1.0:LG06:23500541:23501689:-1 gene:TanjilG_30869 transcript:OIW09550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATIATIFNNFLSLLLLQLGCFIFTTKQEHSSLKKRKISPLSQPISSTHQKFKTHKALSSSWHFIKHLLSIKSTKTTNTTHSSPQSESTTTVNTTAAQSLNSGRFSQAQQELDFPDPQSRKITGSGSESDISADNNNFFPLRNDIFPCTACGEIFQKPNLLEQHQTTKHALSELSGSDPGHNIVQIIFKSGWPQNRNPPNITRILKINNSPKILSKFEEYREIVKAKAARDYTRRRDERCVADGNELMRFHCSTFLCELGLNGDSKICLQQFCNICGIIKLGFSSKLDGISTMSSSWKAHLSIPNEVEQEFHFMNVKRAMLVCRVIAGWVGSDLDDVDKEDGEFDSLVARGGSGVKSRLDEEELLVFNPRAVLPCFVIVYGV >OIW11134 pep chromosome:LupAngTanjil_v1.0:LG06:385893:388527:1 gene:TanjilG_22941 transcript:OIW11134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKTCLQGLSLHEAKRGVSHSFIAVSDNNNGGSFIARRRFDITARAAGASKTIEAEVDKPLGLTLGQKSSGGVVITAVEGGGNAAKAGLKAGDQVLYTSSFFGDELWPADKLGFTKTAIQAKPDSVYFVVSRGAEVDVKRLPKRPAPPRFGRKLTEAQKARATHICLDCGFIYTLPKPFDEQPDTYGCPQCQAPKKRFAEYDVNTGKAIGGGLPPIGVIIGLVAGLGAIGALLTYGLQ >OIW09852 pep chromosome:LupAngTanjil_v1.0:LG06:13417713:13421165:1 gene:TanjilG_15334 transcript:OIW09852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMDLHMDSMASSIGVSVAVLRFLLCFVATIPFSFLHRFVPGRFAKHLYAAAVGVLLSYLAFGFSSNLHFLVPMFIGYASMVLYRPHCGIITFFLGFGYLIGCHVYYMSGDAWKEGGIDATGALMVLVLKVISCAINYNDGLLKEEDGLREAQKKNRLIQLPSLIEYIGYCLCCGSHFAGPVYEMKDYLDWTEGKGIWSTKAKGPSPSPYGATIRALLQACFCMALYLYLVPLFPLSRFSDPVYHEWGFWKKLGYQFMAGNTARWKYYFIWSISEASIIISGLGFSGWTESSPPKPRWDRAKNVDILGLEFAKSAVVIPTVWNIQVSTWLRHYVYDRLVQKGKKPGFFQLLATQTVSAIWHGLYPGYIIFFVQSALMIAGSRVIYRWQQAAPPTIKNALVLMNFAYTVLVLSYSSVGFMVLSLHETIASYGSVYYIGTIVPVGLILLGNVIKPGKPVRSKARKEE >OIW09437 pep chromosome:LupAngTanjil_v1.0:LG06:27463733:27464551:1 gene:TanjilG_07822 transcript:OIW09437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPTIDIKLISCENIQTFNFFQKLTLYALLSIDTTNPEKTKLSDKQKQQQRTQTHRDPEDEYGNNPIWNHESRFNIGWVPRSSLVKGSRNDLFLRFEFRHDGLILGDKFVGECCVPLLDLVIDAECDVARFVSYEVRNSDGKSNGICNFSYKVKGISNVSGSGTHLSESEFLEGRISGYPVVNSSELRVQYPKVEIEKPFCYPSIECYSPSAAAPLPVISSPSYGEYNYYYPPPPPLQSPYPYPAPPPLVTQYYPHFGPEAHAWPSDFQRRW >OIW09707 pep chromosome:LupAngTanjil_v1.0:LG06:18670302:18671096:-1 gene:TanjilG_21233 transcript:OIW09707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVDIVKTSECDTSSMDMMTMMMQMEKFPEFYEPFYNNTPTSFQENELFINGGTSSTIFNVAPQPSLLDPSPSNIQSINNNNNIFQQPMTLPPQQQQPNEVNPSGRLFRNVSDAYHSSSEKRNSMAAMREMIFRIAVMQPIHIDPESVKPPKRRNVKISKDPQSVAARHRRERISERIRILQRLVPGGTKMDTASMLDEAIHYVKFLKKQVQTLEQVGRGSRTLGMVSFPTGMSNANVNYSPLLMKQGCQPCPMVGSTSKQLLS >OIW09463 pep chromosome:LupAngTanjil_v1.0:LG06:26429797:26432359:1 gene:TanjilG_22737 transcript:OIW09463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRKLLRLLILLFFLGFSLYVLSASAVPATRTQNLNGEDTPVLPFLAKWENLLKFGNGEEELLDVNKGYTHRRIDLEIQDYEGTGANKDHDPKSPGRV >OIW11071 pep chromosome:LupAngTanjil_v1.0:LG06:1759647:1767251:1 gene:TanjilG_22878 transcript:OIW11071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVMNDSTVSGVLPDPQGFVVHYPAYPSSISRAIHTLGGIPSILKARSSPSNKLEFHFRPEDPYSHPALGELRPCNNFILKISKTKPNNVPHSNNEIQPNETVTDQVQDATLSAHIVAHIPEAYHFDGMVDYQHVIPVHADVARRKKRNWSELEEPLFDKGGLMDLDHEDVMIIVPPLFAPKDVPENLVLRLPSNLSSKKRQEGVVEHHVEIPKVVNWEEYIPKGSDQWESQMAVSRMFDERPIWSKVSLTERLLDMGIRFSYSMLRRLLSRISYYFSSGPFLRFWIKKGYDPRKDSNSRIYQRIDYRVPVPLRSYCDANSANKSKPRWEDICAFRAFPCKFQTSLQFFDLVDDYIQSEINKPPSQATCTYATGWFSQHVIDCIRQRLMVKFLSVFPKPGAGNLLRAATARFEKLKRECSRNPIKLNQEECQPANLDFQENNEPGNVEDDEEEAAEGNNSDEEWDAYEEPDMAGDSEIPLPSQSYLNMDNISRSHLQELFDSFPSFEADSEVQEHGSDEEYHIYEEDSDDDNSDE >OIW09004 pep chromosome:LupAngTanjil_v1.0:LG06:31842984:31848313:-1 gene:TanjilG_05980 transcript:OIW09004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKDQISVEDRYTQWKSLIPCLYDWLANHNLVWPSLSCRWGPQLEQATYKNRQRLYLSEQTDGSVPNTLVIANCEIVKPRIAAAEHISQFNEEARSPFVKKYKTIVHPGEVNRIRELPQNNNIVATHTDSPELYIWDVESQPNRNAALGAHPSHPDLVLTGHQDNAEFALGMCPTEPFVLSGGKDKLVVLWSIQDHIATLAESGSSSRQGSKHSDKAKESPSIGPRCIFKGHEDTVEDVQFCPSSAQEFCSVGDDSSLILWDARGGNSPAVKVEKAHVGDVHCVDWSPHDINFILTGSADNTVHMFDRRNLSSGGVGSPVHKFEGHDAAVLCVQWCPDKSSVFGSSAEDGFLNIWDIEKVGKASSSAGPKAQNPRPGLFFQHAGHRDKVVDFQWNSSDPWTIASVSDDCGSTGGGGTLQIWRMIDLLYRPEEEVLAELDKYKAQILGGYVP >OIW08910 pep chromosome:LupAngTanjil_v1.0:LG06:32625384:32631824:1 gene:TanjilG_05886 transcript:OIW08910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESEEGDCTSNNVIPSEVVASEAATTPLDVPAKKLARQLDFTASQSQPQLQSLMLQPVDVMPVLRPLPHPSVRVGKPDSPKSRSRPNFEVKDITPKKKKQCNCKHSKCLKLYCECFASGIYCDGCNCINCFNNVENEAARREAVEATLERNPNAFRPKVASSPHGIHDTREAGEVLILGKHNKGCHCKKSGCLKKYCECFQANVLCSENCKCIDCKNFEGSEERQALFHGDQNKNMAYIQQSANAAAITEAIGPSGYSSPPGSVKKRRRQGPFVGPTTKDPSISQLGQQVNHVRGPVPSSSLSSIQRAHVGTAMLGPSKFMYRSPLADIIQQQHLKELCSALVLVSGQAAKLVTDHKISMERHTEDQIETSLTSSTQEQLPSQMDGEVGKTSADDCLSANKTDKISPDNSSSDGTDISKGRPMSPGTLALMCDEQDTMSMTAASPVGSMSHACSTSSQFPYGQGMTLVYAEQERTVLTKFRDFLNGVINMGEINETKCSSFAISESESQKDSINNSTRIASTETVHQQRAGCNGVDKAVPHVAATSASIIPEMKNSSFGRSELESQKDPLIVVNGETLTHNGDNKAIVPPVAATSPSMIDDLKFKTKKDVQR >OIW09662 pep chromosome:LupAngTanjil_v1.0:LG06:21527642:21535880:1 gene:TanjilG_15368 transcript:OIW09662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECGLGKWILHWGISHVDDVGSEWDQPPHDIIPEGSVPIKDYAIETPLKKSSSSSAEGDTFQEVKIDLKTNSEISAINFVLKDEETGAWYQHKGRDFKVPLINSLKEDANIIGLKRGFSFLPGDLPQISDVHLNTEATHNEVEDINSSLSRDQKQENSEVGGFYVELPITKEVTINNSINVSTRKSPEATENLLYLETDIPGDVVLHWGVCRDDSRRWEVPPAPHPPETVAFKQRALRTQLQSRDNGKGNSVQISLEGEFSGFLFVLKLNENTWLNDKGNDFYIPLSSSSSLLIGNTDDQSKAVQREVIEEASQEESTSAFTDGIISEIRNLVTDISSEKHRKTKSKEAQEIILQEIERLAAEAYSVFKSSVPTFSEETIVESEAAVESETLLSLDLTPKITSGTGTGYEILCQGFNWESHKSGRWYMELKEKAAELASLGFTVIWLPPPTESIAPEGYMPKDLYNLNSRYGTIDELKDVVKGFHKVGIKVLGDAVLNHRCAHYQNKNGIWNIYGGRLNWDDRAVVADDPHFQGRGNKSSGENFHAAPNIDHSQEFVRNDLKEWLCWLRKEIGYDGWRLDFVRGFWGGYVKDYLDASEPYFSVGEYWDSLSYKYGEMEHNQDAHRQRIVDWINATNGSSGAFDVTTKGILHSALERCEYWRLSDQKGKPPGVLGWWPSRAVTFIENHDTGSTQGHWRFPGGKEMQGYAYILTHPGTPSVFYDHIFSNYRNEIATLISLRNRNKVNCRSTVKIHKAERDVYAAIIDEKVAMKIGPGHFEPPSGSQNWSSVWEGRDYKLWEAS >OIW10890 pep chromosome:LupAngTanjil_v1.0:LG06:2250746:2251750:-1 gene:TanjilG_27836 transcript:OIW10890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTITNHDTKVTNTSKPPRPKRLCFSFAAYANNLIDCLKSSNVVVSEGLSDTEFSYLESNFNFSFPPDLRDILQQGLPISPGFPNWRSSSAQQLQILLNLPTLSILRRVSKTRFWHPSWGPKPKDPAHAFDTVRRILREAPPLVPIYQHCYIPSTPNIAGNPVFYVDHDGHVSMVSFDLIGFFREAEFIYEAVDREPVWAATRARRIDFWSEVGDGGGGEGWWWSEIKGELGGCLEGVLRSLREGGWKEEEIREMMMTMDGGDEKENNSCLMKDKEDIAWHVSVLSLMLLRAGWSREDVVYSLDVLGDEGKSWLDFHEQQQTTITINVNDVDVM >OIW10566 pep chromosome:LupAngTanjil_v1.0:LG06:5177202:5180505:-1 gene:TanjilG_15938 transcript:OIW10566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCSLRVNDEAAVGVSSLRLGDLTSNLCPLNFVKLVSIKATNKYFLVHKVTQSSPSPALCFVEEVPQEAQNSQITAFNSGDGSTKTDNESSSSKTIRDVHISMRLMEDFLDLAKENTEKDLETCGILGAFLENGTLYMTTLIIPKQESASSSVMIPEAFAVVLAPTDNSRSCGLFRITDPEGMNILRNCQEKGFHPHKEPDNGIPIYEHCSNVYKNSNLRCGICV >OIW09408 pep chromosome:LupAngTanjil_v1.0:LG06:28591359:28597740:-1 gene:TanjilG_21005 transcript:OIW09408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGDRKIPDPSDHPIDGAQKVRSLHGRTTGPTRRSTKGQWTSEEDDILRKAVQRFKGKNWKKIAECFTNRTDVQCLHRWQKVLNPELIKGPWSKEEDEVIIELVNKYGPKKWSTIAQHLPGRIGKQCRERWHNHLNPAINKEAWTQEEELALIHAHQIYGNKWAELTKFLPGRTDNAIKNHWHSSVKKKLDSYLASGLLNQFQPVNHVGNSNQSMAIASSSLQCGDDNDSKQTEGEEVSECSESANAGHFPSAREMSNAGLQTREYYRANEDSSHGKDHSSCQAYCSEPYYVSLDDVTICDPDITHEDVHASRFNFNSFPNISSEGLVQESSKLQSNCVAPSEICDYVPFQTSGRLGVSTSTGPTSTDSVRPERMLIPDDECGRVLFSEAGNDAKFCSGDQGVDFVDFSGYTSFLSQSCDIHMSETGGTSTSQQSCPPCSNTFNTSSSQSVPPILSSSGRCLIFTANDNQLFEPEDQQIVSGTPDNFIYGNDMSSSPCVDGIDSAVMQEPPDIVKDTSKLVPVNRVGCASGSMPTCYPTDEKPTTHIKKEGAGALCYEPPRFPSLDIPFFSCDLVQPGADMLQEYSPLGIRQFMMSSMNCLTPFRLWSSPSRDDSPDALLRSAAKTFTGTPSILKKRRRCLLSPLSNKRIGKKLDTDMAATLTKKFPSVEGIFNASVSVAGDKENCGPAFNGDQEGEKMKSTFLDKMSSEKDTVDSNSRDKVKQRPLDIDAKMKTVVDAAVDIVQQPCGVLVERDINDRLLFSPNEVGFKSDYVLGSSARTPKKLDNKSLEEAPNQSIPLKLASENPCSSVNSPCVHAKEHERLSVVVTCVPSVCSSTPGENSGYQTRNDGGFETSNIFGSTPFRKSLESPSAWKSPWLFNTFMSSPRFDTEITIEDFGFLMSPGDRSYDAIGLMKQVGEQNAATYANAQEILGDETPKVLKKETSGNKDGNHEKAGKISQLGSNSLMERRILDFSECGTPGKGDNSKSSSAMNFSSPTSYLLKGCR >OIW09195 pep chromosome:LupAngTanjil_v1.0:LG06:30777599:30781073:-1 gene:TanjilG_11333 transcript:OIW09195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYYPLLGGHALNHNKHTWNIIHIFFLLAFLVLTFVGLEVISNTSLDFGVLRGTEKYNEGVTNIVESEAGVVAADEGRCSEIGASILRQGGHAVDAAVATALCLGVVFQVSSGIGGGSFMVVRSSSTSETHAFDMRETAPSAASQNMYQNNPEDKKSGALSMGIPGELAGLHAAWLKHGRLPWKTLFEPATKLAKHGFLVSSTLGNCLAKDSNKIMNDPGLRNVYAPNGNMLKEGDLCRNVELSRSLEAVAEQGPQAFYNGTIGEKFVKDVREAGGILTMEDLRNYKVEITDAVTVNVMGYTIYGMPPPSSGTLGLSLVLNILESYGSPDAAKGKLGLHRLIEALKHMYAVRMNLGDPNFVSIGGTVSDMLSTSFAKNIQHKILDNTTFPPEYYINRWSQLRDDGTSHLCIVDADRNAVSMTTTVNSHFGSGVLSPSTGIVINNEMDDFSIPTEISPDKLPPAPTNFIEPNKRPLSSMTPIIITKDNRLVGVIGGSGGMNIIPAVVQVFLNHFIKGMNPLEAVQSPRIYHKLIPNVVRYENLTAYDGEHIELSEEIRLFLEERGHQLNETPALAITQLIVQTIKPPINMNRKIGKYTNSHTKLGILTAVSDPRKGGCPAAA >OIW09306 pep chromosome:LupAngTanjil_v1.0:LG06:29357852:29359452:1 gene:TanjilG_01277 transcript:OIW09306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGFKKDCKVLLYLSILIFLVLFPSLAVGECTCDEEEEKGEKGKALKYKIAALVSILICSTIGVCIPFLGAVIPALSPEKNIFFIIKAFAAGVILSTGFIHILPDAFNDLTSPCLKSYPWADFPFTGFVAMCTSMFTLMLDAYATSYFQNSQNKKTGQVQNEFITTDVENSDDHVHPHTHGAHGHAHASVSSEASDILRHRVISQVLELGIVVHSVIIGISLGASNNPDTIKPLVAALSFHQLFEGMGLGSCITQAKLKVKTIATMALFFSLTTPVGIAIGIGIGTSYNENSPTALIVGGVFNAASAGILIYMALVDILAADFMNPRMLQNGNLQFGANLSLLIGAGCMSLLAKWA >OIW10722 pep chromosome:LupAngTanjil_v1.0:LG06:3722045:3723463:-1 gene:TanjilG_27668 transcript:OIW10722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMGEFNDNNHVTAGETPSPKANHMKKISVLPLVFLIFYEVSGGPFGIEDTVHAAGPLLALLGFLVFPVLWSIPEALITAEMGTMFPENSGYVVWVSSALGPYWGFQQGWMKWLGGVIDNALYPVLFLDYLKSGIPALGGGLPRVIATWGLTIVLTYMNYRGLTIVGFFAVFLGVFSLIPFVVMGFIAIPNLKPKRWSVINKNDVDWNLYLNTLFWNLNYWDSISTLAGEVDNPKKTLPKALFCAMILVVLGYLFPLLIGTGAVPLNRELWTDGYFSDIAMIVGGAWLRWWLQAAAAMSNMGSFVAEMSSDSFQLLGMAERGMLPEFFSKRSRHGTPLVGILFSAFGVILLSWLSFQEIVAAENFLYCLGMILEFLAFILMRIKHPNLSRPYKIPCGTAGAIVMCIPPTVLICIVLALSSLKIMVISLIAVAIGLLMQPCLKYVEKRRWITFSHSTQLPAFNHEERNHSPVD >OIW09360 pep chromosome:LupAngTanjil_v1.0:LG06:29751810:29752719:1 gene:TanjilG_01331 transcript:OIW09360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGLAIESERNRQCMVEAGVVNAMVHVITKSFKQGKTRCLEEALIVLRLLWSSAAMVNNMKHLVGENLDFINSLTWILQLHKVDNNVKMVNEVMPILKSTIEVANSTLLGILKPEFFIEIVTVIENNKTLSLQAIKSGFACPYRNVPIG >OIW09803 pep chromosome:LupAngTanjil_v1.0:LG06:14733443:14735671:1 gene:TanjilG_32241 transcript:OIW09803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSFHILLAQASEMPHTTLSTEKSDSKIYIIHVSKPERKLFAQSEDLESWYHSFMPPTTMSTKEQKQIIHSYHHVLSGFAARLTQEELSAIEKKNGFISAHPERMLHPQTTHTPQFLGLQQSNGLWKESNFGKGVIIGILDSGIEPDHPSFSDAGLSPPPPKWKGRCELNGTACNNKLIGARSFKIAGKAMTEESPIDDDGHGTHTASTAGGAFVNHSEVLGNAKGTAAGIAPYAHLSVYKVCYGENCPESIILAALDAAVEDGVDVLSMSLGQSEPIPFSRDNVAIGAFTATQKGILVSCAAGNYGPLYGTVVNGAPWILTVGASTTDRSIVATAKLGDMQEFDGESVFQPSIFSPTLLPLAYAGKDGKQASAACDSGSLSDIEFRGKVVLCERGGGVGRIEKGNEVKRVGGAAMIIINDEINGFSLEADAHVLPATHVSYAAGLKIKAYINSTATPTATILFKGTILGNSLSPAVASFSSRGPNLASPGILKPDIIGPGAGILAASPFAIDNSSNSKSNFNIMSGTSMSCPHLSGIAALLKSLHPEWSPAAIKSAIMTSADLLHVGLEPIVDETLKPADIFATGSGHVNPSRANDPGLVYDIQPDDYIPYLCGLGYSNNEIGIITQRKINCATVSNIPEGDLNYPSFSVVLGPSQTFTRTVTNVGEAYSSYAVIVTEPEGVEVKVHPNELHFSEVNRKATYSVTFNRIGLGNRTGEYIQGFLEWVSDEHSVRSPISVIFE >OIW09755 pep chromosome:LupAngTanjil_v1.0:LG06:16401804:16407054:1 gene:TanjilG_18085 transcript:OIW09755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKATMSSPARNTTMADDDNGVEYSFAVEYNGPSPAYDLPQVVPISVDNIPVAAVVAAQASFSDALFLPVVQPLFTVEHHRSSRFSNELKTHCSEQTVSPTSVIDGTVSSNDACEVSGELSSSVSGGERSGEISDSLWRSSSAVKNSNCEKESFDFDSNESVLSSDYRLSYLKNKNCNNKPECDDVKIEPVVNFIVNSNNEDNLCDEFEHEESETVLFKREPLTKGKKGSCYRCFKGNRFTEKEVCIVCDAKYCGNCVLRAMGSMPEGRKCVTCIGYPIDEAKRQSLGKCSRMLKRLLNELEVRQIMKAERFCEVNQLPPEYICVNGKHLCFEELVTLQNCANPPAKLKPGNYWYDKVSGLWGKEGQKPSRIISPHLNVGGPMKPDASNGNTQVFINGREITKAEVRMLQLAGVQCAGNPHFWVNEDGSYQEEGQKNTRGYIWGKAGTKLVCSCLSLPVPPKSSNVYGEQQCNPISRTVPEYLDHGIVQKLLLVGYGGSGTSTIFKQAKILYKSVPFSKEERENIKLTIQTNVYAYLGILLEGRERFEDESLRNLKKSQSSEPDTTGAVPKLDNKTIYSIGTRLKAFSDWLLKTISSGKLDAIFPAATREYAPLIEELWNAPAFKATYERRSEIEMLPSVASYFLERAVEILRHDYEPSDLDILYAEGVTSSNGLACVEFSFPHLTPEETVDIAGLHDSLDRYQLLTIHARGLGENCKWLEMFEDVGMVIFCVALSDYDQFSVDGNKMILSRKFFETIVTHPTFEQKDFLLILNKLDQFEEKVEQVPLTQCDWFSDFHPVTSRHRSGSNSNNINNPSFGQLASHYIAVKFKRLYSSLTGRKLYVSLVKGLEPDSVDAALKYSKEILKWNQERPNFSLSDNNSIYSTEVSTFSIDC >OIW10026 pep chromosome:LupAngTanjil_v1.0:LG06:10683989:10684288:-1 gene:TanjilG_32766 transcript:OIW10026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKHKARLVAKSFLQKQGLNYDEVFALVPRLKTIRLVVFLASYYGWHIHRMDVKSAFLNGSLEEEVFVTQPPGFEVAGKENLVYILHKALYGLKQAPRA >OIW09937 pep chromosome:LupAngTanjil_v1.0:LG06:12641185:12642072:-1 gene:TanjilG_32086 transcript:OIW09937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKVTRSCFIFLLVFTLWCCSLVQSLDNTASETLNSLVQDFAFRSLVKHRPQTGALYDALLPQNLSGMHVSVVRLRSRRLWKKGANFSYFWIPPRTISIPHVRRLVIVYQNLGNWSSHFYNMPGYSLISSVVGFMVFDASNVTDVRFRNLTLNTMGQPISIQFHNVTFVGGNNSRVKCVAFGANGTFQVTLMSSPGLCYSRDQGHFAVVIPIEKKRRHREWYLWVIGFVIGFCGLTAVVYAGFSSIKLLKAKRIQAMERQANEDLVLESRWVGNSKLPSAAVTRTQPVLDSIVM >OIW10905 pep chromosome:LupAngTanjil_v1.0:LG06:2135570:2136913:1 gene:TanjilG_27851 transcript:OIW10905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMYQPCKRNMVVFGFEGGGEGQILDLDTAVKGGILGGGVVGTGVCDKLDLRKMIEELDLSDVPTVFICPISLEPMQDPVTLCTGQTYERSNILKWFNLGHFTCPTTMQELWDDSITPNTTLHRLIYTWFSQKYMLMKKRSEDVQGRTSELLETLKKVKGQARVQALKELHEVVSAHSTARKSVIDQGGVSIMSSLLGPFTSHAVGSEVIGILVSLTLDSESKKNLMQPAKISLMVDILNEGSIETKINCTRLLESLIDDKDFQGEIISSHSLLVGLMRLVKDKRRRNGICSGLSLLKTISLHKEVRNLLVSIGAVSQLVESLSGLDPNCLELALCVLDALASIPEGRVALKDCSNAITIMVKLLMRISENCTQYALSILWSVCKLSPEECSSIAVDAGLAAKLLLVIQSGCNPVLKQQSAELLKLCSLNYSDTIFISKCKLTRTIQ >OIW10266 pep chromosome:LupAngTanjil_v1.0:LG06:8265852:8272754:-1 gene:TanjilG_28017 transcript:OIW10266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALHFSATFRHANSPLHYSSKLRITGFTQRNSICQLFFIRKSNSTRALRKLVIKNVASDKRQELNEPLSQQGTLDNFVADSASIASSIKYHAEFKTSFSPEKFELDKAYLATAQSVCDSLIINWNATYDYYEKINVKQAYYMSMEYLQGRALLNAIGNLQLSGPYAEALRKLGQNLEDVANQEPDAALGNGGLGRLASCFLDSLATLNYPAWGYGLRYKYGLFKQLITKDGQEEEAENWLEKGNPWEILRNDVSYPVKFYGEVISGPNGTKQWIGGENILAVAYDVPIPGYKTRTTINLRLWSTKVSPAEFDLQAFNTGDHAKAYAAMKNAEKVCYVLYPGDESLEGKVLRLKQQYTLCSASLQDIFARFEKRSGNTVNWDTFPEKVVVQMNDTHPTLCIPELIRILMDVKGLSWEKAWDITKRTVAYTNHTVLPEALEKWSLTLLQDLLPRHVEIIRRIDEEFIHEIVSKYGIDDLDLLQQKLRKMRILENIELPNSVIELLNNLKKVPASENVKEIGLDDNDIKATKDKEDDEAGEEEQEEYDNFTREDADKKTEWTFKVDPNLPRMVRMANLCVVGGLSVNGVAKIHSEIVKDEVFDEFYKLWPEKFQNKTNGVTPRRWIRFCNPDLSNIITQWIGTDDWVTDLEKLAVLRKFADNEDLQLEWMESKKRNKIKVASFLKEKTGYVVNPNAMFDVQVKRIHEYKRQLLNILGIVYRYKKMKELSAEDRKQKFVPRVCIFGGKAFATYVQAKRIVKFITDVGATVNHDPEIGDLLKVVFVPDYNVSVAEVLIPGSELSQHISTAGMEASGTSNMKFAMNGCVLIGTLDGANVEIREEVGEDNFFLFGAKANEIAGLRKERAEGKFVSDPRFEEVKAYVRSGVFGPYNYEELMGSLEGNEGYGRADYFLVGKDFPNYLESQEAVDEAYRDQKRWTRMSILNTAGSYKFSSDRTIHEYARDIWQIEPVVLP >OIW08876 pep chromosome:LupAngTanjil_v1.0:LG06:32887710:32892644:1 gene:TanjilG_05851 transcript:OIW08876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTVVVKGGGGGGSSSSSSSRNLEEALNPFNSLPFHPDSYLHNNSSLNDKEIKQLCSHLVDLKKASAEEMRRSVYANYAAFIRTSKEISDLEGELSSIRNLLSTQAALIHGLAEGVHIDSLSIPNSDGLSKDAISNHEERETSDLDKWLVEFPDLLDVLLAERRVEEALTALDEGERVVSEAKEMKSLNPSVFLSLQNSIMERRQKLADQLAEAACQPSTRGAELRASISALKRLGDGHHAHSLLLNAHLQRYQYNMQSLRPSSTSYGGAYTAALAQLVFSTVAQAENDSLAIFGDEPAYTSELVMWATKQTEAFALLVKRHALASSAAAGGLRAAAECVQIALGHCSLLEARGLALCPVLLKLFRPSVEQALDANLKRISESTAALAAADDWVLSYPTVANRQNGRPSSISVGNTTAFQHKLTSSAHRFNLMVQDFFEDVGPLLSMQLGGQALEGLFQVFNTYVNMLIKALPGSMEEEASFEDSGNKIVRMAETEAQQIALLANASLLSDELLPRAAMKLSPINQIAYKDDGRRRTLDRQNRYPEQREWRRRLVGSVDRLKDTFCRQHALDLIFTEEGDSHLTADMYVNIDGNADEVEWLPSLIFQELFIKLNRMANIAAGMFVGRERFATLLLMRLTETVILWLSEDQSFWDDIEEGPRSLSPLGLQQFYLDMKFVVSFASQGRYLSRNLQRIVNDIISKAMAAFSATGMDPYSELREDEWFNEICNDVMERLSEKPKEIIGEREFNSPTASVSVSAQSISSFRSHNSS >OIW09928 pep chromosome:LupAngTanjil_v1.0:LG06:12469430:12471950:-1 gene:TanjilG_32077 transcript:OIW09928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNKAWALIGDSISRNHVQSLLCMLSTVEQPVLVYHDEEYKSKSWHFPSYNFNISVIWSPFLVEAAIFEDFNGVSSSEVELYLDKLDSKWKDQFLNFDYIIISCGKWFLKSAIYYENDTILGCHYCNKKNLTELGFNFAYRKALKFVLNFIASSNHKGSIFFRTFTPDHFENGEWFSGGTCNRKAPVTEGEIEMKYLNKMLRDIELEEFRKAAAKASRSGVNLKLVDLAPLSLLRPDGHPGPYREFHPFAEKGKNAKVQNDCLHWCLPGPIDYWNDILMEMVVNG >OIW09583 pep chromosome:LupAngTanjil_v1.0:LG06:22581282:22583468:-1 gene:TanjilG_28182 transcript:OIW09583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSIQTPNNPTTTFLPPPPGLHIPTTTTAVAATSSGGGVSIPSLKVPKPEPFDDSDVEKQLQLYSDFCRVSNLFREAFGNVDFPGDLDSELVDVKVSISDPNGVVDLNLPAPNSQPEEEISGFLDPDSGAIVPVPPNQEIAVTTPRRKQSRVKELVRVMDLGLKDQVHFREVVRKTRMVYESLRVLTSVEEEKRVVAAAEEEKRMLAAMAAAAAASAAAAAASAAAASSADGVAAGIGMPEGSGSNGSRTYRRLRLRGDLRAASLMRDQGLWLNRDKRIVGATPGIYVGDIFLFRMELCVLGLHGQIQAGIDYLPGSMSSNGEPIATSVIVSGGYEDDLDDGEVIVYTGQGGQEKNSFRQASHQKLEGGNLALERSMHYGIEVRVIRGVRYEGSASSSGKVYVYDGLYKIVDCWFDVGKSGFGVFKYKLWRIEGQEKMGSAILKEARAIRRSGFDFKPMYCLSVDISNRKENVPVRLFNDIDDNQDPLCFEYLTRTTFPQFVFHQSGKATGCDCVDGCTDGCFCFMKNGGEFPYNQHGILVRGKPLIFECGPFCGCPPHCRNRVTQKGLKNRLEVFRSRQTGWGVRSLDLIQAGAFICEYAGVVLTKEQAQILTMSGGDSSIHPNQFSDRWAEWGDISQVYSDYVRPSYPSVPPLDFSLDVSTMRNVACYMSHSSTPNVFVQFVLYDHNNLMFPHAMVFAMENIPPMRELSLDYGVPDEWTGKLSICN >OIW10457 pep chromosome:LupAngTanjil_v1.0:LG06:5875553:5875807:-1 gene:TanjilG_00395 transcript:OIW10457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNTGLGARNLLQTTTSPGFTLPPIPTLPQGSVPPLPTIPTIPTIPTIPQFTLPPLPSFPSIPNIPFTFPSFPFFSPPPSTTSP >OIW09464 pep chromosome:LupAngTanjil_v1.0:LG06:26518317:26522204:-1 gene:TanjilG_22738 transcript:OIW09464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLILQMATSKLVFFPNASAVASLNNKNINFRSNFKGGIITKIHVVAPNGSASSNVLKAIREEIIDKPVGLALAEKAIGNNQRCTDCRAKGAVLCATCAGSGLYIDSILESQGIIVKVRCLGCGGTGNMMCTECGGRGHLGPK >OIW10064 pep chromosome:LupAngTanjil_v1.0:LG06:10305234:10306670:1 gene:TanjilG_32804 transcript:OIW10064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRLKWGNQRVLNCINISTHQPSSLHHRSKNQTENPFNNSKKRKPLLSNDIDDNLNNINAVREKLMIDLRVAANNLKVSIFDESVTADDSAAVNPMPWNLRTRRAACKASNREEQRNLNFPVNVIEAVVSKEKKNKKNVERVEKNQKMVINGRREFCVSITKEEIEQDYWALVGTKPPRRPKKRPKVVQRQLDTLFPGLWLTEVNADSYKVSDDPK >OIW10710 pep chromosome:LupAngTanjil_v1.0:LG06:3821046:3822698:1 gene:TanjilG_16082 transcript:OIW10710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSKVLVVGGTGYVGRRIVKASLEHGHETYVLQRPEIGLDIEKLQILLSFKKQGAILVEASFSDHQSLVDAVKLVDVVICTMSGVHFRSHNLLTQLKLVEAIKDAANIKRFYPSEFGMDPALMGHALEPGRVTFDEKMIVRKAIEEANIPFTYISANCFAGYFAGNLSQMKTLLPPRDKVLLYGDGNVKAVYMDEDDVATYTIKTIDDPRTLNKTVYLRPPENILTQRELIQKWEELIGKQLEKSTISEQDFLSTLKGLDFASQVGVGHFYHIFYEGCLTNFEIGENGEEASELYPEVNYTRMDQYLKVYV >OIW10884 pep chromosome:LupAngTanjil_v1.0:LG06:2289344:2292741:-1 gene:TanjilG_27830 transcript:OIW10884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAATNLKETLGDLNKDYFVPLLSKLIGESRYVQNNPPELIPEEDRVVNHVLDVLRPFSTTTGGGPLILNHVTYFPGRGNLIVQYPGTVPGKILSFVGCHMDVVTADPNDWDFDPFTLGIEGDKLKGRGTTDCLGHVALVTELMRRLGETKPNLKSTVVAVFIANEENSAISGVGVDALVKDGLLDNLKQGPLFWVDTADKQPCIGTGGMIPWKLHVTGKLFHSGLVHKAINSLELAMEALKEIQLRFYKDFPPHPQEQVYGFATPSTMKPTQWSYPGGGINQVPGECTISGDVRLTPFYNVKDVMNKLQEYVDDINENIQKLETRGPVSKYVLHDENLRGSLTLSFNEAKSGVACDVNSRGFHVLCKATEEVVGQVKPYSITGSLPLIRELQDDGFDVQICGYGIMATYHAQNEYCLFTDMSQGYRVFTNIISQLED >OIW10709 pep chromosome:LupAngTanjil_v1.0:LG06:3823472:3826075:-1 gene:TanjilG_16081 transcript:OIW10709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSISRYDNNDDGGVSGGVMMSMTRDTKPRLRWTPDLHDRFVDAVTKLGGPDKATPKSVLRLMGLKGLTLYHLKSHLQKYRLGQHTRKQNEEPHKENTRCSYVNFSSHSSEPNTIYRGDNEKGEIPIAKALRHQIEVQKKLEEQLEVQRKLQMRIEAQGMYLQAVLEKSQRSFSMDGPDRLEASRAKLNEFNSVLSNFMENVNKDCKENLVGMNDLYRKGHGSSSFHIYQGGIEENKDQKSKVEGGMIQFDLNIKGSYDLVSAGGAEMETKMLSDSVNKF >OIW08973 pep chromosome:LupAngTanjil_v1.0:LG06:32108423:32110404:1 gene:TanjilG_05949 transcript:OIW08973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIQCDVCENAPATVICCADEAALCAKCDVEVHAANKLASKHQRLLLETLSKKLPRCDICQDKPAFIFCVEDRALFCQDCDEPIHSAGSISASHQRFLATGIRVASSSNCTKNNEESHSEPSNRNSEQVSVKVPSQQVPTFTSAWAVDDLLDMADFESHDKKESLQFGELEWLEEVGLFGEQFPHEALAAAEVPQLPATHTSSNVVSYRTSKSYLSHKKPRIEVRYDDDDEFFTVPDLG >OIW10468 pep chromosome:LupAngTanjil_v1.0:LG06:5937960:5941217:1 gene:TanjilG_00406 transcript:OIW10468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAILEVVLENLSSLIQKELGLFLGFDQDLKRLSSTLTAMKATLEDAEEKQFSYRAIKDWLQKLEHAAHILDDILDECATQALQLEYGGSGLLDKVQTSCLSSFNPKHVIFRRKIAKEMRMIRDRLGEIAEEKNQFHFIEMHTERRNRVIDWRQTTSIATKLQIYGREEDADKIVKFLVGDASNFEDLTIFPIVGMGGLGKTTLAQLIYNHERVVNHFEQRIWVCVSEDFNLKRMTKVIIESASGEACEDLDLDPLQKKLQKLLQMKRFLLVLDDVWDEHQENWERLKNALACGAKGASILVTTRLSKVASIMGTTPPYQLSVLSKDDCWEVFKQRAFQPNEECTELVVIGKEIVKKCGGVPLAAKTLGGLLRFKREEKEWLYVKESKLWNLTQDENSVMPALRLSYLNLSIQLRQCFAFCAIFPKGKIIGKHFMIKLWVANGFISSNQMLEAEDVGDEVVNELYWRSLFQDIETDEFGKITYFKMHDLVHDLSQSIAEGVCHNTNDNGVTRLSERICHLLIHNGKSFKQLVDSIQLHQFQSLRTCIIQNPSQQYVQLLSHVFRCYSLRLLDIKALPKLSSSIGYFKHLRLPDRVKFLKSLRHLCLTECNSLSRLAPEIGRLTSLRTLSMYIVGKQRGLLLGELGQLNLTGELHIKHMERVKNVMDAKDANLKSKQLNKLVLSWDRNEESQVQENVEQIIEVLQPHPKELQTFCVEGYPGVTFPQWIASHSLKNLSCVNLMDCESCLHLPPLGKLPSLNFLKISNMKHLKHMDNEPYDVGLVGNYIALEFLQISHCPKLALPCLPSLKQLQIEGKSSHDLLSSIHIFHSLECLRFMDNEELISFPDEMLRGLTSLKKLEIYYYSKLEVLPLEIMNLNAIQELNINHCNSLEPVTDQMLQGFLSLKRLEIVSYCKFNFSTGFQYLTSLEDLTISSCPEVQGFPESLQHMTSLQSLTLCDLCNLRSLPDWLGNLALLHSLIISKCPKLMHLPMSIQCLSTLQSLSIYGCPELKIPAEEDWPTIPHIPDIHVSPETIYTSERGGCYTTYGIA >OIW10185 pep chromosome:LupAngTanjil_v1.0:LG06:7435052:7435753:-1 gene:TanjilG_27936 transcript:OIW10185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGKKLERASEEEMVEKQWERRDYLGVHPQKQEGLSYVGIHIPVGRVQAYEMDELANLADKYGTGELRLTVEQNIIIPNVENSKLDALLKEPLLKERFSPEPSILMKTLVACTGNQFCGQAIIETKARALKVTEEVEREVAVTRKVRMHWTGCPNTCGQVQVADIGFMGCMTRDENGKVTEGVDIFLGGRIGTDSHLAEVYKKGVPCKDLVPIVADILVNHFGAVKRNREGDD >OIW10490 pep chromosome:LupAngTanjil_v1.0:LG06:6121862:6127270:-1 gene:TanjilG_00428 transcript:OIW10490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRNQNKPPHNKKGGATEELLSDKHRRIGPEKMERQLTNGRSRAPLSSMKIRSDSNAVSDVASTEGPECSVVEFTKDDVDALLNEKRKKGDPFDNKKKQEQMADYIKRLKLCVRWFIKVEEGYAKEKEKLQFELESIKMKCSDAEAEMKNKMEELNETILDLKKTISSLENRIAKEESDKLEAINCYRKEKEARTAAEKDRDEKSAELEKVLDQKSVAEKKAISNEDLYKRSQEYNMSLQQYNSRLQLDLDTANETHKRLEMEKATIVESLSNVRGHNKALQDQLVSLKVSQDEAIKHKETLANELKSLREELKQIRDERDCQQGQIKALIEEVVKYKEHTGKSCQQLDTLTIKTNALEVTCSSQKEQILQLQQMLFVEKEKLKMVELSASTSTTVFEEQRRTIFELQDRLADRELQLVEGEKLRKKLHNTVQELKGNIRVFCRVRPLLPEDGTGSDMVVSYPTSTEALERGIELAQSGQKYPFTFDKVFDHEASQQDVFTEISQLVQSALDGYKVCIFAYGQTGSGKTYTMMGSPDAPDLKGLIPRSLEQIFQTSQSLKVQGWKFKMQASILEIYNETIRDLLYSNRSSGMDQARTDNGVPGKQFTIKHDANGNTLVSDLTIVDVCSVKEISSLLQKAAQSRSVGRTQMNEQSSRSHFVFTLRICGINENTEQQVQGVLNLIDLAGSERLSRSGATGDRLKEAQAINKSLSSLSDVIFALAKKEEHIPFRNSKLTYLLQPCLGGDSKTLMFVNISPDQSSTSESLCSLRFAARVNSCEIGIPRRQTQPSHGPQSLS >OIW09969 pep chromosome:LupAngTanjil_v1.0:LG06:11835613:11844539:-1 gene:TanjilG_18276 transcript:OIW09969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDKCWPSNAELNEIREIVSCMARVNKEEVRVVVSPYRICPLGAHIDHQGGTVAAMTINRGILLGFTPSGSSQVVIRSGQFKGEVEFRVDEIQQPRQTTPTKNENGAKDSYELKEQCCWGRYARGAVYALQSKENNLSKGIIGYICGSEDLDSSGLSSSAAVGVAYLLALEHANNLIMSPIENVEYDRLIENEYLGLKNGIMDQSAILLSSHGCLMSMNCKTKDYKLIHVPKEQQYAESGQPKATRILLALSGLKQALTSNPGYNRRVVECQEAARILLEASGDYEAQSILSNGQYLYLSHLNLCKLESNLAKRAEHYFSENMRVKKGIEAWELGNFKEFGVLIAASGRSSIQNYECGSEPLIQLYEILLRAPGVLGARFSGAGFRGCCIALVEADLATEAASFVRREYLNLQPELASHTSDDKAVLICDSGDCARII >OIW09028 pep chromosome:LupAngTanjil_v1.0:LG06:31653289:31654251:-1 gene:TanjilG_06004 transcript:OIW09028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLLRIMCTCVIILLGSMDTFVVEGGRRFKVGDDLGWHEPVPNNTVFYIRWAERNRFQVGDSLIFVYQNDSVLTVDKKDYFTCDTSNPITAFDNGESILNLDMPGPFYFISGTENHCTNGQKLLVEVMSPHPKSSPPSYASDDSFEDSISASASVVLGPVPMASIVTFVIVLHTL >OIW09179 pep chromosome:LupAngTanjil_v1.0:LG06:30661581:30668503:1 gene:TanjilG_11317 transcript:OIW09179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIFEPYRAIGCITTSLPFSLQRLGTETFVTLSVGKAFHIFNCSKLNLVLIGPQLPKKITALVSYRHYTFAAYGNNIAVFNRAHLVATWKSNHSAKIKLLFLFGEHIISVDVVGNVFLWPFKGPIDEINNLVPSTHIKLDKGFTPTTITHPDTYLNKVLIGSEQGPMQLWNLSTKKMIFEFKGWDSPITCCVSSPALDVVAVGCADGTIHIHNIRTDQELVTFTHSTRGSVTALSFSTDGQPLLASGGSSGVISIWNLEKKRLQSVVREAHDNVITSLHFFANEPVLMSSSADNSVKMWIFDTSDGDPRLLRFRSGHSAPPLCIKFYANGRHILSAGQDRAFRLFSVVQDQQSRELSQRHISKRAKKLKVKEEEIKLKPVIAFDCAEIRERDWCNVVTCHMDTAQAYVWRLQNFVLGEHILNPRPENPTPVKACAISACGNFAILGTAGGWIERFNLQSGISRGAYIDMSEPRSCAHDGEVVGVACDSTNTLMISAGYQGDIKVWDFKERALKSRWDIGCSVVKIVYHRYNGLLATVADDLTIRLFDVVALRLVRKFEGHTDRITDLCFSEDGKWLLSSSMDGCLRIWDVILARQIDAIQVDVPITALSLSPNMDILATTHVDQNGVYLWVNQTMFSSTSNVDSYASVKEVVSVKLPSISSAEHSQVEHSDELVNATRPKDTPVFQTEDKQIPDLVTLSLLPKSQWQSLINLDIIKVRNKPIEPPKKPEKAPFFLPSVPSLSGEILFEPGKLSADEKNETGDEKQVKKARVDLPSSRFLHLLQSSKETNNFAAFTDYIKGLSPSTLDMELRMFQIIDDDDEQEVEKMPELVTIEQFLDYFIHELSYRNNFEFLQAVIRLFLKIHGETIRQQPHLQEKARRLLDIQSLHALLSYVAELVLSNVVQWDIRER >OIW10516 pep chromosome:LupAngTanjil_v1.0:LG06:5587907:5590086:1 gene:TanjilG_15888 transcript:OIW10516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSVMELLKLSKLRLQLQSLITEVRDLRVSYLQNDNALLEMKQKELKGTLNNLLQSRENFVHDYQESNSQMKHSIKTKDKMIFVLSEKINSHLLLFDLIEKEAFNIKQIVDKVQTLVSHKEEIENISDLRNKLESSEAGLRKKDRVISELKANLDAAKLSHNNQVQIEDISLPTWNCYMYRIP >OIW10575 pep chromosome:LupAngTanjil_v1.0:LG06:5128620:5132721:1 gene:TanjilG_15947 transcript:OIW10575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGLSMRGFTCMLLIAYLIWCSNFVSCIARRSKHWRHSRTVSGSEFKKKGKSYSNSHNHHGGGSKPKPPSSKSTPTLPKAPPHKSTPSSPQIPKPKVGTPPPNAHNAGHSTIFNVLDFGAKGDGCTDDTKAFQATWVAACNVEASTMLVPADYAFYVGPISFSGPYCKPNIVFQLDGTIIAPTNSNAWGGGLLQWLEFTKLLGITIQGNGVIDGRGSVWWQDQPFDEPIDDEEKLIVPLNYTRKTPVPIQSEMGRKMPSIKPTALRFYGSFNTTVTGITIQNSPQCHLKFDSCNGILVHDVSISSPGDSPNTDGIHLQNSKDVLIHSSKLACGDDCISIQTGCSNVYVHNINCGPGHGISIGSLGKDNTRACVSNITVRDVNMHNTMNGVRIKTWQGGSGSVQGVLFSNIQVSEVQLPIVIDQFYCDKRTCKNQSSAVSLEGINYEKIRGTYTVKPVHFACSDFSPCVDVSLTSVELKPVQEQYHLYDPFCWHTYGELRTPTVPPIPCLQIGKPSSNRIQTDHDLC >OIW11149 pep chromosome:LupAngTanjil_v1.0:LG06:286134:288664:-1 gene:TanjilG_22956 transcript:OIW11149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATATTTSAFTTNPFFPSTTTSNFRQRPSSLSFYTHSHTTTFPRLSIRATTTTTSTMVNDDEGVLQKGIAEFYDESSPIWEDIWGDHMHHGFYDPNSTNVSLSDHRAAQIRMIENALTFASLNSSTSDEVSTNKWPKSIVDVGCGIGGSSRYLAKKFGATSTGITLSPVQAARANSLAAAQQLADKVSFQVADALKQPFPDGQFDLVWSMESGEHMPDKTKFVGELARVAAPGGTIIIVTWCHRDLGPAEESLKPWEQNLLKKICDAFYLPAWCSTADYVRLLESLSLQDIKSEDWSSYVAPFWPAVIRSALTWKGLVSLLRSGLKTIKGALAMPLMIEGYQKGLIKFSIITCRKA >OIW10617 pep chromosome:LupAngTanjil_v1.0:LG06:4713181:4715547:1 gene:TanjilG_15989 transcript:OIW10617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTVYEEKGEAKAEIERVKEELRDKRDLKVKKHEQELFRTEDEINDAKQTCEYLKQNLDNEESIIKHISSAANDTPQADCEEKFKKLEDEKRLEFASQEANEKVEKQEQEIGSLIGCLSVSNMCMEIKEDEMLQNLEEENMKADEQLKWKGEQFKHLEEAHEKHKDQFMSGKNEWELEKSALLDEISSLQKKLESHIRISQDLQNQLHMCKQSFSHEESQRKCLEVEYPIYSLKTKEEYYEESKSSIEKLEQENHELRMSLKELQESGASYSESKLLSELRNLEQIHKECDSTFKVIKAEWSFQVEQLTGTINSLLTELENKTAAVEKLEMELESSNSLTTEMTLLNEELSVMVLVFQQGISETKLKLANYEDKMETKEAALMGQIESYAPAKEMLEESTKCQLLPKENVLHMEFDLEEKLREVCDDLDKANIQLNETIYERNDMELELHMWMSYVERLGKDLEENLVMRQRLENSLLAQVDFSERLKQEKDRLISEKETRINCLQHVLLEQKLEVRDTEGFVPIAVSSKTGEVRYLQMIEEENKILEELREEVYWLEQESFRREVESGVIAEIKMEKFNKFGKENHINGENTRIDELMQQVTALEQHCTSSLTTISSQLDEKQAEINQVQEVCDKIKAAEILSALESKQLAWDIEAEMNEKQFKTKDLTCRIENNMSGSDDLLQKLKMENRNLQENVIRLSSEKENLLLFIRVLYDKMCDSTTADTEIIEMLVQSFENNGPAMKLIKGDEFLVKENMIIHSPTGIKKHDIISDIRSPFQELNILLEG >OIW10565 pep chromosome:LupAngTanjil_v1.0:LG06:5183304:5184390:1 gene:TanjilG_15937 transcript:OIW10565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSNEGLRKPVFTKVDQLRPGTSGHTLTVKVVNAKIVLQRGRPDGPQPRQMRLAECLVGDETGIIIFTARNDQVDLVKDGATVTLRNAKIDMFKGSMRLAVDKWGRVEVAEPASFTVKEDNNLSLIEYELVNVVE >OIW08902 pep chromosome:LupAngTanjil_v1.0:LG06:32694166:32704953:-1 gene:TanjilG_05878 transcript:OIW08902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHKNATFSFITPRAASVEVGSSSASTGTAEDDVLKALSQIIDPDFGTDIVTCGFVKDLQIDNALGEGGVGKSTIAVNLAYTLADMGARVGIFDADVYGPSLPTMVSPENRLLEMIVPLTAAVIVTTPQKLSFIDVAKGVQMFSKLKVPCVAVVENMCHFDADGKRYYPFGRGSGSQLSASGDSGMPEVVTDPQGEVSKIFQNLGGGVGKSTIAVNLAYTLADMGARVGIFDADVYGPSLPTMVSPENRLLEMIVPLTAAVIVTTPQKLSFIDVAKGVQMFSKLKVPCVAVVENMCHFDADGKRYYPFGRGSGSQLSASGDSGMPEVVTDPQGEVSKIFQNLGVCVVQQCAKIRQQVSTAVTYDKSIKAIKVKVPNSDEEFFLHPATVRRNDRSAQSVDEWTGEQKLQYNDVPDDIEPEEIRPMGNYAVSITWPDGFSQIAPYDQLQMMERLVDILQPTI >OIW10016 pep chromosome:LupAngTanjil_v1.0:LG06:10809696:10811615:-1 gene:TanjilG_32756 transcript:OIW10016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILKAMWTWYQNCLSLHPVQTQVISSCVLWGVADVTAQYITHHASVAKTKKLLQLSDSDVKFMIDWRRVAVTSIFGAGFVGPVGHFWFESLDKFIRLKLHLMPQSARAVATKVAMDGIIFGPVNLFVFFTYMGLCSGKTIPQVKEDIKKNYFAALILESSMWPFVQVFNFRRMHLGSNGFILLGKKDFILDN >OIW10643 pep chromosome:LupAngTanjil_v1.0:LG06:4458003:4460852:-1 gene:TanjilG_16015 transcript:OIW10643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWDMSSDSAKENIPLVVSSVTERKQDLGNSDDPERSSYKANEESNQTRADKVGHSHCHTGLSTVTQMEKLYNTKYFIIKSLNHQNISLSVEKGIWATQVMNEPILEEAFHNSGRVILIFSVNMSGSFQGYAQMMSSIGRRRDRNNIWSDRSGKSSPWGRSFKVKWLRLHDLPFHKTIHLKNPLNDYKPVKISRDCQELSPEIGLALCELLDGNTDSDDPLSSSSRDSFSLKGQSAEIQSFTAGEDCNFPLQNSSWSMPLPHPSVTYHSIAEVNRFHSANHRFSGSNFTGMLPSKRSHFSRNIPKLQVERNVAPELDVWGLSAESPLASTLTEDDFLDMASKPSQTQSEPSRSNSHDDDRNLDKPIRDRSRRILQ >OIW10582 pep chromosome:LupAngTanjil_v1.0:LG06:5069469:5069627:-1 gene:TanjilG_15954 transcript:OIW10582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFGPILLRASRLKYLKTRPVSKKALHFPELRFQGIVPLNANDVLGTEVKWA >OIW10329 pep chromosome:LupAngTanjil_v1.0:LG06:8849938:8858273:-1 gene:TanjilG_28080 transcript:OIW10329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANNMFFVVFLLLLMFFSSVTPTEINQDLVAATKEMQKANYFTFVMLINMSPLDTRLEGNVTFLMPNDRMLANNMVLQEGFISSFLLRHSVPSPLIFETLEQFPTGTIIPSSLPNCMLRVSNYGRRNFVLNNVKIISRNICTAGSSIRCHGIDGVLSEDCVSNNNSSVPTTTCSNSTFPSCMASPPIPSPTRDNLSPTFGASSPSYANVGTQKSGSHSYVGSLNLHCNMDHLSAISEELAEIDGRVADNFRVLSNGFQKLDKIKDSSRQSRQLEELTDKMRDSKRLIKDFDKEVKALEGNFDRETNKMLTEKKQSMIKELNSYVALKKKYATNIDNKRIELFEGPNEGYTEENVLLASSMTNEQLMDRGNHMMDETDQAIERGKKVVQDTLNVGTETSAALKAQTEQMSRIVNELDSIHFSMKKASQLVKEIGRQVATDKCIMGLLFLIVVGVIAIIIVKLVHPNNKDIRDIPGLGPPVMNRRLLSHQLKY >OIW09909 pep chromosome:LupAngTanjil_v1.0:LG06:12208600:12209184:1 gene:TanjilG_32058 transcript:OIW09909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCSRRLCLPQRPQIRGMSIGLPPAPKPGTVAIPPCILPSPLHHFSSCSLPHALQELMVLVPQGRESSSGEGEGLSSIAKVKVEEGEHRGTINLEEER >OIW09147 pep chromosome:LupAngTanjil_v1.0:LG06:30430486:30431220:1 gene:TanjilG_11285 transcript:OIW09147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMMKHSFFSLSLLLIILFHSTTTSSQTPAPSPSSSPTDIIRILKKAGGFTTLIRLLTTTQVSTQINAQLLNSNNGITLFAPNDNAFSSLKPGFLNSLSDQQKDELIQFHVLPTFVTITNFDTLSNPVRTQAGDDPERLALNITSSGSQVNLTTGVVNTTVGGSVYSDHQLAIYQVDKVLLPRDFFVPKPPPPPAPAPLKGKDSKKKSEDGAVSPAGDNSDAMSLKKHMHGIWVFVGVVAVFSL >OIW10385 pep chromosome:LupAngTanjil_v1.0:LG06:6882411:6886854:1 gene:TanjilG_05533 transcript:OIW10385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGQRSTIGSLPETLEFDCGSTSSNATVNPPTYWSNMQNPAENHIPECILSPGEINSSYVNYINQDWQNLSGWSFGEPSSGNTQNEVNNNEQKRELGWPSSVSASAIAGPVLERRSRPANALSLDNVITSSMPMNSSSSHLVSQSLNLNASLADSGSDNSHHVEHPNLPKSSGPMNEHIPPITSSGSFMLPPESNGFLVEDADGRPGCSRDTRHVSRKRKAVERDFGQSSYVGSCSYSQNRDGIAWNTLPTQNYAGSNFSRSASAEQVNARLGLSTGNVVSESVPDTNVAGSSENFLRNCRLRINPPSQQNSISPTAFSSNSMIRNTGVSSCTSMLQRIHPVDNSLDLRSVPPVDTMTPQSQPPIVHVPALPRNAQSFRWSGGSSLTNNYSSNSVMSADRDNLPHEDGSSRSMPRNILEQPVSVPSTNLRNLFQNPAIRASTSANLSIPGNVASSRPGSNSAINPSSASPWVSPPDPQQQFPRRLAEYVRWPLFSPGSAAAGSPINNYSSLHGPATSSVLSSGVVHPRSSTLLERLGDSEHAIPPSLRSSAVAGDGSGRIVSELRNVLGLMRRGRSLRLEELLALEERIGNVSTGLNEETIMKHLKQKKYLVETGSQHEAEPCCVCQEEYKDGDNMGSLDCGHGFHYDCVKQWLTHKNLCPICKTAGLAT >OIW10215 pep chromosome:LupAngTanjil_v1.0:LG06:7746666:7759887:-1 gene:TanjilG_27966 transcript:OIW10215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPPNLKKYGVPIYSVSWIPQNIFKSHQNVTADDSSSDTDQKSPPDTKAEEKDVTEGVTAGNYLVFAGGGGEGHSGIPNSLLIAHFDVASNSLSDQPVSRLGTNSDLPYRMAIHPNGDGLICSMMSKSCRWFDWDQNEGSENHKLVLKKSEIVLTGLEDVELQLALAFNNVGTALAAGGEDGNLRVFKWPSMEIILNESNAHSTVKDLHFSSDDKLLVSVGGAGPSRVWDISSSKLLTTLSNENRDTFSSCRFSQINDRTQVLYIADNGGSILTWNTQTWKRINSKRIIRDAISALNVSADGKFLSCGTPSGDIVIVSSKNMQIQTMIKKAHLGIVTALAFSPDSRALASVSMDSSARVTLIEEKKNVMDNATAMDPSSISLGEDSRSTMNYLKHTLLSRLKESNPSLCFNTDHVSSYIQQRLPHLFPSINIPSHLPYALMIENAIKGLGERNGSTEEAISDFIKDEYKDLPLAHTRILRVQLKMLCDNGELVCKEGGRYVFVVDDVDVCIGGMSDEDGKRAEMKGGNKGKRGGNKKSEGKEKKRLSGLHVAPTDDEPSKAVVESIQEQVEVEGDTLVSEMVEVQGQSEKGMGGDGNGTKVKCSKQRKRRKKKYTDGKREKRGRTKRQVSQLPDAKADEPGRVLEEFTQEQVEEEAILLLENAEEHEQEILSQTQLELAPHVEQTCESLSMENVLNSLSDANPTLQQLRPEPQNEDLGQDLPQATRPTRK >OIW09205 pep chromosome:LupAngTanjil_v1.0:LG06:30839912:30846655:-1 gene:TanjilG_11343 transcript:OIW09205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELQIQTSSMASLISTMNTLHSPQLSLKPFNYPFTLSNPSSSPFSTYAVPNAQNPSTLHPRRNGTNNGRSTHKSPPLRKDNQPNKPKPKLDDQIQNPQFDLMRLCQEGNINQVLELMAQGVVADYNVYLALLMLCQNEKSFELGKRVHEFLRRSDFRGDIELNNRLIGMYGICGRMKDARRVFDRMPKRNISSWHLMINGYTLNGVGDDGLLVFQQMQQAGLRPNGETFELVFAACARAEAVEEGFVHFESMKEHGVVPSMEHYLEVINILGFAGHLNEAKEFIEKMSIEPRVEVWEALRIFARIHGDIDLEDHVEELLASLDPSKATAGILRAPPRKKQAAVNMIEEKNRVTEYRYNARYKEEANEKLKDVSCFQGLFFCPEAASLLLHNFCIYHISPPGHELGAAAICPDDSIPSLEDLADQIIEILNYFRLGAVMCMGVTAGAYILSLFAIKYRERVLGLILVSPLCKAPSWTEWILNKVMSNLLYYYGVCGLVKECLLQRYFSKEVRGNAEVPESEIVQACRKLLDERKGVNVLRFLHAINQRRDITEGLKRLKCRTLIFVGDSSPFHLEALHMISKLDRRYSALVEVQACGSMVTEEQPHAMVIPMDYFFMGYGLYRPCYLSDIPINPLSPSCISPELLSPESMGLKLKPIKTRLSLRG >OIW10674 pep chromosome:LupAngTanjil_v1.0:LG06:4095542:4099282:-1 gene:TanjilG_16046 transcript:OIW10674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKKTKDPKQTPQTDAVLPPTGLFGTLFGNAAEQSPTIAAADSLFSDNNPFRRKPQQYAATSDSTKPTQTLNEDPIDEKKRKRKEEKNLTATADSNSIVEVSEKKKDKKKKKQASDEENTGSDLVVEPNVTSEVANEDNERKKKRKKKKPASDDENKNSDLVVEPIENDEVAKEGDEKKKRKREDLEKEWEDKMYGIVEGEEKVEGEGLEKKKTVGSKRKTVDNAADILVSKEGFDDEDKLLRTVFVGNLPLKVKKKTLLKEFKKYGEIESVRIRSVPIQDTKKPRKGAILAKKINDDGDSVHAYIVFKTEESAQASLSHNMTVVEGNHIRVDRACPPRKKLKGEKALLYDNKRTVFVGNLPFDVKDEEIYQLFCGKSNLESDVEAVRVVRDPHLNVGKGIAYVLFKTRDAANFVIRKRNMRLRDRQLRISHAKADATPSKRPYPSSPAGAVRTPPAKKFAMASRSPLSSDNRSNKKVNTSYQGLRASKPDVQKRTQGGEKPKERLVKRPSVAARKAKVNSQKDGAPPSKQAAGVKRKFDKRTPDSSLRPKKFQKNR >OIW09533 pep chromosome:LupAngTanjil_v1.0:LG06:22999400:23004409:1 gene:TanjilG_30852 transcript:OIW09533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSLLKHRGPDWSGLHQHDDCYLAHQRLAIVDPTSGDQPLFNEDKSIIVTVNGEIYNHEELRQQLPHHKFRTGSDCDVIAHLYEEHGENFVDMLDGIFSFVLLDTRDNSFLVARDAIGVTSLYIGWGLDGSVWISSELKGLIDDCENFKSFPPGHLYSSKEREFRRWYNPPWFSEAIPSAPYDPLALRIAFEKAVIKRLMTDVPFGVLLSGGLDSSLVASITARYLKDTKAAKQWGAKLHSFCVGLEGSPDLKAGKEVADYLGTVHHEFHFTVQDGIDAIEEVIYHIETYDLTTIRAATPMFLMSRKIKSLGVKWVISGEGSDEIFGGYLYFHKAPNKEEFHQETCRKIKALHKYDCLRANKSTFAWGLEARVPFLDKEFISVAMNIDPEHKMIKREEGRIEKWVLRKAFDDEENPYLPKHILYRQKEQFSDGVGYNWIDGLKDHADKHVTNKMLLNAPHIFPHNTPNTKEAYYYRMIFERFFPQNSARLTVPGGPSVACSTEKAIEWDADWSNNLDPSGRAALGVHVSAYENLVNSVSSSSSKAIIPENIIPKIEISPIGVAIKS >OIW10942 pep chromosome:LupAngTanjil_v1.0:LG06:757112:758740:-1 gene:TanjilG_22749 transcript:OIW10942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAVFNKELLSWYLITLKIKETLQLGVPSAPSSGMLSTEFQEQQNLQQDLQQEPSQSLHITINEGGEYFQEGATSPESEWMISTKEKLEQACEDDEACSWDKLSIYQIPHYLRDSSSDDKAYVPQIVSLGPYHHGKRRLRQMERHKWRSLNHVLKRTNHDIRLYLDSMNEIKERAISCYEGSISLNSNEFVEMLVLDGCFVLELFRGATEGFAPLGYSRNDPVFAMRGLMHSIQRDMIMLENQLPLFVLDHLLGIQLGNPDLKGLVADLALRFFDPLMPTDEPLTKKNRNKVESSEKNETITPTTTTTTTICDPLSDEDGLHCLDVFRRSLLRRGTVRPPSNWTKRWSNAHRVADKRQQQLIHSVSSLREAGIKFKKRKTDRFWDIKFKDGILRIPRLLIHDGTKSLFFNLIAFEQCHLECSNDFTSYVIFMDNLINSPADVGYLHYHGIIEHWLGNDAEVAELFNRLCREVVFDINDSYFCPLSEAVNRYYNHRWSTWCANLRHNYFNNPWAIISSVAAVVLLVFTLAQTFYSIYGYYKPL >OIW09592 pep chromosome:LupAngTanjil_v1.0:LG06:22475759:22482241:1 gene:TanjilG_28191 transcript:OIW09592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNIFKKFHIGTNHHDHNRSNEAPTSYSSIPPQSSSTDHHRTRPTQNPAASPANLSTPADASFPATTMANQKDYLSSEEEFQVQLALAISASNSDSHYDQRLGSHQIDSRRNDYGVADALSRHYWEYNVLDYEEKVVDGFYDVYGLSTNIAMQGKMPFLTDLEAKPESSGLEVVIVDRTIDPALEELIQIAHCIALDCPATEVAILVQRLAELVIGHMGGPVKDANIILARWTERSRELRTSQNTSVLPIGYINIGLSRHRALLFKVLADNIKMPCRLVKGSHYTGVEDDAVNIIKLVDEREFLVDLMAAPGTLIPADISTPKDTYLTPDNPKVLPSLPSAKETDFYYTRLVPSHGEGINQNSAVEGSSPLNGQAYFDQSEYMPSNFGFNGGSGVRPLKMPNRMTLNQLENIAQPSSASMYKGIHGINIAGNRTRLDVNVVPYGQKNSDDSQSLSAELNPFQKKGTSKISDHNRTVESNAPDLQSTRKNTVCGRPPGPLAWKNCCAYNEVPRKVNCDPNDYNFPNSSPISEKFDHNGSKSSNSSNLRNDRKAPKLAQDNGSVALSGADELERVEDLNVDCNRGKPENYRNDMLDVVIELKNIKIGHLDKRKGTSDRFMGESLKLKGPDSRSSPIDSNTNKIDQMLDDVDVIECEIRWEDLVIGERIGLGSYGEVFRADWNGTEVAVKKFLDQDFSGDALSEFKREVRIMRRLRHPNVVNFIGAVTRPPNLSIITEFLPRGSLYHILHHPHCQIDEKQRIKIALDVVCDFGLSRLKPNTFLSSKSTAGTPEWMAPEVLRNEPSNEK >OIW11073 pep chromosome:LupAngTanjil_v1.0:LG06:1773102:1773965:1 gene:TanjilG_22880 transcript:OIW11073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANKYHVRSNSFPSASHPTTVKVEEELRNLRTFEATSTSTSESIRTSLCFLQDLYICLDGFLNLASTQKLISHHTGEKCVEDIVDGSLRLLDICGITRDTMLQIKENVQALHSAIRRRKGDSSIARSVAEYNSFTKKVKKNAKKLISSLKQMDSNFGVSPLLNQDQDLSALIRVLREVIAMNMSIFQSLLSFLVFPSSKSKVTKWLMVAKLMQKGVQSCEENSNELQRVDAALITLLSDATNVEKMLAAREELEALENAIESLENGVESVFRPLIKTRASLLNIIAQ >OIW09882 pep chromosome:LupAngTanjil_v1.0:LG06:11908919:11911095:1 gene:TanjilG_32031 transcript:OIW09882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLSLPTIHSTSFLHLLPTTTSFSINRRRYLTTATATGSDFDKTPPQPLLQVNDLKAKIVENGVDILHGVNLTVNHGEVHAIMGKNGSGKSTFAKVLVGHPDYEVTGGSVVFKGENLLDMEPEERSLAGLFMSFQSPVAIPGVSNDEFLVMAYNARRRKLGLPELGPLECFSYLMEKLKLVNMKPDFLNRNVNEGFSGGERKRNEILQLAVLGADLAILDEIDSGLDVDALRDVANAVNQILTPKNSLLMITHYRRLLDLLNPTHVHIMDKGRIARTGDKSLVAFIEDEGYETVSALT >OIW10076 pep chromosome:LupAngTanjil_v1.0:LG06:10159712:10163032:-1 gene:TanjilG_32816 transcript:OIW10076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGAELELERRSNFLKGLIQKKKSIEQKEEKHENLHMNNISVRACDMPIPLQNRAFSFARDILHSMPPNKLDSKHLALALKKEFPKSNIAIDAGESDIEKFQFKEHNCDI >OIW09258 pep chromosome:LupAngTanjil_v1.0:LG06:28745000:28752300:1 gene:TanjilG_01229 transcript:OIW09258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSITILNSLYTLPLTKSHPNYHSFQPPLLLTTKGGGGGGGGGTKLRVSLGSSNDAVSSNSKDGLFLLQLNKASSNSAMEQLDIERGVCIPFRKYSPQAVRNKVLESRGGVLSLMSRGVEIVWKLGFYWSTLTYDFLVGRDEEVVPYRARQLRNLLCDLGPSFIKAGQVLANRPDIIREDYMNELCILQDDVPSFPNEIAFSIIEEELGQPLEAVFSKISSETIAAASLGQVYRATLRSTGDDVAIKVQRPGIEPLIYRDLLLFRTLASFLNGISIQKLGCNAELIVDEFGEKLLEELDYTLEARNLEDFLENFKNDPTVKIPLVYNQLSGPRVLVMEWIDGIRCTNPKAIKEAGIDLDGFLTIGVSAALRQLLEFGLFHGDPHPGNIFAMRDGRIAYVDFGNVAVLSQQNKQILIDAVVHAVNEDYAEMANDFTRLGFLTPGTDVTPIIPALEAIWQNSAGKGLSDFNFRSVTGKFNQLVYNYPIRIPERFSLVIRSLLTQEGICFTLEPDFKFLEVAYPYVAKRLLTDPNPALRERLIQVLFKDGLFQWKRLENLIVLAKENVAKMSSNPALQVQNMKSQKDLKVERKLDLTDTIKDGARLFLVDEGIRRQLLLALTEDSKLHIEELVDVYRLVEDQIDIPSVAVEVVRDFPTVIRDALLSWSESVLSDR >OIW10411 pep chromosome:LupAngTanjil_v1.0:LG06:6592895:6595025:-1 gene:TanjilG_05559 transcript:OIW10411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDNVLDLNLLGVGELASHHEGHTLRPRNPAPFLLKTYNLLEEGGGGVNGEAEAEDDNKKIVSWNVDGKGFVVWSPAEFSDLTLPRYFKHNNFSSFIRQLNTYGFKKISSKRWEFKHEKFQRGCKHLLVEITRKKGEPSVFPSYLKSSSEENGMTSSMEVNNNQQILMEENKNLKEERLELQMQIAECKAIEMKLLEYLSQFVDNHQNKVRRLC >OIW10277 pep chromosome:LupAngTanjil_v1.0:LG06:8328848:8335966:-1 gene:TanjilG_28028 transcript:OIW10277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVSALLTSVGINTALCVLFFTCYSILRKQPSNYKVYVPRLLAEGISKRKKRFNLERLIPSPDWVAKAWTLSEEELFSLAGLDGVVFIRTITFCLKIFTFAGIIGILVLLPVNCWANQMQEFDVTDFADNSLDVFTISNINSGSNWLWVHFCAVYIVTGFICLLLHHEYKYIASKRISHFHSSKPQPHQFTILVRSIPTSSSCSVSESVESFFKEFYPSTYLSHVVIRHTSKIRSLLEARAAFVFFKSRYGAATASHMQLSVNPTQWITEPVPEPRDVYWPFFFESFMRRWISKLLAIVATTFFTISFLIPVVIVQGLVNLNQLEVLFPSLTSILTIKFVTQIITGYLPSLILQLFLKVVPPVMRLISSIQGYISNSNIEISACNKVLSCTIWNVFLATVFSGSVLTQLSLILDLKSIPWKLAVSVPAQASFFITYVVTQGWTSVLSELFRIIPLICSLISKPFTSPEHEIDVPSIPYHRDVPRVLFFGLLGITYFFLAPLILPFLLAYFCLAYIIYRNQFINVYAPKYETAGKYWPIVHNTMIFSLVLMQVIAMGSFALKKLKPASAWMLPLPVLTLLFNEYCRKRFLPVFIAYSVERIYKQVKTKIPLLTEELLLNVAMHQDKKTKSFEREDGEQEKTKRI >OIW09764 pep chromosome:LupAngTanjil_v1.0:LG06:16068293:16070249:-1 gene:TanjilG_18679 transcript:OIW09764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCFPLLCGKKVSSIARRDPDIDEELSGLQNVRLFTYKELRNACDNFSSANKIGEGGFGSVYKVTKPLQVLLLSPYQMVWSLFFPEISDFGLAKLILSHLTHVSTRVAGTIGYLAPEYAIRGQLTRKADIYSFGVLLVEIVSGRCNTNARLPIGDQFILEKTWELYEKGELVGLVDISLNDFFDAEEACRILKIALLCTQDNPKLRPSMSSVVKMLIGEIDVGESKITKPSLISDIMDLKVKEQKGNDDMKISSSYSASSASDSQGNTMSFAANTTFTVQYD >OIW08915 pep chromosome:LupAngTanjil_v1.0:LG06:32582662:32586647:-1 gene:TanjilG_05891 transcript:OIW08915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGLLGFLVLVFGYSINAYGAVTQSSWEEISIINSKGPYIGIVVPNSFELNPFLQSPLFVPDPKFPFFDFSGRHFRIGELEKKRVIVVMTGLSMLNAGLSTQLLLTLFDIKGVLHYGIAGNANPKLQIGDVTIPQYWAHTGLWNWQRFGDGPDNELAFEANGDYSRKFGYLEFSKYNNKTTHSTSVINVLNNVWYQAEETFPVNGTPEVRQHAFWVPVDKTYFQLSRKLKNVKLGSCVNTTCLPRRPIVVRVKKGVSGNVFVDNKAYREFLNSKFDASPIDMESAAVALVCLQHKKPFIAIRALSDLAGGGSSLSNEAAVFASLASQNVVDVVLKFITLIP >OIW09705 pep chromosome:LupAngTanjil_v1.0:LG06:18609152:18611461:1 gene:TanjilG_21231 transcript:OIW09705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKSSSFSQNMGSFHSPSATNSRNKNGFGNNQKGWCSEHVPNPKSNGSSSSMRRHKTLAGLTAFNSGKTMPSKWNEAERWVCSPFSVSSYDDNNRRISYGKFHHRPKSISGPIMPPKVAPYYSNCYSPNSVVPLRQGLVVRKLMVGSPFSTGVLAPVVSVHHYDIDDNGIQCSSPVQNQNGVGLVAQSSISNGDTCSELKCDSLCSNLQDEKHDGLKNEDKVISIFSRCDKGTQMSSPETENDIHSSPKSSATSVMYQQDFHSPKLGVRDVQIDSQAILIKWSKKHATKLSEKDSIHSKDSREDSIESKASSWDVVKSPLDTSKLQREEAKIIAWENLQKAKAEAAIRKLEMKLEKKKSSSMDKILNKLRRAKIKAENMRSSIPTHQGNQVSKTCKVFSFPKHLQMWSPSNCFGSDAQ >OIW09183 pep chromosome:LupAngTanjil_v1.0:LG06:30690309:30694495:1 gene:TanjilG_11321 transcript:OIW09183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGKSERMSVSELKQFEEYDDRYYKELKRGYYKVKISRSMYQCPFCPGKQDCHLNELLEHASRYARGSQSRGIKDRAKHSALKSYIKRHVDVEKKSELAASPKKLKSEPALSPKKLKPEPTLSPKKLKPEPAFSPKKLKSEPAMSQRNYKSEPRNYKAEPALSPKKLKPDPAFSQKKLKSEPAMSQRNYKSEPRNYKAEPAVSPEKYKSESGVKDELFVWPWKSIVANIATKFENGRCVGESGSKLRDDFAAKGFHPLKVQPLWNHYGHSGFAIVEFSNDWDGFIACMNFERSFEMEHCSRRDYYNSRQQGSKLYGWVAREDDYNSKSIVGDHLRRTGDLKTVSGKEAEDKKKTSKLVSGLTNTLIMKKEKLELVRSKYDEINVSLNRVIDQKEEMIKSFNEEIKKMHQSDRDHLEKIFMDHEKARMRLEGEKKELEDIEKNLLKREARNDNERKKLYYKKKNKKKEELHKKIHELQRQLDAKQALELEIEQMKGALQVMKHMEENAEEKKKIEALKLDLQDKEEDLEAVEELHNVLVSKGIKINDELQDARKELKKWIEPQQRQTRAIIGVKRMGELDEKPFLKAAKRKFKADEADLEAIKSCSLWEDYVRDPQWHPFKVLTDKEGNSKEVLDEEDEKLKTLKDEFDDEVFNSVVTALKELNEYNPSGRYPVPELWNYKEGRKASLQESVGHLIRQWRASKRRK >OIW10499 pep chromosome:LupAngTanjil_v1.0:LG06:6174117:6177358:-1 gene:TanjilG_00437 transcript:OIW10499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLMKALLKNTNNPKLAFHLFKRILTSSTIQHNLLRSIPIITRILLSAQLHLQLDSLRDLIISTQPHHIAHSSLISFTRTLAQYPTNLDQAFSHFKSLRTLFPSTPPPVSLYNLLLHSTLKGHRPELVKWLYTDMIAANIKPQTYTFNVLIESLCDSGALDHAREVFDRMPEKGCHPNEFTLAILVRGFCRAGLSKQALEIIDQGNAGINGNVNRVVYNTLISGFCREDLTDEAEKLVERMREEGLLPDVVTFNSRISALCKKGKVLEASRIFRDMQVDEELGLPRPSIVTFNLMLKGFCKQGMLEEARGLVDKMKKAGNFITLESYNTWLLGLLRNGKLSEARLVLNEMVQNGIEPNIYSYNIMMNGLCRKKMLSDARSLMDLMISNGVYPDTVTYSTLLHGYCSQGKVFEAKKVLREMIRNGCEPNTYTCNTLLNSLWKEGRTLEAEEMLQRMNEKSYQLDTVTCNIVVNGLCRNGQLEKAIDIVHEMWANGLTSLSKEDSFASLVNTIHNVSNSSPDVITYATVINGLCKVGKVEEAKKKFIEMMAKNLRPDSVIYDTFIWSFCKQGKISSAFRVLKDMEINGCSKTLQTYNSLILGFGNKRQIFEIYGLMDEMREKGICPDVCTYNIIISCLCDGGKANDATSLLHEMLDKGISPNITSFKILVKAFCKSSDFKVACELFEVALSICGHKEALYSLMFNELLAGGQLYDAKELFDASLDRFLPLKNFMYKDLIVKLCEERIDDANYLLHKLIDKGYGFDHSSFMPVIDGLSKRGNKQQADNLASKMMELVLEDRTADRKLRKTGGSNWQDIVHRDNGSGIALKTLKRVHKGWGQGSITSLPPQQNDFLDYYDGSTQLF >OIW09313 pep chromosome:LupAngTanjil_v1.0:LG06:29442413:29444657:1 gene:TanjilG_01284 transcript:OIW09313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVDIDDDTSSSLVHVEEESLILLPGDGSRQQYTGDGSVDFKGNPVVSNNTGNWRACSFILDQFDDTDPKERIKKGSFFNWFYFSINIGALVSSTVIVWIQDNAGWGLGFGIPALFMGLATVSFFLGTPLYRFQKPRGSPITRMCQVMVASARKWNLVIPEDSSLLYETQVIRFSIEGYRKQEHSDELRWLDRAAIVSDADDYSNPWRLCTVTQVEELKTLLRMFPIWATGIVFSSVYAQLSTMFVEQGMMMNTNIGSFIIPPASLSAFDVISVIFWVPIYDKVIVPIARKFSGKERGFSELQRMGVGLFLSVLCMLTASVVETMRLLFAEELDLVDKAVPVPLSIFWQTPQYFLFGAAEVFTFIGQLEFFYDQSPDAMRSLCSALSLLTTSLGNYLSSFILTMVTYFTAQGGKPGWIPDNLNQGRLNYFFFLLALLSLLNMLMFIIFAKKYKAKKVS >OIW09066 pep chromosome:LupAngTanjil_v1.0:LG06:31257369:31263160:-1 gene:TanjilG_16293 transcript:OIW09066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALISLSLLPSLLPHSSSSSSCAGVLHFRSYNNNNNFVSINSSSSSFTSSTSNRNRSRRRFQTLVVAASGDYYATLGVPKSATVKEIKSAYRKLARQYHPDVNKEPGATDKFKEISAAYEVLSDDKKRALYDQYGEAGVKSTVGGASSAYTTNPFDLFETFFGSNMGGFAGMDPTGFGTQRRSTVTKGEDIRYDFSLDFSEAIFGTEKEFELSHLETCEVCTGTGAKIGSKKRICSTCGGRGQVMRTEQTPFGLFSQVSVCPNCGGNGEVISEYCRKCSGEGRLRVKKNIKVKVPPGVSSGSILRVAREGDAGPSGGPPGDLYVYLNVEEIPGIQRDDINLRSTISISYLDAIIGAIVKVKTVEGTSELQIPPGTQPGDVLVLARKGVPKLNRPSIRGDHLFTVKVTIPKRISTKERELLEELASLGTTNRRSVSRPKTNSSRSSEGPAAQKAESPTETVSEEIEQKEDQNDIWKALKDLAG >OIW09399 pep chromosome:LupAngTanjil_v1.0:LG06:28496675:28500525:1 gene:TanjilG_20996 transcript:OIW09399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLSPLSLHYSSRIGVIRSRIVEPNGEPAPFGTKTRYNDTIFEKGFMSLFATKMEKFAAKEDIGVAKYDDDKKNKKKGWWDYDYESFVDVSRRVMQRRSRIQQQQVVREVLLSMLPPGAPAQFRKLFPPTKWAAEFNAALTVPFFQWLVGPSQVIEVEVNGQKQKSGVRIKKCRYLENSGCVGMCVNMCKIPTQDFFTNEFGLPLTMIPNFEDMSCDMVYGQVPPPFEEDPVSKQPCYAAICHSIFGRFCPMCTHTSYNYDENEVDHLFPSSILILRHKKRRHPIIVLDQFGFTHIGHLELTVSSISLSNSNLYHSKLGFFLYALDSWLHVLQQLDDEEEEAAAEALKYEFELQFH >OIW11096 pep chromosome:LupAngTanjil_v1.0:LG06:664906:667133:-1 gene:TanjilG_22903 transcript:OIW11096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENKEEDVKVGASKFTERQALGTAAQGDKDYKEAPPAPLFEPGELKSWSFYRAGIAEFVATFLFLYITVLTVMGVNRSPSKCSSVGIQGIAWAFGGMIFALVYCTAGISGGHINPAVTFGLFLARKLSLTRALFYIVMQCLGAICGAGVVKGFEGNARYELFKGGANFVNPGYTKGDGLGAEIVGTFVLVYTVFSATDAKRNARDSHVPLLAPLPIGFAVFLVHLATIPITGTGINPARSLGAAIVFNRDLAWDDHWIFWVGPFIGAALAAVYHQIVIRAIPFKTRG >OIW09600 pep chromosome:LupAngTanjil_v1.0:LG06:22378198:22378816:1 gene:TanjilG_28199 transcript:OIW09600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSSSSRGIEKNGRVTLSEVVADCVKRWFRDTLKEAKAGDINMQVLVSQMYYNGYGVPRDVQQGQDGVYLLFLYPTW >OIW09821 pep chromosome:LupAngTanjil_v1.0:LG06:14390591:14394123:-1 gene:TanjilG_32259 transcript:OIW09821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAPFTTVSASRSCPPSPSCGTKLYSGLKLQSGSVSLNPSRYHNLVVIGWFLTIFTFSIAGLFSSSKPNVTAAFYGKVYKSLQLRYPNQKPARAQIHMMPIGTPRVPYRTPGEGTWQWVDLWNALYRERVIFIGQNIDEEFSNQILATMLYLDSIDNAKKLYMYINGPGGDLTPSMAIYDTMQSLQSPVATHCVGYAYNLAAFLLAAGEKGNRFAMPLSRIALQSPAGAARGQADDIQNEANELLRIRDYLFKELANKTGQPVEKITQDLSRMKRFNAQEALEYGLIDRIVRPPRIKADAPRKDAGTGLG >OIW08921 pep chromosome:LupAngTanjil_v1.0:LG06:32549113:32557777:1 gene:TanjilG_05897 transcript:OIW08921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCIYSKVCIGDICKDSSINGDAITRPVVLSETSSDVQEGEFRDQLNQLSLSRDPEAGIRRLARVSAQFLPPDGSRIVKVPSNSYELRYSYLSQRGYYPDALDKANQDSFCIHTPFGTSPDDHFFGVFDGHGEFGAQCSQFVKSRLCENLLRNSKFHVDAVEACHAAVLATNSQLHADILDDSMSGTTAITVLVRGRTIYVANSGDSRAVIGERRGKELVAVDLSIDQTPFRPDELERVKLCGARVLTLDQIEGLKNPDVQCWGTEEADDGDPPRLWVPNGMYPGTAFTRSLGDSVAESIGVVANPEIIAFELTKDHPFFVIASDGVFEFLSSQTVVEMVAKFKDPRDACAAIVAESYRLWLQYETRTDDITVIIVHINGLTEPAVGQSASYDEVLQTRVPQVVEMTGSESPSTFGWNCRNQRVRRDLSRARVRAIENSLENGQAWVPPSSAHRKTWEEEAHIEQVLHDHFLFRKLTDSQCHVLLDCMQRVEVEPEDIIVHQGGEGDSFYVVGSGEFEVLATQEEKDGEVPRVLQRYTAEKLSCFGELALMYNKPLQASVRAVTKGNLWALKREDFRGILMSEFSNLSSLKLLRSVDLLSRLTILQLSQISDSLSEVSFSSGQTIIDKDEALALYIIQKGQVKVTFGAKVLTSPNACSLKPDIQNLDDDKLGGRELSIEKPEGSYFGEWALVGEHIGSLSAVAVGDVVCVVLTKEKFESVVGSIRKLSHEDLKFKDNSKQLIGNFDFSSLDKVQLSDLEWRKTLYSTDCSEIGIANLRNSENLLTLKRFSKSRVKILGKEAQVLKEKDLIKGMSSSACIPQVLRTFADHIYAGILLNTCLACPLSSILSSPFGESAAQFCAAAVVNALEDLHKNGVLYRGVSPDVLMLDQMGQIQLVDFRFGKRLCDERTFTICGTADTLAPEIVLGKGHGFPADWENEVDTVAKIAKRKLYLPETFSDEAVDLISKLLDAEENTRLGSQGPDSVKNHPWFSGVDWEGMRHHTSPVPEEIISRVTQYLDVHSENCTGSLVSPPQEVEELNVPEWLEDW >OIW09296 pep chromosome:LupAngTanjil_v1.0:LG06:29263701:29266087:1 gene:TanjilG_01267 transcript:OIW09296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKAEYTLDSVRGALIREEDTIIYGLIERAKFPTNSQTYTHNYTGIPGFSGSLLHFVVINTEAVQAKAGRYKNLEENPFFPENLPPSLVTSYPFTQFLHAGTASININKSIWKLYIHELLPLFVASGDDGNYAQTAASDLSLLQAISRRIHYGKFVAEVKFRESPQDYEPLIRAKDTEGLMKLLTFESVEEMVRKRVEKKAMLYGKDVTLNNDDDDNDGNIKKYKVDPSVASTLYKKWLIPLTKDVQVEYLLNRLD >OIW09433 pep chromosome:LupAngTanjil_v1.0:LG06:27724650:27731866:-1 gene:TanjilG_10305 transcript:OIW09433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGPSIPAAEDSSGTSEKVTEYGSPNDLEASSQQNSRTRSRSHSGRRMSRSSQSVGKTTGTTSSQRSQQTHGSVNSHGNSPLAGRKSQMVNGNYLLNFQYDPISRSQPRGPPPPPPRRQRKRKPYNKDLFLQANYKFMVLDSGNYSAESMDPDKMLQWEDIICVTYSTPLPIQCPICLEYPLCPQITSCGHIFCFPCILQYLLLGEEDNKGECWKRCPLCFVMVSVKDLYTVHITNVKQYQVGDNIEFTFLTRKKDSFTLSHKNKQETDMTSCGNEDLCDPFSKFTLTSDVDLSVRHAISDLDGWLARADSGLVDDLEKLPYVCAAMQQLKQRKKYWNEHRACDNEKSSRLIDYGHQIPSAAVSFMDTDDETRSNGSRTSSTDFHDRSKGIILDKAIAGTSLDQTSDVEKVLADQEMNLSSYEEKNSIRRHSYGSGDVKEGDSYNFYQACDGQHVILHTLNMKALLHHYGSYDKLPHRISGRILQLEAVTQSEAMRRRYRFISHFPLTTTFQLCEIDLSDILPPEALAPFMDEIKKRLNQRKQLAKKELKEKIKAEAASTYSVTIPTSYQLASRDNPPVFSMDDFGALGNSAMSSSPPVVGERKLFSNVTRLGFAAAHDSPSLQIQETGGSHDNNTTIASSSATGLRNAEAPSYSNVISRPDSNISLNAPKTNDAGKRGKKPNRVLLSTSGGRRY >OIW10646 pep chromosome:LupAngTanjil_v1.0:LG06:4438420:4439066:-1 gene:TanjilG_16018 transcript:OIW10646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGNLFEFRLLPLRRGDDGGWRWHSSMKTSTLPVTRIQIFTRPTTHGGSESHKRGVSG >OIW09241 pep chromosome:LupAngTanjil_v1.0:LG06:30053770:30054613:1 gene:TanjilG_26454 transcript:OIW09241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVLNIIIRVFFVAILIKLAIATNHIVGGPNGGWDANSDLQTWASSIPFSVGDNLIFQYPPNHDVVEVSKADYESCQPSNPIQSYNDGTTTIPLTSSGKRYFICGTIGHCSQGMKVEIDTLDSATSSASPEPSPSPAFSPDVSTIPSSAPEETTTPSESPNYIPEVLSPSSETHLESATISPTIPVSPLARDQHSPDLSDSSTMKGNLQASIAIVFSFLMMLMAFKG >OIW08989 pep chromosome:LupAngTanjil_v1.0:LG06:31967405:31971842:-1 gene:TanjilG_05965 transcript:OIW08989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSGVTGLINRGQKIKGTVVLMRKNVLDINSLTSVGGILGTGINIIGSAVDGLTSFLGRNIAFKLISSTKTDGTGKGKVGKETFLEGIITSLPTLGAQESAFSIHFEWDDEFGIPGAFFIKNFLQVEFYLKSLTLEDVPNIGTIHFIANSWVYNSKSYKQDRIFFANHTYLPSATPAPLVKYREEELKVLRGDGTGERKEYDRIYDYDVYNDLGKPDSGAPRPILGGSTLPYPRRGRTGRKATKKDPKSEVPGDFVYIPRDEAFGHVKSSDFLASGLKSVASDVVPVLTDAFDGNILSLEFDNFQEVNKLYEGGITLPTNFLKKFAPPIPVLQEILRTDGEQFLKFPVPNVIQVDKSAWKSDEEFGRETIAGLNPNEFPITSKLDTQAYGDNNSKITKEHLEPNLGGLTVEQAIQNKKLFILNHHDYLIPYLRRINATTTKAYATRTIFLLKDDGTLTPLAIELSKPHPQGDEHGPVTDVYVPAHEGPEAYIWLLAKAYVVVNDSCYHQLVSHWLNTHAVVEPFILATNRHLSVVHPIYKLLFPHYRDTMNINSLARKALVNADGIIESTFLWGQYSLELSAVIYKDWVFPDQALPADLLKRGVAVKDESAPHGLRLVIEDYPYASDGLEIWAAIKTWVQEYVSFYYKSDDKLKQDPELQAWWKELVEVGHGDLKDKPWWPKLQTREELVEISTTLIWTASALHAAVNFGQYPYGGYILNRPTLTRKFIPEKGSPEYDQLSKNPEKVFLSTITGKKETLIDLTVIEILSKHASDELYLGQRDGGDKFTSDVEAVQAFNRFGKKLAEIEKKLVLKNADENLKNRTGPAKLPYTLLYPSSEEGLTFRGIPNSISI >OIW10996 pep chromosome:LupAngTanjil_v1.0:LG06:1176591:1178096:1 gene:TanjilG_22803 transcript:OIW10996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQATTKSSLRRLCPNIDKEDGLETVLEIPIPEEMFANMGNNVALRWQNMLTWMKGQTEDKLSSPAVAARLNELRFLLYLVGSPLIPLQVQLGHSIHRPVKDSSIEASTAKYIVQQYIAATGGQPALNAVESMCVTGQVKISASDFHHTSENIEVKKTSEEIGGFVLWQKDPDLWCLELVVSGCKVCCGSNGKVSWRHSSNQQTPIARGAPRPLRRFLQGLDPRATANLFLDAACIGEKIINDEECFILKLETSPAIREAQSGVNFEIIHHTLWGYFSQRSGLLIQFEDSRLLTMRTKDDNDIFWETSLESVMEDYKYVDGINVSHSGRTRVTVSRYGEQSSNHKRELEERWKIEDVDFNIWGLTAESFLPPSGLGKTQQNNL >OIW09190 pep chromosome:LupAngTanjil_v1.0:LG06:30740189:30741232:-1 gene:TanjilG_11328 transcript:OIW09190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSDILPATASFWCYSCTRFVHLMDQHDVVCPNCQSGFVEEIHYAAQSPAMSLFADGLQTPRRQGIRRRRRNTGRRSPFNPVIVLRGTAEDGAADGNDGNSSFELYYDDGDGSGLRPLPPTMSEFLLGSGFDRLLEQFSQIEVNGFGRIGNPPASKAAVESMPTVEIAEQHVDVETHCAVCKEAFELGSEAREMPCNHLYHSDCIIPWLSMRNSCPVCRHELPADQNPMENRTNGQIEEEAVGLIIWRLPGGGFAVGRFTGGQRNAENNLPLVYTEMDGSRNSNEGSRRISRDIGSSRVRERGRIGRFFRNLSSLFRSSHSSSMDRSLSHWSSVFNWRSRRSLVLED >OIW09432 pep chromosome:LupAngTanjil_v1.0:LG06:27716550:27716855:-1 gene:TanjilG_10304 transcript:OIW09432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGIINKIGETLHMGGNKKDEEHKVECHGEHKGEHHGEHKGEVHGHGGDHKPEHHGEENKEGFLEKFKDKIHGDSGEGGEKKKKERKKREDGHDSSSSDSD >OIW08959 pep chromosome:LupAngTanjil_v1.0:LG06:32216895:32219189:1 gene:TanjilG_05935 transcript:OIW08959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKPQQTQPADFALKETSPNIGGIKKDKFSCTYDLVEQMLYVYVHVVKAKDLPGNIDSYVEVQLGNYKGHTKNFENKSNPEWNQVFAFSKDRIQASLLEVVIKDKDELVGGVFIDLNDIPKRVPPDSPLASQWYRMEDRKAEKRKGELMLAVWIGTQADEAFSDACHSDAAMIGPEGVANIRSKVYLSPKLWYVRVNVIEAQDLLPSDKSRYPEAFVKVNLGSQSLKTRISQSKTLNHMWNEDLMFVVAEPFEDSLVLTAEDKVGPNKDEILGKCVIPLHLMPRRLDHKPVNTKWFNLEKHGGEQKKEVKFPSRIHLRVCLDGGYHVLDEPAHYSSDLRPTEKQLQKPSIGILEVGIISAIGLMPMKTRDGHGTTDAYCVAKYGQKWIRTRTIVDSLAPKWNEQYTWEVYDPCTVITIAVFDNGHMQKSEGDKFDGSKDSRIGKVRIRLSTLATDRVYTHSYPLLVLQDSGLKKMGEVQLAVRFTSLSLISMLSIYSQPMFPNMHHIYPLPSMVKDGLRHHATQIVSMRLSRSEPPLRKEVVEYMLDVDSHMWSVRKSKANFLRIMKVLGGLVMIGRWFDNICNWKNPLISILIHNIFMILVLYPGLILPSIFISMFVIGIWNFRFRPRHPPHMDNRLSHADVAQPDELDEEFDTFPTSKSLDIVKMRYDRLRCVAGRAQTVLGDLASQGERFQNLLSWRDPRATTLFVTFCLIAAIVLFVTSFQIVILLTGFYVLRHPKFRNKLPSVPFNFFRRLPARVDSIF >OIW10373 pep chromosome:LupAngTanjil_v1.0:LG06:9307268:9310559:-1 gene:TanjilG_28124 transcript:OIW10373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGSFNTSAVANERAEQYKGRVTAYVIIACIVAATGGSLFGYDIGISGGVTSMDDFLQDFFPAVYRQKMHAHENNYCKYDNQVLAAFTSSLYIAGLVASLMASPVTRKYGRRASIIGGGISFLIGSALNASAVNLTMLILGRVMLGIGIGFGNQAIPLYLSEMAPTHLRGALNMMFQVATTFGIFTANMINFGTQKIKPWGWRLSLGLAAGPALLMTVGGIFLPETPNSLIERGSKEKGRKLLEKIRGTSEVDAEFQDMVDASELANSIKHPFRNILEKRYRPELVMAIFMPTFQILTGINSILFYAPVLFQSMGFAGDASLYSSALTGGVLAFSTFISIATVDRLGRRVLLISGGIQMITCQVVVAIILGIKFGANQELSKGYSALVVAVICLFVLAFGWSWGPLGWTVPSEIFPLEIRSAGQSITVAVNLLFTFIIAQAFLALLCSFKFGIFLFFSAWIIIMTIFVILFLPETKGIPIEEMTFMWRRHWFWKRILLP >OIW10146 pep chromosome:LupAngTanjil_v1.0:LG06:7062829:7064875:1 gene:TanjilG_27897 transcript:OIW10146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNIVTKWRDLSGKSNWKGLLDPLDIDLRRYLLHYGQLAQATYDAFNSQKTSKNAGNSLYTKSEFFSKIGLENGNPFKYFVTKFLYATSKAGDAPSFILESFNKDAWSLESNWIGYVAVASDEGKAALGRRDIVVAWRGTIQGSEWVQDLQFDLDPAPEIFGSDNDDPQIHHGFYSIYTSNNPGSNFTNTSARTQVLDEIKRLVEQYKNEEISITITGHSLGAAIATINAVDIVANGFNVPKDQPQNPCPVTTFAFASPRVGNSSFQKIFSRYKDLRALRVRNEKDIVPTSLPIVIGYYDVGEELVIDTRKSKYLKSGISAHNLEGYLHGIAGTQGSKGGFKLEVDRDIALVNKYMDGLKDEYLVPAEWRVEKNKGMVQQSDGTWKIVDYHQDVVSIRASL >OIW10057 pep chromosome:LupAngTanjil_v1.0:LG06:10358418:10359882:1 gene:TanjilG_32797 transcript:OIW10057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEFKADLQSFRPIFPLLNIDSMEFINQLETVNPHVLDNSNFNYMMPFSSDTIFGGSTQPELPTNLEENFSGLVYYVNYNAVPASLSISSSKNDTHEDKKRKATMDNMTKTSSLNSTHAVSKNDCGGVKKVKRVVTENKESKEVVHVRARRGQATDNHSLAERVRRGKINDKLRCLQNIVPGCHKTMGMAIMFDEIINYVQSLQHQVEFLSMKLSAASTFYDFNSDTDALEIIQRERASEAEDYVREG >OIW08932 pep chromosome:LupAngTanjil_v1.0:LG06:32461993:32466453:1 gene:TanjilG_05908 transcript:OIW08932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAEVVSAAAVCATAVLVMRHLMKNSGKWGEVLRIVKEFDKQCGTPIQKLRQIGDAMEVEMHAGLASEGASKLKMLISFVDNLPTGDEKGLFYALDLGGTNFRALRVHLGGKEKGVVKIESEEVSIPPHLMTSSSNELFDFIATSLAKFISSEPEEFHPPPGRRRELGFTFSFPVSQTSIASGALLKWTKGFHIDDVVGEDVVKALTKSMEKVGLDMRVAALVNDTVGTLARARFGDQDVIAGVILGTGTNAAYVERAQAIPKWQGHLPKSGEMVINMEWGNFRSSYLPLTEYDHALDRASLNRGEQIFEKIISGMYLGDIVRRVLLKLAGEADFFGDTVPPKLRIPFILRTPDMSAMHHDTSSDLKVVGNKLRDILEIHNTSLKVRKIVVELCDIVATRGARLAAAGILGILKKIGRDTVKVGEKQRSAIALDGALFEHYTKFRTCLESTLKELLGDEASETICIRQSNDGSGIGAALLAASHSNYSGVEES >OIW11092 pep chromosome:LupAngTanjil_v1.0:LG06:685379:689679:-1 gene:TanjilG_22899 transcript:OIW11092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHFVGLVRFDINEVPLRVPPDSPLAPEWYRLEDKKGEKIKGEVMLAVWIGTQADEAFSDAWHSDAATPVDSTPAATTVIRSKVYHAPRLWYVRVNIVEAQDLIPTDRNRFPDVYVKAQIGNQVLKTKTVPARSLSPLWNEDLLFVAAEPFEDHLVLSVEDRIGAGKDEIIGRVIIPLNAMERRADDRMIHSRWFNLEKPVAVDVDQLKKDKFSSRIHLRLCLDGGYHVLDESTHYSSDLRPTAKQLWRPPIGILELGVLKAVGLHPMKTREGRGTSDTYCVAKYGHKWIRTRTIVDNLCPKYNEQYTWEVFDQATVLTVGVFDNSHIGEKGSKDLKIGKVRIRISTLETERIYTHSYPLLVLHPTGVKKMGELHLAIRFSCTSFANMLYLYSKPLLPKMHYVRPFSVMQLDMLRHQAVNIVAARLGRAEPPLRKEVVEYMSDVDSHLWSMRRSKANFFRLMTLFSGVFAVGKWFGDICMWRNPITTVLVHVLFLMLVCFPELILPTVFLYMFLIGVWNFRYRPRYPPHMNTRISQADAVQPDELDEEFDTFPTSRNPDIVRMRYDRLRSVAGRIQTVIGDLASQGERIQALLSWRDPRATALFITLCLVSALVLYVTPFQALAGLTGFYVMRHPRFRHRLPSAPINFFRRLPAKTDKTHPSFEIPTSISNNSSITLQTEANATHHANFPTPSTPYMVTSRDVNYNYERDEEVPYAVKVIEEQLQVHRSWISNKNYASCDGRGIYVYDLPSMFNKDLVGQCRDMIPWQDFCRYLSNEGLGDPIAKLGKGWYQTHQYSLELIFHSRVLKHPCRVYNENEAKLFYVPAYAGLDILRWHFKNVSNDVKDSLSLELVKWLERQDSSKEPCQFRRSGAT >OIW10553 pep chromosome:LupAngTanjil_v1.0:LG06:5269396:5278344:1 gene:TanjilG_15925 transcript:OIW10553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFSHSTSLAKSPRTSLTMLHCKGQWRPLEEDSELRIYKFDDDEGRDTFWHSSAHILGQALEQSIGFYYDAFYGDLGLNDEHFKQIEKKASEAVGIEIINDLPADETITVYRCGPLVDLCRGPHISNTSFVKAIACLKVISPNVFNMDLWVQSGHASNYREDMFVLEMIHICIVRELPLQFADFGVLHWNEASGALSGLTCVRRFQQDDAHVFFRESQIKGEVRNALNFINYVYDILGFTYELNLSTLNEGDGAFYGPKIDISVSDALNRKFQCATLQLDFQLPERFNTCVLPAILEIDPSLYFLHGIMLGMATTNINTTGTPPSSSLPVATPY >OIW10347 pep chromosome:LupAngTanjil_v1.0:LG06:9042465:9046011:1 gene:TanjilG_28098 transcript:OIW10347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELKYFSPSSVSTSEVVHIGRIHSTFLSNFRHKFGLRFSTHSNCRRRLFLRTNDASDNTYLSNKAVISSVSPDNFAAETSPYIFSGINGSSLSLYSRNLRVLDAFDDEYGGVLIDPDRLSTNPSVFAPMLRFSLSHWKKMGKKGIWLKLPLEQSDLVPIAVKEGFQYHHAEPGYLMLTYWIPEGPCMLPANASHQVGVGGFVINDNNEVLVVQEKHCSAATLGLWKMPTGFILEAEEIYTGAVREVKEETGVDTEFIEVIAFRHAHNVAFEKSDLFFICMLRPLSSRIIVDEQEIEAAKWMPLVEFVKQPLIQEDSMFKKIIDIFIARLGKRYCGLATHQMVSKFDGRPSSLYYNVSHNEGINCIGN >OIW09363 pep chromosome:LupAngTanjil_v1.0:LG06:29764888:29772178:1 gene:TanjilG_01334 transcript:OIW09363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSMSKEIQSQGLSSSLIQEEEIMLLQKLGIDTILGSEEMKNIASSEEFSHSKVTTTNAESKDLWSSILLPQKAIDETTNAIITPYIHPLVKKLKNTLSQKSLEICTESLGSETGSDGFSSKENKEEIEQKQLPQVEEITLQVPEYKSPTINFDSSVSLTIMSMEIFDQKPKKHKSSHHSFPPPMPTLHMLSHRDNGRLFLQAVFVPSNNNFCAKRKNGRLVLTFASEEESKVQEMEEDYDEEENEVENNEEKNDIKDVEKMGETSSVSDDLLLKNFFAEVSEVERDNEVVRILSCFKLNPFEHLKLSFDSSIDEVKKQYRKLSLMVHPDKCKHPQSKEAFGALAKAQQLLLDHNERDYLLSQVNSAKDELRAKRKKQLKKDTASKLKSMVEEGKYDKQYEQSEEFQKELKIKVRELLTEQEWRRRKMQMRISEEEGRLKKDEEEQKEMWKRKREHEEQWEGTREQRDKFGAMVRLLLCDHEITGLNPGNSLSAYGLRLCTSTLPRPHYM >OIW10906 pep chromosome:LupAngTanjil_v1.0:LG06:2127127:2128188:-1 gene:TanjilG_27852 transcript:OIW10906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQDERKRKFNEAVVNMLYPSPPPSPPQLELEPFIKGSTSDIISGTLDDNDNASTSGEEEQSCETEKLTRAQRKKIRKKKLKEEAIHRGRLIGPMLPLPHATTRDQVAERAPPVRSNASEKGDETASASSNKMKRRRLAKRLAKQKQIASTPENCNQSSSILIGSNNHQVGYVILTAE >OIW09779 pep chromosome:LupAngTanjil_v1.0:LG06:15631805:15638407:-1 gene:TanjilG_32217 transcript:OIW09779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEALASLEKVQTQLPQRISNLEHSFLPQQNAPSSTVENKDTDTVTRLSSILRTNNVDDFSFKRVASDYYDWTLEARRDALGASSIYHLCKSMVLVNTRAPSNVIDCSDRNNSKYYIVVVQYTARLNADAVKNFLYSLNNGTIAKKKFNLRLAPEETSSELTGYGHNAVTCIGMKTDIPVILDEAIVKLNPDFFWLGGGEVDLKLGIRTSQLIRIVNPFIVSCSSN >OIW09287 pep chromosome:LupAngTanjil_v1.0:LG06:29119647:29123116:-1 gene:TanjilG_01258 transcript:OIW09287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSANPLQALFHNFDQVSNFVQHHLSNFIGFHHQPSGPSARGPIFSISSSSKAPPLEKITPVNPGDNALKGKSATPAAKEELGRATWTFLHTLAAQYPDNPTRQQKKDVKELVNILTRMYPCKDCADHFKEVIRANPVQAGSHAEFSQWLCHVHNVVNRR >OIW10662 pep chromosome:LupAngTanjil_v1.0:LG06:4204832:4208479:-1 gene:TanjilG_16034 transcript:OIW10662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCKPIPAPTKPKVGKDQANPSTPPPSALDSVSKIVNSSQLFDCIERTSAFDDVPMPLSVAPPSGDVIYLCDPYNDSEQRYGLSDADLSSPYQSSSKYNFTSWDSWPVSDEKPQVCDPEPNHTDDQNWFYSSDPIAPPKNEDSPSRVGAGLSNLGNTCFMNAILQCFTHTVPLIEGLRSSSHAFPCDCYHSGFCVMCALRAQIECSLASSGGMVSPWRLVKNLSYFSSSFSSYQQEDAHEFMQCALDKLDRCFLDLKENNESFDDVNLVDKIFGGRLLSKLRCSNCGHSSNTYEPLIDMSLEIENVDTLPNALESFTKLEHIESNFKCDGCKVEVTLEKQLLLDQTPSVAAFHLKRFKTDGNLVEKLDKHVDFPLELDLQLYSVSDHNSNEPMKYDLYAVVVHIGLSATSGHYFCYVRSAPDIWHKLDDSLVTRVSAESVLSQEAYILFYAQQGTPWFSNIMESPLPCLDPSIWNTSPKSVFDRVDSSDKPTPIINSSNVIVEASESKESSKPNQESVGLNGVKDINQAQVPLVKSAMLNVSTLVDGDSHVECGDSDKNNNNDGFNDLTPPSSPHRDFPDRSYQIPRGHLKKSSESAKRSKSKLKYTGDTGNSERKAAVRYASKMHGSRRDAILALVECNGKALNKRKEVDSSLCKENSHRRAPKKPNHASVMRPVAAGVHNK >OIW10131 pep chromosome:LupAngTanjil_v1.0:LG06:9563021:9565109:1 gene:TanjilG_21968 transcript:OIW10131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGAAAVISKTAAAPIERVKLLLQNQGEMIKRGQLKKPYLGLSHTFKRVFMEEGLIAFWRGHQANVIRYFPTQAFNFAFKGYFKRIFGHSKERDGYIKWFAGNVASGSAAGATTSLFLYHLDYARTRLGTDSLECRATGQRQFKGLADVYRKTLSSDGIAGLYRGFSVSILGITMYRGMYFGLYDTLKPIVLVGPFEGNFFASFLLGWSITSSAGVCAYPFDTLRRRMMLTCGQPNKYKNAMHAFHEIVQQEGFSALFRGVKANMLLGVAGAGVLAGYDQLSHILSRHDHYNKTNQRVL >OIW11115 pep chromosome:LupAngTanjil_v1.0:LG06:513918:518811:1 gene:TanjilG_22922 transcript:OIW11115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGSFDDEAEPSVVTIKEYLDEVEERELAADLVLGGDDGKECTYSKGYMKRQAIFSCLTCTPDGNAGVCTACSLSCHDGHEIVELWTKRNFRCDCGNSKFGEFYCKLFAGKDAENIENSYNHNFKGLYCTCGRPYPDPDAEEQVEMIQCCLCEDWFHEEHLGLESSDEIPRDDEGEPLYEDFICKACSEVCFFLKLYPEVIWASGKQPDATVEVSKNKGVLEDMPPVGDASSSSSHNSPKTDDAKAIISESISGGKDMLQGGNCDSSKDLSQCTSSTNMHVNCLLGVNIVAASPVLNSKPMFLSKNWRDTLCKCNNCVEFYKLKRIAFLLDKEDSIAEYERMAKQKREEKLQEAEGAEVNFFNKLGHVEKVEILKGIEEMKDGLRTFLESADSSKPITEADVHQFFDDIKKKRRRLQ >OIW09997 pep chromosome:LupAngTanjil_v1.0:LG06:11019242:11036157:1 gene:TanjilG_32737 transcript:OIW09997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDDNGLELSLGLSCGGSSVKSKNKDGSSSDTRTKEVGRSDKKVDEFKGIFDAAPQKSDSVTGMGRSDSSKHEENFFSDLSKGREESAPLSLNQKGFWAANSIKPVEFEEDKQLEAVNKRKMPFDETRNQKKHESGVHHADLHDRAKTPHISLTEDGSAAENEDVADSEVENSTSRPISHHGDGSKQFMRGSVSFDAPKNVREVADSSAINGEKRLLGSSENNFKNTNLTYGASYSVQQVNMMNLTYPTSVKESNSVRAPSPQTSGAMHVMPAETLERSGAHTVSSGSLPVMFGYPSVQLPMLDKDNSWGSVSCSQQLHPSFAGKGLPNAAIIGNLVGHDIMLFCVDVAAVRVIPNKTSEAMPYEGRPLERPKVGAKQRLTEEGSSTQPEDVKGSSTNLRAKDVSDQSTGEGSTVDFSVIKPGLAADVKFDDFALGVDRNGIHSLPEEENVGKNDSLKMESFGSNRSFITILTKDTEIGFSETKKGISPISQLVEHKNMDSKEHERVGGRTSQNATSSTNIDHLKKSPWKNDSYGSDNRTATSIKKMRCNMPPKSRTLIQEMNRILVRRRASSRAMKPRWSSKLDREILFARSEIEHAPLITNDKELYAPLFRNLSMFKRSYELMEHTLKVYIYMDGNKPIFHQPILKGLYASEGWFMKLMEQNKQFVVKDPAKAHLFYMPFSSRMLEFSLYVRNSHDRTNLRRYLKNYTDQISEKYRYFNRTGGADHFLVACHDWAPYETRHHMEYCIKALCNADVTQGFKIGRDVSLPETYVRSARNPQRDLGGKLPYQRPILAFYAGSMHGYLRPILLKHWKDKDSDMKIFGPMPPGVANDFALGVDRNGIHSLPEEENVGKNDSLKMESFGSNRSFITILTKDTEIGFSETKKGISPISQLVEHKNMDSKEHERVGGRTSQNATSSTNIDHLKKSPWKNDSYGSDNRTATSIKKMRCNMPPKSRTLIQEMNRILVRRRASSRAMKPRWSSKLDREILFARSEIEHAPLITNDKELYAPLFRNLSMFKRSYELMEHTLKVYIYMDGNKPIFHQPILKGLYASEGWFMKLMEQNKQFVVKDPAKAHLFYMPFSSRMLEFSLYVRNSHDRTNLRRYLKNYTDQISEKYRYFNRTGGADHFLVACHDWAPYETRHHMEYCIKALCNADVTQGFKIGRDVSLPETYVRSARNPQRDLGGKLPYQRPILAFYAGSMHGYLRPILLKHWKDKDSDMKIFGPMPPGVASKMNYIQHMKSSKYCICPKGYEVNSPRVVEAIFYKCVPVIISDNFVPPFFEVLNWDAFSLILAEKDIPNLKHILLSVPEEKYLKLQLGIRRVQKHFFWHAKPLKYDLFHMTLHSIWYNRVFQINVRQ >OIW09109 pep chromosome:LupAngTanjil_v1.0:LG06:31625098:31630567:-1 gene:TanjilG_16336 transcript:OIW09109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGCRSLFSSCRIDRVLRFTNTYVASSNPNSSFYFQGQSDYHCLSCNFVMGGRKKKVYLEDDSKKHKIVNSIWRPVATHASSCEESLIKDETNESKDGSEVREVGCTSSSNISSVMKVVNEAMDENTESPTSSSPLQDNVKNKVVGGGSSLSTGKHSISVEVGASLFRFIKGKGGSTQKRIEEETGVKIILPSSKEEDFVTIEGNSVDNVTSASEKIQAIIDEAVNSRSLDYSHFISLPLAIHPELVNKLINFQNSILGIGNSCMDENHDTDSIEDEDTTDSKEVDQLSKENADVAVELKVDGHSESVKVNFTNIPLVSYVPKASKSSASSDLGIDRSIFIKPKTFHLTVLMLKLWNKDRVKTASEVLQSISSKVLEALDNRPLSIRLKGLDCMKGSLAKARVLYAPVEEIGSEGRLLRACQIIIDAYVDAGLVLEGDAKQKLKLHATVMNARHRKRVKWTKKSSDSFDARGIFKRYGSEDWGQYLIREAHLSQRFSFDEHGYYHCCTSIPFPENVQVE >OIW10044 pep chromosome:LupAngTanjil_v1.0:LG06:10488614:10490065:-1 gene:TanjilG_32784 transcript:OIW10044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHIFNPPLLFLTTLALAFSFTLSLSPRNAAAISHEISTTVLHLKPPSLHQTQQQVLSYNPKITIQQKKQPNPTQTTSPSFFSLPLHPRDSLFTPHHNDYQTLTRSRLDRDSARLKSLINNLDCKCTRPRNITAPIISGAAQNSGEYFTRIGVGHPAQQFYLFLDTGSDITWLQCKPCTQCYKQSDPIFDPTKSTSYKSLTCKARQCKDTEMTWCINNTCQYNVSYGDGSLTAGNLMTETVSFGSTGSLNRIAIGCGHFNIGLFVGAAGILALGSGPLSFHSQIKASSFSYCLVNRDSRKSSTLEFNSPRPSDSLTTRLVKNPQQTSLYYVEFTGINVGGKNVVVPRSTFKIEKNGEGGMVIDSGTAVTRLEPQAYESVRDEFRLLTEDLKRASGPPLFDTCYDFWGMSEVEVPTVSFEVSEGKLWNLPAENYLIPVDENGTYCFAFATSNFPASILGNVQQQGARISFDLVDSIVGISPQEC >OIW09168 pep chromosome:LupAngTanjil_v1.0:LG06:30580676:30581964:1 gene:TanjilG_11306 transcript:OIW09168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGLNMLCSSSTSTRVISSIDHCSMVPRSTKGYHNIRRKNQLGVPCSSKLPISPKTFYEKQRKSTTKKIKNSDACRKISIQVRKLVLSTPNNGSSTKYLLSDLVSHESDKITEIALPHSEDKPTKEKVVVLRVSLHCKACEGKVRKHISKMEGVTSFNIDMELKKVTIMGHVTPLEVLASVSKVKNAQLWPSPTSL >OIW09126 pep chromosome:LupAngTanjil_v1.0:LG06:30180668:30182500:1 gene:TanjilG_11264 transcript:OIW09126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAPCCDKANVKKGPWSPEEDSKLKNYIENHGTGGNWITLPQKADLKRCGKSCRLRWLNYLRPNIKHGEFSDEEDRIICTLYANIGSRWSIIASQLQGRTDNDIKNHWNTKLKKKFMGLLPFESQYHQRKPSSQYFSTQQSPPLPSYYTHASTLSFTGLEPIPVPSTNSLPFYQNQESLVSVSPMQQYHDHYPNSLQVFGSEVSTCSSSDGSYSQVKQEMGFHNYMPKGFEEYCSNNKFLLSDKGINNDGSEGFNQWEDKPNGCFFQPLQTHLDYELEVIYKQLINSNSCNNGCFSVDENKTEEKVMYTTTTTTTEWN >OIW09042 pep chromosome:LupAngTanjil_v1.0:LG06:31085944:31092886:-1 gene:TanjilG_16269 transcript:OIW09042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKRQQKYYSYDDEPQPQQQQQQQPQQQEDTEFQKAFRFRFRRSDQPLSESQNTAEANFKDFAEVLDSKRVQKIHDEEDPEIVDDYNPFDFPKDPENWTEADLREIWADGPPQIGGTGWDPVFAESDDWEYVKDEIAQGRKPPVAPFYLPYRKPFPAIPDNHFDIATPKAVIHELDRIEEFLKWVSYIFADGSSYEGTVWDDYAHGRGVFASEDNLVRYEGEWFQNQMEGHGVVEVDIPDIEPAPGSKLEAKMRAQGKILKMDYMTPEDREWLKMDIEDSYRLADGAYEIPFYENEEWVKQFGSLPEKGRYRYAGQWKHGRMHGCGVYEVNERTIYGRFYFGNLVEEDEHGGCDDETTALHAGIAEVAAAKARMFINKPDGMVREERGPYNDPQHPYFYEEDDVWMAPGFINQFYEVPDYWKTYVREVDQEREMWLNSFYKAPLRLPMPAELEYWWSKEENHETPEFIVFNKEPEPDPEDPSNLIYTEDPLILHTPSGRIINYIEDEEHGIRLFWQPPLKKGEDVDPEKAVFLPLGYDEFFGREAIEKKESTWTRLLNAIEKTCQPLLDKLNKMSEELKKKSEMNKKEMDLELELIDAELKLEEAIAELDMELKRIEEEEQMKAEMGLPEDGDEDDTIGTINTTSETKEDEQAPAKAEEEEDDEGDEEEDDVAQSSFGSVEQQQTTDQQKGKPGKAPFSTTSLAFASSSLVSVVPSKLLQSFPFWNKGGTKPESISPICIDRFSNAKTVDSVSFRPSIGQKCRLKAVGQTHGKVKTRNYSGGKFLDVHCLSQSRSCSLSSANSKNNLKAPRVSGNMWLHAAPERNIDSILSLHSTIYNFEEHRETSI >OIW10273 pep chromosome:LupAngTanjil_v1.0:LG06:8307057:8307704:-1 gene:TanjilG_28024 transcript:OIW10273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDTLYIGSLSDRKYKQKIDGSSIIDEDSNLSLSLSLGGNYVDPTLVEKYNDNEVIKSKEQQFPCKFCDKSFPNPQALGGHQNAHRRERILSKMEKEFFRSGVGLGGLPCPYSSMINHQHFGGPPLYHGTQMQPMATMYPMPWHNFEHGNGNRGLYNTSFSPNQFEMTPNSWGISAENRQRTNQRGVGVGYEHDQVPTTNHDSDGLQGNYYPRNH >OIW09282 pep chromosome:LupAngTanjil_v1.0:LG06:29071007:29072042:-1 gene:TanjilG_01253 transcript:OIW09282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNGKLSTEIPIQAPASKWFNLFAKQLHDVQNHAERVHHTKLHEGEDWHHKDAIKQWTFEIDGKVVIAKEKIESFDEEKKTITYTLFDGDISPHYKIFKFIFEVIENEDGSASVKWTLEYEKIDDSVEPPYGYVEYLTKSSRDIDANLLKA >OIW09813 pep chromosome:LupAngTanjil_v1.0:LG06:14570023:14570220:1 gene:TanjilG_32251 transcript:OIW09813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCALVEHEEECVKMKDDGFFEFLLAPWALHFQSNVMSFLSLATVMVRRMFGGVRMIEFEKARKV >OIW10570 pep chromosome:LupAngTanjil_v1.0:LG06:5160034:5160468:1 gene:TanjilG_15942 transcript:OIW10570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQLQPLQQQQQPVQVYPDTVTDQPSPHHSNGSFGSVFVVLAIIVVISAVACCLGRFCSRGQSQKHGKQKRQHNHHHHDMRPKEVDIEFGFDKKIAASKPNGHGHGAARGPMPMKPMSAHHGHVDMRSFEMKLGHGGKIRAGP >OIW11103 pep chromosome:LupAngTanjil_v1.0:LG06:604779:610097:1 gene:TanjilG_22910 transcript:OIW11103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQKNILISALSAGVGLGIGLASRQRVSKWGQNKNNTNSITPHNIQHEMLSLVVDGRNTNVTFHNFPYYLSEQTRVLLTSAGYVHLKHAEVSKYTRNLAPASRTILLSGSAEFSPLHHLEELYQQVLAKALAHYFEAKLLLLDLTDFSLKIQNKYGSSGNRESAFKRSTSESTLNQLSDLFGSFSIFPQRKESKVAGKMHRQSSGVDLQSIEAEGSCNPPKLRWNASASANMSTLASQSNPTNSAPPKRTTIWSFDEKLLIQTLYKVLGYVSKTYPIVLYMRDVDKMLNRSQRIYNLFQKMLKQISGPILVLGSRVLDSGDDYKEVDEKLTSLFPYNIEIRPPDDESQLVSWQTQFEEDMKMIKAQDNRNHIMEVLAANDLYCDDLDSICVADTMVLSSCIQEIVVSAISDHLMNGKDPEYRNGKLVISCNSLSHALDIFQEGKFIGRDTLKLEAQGKVTPEQREDGAVEKPEAKSENPAPAIKAEAGISTSEGNTQVPPDNEFEKRIRPEVIPANEIGVTFSDIGALDDTKQSLQELVMLPLRRPDLYAGGLLSPCRGILLFGPPGTGKTMPAKAIANEAGASFINVSMSTITSKWFGEDEKNVRALFTLAAKVSPTIIFVDEVDSMLGQRTRVGEHEAMPKIKNEFMSHWDGLMTNKGERILVLAATNRPFDLDEAIIRRFERRIMVGLPSMENREKILRTLLEKDKVDEGLNFKELATMTEGYSGSDLKNLCTTAAYRPVRELIQQEILKDQKKRQSTVEITQGSMDTKEEFKLERVINLRPLNMQDFKEVKKQVAASYAAEGAGMSELKQWNQLYGEGGSRKQEQLSYFL >OIW09259 pep chromosome:LupAngTanjil_v1.0:LG06:28754415:28757660:-1 gene:TanjilG_01230 transcript:OIW09259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGLRCNACNKELNDEFEQKEHYKSEWHRYNLKRKVAGVPGVTEALYLARQSAIAQEKDKSSETPMLYSCGLCGKGYKSSKAHAEHLKSRGHMLRASEESNSDEKAIIKPIQRVLNRPPPRREMVDNSEHEEESEDDWEEVDPEEDLVNVASKSLTDLNVNEHADNADMDEDNDDSFEELDPSCCFMCDQEHKTIESCMVHMHKHHGFFIPDVEYLKDPKGLLTYLGLKVKKDYICLYCNDRCYPFSSLEAVRKHMAAKGHCKVHYGDDDDEEEVELEEFYDYSSSYVDEQGKQLVASGDAANDVELGSAGSELIITRRTDDRVSTRTFGSREFLRYYHQKPRPSPANDVAITAALASRYRSMGLATVQSREQMLRMKVLKEMNKVGVEIMRSKIGMKSNVIRNLPKNCTY >OIW10955 pep chromosome:LupAngTanjil_v1.0:LG06:852006:855340:-1 gene:TanjilG_22762 transcript:OIW10955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAKAAAPYASDKANLSQPAASKGSYSQVGFSYDGNGKEESQFSDDDDNEEDEDEDDDEDFNSDDSNDEGMEIIAKEYGVKRYGWLVYMDKKAKEEEKRQKEVIKGDPAIRKLSRKERRKASQIERERDRDATRMYGTRVLHHDPYREARQSPTYEAYSRSRRSRSRSRSYSPSYSRRSSRSGRGGHSDDLHRSKARTSKIEYITEFGGSGEADDSKRQGFSPPRSPPSQLDTLNRPSSGCILEALHVDPASGVSIDKDKSTKVLKPSLSTSSALAKLKASGSGGPLKLQPGEKKETPQERLKRIMNKQLNKQIQKDTAAEIAKKREQERQRQEKLAETSRSSRYRRRSRSKSYSPSPRRRYRHSRSPHRGRGSGRYYSSSRSRSRSRSRPRSRSRSRPRSRSRSHPRSRSPSYSRSPRSRNRSRH >OIW11002 pep chromosome:LupAngTanjil_v1.0:LG06:1225561:1226227:1 gene:TanjilG_22809 transcript:OIW11002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKESRGAHAREDFKVRDDENWMKHTVGFWENEKVRLDYRPVHLNTLDDEVESFPPKARVY >OIW09436 pep chromosome:LupAngTanjil_v1.0:LG06:27788010:27798700:-1 gene:TanjilG_10308 transcript:OIW09436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMATAAVLFAVLLAAITVAESEYIDYNTTHKIIADKINVHLVPHSHDDVGWLKTVDQYYVGSNNSIRGACVQNVLDSVISALLEDKNRKFIYVEMAFFQRWWRQQSKAKKTKVKELVDSGQLEFINGGMCMHDEATPHYIDLIDQTTLGHQFIKEEFGKTPRVGWQIDPFGHSAVQAYLLGAELGFDSLFFARIDYQDRAKRLKQKTLEVVWRGSRSLGSSSQIFTGIFPRHYDPPDGFTFEINDVSPPIQDDILLFDYNVQERVNDFVSAALAQANVTRTNHIMWTMGTDFRYQYANSWFRQMDKFIHYVNQDGRVNALYSTPSIYTDAKYAANEQWPLKIDDFFPYADHANAYWTGYFTSRPALKGYVRVLSGYYQAARQLEFFKGRNESGPNTDALADALAIAQHHDAVSGTERQHVAADYAKRLSIGYAECPLLNISYCPPSEATLPNQKILVIVVYNPLAWKRNEVVQIPVSTREVFVQDSTGKEIESQLLPLSNATMSIRKQYVKAYIGKSLGEELKYWLAFPVSVPPLGFSTYILSRPERTGRSSTISMVCRSEGSVNNSVEVGQGNLKLLYSADEGKLTHYVNSRNLVTASVEQSYSYYSGNNGTDKDPQASGAYVFRSNGSFPIKSDHQASFTVLRGPILDEVHQQVNPWVSQITRIFKEKEHAEVEFTVGPIPIDDGIGKEIITQFTTTMETNRTFYTDSNGRDFIKRIRDFRTDWDLQVNQPIAGNYYPVNLGLYVQDSSTELSVLVDRSVGGSSLVDGQIELMLHRRLIHDDARGVGEALNETVCIVDNCEGLTIQGKLYLRIDPIGEGAKWRRTVGQELYSPLLLAFTEQDEDKGLHFKKSTFSGIDSSYSLPNNTALLTLQIEEDKDYSVKASVELKKLFPNKKISKVTEMSLSANQERTEMEKNKLVWKVGGSIEKPKVVRGGPVDPAELVVELAPMEIRTFFIFFDLLQTVPEVENHWVM >OIW09141 pep chromosome:LupAngTanjil_v1.0:LG06:30368058:30370459:1 gene:TanjilG_11279 transcript:OIW09141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIKRLIRQTSESASSMPSPSVEMGRCNNSEYVSAFTSHYSQKTRHITILELEQATTNFGQSNIIGEGGFGFVYKGLLEDGSIVAIKRRLFALTPDFVREVKQITHIHHIHLVKLIGYYEDRFQQLLVYEYLPNGNVGNHLYDNEGLPLGRLDLWRRLSIALGAAKGLEHLHSLVPPLVHTNFRTRNVLLDENYSAKVSDYGFCKMQRKADQPGSSSNIDCFLDPELSLSQNYSEHSDVYSFGVFLLELISGCEAHNKNMSNTYETLVFQAKHNNDVDNFVDMRLGEHEKCNNGATNMMKLALLCVDLSYRRPSMRQIVQELEHIQREIAPFYSQFSEEIGVVTLGSELFQ >OIW10769 pep chromosome:LupAngTanjil_v1.0:LG06:3240210:3242127:1 gene:TanjilG_27715 transcript:OIW10769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METWSSVDEVVEEIMRIHKSLPVRPGIDEVEAAKALIINVEREDQVRFESIAKQNKGVKVPEELFILLQEMQNNFIYFQSNEQKREAVKLLDLENIHSLFDELIQRASNCVSSTPSNSNLNSRKASYSNGSPSTVSTSLANNSISASSSRGDFYKMQPPPAASSSLLHAEKEPVKSLELFTRDDSYVKNTKSTFYSNSYGIQPSNLSKPKILDSSLKLTTIAGQGGDKLSLIKLASLIEVSAKKGARDLKLQNKLMDQVDWLPDSIGKLSSLVTFDLSENRIMSLPSTIGGLSSLTRLDLHSNGITELPDSIGNLLSLVYLDLRGNQLSSLPASFGRLVRLEELDLSSNQISVLSDTVGSLVGLKVLSVETNDIEEIPHSIGNCSSLRELRADYNRLKALPEAVGKIQSLEILSLRYNNIKQLPTTMSSLINLKELNVSFNELESVPESLCFATSLVKLVIGNNFADMRSLPRSIGNLEMLEELDISNNQIYVLPDSFRMLSRLRVLRVEENPLEVPPRHIAEKGAQAVVQYMAELVEKRENKDVKPQQHKKKKSWTIRLFSKKA >OIW10930 pep chromosome:LupAngTanjil_v1.0:LG06:1904430:1907756:-1 gene:TanjilG_27876 transcript:OIW10930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSSSFLLSSKPCSPNYSFSSSFPNQIFIKTPIFRNPTSQKFRIFAVALDPKELPKNSPQRLLKELAEHKKIVSPKRKGPPRRFILRPPLDDNKLANRFLNSPQLSLKSFPLLSSCLPSSRLNNADKLWIEEYLLEVKQALGYPLEPSEALGDDNPAKHFDTLLYLAFQHLSCERTKSRHVRNGHSRLHFIGQYVLELAMAEFFLQRYPRESPGPMRERVFGLIGKRNLPQWIKAASLHNLVFPYDNMDRIPRKEREAPVKSVFWALFGAIYLCFGMPEVYRVLFEAFGMDPDAEDCQPKLRRQLEDVDYVSTEFEGKISWQDMPPADALFAHPRLFRACVPPGMHRFRGNIWDYDSKPKVMQALGYPLQMNDRIPEMTEARNIELGLGLQLCYMHPSKYKFEHPRFCYERLEYIGQKIQDLVMAEKLLMKHLDAPGPWVQEKHRRLLMNKYCGRYLRAKRLHYYFICGGKIQDSIEHNRRQRNPASTAVQQALHGLSYLVYGKRDVRRLMFEVFDFEQIQPKEV >OIW10531 pep chromosome:LupAngTanjil_v1.0:LG06:5456885:5460105:-1 gene:TanjilG_15903 transcript:OIW10531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGETTGVSNSALQVSVSFGRFENESLSWERWSSFSPNKYLEEVERCATPGSVAQKKAYFEAHYKKIAARKAELLDQEKDAKEESFGSEDRNCLNLSDNSCGINAEFDISNTQDSVEAVKYETGSFGEVSRTEVDNLEEEISVSRDCQSTPFKGESKGLDYGSHSSGQFHPPEVDVCIKQEESLNIEAEDVKEISHVMYKEKEKSSQIEANNVKLDHPKEHKVTPKDRGSFGAKTKKKSMIPTAKASQISTPRSSKPTSIPTKILASGPSTKKGGSPSLSRRPITSGAESRKVANKSLHMSLSLGPSNPGPAPHTTMRKSSIMEKMGDKDIVKRAFKTFQNNSNLPKTYAEDRSPVKKQVPLRGTVSKVPTSTALRKENGRPIEVDSVDKRSGNSVQTTMGPKSDFRAEKGKESSRKTEEKSNPKEIKSTFLQSKSKEEKEVEMKTLKHNFKATPLPAFYRGQKVSKRHPQKGDAKMENLNSPASDVRRDRRG >OIW09826 pep chromosome:LupAngTanjil_v1.0:LG06:14118961:14120184:-1 gene:TanjilG_32264 transcript:OIW09826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTYDDDEDGGRRQEVVADLTLTIQSDDTGDYVKLMASPSSTEDAAEEPSSPARGGVVWYWVKLVVLFLCLGFLAVVVLKWVGPYLIDKEVIPVIIWERNTFSNPVIAVLVFASVALFPTILLPSTPSMWVAGMTFGYGFGFLLIISAAAIGVSLPFIIGSLFHHKLEGWLEKYPKKASIIRSAGGGNWFHQFRAVALIRISPFPYMVFNYCAVATNVKYGPYIVGSLIGMVPEVFVALYT >OIW09894 pep chromosome:LupAngTanjil_v1.0:LG06:12027280:12027684:-1 gene:TanjilG_32043 transcript:OIW09894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRRRPKSLLRMVLGYKKSSNPRIKIDPFQANLATIEFEDSNPQKPMVNIADSVFEGLYEPWQDALVVTLLIKTMGYYMMKDRLTRLWRLKVDFEIMDICNGYYMVKFEEEVDRTKVMDEGPWMIFDQYLTMLT >OIW08914 pep chromosome:LupAngTanjil_v1.0:LG06:32604451:32606583:1 gene:TanjilG_05890 transcript:OIW08914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVPLEQNYAALSSEILTMEKTSETFSQRAKTTTCSSGLNLKATELRLGLPGSESPERDENNVVVYPLAMHNKCFVSGAKRGFSDAIDSGSGKWVLSGNGGSEMGLGKDSNLFSPRGVSVTAAKVLVGAECSNQQKVSVLKDQVSHTSKPLNEKKPQISAIAAKEQVVGWPPICSFRKNSMTTQPQKNDDDVETKSGCLYVKVSMDGAPYLRKVDLKNFGTYRELSSALEKMFSCFTIRCSSTLARD >OIW10166 pep chromosome:LupAngTanjil_v1.0:LG06:7238776:7240625:1 gene:TanjilG_27917 transcript:OIW10166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEAVDPAYVQAPEHRPKQSVIVAEGIPLIDLSPINYQQEEPITPVSIQGLIKEIGSACKEWGFFQVINHKVPLRKRERIEDAARKFFELSLEEKIKVRRDAINVLGYFEAEHTKNVRDWKEIYDFNVQQPTFIPPSDDSDDDENIQFQWDNRWPQNPPEFREACQEYAQEVEKLAYKLMELIALSLGLAPNRFNGFFRHNTSNIRLNHYPPCPYPHLALGLGHHKDTGVLTVLSQDDVGGLEVRRKSDGEWIRVRPISNSFIINVGDMIQVWSNDAYESVEHRVMVNSEKERFSIPFFLKPALYTDVKPLEELTDDNNPPIYRAVNWGKFRTNRMRSNFTKSNVENLQIYHFKISQ >OIW11000 pep chromosome:LupAngTanjil_v1.0:LG06:1207124:1210847:1 gene:TanjilG_22807 transcript:OIW11000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWPFIGETIGYLKPYTATSIGEFMEQHIARYGKIYKSKLFGEPAIVSADAGLNRFILQNEGKLFECSYPRSIGGILGKWSMLVLVGDMHRNMRTISLNFLSHARLRTHMMKDVEKHTLLVLRSWKENFTFSAQDEAKKFTFNLVAKQILNLDPGNLETEQLRKEYVTFMKGVVSVPLNLPGTAYRKALKSRCTILKFIEEKMEERVKRIQERNENLEEEEDLLNWVLKNSSLSTEQILDLILSLLFAGHETSSVSIALAIYFLPGCPQAMQQLKEEHREIARAKKQAGEVELTWDDYKKMEFTHCVVNETLRLGNVVRFLHRKAIKDVRYKGYDIPRGWKVLPVIAAMHLDPSLFDQPQHFNPWRWHQKNVGRGSYPSVSNNFLPFGGGPRLCAGSELAKVEMAVFIHHLILNYHWELTDIDEAFAYPFVDFPKGLPIKVQPHSLL >OIW11076 pep chromosome:LupAngTanjil_v1.0:LG06:1780852:1781703:-1 gene:TanjilG_22883 transcript:OIW11076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANMYHVRSNSFPSASHPTTVKVEEELRNLRTFEATSTSTSESIRTSLCFLQDLYICLDGFLNLASTQKLISHHTGEKCVEDIVDGSLRLLDICGITRDTMLQIKENVQALHSAIRRRKGDSSIARSVAEYNSFTKKVKKNAKKLITSLKQMDSNFGVSPLLNQDQDLAALIRVLREVIAMNMSIFQSLLTFLVFPSSKSKVTKWLMVAKLMQKGEEKSNELQRVDAALITLLSDATNVEKMLAAREELEALENAIESLENGVESVFRPLIKTRASLLNIIAQ >OIW10528 pep chromosome:LupAngTanjil_v1.0:LG06:5479386:5485044:-1 gene:TanjilG_15900 transcript:OIW10528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSRMASSSSSSSILKPISAALSLRRPLSSDTTLTIETSIPFTAHNCDAPSRAVETSPSELLKFYRDMALMRRMEIASDSLYKSKLIRGFCHLYDGQEAVAIGMEAATNRKDCIITAYRDHCTFLGRGGDLVQVFSELMGRKGGCSKGKGGSMHFYKKDSGFYGGHGIVGAQVPLGCGLAFAQKYSKDENVTFALYGDGAANQGQLFEALNIAALWDLPAILVCENNHYGMGTAEWRAAKSPAYYKRGDYVPGLKVDGMDVLAVKQACKFAKEHALKNGPLILEMDTYRYHGHSMSDPGSTYRTRDEISGVRQERDPIERIKKLLLSHEIATEKELKDTEKEVRKEVDEAIAKAKESPVPDPSDLFTNVYVKGYGVESFGADRKEVRATLP >OIW09842 pep chromosome:LupAngTanjil_v1.0:LG06:13929192:13931048:-1 gene:TanjilG_20549 transcript:OIW09842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPSCACDSSQTCFNGCFSSLNFSEESHNTSKSSTNDATGTNLNYYFATATRSTLQPYTQFTNFESLPPLQESYINFTKAFPQFSTTSLVDQIRAEDYSHLSHSNICFDYTGYGLFSYAQHQRFCPTISFPSSSSSLPYSTNELPFFDVSFKSVNLHSQILYGGHESEIESRIRERIMAFMNVSEADYTLVFIANEVSAFKLVADSFQFQSNGDLLTVYDHSNEALDKMISSCKTQGVRIISAEFNWPNLGIEWRKLKKMIMSRREKKKRGLFVFPIHSRVTGTPYSYIWMSMAQENGWHVLLDLCALRPKEMDTLGMSMFKPDFMICSFYKVFGENPSGFSCLFVKKSSISALKDSGNVTSIGIISLVPPFKQFQLPEESVISDEKSVSNTMPEIEIKEVKWASSSSEIVELRTSLNSSSSRNRLGLTNESVGIECRGLDHADSVGLIVITSRTKYLTNWLVNALKSLQHPHHESGLSLIKIYGPKINPQRGPIVAFNIFDWKGEKVDPLLVQKLADRNNISLSCAFLQNIRFLDKNEEEKQRALEKGVYKVEGLGLSMKKDNNGSGIFVVTAALGFLTNFEDTYMLWDFVSRFLDADFVEKERWRYMALNQKTIEI >OIW09725 pep chromosome:LupAngTanjil_v1.0:LG06:17927302:17930776:-1 gene:TanjilG_09398 transcript:OIW09725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTGEESTAKPSKSSSSTHEIPTAPSYPDWSSSMQAYYAPGAAPPPFYASTVASPTPHPYMWGSQHPLMPPYGTPVPYAAMYPPGSVYAHPRMAMTPNAVQHSTEFEVRGPDGKDRNSAKKLKGVSAKTGSKAGESGKTGSGSANDGISQSAESDSEDSSNASDENTNQQESAATRKGSFNQMLVEGANAQNNSAGAISQSSVPGNPVVSMPGTNLNIGMELWNASPAGAQSSKMRHNQSGAPGAVAPSTIMGREVALGDQWMQPDERELKRQKRKQSNRESARRSRLRKQAECEELQKRVETLGGENQTLREELRSLSEECEKLTSENNSMKSNFHVDMVKFYHLKIIAVNRKSWKGCVGKKQLLTLDET >OIW11045 pep chromosome:LupAngTanjil_v1.0:LG06:1578053:1582547:-1 gene:TanjilG_22852 transcript:OIW11045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQNAQSRNSLYPQVIDSNLLHNPNPFSSSSSSSSSSSSQSRSNLYPSIDLNDLAQDLFPPTDNNVRDGHAPPSAPPSATQDILVNIPGAILNLIDQQYSVELASGNFSVIRLRQGDNAVAVYARVADEIQWPLANDMTAVKIDDSHYFFSFRAPNGSGDDIDSVEFLSYGLTIASKGQENLLKEMDSVLDSCCNFSVHRVSEKAKKGEALDESMAKEFSAKDLECSAEKKELMEGQCAAYWTTLAPNVEDYSGSAAKLIASGSGKVIKGILWCGDVTMERLNWGNKVLKKRMSAGEQAQINPQTVKRIKRVKRVTKMTEKVANGVLSGVVSVSGFFTSSVVNSKAGKKFFSLLPGEVVLASLDGFSKVCDALEVAGKSVLSTSSTVTTELVNHRYGEQAAEATSEGLSAAGHAFGAAWAAVKIRKAINPKSVFKPSTLVKSSAKAAASELKAKMTK >OIW10200 pep chromosome:LupAngTanjil_v1.0:LG06:7548350:7549588:-1 gene:TanjilG_27951 transcript:OIW10200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLFNQASFSKLKTCIILLCILLVDCQILESASQSLPLVPNILTFIDQRLALVFPIIQNFKKTITSDPLGVTTTWVGPNICNYTGFYCDNPPDNLTATTIASIDFNGFQLSAPSLDGFIDRLPDLALFHANTNSFSGTISPKIAGLKYLYELDLSNNLLSGVFPISILNIPTLSFLDIRYNFFTGTVPPQIFMQALDALFLNNNNFMLTLPNNLGNTLAAYLTLANNKFTGPIPSSIGKASSTLREVLLLNNHLTGCLPYEIGFLKNTILFDSGNNLLTGPLPYSFGCLEKVEQLNLARNMLYGEVPELVCALGNLVNLSLSFNYFTRVGPLCKKLIKNGVIDVRQNCIFGLPDQRSVKECRAFFLIPRSCSHPALFKVVPCKIPPLWKPHVKTQSHRHLLSYSALSRNRVL >OIW10579 pep chromosome:LupAngTanjil_v1.0:LG06:5092220:5095880:-1 gene:TanjilG_15951 transcript:OIW10579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGADTTTPSYWLNWRFFICAVFVLIAMVLASFLIWKYEEFNKPRSERRRRRQQETAGSLYKDEAWNTCVKGIHPAWLLAYRTISFLVLLSLIIANVVSDGGGIFYFYTQWTFTLVTIYFGLGCCFSIYGYYFNKNSCCSNLIDHASLDSEQGTYVAPTLDATADVSNLYKSTGAYQEHHTRDAADVWGYIFQIIFQTCAGAVVLTDCVFWLIIYPFLMSKDFSLDFLVVCMHSINALFLLGDTTLNCMRFPMFRFAYFVLWTTIFVVFQWIVHMCVSLWWPYTFLDLASPYAPLWYLAVGVLHIPCYGIFALIVRLKLLLLSRAFPGSCQFVR >OIW10364 pep chromosome:LupAngTanjil_v1.0:LG06:9177385:9178275:1 gene:TanjilG_28115 transcript:OIW10364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERHKCKLCSRSFSNGRALGGHMKAHLATLPLPPKPQTFHDPFESASFSYQSYSSQDEERDILGSHEKAPSYGWSENPRKSLRYADPEFSFNNNGFVVVVQDRENETGSKKPFRQRSKRNRKSSLNKSLEEEPKKFKHSFMESPPLSSVSDFSPEDVAMCLMMLSRDKWESNVVEGDGVKEEEMKLKNKKRIGGKNECENCGKKFRSSRALGSHRSICCSDEAGGGRGDKIFECPFCFKVFGSGQALGGHKRSHLMLQQSLSSSNANNNKESFIDLNLPAPIEDDDLTIVSDVSDA >OIW10317 pep chromosome:LupAngTanjil_v1.0:LG06:8712220:8718576:-1 gene:TanjilG_28068 transcript:OIW10317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCTANDLQEWKDFPKGLKVLLLDGDINSASEIRAKLEAMDYNVSTFCDEKEALSAISSRPEDFHIAIVEVSTSRSLGGFKFLENAKDLPIIIEFLSKPLCEDKLRNIWQHVVHKAFNAGASVKSEPLKPIIESVVSMLDLEKDSRQNETIMSIDSEKVSGLTDNDPEKSPGSDKYPAPSTPLLKQGTRLLDDGDCQELINCSTEKESSEHDGEPKSVETTCGNLSAESTSLQRESEKTRVKEEEDFANRTKGESANAVSSHPQNIKIQSKTDGNRKSLKRVGALSNSCETKANRKKMKVDWTPELHKKFVKALEQLGIDQAIPSRILELMKVEGLTRHNVASHLQKYRMHNRHILPKEEGRKWLNQRDPMQRSYCLQRPIMTFPPYHSNHTLSPAPTYSMWGQPHCQMPGMQIWSPPGYPLWQPTESLHWKPFPGMHADAWGCPVLPPPPTPCFSHPQPQNMSALHNADALDYNFRMPQSSFEHYPADDIVDKVVKEALTNPWLPLPLGLNPPSTDSVVTELTMQGISSIPPSTKGSNPG >OIW11061 pep chromosome:LupAngTanjil_v1.0:LG06:1696524:1697741:-1 gene:TanjilG_22868 transcript:OIW11061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKQRKEEGDGFASKTNEVVAPRSPSLQKFREQDYWSFVLSLNTYHDEDGPNEAMEKRVAVGNDDNEIRIGIKDWWTKSNYAYLNQPALDSTEPPKKRSSSYVPNYLSYKPKALYPTAIGVF >OIW10193 pep chromosome:LupAngTanjil_v1.0:LG06:7512056:7512496:1 gene:TanjilG_27944 transcript:OIW10193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAPALEQVQCFGRKKTAVAVTYCKRGRGLIKINGSPIELVEPEILRFKAFEPILLLGKSRFAGVDMRIRVKGGGHTSQIYAIRQSIAKALVAFYQKYVDEQSKKEIKDILVRYDRTLLVADPRRCEPKKFGGRGARARFQKSYR >OIW09356 pep chromosome:LupAngTanjil_v1.0:LG06:29716733:29717281:-1 gene:TanjilG_01327 transcript:OIW09356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTLLTPNGSCGCGKTKPSQVHEPIAKPKISIYQNTNPSRLTSSSATTSGGGDRDEDITSTTISEADTTHHDHKIHDKPIKDQSMSKPCPKLIGSIAIEKDSDDPYSDFRYSMLQMIVEKEIYSENDLQELLQCFLQLNAPCHHHAIVQVFIEICEEYFPKKLDGSGGESSCKNRIINGNSR >OIW10780 pep chromosome:LupAngTanjil_v1.0:LG06:3151985:3154729:-1 gene:TanjilG_27726 transcript:OIW10780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVNCIAPKPQYSNSSSHSLEDPPDQTEPHNPSVFATMYPITLKFEELVYKVKLEHKGLCWGSTGTCKEKTILNGMSGVVCPGEILAMLGPSGSGKTTLLTALGGRLGGKISGKITYNNQPFSGSIKRRTGFVAQDDVLYPHLTVTETLVFTALLRLPNSLTRDEKVQHVERVIAELGLTRCRSSMIGGPLFRGISGGEKKRVSIGQEMLINPSLLLLDEPTSGLDSTTALRILSTIKRLASGGRTIITTIHQPSSRLYYMFDKVVLLSEGCPIYYGPASTALDYFSSVGFSTCVTVNPADLLLDLANGIAPDSKHATEQSESLEQERKVVREALISAYDKNIATRLKAELCSQKVNNNNITKDSFTIFWGFYPLYNAVFTFPQERRMLIKERSSGMYRLSSYFLARTIGDLPLELALPTAFVCIIYWMGGLKPDPVTFILSLLVVLYSVVVSQSLGLAFGAILMEIKQATTLASVTTFVFLIAGGYYIQQIPPFIVWLKYLSYSYYCYKLLLGVQYNENDYYECSEGVLCKVVDFPPIKSMGLNHMWVDVSIMALMLVGYRLVAYLALRRVR >OIW09515 pep chromosome:LupAngTanjil_v1.0:LG06:24396638:24396976:-1 gene:TanjilG_11637 transcript:OIW09515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSIFLFHSTPYSFIFMLIYINIYVLLLILQTIPVNPKPFLKNLTGKHVIVKLKWGMEYKGYLVSVDSYMNLQVNF >OIW11139 pep chromosome:LupAngTanjil_v1.0:LG06:355086:356610:1 gene:TanjilG_22946 transcript:OIW11139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSVAEIRKAQRAEGPATIFAIGTATPPNCVDQSTYPDYYFRITNSEDKVELKEKFQRMCDKSMIKKRYMYLTEEILKENPSVCAYMAPSLDARQDMVVVEVPRLGKEAATKAIKEWGQPKSKITHLIFCTTSGVDMPGADYQLTKLLGLRPYVKRYMMYQQGCFAGGTVLRLAKDLAENNKGARVLVVCSEVTAVTFRGPSDTHLDSLVGQALFGDGAAALIVGSDPVPEIEKPIFELVWTAQTIAPDSDGAIDGHLREVGLTFHLLKDVPGIVSKNIDKALVEAFNPLNISDYNSIFWIAHPGGPAILDQVEAKLALKPEKMRATRHVLSEYGNMSSACVLFILDEMRRKSKEDGLKTTGEGLEWGVLFGFGPGLTIETVVLHSVAT >OIW10610 pep chromosome:LupAngTanjil_v1.0:LG06:4795182:4796204:1 gene:TanjilG_15982 transcript:OIW10610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTPKASGSEPQHFITACTTMLSYRRFSTCHDFASHLPRLDPNTSASLDKILAIADVLSAVQTRLPNNLPDHYSILLLRREDAARDRDLVTRQFKKLALLLDPTAAIKFPFSDEALTCVRDSWHVLSDPKSRDLYHSQIGYQPPSATFWTACPYCWNLFEYETKYEDCPLLCQSCGKAFHGVPVTAPVKGGDHNKEYYWCQASVPLRYRQKEDNNNMSEKITHFDETKFVYISDDDDDNDGGRGEGFGKNVEKEVWGDVRNQGFQFKGNAAHGSVELQGDNGKRKMRMKTVARKGFRNRMRNRQGGFGIDNNLDLDEGEDGNLEFTEGDGDVFIGVRFDE >OIW10098 pep chromosome:LupAngTanjil_v1.0:LG06:9868133:9871252:-1 gene:TanjilG_21935 transcript:OIW10098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLKETGPSIAVVGVTGAVGQEFLSVLSDRDFPYRSIKMLASKRSAGRRITFEDNDYVVEELTPESFAGVDIALFSAGGSISREFGPIAVERGTIVVDNSSAFRMDEKVPLVIPEVNPEAMANIKVGKGKGALIANPNCSTIICLMAATPLHRRAKVLRMVVSTYQAASGAGAAAMDELELQTREVLEGKPPTCKIFNQQYAFNIFSHNASVLPNGYNEEEMKMVKETRKIWNDKDVKVTATCIRVPVMRAHAESVNLQFESPLDEDTARDILKNAPGVVVIDDRESNRFPTPLEVSNKDDVAVGRIRQDLSQDGNQGLDIFICGDQIRKGAALNAIQIAEMLL >OIW09700 pep chromosome:LupAngTanjil_v1.0:LG06:19468381:19473033:-1 gene:TanjilG_06506 transcript:OIW09700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGLWWRDKNDVEFFIPQPLFIMVSLARPAELQFAVVSIPPYEGLGISFGEDENSFSVELHLSRDMIEDKRFGGVASGVGILGCRTKGMKLRNHHKPPLEHGYRWRKGFCANVSLYFAIWTFSRDSPS >OIW09442 pep chromosome:LupAngTanjil_v1.0:LG06:27155135:27156304:-1 gene:TanjilG_31161 transcript:OIW09442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQASHESLVSDAGWDCKSPISASSTASVWPSFNTKSSKTYSLSEEERATIAVLDMQLKALKACKEFLVGDVDSDCDEDEIDDEDEEETDDEDEDELVDSYGSEESKEYKFFERLFLEDGDLRRYYENNHKEGAFYCLVCGPVWKKVWKRFKDCVSLVHHSTTVLRTKRMRAHRAYARIICKIVGWDFDQLPTIVLKDLDNSVAGAKKLLDEPKKPAVNHIDESNVEPDKPVDVHNDDSDVQANVNSS >OIW10755 pep chromosome:LupAngTanjil_v1.0:LG06:3326680:3328084:-1 gene:TanjilG_27701 transcript:OIW10755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKFSISNARYIYVHDQKYPSEDVDIHHIVSRKSIAKSLFVYTLAFLVLACSIYLFVLEEKSISLVFYSLLFDIFLVKLLLGKPVKKESVVIMPAFGVQLETHYMSGKVIRCFVPIDKILKPVLVECVTAVTCYWTLSLIVRGESEMVLVFKSLRPPVKMLVPVWKALCAATGSKEEACTHEE >OIW09798 pep chromosome:LupAngTanjil_v1.0:LG06:14902413:14910361:-1 gene:TanjilG_32236 transcript:OIW09798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFPSVSDNPHESTSSTPLLNGSHPRKNVKGVLLILCGLLLLVSLISYNGLYRASNIQEEPSNTKTVPSTMSPVSRGVANGVSEKSNRLFSSNNIGESENYPWNNSMLSWQRTAFHFQPEKNWMNGPLYYKGWYHFFYQYNPNAAVWGDIVWGHSVSRDLIHWLHLPLAMVNDQWYDINGVWTGSATILPNGQIIMLYTGSTNESVQVQNLAYPADPSDPLLVDWIKYPNNPVLFPPPGIGYKDFRDPTTAWLTSEGKWRITVGSKLNKTGIALVYDTKDFKTYELKEGTLRAVPGTGMWECVDFYPVSKLAENGLDTSSNGAGVKHVVKVSLDDDRHDYYSIGNYDEKKISFIPDDVKNDVGIGLRYDYGIFYASKTFYDQSKGRRVLWGWIGESDSEYADVTKGWASVQSIPRTVKLDKKTRSNLLQWPVAEVETLRLRSNEFKNLKAKPGSVVSLNIESATQLDIVAEFEINKEALEKTTESNVEYQCSTNGGAAKRGALGPFGLLVLADEGLSEYTPVYFYVIKGSDGQLKTSFCSDQSRSSLATDVNKQIFGSFVPVLTGEKLSVRILVDHSTVESFAQGGRTCIASRVYPTRAIYGATRLFLFNNATEATVTASIKIWQLNSAFIRPFHSGHKI >OIW09807 pep chromosome:LupAngTanjil_v1.0:LG06:14653096:14655010:-1 gene:TanjilG_32245 transcript:OIW09807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHLIDNTPSTPGKLKPDKSPYIHNRFRIHSSSFSKLTLWCLFFIAFILFFFFLSLLSTTATTPSSAAASNRRSLGSTWGGSAWEKRVTKSSRRATASNRAVLVTGAAGFVGMHVSLALKRRGDGVLGLDNFNRYYDLNLKLARQRLLERAGVFVVDGDINDAALLRKLFDVVPFTHVMHLAAQAGVRYAMQNPASYVHSNIAGFVNLLEACRSANPQPAIVWASSSSVYGLNSKVPFSEKDRTDQPASLYAATKKAGEEIAHTYNHIHGLSITGLRFFTVYGPWGRPDMAYFFFTKDILKGKQISIFQDPDRGTVARDFTYIDDIVKGCLRALDTAKKSTGSGGKKKGPAQLRIFNLGNTSPVPVSELVTILEKLLKVKAKRKMVPMPRNGDVRFTHANISLAYRELGYRPTTDLETGLKKFVRWYLDFYPGSKKKSAW >OIW09377 pep chromosome:LupAngTanjil_v1.0:LG06:29897211:29903651:1 gene:TanjilG_01348 transcript:OIW09377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVDANQPLLPNHDEHCELENILSDTTLPFLTRHGKALWIELKLLFFLAAPAIVVYLINYLMSMSTQIFSGHLGNLELAAASLGNSGIQIFAYGIMLGMGSAVETLCGQAFGANKLGMLGIYLQRSTVLLSLAGVVVTVIYVFSEPILLFLGQAPNIASAAALFVYGLIPQIFAYAMNFPIQKFLQSQSIVAPSAYIAAATLLVHLLFNWLAVYKVGLGLLGASLVLSLSWWIIVIAQFVYIVKSERCKNTWQGFSWEAFTGLTEFFKLSVASAVMLCLETWYFQILVLLAGLLPHPELALDSLSICTTVSGWVFMISVGFNAAASVRVSNELGAGNPKSVSFSVMVVTVISFIISIIVGLVVLALRDVISYLFTDGEEVAAAVSDLCPLLALAIVLNGIQPVLSGIELAPLILFLIHFIYRKKRVAVGCGWQSFVAYVNVGCYYGVGIPFGAILGFYFKFGAKGIWLGMLGGTSMQTLILIWVTFRADWNKEVENAAKRLKKWENNKEKLFLN >OIW10952 pep chromosome:LupAngTanjil_v1.0:LG06:835521:837570:-1 gene:TanjilG_22759 transcript:OIW10952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVEAEIDRLPIDLLAHIFVLFNSFTDLAQARGVCKKWKQGVKVSLACRHNLSFGGWKMDDDSAARLVSHAYNLRNLGIPRSRWSCQITDAGLRRISSARCVSNLTSLSLWGLTGITDEGVVLLISRTTSLKHLNVGGTFITDESLFAISRSCPNLETIVLWSCRHVTESGLICLVAKCLKLKSINLWGTRVPVDCPTNLLILNPALQIKV >OIW11014 pep chromosome:LupAngTanjil_v1.0:LG06:1318063:1327483:1 gene:TanjilG_22821 transcript:OIW11014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTFTAIAIDRLLEPRGSKPIDKFVTNSMPVPNSQKLERTTSVPTAKNKIPPRPPLKPALYTTPEVTPLPDSPSSSSFPPSPYIINHKRRGPRLLKSASQPSVLSEKTVLDDGKVSSKSSDDVVAAGDVEVRVTNPESEKEEHMNGVYGGGLSSSNGGGLGNGHMETGNGSITNGLHMETGNGSITNGLHMETGNGSITNGLHMETGNGSITNGLHVENVLSFNLERDKEIEDFFDPQESMSFTSNTDGEENAGTELSMKFSSLGGEFYDAWEELSSESGTQNSRYDVEAELCEMRLSLLTEIEKRKQAEESFNNMRRQWESVRQALYRAGITLPANLIAVADDEKPNSDVVEDLYQQVHVARFISNTIGRGTARAEVEMEMDAQLESKNFEIARLLERLHYYEIMNREMSQRNQEAVETARRERQRIKRRQRWIWGSITTAMALGTAAIAWSYLPMGKGSSCADHDLLPEHDDAPKCLFAMLNKGCSILVDCVVFVGVEISSGGPDEKDNSTRADAAIKHGSPILEVDQPTTNECLEKQQYHQPNECFYVRVEAVEGFVRTRGIHFLLNGNPYYANGFNAYWLMYTASDPSQRFKVSAAFHEASSHGLTVARTWAFSDGGYRPLQYSPGFYNEQMFKGLDFVIAEARKYRIKLILSLVNNYDNFGGKKQYVNWARSHGQYLTSDDDFFRSPFVKGYYINHVKTVINRYNSFTGIHYRDDPTIMAWELMNEPRCTSDRSGRTIQAWITEMASFVKSIDKNHLLEAGLEGFYGQSTPQRKKLNPGFDIGTDFIANNHIQGIDFATVHCYPDQWVSSSNDQYQLAFLNKWLSSHFLDAQYAIRKPILVAEFGKSYWLMYTASDPSQRFKVSAAFHEASSHGLTVARTWAFSDGGYRPLQYSPGFYNEQMFKGLDFVIAEARKYRIKLILSLVNNYDNFGGKKQYVNWARSHGQYLTSDDDFFRSPFVKGYYINHVKTVINRYNSFTGIHYRDDPTIMAWELMNEPRCTSDRSGRTIQAWITEMASFVKSIDKNHLLEAGLEGFYGQSTPQRKKLNPGFDIGTDFIANNHIQGIDFATVHCYPDQWVSSSNDQYQLAFLNKWLSSHFLDAQYAIRKPILVAEFGKSFKDSGYNTYQRDQLFNTVYYKIYSSAKRGGPAGGALFWQLLTEGMESFDDGYGIMLAQSSSTANVIAQQSHKLYQIRKIFGRLANAWRWKRARAKRGKHIGN >OIW11173 pep chromosome:LupAngTanjil_v1.0:LG06:151424:153253:1 gene:TanjilG_22980 transcript:OIW11173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFRRILPAEGRSLHGGYWSTFDGNNFNNGSRKRCRSNYYTQNYDAYNNDPAEHVNFNYYNFDHANYGNCDAVPSYLKRRKYSAPTWEENQNSYFASSVKDNVPSTRSIHFSTTRFSDDTSISPTCKLDCSIFEDEEPLLMSRDEIDSHSPSRKDGIDVLHETHLRHSYCAFLQDLGVRLGLPQTTIGTAMVLCHRFFVRQSHAYHDRFLVATAALFLTGKSEETSRPLNDVLRASSEILHKQDFAMLSYLLPVGWFAKYHDRVLEAEQLMLTTLNFELNVHHPYAPLTSVLKKLGPSKTVLINLALHLISQGHLFLLVPTCLCYLIQCGSVEKIRLGKQGMGHWVLNLKQYCMFLRSGDLGLRKIPGCLLF >OIW08974 pep chromosome:LupAngTanjil_v1.0:LG06:32097018:32098926:-1 gene:TanjilG_05950 transcript:OIW08974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKRINLLKDETFLQHPFVVAIILFLGLVMMDPFHLGPVSEHEFRPVKHDIAPYHQVMKNWPRDNISRLGLHGKSEFKNEVFGPESLEFDNMGHGPYAGLADGRIVRWMGEELGWETFAVVTSNWTEKLCFRDNDSTTAKQWKHEKTCGRPLGLRFDKVSGDLYIADAYYGLLVVGPNGGLATPLATHVEGKPILFANDLDIHKNGSIFFTDTSKRYNRVAHFFILLEGEATGRLLRYDPPTKTTHVVLDGLAFPNGVQFSTDQSFLLFTETTNCRLMKLWLEGPRTGNVEVVANLPGFPDNVRINEKGQFWIAIDCCRTAAQEVLSHNPWLRNIYFRLPIRMSLLARVMGMRMYTVISLVDENGKVLEVLEDREGEVMKLVSEVKEENGKLWIGTVAHNHIATLPYP >OIW10464 pep chromosome:LupAngTanjil_v1.0:LG06:5915148:5917880:-1 gene:TanjilG_00402 transcript:OIW10464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYVSPEGLRLDGRRPMEMRQIRAEIGAVSKADGSAVFEMGNTKVIAAVYGPRQVQNKSQQMNDQALVRCEYSMANFSTGDRMRKPKGDRRSTEISLVIRQTMEACILTHLMPHSQIDIFVQVLQADGGTRSACINAATLALADAGIPMRDLVTSCSAGYLNSTPLLDLNYVEDSAGGADVTLGILPKLDKVTLLQMDSKLPIDILENVMQLAIEGCKAVANYIREILLENTKQLEYRRGV >OIW09817 pep chromosome:LupAngTanjil_v1.0:LG06:14521949:14532205:-1 gene:TanjilG_32255 transcript:OIW09817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADITDEGVSLVEDIFKRRQPLPTMDAIYFIQPTRENVIVFLSDMSGRTPLYRKAFVFFSSPIPRELVMEIKKDARVLPRIGALREMNLEYFAIDSQGFITNNERALEQLFGDEEDNRKAVACLNVMATRVATVFASLREFPFVRFRAAKSLDATTMTTFRDLIPTKLAAGVWDCLMKYKKSIPNFPQSETCELLIIDRTVDQIAPVIHEWTYDAMCHDLLNMEGNKYVHEVPGKNGGPPERKEVLLEDHDPIWLELRHAHIADASERLHEKMTSFISKNKAAQIHHGSRGNGEMSTRDLQKMVQALPQYSEQIDKLSLHVEIAGKINRIIRESDLRELGQLEQDLVFGDAGMKEVIKFFTTKEDTTRENKLRLIMILAAVYPEKMEGEKGLNLMKVARLTNEDMIAVNNLRMLGGAADTTKKSLTSTFALKFDINKKKRAARKDRSGEEETTWQLSRFYPIMEELIEKVSKNELSNEDYPCLNDPSPTFHGTPYAGSVIHNPPAHSMRSRRTPTWARPRGSDDGYSSDSVLKHSSSDLKKMGQRIFIFIVGGATRSELRVCHKLSGKLKREVILGSSSLDDPAQFITKLKILSEEELSLDDLQI >OIW10530 pep chromosome:LupAngTanjil_v1.0:LG06:5461619:5462281:1 gene:TanjilG_15902 transcript:OIW10530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTHFHSLPLLLFTLSLLLGQSKPDPDPIQDYCIADNTNTFFINGVPCINPKQASSSHFVTSALSKSGNTSSNKFGFSVTSTNTVNLPGLNTLGLVLVRVDIEGNGIVPPHSHPRASEVTTCLKGQLLVGFIDTMNRVFTQNLKPGESFVFPKGLIHFLFNRDSKQPALALSGLNSQNPGVQLASVATFASKPPIPDPILKKAFQISDKELDMMRRNLGG >OIW10959 pep chromosome:LupAngTanjil_v1.0:LG06:885984:886277:1 gene:TanjilG_22766 transcript:OIW10959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQNKFLFSLILLALIIFCQGFQSIEGRYLKSDQEDNVHGGISTTNAPILTNVSSPKLPSEENGATTVVPPPPGHGVDNFRPTEPGHSPGVGHSAHN >OIW10442 pep chromosome:LupAngTanjil_v1.0:LG06:5788334:5790157:1 gene:TanjilG_00380 transcript:OIW10442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKESPTATATTLPPLILRNIITPFIIYALNCFLFFVDKYQLLETFRGAFLFFLRFVPPSFLHCVLAPPAIKRDKYDNTAQRNDTGIGRALSQLLSIVNDIPVSSRKYEVVRCLAERVIEENHNEGVHALRRVNREVLSSAFGRTLNRLEVLVGSSNNRPGESGEPEEGWVNRVFRVFRGVVGGSRWMVRGGGEGSGVTAEKLAAELEWLAQRMAACGCVEEAVGKWAPASNLGWLALSAEPRLQASLLKLAAFLLKEARDMSIDETEGSKIEPQRQQVKLKMLQSWLPLLCRGSNGTDAPVLSISERSGLEKVLEETIEELEKEEEQEQILSLWLHHFTHSPSSDWPNLHACYARWCSASRNQLLLQ >OIW10123 pep chromosome:LupAngTanjil_v1.0:LG06:9613070:9616943:-1 gene:TanjilG_21960 transcript:OIW10123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSVLDSHFFAITAIVTICYQFLFFLITALLKFDKVTDFAGSTNFIIIATLTLLLKGSWHFRQIVLTLFVVLWGIRLALFLLLRILKWGEDRRFDKMRGNLGKLAVFWTLQAIWVWAVSSPVTLVNSSDRKPFLQAADIAGWIMWSVGFIIEGTSDQQKLYFKQSPENKGKWCNVGLWKYSRHPNYFGELLLWWGIFVASTPVLRGAEWMVISGPIFITLLLLFVSGIPLLEASADKKFGKVDEYRIYKQRTSPLIPLPPSVYGNLPSWFKTILLEFPLYSRNLRQEGLKQQRKRQ >OIW09559 pep chromosome:LupAngTanjil_v1.0:LG06:22851215:22855406:1 gene:TanjilG_28158 transcript:OIW09559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEMNLPPPMLMAFTAVQWQELEHQALIFTYLTAGLTVPPQLLRHPSLGYYSYDGKKIDLEPGRCRRTDGKKWRCSRDAHPDSKYCERHMIRRRYRSRKLVESSQSTAAAPHSKSVEVEGVASGSRGDSGSGTFQSLPLHTSGARDGFGLGSNKRFCQLQMEPFPSDLVSKEEYRFGLNFEADEHNFIPKDLRTPRYQDTFESDCMWSHMSQVSSNSISESRNSSTATTNCFQQQTPRDANPLNIAAAHSEQHVSIGHLTSAGCVKQEYQPSPSLYWKKGQGSPAAEYIPDTDFNCNPDVNVDRGDSLYK >OIW09816 pep chromosome:LupAngTanjil_v1.0:LG06:14542210:14546293:1 gene:TanjilG_32254 transcript:OIW09816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNHRSSERGVVMSWNVFKFCAGFRFLGSIMILFVLSIVAFSYYALVVANYGPALFIGGLRSLTAFCVLLLFHSLLAMLLWSYFSVVFTDPGHVPSSWRPNIDEERSDADPLVEAAYMGSDVLSNELNASGGQDYRRVRYCRKCNQFKPPRCHHCSVCRRCILKMDHHCIWVVNCVGALNYKYFLLFLFYTFLSTALVTLSLLPYFIAFFGDEEISGSPTNLAVSFVAFVLNLAFAISILGFLIMHISFVAANTTTIEAYETKTTPKWYYDLGWRKNYEQVFGTDKRYWFIPAYSDEDLRRMPELQGLDYPVQPEVVALQQL >OIW09905 pep chromosome:LupAngTanjil_v1.0:LG06:12155357:12157612:1 gene:TanjilG_32054 transcript:OIW09905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNNYQGALMGIPQTPNPSPSHLYPREIQLKLYQAFIFSIPILFTIILVLLFYLFYLKRRASFLSSTPLHILPRRSNPQTTYPYTSSMLCLSWRI >OIW10067 pep chromosome:LupAngTanjil_v1.0:LG06:10249791:10253978:-1 gene:TanjilG_32807 transcript:OIW10067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHNMSDEELLWKASMVPKIKEIPSKHHTPKVAFMFLTKGPVLLAPLWEKFFKGNEGLFSIYVHSSPYFNGTVPQSSVFHGRRIPSKEVRWGDFNLIGAERRLLANALLDSSNQRFVLLSEACIPLFNFSTIYKYLMNSTQTFIEAYDFPGQVGRGRYNPRMKPYIKLSQWRKGSQWFQIDRALAIEIVSDQRYYPVFKKYCRNGCYGDEHYLPTLVSIKFWERNSNRTLTWVDWSKGGAHPSRYMRMNVTIGFLKKLRHGSMCQYNGETTNICHLFARKFMPHALDRLLRFAPQIMQFN >OIW10147 pep chromosome:LupAngTanjil_v1.0:LG06:7075040:7079143:1 gene:TanjilG_27898 transcript:OIW10147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAGKVRVAMGFKKSPSPSPQKNQPPPPSTATSATNSSPHKSSFSRYFLRTSAQVQPKPPNVTELLRLVDSLRESESRLKMELLEHKLLKESIAIVPVLENEIETRESEIERRKKRIEDVEAENERLKNELHELKLRMEEEKRESERKMKALKNEIAELKKTASYTCSTMESEKNSSASQSFLDISTRSSLFKSLKKTKSENGTGYLKREVVAETERPRHSRCNSEELADSTDSVLSGAVRSRAPRVPKPPPRPSSSSPFSALSGSSEETENVITQPPKNLAAPPPPPPPPKPASKTAPPPPQPKGGRTITTKVRRVPEVVEFYHSLMRRESQSRRDLTSSGGGTEVPVMSNANARDMIGEIENRSSHLLAIKTDVETHRDFIRYLIKEVEGAAFTNIEDVVPFVKWLDDELSYLVDERAVLKQFDWPEHKADALREAAFAYCDMKKLESETSSLCDDPRQPCGTALKKMQALLEKLEHGVYSISRMRESATKRYKVFQIPVDWMLDNGYASQIKLASVKLAMKYMKRVSAELETVGNEPEEEELILQGVRFAFRVHQFAGGFDVETMRAFQELRDKARSCHAQYHTQQQ >OIW10865 pep chromosome:LupAngTanjil_v1.0:LG06:2445931:2455705:-1 gene:TanjilG_27811 transcript:OIW10865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESVELPSRLAILPFRNKVLLPGAIIRIRCTSPTSVKLVEQELWQREEKGLIGILPVHDAAGIKPVGHSISEGVGTDSLDQSSKTQGGSSDSHKLDTENQNDVHWHSRGVAARALHLSRGVEKPSGRVTYIVVLEGLCRFSVQELITRGTYHTARISSLEMMKTEMERVEQDPDFVMLSRKFKATAMELISVLEQKQKTGGRTKVLLETVPVHKLADIFVASFEISFEEQLCMLDSVDLKVRLSKATEMVDRHLQSIRVADKITQKVEGQLSKSQKEFLLRQQMRAIKEELGDNDDDEDDLAALERKMQSAGMPQDIWKHAHRELRRLKKMQPQQPGYSSSRAYLDLLADLPWQKASKERELDLRAAQERLDNDHYGLVKVKQRIIEYLAVRKLKPDAKGPVLCFVGPPGVGKTSLASSIAAALGRKFVRISLGGVKDEADIRGHRRTYIGSMPGRLIDGLKRVGVSDPVMLLDEIDKTGSDVRGDPASALLEVLDPEQNKTFNDQVIFVATANRAQPIPPPLLDRMEVIELPGYTSEEKLKIAMRHLIPRVLDQHGLSSEFLHIPEGMVKLVIQRYTREAGVRNLERNLAALARAAAVSVAEQEQVVPLDKGMQELATSLLENRLPNDAEVEMEVIPMGVNNRDISNTFKFASPIVIDEAMLEKVLGPPKFDSKEAAERVASPGVSVGLVWTTFGGEVQFVEATAMVGKGELHLTGQLGDVIKESAQIALTWVRARAADLKLAAAEGNNLLEGRDVHIHFPAGAVPKDGPSAGVTLVTALVSLFSQKRVRSDTAMTGEMTLRGLVLPVGGIKDKILAAHRHGIKRVILPERNSKDLVEVPPSVLANLEIVLAKRMEDVLEQAFEGGCPWRQHSKL >OIW09952 pep chromosome:LupAngTanjil_v1.0:LG06:12909565:12910447:1 gene:TanjilG_32101 transcript:OIW09952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQPQLLSKIAMGNGHGEDSPYFDGWKAYDENPYHPTMNPNGVIQMGLAENQLTSDLVEDWIMSNPEASICTLEGVHNFKAMANFQDYHGLSEFRNAVAKFMSRTRGNRVTFDPDRIVMSGGATGAHEVTAFCLADPGDAFLVPTPYYAG >OIW08913 pep chromosome:LupAngTanjil_v1.0:LG06:32608127:32609671:-1 gene:TanjilG_05889 transcript:OIW08913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPVIPCSVSNVSLIPGIAFPTRMNNSLTTLGLSKSSVKSVSSTQRCLLPSFVASGVFPKNERRFSFHKKSRTSISATGTEVAVEEPGSTDAGEVSGETPSDDVGTSEDSSLKSDAKSAPAKTNRSRPASARRSDMPPVKNEDLIPGATFAGKVKSIQPFGAFIDFGAFTDGLVHVSMLSDDYVKDVSTVVSVGQEVKVKLIEVNTETRRISLSMRENADTGKQRTEASTNAEKGGPGKRNTSKPGPRKDGGRKSSKFVIGQDLQGTVKNMTRSGAFISLPDGEEGFLPISEEPDDGFGNVMGNTSLEVGQEVSVRVLRISRGQATLTMKKAEDVPKVDSVVGQGVVHVATNPFLLAFRKNKDIAEFLDEREIIQKTVEKSSTAETLEVTKGTVKEDETVSDVPDAKDGPESTENLVDDAPSSVKHTDADDISEEHAEASAFDDSSTGIVDGEKASIGNLSSPIAAIDDAAEKETKEVAPGSLAPEEDLSTVNPIIEEATQTDVTTSSLETIVS >OIW10349 pep chromosome:LupAngTanjil_v1.0:LG06:9055783:9058800:-1 gene:TanjilG_28100 transcript:OIW10349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHIEELKGGEVGKEGGEGGKISRVVASDAKRVLVGAGARALFYPTLLYNVVRNKVQAEFRWWDKVDELELSLPEVFSGFTSLAEKEMLIIKVNKTEIHISAVPFPVDVPQLKELGVRGVITLNESYETLVPTELYYAHGIDHLVIPTRDYCFAPSLRDICHAVNFIHANALSGRTTYVHCKAGRGRSTTIVVCYLVHHKLMTPDAAYAYVKSIRPRVLLASSQWQAVQEYYYHLMVRRAIGCAPTANLLVKAFEAQAAPQDLVTFDDSSVVMVTDSDLEGYDPSIQSGVKASDIWEDLSVVYRARVAGQAALARISCLWLRCGTNQKISAEELSRESSCTIRTNHFVDIHVY >OIW09447 pep chromosome:LupAngTanjil_v1.0:LG06:27401111:27403726:-1 gene:TanjilG_31166 transcript:OIW09447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSRMCFNPIYNKKGILHHSINATTSTEERFMECKDRNNRSAIMLSHSFLPSMSAKKLRDAAEKKFETT >OIW10903 pep chromosome:LupAngTanjil_v1.0:LG06:2142791:2144582:-1 gene:TanjilG_27849 transcript:OIW10903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFHVTDQAGKKLTDQNLMLHIQKALCAPRSRGQGNPEVCKEPKSQQNVPKENTALEITGMDRPGLLSEISAVLVDLGCHVTSAMAWTHNDRAACIIYVEDAIKPGPINDPTRLARVEEQLETVVEAHRGKGERKSVRLTTFAAGRTHPERRLHQLMYADRDYESCRACHGDSSGEHKKGCDGTHVSVTKCEDKGYWAVQVRSRDRPKLLFDTVCVLTDMQYIVFHAAISSKNSIADQEYFIRHKGSNSTLDNKSERDKLILCLISAIERRVSHVRHVTPTIKGSRVDIRTDNRMGLLSNITRVFRENGLSISRVEFGTDGEKAVGTFFVTDSSGEEVNPSIAELVRQVCGGTVVIDHKSPHRVAPLSTKVDKESKIEAEPRFSLGSMLWSQLERLSSNFGPIKS >OIW09973 pep chromosome:LupAngTanjil_v1.0:LG06:11649039:11655174:-1 gene:TanjilG_32713 transcript:OIW09973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRNFIRLRALRSRSCNRVPSRFASSSSIATKQSSPGLDGLLGWLTGDRSSSVPPLDFPLSSVNLPPTLPDYVEPAKTIITTLPNGVKLASQASPAPGASIGLYVNCGSIYETPLTFGATHLLERMAFKSTRNRSHFRVVREVEAIGGNVQASASREQMGYTFDALKTYVPEMVELLVDSVRNPAFLDWEVNEQLLKVKADIGEASKNPQDLLLEAIHSAGFSGALANPLLASESAINGLNGTILEEFVAENYTAPRIVLAASGVEHDELLSIAEPLLSDLPSGPYPEEPKSVYTGGDYRCQTESGTTHFALAFELPGGWHNLKDAMVSTVLQMLLGGGGSFSAGGPGKGMYSRLYLNVLNNYPSVHSISAFNNIYNNTGIFGIQVSTGSDFVSEAIDIAANELLAVATSGKVDQVQLDRAKHATKSAILMNLESRMVVSEDIGRQVLTYGERKPSEDFLKAVDGVTLKDITSLSQKLISSPLTMASYGDVIYVPSYDSVSRKFSSK >OIW10947 pep chromosome:LupAngTanjil_v1.0:LG06:792323:798744:-1 gene:TanjilG_22754 transcript:OIW10947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESVLASRVDALRLISSAIPSTSNHHHWTVFRCFHRSSVTFPRLRLSPLLSSNTLTANSSPKSEVYSVGDFMTKKEKLIVVKPTTSVDEALELLVEHRITGFPVIDDDNWNLVGVVSDYDLLALDSISGNGRKDSSMFPEVDSTWKTFNEIQQLLSKTNGRLIGELMTTSPMVVRETTNLEDAARLLLETKFRRLPVVDAEGRLVGILTRGNVTFNEIQQLLSKTNGRLIGELMTTSPMVVRETTNLEDAARLLLETKFRRLPVVDAEGRLVGILTRGNVVGAALQIKRAIQKK >OIW10558 pep chromosome:LupAngTanjil_v1.0:LG06:5225179:5228986:1 gene:TanjilG_15930 transcript:OIW10558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEESVVVSNDFALNNNKENGVVSASSNDPNAISSVIPGWFSEISPMWPGEAHSLKVEKILFQGKSEYQNVTVFQSSTYGKVLVLDGVIQLTERDECAYQEMITHLPLCSIPNPKKVLVIGGGDGGVLREVARHSSVEKIDICEIDKMVIDVSKQFFPDVAVGYEDKRVTLHVGDGVAFLKAVPEGTYDAVIVDSSDPIGPAKELFEKPFFESVARALRPGGVVCTQAESIWLHMHIIEDIVENCRQIFKGSVNYAWTTVPTYPSGMIGFMLCSTEGPVVDFKHPVNPIDENDSQHSASPLKFYNSELHTAAFSLPSFAKKAIGSKTN >OIW09070 pep chromosome:LupAngTanjil_v1.0:LG06:31292357:31295102:-1 gene:TanjilG_16297 transcript:OIW09070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQKSGSEEVLSVELSAPSGWTKLFFPKSSGTPKKSEIVFIAPTGEEISSKRQLERYLKAHDGNPVISEFDWGTGETPRRSARISEKVKSSPPVDSGPPKKRSRKSSGSKKDNKETESAIEEGKEKVATEEPKAAEEPKDDTKGNEGNDNSGGKQLENGDKTQEIEQAEKPDEDMEEAYLNDTNNDDKIKSDTEENVIAEKSEGGEEAQKQDVASIEKVAEEPSDAVITEKSEVAPLAELEKENVIAEKSQGGEEAQKHDVASIEEVAGEPSDAVITEQSEADPLTEPEKENVIAEKSHGGEEAKKQETASVAESTEKVAGEPSNAVVTEKSVLAPPTEPEKENGAVEKKQDEPDAVILDSNGEAVKENPNVVPPAAETNAKQDIPVNDGKNTILADNGNFS >OIW10908 pep chromosome:LupAngTanjil_v1.0:LG06:2111931:2115366:1 gene:TanjilG_27854 transcript:OIW10908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEYSNRKDLIEKENQREYSGREGKGKRLWKKVKYQLVEYHALPGYLRDNEYILGHYRSEWPMKQVLLSIFRIHNETLNVWTHLIGFFLFLALTIYTAMQVPKVVDLHSLQHLSGMLKSADLHKLQSELLTCLPSLPDFHRLKEELRTTFPSISSWHVKELLYNCLPERFFNCNHTDVCVLHTVKEDLVNIIAPLMIRPITRWPFFVFLGGAMFCLLASSACHLLYCHSERLSYIMLRLDYAGIAALISTSFYPPVYYSFMCHPFFCNLYLGFITLLGIATIIVSLLPVFQNPEYRTMRASLFFGMGFSGAAPILHKLFLFWGEPEVFHTTGYEILMGAFYGIGALVYATRIPERWMPGKFDIAGHSHQLFHVLVVAGAYTHYQAGLVYLKWRDLRGC >OIW10168 pep chromosome:LupAngTanjil_v1.0:LG06:7278648:7281625:1 gene:TanjilG_27919 transcript:OIW10168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQAQPEDKINESSHDTQNHETSNSSFKFNVQAPEFVPRSHTQMPISGYFYPCFQILGGSADSDWFYVGDQDPTTCLIPAPNVALPNSSKNTNSLTPDLQQKIVKQVEYQFSDMSLLANESFQKQINKDPEGYVPITVVASTKKIKSLISNIHLLTQAIRSSSKLVLSADGKKVKRKLPFTEREKEYLQSRTVVAENLPDDHSHQNLQKIFSVVGSVKTIRICHPQESNSSRPKGDIFISNKLHALVEYETLDIAEKAAEKLNDERNWRKGMRVRLLLRCSPKSVLKSRKSDFDGYLDDEDILNSESAEDSSHPNNTESNAETNVEENSVGTKKGWARGRGKGRGRTQSHVGHGLLAPPSQQNSLISCEALTKPNAKGPRMPDGTRGFTMGRGKPISSPALASSPQE >OIW10589 pep chromosome:LupAngTanjil_v1.0:LG06:4990083:4991882:-1 gene:TanjilG_15961 transcript:OIW10589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVFVKIILIFHLVVIPNCLAFTPSDWFNFIGFGSKEGQKPQPLLKDDPGEPLVLLRDEQENPGTADPAMPTKAGLKEGFYKQSCPKAEKIVADMLVETFKTNPNAVSNVVRLLFHDCFVGGCDASLLLDFNPSGDPVEKSSGFNGLLLKGSDLIDDIKAKLEQECPQTVSCADTIAFATNEALILGGLPRQRSLGGRRDALLSLASMVEDNNLPAPNWSLEKMIETFNKKGFNEEEMVILLGAHSIGSAHCDFFMDRAYNYKETNNPDPNLTPGVVDEIKKVCVDAYTPKFRNPPMDFDETPTVLDNLFFKNIVEKNKTLLVTDSYLLNDPRTAPTVQNMAADPNLFHKRFVEVMNKLNTLNVLTGNDGEVRKICRSTN >OIW11043 pep chromosome:LupAngTanjil_v1.0:LG06:1563925:1566520:1 gene:TanjilG_22850 transcript:OIW11043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLVVDNDYLKQINKARRHLRALISTRNCAPLMLRLAWHDAGTYDDKTRTGGPNGSIRNVQELNHSANKGLQKAVEFCEEVKIKHPKVSYADLYQLAGIVAVEVTGGPTIDFIPGRKDSLDSPPEGRLPDAKQGASHLREIFYRMGLTDKDIVALSGGHTLGKAHLERSGFDGQWTRDPLKFDNSYFVELLKADSKDLLKLPTDKALVEDPKFRQYVELYATDEDVFLEDYAVSHKKLSQLGFILKPVAETRSTLAQGVIAIVIATTVVILGYLLEVNRETE >OIW10844 pep chromosome:LupAngTanjil_v1.0:LG06:2597226:2599758:-1 gene:TanjilG_27790 transcript:OIW10844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIVRRLSSKVVGSCSRNTYSCFNKSVDSNSSSLTHGIHVFQCPDAVGIVAKLSDCIATRGGNIIAADVFVPHNKHVFYSRTDFVFDPVKWPRLQMDQDFLNLSITFNAMRSVVRVPALDPKYKIAVLASKQNHCLVDTLHGWQDGKLPVDITCVISNHDKDSKTNVMPFLERHGIPYHHLSITADNKREGEILELVKDTDLLVLARYMQILSSNFLRSYGKDIINIHHGLLPSFKGGHPAKQAFDAGVKLIGATSHFVTEGLDAGPIIEQMVERVSHRENLQSFVQKSENLEKQCLSKAIRSYCELRVLPFEENKTVVF >OIW09678 pep chromosome:LupAngTanjil_v1.0:LG06:20370684:20374140:1 gene:TanjilG_06484 transcript:OIW09678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHQPLLNSHQHDELEETAYDSSEKVIIVGDDEFDDDYNWSRTPPFSWKKLWLFMGPGFLMSIAFLDPGNLEGDLQAGAIAGYSLLWLLITATLMGLLIQLLSARLGVTTGRHLAEHCREEYPTWARLVLWVMAEIALIGSDIQEVIGSAIAIRILTNGAVPLWAGVVITASDCFVFLFLENYGVRKLEACFALLIGIMAISFAWMFGEAKPNGKELLLGIFVPKLSSKTIHQAVGVVGCIIMPHNVFLHSALVQSRDVDRSKKGRVQEAINYYSIESTLALVVSLVINIFVTTVFANGFYGTDLAQNIGLVNAGQYLQEKYGGGLLPILYIWGIGLLAAGQSSTLTGTYAGQFIMGGFLNLKLKKWLRALITRSCSILPTMIIALTFDTSEDALDVLNDWLNVLLSVQIPFALIPLLCLVSKEQIMGTFKIGPVLKIISWLVAALLIVINGYLLVEFFSAEVNGAVVATILCIVTAAYVAFIIYLAARAITFSSWKSVPQEKTESSDLKTVI >OIW09820 pep chromosome:LupAngTanjil_v1.0:LG06:14397265:14402063:-1 gene:TanjilG_32258 transcript:OIW09820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDLFAWLISFFILIALLVILIYQLMCLADLEFDYINPYDSSSRINKVVLPEFITQGVLCFFYLVTGHWIMSLFCAPYLYYNVRLYRQGKHLVDVTEIFNLLPQEKKQRLIKLFYLVFLLFLSIFWMIYTSLDDHDD >OIW10008 pep chromosome:LupAngTanjil_v1.0:LG06:10890105:10894339:-1 gene:TanjilG_32748 transcript:OIW10008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLVAFMLLNVQHAFVSCVLTSKLQSKIAKVNQKGPYLGLIIPNSFELGPLLQNPGYTPSHTIIDFSGRRFRFGAIGHKPVILVMTGLSVINAAITTQLLLSFFNVKGVVHYGIAGNANPSLNIGDVTIPKHWAHLALWSWQRYGQGPEDTLPLENNGDYTREVGYLKFADFTSNLSIGSSFDNQLNNIWYQPEEIFPIDGAPEERQHALWVPVDSKYYHIAQKLKDLKLENCINSTTCLKTIPKVELVDRGTSASLYLDNAAYRTFIHEKFHVSPVDMESASVALISLQQRVPFIAIRALSDLAGGGSEQSNEADTFSSLAATNSVTVVIEFVKLLPE >OIW10753 pep chromosome:LupAngTanjil_v1.0:LG06:3345815:3346886:-1 gene:TanjilG_27699 transcript:OIW10753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKASSDAKPADNLLKRKGAGTGRKQKKKAAKDPNKPKRPPSAFFVFMAEFREQFKKENPNNKSVAAVGKACGSKWKAMTDADKAPYIAKAEKKKEEYEKTLRAYTTGLATGKDGEESDKSKSEVNDDDEDEDDE >OIW09792 pep chromosome:LupAngTanjil_v1.0:LG06:15177878:15178288:-1 gene:TanjilG_32230 transcript:OIW09792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSSRSSTLALFLSINLLFFVLSSGCYTCTQPKQDPIPIPNPFPFPNPNAKSCPRDALKLGVCANVLNTPIGALVGSPLNHPCCSILEGLLDLQVAVCLCTAIKANILGININIPISLSLLLNFCDKTPPSDFECS >OIW09640 pep chromosome:LupAngTanjil_v1.0:LG06:21734309:21740895:-1 gene:TanjilG_28239 transcript:OIW09640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSYHQINAPLIQNGEASDSYRTSPELENSPENEDSPIEQVALTVPVTDDPSLPVFTFRTWILGTLACVLLSFLNQFFWYRREPLSMTSISAQIAVMPLGHLMASTVTKRVFLKGTKWEFTLNPGNFNVKEHVLITIFANSGAASVYAIHFVSIVKVFYRRELSIIVAFFVVITTHLLGFCWAGILRRYLVEPAPMWWPQNLVQVSLFRALHEKEERSKGGLTRNQFFLIAFICSFAYYVFPGYLFPMLTSLSWICWMFPTSVIAQQLGSGLHGLGVGVVGLDWSSVCAYLGSPLASPWFATVNVAIGFAIFIYVITPIAYWFNIYKAKRFPIFSDDLFMSNGHKYNISDIIDSNFHLDLEAYEREGPLYLSINFAMAYGFGRASAVGAMKMLLLLSDKDVTGSNSENSLSTCRIRLHASTLRRLSKSAFQTRKMDIHTKLMRKYYKHVPDWWFICILLFNIIAIMFICEYYNDTLQLPWWGVLLACGVAISFTLPIGVIRATTNQAPALNVLTEYIIGYIYPGYPIATILFKVYGNGSLKQGVFFLQDFKLGHYMKIPPRAMFIAQILGTTLSALVHLGTAWWLMSTIPNICDREFLPTGSPWTCPSDHVFYDSSVIWGLIGPRRIFGDLGHYSAINWCFLAGAIAPFLVWLAHKAFPEKQWIRLITMPILLAAVAEMPPGTPVNYTSWVLVAFASGFVAFRYYRGWWSRHNYLLSGGLDAGLAFMGVLLYLCLGMQNINLNWWGSDTDGCPLASCPSAQGIIVEGCPLY >OIW08905 pep chromosome:LupAngTanjil_v1.0:LG06:32667909:32672548:-1 gene:TanjilG_05881 transcript:OIW08905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVVEREREREMRRSSSAVHHHRQSSDSGRSFQPSTSAQEFGARSSSLRKIDDDRVFTSGLLDLHSFDTEMPNFLTNGTVGGQSFDDTEPIFSSNKLVNRSRGLPENHVLKSFSTDKERTNNVAKIKVVVRKRPLNKKETAKKEEDIITIDSNYLMVLERKLKVDLTEYVEKHEFVFDAVLNEDVSNDEVYAETVEPIVPLIFQRTKATCFAYGQTGSGKTYTMQPLPLKASEDILGFMQHTYQNKGFQLFVSFFEIYGGKLFDLLNDRKKLCMREDGKQQVCIVGLQEYRVFKVQTIKEFIEKGNATRSTGTTGANEESSRSHAILQLCIKRSADGTETKPARLVGKLSFIDLAGSERGADTTDNDKQTRIEGAEINKSLLALKECIRALDSDQGHIPFRGSKLTEVLRDSFVGDSRTVMISCISPSSGSSEHTLNTLRYADRVKSLSKGNSSRRDPLSSSNLRDSTVLPGPSTQSHDDTFDDTFDDEIMYVSSDKNRFGWSKQPERESSPPIAVDRVPSSRMGSNLASSVSSKRVDTNQLSSLEEKRKIDSCVKHVDLPYFEANNSDPDDDLNILLKEEEDLVTAHRRQVEETMDIVREEMNLLVEADQPGNQLDDYVSKLNIILSQKAARIFQLQTQLAQFQRRLNEYNVLVSSGN >OIW09439 pep chromosome:LupAngTanjil_v1.0:LG06:27570961:27571509:1 gene:TanjilG_07824 transcript:OIW09439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGEREEGEWRGKAEWRVIGKGHCRGEKKSSIGNPLPHRASLKSHGSFIPRGYYRNLQSTCSTFFFTNFPDDFGVAEMWAIFQKYGSVGDVMIPKKRDRRGKRFGFVRFKQSGDDEFLEKALMNLWIGNFKVGLNRPRFERQGGQKLGGRGDQHHIRRKEEYGVHEKSVGKSKWSIPPGKKL >OIW10078 pep chromosome:LupAngTanjil_v1.0:LG06:10148274:10151946:1 gene:TanjilG_32818 transcript:OIW10078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERDFMGLSSKEPLAVDKEEINDESGIVQSYPSRLHAGFVKNSAAQWLFSNKVSAVPHLMSFKAYQDDKAKKMVTNISADAFDSSKKHSYGEPLKSFNHDAQGGFHFSLTPYPVQHDVNSVNRPHDVKMFSVSNQAVTGNPFLKSHFATAGPNMNGVNVKQPLFGGIPVTASHSVLPTIGSIAAMTESCNGVKPSAPSAQLTIFYGGTVNVFDDISAEKAQAIMLMAGNGFSAVSNTEQSKVQAPGSKLTAGDCVPVSQAASAAPSSGLSSPLSVSSHTGAQSGSGSTSTDEFLTAKPTGATTTPVSNVEPPKVVNVTTMLTSAVPQARKASLARFLEKRKERVMSAAPYKKPEEFSTAENNGANFHAITASNTLPPKQ >OIW10656 pep chromosome:LupAngTanjil_v1.0:LG06:4268544:4270405:-1 gene:TanjilG_16028 transcript:OIW10656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEPKVPSRSQDSSIPLIARLDHLEFIMKYLERKQRSGSNVPADKPPLDLAKKENYLTLLDRVACLEHRLYQLFLEMDSNSSSLHLSHVSTETSGESSSSQGSKGETFYSFPTFNNLPNNRTMELQEKSETVQHQMKKSSPPKKQAVKNKPKKSNEQKHKGGKKSKPPIAWPHLKLLGC >OIW10576 pep chromosome:LupAngTanjil_v1.0:LG06:5116657:5118863:1 gene:TanjilG_15948 transcript:OIW10576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGGNNGNPVLPVFLDETQFRYQNTANQLQLFGNLQAGCGVDPVNYFGNEHINSMSQPNKQSSEMENISKQQKLQISLNYNICQDDANRPGIIPNRNPVSTGLRLSYDDDEHNSSVTSSGSMSATPSIMLSLGDNIRAELDRQQDELDQYIKLQKEQLSKGVRDIKQKHMATLLTSIEKGVCKKLREKDVEIENMNRKNRELAERIKQVAIEAQNWHYKAKYNESVVNVLRNNLQLAISQGVEQGKEGFGDSEVDDAASYIDPSNFLSIPVAPMKSTSKSCQGMENMSCRACRAKEVSMLLMPCRHLCLCKDCDGFVNVCPVCQLIKTGSVEVYLS >OIW09917 pep chromosome:LupAngTanjil_v1.0:LG06:12291855:12296090:-1 gene:TanjilG_32066 transcript:OIW09917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVKTVLVTGGAGYIGSHTVLQLLLGGYKVVVVDNLDNSSETAIKRVKELAGKSKSNISFYKNCDKPTGFNQYDVTVRYPEHQKLDLRDRAALEKVFSSKKFDAVIHFAGLKAVGESVHKPLVYYDNNLIGTIILFEVMAAHGCKKLVFSSSATVYGWPKEVPCTEEFPLSAANPYGRTKLIIEEICRDIYNAESEWKIILLRYFNPVGAHPSGSIGEDPRGIPNNLMPFVQQVAVGRLPTLKVFGTDYKTRDGTGIRDYIHVVDLADGHIAALNKLDDPNIGCEVYNLGTGKGTSVLEMVKAFEQASEKKIPLVMVGRRPGDAEIVYASTGKAAKELNWKAKYGIDEMCRDQWNWASKNPYGYGSAENSSKKR >OIW10574 pep chromosome:LupAngTanjil_v1.0:LG06:5133848:5144439:1 gene:TanjilG_15946 transcript:OIW10574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSNEFRFFLSCDINLPVTFRIDRLEGNLSFPNSSPNSENNVSTESGMAELYVECALYIDGAPFGLPTRTRLKSTGPSYCWNELITLTTKYRDLTAQSQLTFTVWDVSHGERLIGGATILLFNNKKQLKTGKQKLRLWAGKEADGTFPTSTPGKVPRHERGELERLEKLVNKYEQGQIQRVDWLDRLTFKTLERIKERESLKNGSSHLYLLVDFCSFEHRVVFQESGANFLFPSPIASTNDIVIVWDPEVGKINPSEHKQLKLARSLTRGVIDRDLKPSSNERKSIQRILKYPPTRTLSGDERQLLWKFRFSLMSEKRALTKFLRCVEWSDVQEAKQALELMGKWEMIDVCDALELLSPVFESEEVRAYAVSVLERADDEELQCYLLQLVQALRFERSDKSRLSHFLFQRALCNIELASFLRWYVAVELYDPAYAKRFYCTYEILEENMMKMAAGVNGEDDGFKLWQSLVRQTELTAQLCSISRDVRNVRGNTQKKIEKLRQLLSGLLSELTYFDEPIRSPLAPGVLITGIVPSESSIFKSALHPLRLTFRTADGGTCKIIFKKGDDLRQDQLVVQMVSLMDRLLKLENLDLHLTPYKVLATGQDEGMLEFIPSRSLAQILSEHRSIISYLQKFHPDDHGPFGITATCLETFIKSCAGYSVITYILGIGDRHLDNLLLREDGRLFHVDFGFILGRDPKPFPPPMKLCMEMVEAMGGAESQYYTRFKSYCCEAYNILRKSSNLILNLFYLMAGSNIPDIASDPEKGILKLQEKFRLDLDDEASIHFFQDLINESVSALFPQMVETIHRWAQYWR >OIW11161 pep chromosome:LupAngTanjil_v1.0:LG06:228025:235915:1 gene:TanjilG_22968 transcript:OIW11161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPLLTASTTTLAHIKQIHSLRNPDTNFSNKLLRQFSRGPKPENTLFLYKKLRTDGSTLDRFSFPPLLKAVAKVSALNYGLEIHGLTSKLGFIADPFIQTALIAMYAACGRIIDARLLFDNMSHKDVVAWNIMIDGYCRSGHYDHVLKLYEEMDNSGMEPDAIILRTVLSACGRAGNLSYGKVIHEFILDNGLSADSYLQSALVNMYANCGVIDLAREFYDKLSSKHLVVSTAMLSGYAKLGMVKDARFIFDQMVEKDMVCWSAMISGYADSDQPHEALNLFNEMQRQSIAPDQITMLSVISACAHVGVLTEAKWIHTYVDKNGFGRELSVNNALIDMYAKCGNLVRAREVFQNMPRKNVVSWSSMINAFAMHGDADRAINLFHRMKEQNIEPNGVTFIGVLYACSHAGLVEEGHKFFSSMINEHGISPKLEHYGCMVDLYCRAKLLRKAIELIETMPFAPNVIIWGSLMSACRVHGEDDLGEFAAKRLLDLEPDHDGAFVVLSNIYAKERRWDDVGLIRKLMRHKGISKERAYSRIEMDNEVHVFFMADRYHKQSDEIYEKLDEVVNQLKLVGADPFSKKDWYDIKAPSLFQVKNVGKTLVSRTQGTRIASEGLKHRVFEVSLADLQGDEDHAFRKIRLRAEDVQGKNVLTNFWGMDFTTDKLRSLVRKWQTLIEAHVDVKTTDNYTLRLFCIGFTKRRANQIKRTSYAQSSQIRQIRRKMREIIINHATSVDLKELVKKFIPESIGKEIEKATCGIYPLQNVFIRKVKILKAPKFDLGKLMEVHGDYSEDVGTKVDRPADETLAEPAAEIVGA >OIW10478 pep chromosome:LupAngTanjil_v1.0:LG06:6021119:6021955:-1 gene:TanjilG_00416 transcript:OIW10478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIPSSFNFLTEFGCGYVLLGCFSTFLNSLGMFLTCLFCFKVFRFGWYSKSSLRFQSDFGGIPRIRLCLENGVWQVSGLKIASLENARDDPLILKSSTKNVNSKSDMSSSVAKGKMNLNWEEGFEGKDETHESEREVVGEDEVLDVMALRKLVKTERQKADAAFAELEKERTAAASSAEEAMAMILRVQREKCSAEIQANQFQRMAEQKLDYDQEVIESLEWTITQHESQRSYLEEQIGIYREELKQYLSEDEINQLEFDISRDGSVVSSSETESQTL >OIW10100 pep chromosome:LupAngTanjil_v1.0:LG06:9861167:9862815:-1 gene:TanjilG_21937 transcript:OIW10100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLQVEQNHLPKESNRDWVRALMNSSFGHCDDHHDLKSNEKNVFCIDCEVRMCRHCKEAHSLHRSFQIYKYSYQDVFRHSELQKYFDCSKIQTYISNNERIVHLKPRPSITKSKAADLSPESKSRETNLPIRPKAGGTCKECGKHLQDERNRFCSITCKILLHPMEPQNHYSQRISSDCTKAPKPDPIDFTLNDNQNSEPESSISEAQPCGLIEVVNFRKRPRKATPLHRPLFVFTS >OIW09686 pep chromosome:LupAngTanjil_v1.0:LG06:19910049:19921731:-1 gene:TanjilG_06492 transcript:OIW09686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNSSKDDSATSGDASPGDAQPSNSKLYSSGEKVLAYHGPRIYEAKALSLTSFYFFISSLFQFPVSLNFHVQKAEIRKSEWRYFVHYLGWSKNWDEWVGEDRLMKPTEENVKKQQALDKKQNAEKNVKSGRSSQPKAKTSIDAKVDKEDIKNIGLPENTEDIRPLFVGSDFRDDNSRGCWKYLDLKPQKEPNKGLTKDPQGSESQTALKGSVTVEKLVKIQIPATLKKQLVDDWTFVSQQDKLVKLPRSPTIDEILTKYLEYKTKKDSTVTDSIGESLKGIRRYFDRALPMMLLYTKERKQYTETIVDGVSPSTIYGAEHLLRLFGMFIAFAASKYMHTPMF >OIW09078 pep chromosome:LupAngTanjil_v1.0:LG06:31357729:31360929:1 gene:TanjilG_16305 transcript:OIW09078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAVLQTRGLLSLPTKPRNTVFHPSHGLKHRFFNSKPKTFGGVSLSTNGFQKVNTFLPSKNNHVFGLKDRNLFICRAEAAASADGQPLLDEKEIEKPKILGIEVTTLKKIVPLGLMFFCILFNYTILRDTKDVLVITAKGSSAEIIPFLKTWVNLPMAIGFMLLYTKLSNALSKQALFYSVILPFIAFFGAFGFVLYPLSSYIHPEALADKLLNILGPRFLGPLAILRIWSFCLFYVMAELWGSVVVSVLFWGFANQITTIDEAKRFYPLFGLGANVALVFSGRTVKYFSNMRQHLGPGVDGWAISLKAMMSIVVGMGLAICFLYWWVNNYVPLPTRSKKKKEKPKMGTMESLKFLVSSRYIRDLATLVVAYGISINLVEVTWKSKLKAQFPSPNEYSSFMGDFSTATGIVTFVMMLLSQFIFDKYGWGVAAKITPSVLLLTGVGFFSLILFGGPVAPIIAKFGMTPLLAAVYVGALQNIFSKSAKYSLFDPCKEMAYIPLDEDTKVKGKAAIDVVCNPLGKSGGALIQQFMILTFGSLANSTPYLGGILLVIVLAWLGAAKSLDTQFTELRQEEELEKEMERAASLKIPVVAENKEGGNDSPASVSPLNPAAGGSSATNI >OIW09771 pep chromosome:LupAngTanjil_v1.0:LG06:15803757:15807225:1 gene:TanjilG_06162 transcript:OIW09771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMLADAVVGALVGELLSAVLEMKDKAVNFRPTLERLEFTLKSLEPVINKIENLNRQLDRPKEETESLINQMKKGKELVLECSKVRWWKCCYMANYQDELQALDESILLFFQLDMQGHIRRDGAETLVEVKMIKTEIKKLNFGTRNDRMELKGLCSPPEPPEFIVGLDVPMRELKLRLLQDQVGVSVVNVTGSGGSGKTTLAKMICWDDQVRGIFNKNIFFITFAKTPKLNSIVQKLFQHTDYQVPELESDEDAVNQLENLLKQIGKSPILLVLDDVWPGSESLIDNFAFQITGYKILVTSRFTIGRFGPPYVLKPLGEEDAIKLFHHSASLTHISSDVPDDVVKEIVRGCSGSPLALRVSGRSLSHQNPVIWHNRARELSKGHSILDSSNDVLVSLQKNFDVLDPKVIECFRDLSLFPEDQRIPASALVDMWAELLGYDDESAMEKIYQLVNLNMADIVVTRKVASGTIDCNYHYVTQHDLLRDLAIRQTSQKPVALRDRVIIDSNDNSLPKWWTQENECTIKASILSISTDEKFTSNLQPTEVEVLVLNLRAKKFALPLFVKKMSKLNVLIITNYDFYHAELENFELLHYLSNLKRIRLEKVSIPFFSNTGSQLKNLQKLSLFMCNVNEAFKDSTVQVSDMLPNLVEMNFDYCNMVELPVGISNMVFLMKLSITNCHKLSTLPNGIGNLVNLESLWLSSCTSLEALPDSVAKLDSLKLLDISGCISLYALPEKMGELRNLEKLNVRGSKLSNLGPLIMDLEGLRHVVCDEETEELLEPFTNILSSLKLEVVQSDDNLNWLF >OIW10102 pep chromosome:LupAngTanjil_v1.0:LG06:9828522:9834044:-1 gene:TanjilG_21939 transcript:OIW10102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTLVSSAGGMLAMLNEPHLSLKLHALSNLNNLVDTFWPEISTSVPLIESLYEDEEFDQHQRQLAALLVSKVFYYLGELNDSLSYALGAGPLFDVSEDSDYVHTLLAKAIDEYASLKSKAAESTDESVKVDPRLEAIVERMLDKCIVDGKYHQAMGIAIECRRLDKLEEAITRSDNVQGTLSYCIDVSHSFVNLREYRQEVLRLLVKVFQELHSPDYLSICQCLMFLDEPEGVASILEKLLRSESKDDALLAFQIAFDLVENEHQAFLLNVRDRLTSPKSQPSESAQPKPSDADSAQSASGADDVQMTDGDSVSVVKVPEDPSEITYAERLTKIKGILSGETSIQLTLQFLYSHNKSDLLILKTIKQSVEMRNSVCHSATIYANAIMHAGTTVDTFLRENLDWLSRATNWAKFSATAGLGVIHRGHLQQGRSLMAPYLPQGGAGGGGSPYSEGGALYALGLIHANHGEGIKQFLRDSLRSTTVEVIQHGACLGLGLASLGTADEEVYEEIKNVLYTDSAVAGEAAGISMGLLMVGTGSDKANEMLTYAHETQHEKIIRQVGLALGIALTVYGREEEADTLIEQLTRDQDPILRYGGMYALALAYSGTANNKAIRQLLHFAVSDVSDDVRRTAVLALGFVLYSDPEQTPRIVSLLSESYNPHVRYGAALAVGISCAGTGLSEAISLLEPLTSDVVDFVRQGALMAMAMVLVQTSEASDSRVGTFRRQLEKIILDKHEDTMSKMGAILASGILDAGGRNVTIRLLSKTKHDKITAVVGLAVFSQFWYWYPLIYFISLSFSPTAFIGLNYDLKSPKFEFLSHAKPSLFEYPKPTTVPTTTSAVKLPTAVLSTSAKAKARAKKAEEQKANAEIPGSDSTSATPSAGKGKSSSEKDDDSMQVDSPREKKSEPEPSFEILTNPARVIPAQEKFVKFLQDSRYVPVKLAPSGFVLLKDLHPTEPEVLSISDTPSSAASAAAGGGSAAGLQSSAAAMAVDDEPQPPQPFEYTS >OIW09008 pep chromosome:LupAngTanjil_v1.0:LG06:31811213:31813381:1 gene:TanjilG_05984 transcript:OIW09008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKISYHSIHQPRCPICLKHCKFLESIREHILGPSCSDIFSEQGCQLCLKIFGSSGSRNEHMEFCRLPAPIPLGTTASPTIVPDFDCQDSCDENHVGMRPRAIAIDCEMVGGGSDGSLELCARVCLVDEDEKVIFHTYVQPQMPVTNYRYEITGLTEEHLRDAMPLKQVQQKILQILHNGESIGKVRLESSDGGKARLLVGHGLAHDLDCLKLSYPNHLLSYDIQTGTHDPYEDCVSVMRLYKRIRGQVHQDEGNRTSTSTASNNIIPESDPWGSKEVDNLTPDELYAMSNSNFKCWCLDLKPRSS >OIW11127 pep chromosome:LupAngTanjil_v1.0:LG06:419566:435008:1 gene:TanjilG_22934 transcript:OIW11127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLISNCSPSYATVAAAASATNRRPKFPSNSWMNTNAISPVLRLSQNDKFNVSRLALSRSNRGVKDRTISMALVGGALGQRGDVSTSSSTLAYDLIQGALVRWSSVMDRSLPAPPTAVFLHGILGCRKNWGSFARRLAQEFPTWQFLLVDMRCHGDSASIKKRGPHTVQSAALDVLKLVRELRITPRVLVGHSFGGKVALSMVDQAAKPLARPVKVWSLDATPGKVRAGGDGEDHPAELISFLSTLPKEVSSKRDVVKALIHQGFSNDVAQWVVTNLRPTSSTDSKLSSFTWAFDLMGIAEMYRSYEGTNLWKIVEDVPSGVHVNFLKAERSLHRWALEDLQRIHAAEELAAEEGAGVNMHVLEDAGHWVHYARRFYENLVPSYTLYEVECPDHSFRKFTDDGQYLISFSRNYQDLIVYRPRWLSFSCKDEDCDKHDLPSKAKRFESFFTQLYSVSLASCNERICKDFFLYMEGNQFGLFATSTAQIHDAPAVGGAIQGVPSIEKITFILLRLEDGVILDDKVFYNDFVNLTHNMGVFLYDDLLAIVSLRYQIIHILQIRDSGNLVDVRAIGEFCREDDQLFLNSNAQGMAFSDKNKQHQLPGGHIENNVHQGQPNPGSSFLSGIKQRLLSFIFQSIWNEERDDNLRIQTLRKKFYFHFQDYADLIIWKVQFLDRHHLLIKFGSVDGGVSRNADHHPAFVAVYNMDTTEIVSFYQNSADELYLLFEQFCDHFHATSRNSMHMNFISSHSNNVHALEQLRSIKDKASSSSQFVKKMLASLPFSCQSQSPSPYFDQSLFRFDDKLISATDRHRQSTDHPIKFILRRHPYSLKFKIKPGPEGGSMDGRAKNISSFIFHPILPLALSVQQTLFLHPSVVNIHFRR >OIW09289 pep chromosome:LupAngTanjil_v1.0:LG06:29144006:29148305:-1 gene:TanjilG_01260 transcript:OIW09289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYHNQNHDLSQQEFSLHHFTNQSNSTPNWLNNNTTTSTNTVSAAANSSAQWKAEILGHPLYEQLLSAHVGCLRIATPVDQLPRIDAQLAQSEDVVAKYSNTFGPNMLGAHKELDQFMSHYVLLLCSFKEQLQQHVRVHAMEAVMACWEIEQSLQTLTGVSPGEGTGATMSDDEDDQVESDANIFDVSLDGTDNMGFGPLVPTENERSLMERVRQELKHELKHGYKEKIVDIREEILRKRRAGKLPGDTTSVLKAWWQSHSKWPYPTEEDKARLVQETGLQLKQINNWFINQRKRNWHSNASTSTVLKSKRKR >OIW10227 pep chromosome:LupAngTanjil_v1.0:LG06:7891246:7891407:-1 gene:TanjilG_27978 transcript:OIW10227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQDYFTSNCDASIFEEYANKFLLGAIKTLSPRSKHFLTVFVVIYSRDNAGRW >OIW10736 pep chromosome:LupAngTanjil_v1.0:LG06:3606358:3606693:-1 gene:TanjilG_27682 transcript:OIW10736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQSCMMEEENQAGSACCFCFSIKKSVVTRNGKSSVVLSAENHVEWGKNDEILSDMSTFSAKEQEKRLKKALEEEKRASIEAERVVQWVKQESARIDTSTIDKIIFYENKE >OIW09756 pep chromosome:LupAngTanjil_v1.0:LG06:16421948:16422640:1 gene:TanjilG_18086 transcript:OIW09756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSMDSGSATKPPSNHVSGGRLPPQGQEYLQCPRCDSTNTKFCYYNNYNLSQPRHFCKSCRRYWTQGGTLRDIPVGGGSRKNAKRSRTLHNYNVSNSTSSSSTMTSSAMVVVPNQAGPMSMSIENLNVNVCSGEIRSFSSLLNNTQGSSASGFLALGGFGLGLEEIEFRVGRAGWSFPGTVAGAANFSGDVAASGGGAWQLEGGEASYVGGRDYFSWPGLAISTPENGLK >OIW09923 pep chromosome:LupAngTanjil_v1.0:LG06:12434681:12435952:-1 gene:TanjilG_32072 transcript:OIW09923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATTNFPILSLSKPPKFHNPSTSTFLSSSSFSQSKPNRELVSYKVHRKKTVIVCGLPLLVDPWAPTIDSQSIATQLFAFSLFPYIGFLYFITKSKTAPNLTLFGFYFLLAFVGATTKVHYGTSLSNVDWLHGGAESLLTITNLFIVLGLRMGLRKAGNAEQSTSTPNSELKEK >OIW09606 pep chromosome:LupAngTanjil_v1.0:LG06:22287042:22288463:-1 gene:TanjilG_28205 transcript:OIW09606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTSNDADYVAIDDSPSSPMENNNNVRKVSVLPLVFLIFYEVSGGPFGVEDTVHAAGPLLALLGFLVFPLIWSVPEALITAEMGTMFPENGGYVVWVSSALGPFWGFQQGWMKWLSGVIDNALYPVLFLDYLKSGFPALGGGVPRTVSSWGLTVFLTYLNYRGLHIVGWVAVLLGVFSLLPFVVMGLLSIPDLKPSRWYVTDLDNVDWNLYLNTLFWNLNYWDSISTLAGEVENPKKTLPKALFYALILVGVGYFFPLLIGTGAVPLDQKLWTDGYFSDIAMIVGGVWLRWWLQAAAAMSNMGMFVAEMSSDAFQLQGMAERGLLPEFFGKRSRYGTPLVGILFSASGVILLSWLSFQEIVAAENFLYCFGMILEFIAFIWLRIKQPNVHRPYKIPGGTAGAIIMCIPPTILIFVVMAFSTLKVVVISLIAMMIGLVLHPFLKFLERKRFTKFSVRPHLQHFDNEESTYSLVN >OIW10175 pep chromosome:LupAngTanjil_v1.0:LG06:7341806:7346351:-1 gene:TanjilG_27926 transcript:OIW10175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDFSSFAKDVFLVKGLKSSTLVWRLVVLTFAMVCGVYICSVCLKQIGTSSKVGFFDYNVIQKPCPEPNIEPWEIPYVHYPNPKTYSRAECACNPVRYFAILSMQRSGSGWFETFLNSHTNISSNGEIFSVKVRRSNMSTIAETLDQIYNLDWVSSASKNECTAAVGLKWMLNQGLMQNHEQIAKYFRLHGVSVIFLFRRNLLRRMISVLANEYDRNAKLLNGTHKSHTHSAKEAEILAKYKPKINATLLIQNLRQVDDTTTKALEYFKSTRHIILYYEDVVKNTTKLLDLQDFLKVPRMDLKSRQVKIHNGSLSSQVENWNDVNKALIGTPYKSFLHEDG >OIW09469 pep chromosome:LupAngTanjil_v1.0:LG06:26963274:26968986:1 gene:TanjilG_22743 transcript:OIW09469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLSTRVLPCALLLPALFFLPESPRWLAKMGMTEEFQTALQVLRGFDTDISFEVNEIKIGIGLLILQQLSGINAVLFYSNTIFQNAGISSSDVATFGVGAVQVLATCVTLWLADNAGRRILLLVSSGAMTLSLLVVSISFYVKDYVSEDSSLHGILSILSVVGVVVMVIAFSLGLGAIPWIIMSEILPVNIKSLAGSVATLANWLFSWLVTLTANMLFSKSSGGTFTIYTVVCALTVGFVAIWVPETKGKTLEEIQFLDILYYEFLYGVRPFEAKEHSDTYRRMVQVDIKFPPQPIVSSATKDLISQMLVKDSTQRLPLHKLLEHPWIVQNAEPSGVYRR >OIW10177 pep chromosome:LupAngTanjil_v1.0:LG06:7353824:7354798:1 gene:TanjilG_27928 transcript:OIW10177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSSTDNTEEVAYDLSPIMKVYKNGRVQRLAGEEVVPPSLDPTTNVESKDFVISKEEDISVRLFIPKTVVDDSSNQSQKLPLFVYFHGGAFCIETPFSPNYHNYLNNVVSKANAIGVSVHYRRAPEHPVPIAHEDSWLVLKWVASHVSRNGPDGWLNKHADFDKVFFAGDSAGANIAHYLAIRVGLEGLPGLKVEGIALVHPYFWGVERIGSESGREFAAKVDELWRFSSPTTSGSDDPLINPDKDPNLGKLGCERVLVCVAEKDILKDRGWYYKELLEKSEWNGVVDVIETKEEDHVFHMFKPTCEEALVLLNNVVSFIKKD >OIW10975 pep chromosome:LupAngTanjil_v1.0:LG06:1012228:1013497:-1 gene:TanjilG_22782 transcript:OIW10975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDREDNDSDAPEEFTAQQGIQLDEEIQKIQRENKARVVREGKERRRKWAQKLTPRPSKADKNSKDVASAEPQQEPNTTAGFLPDNIVQMLAAREKKVFVTDTNEDKDVKNTTASRKRKSKKSGLEPVILSEIGPPQCLHSALDFLRERKRSVPRSTSVLNNSSSALRLLSSSGVLGRK >OIW09850 pep chromosome:LupAngTanjil_v1.0:LG06:13451323:13454852:1 gene:TanjilG_15332 transcript:OIW09850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICASTNRIFFTLFGRNNITTTTTRLAAPLSSSFHSFSNIPNNHNQISLFSLSIKPKLGLSISKPKHFCSRKDHTMATPSNANSVYDFTVKDVKGNDVNLGAYKGKVLLIVNVASQCGLTNSNYTELNQLYEKYKGKGLEILAFPCNQFGEQEPGSNEQIVEFACTRFKAEFPIFDKVNVNGDNAAPIYKFLKSSKGGLFGDNIKWNFSKFLVDKNGNAVERYAPTTSPLSIEKDLQKLLDA >OIW10006 pep chromosome:LupAngTanjil_v1.0:LG06:10925358:10928982:1 gene:TanjilG_32746 transcript:OIW10006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIRKIDSMNNRFKEIQYFETDAVISIDDDVIFPWSCVEFAFDVWQSAPDTMVGFVPRFHWLDSSWATLI >OIW09632 pep chromosome:LupAngTanjil_v1.0:LG06:21834856:21835507:-1 gene:TanjilG_28231 transcript:OIW09632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAISTLPYAHQPLRSISLPTRTHPCSKRVEALLNHLKPHHPKSSSTICFEADTIQSDLILLAELYNCMEELFHSPLTQKTLVHYQNGKLVEEALCGSVTLLDACGTARDLLLSIKEHVETLQSAIRRRRGDSSIESSIATYESFRKKAKKEITKQLSAMKRMEQKIQCFSLDLEQKGPP >OIW09233 pep chromosome:LupAngTanjil_v1.0:LG06:29998369:30002083:1 gene:TanjilG_26446 transcript:OIW09233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDLLTDSFVIEVKKAQPSRQSDIEMGMQVPGSNSDLGLEAFNKQIHEADKQIDNLSMLLYNLKDANEESKAVTNASAMKGIKKRMEKDIDEVGRISYGVKTRIEAISRDNLNNRKNPGCEKGTGIDRARMNMTNALTKRFRDLMIEFQTLRQRIQDEYREVVERRVITVTGTRPDDETIDHLIETGNSEQIFQQAILETGRGQIVSTVEEIQERHDAVKEIEKKLLDLHQVYLDMAVLVDAQGEILDNIESQVNNAVDHVQRGTSALQTAKKLQKSSRKWMCIGIIILLIVIAIIVVGVIKPWKHK >OIW11178 pep chromosome:LupAngTanjil_v1.0:LG06:119849:120814:-1 gene:TanjilG_22985 transcript:OIW11178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSISDPPFHEAYKSLFDKIISNNEEINGLLNNEVMVECELPLINLKSLEESDPVAREECKSTIARASQQWGFFQVINHGISSEILKRLRCEQEKLFRKPFHDKTKEDEFFNFSAGSYRWGSPTATCITQLSWSEAFHIPLTDILSSNSSSSNSLRHIVGHWKMAQFITTPKF >OIW10083 pep chromosome:LupAngTanjil_v1.0:LG06:10010306:10015009:1 gene:TanjilG_21920 transcript:OIW10083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRGKFVMATSKTAMSSLTHLRHLTCAASASSSYPARLVPEPPNLIAWVTREGGYVHPAVKIAHSHHFNGLGLVANYQIPIGTDLIVLPDHIPLSFRSFESEDSVDSALAQLARQVPEELWAMKLGLKLLQERAKVGSFWWPYISNLPETYSVPIFFPGEDIKNLQYAPVLHQVNKRCRFLLDFEQEIKRAVVNLEPEKHPFGGLEVHASSLGWAMSAVSSRAFRLYGNKRPDGVHIDVPMMLPLIDMCNHSFSPNARIVQEQDADNMKMQVVVEMAIKEDEPLLLNYGRLSNDFFLLDYGFVIHSNPYDCIELKYNGALLDAASTAAGVSSSNFSAPAPWQELILSQLNLAGEAPDLKVTIGGQEIVEGRLLAAMRVLLASDMESAQNYDLNSLKSLSAEAPLGVATDIAVFRTLIALCVIALGHFPSEVTDDVSLLEQGVSESAELAIQFRIQKKSVIVDVMRDLSRRVKLLLSKETVTAEEDK >OIW09012 pep chromosome:LupAngTanjil_v1.0:LG06:31765035:31772026:-1 gene:TanjilG_05988 transcript:OIW09012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGGDEVIAAPAGPPNPLDWKFSQVFGERTAGEEVQEVDIISAIEFYKSGDYLATGDRGGRVVLFERTDTKDHGGSRRDSERMDYSISRHPEFRYKTEFQSHEPEFDYLKSLEIEEKINKIRWCQTANGALFLLSTNDKTIKFWKVQEKKVKKISEMNVDPLKGTGNGSIASSSSSAIHLANGGSQEGYLSNDFSFPPGGIPSLRLPTVSSHETSLMARCRRVYAHAHDYHINSISNNSDGETFISADDLRINLWNLEISNQSFNIVDVKPANMEDLTEVITSAEFHPTHCNTLAYSSSKGSIRLVDLRQSALCDSHAKLFEEQEAPGSRSFFTEIIASISDIKFGKGGRYILSRDYMTLKLWDINMDSGPVATFQVHEYLRPKLCDLYENDSIFDKFECCLSGDGLRVATGSYSNLFRVFGCAPGSAEATTLEASKNPMRRQVPTPSRPSRSLGNSITRVVRRGAETPGVDANGNSFDFTTKLLHLAWHPTENAIACAAANSLYMYYA >OIW08963 pep chromosome:LupAngTanjil_v1.0:LG06:32168510:32172661:1 gene:TanjilG_05939 transcript:OIW08963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHMNLDAYRFSISWSRILPNIQPFVTLFHWDLPQALEKEYGGFRSPKIIKHFRDYAAICFKEFGDRVKQWITLNEPWTYSQNGYALGNIAPGRCSKWVNPNCTGGDSGTEPYVVSHHQLLAHAAAVQVYKKKYQISQKGVIGITLVSQWFLPHSNNKSDKKAATRAIDFMFGWFMGPLTTGDYPKSMRSIVGKRLPKFNKLQAKLINGSFDFLGLNYYTTNYASNAPHLSNGMHNYVTDSLANLTTERNGIPIGPRAASPWLYVYPKGIEELLLYIKKKYNNPLIYITENGVDEFNDPTLSLKEALIDTFRIDYYYRHLYYLQSAIKNGVNVKGYFAWSLLDNFEWASGYTVRFGMNFVDYKNGKRYQKLSAEWFKNFLKRH >OIW09136 pep chromosome:LupAngTanjil_v1.0:LG06:30307608:30310987:1 gene:TanjilG_11274 transcript:OIW09136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEREKEKKQSKFKRICVFCGSSPGNKTSYKDAAIELGTELVSRNIDLVYGGGSIGLMGLISHAVYDGGGHVIGIIPNTLNARELTGETVGEVKAVADMHQRKAEMAKHSDAFIALPGGYGTLEELLEVITWAQLGIHDKPVGLLNVDGYYNTLLTFIDKAVEEGFISPTARHIIVSAPTAKELVKKMEEYFPQHERVASKLSWENEQQH >OIW10670 pep chromosome:LupAngTanjil_v1.0:LG06:4134684:4138064:1 gene:TanjilG_16042 transcript:OIW10670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHTRDSNVVQIITGGENWSSSVSGDQSVWATEDDYRFFNTNNDADSTPKRSRNSQEATPSSSNNRSKAIGKMFFKTKLCCKFRTGTCPYVTNCNFAHSIEELRRPPPNWQEIVAAHEEEKPVPPVEEFQIPSLSGYSGEVQRSYKGRHCKKFYTEEGCPYGDSCTFLHDENSRNRESVAISLGPGGYGGGSGGGDGGGAGSSGGGGGGSSNLKPSNWKTRICNKWEMTGYCPFGNKCHFAHGATELSTHGEFVEGENGDTTFAGTPDTKHGGLPSKTPADSVVASVIPVAHSDVYHIGVPSQRPSVVIQRPGQRAHQKWKGPDKISRIYGDWIDDIE >OIW10205 pep chromosome:LupAngTanjil_v1.0:LG06:7586257:7591938:-1 gene:TanjilG_27956 transcript:OIW10205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLITPLESRKQLVLASPVQMVLIGRVVKKCTLQLLHKRVQDKVPSHLHHALVSGEEIGDSGKHFISPSRTYCDNYSDIDSNSVSARHNIYNYNSVGSRSSESPSRIGSTCRVENVLSDSHYNILSSDLDVEPEGGTISNVRNLLQPELQEAVVQEERGYEVAERMKDKMNEDGFSGEYFSATDAHQSILVYFSSHCVSKGAICERTRLMRIKFYGSFDKPLGRYLCDDLFGGTSYCQSCKEPVEGHVLCFMHRQGNLTINVQRLPSVKLPGERDGKIWMWHRCLRCPHVDGVPPETPRVIMSDAAWGLSFGKFLELRFSNHSTANRVTSCGHSLQRDCLRFYGFGRMVAFFRYSPVDVLSVHLPPSVLEFGHIQEEWIRKEAGERFSRVEILYVEISDVLERLETKIASPSTGNELSDTFDILNHVLELKDMLQRERTDYHSLLQSAPETPQPGKVVLDILELNCLRRSLLIGSHVWDHRLNSLDSLIKRSLTSKVKTVSKLCAEVKELRVDSFHKDRSSDSGLEQNNPQPLKLCESDESHMLGEQDDTLEPCVSDAFSSYDLHGEFVGNKTLSPCFPPDESNLPEKIDSAWIGTDHHLSKVEPLHTYQPNSVLVGSVLRLNQNDNPPFRRLKQPVRVQSFDSALRVQKRMGKVWAPSSHLLQVRSFHATGDYRNVIKDPVSNVVETNIQMLPWETQKLKLILSSKPSFISSMSLIADGARLLIPETHNSDRVIAVYDNDYSSIISHALSSKEYEDGVSDKSDVHYGNWDARDRNREDSATSSFSAWASLDLDYINYGNYGSEAAAYSIGSLLKDSKQYLHLQVSFGDDSLGAGVTKTELDSFEEFAPQYFKYLMNSMNSGCPTCLAKILGIYQVIVMYPKGGKETKIDLMVMENLFFNRKISRVYDLKGSERSRYNSDTSGANKVMLDMNLLETLRTKPIYLGIRAKRRLERAVWNDTFFLASVKVMDYSLLVGVDDDRKELVVGIIDFVRQYTWDKHLETWVKKASGILGGLKKAAPTIVAPKQYKKRFRKAMTTYFLTLPDHWSSS >OIW09138 pep chromosome:LupAngTanjil_v1.0:LG06:30323227:30330952:1 gene:TanjilG_11276 transcript:OIW09138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTSVVAVASSSSSSSSSQNDAVSIDATSTMEGWLYLIRSNRFYLQFSRKRYFLLHGYHLRSFKSPPTSTNQDPVRSTIVDSSVRVMDNGRESINRKVFFIFTLYNTSNHDDQLKRAPDIVHDTVGCSQRRLQSFRLSGPSSGSRPNLVDWTLSSSDVVAPSPWTIFGCQNGLRLFKETKERDSYVKKWDDHPAIMAVGVVDGTSEAIFQTIMSLGPSRSEWDFCFYNGNVVEHLDGHTDIIHKQLYRDWLPWGMKRRDLLLRRYWRREDDGTYVILYHSVFHKKCPPWKGYVRACLKSGGYVISPANKGKQSVVKHMLAIDWKFWRSYLKPSLAHSITIRMLGRVAALHELFRAKLGNCSSSGYSSGDLIRNSSLRLKERDITSESDTQIQAGDEKTHDNSVGEVDQTQSEHANLVSLNDADDEFYDVPEPSDCDESEYGWMAECSHQRSQDGRHQKLSTAASLVKRLHDLAVHKKGYMDLQEMVREESISCSYGSTLPKDPTCTLPCSVTEADPSTFLIRGENYLEDRLKVKAKGTLMQMVAADWLRSDKREDDLGSRPGGIVQKYAAQGGPEFFFIVNIQVPGSTTYSLALYYMMTTPVEDSPLLESFIKGDDAFRNSRFKLIPYISTGSWIVKQSVGKKACLVGQALAMNYFQGTNYLELGVDIGSSSVARGVVSLVLGYLSHLVIEMAFLVQGNTREELPEFLLGTCRLNHLDASKSVLLKP >OIW09043 pep chromosome:LupAngTanjil_v1.0:LG06:31099140:31100393:-1 gene:TanjilG_16270 transcript:OIW09043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSLSPSYSTTLNLNHILSLNRVAPTRITVKCGYGFEPFSRSDWQSSCAILTSKVASQERNGGGSDHVPAVNGHKTAFTDLKLIPIGNVSVGENNKLLQPKPLSISDLSPAPMHGSSLRVAYQGAPGAYSEAAAGKAYPNCEAIPCDQFDVAFKAVELWIADRAVLPIENSLGGSIHRNYDLLLRHRLHIVGEVQLPVHHCLLALPGIRKEYLTRVISHPQALAQCEHSLTKLGLNVAREAVDDTAGAAEFVAANSLRDTAAIASSRAAELYGLNVLADGIQDDPSNVTRFVMLAREPIIPRTDRPFKTSIVFAHDKGTSVLFKVLSAFAFRNISLTKIESRPHRNRPIRLVDDENVGTAKHFEYLFYVDFEASMADVRAQNALAEVQEFTSFLRVLGSYPMDMTPWTPSSSIENIQ >OIW10850 pep chromosome:LupAngTanjil_v1.0:LG06:2551161:2551967:-1 gene:TanjilG_27796 transcript:OIW10850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEQNSYESDLALLDSIRRHLLDESDPFRIGAPTSNSGQTLSYRRSSSFNSLYPCLCDNWGELPLKEDDSEDMVLYGVLRDAVNVGWVPYLETGSPESSSSSFQPPIMVKSEPDLFPAGCSLPELIATVPEIPKVEAMPAVVPSKGKHYRGVRQRPWGKFAAEIRDPSKNGARVWLGTYETAEDAALAYDRAAYRMRGARAMLNFPLRVNSGEPDPVRVTSKRVSPEPSSSSENMSAAKRKKKTVGPTVQVVTSQVAQYTRGGQLLIS >OIW09478 pep chromosome:LupAngTanjil_v1.0:LG06:25619693:25619959:1 gene:TanjilG_23057 transcript:OIW09478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFIISFTLICFIPVIALAYAMRIREGAAEEDIMSLPEYSFSQSYSLVMVDDKKQPRIDSCNGSHISELSVHPDDSVSIVASHISPIQ >OIW11111 pep chromosome:LupAngTanjil_v1.0:LG06:547332:548072:-1 gene:TanjilG_22918 transcript:OIW11111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTSIVSSSTIIRTCLFLFFLFTFTSARYTFVSTEVDIDQNNLDSYSVSENNVPAKINTNILLPKQNNEFKSSTLFTNSKSSKPKAESIDSIQLPKETHPFRGFNFRSIEPRILRCPLPLYFRHRHHCRHNHRYKPLKPRFPRREDVTVENVEEDKDFDAMARGGVRSEIPAEWTRFPEIEPMFLSRDRNMAMERSEMMRRLYNRRHHCRHHRHHHGNDSVEQENKGNRLMKRIRKFLKTKVLIHV >OIW09723 pep chromosome:LupAngTanjil_v1.0:LG06:17517365:17517793:-1 gene:TanjilG_09396 transcript:OIW09723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKFQFQIMHKYVAVFLILLACHVSLQTQARKIKPLNTYTTLPTSSENKVLDSPMIPRYEVASFRDSKTDINAFRPTSPGGSPGVGHKSSEEGDDMKAMVVVQSPDLRVHLNNEGTKNGFKPTEPGHSPGVGHVYQNKNGGN >OIW09374 pep chromosome:LupAngTanjil_v1.0:LG06:29864012:29865999:-1 gene:TanjilG_01345 transcript:OIW09374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSSFKLQHPLVDVVERRQVEAARIREKYPDRIPVIVEKAEKSDVPEIDKKKYLVPADLTVGQFVYVVRKRIKLSPEKAIFIFVNNILPPTAAMMSALYEENKDEDGFLYMTYSGENTFGIII >OIW10990 pep chromosome:LupAngTanjil_v1.0:LG06:1128222:1129240:1 gene:TanjilG_22797 transcript:OIW10990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGGVSSGRLPTWKERENNKRRERRRRAIAAKIYSGLRSQGNFKLPKHCDNNEVLKALCLEAGWIVEEDGTTYRKGCKRPSNMSGCSSIHPSPQSSSFPSPIPSYHASPTSSSFPSPSRIDPNPSSFLLLPFIRNMNLPPLRISNSAPVTPPLSSPTSRTSSKRKANFESFVIPNASTLNPFIHPLFAASAPSSPSRRHHHRVGTFTIPEHDESDASTIDSGRWVSFHNSPAPPSPTFNLMNPAIMHHQQHMIIPKDSSADMQWGAVGERGIGGSDFDFENGSVKAWEGERIHEVGMDELDLTLGFGKA >OIW10190 pep chromosome:LupAngTanjil_v1.0:LG06:7480217:7484431:1 gene:TanjilG_27941 transcript:OIW10190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQNSIFVITLFAFLLLLHSSLALKEGQICASDKNCNKGLHCETCLANGNLLPRCTRIQPVIPTSKVKGLPFNHYSWLTTHNAFALLGKKSETGSLILAPTNQQDTITDQLNNGVRGLMLDLYDFENDIWLCHSFGGQCYNYTAFQPAINVLKEIQVFLAANPSEIVTIIIEDYVTSPNGLTKVFDAAGLRKYWFPVSRMPKNGGDWPTVDDMVMKNQRLVVFTSKKAKEASEGIAYEWRYLVENQYGNNGMKAGLCPNRAESPSLNTTSRSLVLVNFFRDVPDVTKSCRDNSAPLLSMVNTCYQAAGKRWPNFIAVDFYKRSDGGGAPEAVDAANGHLVCGCKNIASCKENMTFGVCDLLPEAETTPHQALPPHASFGFQNCKPVDLLWLFATTLLVAMLLFW >OIW09499 pep chromosome:LupAngTanjil_v1.0:LG06:23925947:23926375:-1 gene:TanjilG_11621 transcript:OIW09499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSSSPEDRMGSAQNMPSFLTRMAMRISRARWFSFLRRVFHYQNGSSSGSDLGSNPFNSRKWMMLEFVALIVQVTSTTITLAFSESERPIWPMRIWIAGYDIGCVLNLLVLYGRYHQLYVTHGNAIGLSNMEQQGNNEETR >OIW10748 pep chromosome:LupAngTanjil_v1.0:LG06:3449433:3451100:1 gene:TanjilG_27694 transcript:OIW10748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSGTGSNNCNVVTSPSRSPATSQGQCILIRLMKMRFTGDIERKDGVGMLPLA >OIW09279 pep chromosome:LupAngTanjil_v1.0:LG06:29002676:29007500:1 gene:TanjilG_01250 transcript:OIW09279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFCTYFLACMGGCLGCCTKPPVIISRDEPSKVPRTQSQTVNKDNIEDFWSSSTLEMDHSAAQSQRSISSIGISNNPSDPQSSTGSQTGPPEFVNHGLLLWNQIRQQWVGNTKSESRNEVREPRISTNASYDNLLGNNKPFPQPIPLGEMIDFLVDIWEQDGLYD >OIW10711 pep chromosome:LupAngTanjil_v1.0:LG06:3814076:3817485:-1 gene:TanjilG_16083 transcript:OIW10711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTVLTGCLSESTGYQVGNFAAKKCASTETNVPFPTDYTELLEQARVAVELAMKDNRQLMEIEFPTAGLASVPGDGEGGIEMTESMQLIREFCDRFISSEKTTRTRIFFPEASEVDFARQSVFSGASFKLDYLTKPSFFQDFGFVEKVKMSDRVKAGDELFLVAYPYFNVNEMLVVEELYKEAVLNTERKLIIFNGELDRIRSGYYPPFFYPKLAALNKTFLPMMETVYYIHNFKGRNGGTLFRCYPGPWKVLRRVGNKYICLHQQVTMPSLKEVALEILPAN >OIW09098 pep chromosome:LupAngTanjil_v1.0:LG06:31543036:31545356:1 gene:TanjilG_16325 transcript:OIW09098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRRRILLKVIILGDSGYVNRKFSNQYKATIGADFLTKEVQFEDRLFTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNVVKSFDNLNHWREEFLIQASPSDPENFPFVVLGNKIDVDGGNSRVVSEKKAKAWCASKGNIPYFETSAKEGFNVEAAFQCIAKNALKNEPEEELYMPDTIDVGGGGGGRQPSSTGCEC >OIW08990 pep chromosome:LupAngTanjil_v1.0:LG06:31959489:31965417:-1 gene:TanjilG_05966 transcript:OIW08990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNQKQKWTADEEDALHRGVQKYGAGKWKNILKDPDFAPFLTSRSNIDLKDKWRNLNVSNGQGGSKDKSRTPKPKTILASASTPGPSSALVIASVPVSVAAPLSVVPAPQSNNVDDPSSNAEDSKIPPRYNALVFEALSAIKDANGSDANAISSFIKQNHKVPTNFRRALSTRLKRLVSQGKIEKVENGYRMKEAPSGMKSPSPKPKDAWPRQSSASSFVSCSETVREATATAAYRIAEAENKAFLAAEAFKEVDRLSQMAEENEVVLRLVTQMYEQSLRGEVVLLD >OIW09490 pep chromosome:LupAngTanjil_v1.0:LG06:25306815:25308106:-1 gene:TanjilG_22239 transcript:OIW09490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGRFGSTQDRKQRSSKAFGMVMSLKVANYSLEPEMQRLQVTHMKCFCFTLSYTEEGSMRSITTLVALD >OIW08945 pep chromosome:LupAngTanjil_v1.0:LG06:32327494:32328127:-1 gene:TanjilG_05921 transcript:OIW08945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESDIVEGGHDEEEVEEIYKHMVEEVMEMVEVETCTHMEEVVKEMVMEVVETCRHMVEEVKEMEEGETYRHMVYEANFLEGVQNCRHMVGEVKEMEEAETDRHMGVVVMVMEVVETYRDMAEEVKGMEEVEIDRHMEVVEMAGEVNGVVVEKCMHIWVFCKMGVLDLVNVN >OIW09786 pep chromosome:LupAngTanjil_v1.0:LG06:15428706:15429212:-1 gene:TanjilG_32224 transcript:OIW09786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKAAIVLSLNILFFTLVSSTYVPCPPPATPKHTSPPTPKHSPPPTPKQSPPPTPKHSPPPTPIHSPAPKAPSTNPADPKKQPSCPKDTLKFGVCADVLGLVNVQLGKSSKDACCSLIDGLSNLDAAVCLCTALKANVLGINLNVPINLSLILNYCGKDVPKGFECA >OIW10946 pep chromosome:LupAngTanjil_v1.0:LG06:789130:789639:1 gene:TanjilG_22753 transcript:OIW10946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGEGGGGGTRDMGTRKRGKESGERRYKGIRMRKWGKWVAEIREPNKRSRIWLGSYSTPVAAARAYDTAVFYLRGPSARLNFPELLTDDNGAVIANCDMSAAFIRKKATEVGARVDALQASHHRHHVAVAPELVLSDGGDENRSCHFSERVDLNKIPEPENSDCDYDMN >OIW10439 pep chromosome:LupAngTanjil_v1.0:LG06:6474060:6474227:-1 gene:TanjilG_24999 transcript:OIW10439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYFSMGRWAEAKVVRDLMSDNQIGKNPGYSCWVSAIMICISTILENKQEVALVGV >OIW10328 pep chromosome:LupAngTanjil_v1.0:LG06:8844622:8847040:-1 gene:TanjilG_28079 transcript:OIW10328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCFFFKDKSKSAPELQNQGNKKKNPGLDRATNSTGSISSSPKSVKDLYKEKEHNFRIFTLQELRDATNGFNRMLKIGEGGFGSVYKGSIRPLNGQGDPIVVAIKRLNTRGFQGHKEWLAEVQFLSIVDHPNLVKLLGYCSVDGERGIQRLLVYEFIPNGSLEDHLFNKGFLPLPWKTRLEIMLGAAQGLAYLHEGLEIQVIYRDFKSSNVLLDMDFHPKLSDFGLAREGPQGDQTHVSTAVVGTQGYAAPEYIETGHLKVQSDMYSFGVVLYEILTGRRSLERNRPTAEQKLLDWVKQYPADSSRFSMIIDPRLRNQYSHGAARKIAKLADSCLKKTPEDRPSMSQIVETLKQALQYSDTSSNSQNPAESSRSKVVRQGK >OIW10071 pep chromosome:LupAngTanjil_v1.0:LG06:10209188:10209802:1 gene:TanjilG_32811 transcript:OIW10071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIQRPLLFFVLFFVTAFSTLNAAVAADYYGGSTDFIRSSCNTTLYPDICFTSLSRYANAVQQNPGQLARVAISVTLSKVHRVASYVSNLTREADYSADTRAASAIHDCFTNLDDAVDQIRGSLKQIRQIGAVGAGAGSFLFQMSNVQTWMSAALTDEETCTDGFQDVDDCKVKTQVCDRVSNVKKFTSNALALVNSYANKGMP >OIW09314 pep chromosome:LupAngTanjil_v1.0:LG06:29458531:29466982:-1 gene:TanjilG_01285 transcript:OIW09314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGEGNTNSNILPSEQQQQQDAITPQTSLEHAITHLSLPSQQQDGDDDDPANAKGEVSKKKKKKSKSKKKKEAPKQTDPPSIPILDLFPSGDFPEGQTQHYKDDNLWRTTSEEKRELERLQKPIYNSVRRAAEVHRQVRKYMKGIIKPGMLMTDLCETLEDTVRKLISEDGLQAGIAFPTGCSLNWVAAHWTPNSGDKTVLQYDDVMKLDFGTHIDGYIVDCAFTVAFNPVFDPLLEASREATNTGIKEAGIDVRLCDVGAAIQEVMESYEVEINGKVYQDLFTLFLSILFGNRPCPLKPPLAAFCFSGYFLAAFSKPMKSIRNLNGHSIGRYQIHAGKSVPIVKGGEQTKMEEGEFFAIETFASTGKGYVREDLECSHYMKNFDVGHIPLRLPRAKQLLATINKNFSTLAFCRRYLDRIGETKYLMALKNLCDSGIVQPYPPLCDVKGSYVSQFEHTILLRPTCKEVISKGDDY >OIW10134 pep chromosome:LupAngTanjil_v1.0:LG06:9520454:9526105:-1 gene:TanjilG_21971 transcript:OIW10134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGKVGSMDEREVQKSYWVEHSVDLSVEAMMLDSKAQYLDVEERPEVLSLLPAYEGKSVLELGAGIGRFTSELAQKAGQLLALDFIDSAIKKNESINGHHKNVKFMCADVTSPDLHISEGSIDLIFSNWLLMYLSDNEVEKLAERMMKWLKDDGYIFFRESCFHQSGDSKREYNPSHYREPRFYTKVFKECNISDSTGNTFELSLVGCKCIGAYVRNKRNQNQICWVWQKVKSQDDRRFQQFLDRVEYNSKSISRYECVYGHGFASAGGLETTKKFVAKLGLKPGQKVLDVGCGVGGGDFYMAENFDVEVVGVDLSINMVSLAIERAIGHKYTVEFECADCTKKTYPENTFDVIYSRDVMLHIKDKPTLFRSFYKWLKPGGKLLITDYCKSAGNQSVEFAEYIKEGGYHLHDIQEYGQMLENAGFNDVIAEDQTDLFVKTLEQELNRLETNKDDFIEDLGEEEYNETIKRWKAKQIRGASREQLWGLFFAEKK >OIW10961 pep chromosome:LupAngTanjil_v1.0:LG06:908874:910488:-1 gene:TanjilG_22768 transcript:OIW10961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRIVRYNVFSAMANETRIAASLLRLHFHDCFVNGCDGSVLLDDTSTLKGEKNALPNRNSIRGFEVIDKIKSDLEVACPSTVSCADILALAAREAVNLSRGPFWPVPLGRRDGTTASESEANNLPSPFESIENITAKFISKGLEVKDVAVLSGAHTFGFAQCFTFKPRLFNFGGSGQSDPSLDTSLLQNLQKVCPNQDDSDTNLAPLDPITTNTFDNTYYKNIVNNAGLLQSDQALLGDNRTASFVNNYSKWPLLFFRDFAVSVEKMGRIGVLTGQQGQIRKNCRVVN >OIW09341 pep chromosome:LupAngTanjil_v1.0:LG06:29638750:29640697:-1 gene:TanjilG_01312 transcript:OIW09341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKLSSDAVREAISGIVTDSKEKNRKFVETIELQIGLKNYDPQKDKRFSGSVKLPHIPRPKMKICMLGDAQHVEEAEKIGLDYMDVEALKKLNKNKKLVKKLAKKYHAFLASEAIIKQIPRLLGPGKFPTLVSHQESLESKVNETKAMVKFQLKKVLCMGVAVGNVSMEEKQIFQNVQLSVNFLVSLLKKNWQNVRCLYLKSTMGKSYRVF >OIW10648 pep chromosome:LupAngTanjil_v1.0:LG06:4417058:4418856:1 gene:TanjilG_16020 transcript:OIW10648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRMHSSGKGMSASALPYKRTPPSWLKISTQDVEENICKFAKKGLTPSQIGVILRDSHGIAQVKSVTGNKILRILKAHGLAPEIPEDLYHLIKKAVSIRKHLERNRKDKDSKFRLILVESRIHRLARYYKKTKKLPPVWKYHDKNTSSIFLLFTVYTNVVMSSDFSFGNVSQQLPALWLLRDRIDLPPFRRSGCRWTINLPQG >OIW09675 pep chromosome:LupAngTanjil_v1.0:LG06:20431434:20432087:-1 gene:TanjilG_06481 transcript:OIW09675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRNGVLSLAFLCIVVAGVGGQSPTAAPTTTPTTPSATTPVSSPPAQSPSSKPKSPAAPVSSPVSSPKPAPTPTSSPPAASPATITPASSPPTATPVSSPPPSQVPVTSPPTPAPLSSPPPAPVAAPTITPVAPAPTPTKSKKKSTKKHADAPADSPSLLGPPAPPTGAPGPSEDASAPGPASSSENA >OIW09069 pep chromosome:LupAngTanjil_v1.0:LG06:31286536:31288016:1 gene:TanjilG_16296 transcript:OIW09069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEGLVLEDGYVFKEEIHFTVLKTSLFFSGDGFTVYDSKGQLVFRVDSYGPDTTKDELVLMDPNGRCLLTVRRKRPSLHQRWEGFKGERVDGDKPIFSVKRASIIGRSRTSVTVEVYDSPGEEYHIEGCFSQRCCTVINAVKEPMAEISRKVDPTTCVMLGKEVFSLSVKPGFDAAFAMGFVLILDQINGDSYFDNDATSEPTVHPTTED >OIW08949 pep chromosome:LupAngTanjil_v1.0:LG06:32299675:32301546:1 gene:TanjilG_05925 transcript:OIW08949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADRHQHPTIVQKFSSQLHLRSSICPHVATSYGASKMQHHFSLQHYSNAGLHCPDMPACKATTNTLHNYVGSHVLVPSPSEKSFSSFATDFLLGGVSAAVSKTAAAPIERVKLLIQNQDEMIKAGRLSEPYKGIGDCFGRTFKDEGFFSLWRGNAVNVIRYFPTQALNFAFKDYIKSVFNFKKDKDGYWKWFAGNLASGAAAGAASSVFVYSLDYARTRLTNDAKSFKNGGERQFNGLIDVYKKTLKSDGIVGLYRGFNVSLVGIIVYRGLYFGLYDSFKPVLLVGTWQDSFLASFALGWVVTIGATMASYPLDTVRRRMMMTSGEAVKYKNTLDVFSQIMKNEGTKSLFKGGGANILRAVASAGVLASYDKLQVLVVGNKNGSGGA >OIW09624 pep chromosome:LupAngTanjil_v1.0:LG06:21958406:21960305:1 gene:TanjilG_28223 transcript:OIW09624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKVKADSKPADNKLKRKGAGSGNKKSKKAAKDPNKPKRPPSAFFVFMSEFREQYKKDFPENKSVANVSKAGGSKWKSMSDAEKAPYVARAEKKKEEYGRTIEAYNRKLEGNNASEEDESDKSKSEVHDDEDDEEDDDDE >OIW09682 pep chromosome:LupAngTanjil_v1.0:LG06:20112473:20126868:1 gene:TanjilG_06488 transcript:OIW09682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSITSVELNFMVFRYLQESGFTHSAFNFGYEAGINKSPIDGNSVPPGALVSFVQKGLQYFEMEANYSNSDADLEEDFLFLKPMELITKDVSQLRQMINERRKKQQEDKNKGPEKEHGSERGRVREKGKRKREKEAGKDRKNVDNDQEQDQLHGDRTGREMVTGKDDKAVRLEKNGAFAEPEPMGISTTSISQPCAIPSSDVTVLQGHTSEVCACAWSPTGSLLASGSGDSTARIWTIAEGKCKTASQKPFNAVVLKHVRGRTNEKNKDVTTLDWNGEGMLLATGSYDGQARIWTTTGELRNTFNKHKGPIFSLKWNKKGDYLLTGSFDKTAIVWDMKTEEWKQQFEFHSGLICCLGPTLDVDWRNNVSFATSSSDHMIYVCKIGDNRPVKTFAGHQGEVNCVKWDPTGSILASCSDDSTAKVWRMNYDNHLRDLREHSKEIYIIRWSPTGLGTSNPNKMLVLASASFDSTVKIWDVELGNSIYSFNGHREPVYSVAFSPDGEYIASGSLDKSVHIWSLKDGKIVKTYIGNGGAFEVCWNKEGDKLAASFADNTVSILDLRM >OIW10675 pep chromosome:LupAngTanjil_v1.0:LG06:4091661:4094361:1 gene:TanjilG_16047 transcript:OIW10675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTEDEAEQVQQPAPIASKFPLSFWEATVASTVLVGFLFGFAAVYLTLPDSDYSFLKLPRTLQDLQLLRDNLENYTSDYTAQVLVGYCVVYIFMQTFMIPGTVFMSLLAGALFGVFKGVALVVFTATAGASSCYFLSKMIGRPLLSSLWPDKLKFFQNQVARRRKSLLNYMLFLRLTPTLPNTFINFASPIVDVPYHIFFLGTVIGLIPAAYVTVRAGLALGELESIGDLYDFNSVATLFLIGVVSVTPTLMSKSES >OIW09864 pep chromosome:LupAngTanjil_v1.0:LG06:13272969:13276582:1 gene:TanjilG_15346 transcript:OIW09864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVGTSTFVTRWINFLTMLLAIAVIIFGVWISTQHDGCRKSLTVPLISLGAVIFLISIVGFLGALKNSSILLWIYLIMLFIVLVGILVFTVMVFIVTNNGLGHTVKGLRYKEYQLQDYSSWFIKELNNSRNWERLRGCLVKAEHCNNLSKKYKTLTQYKSAKLTPIEAGCCRPPSQCGYPAVNASYYDLTFHPVSSNIDCKRYKNSRATKCYDCDSCKAGVAKYMKTEWRVAAIFNVVLFVILCIIYFVGCCARRNAARSRSKC >OIW09252 pep chromosome:LupAngTanjil_v1.0:LG06:28675652:28691843:1 gene:TanjilG_01223 transcript:OIW09252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQQKKQLLSSLNSNRRPTILYLVFAVSFFSLFLFAIQSSFFSGSFNSNRNAESISILSHFQSTVQQCVANRGLGLTAHIIDHCKLILKYPEGTNSTWYNAQFKKFEPLEYNYDMCETILLWEQYRNMTTVLTREYLDARTDGWVDYAPQRIAQLGTKKCYNKTLCEEHLNILLPAKPPFRPRQFRTCAVVGNSGDLLKTEFGKEIDSHDAVFRDNEAPVNEKYVKYVGLKRDFRLVVRGAARNMVPILNGSDNEVLIIKSVTHREINAVIKTIPNPVYLFQGIVLRRGAKGTGMKSIELALSMCDIVDMYGFTVDPGYTEWTRYFSAPRKGHNPLQGRAYYQLLECLGVIRIHSPMRSARKQDWSDVPSREMISQAHAAALRLKKSQSASDIGQFGNCKVWGNVEPGKSGPVSGSSDMSDIRKLSNYSKWEVMPFNSLRKEAQDHYMQMQGVTLYKMDGNKLDDLVCTIPNPVYLFQGIVLRRGAKGTGMKSIELALSMCDIVDMYGFTVDPGYTEWTRYFSAPRKGHNPLQGRAYYQLLECLGVIRIHSPMRSARKQDWSDVPSREMISQAHAAALRLKKSQSASDIGQFGNCKVWGNVEPGKSGPVSGSSDMSDIRKLSNYSKWEVMPFNSLRKEAQDHYMQMQGVTLYKMDGNKLDDLVCVRHSLKSEV >OIW10113 pep chromosome:LupAngTanjil_v1.0:LG06:9717557:9721763:1 gene:TanjilG_21950 transcript:OIW10113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTLVHFITWHKRKVLASVGILGSGYCLYKLYGAHRIRLDALERKLTVQRENEELMKTQMQAHFEKIQRISDTITLPHAMDNLSCRIEEELDLSLLLERLIQGKGQPNTLTQAEKLELWDTLKIRSFTRVALSVWATTMISLYTKVQVNILGRHLYIDTARSLGSSDSMETGDIVDREDQQKFLGSVDFLSEYGLPALIRDMEATTKEILIGKQLSGLFNSVALHETIMQILNKFISMGGSPHSWLKYMLPEDVISHSTTSSSNDLVPFRVADFEQLMLEARTVLLSAEFGSIVEISLKAVVDELVELMVTKFSGESITTGMPLARVLPQVAQMCPLLLEEPLKNQFIQIIRCILEVELFFTLLYANMPSA >OIW09690 pep chromosome:LupAngTanjil_v1.0:LG06:19772493:19774422:-1 gene:TanjilG_06496 transcript:OIW09690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAGPGLESLVYQTISVITNDGRNIVGVLKGFDQATNIILDESHERVYSTKEGVQQLVLGLYIIRGDNMYVHIPLLYQQALVQVVHA >OIW09513 pep chromosome:LupAngTanjil_v1.0:LG06:24310607:24312578:1 gene:TanjilG_11635 transcript:OIW09513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRRLLLPMVWWLKDTGGARWLRGTREGGLGHRSKPVLVGGVDDESSESSDSWLSVKDGAFPAWFDEGWCFPSLLSQLAFPACNAIGVSKEDGDSKAVFKEEGVSVPNVINEDVTVGGIVNELFSLNGGGPLAPMDHLLHSGGVPGIDKNFLVSSLPNHAQSTSAICTIGVAHGEFQADNAHVSAQESLLDVQSCSIDVPVNDGPVRLSKGLASGFVKDKKLKLSKKDKKKTISY >OIW10401 pep chromosome:LupAngTanjil_v1.0:LG06:6671230:6673824:-1 gene:TanjilG_05549 transcript:OIW10401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDFWTSRIAAAKRQYALQYHHPSSHLDRLGIDDFEAEEEVRPDFPCPYCYEDFDIASLCSHLEDEHSCESRVTSCPICSVKVARDMLSHITLQHGHLFKLQRRRRLRRVAIPNSQTLSLLGRDLREAHLQVLLGGSSGGYRANNATVSNAATDPFLSSLILNFPACEAEEISKSVVTSSEDSSAKNAAPIHIWKSSFDSSLTVEEREKRMRQSAGRSGFMQDLFLSTLLDD >OIW09211 pep chromosome:LupAngTanjil_v1.0:LG06:30877426:30879606:-1 gene:TanjilG_11349 transcript:OIW09211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLSLSLKYVLVLFSCTFAFNLLQISALNIGAQTTGVAVSVSKDCSRQCESKFCSVPPLLRYGKYCGLLYSGCPGEKPCDGLDDCCMKHDQCVQDKNDDYLSQECSQTFINCMKKFKNSGEPTFKGNTCNVDDVIEVIHVVMEAALLAGRVLHKP >OIW09167 pep chromosome:LupAngTanjil_v1.0:LG06:30572960:30578004:1 gene:TanjilG_11305 transcript:OIW09167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYACWIYLRMKNLYGAARSFATSFSGQSGAASPVFHHTGSIQGLHNIHGSFNVPNMPGTLSSRNSTINSVPTGGAQQPTASLSSGRFASNNIPVALSQLSHGSSHGHSGVNNRGGISVVGNPGFSSSSNGVGGSIPGILPTSATMGNRNTVPGLGVSPILGNTGPRITSSMGNMVGGGNIGRIGSGGGLSVPGLGRLNLSGNGGSGGLGAQGQNRLMGGMLPQGSPQVISMLGSSYPGAGGPLSQSHVQAVNNLNSMGMLNDVNSSDNSPFDINDFPQLTSRPSSSGGPQGQLGSLRKQGLGVSPIVQQNQEFSIQNEDFPALPGFKGGNADFGMDVHQKEQLHDNAVSMMQSQHFSMGRSAGFSLGGSYSSHRTQQQQQHGPSVSSGGVSFSSINNQDLLHLHGSDVFPSSHSTYHSQSQTSGPPGIGLRPLNSPNTVSGMNSYDQLIHQYQHQNQSQLRNLHQMSAVNQSFRDQGLKSMQTAQSAPDPFGLLGLLSVIRMSDPDLTSLALGIDLTTLGLNLNSSENLHKTFGSPWSDEPAKGDPEFSVPQCYYAKQPPALHQGYFSKFSVETLFYIFYSMPKDEAQLYAANELYKRGWFYHKEHRLWFIRVPNMEPLVKTNTYERGSYHCFDPSTFETVRKDNFVLHYEMLEKRPALPQH >OIW10342 pep chromosome:LupAngTanjil_v1.0:LG06:8962983:8964587:-1 gene:TanjilG_28093 transcript:OIW10342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQMHMISSTIPAHNLHMFQTRRSSFSCQASPLNPSRATTGSTRLHLSNLDKLLQKQSNEPSTQLNHEENLQEKQNHTATTLTEKKGKSALEGLNLARLWPEMKATEEMSPRHLNRLQRLLSMSAEHSPRNVLGSMWREYHGSNDWKGMLDPLDENLRREVVRYGEFVQAAYHSFHSNPTMSSEEPPVPRHVVVPDRSYRVTKSLYATSSIGLPGWVDDMAPNLGWMTQKSSWIGYVAVCDDRREIARLGRRDIVISLRGTATCLEWAENMRVHLIDISEEEKSEVQEKSKVESGFLSLYKTRGTHVPSLAESVIEEVKRLMEVYKGETLSITITGHSLGAALALLVADEISTCSQEMPPVAVFSFGGPRVGNKAFGNKITTQNVKVLRIVNSQDVITRVPGMFVSEELEQNLRSSKIGGVLGMLDDNLAYTHVGTELRVDTKMSPYLKPDADMACCHDLEAYLHLVDGFLASNCPFRANAKRSLVRLMQDQSANVKKLYTSKAKALSLSSERQGSSFSRQGSFSMSGCLPSPS >OIW09348 pep chromosome:LupAngTanjil_v1.0:LG06:29670983:29672171:1 gene:TanjilG_01319 transcript:OIW09348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSKHEGEATSADTKPMLESKRTRSNSKGKAIAMADPTSATVSTKATKLQKVGWKKGVAIIDFILRLGAIGATLGAAAIMGNNEQILPFFTQFLQFDAQWNDFPMFQFFVIANAVAGGYLILSLPLSIVCIVRPLAVGPRLLLVVLDTVMMALVIGAASSAAAVVYLAHNGSQDANWIAVCQQFSDFCQVSSEAVVVSFTAAISFASLIVVSSLALKRN >OIW10851 pep chromosome:LupAngTanjil_v1.0:LG06:2544308:2546981:1 gene:TanjilG_27797 transcript:OIW10851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLHESSSSSSSSKRWLPLEANPDVMNQYLWGLGLPQDEAQCFDVYGLDEELLQIVPKPVLAVLFLYPLTTQTEQERLQQNNEKREYSSKVYFMKQTVGNACGTVGLLHALGNITSQIKLVEGSFLDNFFKSTASLDPMQRAIFLENDREMEVAHSVAATAGDTEAADNAIAHFICFACVDGEIYELDGRKSGPISHGPSSPSTLLKCILPIKYVLFNFEIYKCAFTLQQDAAKVMQSMIQKNPDSLNFNVIAVSKNSGDRY >OIW09201 pep chromosome:LupAngTanjil_v1.0:LG06:30812841:30814548:-1 gene:TanjilG_11339 transcript:OIW09201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKLQQLQSKACQASQVIGKHGSSYYRQLLEKNKQYIQEPPTVEKCDQLAKQLFYTRLASIPGRNESFWKELDYVKNLWKNRQDLKVEDAGIAALFGLECFAWYCAGEIVGRGFTFTGYYV >OIW09401 pep chromosome:LupAngTanjil_v1.0:LG06:28516095:28523348:-1 gene:TanjilG_20998 transcript:OIW09401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFIDNDLDGIDLDPSDFSSSVPLKKVPNGDVFEASRAGDVDRLRYLLESGVNVNARDHWDSVALYYACLAGHLDAARMLLETGAICSEHTFDGDRCHYAALNLKVRKLLKAFEARPPPLGPLQAALRDTFLSSGANRCYSEVADPQFQISGISSNQGSSCDHFPPDVTFTVQGRPIEAHRVILSARSPFFKKKFEIDWKQRSEVRLSREKLSYPALYSLIHFFYSDRLEIAVDDMEDLVRICKVCKCESLQKIVEKEVIHQKYAEYKALRDVDNSQKRYVLQGLSLPEEDRLPAALRRILLTALSNSTHENGQADEIDKLVSGMGVMHIAKPVDDLADVCIRVGTKVFRCHQIILASRSEYFKARLSRMKDFHEGKDELSVDFLPCLEEHDLSMDAFEKVIEYMYTDGLEKIDPDQAEEMFDVASRYLLFPLKRAVADVLLPHLEIVSPEELCHWLILADMYGVIKIREYCLDTIACNFETFADTKEFRAMLLTLPPPSGDSSLRTTVPSVPGSSLNTNQGNLLDDLREKWLEIEAAELDQRDESALQFDHRLEMLLHVAEQENSDAQKEP >OIW09898 pep chromosome:LupAngTanjil_v1.0:LG06:12057638:12057967:-1 gene:TanjilG_32047 transcript:OIW09898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEENKNAAATTEENNNVNGGIISKETIDHVATWVGSTVSSAFFSSLERFSCVNVTTSDPDDDDDDDDDYSTTTSITPTATTTTTTTATTSPPVVQVNGHNTNDVSNLPV >OIW08918 pep chromosome:LupAngTanjil_v1.0:LG06:32569543:32572028:-1 gene:TanjilG_05894 transcript:OIW08918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPPQPNFSTIQTRPDITSKYQILNRVGSGSYADVYRARRLSDETTVALKEVHDQQSAFREIEALRILQGSRNVVVMHEFFCCEGEDVVIVLEFLRSDLVSVMKESGNGEMGVGKVKRWMMQILSGVDACHRNMILHRDLKPSNFLISDDGVLKLADFGQARILMEPGFDATEENPAPPYELDSSTSETSLQRPKAFPESDSLNQTGYGGNQEHGTTSHEEYFRVLDELKTKSSIYDTDKDTNIGDGNNSCLATCTTNDTDDEIWKDTFTYETDEGGNELGCLTSCVGSRWYKAPELLYGSTDYGLEVDLWSLGCIFSELLTLKPLFPGTSDIDQLSRIISVMGNLDVEAWPGCSKLPDYTRISFSKVENPPGLEACLQDRSADEVSLVKKLLCYDPSKRATAMELLHDKYFNEEPFPVPISELKVPRGG >OIW09073 pep chromosome:LupAngTanjil_v1.0:LG06:31308590:31310414:-1 gene:TanjilG_16300 transcript:OIW09073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METITEGVNNINITDSYKKNRIQVSNTKKPLFFYVNLAKRYMQQHNEVELSALGMAIATVVTVAEILKNNGLAVEKKITTSTVDIKDDSRGRPVQKAKIEIVLGKTANFDELMAAAAAEDAENAENEEQNA >OIW09534 pep chromosome:LupAngTanjil_v1.0:LG06:23006000:23010289:-1 gene:TanjilG_30853 transcript:OIW09534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHANQTNCEVFMGTWVRDGTYPLYQSSNCPIIDPEFNCQMYGRPDSDYLKYRWRPLNCDLPRFNGVEFLLGMRGKTVMFVGDSLGRNQWQSLICMISTSVPQTQTQLVRGEPLSTFRFLDYGVTISFYRAPYLVEIDVDQGKRILSLEKLDGNGDAWRSADILSFNTGHWWNHQGSLQGWDYIELGGKYYQNMDRLAALEKGLRTWANWVDTNIDKSRTKVFFLGISPSHNNPSEWNTGATTTTTKNCYGETEPIIGTGTTYPGSYPDQMRVVNMVIREMNNPAYLLDITMLSAFRKDAHPSIYDGDLSPGQRANPDYSSDCSHWCIPGLPDTWNELFYTVLFY >OIW09273 pep chromosome:LupAngTanjil_v1.0:LG06:28893161:28902280:-1 gene:TanjilG_01244 transcript:OIW09273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENRVESLTASNSTIWRRNHSIDVFSTSEREDDEEALKWAAIERLPTFLRIRRSILKTEEGEGREVDIKKLGLTERKILLERLVKTAEEDNEKFLLKLRERLDRVGLDIPTIEVRFEHINVEAQVYVGSRALPTLLNFYANVVEGFLNWLHIIPSLKKPLHILQNVSGIIKPRRMTLLLGPPGSGKTTLLLALAGKLGKDLEKSGRVSYNGHDLEEFVAQRTSAYIGQHDNHIGEMTVRETLAFSARCQGVGQNYEMLSELLRREKQAKIKPDSDIDAYMKAAALEGQKTSVVTDYILKILGLEICADIMVGDGIIRGISGGQKKRVTTGEMLVGPIRVLFMDEISTGLDSSTTYQIINSIRQSIHILNGTALVSLLQPAPETFELFDDIIILTDGQIVYQGPKENVLEFFESMGFKCPERKGVADFLQEVTSRKDQWQYWARKDEPYSFVTVKDFVEAFQSSRVGQQLGDELANPFDKTKSHPIALTTKKYGVSRKELLRACARREFLLMKRNSFVYIFKVTNLIYLAIMTTTLFLRTKMHRDTRQDGGAFMGALFFAIIVAMFNGISELNMTIMKLPVFFKQRDFLFYPSWAYSLPTWILRIPISVIEYLVLLCVNQMASAMFRLMAGLGRDVIVANTVGSFALLTVLVLGGFVISRDDVPKWFIWGYWSSPLMYGQNAIAVNEFLGHSWRKVLPNSNETLGVLVLKSRGFFAQAYWYWIGVGALIGYAFLFNFLFTMALQYLNPIRKAQAGLSHEQLLERNASTDDELIQLSTRKRSPEKKMEEEAGLASSSFSGRFSNDQNSKSGRRGMVLPFQPLSLTFDEIKYSVDMPQEMKSRGVFEDRLELLKGVSGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYVEGRITISGYPKNQETFARISGYCEQFDIHSPHVTVYESLLYSAWLRLPPEVDNATKKMFIEEVMELVELNSLGDALVGLPGETGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARSAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFDAFDELLLLKSGGEQIYSGPLGRQCAQLIHYFEAVEGVPKIKEGYNPATWMLEVTSAGTEANLKVNFSNVYKNSELHRRNKQLIEELSTPPQGSTDLYFDSQYSQTFLAQCKACLWKQHLSYWRNTSYTAVRLLFTTIIAILFGMIFWNIGLKRRKEQDLFNAMGSMYASVIFIGVQNGASVQPVIAVERTVFYRERAAGMYSALPYALAQVIIELPHVLVQTLIYGIIVYAMMGFEWTTSKFLWYLFFMYFTLLYFTFYGMMTMAICPNPHVAGILSSTFYAVWNLFSGFVIPLSRIPIWWKWYYWICPVAWTLYGLVTSQFGDLMDQLDNDQRVEEFVRSYFGFKHDFLGVVAVVVAGFSVLFALVYAFGTKAFNFQKR >OIW09523 pep chromosome:LupAngTanjil_v1.0:LG06:23642708:23649577:1 gene:TanjilG_13747 transcript:OIW09523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKITVMTADEQIITLDVDSHESVENVKALLEVETSVPLQQQQLLFNGSEVRNSDKLSAIGVKDDDLLMMVSAAAASSVSTDDLRVNADGSAANPGAFQQRIRHDSDLMGRLYQNDPELAQAILGNDLNRLQEILRLRHRQRDQFQRQKEEELALLYADPFDVEAQKKIEAAIRQKGIDENWEAALEHNPEAFARVVMLYVDMEVNGVPLKAFVDSGAQSTIISKSCAERLGLLRLLDQRYKGIAHGVGQSEILGRIHVAPIKIGNVFYPCSFLVLDSPNMEFLFGLDMLRKHQCIIDLKENVLRVGGGEVSVPFLQEKDIPSRFLDEEKYAKEASSSGGPVTSGTANPSQGQASGGASGDRSKDAGFEAKVAKLVELGFGRDAVVQALQLFNGNEEQAAGFLFGG >OIW09234 pep chromosome:LupAngTanjil_v1.0:LG06:30003795:30004547:-1 gene:TanjilG_26447 transcript:OIW09234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEFETSIPTAFDPFAEANAEDSGAGTKEYVHVRVQQRNGRKSLTTVQGLKKEFSYTKILKDLKKEFCCNGTVVQDPELGQVIQLQGDQRKNVSTFLVQAGIVKKEHIKIHGF >OIW10682 pep chromosome:LupAngTanjil_v1.0:LG06:4032251:4035442:-1 gene:TanjilG_16054 transcript:OIW10682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQIPEDPVERVLGTIKTVKVSNVSLGATERDIQEFFSFSGDIVYVELKSHDEKSQIAYITFKDSQGAETAVLLSGATIVDLSVTITLDPDYQLPPHALISSEKESKTPSGSESALQKAEDVVTSMLAKGFILGKDAVNKAKTFDEKLQLTSTATAKVASLDQKIGLSDKLSVGASVVSDKVREVDQKFQVSEKTKSAFAAAEQKVSTAGSAIMKNRYVLTGTSWVTGAFNKVSKAAGEVGQKTKEKVENEEEQQKRKVEDQYAEVLSESPKAAATSEQDSSKPAPAQGLIL >OIW09153 pep chromosome:LupAngTanjil_v1.0:LG06:30467023:30469384:-1 gene:TanjilG_11291 transcript:OIW09153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIHHHSFLLLLTIIITSCLIIPTHSEVITLTTDTFSDKIKEKGTAWFVKFCVPWCKHCKNLGSLWDDLGKAMETEDEIEIGEVDCGTDKAVCSKVDIHSYPIFKVFYDGEEVARYQGTRNVESLKAFVLEEAEKAAAKSLHGLQPALHPGLVVKSFFRLRLAKVHSAQF >OIW10047 pep chromosome:LupAngTanjil_v1.0:LG06:10461764:10479868:1 gene:TanjilG_32787 transcript:OIW10047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARIFEYFVVCGIGPEIRTVDGNKGYHGTGCFYLPSLLDQYPPSDHTLYPPPPPQLPTCVLPAGVELYSSGFDSNDPSTFPRSYPIVLTEGDGSKIYVSCISFRDPVCEDIAEAYHIQANSYAEKCICLVSRLPSFRVLRSALEELFALCFSPNGSSKPLWDVIAHMVSSVPLPTPGKERVLFAIDSCLLSVESPPVDGLPHVDISFQPLVQCLDVDNLMRLFTAVLLERRILLRANKYSLLTLASEAICHLIYPFRWQHVYIPLLFFSGVDYIDAPTPYMMGLYSGVDTSTLSMDGVVVVDLEYNRITTSEEIPPIPEPELSFLRGEITKLLYPNVIGIDEMNTGIWSVPEHYPKSGAKQWGEDHDLQLRYALANRVIDFILEVALFNHHDVENGATNVFNTQAFLKKRSRATNQPSEPMIAQFLDSHGFLDYLERGLGSDENSNNLLDKLQDAMGRGQNPMSILPSSSVEPEILTVSDSDIGLSGSGGKYTYDRFPSNIRTEEQEEKRKQILAAVSNAFEYSGRHTPSKDPLADALSPLERAAERERMVLDIKVKLQGLWLRLLKLGATDDPLSSFEYGTILALIESDAEGIGGSGFVECISEHIHSGWHCQLTDEQFIAVKELLKTAINRATSRNDWLTIRDALEVSSDMYKKDNNNVPDYVQRHLISLSIWEELRFWEGYFDHLMEQSSNKSANYASLVTAQLVVLASHMAGLGLPDNDAWYMLETIAERNNIGSKQFIKIRGFLSHIQQLRNGYWGISSMKAQSVLSLALPSPHSKDVNDEDQQPAEATGVGRNWVQSMFTRNTTSRSSSFNRVRRWTSDGGNSANENGTPRKQDLTTGGQKKLQTNVRILRGHNGAITALHCVTKREVWDLVGDREDAGFFISGSTDCSVKIWDPSLRGSELRATLKGHTRTVRAINSDRGKVVSGSDDKSVLVWDKQTTQLLEELKGHDGPVSCVRMLSGERVLTASHDGTVKMWDVRTDRCVATVGRCSSAVLCMEYDDNVGLLAASGRDVVANIWDIRAGKQMHKLSGHTQWIRSIRMVGDTLITGSDDWTARIWSVSRGTCDAVLACHAGPILCVEYSSLDRGIMTGSSDGLLRFWENDEGGIRCAKNVTIHNAAILSINAGEHWLGIGAADNSLSLFHRPQERLGGFSGTGSKMAGWQLYRTPQKTVAMVRCIASDLERKRICSGGRNGLLRLWDATINI >OIW10713 pep chromosome:LupAngTanjil_v1.0:LG06:3792463:3794450:-1 gene:TanjilG_16085 transcript:OIW10713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSFKGHALPGTLFFLVGVWHIWGSVVRYVHNPKAFRVQVWHPVTGFGGRIKHLELYVISIGSFIDLCIEFLYSTHLRFFVGGVLNPSHMNNFEHAGMLLMFFIFSVVVLLKEKTRFFPLPEGALCFIAAAAFCAEYLLFYFHSTTHKGIEGYYHILLVFLIGLCILSSIAGALMPTSFPVDLTNGIAIALQGIWFYQTAFVLYGPMLPNGCMVRDNNITCHSNESEVRGELLANFQLFIAVLVVLAGTVASYAFAGSRYGNPEDNKLHIMQTELDQD >OIW09983 pep chromosome:LupAngTanjil_v1.0:LG06:11500639:11502171:1 gene:TanjilG_32723 transcript:OIW09983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSTKTETNETNLLLPDYTFIDPEKTTYSNSTFSIIITEIKSLFFLASPIALTALIFYSRSIVSMLFLGHLGDIELAAGSLAIAFANITGYSVLSGLSLGMEPLCSQAFGANRPKLLSITLHRCIIFLLSCSIPISLLWLNISKILVLLHQEKNLTLISQTYLLFTLPDLITNSFIHPIRIYLRSQNITHPLTLASLFGTTLHLPFNYFLVNHYKFGVAGVAAASASSNIAILMFLVSYVYITGLHKPTWTKPSLECFNFAGFMPLLKLAAPSCISVCLEWWWYEIMIVLCGLLVDPTATVASMGVLIQTTALIYVFPSSLGFAVSTRVGNELGANRASKAKLSAVVSVFMAAIMGFSAMIFATAMRHRWGRMFTGDENILRLTSAALPILGLCELGNCPQTVACGVVRGTARPSVAANVNLSAFYLVGMPVAVGLGFWFKVGFCGLWLGLLMAQVCCAGLMLCVIGTTDWDFEARRAQQMTSADEYNGDGGMMDGPKEMLTSVVTLTS >OIW09417 pep chromosome:LupAngTanjil_v1.0:LG06:27998171:28001756:1 gene:TanjilG_14568 transcript:OIW09417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATALLKKAVNRIPSSPSFKLSLLRAHASEAQAQQVEPKARSTSTPKTFQIYRWNPDSPSKPELRSYEINLKDCGPMVLDALIKIKNEIDPTLTFRRSCREGICGSCAMNIDGCNGLACLTKIPSSSAEATTVTPLPHMFVIKDLVVDMTNFYNQYKSIEPWLKRKNPAENEGKEILQSKKDRAKLDGMYECILCACCSTSCPSYWWNPESYLGPAALLHANRWISDSRDEYTKERLEAVNDEFKLYRCHTILNCARACPKGLNPGKQIQHIKSLQPKA >OIW10376 pep chromosome:LupAngTanjil_v1.0:LG06:9357075:9357401:1 gene:TanjilG_28127 transcript:OIW10376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAADGFFRPIYDGCLSGFDQCIERRPYHRNCSCALHSKSRNLCAHKLLGNNVTYPMRRAWSDGSLLVLAASNYSSPSNSPAPNGIRPQICLVDQEKEEDHDSIGGFI >OIW10877 pep chromosome:LupAngTanjil_v1.0:LG06:2336660:2338590:-1 gene:TanjilG_27823 transcript:OIW10877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGVSLPPGFRFHPTDEELVGYYLKRKVEALEIELEVIPVIDLYKFDPWDLPEKSFLPNRDLEWFFFCPRDCKYPNGSRTNRATKAGYWKATGKGKKVVCQFSPSASTVTGYRKTLVFYRGRAPLGDRTNWVMHEYRLCDDLVQPSPSFRGAFALCRVIKKNEKANDFKAEVHNGKRAAGSSSCNGNDTSMRVTFSNELLSISCDISSQASQMCNESSYSSPIASPCPYNVTPMPSMATNPSDFCISPDMILDSSKEYCQEHDVVSGYFPHHGLSKMTPWQSFNYTDISSSSSYSNCNGGIEFSDDISRIGCIRACHLTHDKETSWTLYGNEDVPYEGYDQFNGCDEGAARWDL >OIW10501 pep chromosome:LupAngTanjil_v1.0:LG06:6186190:6188520:1 gene:TanjilG_00439 transcript:OIW10501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDLEKQERVVMMVEEEEKERLLEGVVALDFDMLCSTVALRAAQGKWGKLGEDEEEEEFEGGEFGGGVLRMWEGELFDCFDDHHIAFESTCCPCYRFGKNMKRAGFGSSYIQATVYIFLAIGAFLTFVAFVATRRRCFLYLAITFIVSLGIYLGFFRTRIRKKFNIKGSDSCLDDCLYHFACPCCTLSQESRTLEMNNVQDGTWHGRGDTICIGGFDEKSKSFFGLHPPPILCIKSSDESYMESNTNVRNQP >OIW10002 pep chromosome:LupAngTanjil_v1.0:LG06:10980710:10982468:1 gene:TanjilG_32742 transcript:OIW10002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSYFGEPNMGNEKGSGSSSSSSSKKGKKSNSDKPKQPQRGLGVAQLEKIRLHGQMAYHPSLHSPYPSNFNDEDPRMQIPPYSSVPSSSSFSYSSSSTSYSPSYGFQPNIVMGLPEYEKSNIRYGDSQPTNTARWENANTSFDNQSLAQSNITRPFLNLHDSQDIDTMRQRSGSGRSRSQNSESSDTQELDLELRLSL >OIW09519 pep chromosome:LupAngTanjil_v1.0:LG06:24494407:24496512:1 gene:TanjilG_11641 transcript:OIW09519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEGESGNPMFQFHRNEAISAVADDGFLAEEDDDYDDLYNDVNVGEGFLQSLSKSNNNNDDLGFRNDDVKSQSAPVNHDAIGVSVPGVKGESTGGGGGGGGGGVSDRGDGLQNQGFRGVEVGVKGGGGGSGGGSGGGGGIRVELGKPSVKLSEIEDQIGNDNSVGVQQAIGGVVGSVGNEGLVRQSGVVGVNLNRVGGNGVGNSVSAVTGVNTAGGGAAAVAAAGGAAAAGGTVLFVGDLHWWTTDAELEAVLCNYGPVKEVKFFDEKASGKSKGYCQVEFFDPYAATACKEGMNGHVFNGRPCVVAYASPFTVKKMGEAQVNRNQQVTQSAPPQQGRRGPASDVGPKPGGNNIATGGNFQGGDGNNRGGYGRGNWGRGNNPGMGNRGAVNPMRNRGGGMGGRGMMGNGGNGFGQGIGGTPPMMHPQSMMNQGFDPAFGGPMGRMGGYGGFPGGPMPPFSGMLPSFPGVGGVGLPGVAPHVNPAFFGRGMPMNGMGMMPTPGMDGPNMGMWSDPSMGGWGGEEHGGGKAGESSYGEEAASDHQYGEASHDRSGWTNNAREKDRGPERDWSGTSERRHRDDRDQGYERDAPREKDMGHDQEWSERRHRDDREVSRERDRGREQSRDRGRERDREREHDRYKEDRDRYADHQRQRDREAEHEEEWERGQSSRARSKSRFLQEEDHHSRSRDADYGKRRRLTSE >OIW10683 pep chromosome:LupAngTanjil_v1.0:LG06:4023994:4024551:1 gene:TanjilG_16055 transcript:OIW10683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRQSLGSPSSKLYNNGGSKEEEVEPAKIHRFYFPLPTPHTFLHLIPILTLLCFFFLYLSSHPPSPSDLDQFTRLRHHHLDSTMEISENIEQHYMDEKIGDVFALRNLPNLQQIRLHRKLAEF >OIW09103 pep chromosome:LupAngTanjil_v1.0:LG06:31576110:31579644:-1 gene:TanjilG_16330 transcript:OIW09103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAENPNWWSMHPASLIPPQYVLGSSSIPFNSLAENQEPPQSWSQLLFTGLHGEDESMGLSHFQSKKLENWDEQILDPSSRIPILDIIKQEVSQSSSLYGHEEFQADGSSWSNMVPISSPRSSVTSSFSSNNILDLTYNKVDHRKINQLPDQIFECNSTATVGVNKKARVQQSSSQQPLKVRKEKLGDRITALHQLVSPFGKSDTASVLLEAIGYIRFLQSQIEAHTSPYLDNNASENMRNQDYFLNDNALKRKGAPNKDREVKVKELRSRGLCLVPLCCTHHVGSENGNDYWLPLNGSGF >OIW10156 pep chromosome:LupAngTanjil_v1.0:LG06:7161248:7164776:-1 gene:TanjilG_27907 transcript:OIW10156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKGCCFAGIDRVFTHHSKKKVVNDSDNKSTKEQKKGKLRHGETKSFIPLFREPSSIEKIFGEFEREQQILTVRPPTPPEQPKTSPFVPPRDASPRPTSPRPPSPRGASPRAPSPQLTSPKAASSHIVHIPKEVGYRAEPTLTNQHASATKIQAALRGYMARRSFRAIKGLVRLQGVVRGQNVKRQTVNAMKHMQLLVRVQSQIQSRRTQLLENQARYQAEFKNDNASTLGKLSEAHGDWDDSLLTKEEIEARLQRKVEAVLKREREMAFAYSHQLWKGSPKSNQTPITDMRSGRFPWWWKWLERQLPETSNSQERQVLKNSQLTPPRPHSEQKTSPRPTSSTSMQHHFANDNMDTPTPKSTKSTIVTYSKPPRTPPYRTPQPNSSKYSRPRAIGAHSPFDVAMKDDESLTSCPPFSVPNYMAPTASTKAKVRASSNPRERFGGTPTSESSKRRSSFPLSQASGSLLSSKDSSSKRVPDKYGSLESLGNMSVNSIVSMPAAVGRKPFTRFV >OIW11074 pep chromosome:LupAngTanjil_v1.0:LG06:1775757:1776620:1 gene:TanjilG_22881 transcript:OIW11074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANKYHIRSNSFPSASHPSTTRIEEELSNLKTFEATSTSTSESFGTSLSFLQDLYICLDDLLNLSSTRKLISQYKDEKCVEEVLDGSVRLLDICGITRDTISEIKGNVQALHSALRRRKGDSSIEKSVAEYIFFTKKVKKNAKKLITSLKQMDSKFGVSLFLNQDQDIAALIRVLREVITMNMSIFQSILSFLASPSSKSKINKWLMVAKLMQKGVKSCEENSNELQCVDAALSTLLNDGSNVEKMQAARDNLEALENAIESLENGLENVFRFLIKTRTSLLNIMSQ >OIW10023 pep chromosome:LupAngTanjil_v1.0:LG06:10706121:10706384:-1 gene:TanjilG_32763 transcript:OIW10023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQQSESESQGNKLTVTTSPKSDGGVTPLPKGQCLCSPTTHEGSFRCRLHRSTGTGTGSSSTQPPWMKRSKSILANKAVVSVSHNH >OIW09509 pep chromosome:LupAngTanjil_v1.0:LG06:24184622:24190662:1 gene:TanjilG_11631 transcript:OIW09509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTQKLPILALSRALSRALSRALSSSTSAAASESGPAFPVKTVTTANFEATLSELRHHVRASDFVAIDLEMTGVTSAPWRESLEFDRSDVRYLKVRDSAQKFAVVQFGVCPFRWDPSNRSFIAYPYNFYIFPRQDHMGLGPSYEFLCQTTSIDFLAKYQFDFNACIHEEVLKAYDLKLVTIVSSSEGLGLVYCLRKSILTHRIGIWLKQERDAMTSLNSTYDSQWSDVCKLKDARDIPLVSTADILFTERMKNRFSEWRDGLLREQNQEDQSQGISKDSKQQLQVFFFKMHPALRLNGFTSHQLKLIQLVIRKHFKDLSYVCVNSEASDLQQIVVYTDSKDEQNLLLKEVKEENCKAAEMKIQAAVGFRHVIDLLSAEQKLIVGHNCFLDIAHVYSKFIGPLPVTPEEFVTSVNNYFPHIVDTKILFNTNLILQERMKRSRKSLASAFSLFCPQIAAGSQSTDLGSPCHVKVDVEVDDSRSSSWNPGVKHEAGYDAFMTGCIFAQLCNDLGVDFKLHESSQQLALNEKLRKYVNHLYLSWLHGDIIDLSSGVKVGDSSPSQSLKRRYPKIVFENIAIVWGFPSTLKASELRECISKVFGSTSVVSVYHLDTTAAFVQFSKTEQVSDFLLLKETLERSDGPIAVLHPLAKLLEGGNTCAADYDTYKEICSSPLSESLFADQAKAFGIKWKTKLVEYEIAPVYEEHENPSSQSDLNTAVRYAEKAKPNIIDQLRNEPPRRQVSSGIADSYAAEANL >OIW09479 pep chromosome:LupAngTanjil_v1.0:LG06:25624372:25625189:-1 gene:TanjilG_23058 transcript:OIW09479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALICFNSLSLHSPLPPSSSSILAIATTPVSRVHLNHIVGLPAKCLRKVQIFSAPFIVKRNNAGIVGAVGDGVDGATSSDVGGGSVSAVPASESTKEAVSVDKLPLESKLKEREEQRLRMKLAKKIRLRRKRLVRKRKLRKKGRWPPSKMKKLKNV >OIW10482 pep chromosome:LupAngTanjil_v1.0:LG06:6045827:6046561:-1 gene:TanjilG_00420 transcript:OIW10482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHPTWYHCCVHKQQQLQNLKLADSFRIEAHAFIRYYLPMNDPNSHPLIIDTIPLFQTSFNLPCKHIFEINHKDFLRRNLVNSLYATLLTYGSPDHIESLSCEIIAQLRELYISHASKHGFTESPDLIGESFDFDVNISIDVPINISDEELGVQEQLSMQEDVKMVPASNKDVQSLKTYKLPQQCQICLEKFYGEKEDDDGDVEITTMPCGHVFHHHCIIQWLQTSHMCPLCRSPLSTDNKRKR >OIW10740 pep chromosome:LupAngTanjil_v1.0:LG06:3582561:3585706:-1 gene:TanjilG_27686 transcript:OIW10740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTRIGQWLNLIVVNVLLLLKIEASSYVPITYVQNAVAKGAVCLDGSPPAYHFDKGFGAGINSWLVQFEGGGWCNNVTTCLNRKNNHLGSSKQMAKQIAFSGILGNKQQFNPDFYNWNRIKVRYCDGASFTGDVEAVNPVTKLHFRGARVFAAVIEDLLVKGMKNAQNAIISGCSAGGLASILHCDRFRSLLPRGAKVKCISDAGYFINARDVSGTRYIEQLFSEIVATHGSARNLPPSCTSRLSPGMCLFPQYFVSQIATPIFLINAAYDSWQIKNILAPGVADPHGRWHSCKLDINNCSPNQLGTMQGFRTEFLRALTVLGNSQSKGMFIDSCYAHCQTEMQETWFTTDSPLLAKTTIAEAVADWFYDRRLFHQIDCAYPCNPSCHNRIFYQDNPGV >OIW10494 pep chromosome:LupAngTanjil_v1.0:LG06:6146294:6146926:-1 gene:TanjilG_00432 transcript:OIW10494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLTPKFHVPMLLSLILLVLVPISTAKASDSTPLHEVLRNHGLPEGLFPQGVRSYKLDQNGALEVHLGRPCLAHYETRVFFDSVVKANLSFGKLKVLEGMSRQELFLWLPVKDIIVTDPSSGLIVIDIGFAFKHLSFSRFDNSPTCITHPPSTSLGLALKIGGRKGFGFSDQ >OIW09622 pep chromosome:LupAngTanjil_v1.0:LG06:22002954:22004213:-1 gene:TanjilG_28221 transcript:OIW09622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFRNKSKPPWSSLCIDILLNISNRLEFIDNLRFRAVCSTFRAVNPLPFHPNFPLLPFPIKPNNHENLVGHFSLVEVTTLNIQPLKSNNKCWLIKVEASQEEVGGKVHLKMLNPLSSYYLDDYLKELPESFDVLRNRVSIVGKGYYLKQEGNNTSLDGEDNQDIQRVFVSSMEDDFVVMAMHSNGKISVWRKGDKKWTHIENGLGQCEDIVLHKGKFYVVEKTGLTVIVDKDFNVTKVASSLPLSRQNYGYGKDLVEIEEELYLLLRYRKRNVKKDGSSGIYPYGFEGYKLNEEKLKWEKHEKKAQMVDPAVTQNERGDLVVLGL >OIW10055 pep chromosome:LupAngTanjil_v1.0:LG06:10371176:10377619:1 gene:TanjilG_32795 transcript:OIW10055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSSTTTKLSELPLRTSLSPFTTLNSLLFSSSSYSHFSHSNFNKFPLQFLNSYSSSFPTIHRNYSSNTLSSPWLTKWPSNPNPPPYRKTLPDSNPDGGDDGLFDPIVDTRYSRNNDDDKPSNAIDRVVLRLRNLGLQDEEQQQYEVNEVSGDLLGREWIRPDTSFREDNDDMVLPWEKEEVEEEKGETEGEKIGLRKRRVKAKTLAEMTLDEEEMRRLRRIGMQLRDKVNVPKAGLTKVVLDKIHDGWRKSEMVRLKFHEVLAKDMKAAHQIVELRTRGLVIWRAGSLMLVYRGSNYERSTSGSQLSNSLLRTEGDNATSSLEENEPVVRNQGQPEIMTPEELDFNRLLDGLGPRFVEWWGTGILPVDADLLPPTVPGYKTPLRLVPAGMRPRLTDAQLTNMRKISKPLPCHFALGRNRNHQGLASAILKLWETSLVAKIAIKRGIQNTNSELMAEELKKLTGGTLLIRNKYYIVIYRGNDFVPTSVASVLAERQELTKQVQEVEDMVRSGTVDVTPSEEGETTAQAGTLTEFYEAQVRWGRDISTEEHEKMMKEAAEVKNVKLFKKIERKLALAQAKRLRAEELLRKVEASLIPAGPDYDQETITDEERVMFRRVGLSMKAYLELGTRGVFDGVIENMHLHWRHRELVKLITKQKTLTFVEDTARLLAYESGGILVSIDRVPKGFTLIYYRGKNYRRPITMRPRNLLTKAKALKRAIAMQRHEALSQHITELGKKIEEMRKGLALSQDLKPEDRCSIEDHNQIHRISEFAQNEDEGSDYDDSDAGFDYEDDGGWDDEDSECPNLENDGYPVKAG >OIW10747 pep chromosome:LupAngTanjil_v1.0:LG06:3454255:3487215:1 gene:TanjilG_27693 transcript:OIW10747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGPLFDFCRPEPSQEPMVVTPPLDMKQAESSQQHDKMAALEINLPSEGHYGVTKFPFVILHPYFMSEIAKILNKICPGCKSIRRELQNKVYEGEVVLAEQADKSTLAAHQATDQGYINEPDVEWEKLNEVNGDTLFMTSNFKEFKVEESHESNTWDENNAMTSTENKDVGYVENQQQNIADAIDLLPRLATGIDVNLKFRRSVLLFI >OIW09971 pep chromosome:LupAngTanjil_v1.0:LG06:11689479:11691400:1 gene:TanjilG_29997 transcript:OIW09971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWQTYVDEHLLCDIEGNQLTSAAIIGQDGSVWAQSSNFPQFKPEEITAIVNDFAEPGSLAPTGLYLGGTKYMVIQGEPGAVIRGKKGPGGVTVKKTSQALIIGIYDEPMTPGQCNMVVERLGDYLIDQGL >OIW09587 pep chromosome:LupAngTanjil_v1.0:LG06:22539036:22539458:1 gene:TanjilG_28186 transcript:OIW09587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERIRELNGSDVKFLMHKKLCSSDLSKNNNRLSMPKSKIECEFLTEDEHEKLNERKEDSRRGLVGMEITVVDPYLREYKITFKKWEMKKNPEDDDMKGVIYNLVTNWHNIVNDNEFQINQQLDIWSFRVDGKLYLLLNHV >OIW10889 pep chromosome:LupAngTanjil_v1.0:LG06:2257326:2260477:-1 gene:TanjilG_27835 transcript:OIW10889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLLLCSNPLLQVVSVSLARDFQILLQVKTTQLDDKNNSLKDWVPNRDHNPCNFTGITCDARNKSVISINLSEIGVYGNFPFGFCHIHTLQNLSLASNFLGNAIYPHSLLLCSHLHLLNLSNNYFVGSLPEFSPEFDQLRVLDLSNNNFTGDIPGNYGNLPQLEVLILSGNLITGTIPSFLGKLNALTQLELAFNPLKPGPLPSQLGNLSNLEVLYLAKLNFIGDIPDSIGNLVSLKHLDLSQNSLSGKIPNNISGLIKLKQLYLFENQLSGELPQGLGNLSNLIDLDISQNFLTGKLPDTVASLHLFSLSLNDNLLEGEIPESLASNPNLQHLKLFNNSFDGKLPQILGENSDLEDFDVSTNHLSGELPKYLCQRNKLQRLIIFDNRFSGTLPDQYGHCDSLGYVRIKNNQLSGHVPPKFWSLPKLGFLEMDNNRFQGSISESISNSKGLSTLTLYGNSFSGQFPTGICELDQLLKIDISKNMFNREAPSCLTRLKKLQNLRMQENMFTGEIPSNVSSWTELTELNLSYNQFHGSIPPELGDLPQLMYLDLAMNSLTREIPVELTSLTLNQFNVSGNKLKGEVPSGFNHEVYLSGLTGNPGLCSPVIKTLPSCSKHRPFSLIAIILLAACVVLLLLVSLLWFLKKRSLPKQSFKVTTFQMVGFNEEDLVPFLTSENIIGTGNSGRVYRVDLKVGQTVAVKKLWGGTQGPDMESVFRSETETLGRIRHANIVKLLFSCSADDFRILVYEYIDNGSLGDVLHEQKYGQFLDWSKRFNIAVGAAQGLAYLHHDCVPAIIHRDVKSNNILLDLEFKPRLADFGLAKILQHEHSERVCAMSRVAGSYGYIAPEYAYTLKVTEKGDVYSFGVVLMELITGKRPSDPSFGENKDIVKWVTETAISCSEEESGNIGGHRFNLSNIVDPRLNLETSDYDEVEKVLTGALLCTSVFPLKRPSMKKVVELLKGHSDRHQCTTSK >OIW09288 pep chromosome:LupAngTanjil_v1.0:LG06:29132665:29133138:1 gene:TanjilG_01259 transcript:OIW09288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHDGVNRESSKRPKLKYSICCFSSTISNDALEQGELYYNKLNTPRTPTTPISPSSWFKKSIASEFCGDPPQVRARSLKFRMGRRHNRRTSQSVDFSYDPLSYALNFENEGREEFSSGNFSSRLPVSPPVSPSSTKFSEELTRTLYPKKLLDSIEIH >OIW10232 pep chromosome:LupAngTanjil_v1.0:LG06:7944411:7946043:1 gene:TanjilG_27983 transcript:OIW10232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTILRFSCKIKTQEQQPYVNPNLDPVLLVPGVGGSMLNAVDDRNGTEERVWVSVLAAECKMKTKLWSRYNPSTGKTESLDPNTRIMVPGDRNGLYAIDNLDPDLLIGSESVYYFHDMIIQMLKWGYQEGKTLFGFGFDFRQSNRLQETMDRLAAKLESVYNAAGGKKIDIITHSMGGLLVKCFMCLHSDIFEKYVKNWIAICAPFQGK >OIW10606 pep chromosome:LupAngTanjil_v1.0:LG06:4814533:4817500:-1 gene:TanjilG_15978 transcript:OIW10606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLCTVQPIIISKTPNASPLLLPNPKPSFPFRKVPTISTAALLSRSICLRNVLSKATASEESSSGASQVFDEKRDGIIVLDEVEAVDKKGFNEIDPKQELPVEEQEGLSLNVLDNLNTKFDADDAGAVVLYAGGAVLALWLTSAVIGAIDSIPLFPKVLEVVGLGYTVWFTSRYLIFKKNRDELIVKIEELKEQVLGSED >OIW10158 pep chromosome:LupAngTanjil_v1.0:LG06:7179471:7182522:-1 gene:TanjilG_27909 transcript:OIW10158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHCDKSIVKVEPFLNEQINTAKVFEDMEVDIVSWTNKGDLAVNKNEDPDATENSSSFADTTSDTENCYRLSDAEVESELIGDNDSSCTIGAFGSAFQIRWTELRIKEMESQALKYSKELAEYDKGKHMEPDLYTLEEFGSKSLPFSSDQYRKNKKSDPDGCLADDSSNPVITEVHADPTQGFGITDDQYDQYFSEFGDADASLEQLLWAIDNVHARIHNLKNHMNEIMSKNASKFSSSENLSLLLPHGDVQTSSAHSPIISAENGDAVSVGATYNSNQHVADFDLGDFVMPGSAVSSYGEVIIVPDIIESTVGLLSSVDVTLHPPQAGDLCENMVDNILIHEVAEMKEHTLISATHYPDEKLQDIVKSEAEENLHPAFNSMSDFDVAANSSTVSREQSNLEPYLYKDANILMNKRKRGERKAGSGAWSKKCSGEPDSQ >OIW09679 pep chromosome:LupAngTanjil_v1.0:LG06:20249628:20252342:1 gene:TanjilG_06485 transcript:OIW09679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIDSEFSSSPPGLEDWNEKSEKALKFIEDMTQNTDSVQEKVLSEILSQNAETEYLKRFQLNGATDRDSFKSKVPVVTYEDLQHDIHRIANGDKSPIFSTHPISEFLTSSGTSAGERKLMPTIHEEMNRRQLLYSLLMPVMNLYVPDLKNGKGLYFYFIKAETKTAGGLVARPVLTSYYKSEAFKNRPYDPYNIYTSPDEAILCTDSFQSMYTQMLCGLIMRHQVLRVGAVFASGLLRAIRFLQLNWHHLAHDISTGTLNPKITDPSIKECMSKILKPDLELADFVTKECCGENWEGIITRIWPNTKYLDVIVTGAMAQYIPTLDYYSGGLLPLACTMYASSECYFGLNLNPICKPSEVCYTIMPNMGYFEFLPHGSNDSNDLVELANVEVGKYYELVITTYAGLCRYRVGDILQVTSFHNKAPQFRFVRRKNVLLSIDSDKTDEAELQNAIENASNLLKEFNTTVVEYTSFADTKSIPGHYVIYWELLMKDHSNAPTNEVLKKCCLTMEESLNSVYRQGRVADNSIGPLEIRVVKSGTFEELMDYAISRGASINQYKVPRCVSFTPIMELLDSRVVSVNFSPAAPQWTTERRRFEG >OIW10880 pep chromosome:LupAngTanjil_v1.0:LG06:2317312:2321419:-1 gene:TanjilG_27826 transcript:OIW10880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSREQPPHHPNVMVGPTSFPNTIPPSIMAPATARFPFTSMPHHHHHQPPPPPSNNNNSSSEPFTINNNNNNNSVAPYEDGSSSALKPCGLTAIDSGKKKRGRPRKYSPDAANIALGLAPAVTQQVSPPPSGGSSAPSSEPLAKKHRGRPPGSGKKQLDALGAGGTGFTPHVIFVETGEDIAAKVVAFSQEGPRTVCILSAHGAISNITLRQPTTPGGTVTYEGLFEIISLSGSTLLSEKGSGHSKMDGLSVSLAGSDGRVLGGIVAGTLMAASQVQVIVGSFIAERKKSSSNNLKSGPSAPSPQMLTFGAPASPTSPTSQGPSSDSSDDNDTGPGLYNNAPPPIHNMPLYHHPLWAGQTQQ >OIW09518 pep chromosome:LupAngTanjil_v1.0:LG06:24437361:24440116:1 gene:TanjilG_11640 transcript:OIW09518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTCIENFVQGEEDEHIFCSKYSPVPVPDNVTLPEFVLQHAELYADKVAFVDAVTDKRITHGEVVRDTHRFSKALSSLGLRKGHVVIVVLPNVIEYGIVALGIMAAGGVFSGANPASHISEIKKQAESADAKLIVTNSENYEKLKALEIPVIVLANELVEGAMNWNKLLEAAENAGDVIREPIQQNDLCAMPFSSGTTGVAKGVMLTHRNLVANLCSTLFGVGPEMIGQVTTLGLIPFFHIYGTTGICCATIRNKGKVVVMGRFELKTFLNALIAHEVTFAPIVPPIILSLVKNPIVDEFDLRKLKLQAVMTAAAPLAPELLTAFEHKFPGVLVQEAYGLTEHSCITLTHAQKGLVSPQKNSVGFILPNLEVKFIDPDTGRSLPRNKPGELCVRSQCVMQGYYKQVDETAQTIDKNGWLHTGDVGFIDDEENVFIVDRIKELIKYKGFQVAPAELEAILLSHSSVEDAAVVPLPNEEAGEIPAASVVLSQGAKENEEDIMNYVASNVASYKKVRVVHFVEAIPKSPSGKIMRRLIKERMVEKIKANSNNF >OIW09554 pep chromosome:LupAngTanjil_v1.0:LG06:22888454:22891424:1 gene:TanjilG_28153 transcript:OIW09554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSWDEAPDIICHLENVQGLVDVLTAARWKRHQYALLEFSEHGVVLIVEESGCLQAKVYLKRELFIRYDYNAHSRRRFGVSLGLFVDCLNAFSLPAHSNLIQIQYPGPQMQLLLKSVDSPDASICAEISTRIPETIAWDYNFEPAGANPLTFTVKSAALKEAIEDLEWPGSSIQIILEPDPPSVRLRGEGHGDLQIDFMYYANSDLLLAFQCDQRTSFKYKYKFLRATISNMPSSVLKENRGSKLSIGRGGMLKVQHLVSIAKPSASHAYGGDSVGYQQPGRIAHIEFYVKPEESDD >OIW10498 pep chromosome:LupAngTanjil_v1.0:LG06:6173050:6173290:-1 gene:TanjilG_00436 transcript:OIW10498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSGHSTPSFHLSDDQILLDRYQPLRCMNSAKDRAILCILPSSKMLHQIL >OIW10654 pep chromosome:LupAngTanjil_v1.0:LG06:4283996:4290394:-1 gene:TanjilG_16026 transcript:OIW10654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNGNGSMTMNGNGLAKIQTHKKHSNEICHDDSGPTVKAQTIAELHSLQKKRSAPTTPIEGTQTPFATLSEEERHRQQLKSISASLASLTRETGPKVVKGDPARKSETPRVSHEHHIAPTIVVTDSALKFTHVLYNLSPAELYEQAIKYEKGSFITSSGALATLSGAKTGRSPRDKRVVKDDDTENELWWGKGSPNIEMDEHTFMVNRERAVDYLNSLDKVYVNDQFLNWDPENKIKVRIVSARAYHSLFMHNMCIRPTPEELENFGTPDFTIYNAGQFPCNRYTHYMTSSTSIDLHLARREMVILGTQYAGEMKKGLFSVMHYLMPKRHILSLHSGCNIGKDGDVALFFGLSGTGKTTLSTDHNRYLIGDDEHCWSETGVSNIEGGCYAKCIDLSKEKEPDIFNAIRFGTVLENVVFDEHTRQVDYSDKSVTENTRAAYPIEYIPNALLPCVGPHPKNVILLACDAFGVLPPVSKLNLAQTMYHFISGYTALVAGTEDGIKEPQATFSACFGAAFIMLHPTKYAAMLAEKMQKHGATGWLVNTGWSGGSYGYGSRIKLPYTRKIIDAIHSGNLLNANYQKTEIFGLEIPTALEGVPSEILEPVNMWSDKKAYKETLLKLAGLFKKNFETFTNYKIGKDSKLTEEILAAGPIF >OIW09385 pep chromosome:LupAngTanjil_v1.0:LG06:28268601:28275709:-1 gene:TanjilG_20982 transcript:OIW09385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSDIAAILENSKELDRLRKDQEEILLEINKLHKKLQTTPEVVEKPGDNSLSRLKVLYTQAKDLSDSEANISNLLISQLDAILPPGPQGQPRRRIADSICVTEGNEQKRKRVKTESDISRLTPSMRNQLEACASLKGEQVAARVTPRNAVKDEWFVVKVIHFEKESKEFEVLDEEPGDDEDSSGQRQYKLPMGNIIPFPKSNDPSSAPDFPPGKHVLAVYPGTTALYKATVVHGHRKRKTDDYVLEFDDDEEDGSLPQRTVPFHKVVPMPEGHRP >OIW10787 pep chromosome:LupAngTanjil_v1.0:LG06:3112532:3120722:1 gene:TanjilG_27733 transcript:OIW10787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTKGFEDVVHSRPLFLTIYTVVVVGIVVSSLYIFSAFYSNNNPSSFLSSFSSSSSSSSPSGEEPHLTDQILNVSQSKTMHAVSSPAPEPQNVWTRPTFDVPPHNKKMPPMESFRLTKELVQQRVKDNIIIVTFGNYAFMDFILTWVKHLTELGLTNYLVGAMDTKLLEALYWKGLPVFDMGSHMSTIDVGWGSPTFHKMGREKVILINSMLPFGYELLMCDTDMVWLKNPLPYIAHYPEADVLTSSDQVVPTVVDDSLEVWQEVGAAYNIGIFHWRPTESAKKLAKEWKELLLADEKIWDQTGFNDIVHRQLGPSVDDESGLVYAYDGNLKLGILPASIFCSGHTYFVQAMHQQLRLEPYAVHTTFQYAGTAGKRHRLREAMLFYDPPEYYNPPGGFLSFKPSIPKSLLLIGNHTIGSHFTLINYQMKQIRTALAIASLLNRTLAMHQQLRLEPYAVHTTFQYAGTAGKRHRLREAMLFYDPPEYYNPPGGFLSFKPSIPKSLLLIGNHTIGSHFTLINYQMKQIRTALAIASLLNRTLVMPPLWCRIDRLWFPHPGVLEGSITRQPFLCPLDHVFEVDVMLRELPEEEFGPKIDIREYSILENSALPAEVKKSWLNVQLCKKGTKDCNASNNTTVGGALKFPKHSNEETFIEIFSSFKHVKVIQLSSVQDAFTGFTDKASPLCPFPFKEREQSFRKRVKHYVGIWCCVLDHTPGHIYYDMYWDEKPGWKPIPPQTSADDHPPW >OIW10233 pep chromosome:LupAngTanjil_v1.0:LG06:7946656:7952233:1 gene:TanjilG_27984 transcript:OIW10233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQLLIECPSLYEMMGCPSYHWQHIPLLELWHEKQHSDGESHIILESYPPGDNIEVLKQALTNNTVNYDGVDLPLPLNLEILKWSNKTWEILSSAKLPSQVKFYNIYGTGLDTPHSVCYGNEDKPVSDLQQLCHLEAKFVCVDGDGTVAIESAKADGFNAEARVGIPGDHRYILSEPHLFRILKHWLKAGDPDPFYNPLIDYVVLPTAFEIERSKEKGLEVGWEIISKDQDDQSNTSADITSLRSISALHGSANQSYSEAHATVVVHPGNDGKQHVQLNALAVSVDAS >OIW11023 pep chromosome:LupAngTanjil_v1.0:LG06:1391032:1393998:1 gene:TanjilG_22830 transcript:OIW11023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTSCASSRKNLSKIACNRLQKELLEWQVNPPTGFNHKVSDNLQRWVIEVTGAPATLYTNETYQLQVDFPENYPMEAPQVIFLNPAPMHPHIYSNGHICLDILYDSWSPAMTVSSVCISILSMLSSSTVKQRPEDNDRYVRNCRNGRSPKETRWWFHDDKV >OIW09517 pep chromosome:LupAngTanjil_v1.0:LG06:24426412:24426867:1 gene:TanjilG_11639 transcript:OIW09517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPLDDPFRTLEQTPLNIPKGIETLALARADWKETSSSHLIALDLPGLKKEEVKIEVEDNRVLRISGERKGEEESEGEKWHRAERGNGKFWRQFRLPVNVDLDHIKAHLEDGVLKIIVPKLSEDMKRKTKVIDIAQKGTSGEDIMAIKAEM >OIW09422 pep chromosome:LupAngTanjil_v1.0:LG06:28089458:28090061:-1 gene:TanjilG_14573 transcript:OIW09422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRKVVVMHSVKDVEFEYFGNFGEGRGPEGGWPKGESCWGENERSIEKDADMDDLQLLEARQKERCVVPIKETLCKRALSKLGDKDKSYSLHSVPILALDSLDWTDEAAYCPDKVLDVAVPFGVVDVEVDEVGYLNSCDLEKGVKVKLGSNNGHRKGLGFSYKAQKGKNKIVDRSSP >OIW09588 pep chromosome:LupAngTanjil_v1.0:LG06:22527796:22532232:1 gene:TanjilG_28187 transcript:OIW09588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESYIGCFITLISNYDIRYEGILHFLNLHDSTIALKNVRSYGTEGRRKDGPQLPPIDKTYDFILFRGNDIKVKSPPTSLKAEEQVLDDPAIIQQQYSGVISSPVPSVCSGSLTESVQTHDTPAITSRAVPAELSSRRSVAQLGPSSSPLNQSAATQVASPRSFSTSVTWQGNNEASTTSSYSRQQSSPIRPPSKSPLTMQHWMQTPETQAPTKVGWTPLSDYGAPSSSISASLLVNPTSPSPTSVQISDSLNSSALWSTKPPMPYSVSMASNGSNMSSFSSPFRDINSVDGQMFAKISPNPVLSHPGHFIRHPASSFVGFTSGPLLTPPSLLTPDHLAQPRPHFLSLAHNFNPDRKDMNCSTLTSFSSSVLVPSSASQAPPLPLPNSVVFQKPFCPPLEFLEEFDFEAMNEKFKKDEVWGSLGRATEKVEDYDHYCLIPNPKPAYNKDDFFDSISGNSLTRGLRNGQNRFTERMKQDTETFGNFQQRPNFSYGGNRGGRGENFRGAYGSGRRGYGYGGRRYGPNLHF >OIW10920 pep chromosome:LupAngTanjil_v1.0:LG06:1981528:1981809:-1 gene:TanjilG_27866 transcript:OIW10920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWALEYDPDLFNIYEDSEGEKSEESKGRLKSIRHYGMFERENMKNGAKNGEEAPLPIFVFLVASVLKEKSAILLQQARGLDDVVKVSHVTFQV >OIW09818 pep chromosome:LupAngTanjil_v1.0:LG06:14451118:14453446:1 gene:TanjilG_32256 transcript:OIW09818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTTTPSLESAEEVASKAMHKRYEGLIMVRDKAIKGKGAWYWAHFEPLLIYNTETGHPKAVKLRCSLCDAVFSASNPSRTASEHLKRGTCPNFNNNNNNIVVKPICSVSPTSASASVVVSHHKRSSSYNVSSSLVDPSYHVSTSVADLVPQQQQHLVLSGGKEDLGALAMLEDSVKKLKSPKLSSTWSILNNTQINSAFDFLADWVFESCGSVSFSSLEHSKFKAFLQQVGLPPVSAREFIGTRLDTKFEEAKVESEAKIRDAMFFQISSDGWKHKSNNTYGGEESLVNVSVNLPNGTSLYRRALFVTGSVPSIYAEEVLWETVTGICGNIVQQCVGIVTDRFKAKALKNLEEQNHWMVNLSCQYQGFNSLIKDFSKELPLFRNVAQNCLKLANFMNYKSQVDYLGSVCEFSFKKKWKTSIS >OIW10077 pep chromosome:LupAngTanjil_v1.0:LG06:10157355:10159023:1 gene:TanjilG_32817 transcript:OIW10077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSPKDIVALLKAQSIQHIRLFDANQALLLALAKTGISVTISVPNDQLLGIGQSNATAANWVNRNVIAHVPATNITSIAVGSDVLTTLANAAPVLVSALKFIQSALVAANLDQKIKVSTPYSSSIIVGSFPPSQANFNRTWNQQVTVPLLKFLQSTGSYFMLNVYPYYDYMQSDGRIPLDYALFRQLPPNKEAVDSNTLLHYTNVFDAVVDAAYFAMSDLNFTNIPIVVTETGWPSKGDSSEPDATVDNANTYNSNLIKHVLNNTGTPKHPGIAVSTYIYELYNEDLRSGPESEKNWGLFYANGEPVYTLHLTGAGTLFANDTTNQTFCVTKSNDDPKMLQAALDWACGPGKVDCSPLLQGQPCYEPNNVVAHAAYAFNAYYQKMAKSAGTCDFKGVATITTTDPSHGSCIFPGRYDH >OIW11177 pep chromosome:LupAngTanjil_v1.0:LG06:127978:129365:-1 gene:TanjilG_22984 transcript:OIW11177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMATSTLTSSFVSSNPKSSSFQFNGNPFSSRMKSIPQQHTSNTMISMSLTTPPYDLNSFKFQPIKESIVSREMTRRYMTDMITYADTDVVVVGAGSAGLSCAYELSKNPNISIAIIEQSVSPGGGAWLGGQLFSAMVVRKPAHRFLDELEIEYDEQEDYVVIKHAALFTSTIMSKLLARPNVKLFNAVAVEDLIVKGGRVGGVVTNWALVSMNHDTQSCMDPNVMEAKVVVSSCGHDGPFGATGVKRLRSIGMIESVPGMKALDMNAAEDAIVNLTREIVPGMIVTGMEVAEIDGSPRMGPTFGAMMISGQKAAHLALKALGKNNAIDGTCELGIETPNLVLASAETKEIVDA >OIW10360 pep chromosome:LupAngTanjil_v1.0:LG06:9135248:9142269:1 gene:TanjilG_28111 transcript:OIW10360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVYYKFKSARDYDSIPMDGPFISVGTLKEKIFETKHLGRGTDFDLVVTNAQTNEEYLDESMLIPKNTSVLVGRVPGRPRLPIVTEIEQKLENKMAEIEPDNSSLPVANTSAMKYTEDMDWDEFGNDLYSNLDALPVQSSNFIPDAPPTNNADEDLDSKIKAVVDTPALDWQRQGSDFGGGRGFGRGMGGRMGGGRGFGLERKTPPQGYVCHRCKMPGHFIQHCPTNGDPNFDIKRVKQPTGIPRSMLMVNPQGSYAMPNGSVAVLKPNEAAFEKEIEGMPTSTRSMGDLPPEFHCPLCSDVMKDAVLTSKCCFKSFCDKCIRDYIISRSMCVCGAANILADDLLPNKTLRDTINRILESGNSSAENAGSIFQVQDMESARCPQPKIPSPTSSAASKGGLKILPVSEEKINIQETAVDRNTVSAPQQTSEQVRIIRAADVSEATHESMTVKVQASQGSARPVEEEVQQSSVPTEAGKKKKKKKIRLPTNDLQWKNPHDFAAENYMMPMGPPAGYNSYWNGMQPCMDGFMAPYAGPMQMMGYGLGPLDMPFPSGFPPGPFGMQGYMMPPVPPHRDLAEFSMGMNAQPPAMSREQFEARKADLRRRRENERPGARDFSKDREFVGREVSSVGNGPSVKSKTKSPIPPPSSSSDYHPQRHRSERLSPVRSRSPIHAELPPPPKRKSDRERSNERHFDHDHRDRDRDRHNHDDQRHHRHHHSGSSSKKSTETAVIKTTTSTAAEAAAAAAADRKHKASVFSRISFPSEEEVSKKRKISTAPSSTTEPTPAVSNGYYGGSRKNNNVDYESSDDERHFKRRPSRYEASPPPPPADSRGTRERKQR >OIW10361 pep chromosome:LupAngTanjil_v1.0:LG06:9143101:9146698:-1 gene:TanjilG_28112 transcript:OIW10361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESIVKYGIIGVGMMGREHLINLYHLRTEGAVVVAIADPHLPSQQLALQLSNSFSWPLKVFSGHQELLDSGLCDVLVVSTPNMTHHRILMDIINHPKPHHVLVEKPLCTTVSHCKEVIHAARKRPDILVQVGLEYRYMPPVAKLIEIVKGGSIGHVRMIAIREHRFPFLVKVNNWNRFNVNSGGTLVEKCCHFFDLMRLFAGANPVRVMASGAIDVNHKDELYDGKVPDIIDNAYTIVEFDNGSRGMLDLCMFAEGSKNEQEISVVGDVGKGEAFVPENVVRFGARETGRDGVQTLKAEDQRIKYDGLHHGSSYLEHLNFLAAIRTKGEKAPPVDLQDGLISVAIGVAAQLSIEYGRFVTIEEVM >OIW10616 pep chromosome:LupAngTanjil_v1.0:LG06:4716128:4722376:-1 gene:TanjilG_15988 transcript:OIW10616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQTSYDHHEWNPIKPRHSRLLRSALQRETPISQLSELWSPLENQGWKPCQSRKPTLPEKSEGYIQVFLDGGLNQQRMGICDAVAVAKILNATLVIPYLELNPVWKDSSSFMDIFDVDHFIDVLKDDISIVKELPEEYSWSTREYYSIAIRETRIKAAPVHATGHWYLENVLPVLQSYGLAAISPFSHRLSFDNVPMEIQHLRSKVNFEALIFVPHIRTLGDALISRLRYPQGSAEEMGSNYLQEVTDAGDNKKPAKFVVLHLRFDKDMAAHSACDFGGGKAEKLSLAKYRQVIWQGRVLNSQFTDEELRSQGRCPMTPEEIGLLLAALGFDNSTRLYMASHKVYGGEARISTLRQLFPLMEDKKSLTSPLERSLIKGKASLLAAVDYYVGMHSDIFISASPGNMHNAMVGHRTYLNLKTIRPNMQLMGQLFLNKAIEWREFQQAVIEGHQNRQGPEMGEEEENVKKRALQIIATFQNLPRLVVFDLDYTLWPFYCECYYEDEMPYLYPQARGILCALKEKGIDMAIASRSPTPKIAKTFLDKLGIHPLFVAQEIFSSWTHKTDHFQRIHRKTGIPYGSMLFFDDEDRNIEGVSKMGVISILVGNGVNLEALRKGLSDFSQNFGSSSSS >OIW10472 pep chromosome:LupAngTanjil_v1.0:LG06:5965066:5970521:1 gene:TanjilG_00410 transcript:OIW10472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYRFSFFFFTFLLLNSSTTSNAIENHIKTVVVLVMENRSFDHMLGWMKKLNPNIDGVTGSEFNLLSVDDPKSRRFMFKDKAEYVDPNPGHTFEAISEQVFGSKNGFSDPAPMNGFAQQAHSIDASNKLSESVMNGFTPDKVAVYKSLVSEFALFDRWFSSVPASAQPNRLYVHSATSHGATSNAALHLTKGYPQQTIFDNLHEAGKDFGIYFQNVPGTLFLRNLRKLKYLSKFHQYELMFKKHAKAGKLPNYVMIEPRYFDTKLFPGNDDHPSHDVYRGQMLVKEVYETLRSSPQWNQTLLLITYDEHGGFYDHVPTPIRGVPSPDGIRSSENFNFDRLGVRVPTIAVSPWIEKGTLVHGAKGPTPTSEYEHSSIPATVKKIFNLPNFLNKRDEWAGTFESILQTRKEPRTDCPRYLPTPVKIRKSEADEEASLSEFQQELIQLGAVLKGDNVLTSYPHTVGNDMNVKQGKEYLEDSVKRFFEAGHFAKKMQVSEEHIVQMKPSLTSRLSKSQITHP >OIW08891 pep chromosome:LupAngTanjil_v1.0:LG06:32782174:32783191:-1 gene:TanjilG_05866 transcript:OIW08891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHCGYQNKNTMTSCEEMRMESVVCPKPRRLSLLSIDNHIKQFWPPFTNCQLEIEESGVGAELLDIIRPKGTCYNPERFGGQVASSPPFFCGSPPSRASNPVIQDEQFGNSNVNVNFSPFSMAPPSPSSSSRSCARVKFGHTPAAVRIEGFDCLSRDRRNCSISAVA >OIW11054 pep chromosome:LupAngTanjil_v1.0:LG06:1643868:1644797:-1 gene:TanjilG_22861 transcript:OIW11054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYPFEWPSSIVQDEYVELWCWKMPSLVATKLGALKCNVWLLLNFGARVTRTDDSEKSEHHLVELLMRKWGLKCIKLRDGFSFVPPYKAFTSKWQHSVDRDSCDLNRKCC >OIW10313 pep chromosome:LupAngTanjil_v1.0:LG06:8661146:8662778:1 gene:TanjilG_28064 transcript:OIW10313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRIWASSTANALKISSASKPHLTSAFSLSRCFSTVLDGLKYASSHEWVKHEGSVATIGISDHAQDHLGEVVFVDLPEPNGQASKGSSFGAVESVKATSDIYSPISGEIVEVNSKLTETPGLINTSPYEDGWLIKIKPSNPSELDSLLDPKEYTKHCDEEDASH >OIW09655 pep chromosome:LupAngTanjil_v1.0:LG06:21570949:21576798:1 gene:TanjilG_28254 transcript:OIW09655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRNSITRRSRRSSSKVMSVEIEDIHDAEELKAVDEFRQALVLDELLPEKHDDYHMLLRFLKARKFDIEKSKQMWSDMLQWRKEFGADTIIEDFEFKELEEVLQYYPQGNHGVDKDGRPVYIERLGQVDATKLMQVTTMDRYVKYHVREFERTFDLKFAACSIAAKKHIDQSTTILDVQGVGLKNFNKHARELVTRLQNIDGNNYPETLNRMFIINAGSGFRMLWNTIKSFLDPKTTAKIHVLSNKYQSKLLEIIDASELPEFLGGTCTCADNGGCMRSDKGPWKDPEIIRMVQNGEHKCSRKCEPQVLEEKTTSEVPATKAYNYEDFVPAADKTAWKKVDDNDKFAVSKAGEESYNTMADSCKIHEKVNSQIFTGVMAFVMGIVTMVRMTRNMPKKLTDANFYSNNSVYAGDQQVSSNQMTTNPAISAQEFVTVMKRMAELEEKMVHMNQNASMPPEKEEMLNAAITRADALEQELMATKKALEDSLSQQEELSAYIEKKKKKKKLFAW >OIW10742 pep chromosome:LupAngTanjil_v1.0:LG06:3571309:3573830:1 gene:TanjilG_27688 transcript:OIW10742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRDEQGLEEWELSVSPQHFYAKEKPSYAIHHSDVEAMKEKFAKLLLGEDVTGGTKSLSTAFTLSNAITNLAVNIFGELWKLEPLSEERKSKWQREMGWLLSPTNYMVELVPAKQSGANGGMFEIMTPKARADIHMNLPALQKLDSMLIEALDSMVKTEFWYAEGGSQAEGRSTTSTCPSKRWWLPSPQVPRTGLSDTERKRLLHHGRVVSQVFKAAKSINENVLLEMPVPANIKDALEKSGKANLGHELHKVLTTESSSGEDMLKSLNLISEHSALEVINRLEAAIFSWKERNSEQFTGKSPVRTSWSFVKDPMSEVDKMELLWERAETLLKLLKTRYPSIPQTFLDATKVQSGKDLGHSILEAYSRVLGNLAFSILSRIEDIMQEDSLSNPNSPLVTSCSPCLNLSETCVIGSHIRHSLLDKMNMADGKYCGSSSATNSDIELSSIGGKTSSVITTPSRSRVWCIGREACMSLPTQNSP >OIW09801 pep chromosome:LupAngTanjil_v1.0:LG06:14796488:14797776:1 gene:TanjilG_32239 transcript:OIW09801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNNKGGACGYGNLFVNGYGTDTAALSSTLFNNGYACGTCYQMQCYQSKACYANVSYITITATNLCPPNWSEASDDGGWCNPPRAHFDMSKPAFMKFAQWNAGIVPVMYRRVPCARSGGLRFSFQGNGYWLLVYVMNVGGGGDISSISVKGSKTRWISMSHNWGASYQAFASLGGQALSFRITSYTTKQTIFAWNAAPSNWAAGLTYSTRFNFS >OIW11089 pep chromosome:LupAngTanjil_v1.0:LG06:1861652:1865174:1 gene:TanjilG_22896 transcript:OIW11089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADHVLKLNISGLSLRGFLVQQLGQITYLQELILHGNNLIGTIPKELGMLKSLKVLDLGKNQLSGPIPPEIGNITLLVNINLQSNGLTGRLPGELGNLRYLQELRLDRNRLQGSVPASSGSNFDSNMHEMYASKANLTGFCRSSQLKVADFSYNFLVGDIPKCLEYLPRSSFQGNCLQSKELKQRPSIQCENCYFIAGASPAKSQPVVNPNHHPAQYVSKHHVTSKPVWLFTLEIVSGAMVGSLFLVALLTACQKCNKKASIIIPWKKSPSQKDHTAVYIDPEMLKGVRSYSRQELEVACEDFSNIIGSSPDSVVYKGNMKGGPEIAVISVSIKEERWTGYLELYFQREVADLARLNHENTGKLLGYCRESIPFTRMLVFEYASNGTLYDHLHCYEEGCQFSWTRRMKIVIGIARGLRYLHTEIEPPFTISELNSSAVYLTEEFFPKLVDFESWKSILERSEKNSGSIGSQGAVCVLPNSLEARRLDTKGNIYAFGVLLLEIISGRPPYCKEKGYLVDWATRNLSTSTRFVMLNIYLLQAREYLEMPEVMSYVVDPELKHFRYDDLKVICEVITLCINPDTTARPSTRELCSMLESRIDTSISMELNSSSLAWAELALLS >OIW10275 pep chromosome:LupAngTanjil_v1.0:LG06:8317779:8318471:-1 gene:TanjilG_28026 transcript:OIW10275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFSVCGVSSITYSVFHAFYGTQPFNLVSSIKSISTSFFPLLATTIVLKLIFFFISFFFSFLFFLFILGTQLLIGITLSPYFLGFSTAVLVVLPMLFVMFYLQVRWILVPVVVVLEPCWGLEALRRSASLIKGMKKVALILLLFFGLVEGLYLWCIPVLNISLMGSQNGIGRTFDWRHIVLDTVGKSILLMIYLLFNIAANTVLYILCKGIHDNDKCVNDYVTLPLDDNV >OIW09927 pep chromosome:LupAngTanjil_v1.0:LG06:12454691:12457101:-1 gene:TanjilG_32076 transcript:OIW09927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADIIAQIPTKRARGNRKALKEKIPSTSEANILAAAKVSESSVAPLSLPSDAAKENYNESSLSHPKKGKAASKKQQQSFEKDLLEMQEKLQQLRLEKEKTEELLKVKDEALKQKEEELECRDREQEKLQIELKKLHKLKEFKPTMNLPVVKDKEQEKKDKKNGCSETKRPSTPYILWCKEQWNEIKKENPEAEFKEISNMLGAKWKTVSAEEKRPYEEKYHAEREVYLQVMAKERRETEAMKLLEEEQKNKTALELLEQYIQYKQETEKETKKNKKEKDPLKPKHPMSAYFLFTNDRRPALLAENKKVLEVSKITAEEWKNMTEKQKKPYEEIAKKNKEKYMHEMEAYKQKKDEEAANLMKEEEEHVKLQKQEAMQLLKKKEKTENLIKKTKKNNQKKKQSKEDKNSDPNKPKRPASSFILFSKDSRKTLQEQRPGVSTSTLNALISLKWKELSEEERKFWNGKASEAMEAYKNELEKYNEFIAATATQESNIDE >OIW10731 pep chromosome:LupAngTanjil_v1.0:LG06:3640349:3648776:-1 gene:TanjilG_27677 transcript:OIW10731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLSRFFYKRPPDGLLEFIDRVYVFDSCFCTEALPEEMYQLYLHKIITELHEEFPESSFLAFNFHEGEKRSQFAEILVEYDVTVIDYPKQYEGVPILPLSLVQHFLSACESWLSLGHHQNVILFHCERGGWPLLAFLLSSFLIFRRVHSDERRTLDMVYREAPKGLLQLLSPFNPLPSQLRYSQYVARRNIAPEWPPPERALSLDCVILRAIPTFDSQNGCRPMVRIFGRNLLSKSGLKTQMIYSMHKKKKSLKHYCQADCDVIKIDIQCLVQGDVVLECVHMDLDPEREVMMFRVMFNTAFIRSNILILDAQNLDILWDSKERYPKGFRTEVLFGDVGSISPVRAPTAMLNDEEKGGLPIEAFSKVQELFSGVEWDESGDAAAVWLLQQLSVLNDVKEFSKLQGKGSWCSPPADSEDENNESSTADSSDYTFDVISKTSSVDPSKLSTSDVSDSAHLAFESNGGNHESLTSRMPDQSLTDNVPTPHPTTQIQPPSPAQAPLPPPPPPPPPPPPPLSDKTPSLPLSNKVPPPPPPPPPGSKQTGPGPPPPPPPPPPPGSRQTGSAPPPPPPPPPGSRQTGSVPPPPPPPPPPLGPRCIAPPPPSPTGARTGSAPPPPPPPLPGPKRTGSAPPPSPGPKQTGSAPPPPPPTPRPPSAPPPPPGHGARPAPPPPPAGRAAGVPPPAGKSSLAPTNVGKGRGTGGTIVPTKKTLLKPLHWVKVARAAKGSLWADSQKQDNESRAPEIDISELESLFSAASISDGSSTKGGGRRGTNINKPEKVQLVDLRRAYNCEIMLSKIKIPLPDMLNAVMALDSSVLDIDQVENLIKFCPTKEEMEMLKNYTGNKEMLGKCEQFFMELMSVPRVEAKLRVFAFKISLSSQVSDLRVNLNTINDATREVKESAKLRQIMQTILNLGNALNQGTVRGSAVGFKLDSLLKLSDTRARNNRMTLMHYLCKLLADQMPELLDFDKDLIHLEAASKIQLKALAEEMQAVSKGLEKVEQELTISENDGAISKGFRKVLKNFLHIAEADVRSLISLYAAVGRNADSLSQYFGEDPARCPFEQVTQILFVFVKTFKKSREENERVADAERKRLEKEAMKEKTAINTHSKKDCLQ >OIW09322 pep chromosome:LupAngTanjil_v1.0:LG06:29523948:29524940:-1 gene:TanjilG_01293 transcript:OIW09322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVSLFSSLFCTLLLSFSLLLMFPCVTCFDITKLLSQFPTYSTFSNYLTQTNLATDINNRNSITILAVDNSGMAPVSGKSMNVIKNVLSLHVILDYFDVQKLQHLQNQSVTVRTLFDTSGQAHGLQGFVKITDLSTGAVSFASAFDTNDSIGCNLIKSLVSQPYNISVVHVSTVIMPPFLLSNSNNAPALAPAPITVTVPVPMPVASEPIVSVTPVPMPVLTPMLVPAPVPVVTPVLVPVPVPVPVTISVPVVSPVPVSVPVLAPVPVASPVAVTTPSAGPADAAAAADAPSKKGAGIPKCGGVNRALTTLLTLFSMYLLCIGSIYNTAS >OIW09129 pep chromosome:LupAngTanjil_v1.0:LG06:30222613:30223026:1 gene:TanjilG_11267 transcript:OIW09129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PTNKDRHTKVYGRDRRIHLPKLCASPITQLTHELVGHKTNGETIQWLLQQAEPAIIVATGTGIIPSSNNNNKAFSIVGVKDQIVTNLNTKLKGVKVEEKASLPLPLDFDMTMPDFQLCDNYIELIKSVLAPNGVDKN >OIW11122 pep chromosome:LupAngTanjil_v1.0:LG06:468446:473931:-1 gene:TanjilG_22929 transcript:OIW11122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGISVSLSPLNLDIRYFSCSSSSSFSSSPLPLSLHLPKRSSSSSSSSSIVRCQAVEGDKRGAKRNVFDNASNLLTNFLSGGNLGSMPIAEGAVSDLVGKPLFFSLYNWFLQHGSVYKLAFGPKAFVVVSDPMVVRHILRENAFSYDKGVLADILEPIMGKGLIPADLDTWKQRRRVIAPGFHNSYLEAMVKTFTTCSERTISKFDKLLEAKGYGGQNSIELDLEAEFSSLALDVIGLSVFNYDFGSVTKESPVIKAVYGTLFEAEHRSTFYIPYWKLPFASCIVPRQRKFQRDLKIINDCLDELIRNAKESRQETDVEKLQQRDYLNLKDASLLRFLVDMRGVDVDDRQLRDDLMTMLIAGHETTAAVLTWAVFLLAQNPDKMKKAQAEVDSVLGSDRPTYESLKKLQYIRLIVVESLRLYPQPPLLIRRSLKPDVLPGGYKGDKDGYPIPAGTDVFLSVYNLHRSPYFWDNPHDFEPERFLVERKNEEIEGWAGFDPSRSPGALYPNEIISDFALLPFGGGPRKCVGDQFALMESTVALAMLLQKFDVGLRGTPESVELVTGATIHTKNGLWCNLRTRSDLQ >OIW10488 pep chromosome:LupAngTanjil_v1.0:LG06:6106237:6107343:1 gene:TanjilG_00426 transcript:OIW10488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGRTELAEDLVENILVRLPVKSLMRFKCVDRSWNVLFKTPTFVNNHLQIHRNQDNIMFSHRYFFRWVDLNKFLMLDSRDMFPEFNSEGLYDDDKIHLYNTQGHCNGVFCICVLFKHEIFPGEGRYHKSILWNPATREVKVVPPPPMPSRSHHYTLLSGFGADPNSNDLNVVNLIIDNENNHPPHAVLYNLITNSWTLITIDPVLVDTISNLSLNYRGFLAKGICYWIISHGCFDDENILCFDFRNNQFHILQRPPTSKGNQSDFITEVNDSTAYVVHYYGKENYCKVEIWILEQDRWTKKHTFPPFEALDGLYNIWKGGAEFIGLAAAGGQFHSFNSDSQLVRSLGVSSLMTDVVLKYVESITSLSF >OIW09114 pep chromosome:LupAngTanjil_v1.0:LG06:30103181:30103387:1 gene:TanjilG_11252 transcript:OIW09114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIMNSFINDIFEKLAHESSRLARYNKKPTITSREIQTAVRLVLPLPGELAKHAVSEGTKAVTKFTSS >OIW09405 pep chromosome:LupAngTanjil_v1.0:LG06:28565457:28570272:-1 gene:TanjilG_21002 transcript:OIW09405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKESNSIDPIQLLNGMVSEPYYLFHFLTFFSYFVIRFNFASQLLDSHIIHHLLRREIQMLLVFAILALVKGVREETWEAFIADALFLAKICLFALAFTMDRRLAVWYIIVFLVIHMLAQQPPFQGLGTSSKLTPLQLESLLAEGNTSRLWLVEFCASYSSSCIRSSQQLPELSITYSNKNLSFGIVDLGLFPNAAEKCGVSLSGSMGQLPTFILFENGAEIARFPELDFEARFFNPTITKGLLSRHFELDRHLLEYVNGK >OIW10073 pep chromosome:LupAngTanjil_v1.0:LG06:10187980:10189835:-1 gene:TanjilG_32813 transcript:OIW10073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARNALLSVPAFCFFAVNLLVLLHLRQIAAGHDYNDALRKSILFFEGQRSGKLPPDQRLRWRRDSALHDGATAGVDLTGGYYDAGDNIKFGFPMAFTTTMLSWSVIDFGKTMGPELGNALKAVRWGTDYLLKATSKIGNGVIFVQVGDPYSDHNCWERPEDMDTLRTVFKIDGSHPGSDVAGETAAALAAASIVFRSRDPAYSSLLLNRAVTVFQFADKHRGAYSNSLRRAVCPFYCDVNGYQDELLWAAAWLHKASRRRQYREYIVRNEVVLRAGDTINEFGWDNKHAGINVLLSKVVATYPPPSITIITSTLQVHSNFC >OIW09528 pep chromosome:LupAngTanjil_v1.0:LG06:23763772:23771933:1 gene:TanjilG_13752 transcript:OIW09528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHFASLSLSKEPSEEEFCLSLRNGLILCNILNKVNPGAVLKVVENPALAVQCAEGAAHSAIQYFENMRNFLEAVKDMQLLTFEASDLEKGGSSNKVVDCILCLKGYYEWKLSGGVGVWRYGGTVRITSFPKSSPSSIVGSESAYDSLDESESSQYEQLLESLHLSEEFLVEETKTANALAFLFDHFGLTLLQAYLSESDGIEDLPVNAMVIDALLSKVVKDFSSLLVSQGTQLGLFLKKILKGDIGCLSKREFIEAISLYLNQRSSMASNDFSKFCICGGKRDSVRHNVNYSCNHAHVINTQQKQLEGMKHFFEEMKMEVKQIQSEWEKESNRLEHHIKSLEVASSSYHKVLEENRLLYNQVQDLKGAIRVYCRVRPFLPGQTSAQSTVDYIGENGDMMIVNPLKQGKDARRIFSFNKVFETSVTQEQIYADTQPLVRSVLDGFNVCIFAYGQTGSGKTYTMSGPDLTTGESWGVNYRALRDLFHISKQRADVIKYEVFIQMIEIYNEQVRDLLVSDGSSRRYPSSIPVTCTQDVLDLMRIGQKNRAVGATALNERSSRSHSVLTIHVRGRELVSSSILRGCLHLVDLAGSERVDKSEAVGERLKEAQHINRSLSALGDVISALAQKSPHIPYRNSKLTQVLQDSLGGHAKTLMFVHINPEVNAIGETISTLKFAERVASIELGAAKSNKETGEIRELKDEISNLKLALERKETELEQLKAGNAPNTPESQKPRAVSPLRFPKNGTNGSMKPEAFQRFMDDRSFEARSCSSGKHRRTRFPSTFMNKDSIPKMSPLTEEKSVSSGKARSPSPPVRRSISTDRGSTIRSKIKTDTADNQPMLKHPFTARVPVNKSLVTMPVAASTDNNSRAHVNSQEPVKQENISEILFNLQKVNSKKVHQETEEEQFKQALSAVRQGGIRKSKVESKAKAKHHHISPFKIQKPDLTPTIISDMEISGKMTSEPPRKSDYSEPENVLRFIDSSSHSALNLKKIHLNFPRNSQNLESRGIMKAGEPLLASKVENKLINGSGSNMKEGSNTSMPEFRRSRSTPRGKFFGFSALSHMDSSMCSSVPDPEMWIIQGTLAWHTSHVQTGIQLSIHSWDSGGPRDHHGSSLLENSYIPYQYMERERNSLSGNGGVKDFLGTDEDWPSSARAEGSFTTRPTCRAGTKVDLSDPTVPSGRVVTQRIKVTLGITC >OIW09944 pep chromosome:LupAngTanjil_v1.0:LG06:12747815:12747976:1 gene:TanjilG_32093 transcript:OIW09944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFRKRFVMVLSFSTPLLFSPPAMVCDSGDAVVRFYSRQRGLGEGAFGYPHTH >OIW10291 pep chromosome:LupAngTanjil_v1.0:LG06:8438659:8440453:1 gene:TanjilG_28042 transcript:OIW10291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQRLTYRKRHSYATKSNQHRIVKTPGGKLVYQTTKKRASGPKCPVTGKRIQGIPHLRPAEYKRSRLSRNRRTVNRAYGGVLSGGAVRERIIRAFLVEEQKIVKKVLKIQKAKEKQASKA >OIW11142 pep chromosome:LupAngTanjil_v1.0:LG06:333174:337385:1 gene:TanjilG_22949 transcript:OIW11142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKHFKGSRSSLSTMSDASEGQKPPLPPNVTFARRTSSGRYVSYSRDDLDSELGSSDFMNYTVHIPPTPDNQPMDLSISQKVEEQYVSNSLFTGGFNSVTRAHLMDKVIESEANHPQMAGAKGSSCAIPGCDSKVMSDERGVDILPCECDFKICRDCYIDAIKTGGGICPGCKEQYKNTELDEVAVDDVHPLSLPPPSGMSKMERRLSKMKSANKSALVRSQTGDFDHNRWLFETKGTYGYGNALWPKEGGLGNGKGEEVGEPTEFMNRPWRPLTRKLKIPAAILSPYRLVIFIRLIVLGLFLAWRVKHKNSDAIWLWGMSVVCEIWFAFSWILDQLPKLCPVNRSTDLNILKEKFETPTLSNPTGKSDLPGIDIFVSTADPEKEPPLVTANTILSILAADYPVEKLSCYVSDDGGALLTFEAMAEAASFANEWVPFCRKHDIEPRNPDSYFNLKRDPYKNKVKPDFVKDRRRLKREYDEFKVRINSLPDSIRRRSDAYHAREEIKAMKLQRQTRGDEPIETVKIPKATWMVDGTHWPGTWLSPTSEHSKGDHAGIIQVMLKPPSDEPLLGGSDEAKLIDLTDIDIRLPLLVYVSREKRPGYDHNKKAGAMNALVRASAIMSNGPFILNLDCDHYIYNSKAMREGMCFMMDRGGDRLCYVQFPQRFEGIDPSDRYANHNTVFFDVNMRALDGLQGPVYVGTGCLFRRVALYGFDPPRSKEHNPGFCSCCFGRKKRHVSLASTHEENPALRMGDDDDGEVMNLSSFPKKFGNSNFLIDSIPVAEFQGRPLADHPAVKNGRPPGALTIPRDLLDASTVAEAISVISCWYEDKTEWGQRVGWIYGSVTEDVVTGYRMHNRGWKSVYCVTKRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSRNNALLASPRMKLLQRIAYLNVGIYPFTSIFLIVYCFLPALSLFSGQFIVQTLNVTFLSYLLGITVTLCILAVLEIKWSGIELEEWWRNEQFWLIGGTSAHLAAVLQGLLKVVAGIEISFTLTSKSGGDDVDDEFADLYIVKWTSLMIPPITIMMVNLIAIAVGVSRTIYSVIPQWSRLLGGVFFSFWVLTHLYPFAKGLMGRRGRTPTIVFVWSGLIAITISLLWVAINPPAGTNQIGGSFQFP >OIW09883 pep chromosome:LupAngTanjil_v1.0:LG06:11913686:11923471:-1 gene:TanjilG_32032 transcript:OIW09883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERGNGTTCKQFPSHGGKSLVTVAAIRKKHLQKEMNVVVNATSSYSGKSKKRNGFQEELLTEDYIANNVFRNDGPALGHDFDFLPSGPKDYTPACPENQKPTKRKKVYKSAIVSHPDCNMEAPVKKHGVGKGLMTVWMATNPDARDLPIGYGLVDQEVRLTSNSILHKPVCDKKSSRKTVTMSGMRKGKMLNKKNKLQDKRNFAIQRRVEESSQYVREIHLPKEKCDLALDSAISTERVDWISTLIDDEELEMIDLQAGSDLLMCSDHLATSGILGCSLCKDVLVKFPPDTVKMKKPICLQPWDSSPEIVKKLFKVFHFLYTYAIVVDTCPFTLDEFVQAFHDKYSMLLGKIHVALLMVLLSDIEMELTNGFSPHLNKSCNFLALVHSVESQEYSLNFWRKSLNSLTWIEILRQVLVASGFGSKQGALRRETLNKELNLFVNYGLRPATLKGELFKILLERGNIGCKVSELSKSMQIAELNLVRTTDELESLICSTLSSDVTLFEKISSSAYRLRMSTVTKENDELESDMEGSGSVDDELNDSDTCSSGDDFENDLRITNIRKLKCANSHKIKNNMLKVYNEIDESHPGEVWLLGLMESEYSDLNIEEKLNALVALTDLLSSGSSIRVKDKMKITADRSSSIQLRGSGAKIKRSVVKNPRPVWNQTAQMQCAKEAHSIFHPNPVDSSSLISNVHNHEGSSEKGIDSTDSHPIQSVFLGSDRRYNRYWLFLGPCNADDPGHRRVYFESSEDGHWEVIDTEEALCALVSVLDDRGKREALLIESLERRQASLCRSMSRIKVNSTGMRCMSHSDQSELDIITEDSFSPISDVDNLNMTETAEDSLPSTGAVVIAAGKKGEEKVQKWLCMQQYDSWIWSSFYLDLNVVKYGRRSYLDSLARCKSCHDLYWRDERHCKICHLTFELDFDLEERYAIHIATCREKEDSSVFPNHKVLSSQIQSLKAAIYDIESVMPEDALVGAWRKSAHKLWVKRLRRTSTLVELFQVLTDFVDAINEDWLFQCHFPDGVVEEIISSFASMPHTSSALALWLVKLDIIIAPYLVRHQHQKKH >OIW09761 pep chromosome:LupAngTanjil_v1.0:LG06:16014343:16019624:-1 gene:TanjilG_18676 transcript:OIW09761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMVNSFDMKRAAAGLRRINLDGLRWQVFDAKGQILGRLASQIATVVQGKDKPTYTPNRDDGDMCVVLNAKDICVSGRKLTDKVYYWHTGYIGHLKKRTLQDQMAKDPTDVIRKAVLRMLPRNKLRDDRDRKLRIFPGSEHPFVDRPLEPYVMPPRTVRELRPRARRAMIREQKKAELQQQNSGDGMKKGKKREASEESA >OIW08898 pep chromosome:LupAngTanjil_v1.0:LG06:32740338:32740775:1 gene:TanjilG_05873 transcript:OIW08898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTRSTGTIAQDWNPVILHKSKPKAHDLRNAKAVNQALRTGAEVQTIKKFDAGSNKKTAGPVIYARKLDEAAEPAALEKVAVEVRHAIQKARLEKKMSQSELAKLINERNQVVQEYENGKAVPNQVVLAKMEKVLGVKLRGKIGK >OIW10595 pep chromosome:LupAngTanjil_v1.0:LG06:4953431:4954243:-1 gene:TanjilG_15967 transcript:OIW10595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGSSQPSPKSTIKFLCSYGGRILPRYPDGKLRYFGGHTRVLAVDRTISFSELLLKLQELCGTSVRHLRCQLPTEDLDALVSITSDEDLANLIEEYDHAASPSASMKIKVFLSPPRSINKVSVPPSPSLSKSTSSTSSTSSSSSSSSSYHSATGGSSGFNSVRSLKSAAPVINRCVHQISPATYSVMVERKTGSVSGRNVPLPRYGYQNQGQGKTCHAGHVHLVHNGSNHWQ >OIW10211 pep chromosome:LupAngTanjil_v1.0:LG06:7657700:7660097:-1 gene:TanjilG_27962 transcript:OIW10211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLPRSPSSLELTISIPGFGSSPTFLHSSSVKELDINQVPTEEEEWVVSNMEDEEEHSNGDTPRKKLRLTKEQSRLLEESFTQNHTLNPKQKECLATQLKLRPRQVEVWFQNRRARSKLKQTEMECEYLKRWFGTLTEQNRRLHREVEELRAMKVGPPTVISPHSCEPLPASTLTMCPRCERVTTNVATTLSSKVVTPTLQSHQPSAAC >OIW10715 pep chromosome:LupAngTanjil_v1.0:LG06:3784092:3784806:-1 gene:TanjilG_16087 transcript:OIW10715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVPNTPPAMMFMASQPLFTVAQWQELEHQALIFKYFKAGLTVPPDLLVPIQKSLQLIMSQQNHPSLGYYGKKIDPEPGRCKRTDGKKWRCSKDAHPVVTTGITTTTVCAPTRTFQNLPLHTNVTRQGFTIGNENNSTMNMGEPLPLPNEVSRKELRYMNIYDLYYLRLMSVSS >OIW10687 pep chromosome:LupAngTanjil_v1.0:LG06:3960597:3962307:-1 gene:TanjilG_16059 transcript:OIW10687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSTSKDAEDLFRALWSAYSATPTNLKIIDLYVLFAVFTALIQVAYVALVGSFPFNSFLSGVLSCVGTAVLAVCLRIQVNKENKEFKDLAPERAFADFVLCNVVLHLVIINFIG >OIW10545 pep chromosome:LupAngTanjil_v1.0:LG06:5335497:5336899:1 gene:TanjilG_15917 transcript:OIW10545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLSPWIPVALFPALLYLYFTSPSFIILTYKNSIEKEKAYENICDYSNGTWVRDKKGPLYNDTIGRKIKIKQSQNCIINGRPDSDYLYWRWKPSQCNLPRFEPHTFLQLIKNKHVAFVGDSLARNQIESLLCMLATASTPKRVKHQGSRRWHFASYNANLSFYWSSFLVQGIQRSESPEKGSKYNTMYLDHVNERWARDIDQMDLIVLSFGHWFLVPSIYYEGDSVIGCLNCTGLNYKEIGFYGPLRKALRTSLNSIIERKAAKGNRIDVIVETISPSHFEGDWDKSGTCSKSTPYREGEKQVEGTDAEIRKIELEEVENAKSYGKQFGGFRLEALDVTKLALLRPDGHPGPYMNPFPFANGVQEHVQNDCVHWCLPGPIDTWNEILLEMMKKWKEKPRSEE >OIW10991 pep chromosome:LupAngTanjil_v1.0:LG06:1141638:1142514:1 gene:TanjilG_22798 transcript:OIW10991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHQLMEDNILLMSQLYSMDPYTQIAAQQEENQKPRRRRNKKCKGVERGALEAHKKRKLSYEQANMLEHSFGNEHKLESERKDKLAVELGLDPRQVAVWFQNRRARWKNKKLEEEYSNLKKSHEATTLEKYHLETEVLKLKEKLLEAEKEIQVLKHTDRVSSNSPSSSLQSQSMEAVVDPPFLGDFQLDGYDDVFYVPETHYINGMEWINLYI >OIW09311 pep chromosome:LupAngTanjil_v1.0:LG06:29412279:29414195:1 gene:TanjilG_01282 transcript:OIW09311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGGAEEKGGECSSYRYWVRNTTEDAAPLPLPHKIEHHVSQSHLGSAWNRAGTWEEKSLNNWAAPRIKELLLSIGSIQFSSGTAQVEDVTKCLGDAFMVIVRNKKRFSYTYELTLKVKGEWIIHGDKKSVVGHIDVAEFSFGELDDLQMEVSLGEASNISHHDKVEIRNDLKKFLQPVQEKLLQFEEELKYR >OIW09956 pep chromosome:LupAngTanjil_v1.0:LG06:11729362:11731694:-1 gene:TanjilG_18263 transcript:OIW09956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKLARQWRNSEDDNLSLPTHDNEPSRPLDTQEQEEMVRSLERSQAQQSRLWRTVFAALFFCYIMFLLYSIFQQASSPWELRYYAYFMEEIHSWMIISADWVAVLACSFAIIGLLRESMHRRRWMHYSLYAGIVVAIFWLYYMLRLSKFRWDVIWLPFGPLSAAAICLYVDHLLNESSEDVRKLRGYMYAYKAS >OIW08986 pep chromosome:LupAngTanjil_v1.0:LG06:31995353:31996909:-1 gene:TanjilG_05962 transcript:OIW08986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSSTSLFHSSNPFFQKSNLFTSKHTQTHFTIKSSSESQPTPPLATKHRRPADQNIRDEARRNNNHDHLFSAKYVPFNADPSSTESYSLDEIVYRSQSGGLLDVRHDITALKRYDGEYWRTLFDSRVGKTTWPYGSGVWSKKEWVLPEIDDDDIISAFEGNSNLFWAERFGKQFLGMNDLWVKHCGISHTGSFKDLGMSVLVSQVNRLRKMGRPVVGVGCASTGDTSAALSAYCASAGIPSMVFLPANRISMAQLVQPIANGAFVLSIDTDFDGCMQLIREVTAELPIYLANSLNSLRLEGQKTAAIEILQQFDWEVPDWVIVPGGNLGNIYAFYKGFHMCKELGLVDRIPRLVCAQAANANPLYLYFKAGWKDFKAVKAGTTFASAIQIGDPVSIDRAVYALQNSNGIVEEATEEELMDAMAQADSTGMFICPHTGVALSALFKLRNSGVIKPTDKTVVVSTAHGLKFTQSKTDYHSKDIKDMACRFSNPPVQVNADFGSVMDVLKKYLLSKAH >OIW10974 pep chromosome:LupAngTanjil_v1.0:LG06:999613:1009690:-1 gene:TanjilG_22781 transcript:OIW10974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPTAPDHYSFAVEYDGPPVTFDLPKAVPITVDDIPVAAVVSNSNVPFSLPVVHPLILPQPSKEPRVSKELQLGSETTTVSPTSVIAFEHTASQINDACDCDVSCEFSDFSNANYASGEFSDVADTSTTVLIESSNVEFSDSLERRSDAFRVSNSGKESLDFNELNNQQDWGSTESVLSLEYPSTRVSSLKHEEDSGNELGSDVKRFPAVTFDVDGSDDDDYALSDDFVVEEEEENVTKPVKREPLTKGKKGSCYRCFKGNRFTEKEVCLVCDAKYCGNCVLRAMGSMPEGRKCVTCIGFPIDESKRRNLGKCSRMLKWLLNELEVRQIMKAERYCEVNQLPPEYICVNGKPLCYEELLTLQNCPNPPKKLSPGKYWYDKVSGLWGKEGQKPSSIISAHLNVGGPIEPDASNGNTQVFINGREITKVELRMLQFSCEFSDFSNANYASGEFSDVADTSTTVLIESSNVEFSDSLERRSDAFRVSNSGKESLDFNELNNQQDWGSTESVLSLEYPSTRVSSLKHEEDSGNELGSDVKRFPAVTFDVDGSDDDDYALSDDFVVEEEEENVTKPVKREPLTKGKKGSCYRCFKGNRFTEKEVCLVCDAKYCGNCVLRAMGSMPEGRKCVTCIGFPIDESKRRNLGKCSRMLKWLLNELEVRQIMKAERYCEVNQLPPEYICVNGKPLCYEELLTLQNCPNPPKKLSPGKYWYDKVSGLWGKEGQKPSSIISAHLNVGGPIEPDASNGNTQVFINGREITKVELRMLQLAGVQCAGNPHFWVNEDGSYQEEGQKNTRGYIWGKPGTKLVCAFLSLPVPSKSSNSFGEQHSNLANRTVPDYLEHGIVQKLLLVGCSGSGTSTIFKQAKILYKSVPFSEEEQENIKFTIQSNVYAYIGILLEGRERFEDQISGALKTRHSSEHDITGTSSKPDDKTIYSIGPRLKAFSDWLLKTMVSGKIDAIFPAATREYAPLIEELWNDAAIKATYQRRSELEMLPSVASYFLERAVKILRTDYEPSDLDILYAEGVTSSNGLACVDFSFPQSAPEENVDTADLHDSLVSKEGITMTGSSRKGRIFAEESSTNGQRFGKLKKEKDDFDGYNSDNNPRKNSPLDPQMVVDNSPYDSDLSPVSKSPWSSHMNEESSIFNDEPLVGSLVREEGHIYSLATTKDLLYTGSDSKNIRVWKNQKEFAGFKSNSGLVKAIVIAGDKIITGHQDGRIRVWKVSTKNETLHKRVATLPTLKNFIKCSMKPSNYIEVKRHRQVLWIKHYDAISCLGLTEDHSLIYSASWDKTFKVWKASNFKCLESVTAHDDAVNALVVGFDGLVFTGSADGTVKIWRREVQGKRTKHLLSQTLLKQECAVTSLVINEEGTFLYCGSSDGFINFWIRETNLEHCGVLRGHKLAVLCLTTAGNLVFSGSADMGICVWKRSTLNNEHTCVSVLSGHTGPVKCLAVEKDCDAMCNERRWILYSGSLDKSVKVWKVSENALTGHNNHQAPRLSLDSYTRELPKVASLRKMGSRRY >OIW10091 pep chromosome:LupAngTanjil_v1.0:LG06:9954591:9955324:-1 gene:TanjilG_21928 transcript:OIW10091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNATKGVFISCDIPMAQYIINMNASFPASDKFIIHIVDSTHMFVQPHVEQMIRSQIAKFREDNTYVKPN >OIW08939 pep chromosome:LupAngTanjil_v1.0:LG06:32406869:32412238:1 gene:TanjilG_05915 transcript:OIW08939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSRHASLGRRTLEEIRQKRATERLSKTASGPDLTTVSEIAIMKKSDTGNRFSETDISALLSQLNNLQKKNTELEGENKQITLKLQTLEIDNGAMSKQLNDLERNTVPSLRKALRDVAMEKDAAVVAREDLSAQLRTLKKRVKEAEDEQYRAEEDASALRAELNLIQQQSVTNTVSAISSIGIPPEHIQRLENELAELKLQLQRESVLRHQEQEQLSKEQTRIAALISEKQELEGKLNSMSGEAADTVDKAGHKAFSMEDKQKLDKQLHDMALAVERLERSRQKLLLEIDSQSTEIERLFEENSTLSNSYQEATEAAARWENQVMDCLKQNEELRGVLDKLRLEEARGLPESFKDGAHEIGSLASTAEIASMKGQLVKEQSRAEALSGEVMRLSAQLEQVKQAYDGLARFYKPVLRNIESSLIKMKQDSSLVVR >OIW10169 pep chromosome:LupAngTanjil_v1.0:LG06:7287908:7288288:1 gene:TanjilG_27920 transcript:OIW10169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTATVQQLLPPIQVTQQAYTTHSGHGSVGPVIAVVTVITVLGVIAGIIGRLCSGHRFMGYGDYDIETWVETKCSSCVDGGIFTPPRPSPPLTEVNAGEDTPPVEVPHEREIEEEQQSKQSSHGVQ >OIW09874 pep chromosome:LupAngTanjil_v1.0:LG06:12989508:12993279:-1 gene:TanjilG_24529 transcript:OIW09874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSFLSLPHFLSPSTTTTTKTKFPPSTTPFLLRSFPSPLRAIGPHGKYHNPTPSDNDPPEAPEDTAHGVSRFQQIHRQADRARLREEQDFKENQSTYLAAIADVEDAPDDDDPSSIDSQYSGDDLFGEIDKAIALKRKEFVKQGLLQPNPKKVTVAVEDVDELQPEEVVDLEEIEQLQGLRVVSEDSDGQEAADSSELDAKNRGSDLNSDSSFDLDFDNYGKSKTRIVEPKFRMSLAELLDESKVVPVSVYGDLEIEITGIQHDSRIVTSGDLFVCCVGQQTDGHLFLTEADKRGAVAVVASKEIDIEETLGCKALVIVEDTNAVLAVLAASFYKYPSTNMAVIGITGTYGKTSTTYLIKSMYEAMGLRTGMLNSVAYYVHGDNKLESSNTTPDAVLVQNLMAKMLHNGTEAVVMETSSDALAKGKCDEVDIDIAVFTNLNQEEDRDAKAKLFSRMVDPERHRKVVNIDDQNAPFFVSQGNPEVPVVTFALENKSADVHPLKFELSLFETQVLVNTPSGILEISSGLLGRHNIYNILAAVAVGIAVGAPLEDIVRGIEEVDAVPGRCELIDEEQAFGVIVDYARTPDALSRLLDFVRELGPRRIITVIGCCGENERGKRPLMTKIATDKSEVTMLTSDNPMNEDPLDILDDMLSGVGWTMQDYLKHGENDYYPPLPNGHRLFLHDIRRVAVRAAVAMGEEGDVVVVAGKGHETYEIEGDKKDFFDDREECREALQYVDELHQAGIDTSEFPWREPLIIKAAVTNSGYHITCFPEHKLCKMKEDDLFAIRGLFSGDSESAR >OIW09440 pep chromosome:LupAngTanjil_v1.0:LG06:27631112:27631360:-1 gene:TanjilG_07825 transcript:OIW09440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTESSSQGSRAKTCQMTESSCPCSCIMTEKHAPNCTSGLCPHIADQDMHAPTTQHGTRNDRDKEELGKESDRANLTRNDRDR >OIW10082 pep chromosome:LupAngTanjil_v1.0:LG06:10016941:10017171:-1 gene:TanjilG_21919 transcript:OIW10082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHKTNMKKQSEETRYVAMGHAVHSQVIKIKQEIAKIKEPSLKLHMSHAFLRDGSNLHSRSPLGLGGERVILVGNS >OIW10403 pep chromosome:LupAngTanjil_v1.0:LG06:6652064:6653202:-1 gene:TanjilG_05551 transcript:OIW10403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSNCDKMGHIPPPTTNEWTQTHNIDDHQKNSMASSTGIRAMEKPGQELLQQQQQALNCPRCDSSNTKFCYYNNYSLSQPRHFCKACKRYWTRGGTLRNVPVGGGCRKNKRVKRPNIDTTPSSPSSNSNPPNNTIITSTTSNHVNPLFYGLPPSRNSCDVMSALQFPRFSSGYDLQPQMNNLGLVFSSEVMSSDNNGYRNGFISSNNNTFVSTYSSIFGSSTSTPSTPAMAPLLLQQKFMNGEMKEVPNNFQGLEGLQMEGNNCEGGVMGSKEVVKVEGQNRLDWNINGGASCQNQMDQHMDLLSHDPLLYWNTATAMGTWTDQRNLI >OIW10824 pep chromosome:LupAngTanjil_v1.0:LG06:2747947:2751349:1 gene:TanjilG_27770 transcript:OIW10824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPSSDPIPSSYVPIPGGPHSDESPILEPPRRRVKIVAVTICGFLVLVSLVAFIGYRASNAHNGPEPQIEPDNEHVACPLLTPNEESRIKGMSLKKWKPVSRGVSAGVSEKSNKLHAGKNGESYPWNNSMLSWQRTAFHFQPERNWMNGPMYYKGWYHFFYQYNPCGAIWGNMIVWGHAVSRDMIHWLHLPLAMVADQWYDKNGVWTGSATILPDGQVIMLYTGSTNESVQVQNLAYPADPSDPLLVDWVKYPGNPVLFPPPGIGGKDFRDPTTAWLTSEGKWRITIGSKHNKTGIALMYETKDFKTFDLIDGLFHAVPGTGMWECVDFYPVSRKVDNGLDTSITGADVKHVVKVSLDDDRHDYYALGTYDEKNVKFIPDSFKNDVGIGLRYDYGIFYASKTFFDHSKGRRVLWGWIGELDSEYADIAKGWASVQSIPRTVTLDKKTGSNLLQWPIEEVKSLRLRSNEFKNLKVKAGSTVSLDIGTATQLDIEAEFEIDKEALENTSQSNLEYECSISGGASQRSALGPFGLLVLADDDLSEYTPVYFYVVKGNNGILKASFCSDQSRSSLANDVNKQIFGSIVPVLKNEKLSVRILVDHSIVESFAQGGRTSVTSRVYPTRAIYGAARLFLFNNATDAVVTASVNVWQMNSAFIHPFQSDQKS >OIW10825 pep chromosome:LupAngTanjil_v1.0:LG06:2736705:2740385:1 gene:TanjilG_27771 transcript:OIW10825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLKSSHVSSVPADPVIPNKSRLGMQSSLLTYSQQDLPLSPGKYSRTSSRKSAGSLDDVRSNGWLDAMIASSPPKKKPLKGSNAQFASFDFTDEDNSSSMVHHPSALDYFDKIIDRAKNKKVAVFLDYDGTLSPIVDNPDCAFMSEDMRATVRSVASYFPTAIISGRSRGKVFDLVKLKDLYYAGSHGMDIICPFSQTLSNNHPSCVKSTDNQGNEITLFQPAIEFLPLIDEVFRTLVDITKDIEGVKVENHKFCVSVHYRNVKENNWTTIGQIVHDAVKKYPRLRSTHGRKVLDIRPVIDWNKGKAVEFLHESLGLNDRDDVFSIYIGDDKTDEDAFKVLREKNRGYGILVSSVKKESNAFFSLEDPNEVMKFLQLLVNWKRQGERKT >OIW09784 pep chromosome:LupAngTanjil_v1.0:LG06:15503391:15503994:1 gene:TanjilG_32222 transcript:OIW09784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLGIKLYSRESYGWLAFSYLRSISSFLSPLFALLMLELLVCLVGGDNLGVAVATLNAVDIAANGYIKDSPMSVFVFASLGVSVINFKRLVAEYNDMRINHVENVLDVVPNYPPLGYFIVGEGLMINPQNYGYLKLPRDINSWHSLEAYLHGIARTQGANINRDIVLVIEEDKEDCF >OIW10204 pep chromosome:LupAngTanjil_v1.0:LG06:7583622:7584270:1 gene:TanjilG_27955 transcript:OIW10204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAKDRLESLMLTRRSASDLSNMKQRNIGGVGGGGNDDGQGSKENDGGVRLKMRLPKAEVEKLIQECKDEDEVAERIMSLYIANRGRESGSEIEEIGEKNTVLDQQMHSKGSRDRVENSPKPREKRVSFMPISNGGIQVAVAS >OIW09537 pep chromosome:LupAngTanjil_v1.0:LG06:23095593:23098343:1 gene:TanjilG_30856 transcript:OIW09537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKESKPLSYGAENGEKGSFDPSAPPPFKIAEIRASIPKHCWVKNPWKSLSYVLRDVIVVTALIGAAIWFNSWFFWPLYWVAQGTMFWALFVLGHDCGHGSFSNSSTLNSIVGHILHSSILVPYHGWRISHKTHHQHHGHVEKDESWVPLPEKIYKNLDNTTKLLRFTLPFPIFAYPFYLWYRSPGKEGSHFNPYSNLFSPSERKDVITSTLCWSIMFSVLLYLSITLGPFLMFKVYGVPYLVFVMWLDFVTYLHHHGYKQKLPWYRGQEWDYLRGGLTTVDRDYGWVNSIHHDIGTHVIHHLFPQIPHYHLIEATEAAKPVLGKYYREPEKSGPIPFHLITYLLHSIKQDHFVSDTGDIVYYQNDPKLQKYSFTKFE >OIW09338 pep chromosome:LupAngTanjil_v1.0:LG06:29626563:29628032:1 gene:TanjilG_01309 transcript:OIW09338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVNSENKAFAYDNLSQEFAPSSSTMTLQQSQQMVSLQDIPEFTFSSLTKQNSILSLTLDEFQCKSGKSFGSMSMDEFLASIWNSDDINQFSLPNNHDQAGSTSQSKSVVETEQVIIPQQGTFFVPPPICKKTVDEVWCEIQQPRQNEANNIGRNERTLERQRTLGEMTLEDFLVKAGVVQEPSSLPRIAMSFQNQTGNNASNNNIIRQFGASYGFRPEIGVGFCTQQNVGSRDELATYQMLSQNNSSVVRGQNTSSAIEKCRSIPESSGSNSRKRIIDGPPELAVERRQRRMLKNRESAARSRARRQAYTVELEAELGILKQENDKLKEILVFKI >OIW10094 pep chromosome:LupAngTanjil_v1.0:LG06:9931601:9938455:1 gene:TanjilG_21931 transcript:OIW10094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKGIVDKALNSLGKGFDLASDFRLEFCKGEERLVVFNERVRREMMVPGFGPVTDVSIDIKCDKGDRIRYQSDILTFTQMSEVFNQKSSIPGKIPSGYFNTMFGFDEGSWASDAVNTKCLGLDGYFITLFNLHIDRYPLVLSKQVLQAVPSSWDPPALARFIEKFGTHILVGLGIGGKDLVLVKQDMSSNLGPSELRNHLDELGDQLFTGTCNFVPKTKEQKCKGITVICSKRGGNTQVSNHCEWLLTVPNKPDAVDFSFIPITSLLKAAPGRGFLSHAINLYLSYKPPMSDLSYFLDFQSQKLWAPIHNDLPLGPTTNRTTTFPSLSFNLMGPKLYVNTTKVTVGKRPITGMRLFLEGMKCDRLAIHLQHPLKTPLMLDNIIEDTTTWSEEINDDRFCEAINGKKFSHVCTAPVKYNPSWTFDHKNVAFIVTGAQLHVKKHDSKSVLQLRLLFSKVSNSNVVKSNWTRGPSGLTQKSSIFSAMSTSMSSSKDQKTPAIVVDSSVFPSEPPVPVQTQKLLKFVDISQLCKGPQDNPGHWIVIGARLVLDNGKICLWVKFSLLNIGS >OIW08971 pep chromosome:LupAngTanjil_v1.0:LG06:32114599:32117274:-1 gene:TanjilG_05947 transcript:OIW08971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLMATSYGDQGSVVCALKSDGSHTVNCYGKNSAIIYGTQPHLQFFGLSAGDGFVCGLLMSSNQPYCWGSSSHIQMGVPQPMIKGAEYLEISAGDYHVCGLRKPLKGRQRNTSFVDCWGYEMTKNYVFDGQIQSISSGSEFNCGLFSQNRTLFCWSDEPGGKSIVNQIPKDNRFQKVACGGLHVCGILEGVNSKTLCWGRSLNMEEEISLIHSGQGQGDIALVPNVSMLSVVGGKFHACGIKSYDHGVICWGSSLRGSTLPPSEIKVFELAAGNYFTCGIIAAKSLRPVCWGTNFPTSLPIAASPRMCRSTPCPPDYYEMDQQKGLCNSPDSHLCIPCSSDCPSEMYRKSKCTMTSDRVCEYNCTACSSPACFSNCSVLYTNPVPSADLKKKNERIWSQQLPIIVAEIVFVLFIVCVVSILAVLYVRYRLRNCKCSATTRPKEKSSSLQNENKIRPDMEELKIRRAQMFTYEELESATGGFKEESIVGKGSFSCVFKGVLKDGTVVAVKRAIMSPSPNMPKNSKEFHTELDLLSRLNHAHLLNLLGYCEEGGERLLVYEYMAHGSLHQYLHGKNKDLKEQLDWIRRVTIAVQAARGIEYLHGYACPPVIHRDIKSSNILIDEEHNARVADFGLSLLGPADSSSPLAELPAGTLGYLDPEYYRLHYLTTKSDVYSFGVLLLEILSGRKAIDMQYEEGNIVEWAVPLIKSGDIPAILDPILKPPPDIEALKRIANVACKSVRMRGKDRPSMGKVTTALERALAQLLGSPCIDQPILPTEVVLGSNRLHKKPSQRSSKGSANSEVDVPESEDQRFEFRAPSWITFPSVTSSQRRKSSGSEVEVYGKNNNAAASEGRNFGSNVGVSGSGGDVLRSLEEEIGPASPRESLFLQHNF >OIW10139 pep chromosome:LupAngTanjil_v1.0:LG06:9489002:9492219:-1 gene:TanjilG_21976 transcript:OIW10139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYVIPHVNINCTFLYQKCAISYLGISALPFLQPRLKASTGFSRGTVIPQCFNGRRSFTLPGNRVRKVFKKDRFSIPRSTTSNSSGDSADETKKTPFGYTRKDVILIGVGVTLLGIGLKSGLEFAGVDPLQAGNVVQLVLVLGLTIGWISTYIFRVSNKEMTYAQQLRDYEGKVMEKRLESLTEAELQALLEEVEEEKRR >OIW10382 pep chromosome:LupAngTanjil_v1.0:LG06:6944037:6947832:-1 gene:TanjilG_05530 transcript:OIW10382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKLEFQQQQQQQRSHSSWRPTSSGRARDRESSPDSVILTLESNLSLFSSASASVDRCSFASDAHDDHDSLSSEISLHLAAPNERWSGSDPDPGQEKRHAQHNNNDKHQSRFCRKGEKENVQKEDSDCDTEEDGNKILDSDSARNSFSLAPKECQDRRSISEALFSKHDRRRPASLDLNNAIATESSPRLGTMKKSSVLPRISGSGNFPSPGMPNYRQAGFAMHKGWSSERVPSHTNAARKQAGTALLPLSNGRTLPSKWEDAERWILSPVSGDGTGRTSLPQPQRKPKAKSGPLGPPGVAYYSLFSPAGAMFEGGNAGNFMATSPFSAGAITADGLTIGSGGQGGVVPMRIDPFMARSVSVHGCSVIQSQLSMPAQEEKFDCFKDAGTNVSPAVSMRDMATQMSPEGISCSFPNRRPSFAASTPPPLPVTEFQSAPFSKLDIRDMQVDERVTMTRWSKKHRALFSGRHSENVDSLKRKETSTQSSSWDNSERSKTISKANREEAKITAWENLQKAKAEAGIRKLEMKLEKKRASSMDKIMKRLRSTQKKAHYMRSSVLANQAHQVSRTDRKSISFRKTSRMSSSSGCFTCHVF >OIW10034 pep chromosome:LupAngTanjil_v1.0:LG06:10611968:10614421:-1 gene:TanjilG_32774 transcript:OIW10034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKETYSSHFISLLIFLFLGTLSLCASSHYETPHNNQRQLLYYKDEFGDRGENITVDPSLVFENNRIRNAYIALQALKQAIHSDPLNFTTNWVGSNVCNYTGVYCAPALDNPQIRTVAGIDLNHGDIAGYLPEELGLLTDLALFHINSNRFCGIVPQSFERLHLLFELDLSNNRFVGRFPKVVLRLPELKFLDLRFNDFEGAVPRELFDKDLDAIFINDNRFVFNLPDNFGNSPVSVIVLANNKFHGCIPASIGNMSNLNEIILMNNAFRSCLPSEIGLLKNMTVFDVSFNQLLGPLPDTIGNDVSLEQLNVAHNLLSGNIPASICLLPNLKNFTYSYNFFTGEPPACLGVAAFDDKRNCLPARPLQKSVAQCKSFLSKPVDCSSFGCTAFVPSPSTPSPSTPPSTPSPNTPPSTPSPNTPPSTPSPNTPPSTPSPNTPPSTPSPNTPPSTPSPNTPPSTPSPNTPPSTPSPNTPPSTPSPNTPPSTPSPSYPNTPPSTPSPSTPPSLPGVGPTPSPPSTPGIGPTPSPTTPIYTPPAYTPQSPPPPMYSAPPSPPVYTPQSPPPPPPVYSAPPSPPVYTPQSPPPPAYSPPSPPTSPYYSSPPFSTQSPPPPPKSSSLPLTPYLSPPPPPSPPPVNYSPPSPPPCEEQPPPTYDQHSPPPPPPPTPYLPPPSPSPPPPPVYASPPPPPQYSSPPPPPSPPPPVYYSSPPPPPVYHSPPPPTPVYHSPPPPVYRSPPPPVYHSPPPAPVYHSPPPAPVYHSPPPAPVYHSPPPPSPPPCEIPPVPVYGNPPPAPVYEGPLPPIFGVSYSSPPPPPFY >OIW09039 pep chromosome:LupAngTanjil_v1.0:LG06:31074587:31076115:-1 gene:TanjilG_16266 transcript:OIW09039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWFNPPFFLNFGCLSASTGDEDDFGKSLVKAEEAKVAEPKKADAKVGVPAKQLDDADSDGDEEDDSEDDVETPPKKVELGKKRANDSASKTPVSNKKAKNVTPEKTVDGKKGGHIATPHPAKKGGKSPNNDGKSQSPKSGGQSGRGGFKGQSPKSGGQSGQSGRGGFKKSFNSGGGSQQNNSGGGRQQNNNARRGK >OIW09492 pep chromosome:LupAngTanjil_v1.0:LG06:25326419:25327699:-1 gene:TanjilG_22241 transcript:OIW09492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLQYVVSPFKNSAFSEDEKHKSDIFTQSSIPLSKDIEVNGKEIVMSNENEFIGVLGVYIHQARDIHNICIYHKQDVYAKIFLTSNPDNTVSTKTINGGGRNPVFNESLSIDVGTVESSLKCEIWMLSRVKNYLEDQLLGFALVPLSEVLTHNGKLEKEFSLSSNDLFHSPVGFVQLSLAYTGASPDVMEISAMPTEVDKHVAVQVTEPSASLTRDLDKIEFPDPKILNEDHLMVSEYCGIPCEETQCSDSSENQSSEAGVQLVNCFSTWSIESVQPPKVDSPLSSDSTNGVSSTSVGASSESSVAAAVAASKCPTQQEVSSTIEVKNVDVKDGENNSSNGVPSDSFPKPIVTVNIDPEPKVVQQEIVDMYMKSMQQFTESLAKMKLPMDIAAEATSSGNSSTDQKLQSPKNASSRVFYGSRAFF >OIW09998 pep chromosome:LupAngTanjil_v1.0:LG06:11012974:11016818:-1 gene:TanjilG_32738 transcript:OIW09998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENKEKSKAESQKQKQEQNNSKKRKQVFPYGNYKSYYGYRIGQGTDEDPRMKVFRKEWFEGKECLDIGCNSGIITIQIAQKFGCRSILGIDIDSDRVEDAYWNLRKTVRTKSAGDKPVKTSKLKDQDSADNLEKNGTISSNEDTKEISKEPSSLEQSDLINIVSFKRENFVQSRHPPGKQYDTIVCLSVSKWIHLNWGDDGLITLFVEIWNLLRPGGIFVLEPQPWKSYESNRHVSETTAANYRNIMFRPEQFQEILLDKIGFRTVEDITSGLTGSKTGFNRPILTASFVVLELLFMIMTSCAWLHPWLIAALKQI >OIW09370 pep chromosome:LupAngTanjil_v1.0:LG06:29823932:29826574:1 gene:TanjilG_01341 transcript:OIW09370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTTDSNNTKIPFQVNLIHCPSPSDDYDDNNNNNKRPFIDEMDFFSDHNKNISNNLFSASTSAPPPPHIHDHDSTTTPILEFKVNIGLNLLTTNISSDQSMVEDDLLSNSEEKTTKSELVVLQAELRQMKVENLRLRSLIDEAKSNYQDLHMHLLNLMQGKKGEDTEEHHHQVFDGKLKDKKQSGNVEAFVPRQFMDLGLATNAAGTDETTVSSSVGRSKDLSSSPVNNGEVAAKELGNKNNSNDNDEGLVVFDQDKKEIGRGIEREDCPPDQVLGANKVPRFSPPSNEQAEATMRKARVSVRARSESPMITDGCQWRKYGQKMAKGNPCPRAYYRCTMATGCTVRKQVQRCAEDRTVLITTYEGNHNHPLPPAAMAMAQTTSSAARMLLSGSMSSADGLMNANFLTRTLLPCSSNMATISASAPFPTVTLDLTQSPKPQTQFHIPFPSSLNSSASLLPQILGHALYDQSQFSGLQMSQDCNQSQQQSPHIAHTVGAAIAADPNFTAALAAALTSIIGGSQPNNNNNNNNNNNNTIASNNSNGNITSSNK >OIW10311 pep chromosome:LupAngTanjil_v1.0:LG06:8631710:8633869:1 gene:TanjilG_28062 transcript:OIW10311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPLSTTISIDPSTRTRFTLITPLTFTHRKFSIHCTTSESIPQTPPPTSTTSNTKNKNNKKNNNKNSSLFDQLSPLANTTLSNTTSKDEAYVLSKPKSTWVNPTKPKRSVLSQQRHKRVPYSYSPQLRDFKLFAQKLNDSGDSEAEFLACLGEIPHELTRENALLILNSLKTWQKALLFFNWIKTQNSLPMETIFYNVTMKALRFGRQFGRIEELAHQMIDEGTQPDNITYSTIITCAKKCNLFDKAVHWFERMYKTGLMPDEVTYSAILDVYAKLGKVEEVINLYEKARATGWKPDNITFSVLGKMFGEAGDYDGIRYVLQEMKSIGVQANLVVYNTLLEAMGKAGKPGFARSLFEEMIDSGITPDEKTLTALIKIYGKARWSRDALELWDRMKENRWPMDFFLYNTLLNMCADVGLVEEAETLFRDMKQSENRKPDSWSYTAMLNIYGSEGNVDKAMKLFEEMSKSGIELNIMGCTCLIQCLGKAMEFEDLVRVFNVAVERGVKLDDRLCGCLLSVVSLSHGSKHEDNILACLQQANPKLVAFIQLIVDDKTSFETIKVEFKNIISNAAVEVRRPFCNCLIDICRNKELQERAHELLYLGTLYGLYPSLHNKTANEWRLDVRSLSVGAAETALEEWMWTLTKIVRQEGTLPELFLAQTGSGTHKFAQGLNISFASYLRKLDAPFRHSEDKVGSFIATREDLVSWVQSKFPSGAIAT >OIW09457 pep chromosome:LupAngTanjil_v1.0:LG06:26269717:26289001:-1 gene:TanjilG_22731 transcript:OIW09457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESATEPCSVKVAVHVRPLISEEKLQGCKDCVTVVSGKPQVQIGARSFTFDHVYGSTASPSSAMFEECVASLVDGLFQGYNATVLAYGQTGSGKTYTMGTGVKDGCQTGIVPQVMSALFNKIETLKHQIEFQLHISFIEILKEEVRDLLDPSSTGKLENGNGHAGKVNVPGKPPIQIRETSNGVITLAGSTEVSVATLKEMAACLEQGSLSRATGSTNMNNQSSRSHAIFTITLEQMRKLSSEIGDSMNEDYLCAKLHLVDLAGSERAKRTGSDGLRFKEGVHINKGLLALGNVISALGDEKKRKEGVHVPYRDSKLTRLLQDSLGGNSRTVMIACISPADINAEETLNTLKYANRARNIQNKPVVNRDPMSNEMLKMRQQLECLQAELCARSGGTFEEMQVLKERIAWLETANEDLCHELHEYRSRCSVVEQCEKDSYNGSTYKAKTDGLKRGLPITSSDYPMSDTTGDSMEIEEVTKEWEHTLLQNSMDRELHELNKQLEQKESEMKLFGVSDAETLKQHFGRKIMELENEKGAVQRERDRLLAEVENLANSDGQTQKSEDIHAQKLKALEAQILDLKKKQESQVQLLKQKQKSDEATKKLQDEIQSIKAQKVQLQHKIKQEAEQFRQWKACREKEMQQLRKEGRRNEFERHKLQALNQRQKMVLHRKTEEAAIATKRLKELLEARKSSSRDNSVTMNGSGTNGQSNEKSLQRLIDHELEVMVKEHEVRFEYEKQSQMRAALGEELALLKQVNELAAKGLSPPRGKNGFARASSMSPNARMARIASLENLLSISSNSLVAMASQLSEAEERERAIANRVRWNQLRSMAEAKNLLQYMFNSVADDRCQLWEKDMENREMKEQIKELVGLLRQSEIKRKEIDKELKVRVQAAATTLATTASVRSSCISPADINAEETLNTLKYANRARNIQNKPVVNRDPMSNEMLKMRQQLECLQAELCARSGGTFEEMQVLKERIAWLETANEDLCHELHEYRSRCSVVEQCEKDSYNGSTYKAKTDGLKRGLPITSSDYPMSDTTGDSMEIEEVTKEWEHTLLQNSMDRELHELNKQLEQKESEMKLFGVSDAETLKQHFGRKIMELENEKGAVQRERDRLLAEVENLANSDGQTQKSEDIHAQKLKALEAQILDLKKKQESQVQLLKQKQKSDEATKKLQDEIQSIKAQKVQLQHKIKQEAEQFRQWKACREKEMQQLRKEGRRNEFERHKLQALNQRQKMVLHRKTEEAAIATKRLKELLEARKSSSRDNSVTMNGSGTNGQSNEKSLQRLIDHELEVMVKEHEVRFEYEKQSQMRAALGEELALLKQVNELAAKGLSPPRGKNGFARASSMSPNARMARIASLENLLSISSNSLVAMASQLSEAEERERAIANRVRWNQLRSMAEAKNLLQYMFNSVADDRCQLWEKDMENREMKEQIKELVGLLRQSEIKRKEIDKELKVRVQAAATTLATTASGNPPNSVKHYAEDINGPLSPESDSILKQCKYTPGIANGHVRESAAFIDQSRRMVPMGQLSMKKLAMVGQASGKLWRWKRSHHQWLLQFKWKWQKPWRLSEWIRHSDETIMRAKPRSQALPHIM >OIW09990 pep chromosome:LupAngTanjil_v1.0:LG06:11190123:11190398:1 gene:TanjilG_32730 transcript:OIW09990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNNTVRKTLTSYYCANSYSFVASTLAKTMSSYSNSVATATNSGARDLQWVHHGAVIFNDSGEIALLQYDDVFVEWSKAEKSSKSSSTSST >OIW09192 pep chromosome:LupAngTanjil_v1.0:LG06:30754971:30758690:1 gene:TanjilG_11330 transcript:OIW09192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPCPFVRLIVESLALKLPLPTKPSPSNSGGVHPSTTPCFCIIKTNITSFPSQTALLPLVSSSDKLDTTISAPAFLLDSAALRRLSGKPLTLRISIYSGSMTHMCGITEAKLLGRVTVNVQHTESSWIRCSTFQNGWLNIGKEKKKKNKDGGGEGEKMIHVVVRSEPDPRFVFQFGGEPECDPVVFQIRDNNIRQPVFSCKFSADRNSRYRPHPSHFTNNSCRRKKIFSRVREREGRERKGWMIIVHDLSGSPVAAASMVTPFVPSPGSDRVSRSNPGAWLILRPNGASVSSWKPWGRLEAWRERGPIDGLGYKFELVTETNNNYGIPIAESKISVKKGGQFCIDYQVMKDSGHGSRLPGKGFVMGSSVEGEGIVSKPIVQVGAHHVTCMADAALFIALSAAIDLSMDACQLFSHKLRKELCHEEQLPYS >OIW11183 pep chromosome:LupAngTanjil_v1.0:LG06:59951:68046:-1 gene:TanjilG_22990 transcript:OIW11183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGRSNSSDDGVRPWHSYHTVYTTSKPGMEGVDKEKVQRIVYEMSKGSKYFQNEERKEAFITHKIHKFRTHYAKLTQPDLSHYRKVSDRRILELEATRDLSRIWLHVDMDAFYAAVETLSNPTLKGKPMAVGSMSMICTANYEARKFGVRAAMPGFIAQRLCPELIFVPTDFKKYTHCSDMTRKVFQRYDPNFMASSLDEAYLDITEVCKERNIKSEEVAEELRASVYEETGLTCSAGVAPNRLLAKVCSDINKPNGQYVLPNDRIAVMTFTSSLPIRKIGGIGKVTEHILKDVFGINTCKQMLEKGSYLCALFSQSTADFFLAVGLGIGRTDSPQVRCRKSISNERTFSTTEDGALLYKRLAELAEMLSMDMQKEGLCGRTLTLKLKTASFEVRTRAVTLQSYISSSEDILKHASKLLKAELPISVRLIGLRVSQFNGEKSGATLDPTQKTITNFINSGDTKGNYNSFADVTDHDFISDTETDLSIDSRQKFQHDWTYPFDDNHSLDVDQRSCSVRKNDGEEEVQTFGKDASISYCSGGTEVLGSTFSSSSGQFEDINVNDGSNLLEDERLNSSFQEKTMLWLNDYKCSLCGIEMPPSFVEERLEHSDYHLAEKLQKEESRTHQRTSVLSQRMSSVGVGVGRELSNPPLDGISNLRFSNHSDLLLVSSWDKSVRLYDATANILRSQFLHSGPVLDCCFHDDSSGFSASSDNTVRRLVFSSNKEDILGTHDAPVRCLDYSYAAGQLVTGSWDKTIKCWDPRGASGQHHTLVGTYPQPERVYSLSLVGHRLVVATAGRHVNVYDLRNMSQPEQRRESSLKYQTRCVRCYPNGTGYALSSVEGRVAMEFFDLSEPSQAKKYAFKCHRKSEAGRDIVYPVNAMAFHPIYGTFATGGCDGYVNVWDGSNKKRLYQYSKYPSSIAALSFSRDGRLLAVASSYTFEDGPKPHEQDAIYVRSVNEIEVKPKPKVYANPPA >OIW10327 pep chromosome:LupAngTanjil_v1.0:LG06:8841872:8842125:-1 gene:TanjilG_28078 transcript:OIW10327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKFTLVQTIATATVFSAVSFWYGFMFGRESSRKDLSQLIQDLRRANPNPNPTSTSHS >OIW08980 pep chromosome:LupAngTanjil_v1.0:LG06:32044302:32048144:1 gene:TanjilG_05956 transcript:OIW08980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPSRNNNNQEQTHHVSSLNGNSALDTSLAMKHNPGISLDWTTEEQAILEEGLTNYASASNIVRFAKIALQLQNKTVRDVALRARWMNKKENSKRRKDDHNLTRKSKDKKERVSDPAVKPSHFAARPNVPPYVHLPMIAMDNDDGISYTAIGGPTGELLEHNAQALNQISANLSSFQIQENINLFCQTRDNILKIMNDFNDSPEVMKQMPSIPAKLNEELANSLLPRTTLQLPPS >OIW09198 pep chromosome:LupAngTanjil_v1.0:LG06:30798087:30799283:-1 gene:TanjilG_11336 transcript:OIW09198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVESKGRKEEVVTREYTINLHKRLHGCTFKKKAPKAIKEIRKFAQKAMGTNDVRVDVKLNKFVWSQGIRSVPRRIRVRIARKRNDDEDAKEELYSLVTVVEIPKDELKGLGTKVIDDED >OIW10852 pep chromosome:LupAngTanjil_v1.0:LG06:2538790:2540382:-1 gene:TanjilG_27798 transcript:OIW10852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMHMTAAATVPAHKFQFQGRCPSFRFRCQSSSIKLPSTTDSTRLHLNNLNHLLEKQNPLHVPATQHHHKSQHNESSMDNNKKGRSFLENLIWPEMKAADEMSPGHLHRLQRLLSMTAEYSPRNVIGNRWMEYHGSNDWKGMLDPLDENLRREVIRYGEFVQAAYHAFHSDPGMSQTEPPKKTQHVALSDSSYRVTKSLYATSSIGLPKWVDDVAPDLGWMTQRSSWIGYVAVCEDRREIARMGRRDIVISLRGTSTCLEWAENVRAQLIDIPENDSKAQAQAQAQAQGKPKVECGFLSLYKTRGAHVPSLSESVVEEVKRLMDVYKGEKLSITVTGHSLGATLALLVADEISTCTQDVPPVAVFSFGGPRVGNKAFGNRITTKNVKVLRIVNSQDVITRVPGIFVSEELEQKIKNSKVGNMVDMLEQNTPLGYSHVGAELRVDTKMSPYLKPDADMACCHDLEAYLHLVDGFMASNCPFRSNAKRSLARLMQDQSSNVKKLYISKAKGLTLNFKKQGSMSMPSCLPSPS >OIW09187 pep chromosome:LupAngTanjil_v1.0:LG06:30719070:30721793:1 gene:TanjilG_11325 transcript:OIW09187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPNFVGNCGADFYNSDPNYWDLNGQYQNLFNFTNSDPFYQFDADANDPLSFSTRDTSFFNSSVVEAQVVSSEPYNLSSLEDSEFSETARYISQILMEENFEQKPCMYYDPLSLQITEKSFSDALIEKLPHSPDQHPLDIHQNLESPDGNCSGSTSSADSGSSGTDNRHELKPLPPDTPVSGDYTFQLNSDSTSHLHSQLPQHALTSISNGMLDLDSSVTKLLAQNIFSDADSMLQFRRGLEEASKFLPQGTQLFTGVASSSVSLKPKDEVEKVAVKTENGVRENSYALKSRKNHEREGDGVEEEGRSNKQSAVSSVEESGISEMFDRVLLSIENVPLHAEQQDVSVIDSNEQPSEQPPSSNRGKSRLKKQGRKKETVDLRTLLILCAQAVSAGDNRTANELLKQIRQHSSPLGDASQRLAHYFGNGLEARLVGAGTGTQIFINSPSYKKFTAADFLKAYQVYISACPFKKLAHFFANKTILKAAENAETLHIIDFGILYGFQWPILIKFLSKRSGGPPKLRITGIEYPQPGFRPSERIEETGHCLANYCKRFNVPFEFKAIASRNWETILIDDLKIKSNEVLAVNCLIRFRNLLDETIEVNSPRNAVLNLIRKINPDVFVQSIVNGSYNAPFFATRFREALFHFSAIYDMYDTLIPRSNEWRLMIEREISGREIMNVVACEGFERVERPETYKQWQARNTRAGFKQIPLDKELMTGFRRKIREWYQHDRDFVFDEDNNWMLQGWKGRVLYASAAWVPA >OIW10213 pep chromosome:LupAngTanjil_v1.0:LG06:7699866:7705200:1 gene:TanjilG_27964 transcript:OIW10213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEMEVKPGGASNSSGGGNDVELLCKTLQHWFVDLFNYYVTRSTVMELQLDTKATCDIDIDICIVYYEAVLVVLFIRRLGGGTSSSGGGGNDVELLCKTLQVEHKLLYFDLKENPHGRYLEISEKPSPTCSTVIVPSSNISWFVDLFKYYVNSDDQQLFSLELQLDTKIFYFDIGENRRGCFLKGNCYHFYL >OIW08946 pep chromosome:LupAngTanjil_v1.0:LG06:32322818:32323451:-1 gene:TanjilG_05922 transcript:OIW08946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESDIVEGGHDEEEVEEIYKHMVEEVMEMVEVETCTHMEEVVKEMVMEVVETCRHMVEEVKEMEEGETYRHMVYEANFLEGVQNCRHMVGEVKEMEEAETDRHMGVVVMVMEVVETYRDMAEEVKGMEEVEIDRHMEVVEMAGEVNGVVVEKCMHIWVFCKMGVLDLVNVN >OIW09055 pep chromosome:LupAngTanjil_v1.0:LG06:31180861:31181559:1 gene:TanjilG_16282 transcript:OIW09055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLQRSEPFSDTPLCSKPLLDLSLSSKRESSNESSKAELNLINYFDTNSSMNSSESSHGNEMEPRIFACNYCQRKFYSSQALGGHQNAHKRERSFAKRGHKTGAAVLVDFGHRFSNMASLPLHGSYNRSLGIQVHSMINKPSYQTPFYGLSHSQGLNGWKRHHMDIKPAIGNLHVGAETEPSLVGAGITRLGMFSHSIVPEGYGGNWFASINHLKTKQEEVQVQQLDLSLKL >OIW09266 pep chromosome:LupAngTanjil_v1.0:LG06:28828687:28830148:1 gene:TanjilG_01237 transcript:OIW09266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKNTNEHEKNGKKERVAWSEELHRKFIVAVNHLGGIDKAAPKKIMALMKDEKLTKENVASHLQKYRLHLKKVSTTFVPNQEGSIQFHAINAAAVVQNVSIAPSDGVFGPNSTSNGKRDINFCEWGHGNRIMALTEENSSLMEEHRGYLGNEQHKSQSTFMANNLGLIRDLVTSSSSCNSQELTEIAELRHEMAAIRHENHQLREVVEAMKAQQDMLMQAILQRTIVPRR >OIW11033 pep chromosome:LupAngTanjil_v1.0:LG06:1499889:1513393:-1 gene:TanjilG_22840 transcript:OIW11033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTALKLSNYPRALEYLDVPTNKVDGDDFKEEQNSVARLIQKLYNDDPKEFFKTIETLGGAPVPELALPLYLQCAEDSRAQMTALHLIIGTLQRMHVFIVENRDTLTHKATEAANDSDLEPVAYEFFTQAYILYEEKIWDSRAQMTALHLIIGTLQRMHVFIVENRDTLTHKATEYSAKHLKNLDQCRAVYACSHLF >OIW09696 pep chromosome:LupAngTanjil_v1.0:LG06:19636673:19638601:1 gene:TanjilG_06502 transcript:OIW09696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVDFMMSGFNTFTSKTEEHAVQEAASGLESVEKLIHLLSHTQQQHHSSSSNSNLNPNLDIIDRDYKAVADVAVSKFKKVISLLGRTQTRTGHARFRRAPLIQSEKEEEHVSGTEPSRIFYATPLQQIPSTTTIHHHHHHQSLISRNGITEKKDSSSKIITFSSYNNSDSSAPNSFISSLTGDADTKQQPSPAATAPVGFQITNLSQVSSAGKPPLPSSSLKRKCSSETLGSGKCGSSSSRCHCSKKSRKMRVKKVMRVPAISLKLADIPPDDYSWRKYGQKPIKGSPHPRGYYKCSSVRGCPARKHVERALDDSSMLIVTYEGEHNHTFSAADATNLILESS >OIW09988 pep chromosome:LupAngTanjil_v1.0:LG06:11205745:11210766:-1 gene:TanjilG_32728 transcript:OIW09988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGEEGGKLSIYKAARSIKRRENTLYNALKSIYQDSIFVGEISQLWPQLPLLANLRCGLWYSPKFYATCYFKSTDGHTNNWSFNTSRLNLHVAQLAGQKGGCMIVDATRRGKRFPDSMSKTIPIWTCVLNRAISNFRKEFHDTNGDASFKGDSNGCDEHSTVTRDVSFDWDCSLHLPLWVSETEKALIEERLEEWTLGLKASGADIASLAACLKKPLRPLWISQKTVIWLNEVPDHDSWDFTPIILVSTSLSTGISQQRTTSEFSWNYIPGAGDDEESWARGLSPSLFWNHVYDLINSGPDVCNQKVADLVEKNRVYRAYRGESAPQITVKPLKSSCLSHEEPLDIADISNIKIDTESSEDLAISWLGSTNVAVGTSQIAAGAADVDCILNCDCETIPVNLPSAEAYLHLPMMTSKFDRFSLLNNLPSAVSFAKFNLRQRKRLLICCHNGEDISICVCLAILMSLFDEKGTFDDGKSFNMINVTKWDMRRRLVYVCKFATNARPSRGNLRQVFNFLIGDKCIEQDLDTGGDE >OIW10186 pep chromosome:LupAngTanjil_v1.0:LG06:7438662:7442450:-1 gene:TanjilG_27937 transcript:OIW10186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSSFSIPFLTSPCSSNTISSRPKTCLYATPAVSPIISSEVDASRLEPRVEERDGYWVLKEEYRVGINPQEKVKLEKEPMALFMEGGIDELAKMSLEEIDSSKLTKDDIDVRLKWLGLFHRRKHHYGRFMMRLKLPNGVTTSAQTRYLASVIRKYGKDGCADVTTRQNWQIRGVVLPDVPEILKGLAEVGLNSLQSGMDNVRNPVGNPLAGIDPHEIVDTRPYTNLLSQFITANSLGNPTVSNLPRKWNVCVVGSHDLFEHPHINDLAYMPANKNGRFGFNLLVGGFFSPRRCAEALPLDAWVSADDVIPLCKAVLEAYRDLGTRGNRQKTRMMWLIDELGIEVFRSEIEKRMPGKKLERASEEEMVEKQWERRDYLGVHPQKQEGLSYVGIHIPVGRVQAYEMDELANLADKYGTGELRLTVEQNIIIPNVENSKLDALLKEPLLKERFSPEPSILMKTLVACTGNQFCGQAIIETKARALKVTEEVEREVAVTRKVRMHWTGCPNTCGQVQVADIGFMGCMTRDENGKVTEGVDIFLGGRIGTDSHLAEVYKKGVPCKDLVPIVADILVNHFGAVKRNREGDD >OIW08992 pep chromosome:LupAngTanjil_v1.0:LG06:31939195:31945065:1 gene:TanjilG_05968 transcript:OIW08992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRGRIRVKLRRSHLYTFGCVRPATTDELPNPLHGPGFSRTVYCNQPQIHDKKSLFYCNNNVSTTKYNVITFFPKALFEQFRRVANIYFLLAACLSASSISPFSPLSMIAPLVFVVGLSMAKEGLEDSRRFLQDVKVNHRKASIHKGDGVFGPRSWQNIMVGDIIKVEKDQFFPSDLFLLSSSYEDGICYVETMNLDGETNLKVKRCLEATLSLDNDEAFNGFSGTLHCEDPNPNLYTFVGNFEYEHQVYALDPSQILLRDSKLRNTDYVYGVVIFTGHDSKVMQNSTKSPSKRSTIEKKMDHIIYTLFTVLILISFISSIGFVVKTKYQVTKWWYLRPDDIEDQYNPRKIGLAGMSHLITALILYGYLIPISLYVSIEIVKVLQATFINKDIHMYDEVTGTRADARTSNLNEELGQVDTILSDKTGTLTCNQMDFLKCSIAGAAYGVRASEVEVAAAKQMASDVEEQDSDFSNFPMSKSNMLSKKVTSGEEIVLETTVTPKDNQDKKPSIKGFGFEDNRLMNGNWLNEPNAGVLLMYFRILAVCHTAIPERNEETGSITYEAESPDEGAFLIAAREFGFEFYKRTQSTVVVRERFAASGQVVEREYKILNMLDFTSKRKRMSVIVRNEEGSIILFCKGADSIIFDRLSKNGKLYLDSTTKHLNEYGEAGLRTLALGYRKLDEKEYSDWNNEFQKAKTSVGPDREATLERLSDIIERELILVGATAVEDKLQKGVPQCIDKLAQAGLKIWVLTGDKLETAINIGLPNSNLLVNDSKEVIKSNILNQITNASQMIILEKDPHAAFALIIEGKTLTYALEDDVKHQFLALAVGCASVICCRVSPKQKALVTRLVKQGTGKTTLAIGDGANDVGMIQEADIGVGISGVEGMQAVMASDFSIAQFRFLERLLVVHGHWCYKRIALMICYFFYKNIAFGLTIFYFEAYTGFSGQSNYEDWYMILFNVVLTSLPVISLGVFEQDVPSEVCLQFPALYQQGPKNLFFDWYRILGWMGNGLYTSLITFFLVIIIFYDQAFRLDGQSADMDAVGTIMFTCIICAVNCQIALTMSHFTWFQHLFVWGSIATWYLFLLLFGALSPVYTKNGYQILVEVLAPAPIYWTATLIVTITCTLPYLVHISLQRFFNPMDHHIIQEIKYCGKDVEDQHMWKRERSKARQETKIGFTARVEAKMRQLRGKLQRKPTSLRILPPSYTKII >OIW10934 pep chromosome:LupAngTanjil_v1.0:LG06:1880102:1882109:-1 gene:TanjilG_27880 transcript:OIW10934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLELCRRPFETCFGGGGGSKDSLLWHEDLEPHASGEYSIAVVQANSLLEDQGQVFNTPSATFIGVYDGHGGPEASRFITKHLFSFLHKFISEEGEISEEVIMKAFNATEEEFWHLVKQSWITLPHIAVVGSCCLLGVISNNNLYVANLGDSRAVLGRKALRSQVNCAAVVAERLSTDHNVGVEEIRKEVEALHPDDAHIVVHTRGVWRIKGIIQVSRSIGDVYLKKPEFDKNPLFQQFVCPVPLKRPVITTQPSILTRKLTAEDLFLIFASDGLWEHLTDEAAVEIVFRSPRKGIAKRLVRAALEEAAKKKEMRYADLKRIEKGVRRKFHDDITVIVIYLEHSQGSLSRRPKHQGGCISTPVDIFSLNADETDL >OIW10109 pep chromosome:LupAngTanjil_v1.0:LG06:9761971:9764365:1 gene:TanjilG_21946 transcript:OIW10109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYDTIFAKSFSRREQKRLRCGAFLFCLLLVLSLCTVFKPYLGPIHVLSLKLSLVVDTKMFMVNDTSSSPHTAKVENVVAKIVDDTKSSPQMNDAESVLQEILFNDTRSSQQIAKDEENVTKNILTNGTRSSPQKAEVEEIETKKLESLCTSEERTDFCQSQGDIRIHGKSSSVYIVSPETTLSAENISWRIRPYARKDDAYAMVQVREWSVKPVKVSQKVPQCTKNHSIPTVLFSTGGYIGNHFHEFTDVLIPLFLTCRHFNGEVQFIITDKRPWWILKYQPVLNKLSNYDIIDIDSDDEVHCFLSVSVGLKRYRKELSIDPQKYSYSMKDFRDFLRSSYSLKRVQAIKIRDDQPKKPRLLIISRQRSRSFTNIAQIAKMAKRLGFIVIIKEGGGSMSSFANAVNSCDVLMGVHGAGLTNILFLPENAVFVQVLPHGSVEWLAKNDFAEPSKDMNLKYLEYKIRLEESTLIQQYPLDHIILKDPPPIGKISWETFKTVYFDKQNVRLDVKRFKPTLLKALELLHQ >OIW08958 pep chromosome:LupAngTanjil_v1.0:LG06:32222098:32224423:1 gene:TanjilG_05934 transcript:OIW08958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEIEEIGPDVCSDIELDDIRVQNMAEKDVSDEEIDAEDLEKRMWKDRIKLKRLKEKQKMEAQKAAEKQKAKPATDQARRKKMSRAQDGILKYMLKLMEVCKARGFVYGIIPEKGKPVGGSSDNIRAWWKEKVKFDKNGPAAIAKYEAECLAMSEADNNRNGNSQNILQDLQDATLGSLLSSLMQHCDPPQRKYPLEKGVPPPWWPTGNEDWWVQLNLPHGQSPPYKKPHDLKKMWKVGVLTGVIKHMSPNIAKIRRHVRQSKCLQDKMTAKESAIWLGVLSREESLISQPSSDNGASGVTETPPGVQVKNKRAAANSDSNYDVDGADDGVGSVSSKENSRNQVMETEPSDNSRRNHVLDKDQVEKQPRKKRPRLRSGTTDKKPAQSDNEILHVEPTSNMLNMNQMEEQLVELPIHGNEQINETDSALRPLEKGLEVPTQLPAEFDHFPYLHSNNLIPSESLYMNGRPPLHYPEVLNPDLHHDTTYDLYNPVAGYQQSHDVPQLQPGKSEPIRTENNTVSMPPLHMRGDEVTGGDLQYFGKETFPNELDRPIDHSFFGSPLNSLPLDFGLNSPPFNIDDFLGEDEMMQYFGA >OIW10881 pep chromosome:LupAngTanjil_v1.0:LG06:2314427:2314819:-1 gene:TanjilG_27827 transcript:OIW10881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCLVMPISLIRRCSTGSRFGYIPLTKDDLDHEPNPVKVMVGKEKKVFFVDPFILQEYPFQFLIDISMKNTSSMEKGHFHFTRSHSQRRVIFVDVDALLFEHMLWLMHNDVSSLFQLNLKEIVEFYAQDM >OIW09317 pep chromosome:LupAngTanjil_v1.0:LG06:29482623:29488027:1 gene:TanjilG_01288 transcript:OIW09317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTITMFTKFLQIHTTIPFLPLPPFPHHNNSNNSFLIFKHNPQFQFQFHYYETRRRRRRRRNGHCCRCDGSSELEVQEARKAVSTFLQELGVSEEDSISIASKSPSYLNMLMDGVRDLDQLSSIIQQEEEEEEEQNLKDKIIHIATQKGDKGKVAYLESLGFTLSSSMNVARYLSAETLPSLIHKVTSMKLLFFNSHSHHNQDFLIKNIRRMMLYLSISIDDDLQHTLSFFAKVEARRGGLKMLSSKDSAFNYLIESFPRLLLLSVDDHMMHTVEFLENIGIPRVHISYMILCFPPILLWNLRVLKNRVLALKEIDLVDGDYIRLLLNYPWVLSTSIQENYEEIPKTLLDRAIQSQPHLLACSTSKLKLMVDQFAELGVISKRLDRVITKSPQLLLLNLKDFLKIVLFFENMGFDGENIGRILARCPEIFATSIDKTLQRKIEFLCGIGVSETYLARVIRKYPELLVYDTDNTLPHRIMYLMKLGLSEKDIAFMVRTFSPLLGYSIEEVLRPKIEFLVNSMGRPIVLFFENMGFDGENIGRILARCPEIFATSIDKTLQRKIEFLCGIGVSETYLARVIRKYPELLVYDTDNTLPHRIMYLMKLGLSEKDIAFMVRTFSPLLGYSIEEVLRPKIEFLVNSMGRPVRDVVGYPRYFSYSLEKKIKPRYWVLKERNIKCSLKDMLGKNDEEFAAEFMCHLASHDGL >OIW10028 pep chromosome:LupAngTanjil_v1.0:LG06:10673175:10673762:1 gene:TanjilG_32768 transcript:OIW10028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKESSYAPEDRLLRAILGIQVSTSKETCLKLPIGGRGRVIDVRWIHKKGVSSYNPETIRIYILQKREIKVGDKVAGRHGNKGIVSIILSRQDMPYLQDGRPVDMVFNPLGVPSRMNVGQIFECSLGLAGFMLDRHYRITPFDERYEREASRKLVFSELYEVNKRTANLWIFEPAYLGKSRIVDGRTWNLLNSML >OIW09627 pep chromosome:LupAngTanjil_v1.0:LG06:21915550:21920387:1 gene:TanjilG_28226 transcript:OIW09627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENNIVEDFITKMQQPLSPNDDDHKSNDMIIDDMNQKVDSVVAETEVGDEGLEQHDSFIHVAESDDLVQPIIEMSNVKNQNEGLAESGVVSHKRKLSQVADEGGEKVSENQSLLNDPEAQEKDIANDDAKRTKLDLNIPIIDEDDDGSVYLEEYGIVDSPNTLGIQQTPQGGEMDDEVVGIVYSPPVAAIGFQVAPQGEELDKKVEENEDLPATPLSLGLKLEPQGLKVVDSPYGFGAQESEAGSSNLNKNDAGSSKAHHIYDFDLNVPLTDEDEDEAEDF >OIW10902 pep chromosome:LupAngTanjil_v1.0:LG06:2145545:2146888:1 gene:TanjilG_27848 transcript:OIW10902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMYQPCKRNMVVFGFEGGGEGQILDLDTAVKGGILGGGVVGTGVCDKLDLRKMIEELDLSDVPTVFICPISLEPMQDPVTLCTGQTYERSNILKWFNLGHFTCPTTMQELWDDSITPNTTLHRLIYTWFSQKYMLMKKRSEDVQGRTSELLETLKKVKGQARVQALKELHEVVSAHSTARKSVIDQGGVSIMSSLLGPFTSHAVGSEVIGILVSLTLDSESKKNLMQPAKISLMVDILNEGSIETKINCTRLLESLIDDKDFQGEIISSHSLLVGLMRLVKDKRRRNGICSGLSLLKTISLHKEVRNLLVSIGAVSQLVESLSGLDPNCLELALCVLDALASIPEGRVALKDCSNAITIMVKLLMRISENCTQYALSILWSVCKLSPEECSSIAVDAGLAAKLLLVIQSGCNPVLKQQSAELLKLCSLNYSDTIFISKCKLTRTIQ >OIW10345 pep chromosome:LupAngTanjil_v1.0:LG06:9005199:9007010:-1 gene:TanjilG_28096 transcript:OIW10345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLTGIGWPNDKANSRYKSYFNVGTSSASKLAFDSKADIYVEGTNGENMSLGWENSALDPNAYYYRTALDFYGVFTQYAHPKKGSDGQQDWSIVRYVPPDICFAIFSDFGSGSCGYNSYCSMENQRPTCKCPDGYSLLDPKNQFGGCQPDFSLGCEADEGGSRDRPEEVFQFKVLQNVDWPLGDYERLDNYTPLDCQTTCLPTKQENVGRRNYPFLMEDQRQRKGHIAFIKTRIRSLSDLDPDSPKGYPNGAKVEDPAKPILIGSLVGSLVINSVFLASVTLFFLLKPKKILQASSVLETNLYSFPYETLKRYTQDFCEELGRGSFSTVYKGTLNSGSDQFVAVKKLNTLAIEREKEFKTELNAIGKTSHKNLVRLIGLCDEGTNRLLVYEFLSNGTLADILFGQVQPNWNLRVTIALGIAKGLVYLHEECGTPIIHCDIKPQNVLIDEYFTQKISDFGLAKLLMLDQSKTHTMIRGTRGYVAPEWFKNVPVTAKVDVYSFGVMLLEIICCRRGVLDFEEGEEAILSDWANDCLRDGRIDALVKEDKEAMADKIRLKKWVMIAIWCIQEHHDMRPTMKTTMHMLEGLVEVPHPPSPSSFTLAS >OIW08920 pep chromosome:LupAngTanjil_v1.0:LG06:32560236:32562337:1 gene:TanjilG_05896 transcript:OIW08920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEETAENEIKKCDCIYGNMKDYYTHRNGESEDPRLNALKEEWFKGRNCLDIGCSSGILTINIAEKFNCQSMLGIDIDPDRVKDANLNLRKTVESISAENKTSLEFCKLSFLANVLVQVEETDLNLDKIVESESAENLEQGIDASSNDEEIPTRYLSDIVSFKQEDFLENEYDPLEQHYDTILCLSVTVWIHLNWGDDGIKTLFQKIWNMLLPTAIMNYQNIKIRPNKFVEILLEEIGFSELIHFSSVRNRHILVFQKGAI >OIW11133 pep chromosome:LupAngTanjil_v1.0:LG06:389596:395703:-1 gene:TanjilG_22940 transcript:OIW11133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEEVVAPAVTVTTPLPSDNKRKIQDLEPNTDSNPDSEPKEEDTDDNADVTASDETENKRPRIDDLDNANGHQDEEVHEPVNEAKENVVLVDAQPISNGPSEEVTNEEIASKVAEPADSIEPPVNEDAVQENAAEEPSKEAEEPFKDSTKQDAPAGDKQPDSVEVVPDTVDFPHKQDASSDPQQPTSGAETITRKIEVPSNKVGVLIGKAGDTIRYLQYNSGAKIQITRDVDADPQSATRPVELIGSLESIEKAEKLMNAVIAEADAGGSPALVARGLSPAQATVGSEQIQIQVPNEKVGLIIGRGGETIKSLQIKSGARIQLIPQHLPEGDNSKERTVQITGDKRQIEFAQEMIKEVMSQPVRPSTGGFGQQAYRPPRGPGGPPQWGQRGSHYGHLTAYDYQHRGTYPAQNQPYAPPAYGNYPQQMAPRSGYGSGWEQRPQHSMQGPPSHNGGYDYYGGQGGHDAPPPTQHPIPPHGVGPSPVPSVGPSPAQANYNYGQPQGQDYGQQAPYNTQTGHPQHGYGQGYGESKFDNHAPAQHPYGGHVNSQPTHPQPGVQPNYAAPQQYGKPYYGMPSQGQPPQSSGHPRATQPGDIPYQSSAPAQSYGAHMQTQQPYPYASSAPQQAAYPTYGSAPVTTDSYNLPPPASGQVYAQQSYGQPGVQPTASYPQAGPTGYGSYPSSQQGYPEQPAPNNAGSVYQAVPQDPAYSSGTAPAYSAAPSGQQGYVQPAPAQTGYEQPNPQSAAGYAAVQASAPTAYVNTVSSQPAAAYPQYDSTQAYGAPR >OIW10046 pep chromosome:LupAngTanjil_v1.0:LG06:10482203:10486456:1 gene:TanjilG_32786 transcript:OIW10046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNACAFSSMNFPRFHRYFRFSKPLTLVSPSSSSIISLLSNQFSSPITTDTASNQKNAPWLIVGLGNPGKTFNATRHNVGFEMVDAIAEAEGISMNSVSFKALFGKGYIGDVPVILAKPQTFMNLSGQSIFDDLDLPFAKLRLLPKGGHGGHNG >OIW09834 pep chromosome:LupAngTanjil_v1.0:LG06:13627911:13628246:-1 gene:TanjilG_20541 transcript:OIW09834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMSMKSLMVALVVMMSIINLTEAQSSVASCTQSLIPCAEYLNSTKPPSSCCGPLKEAFATQLQCLCNIFNTPGLLESFNVHATEALALGRYCGITTELSSCSGTNISMTL >OIW10471 pep chromosome:LupAngTanjil_v1.0:LG06:5951589:5959871:1 gene:TanjilG_00409 transcript:OIW10471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADESVSPPPSPAAPSSSTAAPLGSSVISLVNRLQDIFSRVGSESNIDLPQVAVVGSQSSGKSSVLEALVGRDFLPRGNDICTRRPLVLQLVQTKRKPEGSDEEFGEFLHLPGRRFHDFSAIRREIQAETDREAGGNKGVKDKQIRLKIYSPNVLDITLVDLPGITKVPVGDQPSDIEARIRTMIMSYIKTPTCLILAVTPANSDLANSDALQMAGIADPDGNRTIGVITKLDIMDRGTDARDLLLGKVVPLRLGYVGVVNRSQEDILLNRSIKDALVAEEKFFRSRPIYSSIADRCGIPQLAKKLNQILAQHIKALLPGLRARINTSLVALAKEHGTYGEITESKAAQGALLLNILSKYCDAFCSILEGKNQGMSTSELSGGARIHYIFQSIFVRRLEEVDPCEDLTDDDIRTAIQNATGLRSALFVPEVPFEVLIRRQISRLLDPSLQCARFIYDELVKISHRCTVSELKRFPFLRKSMDDVIGNFLREGLDPSENMIQHIMEMEMDYINTCHPNFIGGSKALEVAMQQTNASRVSLPVSRVKDGLESDKGQSSERSGKIRAILGRQANGLVADQGVHVASDVEKVVPSGNTGGSSWGISSIFGGGDNRVSLKENTATKPHSEPLHSVDQSFSMIQLREPPTVLRPSESSSEMEGVEITVTKLLLRSYYDIVRKNIEDIVPKAIMHFLVNNTKRELHNVFIQKLYREDFFEKMLQEPDEVAMKRKRCQELLRAFQQASRDLEELPLDAETVESRYNLTETTGLHKAHGMPSSSMYSTSGSVSYYEAFPNITKSKSRKSSHSGEFQTPFDADSNGNRWANM >OIW09049 pep chromosome:LupAngTanjil_v1.0:LG06:31133371:31139122:-1 gene:TanjilG_16276 transcript:OIW09049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVDSQPTMEETILVGDDLMTGPPSPVVPPEIASHVLQGVDLCDGVLKNMFLCLQINDIEPFCQDELVLYKQCSERRDKEIRKRLQDSEHKLGSSMPLDKAKERATQLEAEVTTLERRLILASGAEGLEGFRQRWSLHGRLTDSKKRLEALKQVGAEAEEAKIELLLNEVKGKSVVELIASGREKLASVPSGGGAVAVAAAPAGGAGGAAAPAAEAKEEKKVEEKEESDDDMGFSLFD >OIW09315 pep chromosome:LupAngTanjil_v1.0:LG06:29473168:29475091:1 gene:TanjilG_01286 transcript:OIW09315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDRVWGLRLENMGLTGNIDVESLGSMPALRTLSLMNNTFVGSLPNIKMLTNLKALFLSYNHFSGEIPNDAFSTLQKLRKVYLSNNEFTGKIPSSLATLPNLLILALNSNKFQGQIPDFPQKKLRTLNLSNNDFEGSIPTNLTSFDASSFTGNSRLCGAPLKNPCKGEAPTSESSAAGNMRIVKILLIIVIIVGLIVALLVIVLVIWPRLSSNNYSNNPSEESSFQAGVQPSNKYDNNHPPVYVKTKSLAEHHHDDHHRHGHGHGHGHGHGHTKRGEQGGKLTFLRHEGQMFDLQDLLRASAEILGSAGFGSSYKAVIMDGQAVVVKRYKQMNNVGREEFHEHMRRLGNLNHQNILPLLAYYYRKEEKLLISSYVHNGCLATHLHGNHNYQKPGLDWPTRLKIVKGIARGLDYLYNALPSLIVPHGHLKSSNVLLDENLEPLLTDYGFSPVINLDHAQQVIMPYKSPEYAQLGRITKKTDVWSLGIIILEILIGKFPENYLTHRHNPDSDIASWVNNMITEKRTTELFDVEMGGIGNNKAELLKLLKIGLSCCEENVERRFDIKEAVEQIEELKVGDETDIIGDQYSSSLITTEMDSYRAV >OIW09773 pep chromosome:LupAngTanjil_v1.0:LG06:15728011:15728469:-1 gene:TanjilG_32211 transcript:OIW09773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVRPIDNKMELADRSVGFLLTITSLSIFTYYTFWVIILPFVDDDHFVHNYFLPREYAILIPVSAGVALLSFLSIFIGFVMLKSKKKVA >OIW10503 pep chromosome:LupAngTanjil_v1.0:LG06:6199729:6203025:1 gene:TanjilG_00441 transcript:OIW10503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVADPKPHSEPKVWNFFKLPFRHSSNTASCHNLQPHQQRHCPNNPTLEGSISSVARLILPTRRRLKLDPSKKLFFPYEPGKQVRSAIRVKNTSKSHVAFKFQTTAPKSCFMRPPGAVLAPGESIIATVFKFVEQPENNEKPDKTGLKFKIMSLKVKGTVDYVPELFEEQKDQVTVEQILRVVFLDPERPSPALEKLKRQLADADAAIEARKKPAEDAGPKILGEGLVIDEWKERRERYLAKQQGEVVVDSV >OIW10387 pep chromosome:LupAngTanjil_v1.0:LG06:6859943:6864970:1 gene:TanjilG_05535 transcript:OIW10387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQIQVQQQSPVPVPPSQNGVASGAPNAFLVTTSLYVGDLDFNVNDSQLYDLFNQVGQVVSVRVCRDLTTHCSLGYGYVNFSNPQDAARALDVLNFTPLNNKPIRVMYSHRDPSIRKSGTANIFIKNLDKMIDHKGLHDTFSTFGQILSCKVATDASGQSKGYGFVQFDSEEAAKNAIDKLNGMLLNDKQVYVGHFLRKQDRHNAPSKTKFNNVYVKNLSESVTDDDLKKFFGEYGTITSSVVMRDGDGKSKCFGFVNFENPDEAAKAVESLNGKKFEDKEWYVGKAQKKSEREQELKGRFEQSIKETVDKYHGVNLYLKNLDDTISDEKLKELFSEFGTVTSGKVMRDPSGLSRGSGFVAFSTPDEASRALAAMNGKLIAGKPLYVALAQRKEERRARLQAQFSQMRPVSATPVAPRMQLYPPGAPGLGQQFLYGQGPPAIIPPQAGFGYQQQLVPGMRPGGGPMPSFFLPMVQQGQQGQRPGGRRGAGSVQQPQQPVPVLQQQMIPRGRVYRYPPGRNMQDAPLQGVGGGIIPVPYDIGGLPIRDAVGQPMPIQALATALANAPPEQQRTMLGEALYPLVDQLEHDAAAKVTGMLLEMDQPEVLHLIESPDALKAKVAEAMDVLRNVAQQQPNIPADQLASLSLNDNIVS >OIW09107 pep chromosome:LupAngTanjil_v1.0:LG06:31609169:31615233:-1 gene:TanjilG_16334 transcript:OIW09107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTEAEVGFNKRRAEGRDKNDASRKNLHLKVRKLNPINTISYVQILGTGMDTQDTSPSVLLFFDKQRFIFNAGEGLQRFCTEHKIKLSKIDHIFLSRVCSETAGGLPGLLLTLAGMGDEGMSVNVWGPSDLKYLVDAMRSFIPNAAMVHTKSFGPNFSTDESTVLSRSKLSDPIVLIDDEVVKLSAIIVQPNCFENKPPMPSNSPAHSSEILDSPNGKRQPAGKPGDMSVVYVCELPEIKGKFDPEKAKALGLKPGPKYRELQLGNSVKSDRQNIMVHPSDVMDPSLPGPIVLLVDCPTESHLESLLAVKSLNSYCGQVDNLPEAGKSVTCVIHLSPASVVSCSNYQNWMKKFGSAQHIMAGHEKKNVEIPILKSSAKYAARLNYLCPQFFPAPGFGSLQNCSLASSEGSFSDLSEVICAENLLKFTLRPYSHLGLDRSCIPSKVVSEEIIDGLLSEIPEIVEAAEHVSQLWQQSSQRKEDLVPVFDYKMMIEEPWLCADGVPASLENIRRDDLEIVLLGTGSSQPSKYGVSGADDAVRGLSCIWISHIHADHHTGLARILALRRDLLKGVPREPLLVIGPRQLKRYLDAYGRLEDLDMVFLDCKHTTAASLDSFDDGSLGTPVDDGSTLFSKGSRMQSYFKRPGSPIDKDAVSHILKKFKGVIHEAGLKSLISFPVIHCPQAFGVALQAEERSNSVGKVIPGWKIVYSGDTRPCPELVAASQDATVLIHEATFEESMIEEAIAKNHSTTNEAIEMGDAANAYRIILTHFSQRYPKIPVFDESHMHKTCVAFDMMSINIADLPVLPKVLPYLKLLFKNEMVVDESDDVVDAVASAS >OIW10074 pep chromosome:LupAngTanjil_v1.0:LG06:10184532:10185582:-1 gene:TanjilG_32814 transcript:OIW10074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRANYFASFKQNADGFICSILPGISHPQVQYSPGGLIYKAGGSNMQHVTSLSFLLLAYSNYLSHANKVVPCGGTTATPALLKHLSKRQVDYILGDNPLGMSYMVGYGAHYPQRIHHRGSSLPSVSAHPAHIACKAGSQYFLSPNPNPNKLVGAVVGGPTNNTDSFPDSRPFFQQSEPTTYINAPLVGLLSFFSAHP >OIW08908 pep chromosome:LupAngTanjil_v1.0:LG06:32643433:32646222:1 gene:TanjilG_05884 transcript:OIW08908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDEKSQISMATIDRDRELLISVADSAVDGGATSSNHSSSPSSSHHSGHETFYKVVRSWASKKFMTGCVILFPIAITFYITWWFVHFVDGFFSPIYAQLGIDIFGLGFITSITFIFLVGVFMSSWLGASVLGLGEWFIKRMPLVRHIYNASKQISAAISPDQNTHAFKEVAIIRHPRIGEYAFGFITSSVVLQNYSGGEELCCVYVPTNHLYIGDIFLVNTKDVIRPNLSVREGIEIVVSGGMSMPQTLQTIDSRITPGDISRQNRS >OIW10296 pep chromosome:LupAngTanjil_v1.0:LG06:8480905:8490864:1 gene:TanjilG_28047 transcript:OIW10296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHYRNNSELFTERRGIIYTIFNSLGKTFHGSRVLRRSRRFGRIAKNRLSRWVFFLAALFSISLTVYGLKMFFQAKIESTLSMPHNLQQEQNMAETILVSDAGRPPKSKHRKQHFPCEVQFMKSVDGLVEPKSYMNFSQFSLEYVDEEEKLSENDLFEPQFGGHQTLKEREKSFYANNQTLHCGFVKGPLGYSSTGFDLNEKDKAYMFSCKVVVSSCIFGSSDFLRRPTSRLISQYSKDNVCFVMFLDDQTLSKLSSEGNYPDDRGYIGLWKVVIVKNLPYDDMRRTGKVPKFLSHRLFPNARYSIWLDSKMRLNSDPMLMIEYFLWRRNTEYAISNHYDRHCVWEEVLQNKRLNKYNHTAIDEQFNFYQSDGLTKFDPSDPNNLLPSYKRFNGS >OIW09286 pep chromosome:LupAngTanjil_v1.0:LG06:29114231:29116533:-1 gene:TanjilG_01257 transcript:OIW09286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKPNGKKKDTESPKAINKESPKAIGKVGNNKVSSKLALDDDTAMFINMSHELREEGHRLFHKKDLEGAMSKYVKALKLLPKSHIDVAQLRTDMAGCYMQLGLGEYPKAINECNLALEVSPRYSKALLKRAKCYESLNRLDLAMRDVRIVLNTEPNNVAALEVLDSLRNTMDEKGIVIDETEIALATMQEAPCARLRKVVREKLKKKGHKSEVVEDNVNSNAKVNGNVNAIANANGNVNGVVKDKEDVKKPIEKKNLVVKLVRQEKGKEKEVTRTVKLIFGDDIRWAQLPVNCSMRLVRDIIRDKFPRLKGVLVKYRDREGDLVTITTTTELRSAETLGHVLGSIRLYLTEVSPDQEPSYDETTTKESEVRKDDRKLGNAIENGFVKGGRDVVRKTTITVEDWLVQFARLFKNHVGFDSDAYLDIHEVGMKIYSAAMEDTVTSDDAQELFEMAEDKFQEMAALALFNWGSVHMCRARKCVSFPEDGPRESSIEHVKSTYEWAKEEYINAENRFEEALKIKPNFYEGFLVLGYQQFEQAKLCWCYLILNKNLEVGPSEDVLQLYNKAEDNIEKGMLMWEEIEEQHLSGLSKSDKHKEQLKKLGLHNLFNDVSPDEAEEQVAKMRLQIYLLWGTILYERSVVEYKLGLPSWEECLEIAVEKFELAGASSNDIGVMIKNHCSNKTAMEGFKIDEIVQAWNEMYNGWQFNVPSFRLEPLFRRRVPKLHYILEQF >OIW09729 pep chromosome:LupAngTanjil_v1.0:LG06:18090353:18091237:1 gene:TanjilG_09402 transcript:OIW09729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKVSLPTIHTIIFYLSEHPAIVGFRWNHVQSWGSTWSFLFTSIATYLLLSLFLHLSLSVLLCRNQRIPLSPIPALHSLFMSLISATIFAGILLSATAEIRDTRWFWHRSKTPLQWLLCFPLGTRPSGRVFFWSYIYYLSRFINMFKTILNILQRRRLSFFQLFNHSISTFMSFLWLEFSQSFQVLVILFTTLVYSVVYAYRFWTAIGFRGACFPFVLNCQIVLLSCNVVCHVGVFFLHFFFKGGCNGIRAWVFNSVLNMAVLILCLNFYVKMYVFGKRRKVESNQISRNSNSI >OIW10477 pep chromosome:LupAngTanjil_v1.0:LG06:6003654:6005012:1 gene:TanjilG_00415 transcript:OIW10477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIMSNSQQSKHVAVFAFPFGSHLMPLLNLVLKLAHAAPNCSFSFIGTDKSNAILFPKPYIPKNIKAYNISDGVPEGHVMSSNPIEKLNLFLSTGPENLQKGIELAVAETKQRVTCIIADAFVTDSLIVAKALNVPWIPLWLPMSCSLSCHFYTNLIREQYANNAGNRNLDFLTGLPKLCVEDLPQVVAKGGEHETPFSKTMASLGTVLPQAKAVVINFFEELDPPLYVQHLRSILQSLLYVVPLPFPLLLPSDTDKNGCFSWLNRHNAKTVAYVSFGTVATPPPHEIVAVAEALEESGFPFLWSLKDNLKGLLPSGFLQRTGMLGKVVPWVPQSQVLAHDSVGVFVTHCGSNSVTESICNGVPMICRPFFGDQGISARVIQNVWENGVIIEGRVLSKNGLLQSLNLILVQEEGKKIRENALNMKKTVQDAARPEGKSAQDFKTLVEIISES >OIW10191 pep chromosome:LupAngTanjil_v1.0:LG06:7495452:7496705:1 gene:TanjilG_27942 transcript:OIW10191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPISLEPLELAVQVPYHFRCPISLELMRDPVTVCTGQTYDRPSIESWVATGNSTCPVTRTPLTDFTFIPNHTLRRLIQDWCVSNRAFGVERIPTPKQPAEPSLIRSLLNQSSSNSAPSNIRISALRKLRSFARDSERNRSLIASHNVCFVLLNIVFDFSHSKRFGSDELNHEALALLVFFPLCEVECNSVAYDSDKISYLVRLLFHPSLDVRVNSAAMIEIVAAGTRSSELRARISNVDEIYDGVIDILKNPIAFPRALKIGIKALFALCLVKETRHKAVAAGAPAVLVDRLADFEKCDAERALATVELLCRIPVGIAAFAEHALTVPLLVKIILKISDRATEYATGALLALCSESELCQSDAVAAGVLTQLLLLVQSDCTERAKRKAQLLLKLLRDSWPQDSTGNSDDFACSIVPF >OIW09283 pep chromosome:LupAngTanjil_v1.0:LG06:29085594:29090443:-1 gene:TanjilG_01254 transcript:OIW09283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAREVIASASPTSPSTASFEYEILDGNAEILQTVRASSSHASSWTEPERLKLRHRIGRGPFGDVWLATLHQSTEDYDEFHEVAAKMLYPIKEDHMKIVLEKFNELYFKCQGVASICWLHGISVLNGRICIIMNLYEGSIGDKMARLRDGRISLHDVLRYGINLAEGILELHSIGVLILNLKPSNVLLDDNDQAILGDVGIPNILLGTSFLSSDMAQRLGTPNYMAPEQHDPEVRGPISFETDSWGFGCTIVEMLSGIQPWYGCPVGRIYQSVVEKHEKPQIPSGLPSSVENLLVGCFEYDSRNRPLMVDILSVFKRSLNELANDGGWRYLGNVKVTPKSNSTGYTQWFLSKDNLQVGDMVRSRKPLSSCKPQNMDIPEGTVVGNTDNGSVLVRVPGIHDPLRVHVSTLKRITSGLAAGDWVRLKDENGKHSSVGILHSINRDGSVAVGFIGLQTLWNGNSSQLETAESYCVGQFVRLKEIVVSPRFEWRRKRAGAWATGRISWILPNGCLVVKFPGLLPFGNESSTFLADPSEVEVVGFKTCPGLIEKYQHIEDHHWAVRPVLIAFGLFTAMKLGILISKKAGRRNMNKVNAIESESDGQNASKSKPGAVNPGNNTTWVPSVANIMFKEGINNSNSSRDMAKRAIRWVKIGLLWFSGQLQAKPGRNGEAYIRDT >OIW10162 pep chromosome:LupAngTanjil_v1.0:LG06:7210971:7221379:-1 gene:TanjilG_27913 transcript:OIW10162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAMETVYDLIDEVKVRTLWWFLCIFAVSYFLTNTSKSMWMNVPIAILFVSALRIIFNTVEFRWKVQQPRTQTYLSHLEKKQLSPKDPRLSSSPTPAKWKRKIDSPVVEAAMSDFIGKILKDFVVDLWYSEITPDRDFPEQIHEIIMDVLAEISGRVEEINLVDLLTRDIVDLVGDHLELFRRNQAAIGVDVMKTLSSEERDERLKFHLLNSKELHPALISPESEYKVLQRLMSAVLATVLRQREAQCPVIRSIARELLTCLVMQPIMNLASPGYINELIELLLLLLNDDDTKLVGGNQSSNVVSHHHGDSVATGMEHDNLTASSKHPSLNQGTNMTLTKANHQGETSLQYDTHSQETLQAKPGDWARMLDVATQRRTEILMPENLENMWTKGKNYKKKENKIKKAGFRDLPAKSPVMESSLPYRKLAQETSTSKLGNHTVLEGMSSLPPMRTFGSDHQQNVGSTRSLETSKDPDKELSLEGEHQADKVKGIRDPASNVPKSLLKRSNSASALVIQPNKEEGSIISEFYTPEYERSSGGFRGKSSSDMIVRKEGQLLPKLRCRVMGAYFEKLGSTCFAVYSIAVTDAQNKTWFVKRRYRNFERLHRHLKDIPNYTLHLPPKRIFSSNTDDAFVHQRCIQLDKYLQDLLSIANVAEQHEVWDFFSISSKNYSFGKSSSVMKTLAVNVDDAVDDIVRQFKGVSDGLMRKVAASSSPINEGSYIPTTLNLSWNADEMDKIIPRRSTAESRLSSDNEDGEKNSNTSENIDREVTQDNGSHFDNVLISKGYPSQVIDRTEEPGNLDLGIKHDAVLEARVGNVVLATNFTLNYDNLEDPVEVPPEWAPPNVSVPILNLVDKIFQLKKRGWIRRQVFWISKQILQLVMEDAIDDWLLRQIHWLRREDTVAKGIRWLQDVLWPGGTFFLRLRTPEIMNSSSGIDQKASQTKSVSGGSKSGTSVSGSFEEELEAARRANNVKNLLFDGTPTTLVSLIGHKQYRRCARDIYYFTQSTICVKQLAYAALELILLSIFPEMRNVVLSVHENMNVHQPI >OIW10666 pep chromosome:LupAngTanjil_v1.0:LG06:4154877:4157960:-1 gene:TanjilG_16038 transcript:OIW10666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLDSALSLTVFASHRFSNNHRFSPKPLDSIKFHPSLQNNLFFSSKSFSFSTSTSLCFQLCSALQEAPSPTSEEKTEQNQTKTTNLKTKLFVFNLPWTLSAVDIKELFSQCGNVTDVEIIKSKDGRSKGFCFVTMATGEEAMDAVNKFDSYEISGRILRVEFAKRFKKPSPLRPPGPPPGETRHVIFATNVAWKARSTHFRDFITENFKQPVSARVVFDSPTGRSAGYAFASFLTKEDAEAAISALDGKELMGRPLRLKFSERNAKEAASKQDDDDTQLEDGAINAQLEDGAINAQLEDGAINAQLEDGAINAQPEDDTGDNQLIES >OIW10953 pep chromosome:LupAngTanjil_v1.0:LG06:841060:844002:-1 gene:TanjilG_22760 transcript:OIW10953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFACSSSLKIGETCGSDKTCDGGLSCQTCPANGNTRPRCTRMQPLSPTTKVKGLPFNKYSWLTTHNSYALAGARSATGSFIIAPMNQEDTVVDQLRNGVRGFMLDMYDFQNDIWLCHSAEGKCYNFTAFQPAINVLRDIKSFLDTNPSEIVTIIIEDYVTSHQGLTKVFQGSGLSQYMFPLSRMPKDGGDWPTVDDMTQKNQRLVVFTSKQSKEASEGIAYQWTYMVENQYGDEGMKAGSCSNRAESPAMDTKSRSLVLVNYFHSTPNRSQSCADNSAPLVSMLKTCEVAAGNRWANFIAVDYYQRSNGGGAPEAVDEANGHLTCGCDSIAYCKANTTFGTCDVPPMSPPPPAAETPTEGNQQPVSNSANIAHADRLVMLVQWVSVWVLLRQLS >OIW09695 pep chromosome:LupAngTanjil_v1.0:LG06:19670948:19673641:1 gene:TanjilG_06501 transcript:OIW09695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQTQISVDSNPPQDPPCCLDSPPRDLTAESHADRAWAYWKKMGRPRFLVAPMVDNSELPYRLLCRKYGAEAAYTPMLHSRIFTETEKYRKEEFTTCEEDRPLFVQFCAHDPDVLLEAARKVEPYCDYVDINLGCPQRIARRGYYGAFLMDNLPLVKSLVEKLALNLQVPVSCKIRLFPDLEDTLKYARMLEEAGCSLLAVHGRTRDEKDGKKFRADWKAIKAVKDAVKIPVLANGNVRHMDDVKDCLEETGVEGVLSAETLLENPAVFAGFRTAEWVSESEGANIDGKLDQADLVVEYLKLCEKYPVPWRMIRSHVHKLLGDWFRIQPHLREELNKQSILTFEFLYDMVDRLRATGTRIPLYLKDTQSELTAPSCTD >OIW10712 pep chromosome:LupAngTanjil_v1.0:LG06:3800021:3811669:1 gene:TanjilG_16084 transcript:OIW10712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKVVYEGWMVRYGRRKIGRSFIHMRYFVLESRLLAYYKRKPQDNQVPIKTLLIDGSCRVEDRGLKTHHGHMVYVLSVYNKKEKYNRITQMAAFNIQEALIWKEKIEYVIDQCMQHQGAQPSNGNKYISFEYKSGMDNGKTASSSDRESQFSAQEDEDEAHPNLLRRTTIGNGPPESIFDWTREIDSDLSNQNISNQAFSRKHWRLLQCENGLRIFEELLEVDYLPRSCSRAMKAVGVVEATCEEIFELVMSMDGTRFEWDCSFQHGSLVEEVDGHTAVLYHRLQLDWFPMFVWPRDLCYVRYWRRNDDGSYVVLFRSREHENCSPQPGCVRAHIESGGFNISPLKPRNGRPRTQVQHLMQIDLKGWGVGYMSSFQQHCLRQMLNCVAGLREWFTQTDERNAPPRIPVMVNMSSASVSSKKTLKPNDSSVHPPSLDQLNSASRNSAYQDEYSDEDEDFQIAEPEQEAYPIDHENDARRTVLEEEPADEIDLSSFTGNLRRDDRDNARDCWKISDGNNFRVRSKHFCYDKSKVPAGKHLLDLVAVDWLKDSKRMDHVAKCHGCAAQVASEKGFFSIIINLQVPGSTHYSMVFYFVTRELVPGSLLQRFVDGDDEFRNSRLKLIPSVPKGSWIVRQSVGSTPCILGKAVDCNYIRGPKYLEIDVDIGSSTVANGVLGLVVGVITTLVVDMAFLIQANTPDELPEKLIGAVRVSHLELKSAIVPKLDPDPS >OIW10118 pep chromosome:LupAngTanjil_v1.0:LG06:9673641:9674594:1 gene:TanjilG_21955 transcript:OIW10118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVSSNLLNHEDEFTQLGSTALSHHIVSLTSTTYGLLTLDPPPSSAAGTTTVSTPSTPPSRLSFPSSLSEPKSLWSEPKPLRSEPDEVINSWELMAGLDNIESFRFSPLPTPKPFKDSNFNKENSNPNRFTNGSRIYPKKPVSTVAKRFERICPPNGENRVVIYTTTLRGVRRTFEACNAVRSGFEAFGVLICERDVSMDNGFKEELRELLKGKEKEAMVPPRVFVKGFYIGGAEEMLKVVEEGLLGELLEGLPRKKIGDICDGCGDMRFLPCFQCNGSCKVVKEEDMGQKQRRRSVMVKCSDCNENGLVVCPLCG >OIW09945 pep chromosome:LupAngTanjil_v1.0:LG06:12752601:12754668:1 gene:TanjilG_32094 transcript:OIW09945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGFSFLKYWKPGGTAADLPPPSTTAILTAVTETDDESNTDDEGPFFDLEFTVPDEPPHSPQIPNNTQNDDVQDDNENNEELDSESESECECERELKLTLSPSNQNDPNLSLSPSDDIVVHLELNSSEPNSKPHFTAASSLLKSATKFRVFMSGLKKTKSNIVPSSSHNNNKDKDLNVVSQCRDSVTKNKLQQQQKKLFTVKFKVEEVPIMSLFTRDNSSRVQNKKHNNTTEEELQTQTQTQTHSSSSVASSDEKRLHKYLKMVKPLYVKVSKRYAEKVRFSGQLNMAVPPSATAAQKTVPAGAVVAEKVEKEEDCCEGSGNVKGQKQRNLDLGAGLRVVCKHLGKSRSAAETTAATAPVTAAGVVSSRRRDDSLLQQQDGIQGAILHCKRSFNASRECESSQLAQGVSDPLHEISTELSRKSTNEAKSKK >OIW10639 pep chromosome:LupAngTanjil_v1.0:LG06:4541739:4542134:-1 gene:TanjilG_16011 transcript:OIW10639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARAGGITNAVNVGIAVQADWENREFISHISLNVRRLFDFLVQFEATTKSKLASLNEKLDVLDRHLEQLEVQVANASANPSLFAT >OIW10370 pep chromosome:LupAngTanjil_v1.0:LG06:9217734:9221853:1 gene:TanjilG_28121 transcript:OIW10370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTGELLSVEPLELKFPFELKKQISCSLQLSNKTDSYVAFKVKTTNPKKYCVRPNTGIVMPRSTCDIMVTMQAQKEAPPDMQCKDKFLLQSVKTNDGASPKDISADMFNKEAGHVVEDCKLRVVYVSPPQPPSPVPEGSEEGSSPRGSVSENGTVNGSDFTQVAKGFIGQPEALEKSAEARALISRLTDEKNNAIQQNSKLRQELELLKREGNKSGGGVSFVIVILIGLLGIIMGYLLKKT >OIW09890 pep chromosome:LupAngTanjil_v1.0:LG06:11979538:11985800:1 gene:TanjilG_32039 transcript:OIW09890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSMDAFPAIQEIMLEFRAGKMHFEGKTVVPDPRRGLVRIARGEEGLVHFQWLDRTQNVVEDVNQASGRVYILKFNSDDRKFFFWMQESNSDNDSQLCSSVNDYLNKQIEFLSDEEPDGSLPLQVSEDMAEDDISSRAANLIVPNLGVEATSDVSSSSGPVKLEDLQRILSNIGGPADNIVDPDGGFGLGDILKPDLIMPLMESLSLEQQLAPYLPEGKWSPEEILELLQSPPFRQQVDSFTYVLKTGQIDLTQFGIDPSKYKFTVLSFLEALGDSVSKSEESRQDDQELRSQSFNRNDAMDES >OIW11172 pep chromosome:LupAngTanjil_v1.0:LG06:157510:161208:-1 gene:TanjilG_22979 transcript:OIW11172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIISCIMAATGGLMFGYDVGVSGGVTAMPPFLKKFFPAVYRKTVLEKGVDSNYCKYDNEGLQLFTSSLYLAGLTATFFASYTTRNLGRRLTMLIAGIFFILGVIFNAAAQNLAMLIVGRLLLGCGVGFANQAVPVFLSEIAPSRIRGALNILFQLNITIGILFANLVNYGTNKIKGGWGWRLSLGLAGIPALLLTVGALLVVDTPNSLIERGRLEEGKAVLRKIRGTDNIEPEFLELVEASRVAKEVKHPFRNLLKRRNRPQLVISIALQIFQQFTGINAIMFYAPVLFNTLGFKNDASLYSAVITGAVNVLSTVVSIYSVDKVGRRKLLLEAGVQMFLSQVVIAIILGLKVKDHSDDLSKGYAVFVVVLVCTFVSSFAWSWGPLGWLIPSETFPLETRSAGQSVTVCVNLLFTFVIAQAFLSMLCHFKYGIFLFFSGWVLIMSFFVYFLVPETKNIPIEEMTERVWKQHWLWRRYIEDDDYVAHEKVGNGKNNGFDPASQL >OIW08965 pep chromosome:LupAngTanjil_v1.0:LG06:32147165:32154628:-1 gene:TanjilG_05941 transcript:OIW08965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDECYNSVFNAYAVACYSIFFLSDYISLLIYGYLSGFQQNFTILKESDIQQRQEDDINRVAAVLSISRVSASIVLRNYNWSVTKVHDAWFADEDQVRKTVGLLEKPVVQHSNTREFTCGICFETYPRARIETASCGHPYCISCWEGYISTSINDGPGCLMLRCPDPTCGAAVGQDMINLLVSDEDKEKYACYLRRSYIEDNKKTKWCPAPGCEYAVTRDAGSGNYDVSCLCSYSFCWNCIEEAHRPVDCGTVAKWILKNSAESENMNWILANSKPCPKCKRPIEKNQGCMHMTCTPPCKYEFCWLCLGVWSDHGERTGGFYACNRYETAKQEGVYDETERRREMAKNSLERYTHYYERWASNQSSRQKALSDLHQMQTVNIGKLSDTQCQPESQLKFITEAWLQIVECRRVLKWTYAYGYYLPDHEHAKKQFFEYLQGEAESGLERLHQCAEKELQVFLNAEGPSIEFNDFRTKLAGLTSVTRNYFENLVRALEKGLSDVGSNGANSSEATSSKNAAGGSKRGGRGKGTIRASMSSKTTSDNLWSCEHCTYANAKSATTCQMCNQQRR >OIW09081 pep chromosome:LupAngTanjil_v1.0:LG06:31373124:31379956:-1 gene:TanjilG_16308 transcript:OIW09081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGSDIYRASNSLRARSSTVWRDSGVEVFSKSTREEDDEEALKWAALEKLPTYNRLRKGLLTTSHGAASEIVVTDLAHQERQKLLDRLVKVAEEDNEKFLLKLRERVDRVGLDIPTIEVRYEHMKIEAEAFVGGRALPSFINSATNVIEGLLNLLHIIPTKKKHVTILKDVSGIIKPRRMTLLLGPPGSGKTTLLLALSGKLDPSLQVTGKVTYNGHEMNEFVPQRTAAYISQHDVHIGEMTVRETLAFSARCQGVGSRYDMLSELSRREKAANIKPDPDIDVYMKATATAGQESSIVTDYILKILGLDICADTMVGDEMLRGISGGQRKRVTTGEMLVGPANALFMDEISTGLDSSTTFQIVSSLRQYVHILNGTAVISLLQPAPETYDLFDDIILISDGYVVYHGPREYVLDFFESMGFKCPERKGVADFLQEVTSRKDQAQYWVRKDQPYRFVNEVQFAEAFQSFHIGRKLADELAVPFDKSKNHPAALTTEKYGINKKELLKANLSREYLLMKRNSFVYIFKLCQIFVMAFIAFTLFFRTEMHHGNVTDAGVYTGALFFTLVTIMFNGMAEISMTIAKLPVFYKQRDLLFYPSWAYAIPSWILKIPVTMAEVAVWVFLTYYVIGFDPNVGRFFKQYLILFFLSQMASGLFRAIAALGRNMIVANTFGSFAVLTFLSLGGFVISKKDIKSWWIWGYWISPLMYGQNALMVNEFLGNSWHNSTHDIGVDLLESRGFFTRSYWYWIGFGALVGFVFLFNMLFGVALEWLGPFDKPQATISEEPEETTEVEQEVELPRIESSGRGVVVEGSSHGKKKGMVLPFEPHSITFDEITYSVDMPQEMKEQGVQEDKLVLLNGVSGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIDGSIKISGYPKKQETFARISGYCEQNDIHSPHVTVYESLLYSAWLRLSSSVDSNKRKMFIEEVMELVELKPLRNSLVGLPGVSGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKRGGREIYVGPLGRHSTHLIKYFESIEGVSKIKDGYNPATWMLEVTSTAQELSLGADFTEIYQNSDLYRRNKQLIQELSQPAPGSKDLYFPTQFSQSFLVQCQACLWKQRWSYWRNPPYTAVRFFFTTFIALMFGTMFWDIGTKTANMQDLLNAVGSMYSAVLFLGVQNSASVQPVVAVERTVFYREKAAGMYSALPYAFSQILVELPYIFAQAVTYDLIVYAMIGFEWTVAKFFWSLFFMYFTLCYFTFYGMMAVAVTPNHHVASIVAAAFYAVWNLFSGFVVTRPNIPVWWRWYYWACPVAWTIYGLIASQFGDIEDFMESEQKSVKDFVESYFGIKHDFIGVTAVVVPGIAVLFAFIFAVGIKVFNFQKR >OIW09232 pep chromosome:LupAngTanjil_v1.0:LG06:29995029:29995586:-1 gene:TanjilG_26445 transcript:OIW09232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRGRKACCAVSCLFLIIVIVVIVALALTVFKIKDPIISVHPLGLDHLQFTVDPNSKISVAMLITMVNRNFGSFRYIDSTGYVNYRGTIVAEIPILSHYVPARSTINVTTNAEFMVGKMIENPMFIPDFVTRKVINMTSRAELPGKVIVLKFIKIKAMAYSTCNISLNLYNKTADTNCISKIKL >OIW10821 pep chromosome:LupAngTanjil_v1.0:LG06:2771171:2774292:1 gene:TanjilG_27767 transcript:OIW10821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEAKAKGNAAFSSGDYAAAIRHFSDAIALSPTNHVLYSNRSAAYASIQNYTEALTDAKKTVELKADWSKGYSRLGAAHIGLGQHSDAVSAYKKGLEIDPNNDALKSGLADAQAATAAATRSRSAPPPSANPFGDAFTGPEMWSKLTADATTRVYLQQPDFVKMMQDIQKDPSNLNLYLKDQRVMRAIGVLLNIKIQTANEDFDIPDAEAEASSPSPSQSERKRAAEPEPVPEPEPQPEPMEIPDEEKEAKEKKAQAQKEKEAGNAAYKKKDFDTAIQHYSKALELDDEDISYLTNRAAVYLEMGKYEECIKDCDKAAERGRELRSDYKMIARALTRKGNALVKLAKSSKDYDRAIETYQKALTEHRNPDTLKKLNEAEKAKKELEQQEYFDPKLADEEREKGNEFFKQQKYPEAIKHYTESLRRNPDEPRTYSNRAACYTKLGAMPEGLKDAEKCIELDPAFVKGYTRKGAVQFFMKEYEKALETYREGLKHDPNNQEMLDGISRCVQQINKASRGDLTPDELKERQAKGMQDPEIQNILQDPVMRQVLVDFQENPKSAQDHMKNPMVMNKIQKLISAGIVQMK >OIW09555 pep chromosome:LupAngTanjil_v1.0:LG06:22880316:22883626:-1 gene:TanjilG_28154 transcript:OIW09555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDASTSGGATATAANSPSPSPILNANAPPPFLSKTYDMVDDPSTDSIVSWGPTNNSFVVWNPPEFARDLLPKHFKHNNFSSFVRQLNTYLIIIKQGFRKVDPDRWEFANEGFLRGQKHLLRSINRRKPAHGQNHQQAQQSHGQSSSVGACVEVGKFGLEEEVEILKRDKNVLMQELVKLRQQQQTTEGQIQTMVQRFQVMEQRQQQMMSFLAKAVQSPGFFAQFIKQQNESNRRITDTNKKRRLKQDGIAEMEHSADSDGQIVKYQPQINEAAKAMIMQILKLGTSNLSNPDSYLIGDDLSPSSAKDRGNSLSRTSGVTLQEVPPATVQSSHIPAAIGTQEHAPSKGKYEPLSSPQVAACGNGIKAQYPEINVMVGAPKVPSIPVSQADVIMPDISPIPDRVTGSILDIPQENYMASETNGEGYMDSSSLGANGSFPIDFESISSETDIDDLFSNPAFWADIVQTPVAEDIDTDIAELLKDNQLQPIENGWEKSEHMDRLTEKMGNLSSDTKGV >OIW10171 pep chromosome:LupAngTanjil_v1.0:LG06:7301316:7302315:1 gene:TanjilG_27922 transcript:OIW10171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAKFSVGLIILVIAASMFELSMADKDWSFGFNYTDWWSRFGNHHVNKTQQEPKKIIVGGSQHWHYGFNYTDWAIKNGPFYLNDTLVFKYDAPNATTFPHSVYMFSNYWSFLKCEVKKAKMLANPTQGAGEGFQFVLNKWKPYYFACGEKNGFHCNNGLMKFGVMPTLRPFMPWP >OIW11129 pep chromosome:LupAngTanjil_v1.0:LG06:412489:414652:1 gene:TanjilG_22936 transcript:OIW11129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCTKFRQFRRTFSTSSQFRNHDSSSSPNNLLNSNPNNDSIIRGLCKGNKFEEAIDFLCQQKRLKEAIELLHHIDQPSARTYSTLIAACVRNRALEEGKRVHSHTKASNFVPGVFISNRLLDLYAKCGSLVDAQKLFDEMRNRDLCSWNTMIAGYAKIGRLEEARKLFDEMPQRDNFSWNAAISGYVSHDRPQEALELFRMMQRHDSSNSNKFTLSSALAASAAIPCLRNGKEIHGYMIRTGLDSDEVVWSALLDLYGKCGSLDEARGIFDKMAERDVVSWTAMIHRCFEDGRREEGFSLFRELMRSGIRPNEYTFAGVLNACADIAAEHVGKEVHGYMMRVGYDPFSFAVSALVHMYSKCGNIENARRVFNQMPRPDLVSWTSLIVGYAQNGEPNKALHFFQLLLQSGPKPDQITFVGVLSACTHAGLVDKGLEYFHSIKEKHGLMHTADHYACVIDLLARSGRFKEAENIIDNMPLEPDKFLWASLLGGCRIHGNIDLAKRASKALFEIEPENPATYITLANIYANAGLWPEVAKVRKAMENRGIVKKPENTAVYNSINMLHFPPLFIIIHKVFL >OIW09213 pep chromosome:LupAngTanjil_v1.0:LG06:30888964:30892932:-1 gene:TanjilG_11351 transcript:OIW09213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYESSNWISDGVYYYPHLLGGIMVTAALLGLSRSYFGGVVGLPSLLLPCSLKKKSEKRRVRVYMDGCFDLMHYGHANALRQAKALGDELVVGLVNDEVIMAHKGPPVLNMEERLTLVSGLKWVDEVITDAPYAITEKFMNHLFNEYKINYIIHGDDPCFLPDGTDVYGFAKKAGRYKEIKRTEGISSTDIVGRILSSLKDPNVCEDQNGNDVQPNKEILSKSSHISQFLPTSRRIVQFSNAKGPGTNARIVYIDGAFDLFHAGHVEILKRARELGDFLVVGIYSDETVSEHRGSHYPIMHLYERSLSVLACRYVDEVIIGAPWKITKDLITTFNISQVVHGTVSENSPKCDSDPYEVPKTMEIFLLLESPKDITTTSVAQRIMANHEAYTKRNAKKTQSEKRYYEEKKYVCGD >OIW09293 pep chromosome:LupAngTanjil_v1.0:LG06:29235635:29243657:1 gene:TanjilG_01264 transcript:OIW09293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPEEDNDLDQRLLQVTNNLLHPPSTTDELIPLLQQVEHCLRLVAQESAGPAQGAVAPLLLALIGDELLRHADVDVKVAVASCISEITRISAPDAPLDDDPMKEAFQLIVSSFENLHDMSSRSYRKRTEILETVSKVRSCVLMLDLECDDLILEMFQHFLKEIREHHPEHVFSSMQNVMTVVLEESEDISPNLLSLFLDSIKKETEEVLPIAKMLVENVLESCATKLKPYLVQAVNTLGISFNDYSNILASICHDGSGSLEQNDGSTTSEHAGDESKSVKEPPDESAPVIKEDAKEAAPPQQDNSSAPPQQDNPVSNHSPKSGTNNGNPQAAEDGTLVDSKSPEKQEATDCTVQSKGIHFSANEDPTNLDTEKVDNSEKKHDQSSKRRATITISSAKSAKPSEGSYLANVKEDEKVIDSKSHSKEYEKVIVSESNSKEDEKVIDSESHSKENEKVVDSDSHSHSKEDDNSDKKQGDATMKRRSHPISLTKLAEPPKRADPANVKEVEKVINSESHGNKDDNNDQKQAQVTKKRGKRKPISSTKLAEPPKGSYPANVKEDEKIIESESHGNEGDNNVRMQGQVTKKRGKRKPISSTKSAEPPKGSYPANVKEDEKVSESEIHSKEDDDSDQNQGLANKKGGRQSSSSTKSAANVQDDVKVIDSESHSKEVPSSLNEDDSVKASGLSESDKEIDAKISSLKAGDGVSDPVSSPSPRVSLHGENRSKNLGRSKKKDSSAKKVATKDVSKKVYEETSDSEAKPTKRSVKKALGPASAVEKNIVFNSVKKGNGTASEPDAKKQLDKKTEAGSKGGGGSDKKKLGPGKANSEIGAAKSSVKNVDKEIVSLSKSATKSIEDSEELPKTNLKRKHTPGKENESGIKKYGENLVGTRVKVWWPDDDMFYEGVVECFIPSKKMHQVTYDDGDIEILNLKNETWEILTGGADSDVEEGADHPSPDAPADIPPKKKGKTSTSESRKGGNKDASISGGGATSSKSRGKSIKSSHRSKDGSKSKVPKIAATESDDEVIMKTKNHTPKSGGIGKFIDAAARKMSSSKSDDTGKDDVVRTPKLSGKAKQETPKTGKLKQETTSKTAATSSKAAKSSKSGGGKSNSNGTSKVKFKLLEDSDDDNDSEDSANEVVEDTKAKKSSSLKAGGGGSEVKIGKKRARS >OIW10976 pep chromosome:LupAngTanjil_v1.0:LG06:1014460:1017676:1 gene:TanjilG_22783 transcript:OIW10976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEAPASETSVTMPQPLETVTVDETLTESGISVETNLDSVSNNSNVAEASAPASLELVEELMDKGDKAMKVYDYGEAADNFSRALEIRVAHYGELAPECVNTYYKYGCALLYKAQEEADPLAGMPKKEGGSQHGSNKDESVKSSANADSSTTSVSTNVGQDVTSDDQGAALDDVVAKNGQEEEDDEDSDAEDLAEADEDESDLDLAWKMLDVARAIVEKQSGNTMEQVDILSALADVSMEREDFETSLSDYQKALSILERLVEPDDRKIADLYPCHISYLDVGSKPEEAFAYCQKAISVCKAQLQRLTNEVKSFPDSTSAAAELDQDVQTSIGSESGNSIADKQAEIETLTGLASELEKKLEDLQQQVLNPKSILAELLGIASAKAGSGKESSVGKLGSSQLATASSSSGFDSSTISTAASNGSAGVTHLGVVGRGVKRASNASGAEASTPKKPALELTKGEGDDKTS >OIW09532 pep chromosome:LupAngTanjil_v1.0:LG06:23849884:23852876:1 gene:TanjilG_13756 transcript:OIW09532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSETETFAFQAEINQLLSLIINTFYSNKEIFLRELISNSSDALDKIRFEGLTDKSKLDAQPELFIHIIPDKANNSLTIIDSGIGMTKADLVNNLGTIARSGTKEFMEALAAGADVSMIGQFGVGFYSAYLVADKVIVTTKHNDDEQYVWESQAGGSFTVTRDTSGESLGRGTKMTLYLKEDQLEYLEERRLKDLVKKHSEFISYPISLWVEKTTEKEISDDEDEEEKKDEEGKVEDVDEEKEKEEKKKKTIKEVSHEWDLVNKQKPIWMRKPEEITKEEYAAFYKSLTNDWEEHLAVKHFSVEGQLEFKAVLFVPKRAPFDLFDTKKKPNNIKLYVRRVFIMDNCEDLIPEYLGFVKGIVDSEDLPLNISRETLQQNKILKVIRKNLVKKCLELFFEIAENKEDYNKFYEAFSKNLKLGIHEDSSNKAKIAELLRYHSTKSGDEQTSLKDYVTRMKEGQSDIYYITGESKKAVENSPFLEKLKKKGYEVLYMVDAIDEYAVGQLKEFEGKKLVSATKEGLKLEESEDEKKKQEELKEKFDGLCKVIKDVLGDKVEKVVVSDRVVDSPCCLVTGEYGWTANMERIMKAQALRDSSMAGYMSSKKTMEINPENSIIDELRKRADADKNDKSVKDLVLLLFETALLTSGFSLDEPNTFGNRIHRMLKLGLSIDDDAAEADADIPPLEDADADAEGSKMEEVD >OIW10030 pep chromosome:LupAngTanjil_v1.0:LG06:10652923:10657374:-1 gene:TanjilG_32770 transcript:OIW10030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGLEHDQASSFHKVPNIKFTKLFINGSFVDSVSGKTFETIDPRTGDVITRISEGTQEDINVAVKAARCAFDSGPWPRMPGAERAKIMMKWAELIDQNVEELATLDAIDAGKLYHMCKAVDIPGATKTLRYYAGAADKIHGEVLKMSREFHAYTLKEPIGVVGHIIPWNFPTTMFFIKVSPSLAAGCTMVLKPAEQTPLSSLFYAHLAKLAGIPDGVLNVVPGFGHIAGAAITSHMDIDAVSFTGSTQVGREVMQSAARSNLKQVSLELGGKSPLIIFNDADIDKAAELALLGILYNKGEVCVASSRVFVQEGIYDEFEKKLVEKAKAWVVGDPFDPKVNQGPQVDKEQFEKILSYIEHGKREGATLLTGGKRIGNKGYFIEPTIFSNIKEDMLIAQDEIFGPVMALKKFKTIDEAIKSGNNSRYGLAAGIVTKNLDIANTVSRSIRAGTIWINCYFAFGDDVPFGGYKMSGFGKDHGLDALHKYLQVKSVVTPIYNSPWL >OIW11116 pep chromosome:LupAngTanjil_v1.0:LG06:507048:508850:-1 gene:TanjilG_22923 transcript:OIW11116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCGEIDIQFPFSLKGANYKGGRCNYPDPSFQLSCDNLSRTILTLPHSGNLLVKKINYEEQIIQVNDPNECLPKRFLQNLTFSISIPFIFDVTVYDFYNLVFVKCPSNITDSISLPSISCLQETNSSFLYYSFFRSYVNVSDSSSLFYGCEVISASVVVPFPNMLTWPIWPNLNSDIELIWDRPICGDCAARSQLCGFVDASTSQVGCISDPNHTTGLSRSLKYGLSIGVGIPALLCIIGLSCFICKKFKIHHRPNTELPNNISVFTLQLQPSNLLAGLDGPEIEKFPKTLIGESGRLPKPSNNMCPICLSEYEPKEMLRTIPECNHYFHALCIDEWLKMNATCPLCRNSPDAASSNVASIDDPSPNHTLHTA >OIW10587 pep chromosome:LupAngTanjil_v1.0:LG06:5002695:5005171:-1 gene:TanjilG_15959 transcript:OIW10587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LCWMDSFSSTESKHFRNTQFCFVRGRRRRRQGQCKQVHAYFSSMDFSLCFNSPIISTLVHFLLLVSLVCPVCSSEIGNYLVYNESFGPKEEVHKFNIIRNHLNQLNKPSIKTIQVSPELYGDSYPRFFTYWTFLRLIEKLNFVKQVI >OIW10672 pep chromosome:LupAngTanjil_v1.0:LG06:4120715:4123047:1 gene:TanjilG_16044 transcript:OIW10672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSFEPSTHTVCVMDASGQLGFSLVQRLLHRGYTVHASVQKHGEEMLNGISADHSKLKIFRSDPFDYHSIVDALKGCSGLFYSFEPPLDHPNYDEHMADVEVRAAHNVLEACAQTETIDKVVFTSSATAVLWREDRKTMDLDLDERHWSDVNFCRKFKLWHGISKTLSEKTAWALAMDRGLNMVSINKGLLMGHDLTIDNPYLRGAAEMYEDGVFVTVDLEYLVDAHICVYEDVSSHGRYLCFNHIINTHNDAVVLARHLTPNASYLPHSVDHGKSFIEQRISNKKLNKLMMDFEA >OIW09683 pep chromosome:LupAngTanjil_v1.0:LG06:20073442:20073729:1 gene:TanjilG_06489 transcript:OIW09683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTRRQKIKNHILIRSRGKAKKAKGGSSSSKKGKEKILEEVVEELDEEVDEEMDHGQSEEEVATFECNDSDEEEIEGYVAEFAAVEDDVGEEDDI >OIW10833 pep chromosome:LupAngTanjil_v1.0:LG06:2666682:2666957:1 gene:TanjilG_27779 transcript:OIW10833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKVHGSLARAGKVRGQTPKVAKQDKKKQPRGRAHKRIQYNRRFVTAVVGFGKKRGPNSSEK >OIW08873 pep chromosome:LupAngTanjil_v1.0:LG06:32902795:32905044:-1 gene:TanjilG_05848 transcript:OIW08873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIDNETIKQQKKDIIFKSRLPDIYIPNHLPLHSYCFENLSKFGTRPCLINAPTGEIYTYYDVELTARKVASGLNKLGIQQGDVIMLLLPNCPQFVFAFLGASFRGAITTAANPFFTQPEIAKQAKASNAKLLITQGSYFEKVKDLLDVKLMFVDSPPLVQEGHLHFSDLLEADENQMPQVNINSHDVVTLPYSSGTTGLPKGVMLTHKGLVTSIAQQVDGENPNLYFHSEDVILCVLPLFHIYSLNSVFLCGLRAKAAILLMPKFDINSLFGLIEKYRVSIAPVVPPIVLAIAKSPDLDKHDTSSIRVLKSGGAPLSKDLEQTVRAKFPNAKLGQGYGMTEAGPVLAMCLTFAKEPIDVKAGSCGSVVRNAEMKIVDPETDNSLPRNQPGEICIRGDQVMKGYLNDPEATKRTIDKEGWLHTGDIGYIDDDDELFIVDRLKELIKYKGFQVAPAELEALLLSHPNISDVAVVQMKDEAAGEVPVAFVVRSNADIDTTEDEIKQFISKQVVFYKRINRVFFIEAIPKSPSGKILRKDLRAKLAAGFPN >OIW11107 pep chromosome:LupAngTanjil_v1.0:LG06:579921:580976:-1 gene:TanjilG_22914 transcript:OIW11107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKYTRYVTHTKLVREEELVKKRSYPKVVRITVTDTDATDSSSDEEQRECSTRHRRPTKFVNEIIFKSFEKDGVVSRKRKRAKAKSNALGKARVSVSRLPEKVNSGKKFRGVRQRPWGKWAAEIRDPVRRVRLWLGTYNTAEEAAMVYDNAAIMLRGPHALTNFKTPPTQNKTPLTISHGYISVEESHNKTSSCSPTSVLHCCSLLQESVSLTAKDNNACEYLCASKNSLETVKHKTESVEEVFGSSNDTVFDVQVSSPTHDIFDKNHNFQESVFFNDDWGSDMFLSSSEDLDLDLGFKGWHDKVNDWDTDSFLVSSNDLDLGFKDYQDKNGDFFQDSSSDLFFSDPLVAV >OIW10614 pep chromosome:LupAngTanjil_v1.0:LG06:4734815:4740253:-1 gene:TanjilG_15986 transcript:OIW10614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEEYDVIVLGTGLKECILSGLLSVDGLKVLHMDRNDYYGGESTSLNLNQLWKRFRGADNPPNHLGSSKEYNVDMIPKFMMANGTLVRVLIHTNVTKYLSFKAVDGSFVYNKGKIHKVPANDVEALKSPLMGLFEKRRARKFFIYVQDYDENDPKSHEGMNLNQVTAKELISKYGLDDNTIDFIGHALALHLDDEYLTQPAMDFVKRMKGGSPYIYPLYGLGELPQGFARLSAVYGGTYMLNKPECKVEFDENGKAIGVTSEGETAKCKKVVCDPSYLPTKVKKVGKVNRAICIMSHPIPNTHDSPSVQVILPQKQLGRKSDMYLFCCSYSHNVAPKGKYIAFVTTEAETDNPQEELKAGIDLLGPVDEIFFDCYDRYEPANQKDVDSCFISTSYDATTHFETTVRDVIAMYNKITGKELDLSVDLSAASAAEE >OIW10466 pep chromosome:LupAngTanjil_v1.0:LG06:5932273:5934185:1 gene:TanjilG_00404 transcript:OIW10466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKTQNGGAAKEEGGGDGSICGYDSLHRLLKDNLNPHIFQEVNRLLTGLNCGKPLQTIALQESATSLSLQHGFDLQAFCFHADKELLREPRVVRVGVIQNSISLPTTAHFSDQKKAIFQKLKPIIDAAGSSGVNILCLQEAWMMPFAFCTREKRWCEFAEPVDGESTVFLQSFALKYNMVIISSILERDINHGEVIWNTAVVIGNRGNIIGKHRKNHIPRVGDFNESTYYMEGNTGHPVFETAFGKIAINICYGRHHPLNWLAFGLNGAEIVFNPSATVGELSEPMWPIEARNAAIANNYFVASINRVGTETFPNPFTSGDGKPAHADFGHFYGSSHISAPDASCTPSLSRNRDGLLITDMDLNLCRQVKDKWGFRMTSRYDLYAETLAHYVKPDFEPQVTSDPLLRKKSL >OIW10302 pep chromosome:LupAngTanjil_v1.0:LG06:8528210:8531193:-1 gene:TanjilG_28053 transcript:OIW10302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSIVRSTLLQTALRARGGATGSTVPKRNFASSSGHHDDAYETAKWEKITYLGIASCTALAFYILSKGHPHHEEPPAYPYLHVRNKEFPWGPNGLFEVKHDH >OIW09749 pep chromosome:LupAngTanjil_v1.0:LG06:16826770:16827759:1 gene:TanjilG_14272 transcript:OIW09749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKVWVFIKLDEESLKMERLDVGCVLMAIHVDLKLDRIMKVRIGEGLFNVRLDGEWWPKNNSDDSEESLLVEEEDYVTSSLGPLSCVQTKNIGGFKKQDKSIGEGDHSPSHCEGGSAGVEEEEQLFTKMDKSSIVEVMDIWIENQKKREVEEVDRLGEAGEEIERLRKGKGIVKGFEEDDGFSLQIGPKCSLPSPNQFILEEKLKRHMSAHVVTNHVLSKEMQNKVKKVPELGQQEVAQLLVLEVSYQKRAPNKSITLLMWIWSLIFYPKQLL >OIW09430 pep chromosome:LupAngTanjil_v1.0:LG06:28240396:28242059:-1 gene:TanjilG_14581 transcript:OIW09430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSSFSTNFLSTILPTKPPSQFNLSSPSFIPIPSHNKLNIVPPLVSKHDNYSVSSDLSSVICPSLGHVNTLFYQSGYNVQVVVDDNESEERLLNRFRREVMKAGVIQETKRRRYFENKQEEKKRKHREAAKRNSRARRFSRFRPPMQNKPDIPEAKKVEDDDNWDIPEGTL >OIW10583 pep chromosome:LupAngTanjil_v1.0:LG06:5060086:5064550:-1 gene:TanjilG_15955 transcript:OIW10583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELTGLVLWLLCLLLLMLMEVSFAALSPSGINYEVVALMGIKNNLVDPHNVLENWDSNSVDPCSWRMITCTPDGYVSALGLPSQNLSGTLSPWIGNLTNLQSVLLQNNDISGRIPAAIGSLEKLQTLDLSSNTFSGEIPSSFGGLKNLNYLRLNNNSLTGACPQSLSNIGGLTLVDLSYNNLSGSFPRISARTLKIVGNPLICGPKESNCSTVLPEPLSFPPDALRGQPDSGKKGHHVAVAFGASFGAAFVVVIVVAFLVWWRYRHNQQIFFDVNEQYDPEVRLGHLKRFSFKELRAATNHFNSKNILGRGGFGIVYKASLNDGSIVAVKRLKDYNAAGGEIQFQTEVETISLAVHRNLLRLCGFCSTQNERLLVYPYMSNGSVASRLKDYIHGQPALDWTRRKRIALGTARGLVYLHEQCDPKIIHRDVKAANILLDEDFEAVVGDFGLAKLLDHRDSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELITGHKAVDFGRAANQKGVMLDWVKKLHHDGRLSQMVDKDIKDNFVMVELEEMVQVALLCTQFNPSYRPKMSEVLKMLEGDGLAERWEASHKVETPRFRSCENPPQRYSDYIEESSLIVEAMELSGPR >OIW09110 pep chromosome:LupAngTanjil_v1.0:LG06:31633436:31634005:-1 gene:TanjilG_16337 transcript:OIW09110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQRTTSQTSTNNKTESKKQSKNRALKPIKFSKHPIYHGVRMRNWGKWVSEIREPRKKSRIWLGTFDTPEMAARAHDVAAMSIKGNSAILNFPNIAHVLPKPLTCTPRDIQAAAAEAAAMVKFDIEKWLETESSELSEIVELPNLEEESSDSVESRTELMFIDSVDSWVYPTMGDYGIEFMHEGESFLL >OIW09931 pep chromosome:LupAngTanjil_v1.0:LG06:12546014:12556197:1 gene:TanjilG_32080 transcript:OIW09931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEELNDEATRLEVVDGVQNDDYSLNPECPKIVKHHDGQGNNVVEATSDVATSQHFRPSLFSDDGGAMVEELTVKSYNGSALDIGTSNSRETVYNKQNPWLHLNQIGSDSGMGNTRSDTGYRNSVLATSSSVWEDFESTTFQEILDRKSVRDDQSNAVEHLTAVDKKPEHKEGTGDARRGTRTKIISKSGFAEYFIKNTLKGKGIVCKGPSSNSSFVSRDQNAIKATRTDSNVSLFSGLKSANSPYNATMPRSDGSDCGGLTLREWLKAGHCKASKAERLSIFRKIVDLVDESHSQGVALHHLCPSYIKLLPSNQVMYLGLPIQKQTSDSVANSELLQLESSFIRKRLSEQAMPLSLDMQSKKKKVDNNVRAAGDSKVSAVGSEDYCNEYKEDIRFSKHNIRGVSRIPHISNTGKLKLTSINEGLESKWYTSLEGGCTTSSNIYCLGVLFFELLGHFDSERAHIAAMSDIRNRILPPVFLSQNPKEAGFCLWLLHPEPSSRPTTREILQSEVINGMQEVYSEELSSSIDQDDTESELLLHFLVSLEEQKQMDVRELEEEIRWLESDIEEVERRHSSRISMASSSLQNASSCQIESASLNKEPSSSATLPPVSPISNAYELKLRRNICEVESAYFSMRSKIELPEIDAATHPDKDILRNRENWCVAQKDKEQHQRTDALGAFFDGLCKYACYSKFVARGVLRNADFNNPANVICSLSFDRDEDYFAAAGISKKIKVFEFNALLNDSVDIHYPVVEMSNRSKLSCVCWNNYIKNYLASTDYDGVVKLWDASRGQEFSQFSQHEKRAWSVDFSPVCPTKFASGSDDCSVKLWSISERNCLGTIRNAANVCCVQFSAHSSHLLAFGSADYSTYCYDLRNLRSPWCVLAGHRKAVSYVKFLDSQTIVSASTDNSLKIWDLNKTSPVGPSTSACSLTLSGHTNEKNFVGLSVADGYIACGSETNEVYAYYKSLPIPITSRKFGSTDPISGKETDDDNGQFVSSVCWRGKSNMLIAANSSGCMKVFQMV >OIW10928 pep chromosome:LupAngTanjil_v1.0:LG06:1914875:1915601:-1 gene:TanjilG_27874 transcript:OIW10928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLSRPWDKEHMRMAMLEQEETFKEQVNELHRLYRIQKILMKNIEASRTIGVSQQGWNFKNAIGLTQNGHHKGTQEKPTMKFNLEGLDLEDIAESDSDRVLDIINETEIELTLGPSGYNRKNIQTPLTKDSGHIWSSSSAGSNLINKTRCRNHHSTNTTREELSGGIIGLAKVPESTSGYQSGMRNSYDIEEQSRQGRSKQSPWLLQVLSLKMT >OIW11090 pep chromosome:LupAngTanjil_v1.0:LG06:700809:701574:1 gene:TanjilG_22897 transcript:OIW11090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVQDECKLKFQELKAKRSHRFIVFKIEQQQIVVEKVGDSSESYDDFQASLPADECRYAVYDFDFVTDENCQKSKIFFTAWSPENSRVRMKMVYASSKDRFKRELDGIQFELQATDPSEMSLDIVKERAF >OIW09745 pep chromosome:LupAngTanjil_v1.0:LG06:16734774:16735268:-1 gene:TanjilG_14268 transcript:OIW09745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCCFSSFDSNQIATAKLILHDGVLQEFSYAVKVSFLLQKYPTYFICNSNDMDFDDVVTAIDEDEVLETGELYFAIPLSKLKLKLQADEMAALAVKASLALTKSGGDKCRFRRKRDVLFSGEERGKSYRRVVMEVGGDVDMSRRGKSGGRGRNLTAELSSIPE >OIW09845 pep chromosome:LupAngTanjil_v1.0:LG06:13513734:13516964:1 gene:TanjilG_26688 transcript:OIW09845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPPVPVSDTAEATASATSSSCWSNIVKNQAPPKPPQQHTPQLLFNTCDSSNGISLAVVDANAVIESGEKLHTFADKFVSVPEVMEEIRDPVSRHKLSFLPFTIDTMEPTPESINKVVKFARATGDLQTLSDVDIKLIALTYTLEAQIHGTKHLRDIPPPVKMLNVRRLPEKEMPGWGSNVPNLQEWEALEEAEDKINSDSRILPLQDLNLNIVSRDDLTEDGSVDHKSEAPSEIQEDSSRKPKKYQAKKKEINIEGKMVADGVDASQGQIDDDDGDWMPAVSRSTHRRFLRRKAKREYNEAVFNQDQQELEENVDEDAGASNLLVHPTDEEKHTEKAVDEGNLIIEENGGTESLSEGLKQVRLEEGLPENLDEEHTPNNAGLSEPAADGNVTIASKPCETDTVNDQSNHFETASQTSEATEFTFADDDSEQSWGLRSLSESSVACVTADFAMQNVLLQMGLRLLAPGGTQIHQLHRWILKCHACFTVTAEIGRIFCPKCGNGGTLRKVAVTVGENGIILAARRPRVTLRGTKFSLPSPKGGRDSITKNLILREDQLPQRVLYPKTKKKGNKQDDEFFTTDSVFSAHHTDKKPSFQQPSIGKALSVFRGRRNPNDNHYSHTKHKK >OIW08976 pep chromosome:LupAngTanjil_v1.0:LG06:32079371:32082907:1 gene:TanjilG_05952 transcript:OIW08976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGNIQPSKTLWIGFPPSFRMDEQMLHNALILFGEINRIRSVPSKNCAFVEFRSTDEARCAKEGLQGRLFNDPQITIKYSSSELAPGKDYSGFYPGSKGPGPDVLLNEHPFRPPQMDLFGHNRPMVPNNFPEQLPPGRNIAPMRPFGPQGLEPPMSGPEFHEMGKIHNFQDGSSKSQIVPNWKRPFPPAPGMLSSPVPGTRPPTRSTSGASDAHGINQFPRDSKRSRTGGALLVHDAPFPLRNMDGGVIDPSSHPGPVGIYGVPDSVQPDTDHIWRGIIAKAGKLVCHARCVPIGTGIGTELPHVVDCTARTTLDMLTKYYADAIGFGTIFFLPDSEEDFASYTEFLRYLKSQNRAGVAKCIDNTTLFLVPPSDFLTKVLKVTGPERLYGVILKFPSDVSMQQATYMPAPSTQYMQQIPPSQTEYGLMSAKGQQVLTMDYNRMSHADSKLPPKPFHPDTNGPPSVHSVPPDYAPSHTVSALPAGVTLTPELIATLTSLLPAGTQSSTIDCAKTAAGSSTVQPPFPPVAPNDGNLSYLWNQDNQIENPSSHPSQQFGSMYNVPSAQYQHYPSAYTPGHPAQVVPGNYYFQDTTASLQQQGTVSSGPVNNFIVPSQSGQVVVPPQVNQQYLVDVSSSTQSGYGMVQGMDASALYSSQAFQQPYNPVASFNQVPNANPSQQQTVLPYTADNVNSEPPSQQLQSANFEVGQGSSEVEADRNQRYQAALQFASSLLLQIQQKQTDGGHGPGNQQ >OIW09050 pep chromosome:LupAngTanjil_v1.0:LG06:31140560:31144109:-1 gene:TanjilG_16277 transcript:OIW09050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFSTLTRFTFNSIPTRTHSHNATKIHCSNVSVTNTNASENHEITLSNGTDSLNICRVLNGMWQTSGAWGRIDRDDAVEAMLRYADAGFSTFDMADHYGPAEDLYGIFINRVRRERPPQLLEKVRGLTKWVPPPVKMTSSYVRDSINVSRKRMDVESLDMLQFHWWDYSNSGYLDALKHLTDLKGEGKIKTVALTNFDTERLQIILENEIPVLSNQVQHSIVDMRPQQKMAELCQLTGVKLITYGTVMGGLLSEKFLDANINIPFAGPAINTPSLQKYKRMIDAWGGWNLFQGLLRTLKKVASKHGVSIPTVAVKYVLDQTAVAGSMVGVRLGLSEHIKDSNAIFSLVLDEEDVNSIREATAKGKDLLKVIGDCGDEYRRA >OIW08879 pep chromosome:LupAngTanjil_v1.0:LG06:32870329:32877174:1 gene:TanjilG_05854 transcript:OIW08879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSCTLIVHFDKGTPALANEIKESLEGNDVAAKIDALKKAIMLLLNGETIPQLFITIIRYVLPSEDHTIQKLLLLYLEIIDKTDSRGKVLPEMILICQNLRNNLQHPNEYIRGVTLRFLCRLNQSEIVEPLIPSILSNLEHRHPFVRRNAVLAIMSVYKLPQGEQLLDSAPEIVDKFISTEQDPSSTPALANEIKESLEGNDVAAKIDALKKAIMLLLNGETIPQLFITIIRYVLPSEDHTIQKLLLLYLEIIDKTDSRGKVLPEMILICQNLRNNLQHPNEYIRGVTLRFLCRLNQSEIVEPLIPSILSNLEHRHPFVRRNAVLAIMSVYKLPQGEQLLDSAPEIVDKFISTEQDPSSKRNAFLMLFSCSQDRAISYLFTNIDKIIDWGEQLQMVVLELIRKVCRSNKGEKGKYIKIIISLLNANSTAVIYECASTLVSLSSAPTAIRAAASTYCQLLLSQSDYNVKLIVLDRLNELKSSNREIMVEMVMDVLRALSSPNLDIRRKTIDIALELITPRNIDEVVMMLKKEVVKTQSGEHEKNGEYRQMLVQAIHSCAIKFPEVASTVVHLLMDFLGDSNVASALDVVVFVREIIQTNPKLRISIITRLLDTFYQIRSARVCSCALWIIGEYCLSLSEVESGIASIKQCLGDLPFYTASEEGEGHDASKSAPQQVISTTVSSRRPAVLADGTYATQSAALETAMSPPTLVQGSLSSVGNLRSLILSGDFFLAAVVSCTLTKLVLRLEEVQTSKAEVNKANAQALLIMVSILQLGQSSVLPHPIDNDSHDRITLCIRLLCNTGDEIRKIWLESCRQSFVKMLADKQRRETEEIKAKAQVSNAQPDDLIDFYHLKSRKGMSQLELEDEVQDDLKRATGEFTKDSDDANKLNRILQLTGFSDPVYAEAYVTVHHYDIVLDVTVINRTKETLQNLCLELATMGDLKLVERPQNYTLAPESSKQIKANIKVSSTETGVIFGNIVYETSSNVLERTVIVLNDIHIDIMDYISPASCADVAFRTMWAEFEWENKVAVNTVIQDERDFLTHIIKSTNMKCLTPPSALDGECGFLAANLYAKSVFGEDALVNVSIEKQADGKLSGYIRIRSKTQGIALSLGDKITLKQKGAA >OIW10924 pep chromosome:LupAngTanjil_v1.0:LG06:1948093:1950616:-1 gene:TanjilG_27870 transcript:OIW10924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNVRASSAWVASRSSHVIVDSEGIEKVVNTIDTIPKVEWDFEGIHYFDNGPLTVQYLLVLDALNFCFWPDKDLNYDHLASGLKAALQNDKSAFDADRLQKYTGPQLRELLKWPRPLPLEDERVRLLHEVGAELERSFEGKASNIVECCGKSAMNLVAIIARHFPGYGEFKDINSLTIMADYIVPAVLRQLGVLKYSPTLASTIEASGEIGPGTEEEVELRACSIHAVEKMRELISAKSGRQVLSVELDLWLWASGVQCASLQHHRTLSIYY >OIW09762 pep chromosome:LupAngTanjil_v1.0:LG06:16054601:16055642:1 gene:TanjilG_18677 transcript:OIW09762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEVVLLDFWPSPFGMRVRIALAEKGIEYEGREENLSNKSSLLLKMNPVNKQIPVLIHNGKPISESLIIVQYIDEVWSHESPLLPSDPYQRANARFWADYIDKKIYSTGKLVWGTSGEVQEQAKNELRDSFKLLEGELGDKIYFGGDKFGLVDVALIPFYSWFYTLETAGNFSIIEEFPRLVTWAKRCMQRESVSKSIPDQYKIYDFLLELKKKIQLQ >OIW08981 pep chromosome:LupAngTanjil_v1.0:LG06:32034359:32039022:-1 gene:TanjilG_05957 transcript:OIW08981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQYPYSPKGRGIGYQSCPRSQLEGSTSLDSRMSNSISESIPNSFSELLNIDAYFCNSPSMTDQILSNWLPSFASVPYPSPDRFNLMEHNCGQFYTTEVNGNCSATETLPSYGERAVFQQMDNLPSFSDDATNFNSKQKVNGSAQNHNKFDMGNYLISRPPGLSLDERMLRALSFFKASAGEGILAQVWVPIKHGDQFVLTTSEQPYLLDQMLSGYREVSRTFTFSVGKLGSIPGLPGRVFNSQVPEWTSNVGYYNKAEYLRVEHAINHEVRGSIAFPISDVDSELPCCAVLELVTTTEKPDFNSELELVCHALKLVNLRTTMPPRLISQCLSNNKRDALTEITDVLRSVCHAHRLPLALTWIPCSYTECTEVEATRIQIKEGHSSSSEKSILCIEESACYIADRAMEGFVQTCIQHHLEEGKGIAGKALQSNHPFFCPDVKAYDISEYPLVHHARKYNLNAAVAIKLRSTYTNDDDYILEFFLPVTVRGSLEQQLLLDNLSGTMQKMCRSLRTVSDAELSGTENSQTGYENKNVFSFSPMSRKKSQIPLVSGNHNSIQNMSLEASNLRNSEIEPSHNQEANESRRQVLKKRTTSEKNVSLSVLQQYFSGSLKDAAKSIGVCPTTLKRICRNNGISRWPSRKINKVNRSLKKIQTVLDSVQGVEGGLNFDPSKGEFVAHKSHLLPKKSTTKDPGPVTEVAVLVPPAPCSESDKSAFKFEITNVHVMGKQLVHSSYELKKANASSVDCSEDSKSMETEYDISLRAEKSERDIVGMDTGMDGDDGVVELNHPSCSSLTNSSNNSSSMIHSSSSASQSFENKEHSKIKSTVADSESKIVVKATYREDTVRFKFDPSSGCSQLYEEIGTRFKLQEGLFQLKYLDDEDEWVMLVNDSDLQECIDIMDDTGTRNVKFLVRDMPCVLSSSGSSSCYLGCSS >OIW10855 pep chromosome:LupAngTanjil_v1.0:LG06:2525370:2526881:-1 gene:TanjilG_27801 transcript:OIW10855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSQILLQRFVMPQIPIAKVGFVSCCPTSRLGYRNTPFTSISWSHSVQKHRAGFVVRAESEPQENAQNVEQEAPSVDVEEQEEEVSEAKPTRKPRVKLGDIMGILHKRAIEASEKERATPDIRTGDIVEIKLEVPENKRRLSIYKGIVISRQNSGIHTTIRIRRIIAGVGVEIVFPV >OIW09876 pep chromosome:LupAngTanjil_v1.0:LG06:12977817:12978593:1 gene:TanjilG_24531 transcript:OIW09876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLPENPFSMSFDHATEATLQRFILPQIIWKLKKWLGLGMETSLSRSLEHIDKYLSNIINSRKLDLLNKQQIIGSSETTTHDDLLSRFMKKKESYTNEFLQHVALNFILAGRDTSSVALSWFFWLCTLNPNVEEKILLELCTVLFETRGDDVSKWVDEPLVFEEVDCLVYLKAALLETLRLYPSVPEDSKHVINDDVLPSGTFVSTGSAVTYLIYSIGRMKYIWGKDCLDFKPERWLSADGNKIPTNLFPSMQGQGFV >OIW10772 pep chromosome:LupAngTanjil_v1.0:LG06:3210200:3214129:-1 gene:TanjilG_27718 transcript:OIW10772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLRRRPSNPVRSTPLGEPTLNRNQQLSLPPYATNAVFFTFFFSVAYFLLHRSRDKIRTSTPLHFLTPSEVAAILSLFASFLYLITFFGISFIFHNSTSATRRTSSDYQDNTDLAGSAKPNQEPVNSNDEEIVNSVVSGSIPSYSLESKLGDCNRAAVIRRTAVERVTKRSLEGLPVEGFDYESIFGQSCEMPIGFVQIPVGVAGPLLLDGKEYTVPMSTTEGCLVASTNRGCKAIYVSGGASSVVLRDGMTRAPVVRFGSAKRAAQLKLYLENHDNFDSLSVVFNKSSRFARLQSIKPAIAGKNLYIRFSCSTGDAMGMNMVSKGVQNVLDFLHSNFPDMDVIGISGNYCSDKKAAAVNWIEGRGKSVVCEAVIKEEVVKKVLKTSVEALVELNMLKNLTGSAIAGALGGFNAHASNIVSAIYLATGQDPAQNVESSHCITMMEAVNDGKDIHISVTMPSIEVGTVGGGTQLASQSACLNLLGVKGASKDSPGANSRQLATIVAGSVLAGELSLMSAISAGQLVKSHMKYNRSSRDIDKNVSWHPLKRKSRPMWGTPF >OIW09886 pep chromosome:LupAngTanjil_v1.0:LG06:11951105:11955710:-1 gene:TanjilG_32035 transcript:OIW09886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRTNSEKKLGAGRVVAVAIENNKTSQYAAKWAVDNLLPKDQCLLLIHVRQRASSIATPTGSQISLDANDDVARAYKQQMYNESRELFATFRVFCNRKNIQCQEILLEDTDVHKALMESINTYSIELMVLGAPSKSGLVRRFRTTDVPNQVSKGAPPFCTVYIISKGKISTVKSATASLTTTSKATILSNTLQPQNQLAPSLDIMDAQHMQNHPPRPITEKPTYGAPRLSSEDEIKSPFTRSSKSYHRSFESSVPDSDISFVSNGRPSVDRMFPSFYDEMDSGRLSSGSDLDARSYSSSLSGAKSIDQGDYSFCSQDSGFSTPSARFSSSDDVEAEMRRLKLELKQTMEMYSTACKEALTAKQKEMELQRWKLEEQRKTEELRMTEEAALTVAEKEKIKANAAMEAAEANQKIIEFEVQQRMKIESDQKNKADDTISYSPVRYRKYSIEEIEEATNNFDISLKVGEGGYGPVFRCELDHTPVAIKVLKPDAAQGRSQFQQEVEVLSSMRHPNMVLLLGACPEYGCLVYEYMANGSLDDCLFRRGKVPALPWQLRFRIAAEIATGLNFLHQAKPKPLVHRDLKPGNILLDRNFVSKISDVGLARLVPPSVADTVTQYRMTSTAGTFCYIDPEYQQTGMLGIKSDVYSLGIMLLQIITAKPPMGLTHHVGRAIEKGTFAEMLDPAIEEWPVEHAMHFAKLALQCAEMRRKDRPDLGKVVLPELNKLRDFAEENLPMMMMFGAGFTTRTNNYSRSTISSSTDESQLSGSSSYESRSTSSSLSNNSSFVATKMI >OIW08872 pep chromosome:LupAngTanjil_v1.0:LG06:32906348:32908597:-1 gene:TanjilG_05847 transcript:OIW08872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIDNETIKQQKKDIIFKSRLPDIYIPNHLPLHSYCFENLSKFGTRPCLINAPTGEIYTYYDVELTARKVASGLNKLGIQQGDVIMLLLPNCPQFVFAFLGASFRGAITTAANPFFTQPEIAKQAKASNAKLLITQGSYFEKVKDLLDVKLMFVDSPPLVQEGHLHFSDLLEADENQMPQVNINSHDVVTLPYSSGTTGLPKGVMLTHKGLVTSIAQQVDGENPNLYFHSEDVILCVLPLFHIYSLNSVFLCGLRAKAAILLMPKFDINSLFGLIEKYRVSIAPVVPPIVLAIAKSPDLDKHDTSSIRVLKSGGAPLSKDLEQTVRAKFPNAKLGQGYGMTEAGPVLAMCLTFAKEPIDVKAGSCGSVVRNAEMKIVDPETDNSLPRNQPGEICIRGDQVMKGYLNDPEATKRTIDKEGWLHTGDIGYIDDDDELFIVDRLKELIKYKGFQVAPAELEALLLSHPNISDVAVVQMKDEAAGEVPVAFVVRSNADIDTTEDEIKQFISKQVVFYKRINRVFFIEAIPKSPSGKILRKDLRAKLAAGFPN >OIW09585 pep chromosome:LupAngTanjil_v1.0:LG06:22560795:22564800:1 gene:TanjilG_28184 transcript:OIW09585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVGYKWITRFLALGCYALLLIPGFVQVGYYYFFSSQIRRSIVYGDKPRNRLDLYLPRNNDGPKPVVAFVTGGAWIIGYKAWGSLLGQQLSERDIIVACIDYRNFPQGTISDMVTDASQGISFVCNNIAEYGGDPNRIYLMGQSAGAHIAACALVEHAIKEAGEGESTSWSLSQIKAYFGLSGGYNLYNLIDHFHSRGLYRSIFLSIMEGDESLSRFSPEVMVRDPNFTNAVSLLPPMVLFHGTGDYSIPSDASKSFSETLKGVGGRAEAVLYEGKTHTDVFLQDPMRGGKDDLFDDLVAYIHAGDAEACAKDATAPPRRRLVPEFMLKLAHLVSPF >OIW09220 pep chromosome:LupAngTanjil_v1.0:LG06:30941662:30944871:1 gene:TanjilG_11358 transcript:OIW09220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMNSMFECAKQDANNGDDDDVEVIGNSGQLLSDIKEISKALYLHNSPSKPSVSVVNNRSKSAGKSRNPLHKDKKLLSLPLNWKKSLKALTHIGYQKFTCCFNLHVHSIEGLPSNFNGMTLCVHWERKNRIQKICSSSVFCGAAVFDEALIHACSVYGSSIGSSHSARYLSKRFLIYASIVGAPEHDIGKHHVDLTRILPLTLEELRGDKSSGKWTTSLGLAGRAIGATLNVSFSYQVMKDDGLIDFGDDGGSVFSKSINFLYQKLDEGNFHSALPDSKQLQPLESEILLESESYQESSEPESDVTEFSIIEQGVETALEEESLKLDQTKVRTVDVSTVEIINVDEIIKDGDIFVDRNTICHSVDKLCGSCKNDAAMDDNKHKRSSSCVNLPCTKVADIAPETNEFLFQEDYTSIKSNYKAHKMENKSNNITESVEGDFLNMLAIESGSFGSSCDGDPQSPREQLLRLFEKETLASGNSFLYFDANDEEFGTDILSSGDCTVVSDLSLITQAAEEEHARVTQSLIYRRKAEILEDLETGSLMEQWGLNERDFENSPETWSGGFGSPIELPNEEPSGLPSIGEGLGSFVQTTGGGFLRSMNPSIFRNAKNGGNLFIQASDSVVLPTKMGDDILEILLHLASDGVEELCNHMYRLMPLQDITGRSLEHIAKNKSPERQGSWQHDLFDEFPYDYLTNEGMYLDSMGLVAIAPIAINKIETLLIEGLRIQSGMSNEEAPSYIHPQYTKMPNFGGRRANLRGFSTLTDGVAKLQLEDSEEIGNDVDGLMGLSMTLDQWQRLDSGTIEGDQNLEQILKILKVHNSNITELDYEALENAIEAEMYRRKQGLLGNHLAVSFVIQLRDPLRNYEPVGGPMLVLTQVERVLQDQNDFLEEMEKQMENEATLNETSTKSIVNTNVDNETARSRFKINEIHLTGVVTKTGKRQLWGTATQQQSGFRWLLASGLGNTAKHTSSKSTAIARSSPLLNKKLLNEDILWSISYANNNTRTNGKELAAENVHVRNPDIIFPN >OIW09910 pep chromosome:LupAngTanjil_v1.0:LG06:12210127:12213458:-1 gene:TanjilG_32059 transcript:OIW09910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNNISCVFSEPFFIAVTVTALCFFLFLFSFLRSSSLFLNKNHCNCACSCNGTVLNSDSSSLPYLNGGTGVEMLELSPAPVVLSERRTESSMMEELVPEITTHALSYLDYPSLCRLSMTNSLMRKAANDDNAWKALYHKDYTLEQDCVTPTNGWKAYYAATRAIVNVNTEFFNIVRNKSIPAMSHFWLNADYVKCIHASGDLFSGYNAVLQSWQLVFDWGQGLNFQVRDVRARVLTDMAWITMKTYVDMDTGPFNMTNIFEFHNGRWYMVHHHSSVMNGEMEHQHVHG >OIW10300 pep chromosome:LupAngTanjil_v1.0:LG06:8515545:8517143:1 gene:TanjilG_28051 transcript:OIW10300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLDHDASISGLHLVLGLALTSKTQKETLTPSPQSNKVVDDHHFAVIRATSKKPYSEDGPSLTLALSGENYNLINQVPLDLSTQTSLLSTVSSFSSGGVKRERELSSEEIERVSSRVSGDEDEDATNTRKKLRLNKEQSNMLEESFKQHSTLNPKQKQGLAKQLNLRPRQVEVWFQNRRARTKLKQTEMDCEFLKKCCETLTEENMRLQKELQELKALKLAQPLYMPMSATTMCPSCERLSGGGASNKRTPFSMSMAPKPHFYNPFTNPSAAC >OIW09041 pep chromosome:LupAngTanjil_v1.0:LG06:31078836:31079862:-1 gene:TanjilG_16268 transcript:OIW09041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSPKNHHHRNNTGRLGEKSSSFHGVNAMSAAQLRRPRTVPDLLPYRNKSTGAVVPDGLPRQPPKMLLKVTVIGSVAPVQVLMRPESTVGDLVMATLQQYVKEGRRPILPTMKANNFDLHYSQFSLESLDREEKLIDLGSRNFFMCPSKAASAVEGGGGGREGSKTTPFASCANEVNKVKQGSDRDAGFGWLKLMHFLLP >OIW10022 pep chromosome:LupAngTanjil_v1.0:LG06:10714437:10715291:-1 gene:TanjilG_32762 transcript:OIW10022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGTSKVIMGATLVMVVILAIVLGLILVLLTELYCSLLLRRRRHFRNTIPTTTTTQTTTATENASPSPSHTSQSHSHPQQQPPPPTPPFSNIYSQGVAQARRSFFLPSLTSKEDIATQKKLHAELHHVGVLAASSEPRSFLSKPSPKPTKENPLQGSSSGNLSVNHAKPCNGGEHLVYISNPIYGSDRSNVSGEDTLFETPNTSPSHLETSGSSEEDDMAMTSPCGTHSPPHAPVLTQMKKLTPEACSDSLKDARSLGTSASGSHTNNGVTSSSSGSPCTSPSW >OIW09491 pep chromosome:LupAngTanjil_v1.0:LG06:25319613:25324887:1 gene:TanjilG_22240 transcript:OIW09491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDLQWALHTIKSQSQNLHSVSFYLSQPSSGCHQETENSIKINISKENLSYFSNLLTTLAATKSTLRNLEFHQVEWEPQQVINLGMFLGSNLNVKQVVFRRNRFNGKSVTQLSDILRRNKGIKEIMISESGIGSVGAGYIASALMMNHSLEELQIWEDSIGSRGAEELSKMIEVNPTLKLLTIFDSNAIAAAPLISAVLARNRSMELHVWSGEKGENSSKVVEFVPENSTLRIYKLNLSGTCRVACSLGMNLMVKSLDMTGVKIKSRCAKEFRWVLEQNQTLKEVNLSRTCLKDKGVVYVAAGLFKNRSLQTLHLTGNWFSGIGVEHLLTPLSRFSSLQMQANTTLKCVTFGGGKTRIGRDGLAALIQMLTTNESVRQLGIHDDESLRSEDFVKIFKSLEKNATLKCLSLQGCKGVQGETLLQTIMETLQINPWIEDIDLSRTPLHNSGKTQGIYQRLGQNEKTEPEIDLLKDMPLTQPKSCRVFFCGQECAGKTTLCHSISQNFSASLVPYLDQVRTIVNPLEQAVKTVGMKIKTFKDDDIKISIWNLAGQHEIFSLHDLMFPGHGSASFFVIVSSLFRKSSNRELKSTTEIEEDLQYWLRFIVSNSKRAIQQCMVPSVAVVLTHFDKINQPSQNLQLTIDSIQRLRDKFQGFVDFYPTVFTVDARSSASVSKLTHHIRKTCKTILQRVPRVYQLCNDLIQILSDWRSENYNKPAMKWKEFCELCQVKVPPLRIQSRHGNKEKVETRRRAIVTCLHHIGEVIYFDELGFLILDCEWFCGEALGQLIKLNVRKPHSSENNGFISRKELEKILRGSLQSPIPGIGSKVFENLDPSDLVRMMLKLELCYEQDPSDPNSLLLFPSILEEGRGKPQRWLQTTSDCLYVGRHLECDDSSHMFLTPGFFPRLQVHLHNRIMDLQNHHGATYSLEKYLISISINGIYIRVELGGQLGYYIDILACSTKNLTETLRVIHQLIIPAIQSLCHGITMTEYVIRPECVRNLTPPRYRRTQFSLLQQLKQALLSLPADSMYDYQHTWNQVLDSGRPILQAGFDLARDLLSDDDFREVLRRRYHDLHTLAQELQVPTENNPDEQDQSITLSDEAEKVEPSFGGIAKGVEAVLHRLKIIEQEIRDLKQEIQGLRYYEHRLLLELHRKVNYLATFNVQVEERKVPNMLYFVKTENYSRRLVTTMLSGMNALRLHMLCEFRGQMHVVENQMGCEIMQVDNSAVKALAPYMKGFMKLITLALKIGAHVAAGMGEMIPDLSKEVAHLAGSSLLYGAAGAAAVGIVGGAAIGCRNRSMEGSRDIQQDLRAAQQWVVDFLRERGCSTGKDIAEKFGLWRVRYRDNGQISWVCRRHMYERSTEIIEVPI >OIW10733 pep chromosome:LupAngTanjil_v1.0:LG06:3629965:3632032:-1 gene:TanjilG_27679 transcript:OIW10733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVSSTNPHNKEIAIRRRIASIFNKREDDFSSLREYNDYLEEVEDMTFNLIEGIDVAAIEVKIAEYQEENAEQIMINRARKAEELAAALAASKGKPAQADNDVAANQNSHAEGVGGVQQGHYAPTFAGQPRPTGMAPQPLPLGGGGMPGYAADDEETMRLRAERGAGAGGWTTQISKKRALEEAFGTIWVC >OIW09612 pep chromosome:LupAngTanjil_v1.0:LG06:22185410:22189669:-1 gene:TanjilG_28211 transcript:OIW09612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREVVIVAIAATLGNLLAGWDSSTIAGSLIYIKEEFNLGSDPTLEGLIVSMSFLTGTFVTIFSGTVSDMVGRRRMLIASSIMFFCSGMVMLYAPNVKVVLLSRLLDGVAIALAITLTPLYISEIAPPDIRGQLNTLPQFSGSSGMFVAYIMVFSLSLMDSPSWRAMLGVVCIPSVAYFFLAVFYLPESPPWLVSKGRISEARKVLQRIRGVEDVSGELALLLEGLNPGGEATTIEEYIVAPASELISNQELGKDCIKLHGPNSQGVSMVAQPIMTGKGSIFRGLLTMSRQGSVASSASASFKDPIVNLFGSVHENVNIILDSVGSASRGFLIPNVTSSSSIGDHDRSPLLSRQCTMDKDRDFGSKGALEGSSNSNLRSNNNSFFPGISPAGEIPMNTNIGGGWQLVYKSAEAGGSGKKEGGLFQRIYLRADPKAESQQSSFVSSSDSHSDSAEAFQVAALVSHSFLGPTNDAMIRPEVAAKRTGRGALLDPGVKRALIVGIGLQILQQAAGINGFLYYAPQILEQAGVGALLSNLGISSTSSSLLVNIITTFSMLPCIAISMRLMDISGRRSILLYTIPILIVSLMVLVLRGSFNISPILNAAITATSVVVYESVFCMGLGLTGVFVLFVIGSIISWIFVYLKVPETKGMPLEVIIEFFAIGAKPGGNV >OIW09372 pep chromosome:LupAngTanjil_v1.0:LG06:29838813:29847654:-1 gene:TanjilG_01343 transcript:OIW09372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENRPRSDSNVPDSLNQELTSGVGISGVKDDASDGSKPHDENDLMIQQQLVSHDLTGIKKKKNAKKGRTEPPLLWKVWKTMNDKWLDEHPFKDVKLDEQNEVIYETAEPSSDLTMPLLRHQKEWLSWALKQEKSEIRGGILADDMGMGKTIEAIALVLAKRELDLMNREPNEPISSPSPGSSKVLPVIKGTLVICPVVAVTHWVNEIDQCTSKGSTKVLVYYGARRGKSVEQFPEYDFVITTYSTAEFEYRKDTMHLYEEVKNVRTGKKSKQFKKKKKEVTEELEDVTRKDISLIHAVKWQRIILDEAHKIKDIDSKTAKAVFALESSYKWALSGTPILSSLEEMRSLVRFLQIVPYSYYLCTQCNCMTLDRSSEGCPNCIHHPFIHFCWWKKYVGKPMKSHGNDYLRKRAKILLKHRVLKSILLRRTKMGRAADLALPPRIVSLRRDCLDIKEQDYYESLYKESQAQFNTYIEENTLMKNFYHLLDVLTRLRQALCHPYLVVYSETATSRGGTTNNNATAEQECGICHEATEDLVVTSCEHIFCQACLLGQVSCSSCSQLLTDDLTSINDVHDQLNKTTIKGFRSSSILNRIQSKNFQTSTKIEALREEIRFMIERDGSAKGIVFSQFTSFLDLIDYSLNKSGVSCIQLNGSMSLPARDAAIKRFTDDPDYKIFLVTLKAGGVALNLTVASHVFFMDPWWNSAVERQAQDRIHRIGQNKPIRIVRFVIENTIEERLLKLQEKREVVFEGFIFKTPIRKLMIIMLGRVKRGRGPVVVKTVVGTVVVVLCSSLYSMFKIKKRSTLEVGVVNPTEQVLMSKHMLEASLLGFVLFLSLMIDRLHYYIRELMIQRKTMVASKKQSRSFDDGKNALTEEIVTLKPKVQKLESECEVKGNKVKALQAELEALRNQSKGLLIKYDKLLEDNQNLRIQLENVH >OIW09381 pep chromosome:LupAngTanjil_v1.0:LG06:29961971:29962852:1 gene:TanjilG_01352 transcript:OIW09381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHSQNSSSRGRKAAPESANEESGWTSYFEDFSNGMEQSYCSSLVSDAASCAAWKFSHQNHHNIVSRSSLRNSSNLPKKLSFKKTRSKQILEDDPLEDTASSPINSPKVGDLNPKETISRKIDDQLDGNKFGSPS >OIW09913 pep chromosome:LupAngTanjil_v1.0:LG06:12249380:12251767:1 gene:TanjilG_32062 transcript:OIW09913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVADFALSSIHKDPAFCEGKLGHHCSGDPIKIYLNVDSSVTPMRVMEFDSIASVKLRIQKCKGFVVKKQKLVYCGRELSRNDTLIKDYGVTDGNVLHLVLKLSDLLFIVVRTTSGKEFEFHVDRHRNVGYLKQRIRRKGEGFVDLGEDQELFRNDEKLDDQRVFHDICKSGSDVTHLLIKKSAKIRTTPIYQDLKLSVEASLPGEKSIDEQVLKVPPDVDFWLEPIIVNPKIDFFPFVRDMINSTFDGLKKGNRPIRSSEGTGGTYFMQDSTGQEHVSVFKPMDEEPMAVNNPRGLPCSTNGEGLKRGTKVGEGALREVAAYLLDHPTSGSRSTTGEAIGFACVPPTVMVQCLHEEFNHPNGYACSSKHVKIGSLQKFMISDGNCEDIGPGAFPVEEVHKITVLDIRMANADRHAGNILIRKEAGGQIKLIPIDHGYCLPDKFEDCTFDWLYWPQARQPYSPDIVGYINSLDAEKDIELLKYYGWDVPLQCMRTLRISTMLLKKGVEKGLSPYAIGSIMCPENLNKESVIEEIISEAQDSLLPGMEEARFLEIISKIMDSRLAKIAKK >OIW10723 pep chromosome:LupAngTanjil_v1.0:LG06:3719672:3721123:-1 gene:TanjilG_27669 transcript:OIW10723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRGKKTKLTMSDLLKTPSPVATSPEPSPKSAIFTRTASTSKGKGVKRVTANLTTTTTASPSNISDLKNLASSDIDDLKRHIDRSHSEILKHVEASHSRLHKRFKMQNQACQQVMDEADKEYKKVSERITESREAMKASYEEFMADAQATASRACKTSITELSQSFEKAIDSIRNRYGISSN >OIW09093 pep chromosome:LupAngTanjil_v1.0:LG06:31511703:31513963:-1 gene:TanjilG_16320 transcript:OIW09093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFEGLFKAKFYSKCKSYARLIKMRLEVIQKKRNAVQKFLKKDIAELLRSGLEYNAYGRAEGLLVEQNMSSCYELIAKFIGSISDHARDLCKQRDCPDECKEAIPSLIYAAARFSDLPELRELRKMFTEKFGTHLEPYASKEFVNKLRHEPPSKEMKVQLLSDLAQEFSIKWDNKALEQRLYSAPQLHEEKPKHDPMNNHNDEKWHKMDNDLAMPKRNEIDRNSDIVDASSRVQSNIVSDDETSRDKLSHGNQTKACSSSLESVSKDEVENKRVFSYGFVPPPYVKETLNSKGESNLKKATESSNHDLHEPVLQKKPMPKSVRTRSLKPHPPSHNDKVSDTKNDGNEKAVDMLLMHYSKKESPYTKSGSSAAPVRGISFSYSRAAENRDEHITSKETLRGHERATSLVPEMLSRARHVHPSLPDFDDFKANIASLRGR >OIW10152 pep chromosome:LupAngTanjil_v1.0:LG06:7111651:7115228:-1 gene:TanjilG_27903 transcript:OIW10152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGGAAPPADTVMSDAVPPPPPHPDPQKHQQHQQHLVPEMGVENIPTTLSHGGRFIQYNIFGNIFEVTAKYKPPIMPIGKGAYGIVCSALNYETNEHVAIKKIANAFDNNIDAKRTLREIKLLRHMDHENVVTIRDIVPPPQREVFNDVYIAYELMDTDLHQIIRSNQALSEEHCQYFLYQVLRGLKYIHSANVLHRDLKPSNLLLNANCDLKICDFGLARVTSETDFMTEYVVTRWYRAPELLLNSSDYTTAIDVWSVGCIFMELMDRKPLFPGKDHVHQLRLLMELIGTPPEADLGFLNENAKRYIRQLPIYPRQSFQKKFPQLHPAAIDLVEKMLTFDPRKRITVEDALAHPYLTSLHDISDEPVCMTPFSFDFEQYALTDEQMKELIYHEALAFNPDYQQ >OIW09291 pep chromosome:LupAngTanjil_v1.0:LG06:29176943:29193846:-1 gene:TanjilG_01262 transcript:OIW09291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLAERLRVRSDRKPIYNIDESDDDADFLPQKHGTTQEKFERIVRSDAKEDSCQACGESGDLVSCETCTYAFHSRCILQPFKRPLSDNWKCPECVSPLNDIDKILEYETCPTVAGESDATKSGPSQKFVKQYLVKWKGLSYLHCRWVPEEEFLKAFKNYPRLKTKINNFHRQMASANTSEEDFVAIRPEWTTVDRILACRGNEEKEYLVKWKELAYDECHWELESDISAFQLEIDRFKRFQSRSRKKSSTKQKSSDNDDAEFKQQKEFQQFEHSPEFLSGGKTIQSIAFLASLLEENISPHLVVAPLSTLRNWEREFATWAPQMNVVMYFGSAQARSVIRQYEFYFPKKQEKIKKTKYGQTVNKSKQDRVKFDVLLTSYEMINLDTASLKSIKWQCMIVDEGHRLKNKDSKLFSSLKQYSTRHRVLLTGTPLQNNLDELFMLMHFLDAGKFGSLEEFQEEFKDINQEEQVLRLHKMLAPHLLRRVKKDVMTELPPKKELILRVELSSKQKEYYKAILTRNYEILTRRGGAQISLINVVMELRKLCCHPYMFEGAQPHLDDTEEAFKQLLESSGKLQLLDKIMTKLKEQGHRVLVYTQFQHMLDLLEDYCSYKNWYYERIDGKVGGAERQIRIDRFNAKNSTRFCFLLSTRAGGIGINLATADTVIIYDSDWNPHADLQAMARAHRLGQTNKVMIFRLITRGTIEERMMQLTKKKMVLEHLVVGKLKAQNINQEELNDIISYGSKELFADENDEAGKSRQIHYDDAAIDRLLDRDQVGQEEANLDNEDENGFLKAFKVANFEYIDEVEAAKEEARKREMENKSSASSSTRANYWEELLGDKYQEHKVDELNALGKGKRSRNKWQGDDFAAPEDVSSDGEDDNYEADPDLSDDSSNSTGTVSARRPHKRKVHANSSEPLPLMEGEGRSLRVLGFNQNHRAAFVQILMSNLLTSYGTLFLSHIADDITDSPTFSDGVPKEGLRIPDILVRIAVLLLIREKVKYASENPGTPLFSDDIVARYPGLKCVKTWKEKHDVMLLQAVLKHGYGRWQAIVDDKSLKIQEVICQEMNIPIVKIPVPGQVGAQVQNNTNVINLESSGNQSRENGQGDIAADGANITNADSTYNQSRENGESGIAADGAQGSGGTKNQTQLLQETSMLYQYRDMQRKQIEFVKRRVQLLEKGVNAEYQKEYFSDPNATVTNEEHKSEPMTTNLPSYTSGDTLTKMIDQLPQVESIASEDFSFAAGDYGPNRSELVHNYNKMCKVVNGSTMDIAQAYLARDPTEVNVMKNLHQLETICEDVKRILTPIAENPGNGV >OIW09445 pep chromosome:LupAngTanjil_v1.0:LG06:27260017:27271606:1 gene:TanjilG_31164 transcript:OIW09445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGGPSGFTNAPVTRAFIIASALFTIFFGIQGRFNTLGLSYQDIFGKFRLWKLIWSIFSFWSTPELMFGLYLLYYFRIFERQIGSNKYSVFIVFSILNSLLFEFLVIGLLKDPTTKLVTSGPYGLIFASFVPFFFDIPVSTRFHVFGFHFSDKSFVYLAGLQLLLSSWRRSILPGICGILAGSLYRSNVFYIRKAKFPEFIASFFSRFSLPSTGSPRVASTRNVAGNVPSFPPRQTERNYPAPMPSAVEPSEDSITTLVSMGFNRNSARQALMQARNDVNVATNILLEAQSH >OIW09626 pep chromosome:LupAngTanjil_v1.0:LG06:21934385:21935431:-1 gene:TanjilG_28225 transcript:OIW09626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKNKGITDKILGGLYCCLRKCLFGILSVVPVPSHIAFIMDGNRRFEKKMNLAEGGGHRAGFLALLSIVRYCYELGVKYVSVYAFSIDNFRRNPKHVQYLMDLMREKIDELLEQESIINEYGVRLVFVGKLELLSEPVRAAMEKAMRVTAHNNQRVLIICVAYTSTDEIVHAVQESCKDKWNEVQSSKVANGSIARTDLSLNNNSIDLHFQDSNNSYLSAAKDCTSSVLEGVEGAKKHVSNYSEAEITTCNELVEITEESEYKENELPSVKLVDIEKNIYMAVAPDPDILIRTSGEVRLSNFLLWQTSICPLYSPTALWPEIGLRHLVWAVLNFQKHHSYLEKKKKQF >OIW09936 pep chromosome:LupAngTanjil_v1.0:LG06:12638021:12639514:1 gene:TanjilG_32085 transcript:OIW09936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESAALSRIGLAGLAVMGQNLALNIADKGFPISVYNRTTSKVDETVDRALREGTLPLTGHYTPRDFVLSLTRPRSVILLVKAGAPVDQTIAALSDHLEPGDAIIDGGNEWYENTERRIEQAKEKGILYLGMGVSGGEEGARNGPSLMPGGSYEAYSNVQDILHKIAAQVNDGPCVTYIGEGGAGNFVKMVHNGIEYGDMQLISEAYDVLKHVGGLSNSELAEIFDEWNRGELESFLIEITADIFKVKDHEGGDGYLVDKILDKTGMKGTGKWTVQQAAELSVAAPTIAASLDCRYLSGLKEERENAASVLKEAGLNEEVGALRSGVDKKRLIDDVRQALYASKICSYAQGMNLLRAKSVEKGWDLNFGELARIWKGGCIIRAVFLDRIKKAYQRNPNLASLIVDPEFAREMVQRQGAWRRVVGLAISSGISTPGMCASLAYFDTYRRARLPANLVQAQRDLFGAHTYERVDRPGAFHTEWTKIARQSGTAGVGAFNG >OIW10764 pep chromosome:LupAngTanjil_v1.0:LG06:3280018:3281944:1 gene:TanjilG_27710 transcript:OIW10764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHLNAFRLSSPLKQMDKHFLKINSSEHFSGSSVKAKTARNLPLLYSGDGDRPNGGSIFVGGFVLGGIIVGTLGCVYAPQISKALVGADSKDFMRKLPKFMYDEEKALEKTQKVLTEKIAQLSSAIDGVSAQMRAKMNLQ >OIW10391 pep chromosome:LupAngTanjil_v1.0:LG06:6763638:6775917:1 gene:TanjilG_05539 transcript:OIW10391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTNLNLWAEGARKIAVAGLPPMGCLPITITAISNNSILERGCVDKFSKVARDYNLMLQHELFFMQQNFSSYAKAKISYIDIYGPLANMIEGHQNLGFDEVDIGCCGSGFLEAGFMCNKISYVCPDPSKYVFWDSIHPSERAYHNILLAARPTIDALNVKDYQGIIDAAKTSVKAKQLAAQLIPRFFKFFPNLSGPALDAHLDLVEAEELGVRVQAIRGLPLFCKDTPENIGKMVDILVQILGSEEFVERDAVHKALMSLLRQDVKASLTALFKHIGSVEEPSTDDVIREKVINFVRDKVFPIKGELLKPQEEMERHITDLIKKSLEDVTGIEFRLFMDFLKSLSLFGEKAPSERMKELIEIIEGQADLDAQFNVSDADHIDRLISCLHMALPFVLRGASSIKFLNYINKYIVPVFDQLPGERKVDLLRSLAEFSPYTTPQDSRQMLPSIVQLLKKYMTWKKTGEEMNFTYVECLLYTFHHLAHKVPNATNSLCGYKIVTGQPSDRLGEDFSEHYNDFTERLNNVEEFTRATIKKLTQGMAENNKSMANAKTDEEKEKIKTKKQNATTGLRTCNNILTMTKPLHAKVPSFIGDKRINLSWKELTKPAPSTTPAAGAKRPATATNGSNNIASKKGRGAGGLQNQLVNRALEGLSGGGRGGPRGRGRGRGRGWGGRGRGRGYW >OIW09452 pep chromosome:LupAngTanjil_v1.0:LG06:26171656:26174014:-1 gene:TanjilG_22726 transcript:OIW09452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPLILRQVLFHRLRFHHNYQRLTVAPPQRLWCTAATPTTNLSSSSSENAKEKPSSSVTSSSVLLKFKDPRWENDPDYRKWKVKEDEILRDIVPIVTLTKDILHSPRYLDGEQLSVEDEKAVVEKLLAYHPHSEDKIGCGLDSIMVDRHPQFGHSRCLFVVRTDGGWIDFSYQKCIREYIRHKYPAHAERFISEHFKRGSS >OIW09494 pep chromosome:LupAngTanjil_v1.0:LG06:25046863:25048603:-1 gene:TanjilG_14124 transcript:OIW09494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNENLPPNVIKQLAKELKNLDQSPPEGIKVAVNDDDFSTIFADIDAGTPYENGIFHMKLLLSRDFPHSPPKGFFLTKIFHPNIATNGEICVNTLKKDWNPSLGLRHVLIVVRCLLIEPFPESALNEQAGKLLLEDYDEYARHARLYTGIHAKPKPKFKSRAISESTTALNVGPTNTSVLSNEIKAAPPGTALPLPSSLAPATTATRGNDQEQTLPTETIVNVSATIVSSAAASQKKEGGQAKIQPDKKKIDARKRSLKRL >OIW11046 pep chromosome:LupAngTanjil_v1.0:LG06:1584096:1593499:-1 gene:TanjilG_22853 transcript:OIW11046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEENGKRRSLDATPTWAVATVITLMVSLSFMFQITLEKFGKWLDRTKRKSMLSALEKVKEELMLFGLLSLLMGHWTIFVAKICVKESVLKTRFIPCAIEKNSGTVEHIFWLSSEYSNRTMLEENVNKGLHNYCPEGKESFASYESLEQLHSLLFVLGVTHVFYSFIAVALAMIKNHELPLTYDFHNYMLRSMDEEFRDIVGIRVISQVWGSFITFPLYVIITQMGSKMKKSVISENVRKSLSKWQGRVKNRQGSSLMHDYESFPSCEDYHHHNATMICIDNSEWMRNGDYSPSRFQAQADAVNLICGAKTQSNPENTVGILTMAGKGVRVLVTPTSDLGKILACMHGLEIGGEMNLAAGIQVAQLALKHRQNKKQQQRIIVFAGGPVKHEKKMLEMIGRKLKKNSVALDIINFGEEDEGKTEKLEGLLSAVNNNDTSHIVHVPAGPDALSDVLISTPIFTGDGEGGSGFAAAAAAAAAGGASGYEFGVDPNLDPELALALRVSMEEERARQEAAAKKAAEDAAKQEKGGENQASSQDATMTERASATTSEAENKTNDLMDDENALLEQALAMSMDDPVVSHDVKDTDMSEAASDDPELALDSTKDAASQSDMSKLLADESFVSSILASLPGVDPNDPSVKDLLASMQSQSESQQKNEDKPSSNEEEKK >OIW10843 pep chromosome:LupAngTanjil_v1.0:LG06:2600870:2602551:-1 gene:TanjilG_27789 transcript:OIW10843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKIAFGTFDDSFSVTSLKAYLAEFHATLIFVFAGVGSAIAYNEVTKDAALDPAGLVAVALAHAFALFVGVSIAANISGGHLNPAVTFGLAIGGNITLLTGLFYWIAQLLGSIVASLLLSFVTSKSVPTHGLSSGVNTFGGVVFEVIITFGLVYTVYATAADPKKGSLGTIAPIAIGFVVGANILAAGPFSGGSMNPARSFGPAVVSGNFAENWIYWVGPLIGGGLAGLIYGDIFIGSYAPASETYP >OIW10104 pep chromosome:LupAngTanjil_v1.0:LG06:9806684:9808220:1 gene:TanjilG_21941 transcript:OIW10104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSKSPQFTPIQEEHEFDEYSEDRSQSRATPISSCTEKSVTPIHKHPPTPIVNKNGNKSNHKKKRSESDAGSVNGEDLSVSCNKCRPYVRDKIFVFPFDHNNNGQSNKHLSLLASPNAIFRSIMSKLTRKSPMSNETVSREEQWKVAVAELSHKLVQATRKRDEAILEASRLMQSMAELEKKLNKLELYCHSLKSGLEECSNSTTSPYQKLQNNFHQDTVIQNFLVLVSEARSSMRLLSRSLTMQLRHMGGKVFEKVCLLLQPYDIRVSFSKNPRSLIFYLEALLSKTFFEDFESIGFQKSACSQILNPLERCEANFTSFNMLHGLTWEDVLSKGTRHFSEDFSRFCDRKMSQIVAMLGWNRAWPEPLLQAFFGASKSVWMVHLLANSVHPSLPIFRVDKGVKFDEVYMEDMGRDKSSKLVPSMVRIMVAPGFYVYGSAVKCKVLCRYLSNNSQSYINKEEKGLTPSP >OIW10743 pep chromosome:LupAngTanjil_v1.0:LG06:3533392:3534318:1 gene:TanjilG_27689 transcript:OIW10743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNKLENVIWDQKELEERFQMVVKEHKMMELLVTELEEEHDMAIAIIEKLETKCHYVTYSWNDYVGKAEDPCMPLVVALFAVVGMSLKSVVQFFSTIKNKPVSDAVALLSFNWFIPGTLTYPSLPRVAHMLAPVRHYCYTLWAKP >OIW10783 pep chromosome:LupAngTanjil_v1.0:LG06:3141008:3141424:1 gene:TanjilG_27729 transcript:OIW10783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAPPTKPISEEYSSSELLSSAKLVAEAAQASLRNESDKVDKVKVADAAGDLLEAAEKYAKLDEQKGVGQYVDKAADYLHQYSGGATTSPPKTTTAAAATATDPSESDPPKAESKSEESGGGAFGQFTKLAGNFLNK >OIW09013 pep chromosome:LupAngTanjil_v1.0:LG06:31761880:31762535:-1 gene:TanjilG_05989 transcript:OIW09013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKIPQLQSKVTQVASNHGGSYYKVLLEQNKHYIQDPPTIEKCQSLAKQLFYTRLASIPHRYKSLWKELDYAKNIVKNRQDINIDNAGLVALFGLECFAWFCGGEIVGRGFTLTGYYV >OIW10785 pep chromosome:LupAngTanjil_v1.0:LG06:3126824:3129442:1 gene:TanjilG_27731 transcript:OIW10785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVEMPQRTTHIEYIHFELVVYYIKSLLKQPRIVAATLGHCAALKIGALSHLPTSTSLLTVYSKAGYFNSSWYLFHEIHNRDVIAWNAIITASIENNYYRTAMDLFEKMIKSQYGFDSTTLLLMVSASLHIKNCDQGRAMHCLSIKSGMLVDVSLGNALTDMYAKCGDLSSSECVFEDMEYKDIVSWNSIVTGSLYNCDPERSQYYFKRMSFSEEAADEISLSCAISASLSLGDLAFGHSIHGLGIKLGYKNSSHVSVANSLISLYSQCGDIEAAESVFREIAHKDIVSWNATMEGFASNEMINEVFDLLLEMQMTGPFQPDTVTLTIILPLCAELMLSREGRTIHGFVIRRQMVSDHLPLLNSLIDMYSKCNLVEKARLLFNSATERDLVSWNAMISGYSQNKYSEEAQNLFRELLYSGPYCSSSTVFAILSSCNSVDSLHFGKSVHCWQLKSGFLSHILLVNSVMHMYINCGELRSGFSILYENSDLADIASWNTAIVGCVRGDHFQEALETFGLMRLQRSLNYDSITLVSVFSACANLELLNLGKSIHGLVIKSPLGSNTRVQNSIITMYDRCRDINSARVVFKFCSTPNLCSWNCMISALSHNKECREAFEHFCHLHFKPNEFTIVSVLSICTQIGVLRHGKQVHAQVFRSGFQDNSFISAALVDLYSNCGRLDTALQVFKHAEEKSESAWNSMIAAYGNHGNGEKAIKLFHEMIESGSRVTKSTFVSLLSACRHSGLIDQGLWYYDCMLDKYGVQPETEHQVYMVDMLGRSGRLDEAYEFTKGLQSNASSGVWGTLLSACNYHGDLKLGKQIAEHLFQVEPQNVGYYISLSNMYVAAGSWKDATDLRQFIQDKGLRKAAGYSLIDVC >OIW09116 pep chromosome:LupAngTanjil_v1.0:LG06:30105860:30106959:1 gene:TanjilG_11254 transcript:OIW09116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIMNSFINDIFEKLAHESSRLARYNKKPTITSREIQTAVRLVLPLPGELAKHAVSEGTKAVTNTSREKTSGGEKTTSGEEASESVSTDKKKKKSKKSIETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAHESSRLARYNKKPTITSREIQTAVRLVLPLPGELAKHAVSEGTKAVTKFTSS >OIW11079 pep chromosome:LupAngTanjil_v1.0:LG06:1789568:1790446:-1 gene:TanjilG_22886 transcript:OIW11079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSYIATEIHYHVRSISLPSRLQHPSSQKIEGELKRLKSWDASSLPSSSLQSEDMKAGLKGLAELYNSVHELIVCPLTQEALIHQNHHVEKPLDMSVQLLDVCGSARELLLLMKEQVLELQSALRRKGLDSSINSQLCGYICFRKKAKKDITKSIKVLKTMECSIKSYSHSLLDVDHHLLMVINVLKELSTITISFFQKLLNFMCGKNTRGWSMFSKMVSTNEMDGIDIALCSFHRCIRKNDAKVDVQIVKRRLGELDGSIIELELGLDCLFRCLIQHRVSLLNLLTSSMF >OIW09841 pep chromosome:LupAngTanjil_v1.0:LG06:13898101:13903042:-1 gene:TanjilG_20548 transcript:OIW09841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANNVGILAIDIYFPPTCIQQEALEAHDGASKGKYTIGLGQDCMAFCSEVEDVISMSLTVVTSLLEKYEIDPKQIGRLEVGSETVIDKSKSIKTFLMQIFEKHGNTDIEGVDSTNACYGGTAALFNCVNWVESSSWDGRYGLVVCTDSAVYAEGPARPTGGAAAIAMLIGPDAPIAFESKLRGSHMAHAYDFYKPNLASEYPVVDGRLSQTCYLMAVDTCYKHLSHKYEKLEGKPFTLNDAEYFVFHSPYNKLVQKSFARLVFNDYLKDPSSVDEIAKEKLGPFATLSGDESYQSRDLEKASQQVAKPLFDAKVQPTTLVPKQVGNMYTASLYAAFISLIHNKHSTLDGKRVILFSYGSGLTATMFSLKLHDGQGSFSLSNIAKVMDVAGKLKSRHEFPPEKFVEIMKLMEHRYGGKEFVTSKDTSLLPPGTYYLTEVDSLYRRFYEKKISESNLTPTENGVVANGH >OIW10271 pep chromosome:LupAngTanjil_v1.0:LG06:8294733:8297456:-1 gene:TanjilG_28022 transcript:OIW10271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTTRLFRTSNPIQLSPPPSSTSTSTFRRSVGYKSRSNLKEDHNMVNRKGERKAVMVVKASMAITHHITTSQPEVRQGLLDLAAFLKRGCFLVIESYKHYFHTLSHSLEQGHLVHLLIEAIDMFLVGTALLTFGVSLFVMFVGPRAAEKESLPYGSNLFGLFYMKTAPRWVGKKSIEEVKLKIGHAVMMILQVGVLEKLKDIPFVTGLDLACFAAAVFTSSASIFVLSRLHR >OIW10544 pep chromosome:LupAngTanjil_v1.0:LG06:5338029:5339435:-1 gene:TanjilG_15916 transcript:OIW10544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIGTSNIFKDQSLSVTKRLVPWTLCTLLLPITLLQLYLYFSPQIQLPPSSPITVTSHSSISLPPTSTTSSEKDVVHETPCDYSNGEWIQDPIGPLYNATTCNTIKESEKCIPNGRPDIDYLYWKWKPSQCHLPRFEPHTFLQLIKNKHVVFVGDSLARNQLESLLCMLATVSATNLIYGNSEDNKFRRWHFPSHNANFSLYWSPFLVQGVERGQNKGPSCNTMHLDHVNERWARDIDQMDLIVISFGHWFLLPSVYIEGGSVLGSLNCPELNHTEMDFYEPLRKVLRTSLNSIIERKVAKGSGIDVIVKTFSPDHFEGDWNKGGTCSKTRPYREEEKKLEGMDAEIRRIQIEEMENAKAKANEFGGFRLEALDVTKLALLRPDGHPGPYMNPFPFANGVQEYVQNDCVHWCLPGPVDTWNEILLEMMKGWKEKPRSKE >OIW09953 pep chromosome:LupAngTanjil_v1.0:LG06:11698785:11699816:1 gene:TanjilG_18260 transcript:OIW09953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLGEEPHPTVHVPHVPPWPFFDDDIFSSLSVNGDVSAVNNAGEYSPCYLHEALLALQRYLPSNDDGDGDSELDSPVDAFSGDYFRMFEFKIRKCARGRSHDWTECPYAHPGEKARRRDPRKFHYSGTACPDFRKGGCKKGDACEFAHGVFECWLHPARYRTQPCKDGVSCRRRVCFFAHMPEQLRVLPQQSPRSTDSYDGSPLRTKTLQLFPSTESNSPPAMNSPSESPPISPMTKSMFSSLRNLQLDKMKSLPSSWNIPIGSPRFGSPRGPVIRPGFCSLPSTPTTQPPVRAGFNCFEAWDSSCCEEEPVMERVESGRDIRAKMFEKLSKENSLAKLDLAP >OIW09829 pep chromosome:LupAngTanjil_v1.0:LG06:14011348:14015160:1 gene:TanjilG_32267 transcript:OIW09829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKKRTTLSSLNTHYVDVHEVLPEEDEERIIKMEEDKVIEMKRPCRSFNRQVSLETGFSVMNKENKAKNERKGLTRSGTSLGTGFGLEGRKGDFSMFKTKSSLSKQNSLLPRKIERELESHRSNDGFVGNDESVNTSVPVGRYFAALRGPELDEVKEFEDILLPKDETWPFLLRFPIGCFGICLGLSSQAVLWRALATSPATKFLHIPPAINFALWLLAVAVLITVSFTYILKCIFYFEAVKREYFHPVRVNFFFAPWVVCMFLAIGVPPKLAPHALHPAIWCTFMGPYFLLELKIYGQWLSGGKRRLCKVANPSSHLSVVGNFVGAILASKVGWEEPAKFLWGVGFAHYLVLFVTLYQRLPTSEALPKELHPVYSMFIAAPSAASIAWESIYGEFDGLSRTCYFIALFLYISLVVRINFFTGFRFSVAWWSYTFPMTTASVATIKYAEHVPCVISKCLALGLSFMSTTMVCVLFVSTFLHAFVWHTLFPNDLAIAITKKRHSKEKKPLKKAYDIKRWTKKALTKNNSVDKDSTQE >OIW10725 pep chromosome:LupAngTanjil_v1.0:LG06:3709906:3711774:-1 gene:TanjilG_27671 transcript:OIW10725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSFSISSPSHTSTSLPSNSLIHTLFSKPPFSSFNPKIKTLSPSPRCFIANNSSKISNFLSESPKPTITFQLPSSQAQGTATRGGADTDAMGLLLRERIVFLGSSIDDFVADSIISQLLLLDAQDPTQDIRLFINSTGGSLSGTMAIYDAVQLVRADVSTIALGIAASTASIILGGGTKGKRLAMPNTRIMIHQPLGGASGQAIDVEIQAKEVMHNKNNITSIISGFTGRSFEQVQKDIDRDKYMSPIEAVEYGIIDGVIDRDSIIPLMPVPERVKSTLNYEEISKDPMKFLTPEIPDDEIY >OIW09231 pep chromosome:LupAngTanjil_v1.0:LG06:29989740:29990597:-1 gene:TanjilG_26444 transcript:OIW09231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPSTVWVRGIQVETLAITVVFHVIWKGFDFSFNNLSGVVPSRLCDIPRLSYEDWEAGTKALLDKEFIIGGGSIGTVYRTGFEGGISIALKKLETLGRMRNQEELEHEIGRLGYIQHPNLVHFQGYYWSSSMQLILSEFVPNGNLYDKLHGIGYPGSSISRGNRELNWSRRFQIAFGTARAISVLWDRNVFDIIRMQLETLSI >OIW09431 pep chromosome:LupAngTanjil_v1.0:LG06:27713531:27713734:1 gene:TanjilG_10303 transcript:OIW09431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHIPKTKKVSVDGRGRGEGERMEEGVDTGVLKEDVDRGMVEEDVDRGVEEGVEEGVEREFRNEELGI >OIW10813 pep chromosome:LupAngTanjil_v1.0:LG06:2828884:2829798:1 gene:TanjilG_27759 transcript:OIW10813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPISAHGHSLPPPFHTTRDFNMHHQQQQHQHQFHALQHQTTEDSQSGSSGGGLNLKREREENKNNSEGNDLGGETEMSRRPRGRPAGSKNKPKPPIIITRDSANALKTHVMEVADGCDIVESVSNFARRRQRGVCIMSGTGTVTNVTLRQPASSGAVLTLHGRFEILSLAGSFLPPPAPPATSGLTIYLAGGQGQVVGGSVVGTLLASGPVVIISALFSNAAYERLPLEEDDPSSLPLQGGGGGGGSIGSPTAGGGLGGQQQHQQNQLLGDATTPQFHGLPPNLLNSIQMPSETFWNTSRSPY >OIW09448 pep chromosome:LupAngTanjil_v1.0:LG06:27454701:27454952:-1 gene:TanjilG_31167 transcript:OIW09448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVLILSLFLLMLLLLSTTTPSSIAEKARFDRFKGDSSSSSVVKSSEFHVGIQGTKADKHGGDQVFGAEKRKVYAGPNPLHNR >OIW10087 pep chromosome:LupAngTanjil_v1.0:LG06:9975224:9976811:-1 gene:TanjilG_21924 transcript:OIW10087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERGGDRGGFGRGFGDRGRGGRGDRGRGGRRRGPRREEEEKWVPVTKLGRLVKDGKIRSLEQIYLHSLPIKEHQIIDTLVGPSLKDEVMKIMPVQKQTRAGQRTRFKAFVVVGDNNGHVGLGVKCSKEVATAIRGAIILAKLSVIPVRRGYWGNKIGKPHTVPCKVTGKCGSVTVRMVPAPRGSGIVAARVPKKVLQFAGIDDVFTSSRGSTKTLGNFVKATFDCLLKTYGFLTPEFWKETRFSKSPFQEYTDLLARPTTKALILEEEKVPAEV >OIW09733 pep chromosome:LupAngTanjil_v1.0:LG06:18319922:18320583:-1 gene:TanjilG_09406 transcript:OIW09733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPSYCVKDEKPCVRWVQKYFKDCLCNTKDDISFGFGLISLVCWGVAEIPQIITNFGTKSSHGVSLAFLLTWIAGDIFNLMGCLLEPATVSESDPYTL >OIW10615 pep chromosome:LupAngTanjil_v1.0:LG06:4730710:4733496:1 gene:TanjilG_15987 transcript:OIW10615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGFSSLLRRLYLTAYNWTVFVGWVQVLYHVLKTLKETGHENVYSAAEKPLLFAQTAAVLEILHGLVGLVRSPVSATLPQIGSRLYLTWGILWSFPETQSHVLVSSLLISWSITEIIRYSFFGFKEAFGFAPSWLLWLRYSTFLLLYPTGISSEVGLIYTALPFIKASENYCIRMPNTWNFSFDYFYAAIVALGIYVPGSPHMYRYMLAQRKKALSKSKRE >OIW08897 pep chromosome:LupAngTanjil_v1.0:LG06:32741848:32745495:-1 gene:TanjilG_05872 transcript:OIW08897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSDSSSFNIPSIKFTKLFINGEFVDSLSGEEFETIDPRTEEVIAKISEGRKEDIDVAVKAARHAFDNGPWPRMPGVQRAKIMMKWADMIEENIEEISALEAIDAGKLYSWVKAVDIPLLANTIRYYAGAADKIHGQVLKASMEFHAYTLMEPIGVVGHIIPWNFPSTMFGAKVAPSLAAGCTMVLKPAEQTPLTALFYAHLAKQAGIPDGVLNVVPGFGSTAGAALSSHMDVDKISFTGSTDVGREVMVAAARSNLKPVSLELGGKSPILIFDDADVDKAAELALFGFLFNKGEICVAGTRVFVQEGIYDEFEKKLVEKAKAWVVGDPFDPKSQQGPQANKKQFEKILSYIEHGKREGATLLTGGKRVGDKGYYIEPTIFSNVKEDMLIVQDEIFGPVLALKKFKSIEEGIISANNSKYGLASGIVTKNIDIANTVSRSIRAGTVWINCYFAFGDDIPYGGYKMSGFGRDYGLDALHKYLQVKSVATPIYNSPWL >OIW11118 pep chromosome:LupAngTanjil_v1.0:LG06:497898:499060:-1 gene:TanjilG_22925 transcript:OIW11118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCDYTIIRASGKKIPLVIAGRRPGDAEIVYASIEKAGRELKWKAKYGIDEMCRDQWNWASKNPHGYGSQEDSTD >OIW08940 pep chromosome:LupAngTanjil_v1.0:LG06:32392291:32400147:1 gene:TanjilG_05916 transcript:OIW08940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKESLNSSEDWRRLPIFAFFKDARLVFKLDSLGREILTIALPAAMALTADPIASLVDTAFIGQIGPVELAAVGISIAIFNQVSRIAIFPLVSVTTSFVAEEDTLDRSSPQVDENVGLEEGLTKDAETKEFLPHEGTILQFILKGFGSSIVFIGGDVQKSDSIGESFNIVKEECKRRQIPSASSAIFLGGILGIIQAILLISAANPLLNFMGVTSDSPMLYSAQRYLKLRSLGAPAVLLSLAMQGVFRGFKDTKTPLYATVAGDATNIALDPIFIFVFRLGVSGAAIAHVISQYLISVILLWRLVQLVDLIPPSIKHLQFERFLKNGFLLLMRVIAVTFCVTLSASLAARLGPKPMAAFQVCLQIWLAVSLLADGLAVAGQAILAGAFANKDYDKATATAARVLQMGLVLGLALAFLLGTGLHFGAKLFTKDVDVLRLISIGVPFVAITQPLNALAFVFDGINFGASDFAYSAFSMVLVAIVSIICLLILSSTGGFIGIWVALSIYMGLRAFAGFLRTLSTSSLSSPSSSSVSETQNPNKSKRKKKKNLFEVAHFLPNWGIGYHMAKNHWDEVSYEITKINLYKDGKHGKAWGLAHKNGLPIADAPKKISGVHKRCWRYLPNLVKPSESSPSLTMSTESGPKVEALAS >OIW11168 pep chromosome:LupAngTanjil_v1.0:LG06:192916:193809:1 gene:TanjilG_22975 transcript:OIW11168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMATQASLFTPTLSKPSLPWKQPSTRSFTSPKPLNFTTSPKLTITAATADEKTEAPLAKKEAPVGFTPPELDPNTPSPIFGGSTGGLLRKAQVEEFYVITWDSPKEQIFEMPTGGAAIMREGPNLLKLARKEQCLALGTRLRSKYKIKYQFYRVFPNGEVQYLHPKDGVYPEKVNPGRQGVGQNFRSIGKNVSPIEVKFTGKQPYDV >OIW10181 pep chromosome:LupAngTanjil_v1.0:LG06:7386035:7386739:-1 gene:TanjilG_27932 transcript:OIW10181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYSSTRLTSEQELSVIVAALTNVVTGSSSTSLPTTSNSIPQFFSHHTSQSTGGTIFDQRIVPTVNLGTCSECNIAGCLGCNFFPEEKKKQKCIKKKYRGVRQRPWGKWAAEIRDPRRAARVWLGTFNTAEDAARAYDKAAIEFRGPRAKLNFPLIDDSLKAQGEKESENVMAAEDEVVVKDENLNKGKDKETFGNKESEFWDRIGEADFQQLMMMMDFAGDSSHSATGNTFSG >OIW09498 pep chromosome:LupAngTanjil_v1.0:LG06:24859667:24863335:-1 gene:TanjilG_14128 transcript:OIW09498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYIFGKSIPNYIIRPTSTSVFQPLSLCNNTGFDSGILITVDSVSGKFSSHLRNCPLHKPIAEAKGSIFWFFISFSTMETNWHKKMAMYLILLFFAFDGSSAWTGEIHGRVFCDVCADSSVGPEDHVLQGAEVAVLCTTKSGDVLNYQAFTDSKGIYSVAETMPESDRWDACLARPVGSFHEHCTRLGEGSLGVKFSYNRPSGYSHTVRTFVYRPANIPTYCI >OIW09212 pep chromosome:LupAngTanjil_v1.0:LG06:30881034:30882669:-1 gene:TanjilG_11350 transcript:OIW09212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVFKNNSGLLLLIIPHILLGNTLYVPCLRLVIWLMKKVTRRDEFSYLLNNSKEVGYDHLLPSSHHCWLLVVTVLGFNLIQFVMFCSMEWNSKNMEGLNIYQKVVASMFQVINSRHSGESVFDFSSISSAILVLFIVMIAYGNVGFTTGYSCIKQLKPEVKCKDSYIGFSGKWSSQGKFILIVVMFFGRLKKFNMNGGKAWHLS >OIW09189 pep chromosome:LupAngTanjil_v1.0:LG06:30732745:30735510:-1 gene:TanjilG_11327 transcript:OIW09189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFVFRGSRGDIESGFSEYVPERTTMRVHSARPHSNSLALLIIVLCLFMILNSPQATHYFLLWLVLAFFAMATSIRMYAVCQHLQAQARAHAAAATGLIGHTELRFHVPPSLAIATRGRLHGLRLQLALIDRDFDELGIMLLKSTFRHLPFDYDTLRSLDSNIASNIPSMTEEEINALPVHTYKVADPTKDVSAGIESSSAAEIKQDHEGSEENLHGPEDELTCTICLDQVNRGELIRSLPCLHQFHANCIDPWLRQQGTCPVCKFRMGLLGLQRNMDSESDGSGSDIV >OIW10150 pep chromosome:LupAngTanjil_v1.0:LG06:7103199:7107781:1 gene:TanjilG_27901 transcript:OIW10150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKNQAYKAMQRARVGGGAAGPDEVEDGMVDGSFHSPAWHAARLANLNTSHTVTWEEFKKKQKEEEMRKGELEADADRMMREYRAQLDAERARKLSQGRNHSGSKSKHGKDKRDRISKKHSSKKRKHSRRSSASSSSSSSYSSSSEEEERASRRSKSRSKRSKKDKKVRSRNKGAGTGSDDDGPVPLSRFFGSVKSS >OIW09200 pep chromosome:LupAngTanjil_v1.0:LG06:30804536:30809792:-1 gene:TanjilG_11338 transcript:OIW09200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSMLHRSFKPVKCKTALKLAVSRMKIFKNKKEGEVRKLRKELAQLLQSGQELTARIRVEHVIREEKLMAAYDLVEIYCELIVARLPIIESQKNCPIDLKEAISSVIFASPRLSDIPELVDVRKQITSKYGKEFVSAAIELRPDCGLVEKLSAKAPDGPTKMKILTAVAEENNIKWEPNPFGENDGKSSQDLLVAPNNFEKASYVEPSQVHVSPVHDEKAPPDLRASSQTKPMHDTSTNSYEYNASGATRKVGGNQSTSEMSGPEIRPSGTGSHEKDFRDSNSENRSAFSTGKQNWNMEFKDAASAAQAAAESAEHASMAARAAAELSNREYMTRQYSSGSHSSHVSKFGEAPEEYAFHGDEHISTGSVNNNTFHRRDSGAHNEQITAREQDNPVGAPREYYRTSHENMVKRAPSASLSSTGDEHISTGSVNNNTFRRRDSGERNEQNTAREQNNPVGAPSEYYRTSHENTGKRAPSSSLSSSGDEHISTGSVNNKTFHRRDSGARNEQITAKEQDSPVGAPSEYYRTSHENTVKHAPSASLSSSGDEHISVGSVNNNTFHRRDSGAHNEQITAREQDNPVGAPSEYYSTSHENTVKRAPSASLSSSGSFGDNPFAHGSQLADTYQRNDSFEQENSDLHEMDIKTQTSITGVNFMTGLHSDDDLNTENSYHIGDATPTIQSRKASSSHLISTSYDHNQNLNSYDQKMGNKAVEDIFDTDEKNTQTNTMEANSYNDTSVAFDDSGSEDDDYKLDFENKYKGEGSSLFFSSPGSKPQVDPLENTSSWRHGQYFDEKESSSIAQSHSSIVSEKLTTSAISSEKEDLEPVTFDDSEDAGSDSEVNLVSSMVSGTSDYRNSVIDQSANHEALESSSRNDKNVGSDRKTWLLPSSVGSDSVEEQFEKKVEINTASDKTFGFGDLRTKQSSQLPDTFKYTETLEESHTENDMGLNYGMLKGGFRNKAHKRPPYIKNTSNENSSSLSDISVQNEKSFPTVRTSISSDAPVQDKYTREVSTGNRSAGLRNTPSDFVSHDAVSNSQVTPTSTHDPRKQKEQSEAKKKSSSRAPITYFDSDSNSEDEIPKLSSASLTQPVSEMSRRKSTPSKSGTGLSSGNAPSYKASVTSGTRLGWNSSRVSYENDNQKASYMMKSSENLGSSQPGSAEHVASKPISEPNRSPHEETMKSSARVQPSSSFPKTAIPDSEEGKEASKSLTSDGDTTPSKQKVAHVHPKLPEYDSLAAHFLSLKKDRQ >OIW09574 pep chromosome:LupAngTanjil_v1.0:LG06:22684932:22698184:-1 gene:TanjilG_28173 transcript:OIW09574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLNIPANSDPDASSDRSGSFRVFEPSTSQDVGQPSSRREQNVTEAVRLDGRSINASSNSAGSRGESVSKWMAFATESEFDDSNSKMKFISGDKNITKDKNLRTNQNGKSSSDHKQVLTEASIAERTAEWGLVANLDSFKTSFDGDRIKKLSDRFVESTRTSGESSYGSESSFGVIPRVSQDLKEALETLQQTFVVSDATKPDCPIMYASTGFFSMTGYSPKEIIGRNCRFLQGPDTDNNEVAKIRDATRNGKTYCGRLLNYKKNGTPFWNLLTITPIKDDNGNTIKFIGMQVEVSKYTEGLNENALRPNGLPKSLIRYDARQKETALCSMTEVVQTVKDPKSIIRARTDDTATKNEEQEKPDFDLVSPKSVETGHTSLPGRQPSPLNIQGDISRVNSTQDRKSKSSRISGRTPSLSFKGKSLSSVRGEEEKPIVEPEVLMTKEIERSDSWERSQRERDIRQGIDLATTLERIEKNFVISDPRLPDNPIIFASDSFLELTEYTREEILGRNCRFLQGPETDMSTVDKIRDAIREQREITVQLINYTKTGKKFWNLFHLQPMRDQKGELQYFIGVQLDGSDHVEPLQNRLSERAELQSSKLVKATAVNVDEAVRELPDANLRPEDLWAIHSKPVFPLPHKRDNPSWVAIQKIAARDKKIGLHNFVPIRPLGCGDTGSVHLVELQGTGELYAMKAMEKSVMLNRNKVHRACIEREIISLLDHPFLPALYTSFETSTHVCLITDFCQGGELFALLDKQPMKIFNEESARFYAAEVVIGLEYLHCLGIIYRDLKPENILLQKDGHVVLTDFDLSFMTSSKPQIVKHPLPSNRRKSRSQPPPTFVAEPVSQSNSFVGTEEYIAPEIISGAGHTSAIDWWTFGILLYEMLYGRTPFRGKNRQKTFSNILHKDLTFPSSIPASLQARQLINALLQRDPGNRLGSTTGSNEIKQHPFFRGINWPLIRNMSPPPLDVPLKLIGKDPLAKDVKWEDDGVLVNKIDDIDIF >OIW09263 pep chromosome:LupAngTanjil_v1.0:LG06:28800617:28808873:-1 gene:TanjilG_01234 transcript:OIW09263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHQSHILSCTSTFPLHSNPLLVHSFYNVTLSSFSYTPPIRRRFIRTATPSRTTTNLRRLTSRIVQLTRRKQLRQILEEVEDAKRDYGKVNTIVMNAVMEACVRCSDIDLAIKIFDEMKSPHQGCGVDTVTYATLLKGLGEARRIDEAFQLLESVENGMATGSPKLSAGLIFGLLNALIDAGDLRRANGLLARYGFVLREDGNFSISVYNLLMKGYINSGFPQTAINMLNEILHEGIVPDRLTYNTLILACVKSGKLDTAMQFFEEMKGEAQKSINDDLVPDIVTYTTLLKGFGQAKDLTSVLKIVLEMKSCHELYIDRTAYTAIVDALLKCGAFMGALCIFGEILKLSGWRPELRPKPHLYLSMMRAFAFGGDYDLVKNLYKRIWPDSAGTILPVAQEEADHLLMEAALNAGQVDVAIKTLTGIISRWKGISWTTRGGLVAMRIEAMSGFSKSVFSPYLLPQVSPSEPVESFMIPFEATQPLQGTIKLEKVVTRFINDAVVPIVDEWGSCIGILHREDCNQLDASLSTMMRSPPPCVTISTSIGHVVDLMLEKRYPMVIIVKYTDSYATSYSSRAVGVFTSEQLNRLITPVSESKGTHISLHGR >OIW09751 pep chromosome:LupAngTanjil_v1.0:LG06:16898868:16899053:-1 gene:TanjilG_14274 transcript:OIW09751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRVLMQYLAMKTDPVMASLIDSDIKELKIAATKLFKDATMLGGIGFGTSFLKWIASFAAM >OIW10326 pep chromosome:LupAngTanjil_v1.0:LG06:8838089:8840691:1 gene:TanjilG_28077 transcript:OIW10326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTLRSSISFINQKETNFLKASDDASTTVSFAKNKPLFPFRAKSSMQEAQKSFNLEARRTEKGEMVHAPSVVHHKHNDDSKKVPVFVMLPLDTVTFGAQLNKPRAMNASLMALKSAGVEGVMVDAWWGLVEKDGPLKYNWNGYVELVQMVQMHGLKLQVVMSFHKCGGNVGDTCSIPLPPWVMEEINQNPDLVYTDRSGRRNPEYISLGCDSMPVLKGRTPLQVYADYMRSFRDRFKDYLGSVIVEIQVGMGPCGELRYPSYPESNGTWKFPGIGEFQCYDKYMIASLASAAEAAGKKEWGRSGPHDSGQYNQFPEETGFFKREGTWNSEYGQFFLKWYSNKLLLHGESLLASSKEIFHTSGVKLSGKVAGIHWHYRSRSHAAELTAGYYNTRSNDGYLRIAKMLARNGVVFNFTCMEMKDTEQPDNANCSPEGLVHQVKTATRIAGAELAGENALERYDRDAYAQVLLTSQSDSGNGLAAFTFLRLNKRLFDANNWRQLVEFVRSMSEGGRRQRLSDSDSQGSDLYVGHIKEIQKKIEQDIALV >OIW10040 pep chromosome:LupAngTanjil_v1.0:LG06:10521796:10523290:-1 gene:TanjilG_32780 transcript:OIW10040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEINELITELQGDDARDSVIPETRREILEGNYVNDVIASMKDEVHIFSPMNNETSEINKVSAAGKSHGIQQSSESNELLLNNSLYAEPKLSASTTFWSSVLQEFGVGNPYMNVKNPLINSWTSSGSPSNSSQSIAFSSGTTESINLQCPKVIPTRGVFALAPDHAVNSTSTVQFGPIASDYVQPSSSSWNQMCPFIGNASTRVSGLLGQNLFSHKRDRMSAFGSVSPTTRGMPQRFDPPSVIPSVPMELQLDYVQTWEGSISEILQNRAISFMEARAYKKVTTRDRSELIRNLGVDNVVPIPSLKSNWVVVTCL >OIW10931 pep chromosome:LupAngTanjil_v1.0:LG06:1898533:1902692:-1 gene:TanjilG_27877 transcript:OIW10931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKARVYTDINVLRPKEYWDYESLIVHWGDQDDYEVVRKVGRGKYSEVFEGINVNSNERCVIKILKPVKKKKIKREIKILQNLCGGPNIVKLLDIVRDQHSKTPSLIFEHVNSTDYRVLYPTLTDYDIRYYIYELLKALDYCHSQGIMHRDVKPPNIVIDHELRKLRLIDWGLAEFYHPGKEYNVRVASRCFKGPELLVDLQDYDYSLDMWSLGCMFAAMIFRKEPFFYGHDNQDQLVKIAKVTFMVVYTNLHTRKPWSKFINADNRHLASPEATDFLDKLLRYDHQDRLTAREAMAHPYFSQVREAESSRMRT >OIW09830 pep chromosome:LupAngTanjil_v1.0:LG06:14006093:14007694:1 gene:TanjilG_32268 transcript:OIW09830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEAQTWTTRRMSNPRLLDTTTTDQVLDIPPTPPGDVRNNNNGFGFGSGLSPTIITALIIASWYFSNIGVLLLNKYLLSYYGYKYPIFLTMLHMLSCFGYSHVAINVLEVVPAQHIQSKKQFLKIFALSIIFCFSVVCGNTSLRYIPVSFNQAIGATTPFFTAIFAYVITCKKETGEVYLALLPVVFGIVVASNSEPSFHLFGFLVCIGSTAGRALKSVVQGILLTSEGEKLHSMNLLLYMAPMAALILLPFTLYIEGNVLGTTIHKAKGDPYVVFLLLGNATVAYLVNLTNFLVTKHTSALTLQVLGNAKAAVAAVVSVLIFRNPVTAMGMMGFVITIMGVVLYSEARKRSKVTSH >OIW10966 pep chromosome:LupAngTanjil_v1.0:LG06:945733:949918:1 gene:TanjilG_22773 transcript:OIW10966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLLSGGAVGAVLGEVLKAAIETIKKGREFKPTLETNIDTLNSLAPLVQEMKHYNQQLDRPIEEIDRLEREIQAGEELAKKCSKFGLWKIFSFPYYQGKLKSKDESLKRHLSVNVQAQIARNLMEVMANVAKILEILNKNIGQCNGYQIRGISGAPVEPQCMGMDEPLKKLKVELLKDGVSVNVLTGLAGSGKSTLAKKLCWDPQIKGKFGGNIFFVTVSKTPNLKTIVQTLFEYCGCRVPDFLSDEDATNQLGMLLRHIGKNPILLVLDDVWPKSETLIEKFKFQMSDYKILVTSRVAFRRFGTPCQLEPVGHDDAVSLFHHFAQLNGSSSYIPDNKLVHEIVKGCKGSPLALEVIGGSLCKQPFEVWQNMKERLQIQSILDSSDTNLLYQLQQSLDILEDRFSINQKECFMDLGLFPEDRRIPVSALIDMWAELYNLDEDGVNAMTVVLDLTTKNLINLIVTRKVGKDADLYYNNHFVVLHDLLRELAIHQSNQEQFEERKRLIIDLNGDNRPAWWKQPNVAARILSISTDENFTSDWCDMQPDEAEALVLNLSSSQYTLPEFTQKMSKLKALILTNYGFHRSEIKKIELLAALSNLKRIRLEKVSVPSLCILKNLRKLSLHMCNTSQAFESCSIQNIMPKLVELSIDYCNDLVKLPDGICISSLKKLSITNCHKLYALPETQEFAKLKNLEVLRLSSCSDLQEMPEYVGGLHTLRCLDISDCISLDKLPKDIGDLHNLEKLYLKGCSGLNELPYSVMNFKHLKHELYVICDEERASLWEHFPTIPNLKIEMPKVDISLSWLHGVRSRSYTLYSDLNSC >OIW09191 pep chromosome:LupAngTanjil_v1.0:LG06:30743800:30745064:-1 gene:TanjilG_11329 transcript:OIW09191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATALAIASSSSIPNITTLTSSSCFNSNSSYLSLPTRSYPCLQTTPKKINFFHHPSTLITLKPRNNSLGTISPPSAAASAEDIDTAQQLVSTTGDGGVSTVVSALLFAAFIGLSILTIGVIYLGVTDFLQKREKDKFEKEEAANKGKKKKKKVVRARAGPRGFGQKFDQEEDDD >OIW10721 pep chromosome:LupAngTanjil_v1.0:LG06:3727374:3727616:-1 gene:TanjilG_27667 transcript:OIW10721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTKGWTMFDAHHQDSDHLIYGVCVKMCRVLVCECNLTQQSISKKRQETLLNIVKTTSTDPQTDQPDKTQIPMINPTMRS >OIW10305 pep chromosome:LupAngTanjil_v1.0:LG06:8567562:8569144:-1 gene:TanjilG_28056 transcript:OIW10305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSFAYHLDDLDFNPYTASTESNNSSHKRFNCEITQNDFPIDSPDNSFVTPARPTKQLKSMSTTWNVSNSSSSSKLISFERSNASSSVASQQYYNLHTKPKLESTGCSENLDFAYEDKINFQNYDKQANKANTATAMRNTTQAQDHVLAERKRREKLSQRFIALSAMVPGLKKMDKATVLGDAIKYLKQLQERVKILEEHAAEKTIESAVFVKRSILFADDDNSSSSDENPDMPIPEIEARVCGKEVLIRVHCDKHNGRSAAILNELEKYHLTIQSTSILPFGNNTLDITIVAQMNKEHSVKAKELIKCLRQSLKKLI >OIW09295 pep chromosome:LupAngTanjil_v1.0:LG06:29251827:29261403:-1 gene:TanjilG_01266 transcript:OIW09295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFRKFFYKKPPDGLLEISERVYVFDYCFTADVMEEDKHKGYIEGIIAQLHGHFPDASYMVFNMREGESPSHISNILSDYDMMVIDYPRQYEGCPLLTMEMIHHFLKSGENWVQLGRQNLILMHCERGGWAVLAFMLAAFLIYRKQFTGEQKTLDMIYKQAPRELLQLMSPLNPLPSQLRYLQYISRRNVGSEWPPLDRALTLDCVILRLVPKMDREGGCRPIFRIYGQDPFMAADRTPKVLFSTPKRSKLVRYYKQADCELVKIDIHCHVQGDVVLECISLDSDLERENMMFRVMFNTAFIRSNILMLNRDEIDILWNTKDNFPKNFRVEALFSDMEASSSVIPMGMPCIEEKEGLPVEAFAKVKEMFNNVDWLDSNTEVANVLQQITASNILLERLDSGISSPTSKLLKETLSGGFKFDLKTQNNMKNSPSAAHRRSITSFGSSSYAPMEKIEQFESKALPENYIRPLALQHIEPSGSKESLENDTNFPTYMVQGKQSIPLIEPSMDTTSSMEKRTKPSESKEMDIDSLEPKESLDNDTKFRTSLAQGKQSIPSTEPSTDANSMKEKIEPLESNEKEIESLDMKALSKNDGRSIFEPKTLSEIEDGLMVKKIEPLESKALPENNMKTLAPTDQEKQPIPLTGISTDSNSTEMQIESLESKESLEEITMFPVSVAQGKKPTPLNEPSLGEKGHLLELNKKEVESLGSTTLLENDRRLKFEPKVLPETEMKGPSSEVQEKLSMSLFESSEVTPVKKKIETLESKASPKNSKSLAPVEQEKQTIPSSGLSTHSNSTDMIEPFESNTLLENVTNFPVSVAQGKKSTTPFVEQSMDANSAKKKIGSLESKALLENDDMYSTSKDASLVKKKIGSLESKEKDTESLESKPSLEIDDMYSTSKDASLVKNKIGSLESKGKDTESLESKALLENDDMCSTSKDASLVKNKTWPLEPNEKDTESLESKALLESDVNHSTSISQRKQSIPVVEPSMDVNSVRKLSGELESEMLSENDIKSLASTVQRKQDSPSLDPLSVDTANSINKKIEPQKLQVAVELPAQSKIISPQVRQVTISAPVSYSNNSYHSAPLALGLTTVLQDHAPIGNIKHVTQELMISTPSSILPPSDSKVPKSVEPSSTSVPPASSSPALPPSKVDALSAKQKTSQSFVPPPPPLPQHEPSSKLMQPIVINAMHDKGKKLLVTPPPPPPPLPATSLSTVKDSFKGPPPPPPPPPLSTNKSAASTGKTSPSSIPPPPPAPRHCSTTSAPTLTALAPPPPPPPLSKNSDVPSKPVPPPPSPPTTKSDAALLQPHAPPVPGPPGVPFGAKGRGLFRANPKGPSQTKRSNLKPYHWLKLTRAVHGSLWAETQKLEEACRAPEFDMSELESLFSAAAPNSDHGKEGNSNRRNSRQKVDKVQLIELRRAYNCEIMLTKVKIPLPDLMSAVLAMDDSVLYADQVENLIKFCPTKEEMEQLKGYTGDKDNLGKCEQFFLEMMKVPRVENKLRVFSFKMQFCLQVKDLRRDLNIVNSASEEIRNSVKLKRIMQTILSLGNALNHGTARGSAIGFRLDSLLKLTDTRARNNKMTLMHYLCKVLAEKLPELLDFPKDLLHLEGSTKIQLKYLAEEMQAISKGLEKVVQELTASENDGPVSECFCQILKEFLSDAESEVRSLAQLYANVGRNADALALYFGEDPARVPFEQVVSTLLNFVKMFIRAHDENCKQIEYEKKRAEKEAEKLAGKKDSEHMKRSIKIGNSK >OIW09770 pep chromosome:LupAngTanjil_v1.0:LG06:15775428:15780266:-1 gene:TanjilG_06161 transcript:OIW09770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTVLLHLFCVVVLPLLSVSLVQAEDAYKYYTWTVTYGTRSPLGSTQQVILINGEFPGPQLDLVTNDNVILNLINKLDEPFLLTWNGIKQRKNSWQDGVLGTNCPILPNSNYTYKFQAKDQIGTFTYFPSTQLHKAAGGFGGINVYHRSVISVPYPYPDGDFTLLIGDWYKKSYTTLQESLDSGKSLAFPDGLLINGQAHTTVNGEQGKTYMFRISNVGLSTSINFRIQGHTLKLVEVEGSHTVQNIYNSLDVHVGQSVSVLVTLNNLPKDYYIVASTRFSKKVLTATAVLHYANSHSPASGPLPSPPTYQYHWSMRQARSFRWNLTANAARPNPQGSFHYGKIIPTKTILLANSAPLVNGKLRYAVNKVSYVNSDTPLKLADYFNIPGIFSVDSIQSFPSNGPVYVATSVVPTSLHDFIEVIFQNNENTMQSWHLDGYDFWVVGYGFGQWTPAKRGTYNLVDALTRHTTQVYPNSWTTILVSLDNQGMWNLRSAIWERQYLGQQLYLRVWNAQHSLANEYDIPTNVLLCEMSTISHLCLGDKKRIWIVNRWVDLAILHIQLGIVLGVTSVAFPSSSTTCAREQLAKSNNSSSSWS >OIW10262 pep chromosome:LupAngTanjil_v1.0:LG06:8248242:8249945:1 gene:TanjilG_28013 transcript:OIW10262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYKRCLEVNEFGDLSLNKAKRLESNNDLDALNVNLVVKNVVSGGNEGSFYNIQWYDAIQVGTALHAPPAVDSNVQISGHFSCFSEDDAGSGATSLSSASSDCLEFEIPQKSHPALDDAYSASDCSLRKPVPVGPNHQAVIPAWSGKLKKISNLCIYNDYNPSSGLVSVRPAHDNEERLMGTCVLPMPDSSLDYSAKGNKGEEGRSECNCLDQGAVRCVRHHVREARENMKRSLGMENFVNLGFCDMGEDVALKWSEEEGEFFHEVIYSNPASVGRNFWKHLSAAFPARTNKEIVSYYFNVFILRRRAAQNRSRFLDIDSDDDECHTSNPQFYSYENSEDDSGLESLGHSQNQDYSDADDDDGNSDDDDEFARYNMGNINKEEGGINQRSSESKSNSQIESWSYPIQHLDGSQGICKDNLGAKDNSCTSFERDADMDISCSHGLVDASSALQGREFKCDQSPCMPSKHDLSSHEMDDVYLLEPGNAKDWYPGYSTCLDTNVDFLPTFNLIEEFFGLGTPDRKTRSD >OIW09359 pep chromosome:LupAngTanjil_v1.0:LG06:29743910:29748272:-1 gene:TanjilG_01330 transcript:OIW09359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFGQDHPRRLIHSFKVGVALVLISTLHHFRPSFYAFGDNIMWAVLTVVVVLEFSVGATLGKGLNRMLATFLAGGLGVGTHCLANLSGKKGEAVLTPIFIFLIAGTVTLMRFSPRFKVRYDYGLIIFILTFCLVSLSDEKESEMLEIAHERLLTIIIGSCIAVMVCICICPVWAGEDLHNEIAGNMEKLADFFEGFSDEYFNNSDTTHVVQDKSFMHRYKSVLSSKSSEETMAVLARWEPCHGKFKFHHPWKQYLKIGNQTRVCAYKIEALSAYLLHSKQNPHEVQSMIQESCTIISSECGKTLKESSLGVRHMTKSSMPNQHVANAKNAVESLKSVLRTNPWEGADYVDIIAVAAVASLLIDVVDCIEKICEAIEELASLARFVPSQLLHQGTVQPISKRDDSIHVIIIDEITPRLYE >OIW09774 pep chromosome:LupAngTanjil_v1.0:LG06:15715122:15715613:1 gene:TanjilG_32212 transcript:OIW09774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKNQKVNLDDDQIAELREIFRSFDRNNDGSLTQLELSSLLRSLGLKPSPEQLEIFIQKADRNNNGLVEFSEFVALVAPELLPAKSPYTEEQLRELFRMFDRDGNGYITAAELAHSMAKLGHALTAEELTGMIKEADTDGDGRISFQEFSQAITSAAFDNSWA >OIW09393 pep chromosome:LupAngTanjil_v1.0:LG06:28412684:28421958:1 gene:TanjilG_20990 transcript:OIW09393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLLIFFSVSLFLFSRSQSAVFTVDLGSESLKVAVVNLKPGQIPISIAINEMSKRKSPALVSFNSGDRLLAEEAAGLVARYPQNVFSHIRDLIGKPYDFATRFLDSMYLPFEVKQDSRGAVSFKVDSENGTVYYSPEELVAMVLSYAVNLAEFHSKVPIKDAVLTVPPYFGQSERKGIIQAAKLAGINVLSLINEHSGAALQYGIDKDFWNETRHVVFYDMGAGTTYAALVYFSAYQSKEHGKPVWINQFQVKDVRWNPELGGQNMELRLVEYFADEFNAQVGNGIDIRKFPKAMAKLKKQVKRTKEILSANTAAPISVESLHGDIDFRSTITREKFEELCEDIWEKSLLPVKEVIENSGLSVDQIYAVELIGGATRVPKLQAKLQEFIGRKELDRHLDADEAIVLGASLHAANISDGIKLNRKLGMVDGSLYGFVYELNGPDIVKDESSKQLLVPRMKKLPSKMFRSINHNKDFEVSLAYESENQLPPGVASPQIAQYQISGLTNASEKYSSRNLSSPIKANIHFSLSRSGVLSLDRADAVVETMEWVEVPKKNLTIENSIISSNSSNEAGATNSSEESNENLQTDSGTSDTPNNSTEEQAATEPLTERKLKKRTFRVPLKIVEKITGPGVSLSNEFLAEAKGKLEALDKKDAERKRTAELKNDLEGYIYTTKEKIETLEEFEKVSTAEERQSFVEKLDQVQDWLYMDGEDANADEFQERLDTLKAVGDPIFFRLKELTARPVAVELTHKYLDELKQIVEEWKANKSWIPKERVDEVVDDAKKLKGWLDEKETEQKKTSEFSTPVFTSEEVYLKVFDLKNKVASCNRIPKPKPKVQKPTKNETKSSEQKTDNSSSSSNDSSSPSDQSTNDSNSSSDETVDKQPETRDEL >OIW09617 pep chromosome:LupAngTanjil_v1.0:LG06:22125378:22128681:1 gene:TanjilG_28216 transcript:OIW09617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSGNDPIELAQIPEGLNDRELLIKSGTPIRLLQDYASDDEISDNNNSVDANVLTLIPAEAPVTVFSVARKQNDSLETDIGSKNVTEQGGERKTTSKLLEPKNNREVDEFGRYRREGPADSDSDDDSRYSRTGRLNRRDRSSSHSRSPRHRRSRRRSPLRRRGRRSRSRSWSPRRRRSRSKSPILRRSGDFGGVNVKRDNGQQCFDFSRGRCYRGASCRYIHHETNRNSSSRRFTNKHDLEVHSHEKNLGINEGLKNVYSNVSDYEHDGVRSQDVNLCQNVTGQEVEHGKEDSVRHAVVCTTSGLDSQLVNNDPNNFVKNFRKAAPDVQETLVDREEHKTLVHDNDSSQKAVDSHQPYLVDGFQPGGTYEDVIPSGDGSFVPQLQSNVSVGVPEHSAQSSQHISVSFISNSSFDKKPMTSATASMVSSSEPVQYISPSTQQQSIQPHSSSSAEFPMLPPPPTHPLPPPPPPLPLPLPPPPPPPVVSDSQGEKIMHVPQIPREYGVMQQNAFFPFQFTTRGKFEHYPAPLHSQNFQFHLPPNRTSLPLPPPPPLAVNNSSFASGVAEPYVSVKFNQNQFHSTNFFSQTSVTHGLPSHPESSGFENQAYTSMQDHSRTFMLREASSPKHLPQDNPESQSLSGSHLIRDDPYKQLSMQDSSSQQQQSIYNFPYSASENNLSVPAENLAVSRFPPDALDSNHSTSLHAFGGSQISAHYNPYASTFEQPPSSKFLSNISRQENDIIHSNNYGSYVLNHTPVIREGVDTGTGSRKYASSPKSARVDGRLLPKPGSNLYDPLFDCIEASSSSLKKLNGDQKQEVTGESNSPRPKSSSMSLDTEEKNKHEDVGAVASTSSQSNDEYGETADAEVGAVENESLSDHVDIANMTPGDVEINQVKSPGKRKKSNDSRSMKLFKISIANFVKDVLKPSWRQGNMSKVAFKTIVKKTVDKVSGAMKGHRVPKSQAKISHYIDSSQRKLTKLVMYDGRNKV >OIW10410 pep chromosome:LupAngTanjil_v1.0:LG06:6599908:6610470:-1 gene:TanjilG_05558 transcript:OIW10410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSWFGSSSPASINMIVTNTPSQDLALTNSAFCSPSDLPNFSVPVSAAESIPVTRFLPPDHFNLAMLTLELDFIKRGNRSEQIDAVQLANQLRKRFINQVMTAGQKVLFEYHGNNYNFTVSQAAVEGQEKSNSSERGMVSGDTYVVFETARDSGIKIVNQREAATSNIFKQKEFNLESLGIGGLSAEFADIFRRAFASRVFPPHVTSKLGIKHVKGMLLYGPPGTGKTLMARQIGKILNGKEPKIVNGPEVLSKFVGETEKNVRDLFADAEQEQRTRGDESDLHVIIFDEIDAICKSRGSTRDGTGVHDSIVNQLLTKIDGVESLNNVLLIGMTNRKDMLDEALLRPGRLEVQVEISLPDENGRLQILQIHTNKMKENSFLAPDVNLQELAARTKNYSGAELEGVVKSAVSYALNRQLSLEDLTKPVEEENIKVTMEDFLNAVHEVVPAFGASTDDLERCRLHGMVDCGDRHKHIYQRAMLLGEQVKVSKGSPLVTCLLEGSRGSGKTALAATVGIDSDFPYVKIVSAETMIGLHESTKCAQIIKVFEDAYRSPLSVIVLDDIERLLEYVAIGPRFSNIISQTLLVLLKRLPPKGKKLMVIGTTSELDFLESIGFCDTFSVTYHVPTLNTNDAKKVLEQLNVFADEDIDTAAEVLKDMPIRKLYMLIEMAAQGEQGGSAEAIYSGKEKIQISHFFDCLQDVVRI >OIW09878 pep chromosome:LupAngTanjil_v1.0:LG06:12922826:12926916:1 gene:TanjilG_24533 transcript:OIW09878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTHVSMAVCSYDALYQGAEVVRMYKTLLGSEGFRKGMDLYFKRHDGQAVTCEDFYAAMRDANNADFANFLLWYSQAGTPIVNVKTSYNPEAHTFSLKFSQEIPPTPGQSVKEPVFIPVAVGLLDSTGKDIPLSAFYHDGILESVSSKDLSVFTTILRVTKKEEEFVFTDIFERPVPSLLRGYSAPIRLESDITDDDLFFLLANDSDEFNRWEAGQILARKLMLNLVDDFQQDKPLVLNPSFVDGFKRILSNSSLDKEFVAKAITLPGEGEIMDLMEVADPDAVHAVRTFIRKQLASELKAEFLGIVKNNRSSEEYVFNHQNLGRRALKNVAIAYLASLEDEEFTNLALGEYKAATNLTEQFAALAAIAQNPGKARDDVLADFYGKWQDDFLVVNKWLSLQAVSDIPGNLDNVRKLLNHPAFDLRNPNKVYSLIGGFCGSLVNFHAKDGSGYQFLGEIVLQLDKINPQVASRIVSSFSRWKRYDENRQKLAKAQLEKIVSSNGLSENVFEIASKSLAA >OIW09082 pep chromosome:LupAngTanjil_v1.0:LG06:31408243:31410091:-1 gene:TanjilG_16309 transcript:OIW09082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSISESYRNHPVHVHHKHPDLYSLQELPESYTWTNLNDHTYPSCNNSEGSSSLSVPVIDLNDSNASKLIGHACKTLGVFQIVNHGVPLSLLDDIEWAGRNLFSLPSHQKLKASRSPDGVSGYGLARISSFFPKLMWSEGFTIVGSPLDHFCQLWPHDYTKYCDIVMQYNETMKKLAGKLMLLMLDSLGITEEDLNWAGSKGQFNEACAALQLNSYPSCPDPDRAMGLAPHTDSTLFTILYQNNISGLQVKQDGVGGGWVTVPPLPTGLVINVGDLFHILSNGLYSSVLHRVIVNRTRQRFSVAYLYGPPANVEICPHEKLVGPTRPALYRAVTWSEYLGTKAKHFNKALSYVQLCAPSSTNGGLFDVNESHKSSVQVG >OIW09508 pep chromosome:LupAngTanjil_v1.0:LG06:24146862:24147742:-1 gene:TanjilG_11630 transcript:OIW09508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIPQEKGRPLPKFGEWDVNDPASAEGFTVIFNKARDEKKTGGSESPGKAAADPHSRPVVDPGKSQSKQPLTCEGKTAYIYPPHTPLSGSLVRWVTHRKKGGKIIC >OIW10161 pep chromosome:LupAngTanjil_v1.0:LG06:7203519:7206088:-1 gene:TanjilG_27912 transcript:OIW10161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTRRKKNEASIAAEDVIQDTEHERELDTSGYEQMREQRIRENKERMHKLGLLGLSLELKKKNEKSQTEKKRKITIDASSLPPQRRSSRLSTLEPVNYLDFFGKAKRESSSKEKKEVKIYIPEGKAPEVYTEEQEKLLGDCETVWELFVDGYDEDGERIYDPIKGETCHQCRQKTLGQHTGCNNCELLHGQLCGDCLYMRYGENVMEANGNPKWTCPVCREICNCSRCRRANGWMPTGNIYRKVLKMGFKSVAHYLIQTYRSEKSMEGSDAENTVAVKESETSADTTVNRRRRRRGLRS >OIW09884 pep chromosome:LupAngTanjil_v1.0:LG06:11931721:11939634:1 gene:TanjilG_32033 transcript:OIW09884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENNESPTLGKRKEPEPESKPQSEPAPESKPPTNPKNSRTCVHEVAVPSGYHSNKDEAIHGTLSSPLFNGSMAKSYPFTLDPFQQVSVACLERNESVLVSAHTSAGKTVVAEYAIAMSFRDKQRVIYTSPLKALSNQKYRELSGEFNDVGLMTGDVTIAPNATCLVMTTEILRGMLYRGSEVLKEVAWVIFDEIHYMKDRERGVVWEESIIFLPPAIKMVFLSATMSNATEFAEWICNIHKQPCHVVYTDFRPTPLQHYAFAMGGSGLYLVVDENEQFREDNFMKMEDTFVKKKLEGNKGARSNGRGGKGGSGGGGSDIYKIVKMIMERKFQPVIIFSFSRRECEQHAMSMSKLDFNTQEEKDTVEHIFHSAILCVNEEDRSLPAIELMLPLLQRGIAVHHSGLLPVIKELVEILFQEGLVKALFATETMSGRAGRRGKDERGICIIMVDEQMEMNNLKDMVLGKPAPLVSTFRLSYYSILNLMSRAEGQFTAEHTLPDMGQRVSKLEQEVALLDASGEAEVSEYHKLELEIAQLEKKMMEQIMRPENVLLYLLPGRLIRIREGGTDWGWGVVVNVVKKPSGGGYIVDTLLHCSPGSNGNNTRPKPCPPRPGEKGEMHVVPVQLALISSLSKLKVFIPSDLRPLEARQNILLAVQELGNRFPQGFPKLNPVKDMKIKDPEIVEFVNQIEELEQKLFSHPMHKHQDVDQIKCFQRKAEVSHEIQQLKAKMRDSQLQKFREELKNRSRVLKKLGHIDADGIVQLKGRAACLIDTGDELLVTELMFNGTFNELDHHQVAALASCFIPGDRSSESQIQLRTELARPLQQLQDSARRIAEIQHECKLEINVDEYVESTVRPFLMDVIYSWSKGASFGDVIQMTDIFEGSIIRSARRLDEFLNQLRAAADAVGEVDLEKKFAAASESLRRGIMFANSLYL >OIW11012 pep chromosome:LupAngTanjil_v1.0:LG06:1308116:1310903:1 gene:TanjilG_22819 transcript:OIW11012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLGRAFYTVGFWIRETGQAIDRFGSRLQGNYFFQEQLSRHRPLMNLFDKGPYVHKDAFVAPSASIIGDVHIAQSSSIWYGCVIRGDVKSISIGSGTNIQDNSLVHVAKSNLSGKVLPTIIGDNVTVGHSAVLQGCTIEDEAFIGMGATLLDGAYVEKHAMVAAGALVRQNTRIPYGEVWGGSPARFLRKLTENEMAFFSQSAINYSNLAQAHAAENAKKLDGTEFEKVLRRKFAPRDGEFSPLGIAQETQSELNLPDNVVLDKAPKA >OIW10626 pep chromosome:LupAngTanjil_v1.0:LG06:4651764:4652003:-1 gene:TanjilG_15998 transcript:OIW10626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCPKMRDAYMVSDPVFLDKDAVLCTAMNVGYARYGLDGAALEVYGVMIYWRIQPNECTLDSILISCVNLRGFSYSVDS >OIW11099 pep chromosome:LupAngTanjil_v1.0:LG06:635683:639301:-1 gene:TanjilG_22906 transcript:OIW11099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSSSAGYSYTDHVTPTHHLANQIQGFVSDSDIFNLTTNMEMIGFHKNQLHQQQQQQCDSTNMWETNNFFSKLGPSTSTNTMNESSTAFYEYSSNNNNNNKPDFISGISETTSNDNLIIGTTTHEDSAPWQDNTHNRLLVDDSSFRCVFPCEGNERPSQGLSLSLSSTNPSNIGLQSFELRQTAQHHHRNQPDLGFFGKSQNVQLHHQQQILQDGYLSAKAASVYQGHYFIKNSKYLVPAQELLNEFCNLGTKQSDMVIKHKFSKNKQREEDNNIDIGSSKKPTVTSLEFVELQKRKTKLILMLEEVGRRYKHYRNQMKAVVSSFEEVAGNGAATVYSALALKAMSRHFKCLKDEILGQIEATGKSMGEKDSVAVAPGATRGETPRLKVIDQTLRQQRAFQQMTMMETHPWRPQRGLPQRSVSLLRAWLFEHFLHPYPSDIDKHILARQTGLSRSQVSNWFINARVRLWKPMVEEMYIEEVKEHENDMANSLEGDTDPDIEDNVNPNNVQNQLHPSSIIEEQKPTLRIDTECVSSIINNHNKNDPTKNMTKSLYYNQHHMNPGQDHLRIFSPNSVDTFGSMELDFSSYNHHHSSTMVPYTTSNDQNANLGFSNDGGRSGVSLTLGLQQHGESGVSLAFPSTTQHNSLFYTRDPNEDCQTVEYSFLDGEGQNLQYRNLMGAQLLHDLAG >OIW11040 pep chromosome:LupAngTanjil_v1.0:LG06:1547720:1551052:-1 gene:TanjilG_22847 transcript:OIW11040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASSTLSHLSLSFSSSSFIHKPKFLYPITNNYYPNSVSLSTTTRICASSSSSSSQLMDPFLSKTQPPIPTTVDVDLGDRSYPIYIGSGLLNQPHLLQRHVHGKRVLVVTNNTVAPLYLDKVVEALTKGNQNVSVESVILPDGEQYKNMDTLMKVFDKAIESRLDRRSTFVALGGGVIGDMCGYAAASFLRGVNFIQIPTTVMAQVDSSVGGKTGINHHLGKNLIGAFYQPQCVLIDTDTLNTLPERELASGFAEVIKYGLIRDAEFFEWQEKNMQALLARDPNALAYAIKRSCENKAEVVSLDEKESGLRATLNLGHTFGHAIETGVGYGQWLHGEAVAAGTVMAVDMSYRLGWIDDSIVKRVGDILKKAKLPTTPPETVTMDMFKSVMAVDKKVADGLLRLILLKGPLGNCVFTGDYDRKALDDTLRAFCKS >OIW10820 pep chromosome:LupAngTanjil_v1.0:LG06:2778998:2780169:1 gene:TanjilG_27766 transcript:OIW10820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRCSMSISLVMAWVIMVSISVVNLAEGQALPPCAEQISQCLDYINTTITPSNTCCNPLKNIYATQKTCLCQFVFTPGILESLGVTETQAFKLGHSCGVEISNTICKATRGADEGGAGRVKITVLSFALLFWTAILFNWDGCIL >OIW09426 pep chromosome:LupAngTanjil_v1.0:LG06:28168333:28171925:1 gene:TanjilG_14577 transcript:OIW09426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIPNLTHYSGEGAKNAKSVPQRFLSATFADLPAPDLHWEKMPSAPVPRLDGYAIQINNLFYVFAGYGSLDYVHSHVDIYNFSSNTWVERVDMPKDMAHSHLGIATDGRYIYVVSGQYGPQCRGPIARVFVLDTETKNWNSLPPLPSPRYAPATQLWRGRLHVMGGSKENRHTPGLDHWSLAVKDGEALEKQWRTEIPIPRGGPHRACIVVNDQLLVIGGQEGDFMAKPGSPIFKCSRRLEVVYDDVYMLDDEMKWKVLPPMPKPNSHIECAWVIVNNSIIIIGGTTEKHPITKRMMLVGEVFQFNLDSMTWSVIGKLPYRVKTTLAGFWDGWLYFTSGQRDRGPDNPQPRKVIADMWRTKLSLQ >OIW09329 pep chromosome:LupAngTanjil_v1.0:LG06:29556271:29556447:-1 gene:TanjilG_01300 transcript:OIW09329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIIKSCFSFIAGTACGVYLAQNYKVPNVSKLVDTALFMASIMEESYRKPKKKGEDDD >OIW09891 pep chromosome:LupAngTanjil_v1.0:LG06:11987238:11988568:-1 gene:TanjilG_32040 transcript:OIW09891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDQTHVSTRVMGTYGYAAPEYVMTGHLTARSDVYGFGVVLLEMLIGRRALDKSRPSREHNLVEWARPLLNNKKVVGILDPKIDGQYSSKTAIRVAHLAYQCLSQNPKGRPLMSQVVEFLENHQPKGEFEEDQLLRSGSSSVTIYEVPKGTDDTTTEGEKQK >OIW11053 pep chromosome:LupAngTanjil_v1.0:LG06:1638209:1638529:1 gene:TanjilG_22860 transcript:OIW11053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIKKYNKLPQGVALKQILRRCSSFGKKQSYNSIEEGLPDDVPKGHFAVYVGEDRIRYIIPISWLAHPQFQGLLRRAEDEFGFNHDMRLTLPCNEIVFQSLISMIR >OIW10228 pep chromosome:LupAngTanjil_v1.0:LG06:7893163:7901376:-1 gene:TanjilG_27979 transcript:OIW10228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHHVSFVDAEDMNLTWKQQAGRAPVFKEEEEDLEIKLRHIIDVCVSSNTLGSSTGSGSGDFHQHWFVDLFNYYVNFDDQELFSMELQLDTKVSEASVSRNLVLSVFLQEALGMKGGHHSGTLLAEINESSRLFILPNLKNSESPEHLVGLSDDDEIGNLGDSKVIRVVQHRFFFDLRSNNRGHFLRIYEVAGSDRSSIILPLSGLELFNEVVGHFVEITEDRIEGMPINNVRTIVLPLML >OIW09758 pep chromosome:LupAngTanjil_v1.0:LG06:15833971:15838630:-1 gene:TanjilG_18673 transcript:OIW09758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFREDNAENRELQKPFLHTGSWYKLGTRQSSMMSSTQVIRDGSVSILFCVLIAALGPIQFGFTCGYSSPTQQALISDLNLTISEFSLFGSLSNVGAMVGAIASGQIAEYIGRKGSLMIASIPNIIGWLAISFAKDSSFLFMGRLLEGFGVGIISYVVPVYIAEIAPQNMRGTLGSVNQLSVTIGIMIAYLLGLFVNWRVLAILGILPCTILIPGLFFIPESPRWLAKMGMTEEFETSLQVLRGFDTDISVEVHEIKRSVASTGKRATIRFADLKRKRYWFPLMVGIGLLILQQLSGANGVLFYSSTIFANAGISSSNIATFGLGAIQVLATGISTWLMDKSGRRVLLIISSSIMTVSLLLTSIAFYLEGIVPKDSALSNILALLSVVGVVVMVVGFSLGLGPIPWIIMSEILPVNIKSLAGSTATMANWLTSWVITMTANLLLTWSSGGTFLIYTVVAAFTVAFVALWVPETKGRTLEEIQFSFR >OIW09480 pep chromosome:LupAngTanjil_v1.0:LG06:25675238:25685113:-1 gene:TanjilG_23059 transcript:OIW09480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGVISDDEDEVELDEEEREPVDGDGLDEGRDVDDDEDEEEEGQDEYENDGFIVDGDEEEQDEEERAESDEERHKRRKKKRKEEYILDEDDYELLEDNNINIHRRKDIKKFKRLKKGQRDTEEEPSGLSDEEDFVGNGKVGRTAEEKLKHRLFGDEEALLEDIVEEEEQGEEEEDADIGEDDEMADFIVDEEEIDENGAAMRRRKLKRKKTRQAPGVSSSAVQEAQDLFGDVEELLQTRKKNLELHEYRETRLEDEFEPIIISEKYMTEKDDRIREVDLPERMQISEESTGTPPLDGSIDEESQWIVKQLSDGAVPWICKKIPTPQNNRKDLPIDENDIIRFLELYHVQKLDIPFIAMYRKEECLSLLKDLERHEAGDENSEKNNMTPALKWHKVFWALQDLDRKWLLLQKRKNALESHYSKRFEEESRRIYDETRLNLNQKLFESVMTSLKEAESEREVDDVDSKFNLHFPPGEAGADEGQYKRPKRKSIYSTYSKAGLWEVANRFGCSSEQLGICLSEVKVPELVDPKETPEEMASNFTCAMYSTPEEVLKSARHMAATEISCEPSIRKHVRSHFLDNALVSTCPTASGNVAIDSFHDFAGVKWLREKPLSKFDDAQWLLIQKAEEEKLLQVSIKLPEENLNKLIDQFNEYYVSDSVSRSAQLWNEQRKSILHDAIFRLLLPSMEKEARGVLASKAKNWLLMEYGKALWNKVSVGPYQQKENALNSDDEAAPRVMACCWGPGKPQTTFVMLDSSGEVLDVLYTGSLTLRSQNASDQQRKKNDQERVLKFMTDHQPHVVVLGAVNLSCTRLKEDLYEVIFKMVEENPRDVGHEMDGLSIVYGDEFIPRLYENSRISSEQLPSQQGIVRRAVALGRYLQNPLAMVATLCGPRREILSWKLSSLESFLNPDDKFGMIDQVMVDVTNQVGLDINLAISHEWLFSPLKFISGLGPRKAASLQRSLVRAGAIFTRKDFLKEHKLQKKVFVNAVGFLRIRRSGLAASSSEYIDLLDDTRIHPESYALAQELAKDVYDEDGTGDANDDDDALDLAIEHVRERPSYLKNLDVVEYATAKEEQKKKQQSSLVNLLKKIETLYDVKSELIQGFQDWRKQYEEPSQNEEFYMISGETEETLAEGKIVQATIRRVQAQKAICGLDSGMTGILMKEDYSDDYRDIIELSDRLHEGDMLTCKIKSIQKNRYQVFLVCKDSEMRSNRLHNSRDLDPYYHEDRTCLQSEQDKARKEKELAKKHFKSRMIIHPRFQNITADEAMEFLSGKDLGDSIIRPSSRGPECLTLTLKIHDGVYAHKEIVEGGKERKDITSLLRIGKTLKIGDDTFEDLDEVMDRYVDPLVTHLKTMLNYRKFRRGTKSEIDELLRIEKVENPLRIVYSFGILHEHPGTFILTYIRSSNPHHEYIGLHPKGFRFRKKMFEDIDRLVAYFQRHIDDPQHDSTPSIRSVAAMVPMRSPAAGGSSRASGGSGWGNSNSEGGRRGHSYDRDRSSTPGSRTGRADYRNNGNLDEHPSGLPRPYGGGRGRDRGSYNNDSRGHGSNNERGGRRGSNARDGDDDLSNFPGAKVQNSPGREAFPGGWGGSGGGSGWGGGAGTSDSGGWGGGAG >OIW09204 pep chromosome:LupAngTanjil_v1.0:LG06:30836795:30839263:1 gene:TanjilG_11342 transcript:OIW09204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGINLIPLAGENYDLKLKQSIQDLLSESKKQSPDFSLFNDAFYELLQANVDPPFEAIWFYAALTFRSRNFEKGDSLDRILGAKDLFQVLSACSLSIGASKSVALLVPVVFEVQKVVKELFGSELKLKREKKAMKEVKSLVDIILGYISVCCSKIFEEESDSVSLNLISPFADLARVWVNSNDGFESLLPLVSSEVYSWLCNTREFHVTYLAGAVIMEAFLLKLCLSFHLATQPKDELEINLRSWAVGSISSIQNIYFLEILTRAALAIPLPLSSILKPEDEILFRKVLFDAVLLVEYPFFYSNAKSIKSLTLTTLVATHEAVEYFRGLGDQNRAISYTRAFSASSIPSQIIKLVTSLTGLDEKTGRRSGSSPRALLSWLLSLEKHGIRIFEDDILKSYAKLGLDISQGEQPADNLEGKVADDDLFYVDNNGEEGNTGEKDKQNEVISYAFVAAAQTMKLTGNGNRKRKGRNNARTSAASDSSSDESEVEDPVSDSDA >OIW09870 pep chromosome:LupAngTanjil_v1.0:LG06:13093211:13100286:1 gene:TanjilG_24525 transcript:OIW09870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNLNGFPRGSVFEGSQLASLVNWINAVLPNYNLPLETSEEELRSWLRDGSVLCSILDKLVPGSVESGNGSLEELMSVKRFLVALDDLGLPGFDLSDLVQGSMLPVLHCLETLKTHFACNAARENIQSSRKRWDRSDLTPLEETDSCLKDASKIQRAIDGSVVSDEVASLDGLKSNELSQLKRGSHVDLSDAKLMELVKSNSLDTTSTELLFNIGNRILGDIFERKNGDVPHAQRAACLLRKILQVIELRYSNQAEGLKNQNNLFKAREGKYQLRMNALETLAEGTTEENEVVTGWVQQMKLEQNKFEEKKKLEDQDFSRLKKEKIRNEIEISALKQELEMARGTHEGQVLQLELQANESKAEYEKKIQELQRHLANARKRVKDLEASSESTYLKWKNKEHTYQSFLNSQHRVIQKLRAGMKSIKNEVIKTKGSYMEEFKYFGIKLKGLAEAAENYHVVLAENRKLYNEVQDLKEEVFIDTQPLIRSVLDGYNVCIFAYGQTGSGKTYTMHHYIQTQHCFLDLHTLGIWNTTQPNGLAVPDASMHSVNSMTDVLELMNTGLTNRATSATALNERSSRSHSVLSVHVRGTDLKTNSLLRGCLHLVDLAGSERVDRSEATGDRLKEAQHINKSLSALGDVIFALAQKSAHVPYRNSKLTQLLQSSLGGQAKTLMFVQLNPDVASYSETISTLKFAERVSGVELGAARSNKEGKDVRELMEQVASLKDTVARKDEEIERLQSQKANHNGSNSKPGMISLRRDLSSPRRHSIGTPRHSMRLFRARSSGDKEKAASDVDNCSAYSDKHSEAGSHQSMDDFRNRSSLLQLKLDSEDTIQNFNEDVELLGFGDADSEERLSDISDGVLSMGTETDGSISSIVEYTLFPEVDKEAETTPAKNTKAGDLRAENTEKAIVASKIPKAPQDPPKLQPRPSRLSMNRSSSKSLSSLRKPTASSSSTLAKSSKRWQ >OIW09502 pep chromosome:LupAngTanjil_v1.0:LG06:24008664:24017118:-1 gene:TanjilG_11624 transcript:OIW09502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSIDVVSSRVRVSIPNNIRKTIEHIREITGKQHTDDEIYAVLRECSMDPNDTAQKLLYLDAFHEVTRRRDRKKEGLGSEGLGDSNSRIKRGHGRRGRVPSSGYASNFSDGGGGRNLACRRENGINHITERSRERSTQPVSQKIRKSPTSQPIRASVACNGPVSQSNGNSGHGFSDQSIGSGISVSKSSSTVNDTTNAENVQSQITGAPASSLIQTSGSVTSIAQGKPLSNSDKLPHSVSSASVPGVYSSSSDPVLAQSISQSPGISSAINREVGSQWLNAGPNHVQGNKIALHEVVDLPSPKNRKSDSMNSTSKGKAQDESNEAEKNKLCETSKLLSSLSCNGSLRPSSSSGSQPPPVSETVKAAEASDVHIQSSAEFKQHVTFPNHFHVPETLKAGLTFGSFDNFGPRERSSSGTGGDNNTIAALKSSPGSDETATSSNETASLSSQGDHIKYPHSPSNLIEKAQALGNSITVVSDSNDQLKPEVLLAPDGLPIHNVQNAQNYGLNFMPTILRTQEVRFEGAESQAQETSHLPNFVIASSQAVSSPIPTPPLQSSIPVSPHSIPILRPPYPPNFFLYGQYYPPIYLSPMHQFLSHNGFPPQPSVGNMYLPPPAAGIKYPAPQFKAGANAGAMAHIGIPPASFIIPPAGYAPSPTVNIGSSNGSEDLPVSQSKENHIHTNGQLSEGSAVCIPAPGQDISNLHVNPLYNLSPQGHFLFPPIQSNHGAFAGAIYQPGQMVASPSTLLQQSQVVAGPVETLGPPSGAYQQPQYAQINSNSNS >OIW10431 pep chromosome:LupAngTanjil_v1.0:LG06:6404683:6406711:1 gene:TanjilG_24991 transcript:OIW10431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQIQHKFVKVDSLNLHIAEIGTGPNVVVFLHGFPEIWYSWRYQMIALAEAGFRAIAPDYRGYGLSDSPPQPDKANFSDLLTDLLGILDALSLSKVFLVGKDFGALPAHLFSILHPERVLGVVTLGIPYVPPSLSKYDKYFPEGFYILRWRIPGRAEADFGRFDAKTVVKRVYILFSGSELPIANENQEIMDLVEPSTPLPSWLTEEDLAEYGALYEKSGFQTALQIPYRSFGGNFDLPDPVLKVPSLLIMGGKDYVIKFPGFEDLTKGEMAKQFATNLEITFIPEGSHFVQEQFPAQVNQLILAFLAKHT >OIW09828 pep chromosome:LupAngTanjil_v1.0:LG06:14017817:14024142:-1 gene:TanjilG_32266 transcript:OIW09828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMMEEDAEETEQFFVAHEIDLAYEFDAPHFFDFTKPESPSQSQQAQLWFQNAPTYPPSPFVTRLMMTEELSLDSVSDSSNSHHVEYDTSNVADFGDDDEKSSVLLGPKFSKKYFENNDSKALGRKIKGMLNGILQNDAMQKVQVPTGLTVWSKKICDSLNSKAKSAVRKSSTLMKPTASQLAKQNRPPKSVGSRFPKQLSQNEMNLSISSEVETQAAKRQKLEGGLLCKANDVKQQTNFLHKAPKRAIVAAQNFASSKLRLTVPREPDLRTAHRAQRIRSKDVADAEHVTMAVPRFKARPLNRKILDAPSTPIPKRSTPQLPEFQEFHLKTEERAMQQAFATSPSSLHCNDSDKGLDKHAAVSVLDIKIRDLRRPSAMSAPKYDGLSFTHNLKSRPLNKTILKSKEDIGVINRKQETTEPMESNLYTEKGVQHNPPIELFSKLSLTSKVQPNNGSHLKPPQHSGMFRKGKPLLFGAKQIHHGNGGCISEAGTRLSASRGLGIR >OIW09938 pep chromosome:LupAngTanjil_v1.0:LG06:12643477:12645878:1 gene:TanjilG_32087 transcript:OIW09938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTNMQMQMQMLEIFEVGPCKDSYEMGFLIGRRFSQKIRSRVQNDLILQNQLRPFAQKAHSESLLKPLFHNNQRKFPRYWDELLGTAAGSGVPLLDILLMNLRKEILAFIPKEDIKGFNVDTPDDCSDILVVGESMAIAAHNEDANVALVGHTYLIKGILSDGVFFVGYTYAGELPSCAFGFNSHGLAFTLNSVPPAEDEIVAGGIGRNFVSRDILEATGIDDARISSSEVSVGHCYNLMEASTRRILNVETASKRRVSVHEVGKKPFFHANMYLHLHINQVQDENSIHRQKRASVLAKTSKEDFLSLLGDFDDKKYPIYMTGPLLHTLCTAVIDLDEQTLSIIAGNPKGRDVIHIFSISPKELNSNHDNSHSNAT >OIW10950 pep chromosome:LupAngTanjil_v1.0:LG06:815770:817793:-1 gene:TanjilG_22757 transcript:OIW10950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSVLVDQFIHRILVEEDAKNSQERNEELFHASADAGVKLYRKGDFAESKISNLDVYLLKKVCIFPDIIERKVQRHFEEGDHVSALITGEFYTKKEHFPGFARPFVFNAEVLLRLVVAYLASFLRVGHNVEAKDAARGALKSPWWTLEERLVCLTLVAYNLEYG >OIW10929 pep chromosome:LupAngTanjil_v1.0:LG06:1910788:1913305:1 gene:TanjilG_27875 transcript:OIW10929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDHGHETIIRAGSDVSQKKKELLSSAMKRTSEWISSQEIPSDINVQLGEATFSLHKFPLVSKCGYIRKVVSESSDAVVSFIELSDVPGGAEAFELAAKFCYGINFEINVENIAMLRCVAECLEMTEDCSVGNLVGRADSYLNEVALKTITGAVSILHMSENFLPIAERAKVVSRCIDAIAYIAWKESRLCTSARSDSGYEEVMSSVASHQRSLVDWWAEDLTVLRIDIFQRVLIAMLARGLKHYAIGPILMLYAQKSLRGLDIFGKGRKKIELQQEHEKRVVLETLVSLLPREKNAISVSFLSMLLRTSIYVETTVACRLDLEKRMAKQLGQAVLDDLLIPSYSFTGDTLFDVDTVLRIVTNYLDSEMGNHQVYSADDEYFSPPRSDMDRVGQLVENYLAEIATDRNLPVPKFIRMAELIPEQSRPTDDGMYRAIDIYLKAHPALSDMERKKVCSVMDCQKLSREACAHAAQNDRLPVQIVVQVLYHEQQRLRNAMNNGNGDGESPSSHGKLNVYSANPQRVSNELSISSLRRENQDLKLKVMKLKLKLKEMEKATLKSGVNSPVVNASPSSNPPLPRKSFINYVSKKLGWLSPFQRDDGVTSVKGHKKPNKNRRHSIS >OIW10474 pep chromosome:LupAngTanjil_v1.0:LG06:5981052:5982998:-1 gene:TanjilG_00412 transcript:OIW10474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASCLKPPSNEDDSVILFSTSNSPEYSTSPSSSFYHSPPPSFRTAYKLSIKNLSYTLHPHNSTPFPFCHFTRKPKPITILKSVSFVARSSEIVAVVGPSGTGKSTLLRVIAGRVKDNDFNLNSISINDQPMTSPAQLRKICGFVAQEDNLLPLLTVKETLLFSAKFRLKEMTPKDREMRVESLMQELGLFHVAHNFVGDKENRGISGGERKRVSIGVDMIHNPPILLLDEPTSGLDSTSALHVIELLSSMVKSKRRTVVLSIHQPSYRILHYISKFLILSHGSVVHNGSLESLEEAISKLGFQIPIQLNALEFSMEIICRLEESSSKRETSSNIDKKEPFPKPMWPEDENGGLQSNCKRENFSSFCYANLIEILFLCSRFWKIIYRTKQLFLARTMQALVGGFGLGSVYIKVRNDEGGVAERLGLFAFSLSFLLSSTVEALPIYLQERCVLMKESSRGAYRISSYMIANTFVFLPFLFVVSILFAVPVYWIVGLNPSLTAFTFFTFVVWLIVLMASSLVLFLSAVSPDFISGNSLICTVLGAFFLFSGYFIPKESIPKYWLFMYYVSLYRYPLDALLTNEYWNVRHECFSQHTTQGSQCLITGFDVLKSRGLEKDNRWVNVGIMIGFFVFYRLLCWIILARKAAKTTI >OIW09984 pep chromosome:LupAngTanjil_v1.0:LG06:11358055:11359068:-1 gene:TanjilG_32724 transcript:OIW09984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDDVLNMSNWGYYEPFKGGHLGLQLMPGMTDRGTKPFLPGRDPSMLVGGNDHDSKPYLSGRDPSFFIGVNDRDSKPFLSGRDPSMFVGANDRDMKPFLPGREPSMFIGANGIMHQRDCIVSEAPMLMNYARDGWISQRDMFFNMPSVAPNYAILPETSAPTSLRTVQLPDTSRDEKVDSIEDSVVKKGGQSKKRQSRGDLTTPKTKKPRKPKDNSNASVQRANPVKKTMELVINGIDMDISGLPIPVCSCTGTPQQCYRWGCGGWQSACCTTNVSIYPLPMSVKRRGARIAGRKMSQGAFKKVLEKLAAEGYNFANPINLRTHWARHGTNKFVTIR >OIW09071 pep chromosome:LupAngTanjil_v1.0:LG06:31299155:31302866:-1 gene:TanjilG_16298 transcript:OIW09071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALILLHGTLHVTIYEVDKLNTGTHNLLHKVVQNIEEKVGFGKGVTKLYATVDLEKARVGRTRILVKEHKNPRWYESFHIYCAHMASNIIFTVKDDNPIGATLIGRAYVPVKDVLNGEEIDRWVEILDTQKRPIHGGSKIHVKLQFFDVTKDRSWSLGIRSPKFPGVPYAFFSQRNGCKVSLYQDSHIPDNFVPKIPLSGGQNYEPQRCWEDVFDAITNARHLIYITGWSVYTEISLVRDSRRPKPGGDITLGELLKKKANEGVRVLMLVWDDRTSVGLLKKDGLMATHDQETDNFFRGTEVKCVLCPRNPDDSGSIIQDIERATMFTHHQKIVVVDSKLPSGGSDKRRIVSFVGGIDLCDGRYDTPFHSLFRTLDTAHHDDFHQPNFAGAAITKGGPREPWHDIHSRLEGPIAWDVLFNFEQRWRKQGGKDLLVPLTDLEDVIIPPSPVTFIDDHETWNVQLFRSIDGGAAFGFPDTPEDAARIGLISGKDSIIDRSIQDAYINAIRRAKNFIYIENQYFLGSCFDWAAEGIKPEDIGALHLIPKELSLKIVSKIEAGERFTVYIVVPMWPEGFPESASVQAILDWQRRTMNMMYKDIIQALKARGIVEDPRNYLTFFCLGNREVKTPGEYEPPEKPEPNSDYMKAQENRRFMIYVHAKMMIVDDEYIIIGSANINQRSMDGARDSEIAMGAYQPYHLATHQPARGQIHGFRMSLWYEHLGMLHDSFLHPESVECIRKVNQFADKYWDLYSNETLDHDLPGHLLRYPIGVSSEGNVTELPGFEFFPDTKARVLGAKADYLPPILTT >OIW09261 pep chromosome:LupAngTanjil_v1.0:LG06:28786062:28788152:-1 gene:TanjilG_01232 transcript:OIW09261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYHHSFIFIATLFLLLFLQFISTSCNAQLHVDHYINTCPHVASIVRSAVEKKFQHTFVTAPSTLRLFFHDCFVRGCDASVILVSRNGTTEKDNPINLSLAGDGFDTVIRAKAAVDSVAGCTNKVSCADILAMAARDVIALTGGPSYAVELGRLDGRISTKASVNNHLPHPQFTLSKLIQMFASHGLNLVDLVALSGAHTIGFSHCSQFSKRIYNFRSRNRIDPTLNLAYAKQIQQECPKNVDPRMVIDMDPVTNNVFDNNYYKNIQQGKGLLSSDQALFTDRRSRSIVNLFASNSTAFEKSFVIAMTKLGRVGIKTGKQGEIRRDCAMVN >OIW10840 pep chromosome:LupAngTanjil_v1.0:LG06:2615236:2625011:1 gene:TanjilG_27786 transcript:OIW10840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYDDNDFQSQNLHLADEGSAKVPPVLRPYALPKFDFDESIQGNLRFDSLVETEVFLGIESNEDNQWIDAFSRGGSGIEFSTTAAESCSISRHVNVWSEATSSESVEMLLKSVGQEDYIHRQTVIQESDACDELACLARQMDPNKKPDDQKEFKDDVTDLLAPSVLRGNLSGLKEDVGIEQSQAAVPQGHEVELSVDGSSGNLEVNNVCRNVDLPASEGSLGLFNADKSNNTNQSGVETVADESHDDKNQDDPSLSGVQTNITEKSVQHVSDEQYCPLQTETDNQDLESYMMNKDDVDVQTRDGNAVKGDAHHHDKSLCSIPVEVLETVSVVESFKTGADTLEESDDMEYDVDSDLQKAERCSEDTCFKDLSPDRPSEDVILLKDELDDPSALKSRDSPKVLIKDDIVYEGQVVEDNNSNCGICTNLPQNVDVLEKTHDVNSVSKENELLESSDHMDQETISSKTEAFIFTAEENSISTISEGNSDNKVGGFSSFNFVSSSTKSPTLGESTEICENIEHNGQSGHEIFDQDVSVNDQESTRIHSESNQIPCDVDQSPLVDKGAGSPSVAAGSVETDLTTSTVSINAAPVYSSASQIISENSRLPSCDIIDIPPPSLKDVSTHEITVHNDFQRINLVVCSSVDEKEESASKIAEKTSISTPLGSSDKETAPCPDTETEKLHSSDTSGQLLCETVNDCLHNVGTCGTSNTDEPQGSPDVKVFQEYTEEIGRSPVSCKLTEKRSDGIEVSSIKNDKETLQENHDKSSSKILGDDILLGNKGSISSAPLPYSSIELHEIGSFPVNNTSTISSSFGSQSHIEEDENQVKASNQKPQVPKFIKSGTENVVSTVQDPKENNTSKDERSLPPEVNPVPGLSRTVVTDMITEDVSKTQSVPVATANKESTAVEGTPSTSGPVPRKTVSSGIISLGSPQISDKEVTPCVSKATPERKTRRASNKTSGKESSRKGSRAKDITPSRQSKRVDKSTNLSLSPSPGFQMVQSNVVQQYGHIDSNSSKPFVLVSGPTSSIPDLNTSASPPVLSHQPFMDLQQVQLRAQIFVYGALIQCLVPDEAHMISAFGGPDGGKSIWENVWRACMERHHSQRSHPVNPETPLQSRSGARTSDSAAKQSAVQGKATSSPLGQSSSKATPTFVNPLIPLSSPLWNLPTPSCDSLQSSAIGRGSGLGYPRAHASIHTYETPPLRNFLGHNTSWISQASIRGPWIPSPPPEPDNRPHLLAPTISDTIKLSSVKESSLPPSSGIKNVSPGLPTSIAGLQSITVGTASPLDANIVTVSHSQQSLDPKPRKRKRVVVSEDLGQKALQALTPVSNMSTSVAIVTPVRNVPVDTVEKSVVSVSPLADHLKSDLNVEKNILSDDSLMKVKEARVHAEEASALSATAVHHSLEIWNQLDKHKSSGLTSDIEAKLASAAVAVAAAAAVAKAAAAAANVASNAAIQAKLMAEEALISSGYENSSLSSKISLYEGTSSFRKGTPSSIFKGDNGTNSPGSIIGSAKEAVRRRMEAASAATKRAENMDAIVKAAELAAEAVSQAGKIVTMGDPLPLCDLVEAGPEGFWKGAQESSHQVGLLNDITRDLLNISHVRDIPEASHTHDKDISSAVISTSININEKNFKGPKGHKISDLVKPVDMVPESEPEIQASLSIRNGSEKPDETNIKEGSLVEVFKDEEGFKAAWFTANILTLKDGKAYVCYTSLVAVEDAGPLKEWVSLEGEVDKPPRIRTTRPLTSLHYEGTRKRRRAAMGDYAWSVGDRVDAWMQESWQEGVITEKNKKDETALTVHFPSSGETSVVRAWHLRPSLIWKDGKWIEFSKVGVNDADTRQGDTPNEKRPKLGSPAVEVKGKDKMSTTIDAVESANPDERRILNLTENDKVFNIGKSIKNDNKPDTQRLARSGLQKDDSRVVFGVPKPGKKRKFMEVSKHYVAHGSSKTNDGNDSVKLSNFLMPQGSGSRVWKNSSQNDSKEKPADFKPKPFKSGKPQSAVGRVIPPKDSSSNAFSLTTDLTGHTERIKDPSNLFKSAPQNESRQVGRAPYSASDGTTGRSILFSSRTTSTDALPPKRAPTSRANKGKLAPAGGKFGKGDAGKAINNTPNKSTSDVIEPRRSNRRIQPTSRLLEGLQSSLIISKIPSVSHNRNSSKGNNQG >OIW08931 pep chromosome:LupAngTanjil_v1.0:LG06:32467738:32468816:-1 gene:TanjilG_05907 transcript:OIW08931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHHFYMYNKLILVCILHIIMNGPALCLGNMVEDKILNVGEELWQETLPLQGGSRFYHIQHLEPHLWYEVKISYPASIPASFSLQLKRDKSSMELKSNRRLLNTEKLIFKSYSDQDKTYVLVTVEPEGVPAKPYIQERQFIIFNIGIPHKAWWVVALALLCLGIALIIPYFLPSYMLLKKQVPRSADNVSKTS >OIW10730 pep chromosome:LupAngTanjil_v1.0:LG06:3650210:3674284:-1 gene:TanjilG_27676 transcript:OIW10730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYHSDNSQDGRDEDDEEEYEENGKGNRFLGFMFGNVDNSGDLDVDYLDEDAKEHLSALADKLGPSLTDIDVSKSEYHYLAVLFGILIEELSGKSPQTPPDVVEQDCGEKAENAVDYEDIDEEYDGPETEAANEEDHLLPKMNFFSAEASLEVLESRASVFDDENYDEESEPEQDLVNNDSKVDDISLTGEQEENSIDVSKGESAQELDLQVDLPQIEELDTEIQNVVEGPEVLKRSTPLPILCVEDGVAILRFSEIFGIHEPLRKGEKRDHHHSIPRDRYKSFNFSDDTVEEDEEAFLKGFSQSLSLTRQVCVVRNDASEGNDVDLEFPKFGFLHGDASMDVKDDRQQKDCCQSAEPMKVDLAEGLLGKDDSLIGSRFYPLDQQDWEDEIIWGNSSAGDNIVQSCEIYGSDLGDSGGNEIEIEGEKNLQLNPLKTGEKDDNDFTCGPSVSVEPFGSRDTSGSKTDLLSESLFHPQLLRLESRSQEDSSCPADSRGVSVTEKHNQIGQVKCFTKVLSQNREMMEGSWLDKIIWEDLDKPTMKPKLIFDLQDDQMHFEVLDSKDTRHLRLHAAAIILTRSLKSNSGDSSELPGHGGQHGWRNVANDKHYSNRKTSQQLKSNSKKRSAHGVKVFHSQPALKLQTMKLKLSNKDIANFHRPKALWYPHDNEMAVKEQGKLATQGPMKIIMKSLGGKGCKLHVDAEEILSSVIVKASKKLDFKASETVKIFYSGRELEDYKSLAAQNVQPNSLFHLVRTKIHLWPRAQRVPGENKSLRPPGAFKKKSDLSVKDGHVFLMEYCEERPLLLSNVGMGARLCTYYQKCSPDDQSGSLLRNTNSSLGHIISLDPADKSPFLGDIKPGCSQSSLETNMYRAPLFPHKVPLTDYLLVRSSKGKLSLRRIDKINVVGQQEPLMEVLSPGSKNLQTYMMNRLLVYMCREFQAAEKRHLPPYIRIDEFLSQFPYLSEGSFRKRIKEYANLQRGSNGHSILVKKRNFRMWSEDELRKMVTPELVCSYESMQAGLYRLKHLGITETHPTHISSAMNRLPDEAIALAAASHIERELQITPWNLSSNFVACTSQGKENIERMEITGVGDPSGRGMGFSYARAPPKAPVSSAMVKKKAPANRGGSTVTGTDADLRRLSMEAAREVLLKFNVPEEVIAKQTRWHRIAMIRKLSSEQAASGVKVDPSTISKYARGQRMSFLQLQQQTREKCQEIWDRQIQSLSAINYDEDESDSEGNSDLDSFAGDLENLLDAEEFEEGEEGTNDSKRDKGDSVKGLKMRRRATSAQAEEEIEDEAAEAAELRRLLMDDEEADRKKKKKARVLVEEARLVPKLQSKFIFDNNEKVNQISNTLQLDGTKHWKEDTITDLREEEIFSSKKSKSVKVSKAKKNDTAPISLPNKKFKLNMGEPIKVFKEKKPSRETFVCGACGQLGHMRTNKNCPKYGEDLEAQLEFTDMEKSSGKADPSSYSQRKAVPKKSISNSATRIAPIENSTKIPLKFKCSSNEKSYDKPAIDTLQSSDKPVTSDTETAKPVKVNKITFPKKVKPDDTQADSHSPAIVIRPPTDSGRGQIDSHNKLPIKIRQPPVEVDREQSHKKKIVIKHSKEDYYKIDQDLDSPGGNTGFEHRKTKRIVELSNLEKQRNQQTMYSTEGLVKWKGKEDRRWWEGQEKQKSEARLREDRAWRHHKEFKMPKEQKIDEIRKYEEDIRREREEEERQKAKKKKKKLELGDEYLDDPRTRHDKRMLERSGKRRSVVELGKGGAEYMQPIKRRRGGGGEVGLANILESIVDSIVKDRNDLSYLFLKPVSKKEAPDYLDIIERPMDLSRIRERVRNMEYKSREDFRHDMWQITYNAHKYNDGRNPGIPPLADMLLEHCDYLLNENDDNLTAAEAGIEIRDF >OIW10773 pep chromosome:LupAngTanjil_v1.0:LG06:3205296:3205700:1 gene:TanjilG_27719 transcript:OIW10773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDMSYNRVSSVKCKGFRLNLRKLYVLRLCKRFTFFLRIFDKWKVSYGEAVKVLKKVFRRKSGFKRNNSNNSRSGLVREEKIKGHGDCRVTSYVRHNSFYAEAIADCLEFIKRTSISSMDQIEDQDGHIQERNS >OIW09342 pep chromosome:LupAngTanjil_v1.0:LG06:29646499:29648298:1 gene:TanjilG_01313 transcript:OIW09342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIQVFQHWQLNFYNHCDTKYCNNLSSLVFPDLCVQTQGLNFGINYGQIANNLPSPSRVALLIKSLNVSRIKLYDADPNVLSAFSNSNVEFIVGVGNEYLQSMRDPSKAQTWIQQNVQPYLSNTKITCITVGNEVFNSTATDTQLMSNLLPAMQNVYNALVNLGLSQQVTVTTAHSFNILSNSFPPSSGVFSQSLIQYIQPLLTFHSQTKSPFLINAYPFFAYKDNPDQISLSYVLFQPNQGTIDPNTNLHYDNMLYAQIDAVYAAIKALGHTDIEVRISETGWPSKGDPDEIGATLENAEIYNSNLLKRIEQKQTTPGNPSVPIDIYVFALFNEDMKPGPASERNYGLYYPDGTPVYNIGLQGYLPEMVIQSKSNTLSIHFFIYIVTCLAFAWELSRL >OIW11038 pep chromosome:LupAngTanjil_v1.0:LG06:1534203:1542790:1 gene:TanjilG_22845 transcript:OIW11038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDGTEDEEKFLAAGIAGLQQNSFYMHRALDSNNLRDALKYSAQMLSELRTSKLSPHKYYDLYMRAFDQLRKLEMFFEEETRRGCSIIDLYELVQHAGNILPRLYLLCTVGSVYIKSKEAPAKDVLKDLVEMCRGIQNPVRGLFLRSYLSQVSRDKLPDIGSEYEGDSDTVADAVEFVLQNFTEMNKLWVRMQHQGPAREKEKREKERNELRDLVGKNLHVLSQIEGVDLDMYKDVVLPRVLEQVVNCKDELAQFYLMDCIIQVFPDEYHLQTLDPSVDIKTVLSQLMQRLSNYAASSAEVLPEFLQVEAFSKLSNAIGKVIEAQPDMPTFGVVTLYSSLLTFTLHVHPDRLDYADQVLGACVKRLSGKGKIEDNKATKQIVALLSAPLEKYNDVMTALKLSNYPRVLEYLDVPTNKVMASVIIQSIMKNGTNISTSDKVEALFELIKGLIKNSDGILSDEVDEDDFKEEQNSVARLIQMLYNDDPEEFFKIIDTVRKHILTGGPKRLPFTVPPLVFSSLKLARQMQGGQDENPFGDDASTTPKKIFQLLNQTIETLAGVPAPELALPLYLQCAEAANDSDLEPVAYEFFTQAYILYEEEISDSRAQITSLHLIIGTLQRMHIFGVENRDTLTHKATGYSAKLLKKPDQCRAVYACSHLFWVDDHDNMKDGERVLLCLKRALRIANAAQQMSNAARGSTGSVMLFIEIMNKYLYFFEKGNPQVTVASIQSLIELIMNEMQSESTTSDPAADAFLASTMRYIQFQKQKGGTVGEKYEPIKV >OIW10774 pep chromosome:LupAngTanjil_v1.0:LG06:3195074:3201612:1 gene:TanjilG_27720 transcript:OIW10774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDSKENEERGQSMPMDVEESHQLVDAEVQIEPEAPTSKDALNHVETGKEEPLAEDENVKAGKAEPPAEDENVKAGKAEPLAEDENVKTGKSDLLVEDEILKTGKAKPIVEEDIVKPGEAESIAEDENVNPGNTEPPVKDNSKKPVKAQAPTDDKSVNPGKVEPSAEDDSEQPVKVELPVEDKSLNAEKEELPVEENSLKQGKLEVPAEDNRVEEAVLGNICQQDVQNTLQSAPVEFDTQISNKVECEKSSNAKTKADEFPQCNMDVKNDDSSRRNNVAAAETMMEVKPEARELVNSKTCDNVDIIASHNEPTTPQSVPTYTKAEIKNDLQVANKGDEEQAVEPEDNGNSNSTQISFLNADHCYDGNESGTDEEQSNFMKELENFFRERSMEFKPPKFYGEGLNCLKLWRAVIRLGGYDKVTSCKLWRQVGESFKPPKTCTTVSWTFRGFYEKALLDYERHKIQVGELNVPIAATSLPEPVIIENQASASGRARRDAAARAMQGWHSRLLGNGEDRNSGSTQKREKQLKSINLLKRKKPSYMENAVKAVRSKPSKPQLDTTVVDVGPSADWVKINVQKTKDCFEVYALVPGLLREEVRVQSDPAGRLVISGEPENPNNPWGVTPFKKVVSLPSRIDPHQTSAVVTLHGQLFVRVPFEQAE >OIW10677 pep chromosome:LupAngTanjil_v1.0:LG06:4069175:4078471:1 gene:TanjilG_16049 transcript:OIW10677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNRGAFSGDLAGNNAKEGERKKEHKVPLLKLFSFADFYDCVLMAIGSLGACVHGASVPVFFIFFGKLINVIGLAYLFPKEASPQVAKYSLDFVYLSIAILFSSWTEVACWMHTGERQAAKMRMAYLRAMLNQDISLFDTEASTGEVISAITSDIIIVQDALSEKVGNFMHYISRFVAGFTIGFVRVWQISLVTLSIVPLIALAGGLYAYVTIGLIAKIRKAYVRAGEIAEEVIGNIRTVQAFTGEEKAVRSYKTALMKTYKNGRKAGLAKGLGIGSMHCVLFLSWALLTWFTSVVVHKHIANGGDSFTTMLNVVISGLSLGQAAPDISAFIRAKAAAYPIFEMIERDTVIKRSSKTGRKLSKLEGHIQFKDVCFSYPSRPDVLIFNDLCLNIPSGKIVALVGGSGSGKSSIISLIERFYEPVSGQILLDRNNIKELDLNWMRHQIGLVNQEPALFATSIKENILYGKDDATLEELKRAVKLSDAQSFINNLPDRLETQVGERGIQLSGGQKQRIAISRAIVKNPSILLLDEATSALDAESEKSVQEALDRVMIGRTTVVVAHRLSTVRNADIIAVVQGGRIVETGNHQELISNPTSVYASLIQLQEATSVQSHPSGGPSLGRQSSTKYSRELSHTTSIGASFRSDKESVGRVCTDEAENSSKSRHISAGRLYSMVGPDWYYGVIGTLCAFVAGAQMPLFALGISHALVSYYMDWDTTRHEVKKIAFLFCGGAVITITVHAIEHLSFGIMGERLTLRVRENMFSAILKNEIAWFDDTNNTSSMLSSRLETDATLLRTIVVDRSTILLQNIGLVVASFIIAFILNWRITLVVIATYPLVISGHISEKLFMKGYGGNLSKAYLKANMLAGEAVSNMRTVAAFCSEEKVLDLYANELVDPSKQSFQRGQIAGLFYGISQFFIFSSYGLALWYGSVLMEKELASFKSVMKSFMVLIVTALAMGETLALAPDLLKGNQMVASVFEVMDRKSGITGDVGEELKTVEGTIELKRIQFSYPSRPDVIIFKDFSLGVPSGKSIALVGQSGSGKSSVISLILRFYDPTSGRVLIDGKDIKRLNLKSLRKHIGLVQQEPALFATSIYENILYGKEGASDSEVIEAAKLANAHSFISGLPEGYSTKVGERGVQLSGGQRQRVAIARAVLKNPEILLLDEATSALDVESERVVQQALDRLMQNRTTVMVAHRLSTIRNADQISVLQDGKIIEQGTHSSLIENKNGAYFKLVNLQQQQQHQV >OIW09383 pep chromosome:LupAngTanjil_v1.0:LG06:29980146:29983860:1 gene:TanjilG_01354 transcript:OIW09383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDLLTDSFVIEVKKAQPSRQSDIEMGMQVPGSNSDLGLEAFNKQIHEADKQIDNLSMLLYNLKDANEESKAVTNASAMKGIKKRMEKDIDEVGRISYGVKTRIEAISRDNLNNRKNPGCEKGTGIDRARMNMTNALTKRFRDLMIEFQTLRQRIQDEYREVVERRVITVTGTRPDDETIDHLIETGNSEQIFQQAILETGRGQIVSTVEEIQERHDAVKEIEKKLLDLHQVYLDMAVLVDAQGEILDNIESQVNNAVDHVQRGTSALQTAKKLQKSSRKWMCIGIIILLIVIAIIVVGVIKPWKHK >OIW09897 pep chromosome:LupAngTanjil_v1.0:LG06:12047241:12052292:-1 gene:TanjilG_32046 transcript:OIW09897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSSTPLVSFLQLLQQTAFETFSNSETFDPKTYVDLPLKFDLSQTTRAFHNLPRAPNGAVTVEDLNGYIEEYFEGAGDDMVYLEPEDFVMEPEGFLEKVKNEEVRAWALEIHSLWKNLSRKMSSRVMREPQLHTLIPLPCSVVIPGSRFREVYYWDSYWVIRGLLVSKMYKTAKAIVTNLISLIEEYGFVLNGARAYYTNRSQPPLLSAMIYEIYHSTDDIELVKRCLPALLKEHEFWNSEIHKVTITDAQGCTHSLNRYYAMWNKPRPEAYIMDKESASKFLNDSEKEQFYRDLASAAESGWDFSTRWMRDPPDFTTLAATSVIPVDLNAFLLGMELNIAFFAKVIGDDNTAEKFLEISDVRKKAMNCVFWNENMKQWLDYWLSSSTSEEAQVQKELHQNQNVYASNFVPLWMEPFYSDISLAGNVVESLKSSGLIRAAGIATSLSDSGQQWDFPNGWAPLQHMLVEGLVKSGLKEAKSLAEEIAIKWITTNFIVYKKTGVMHEKFDVEHCGEFGGGGEYEPQTGFGWSNGVLLAFLEEFGWPEDRKISC >OIW09815 pep chromosome:LupAngTanjil_v1.0:LG06:14546436:14546633:-1 gene:TanjilG_32253 transcript:OIW09815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPVLVVEHRELPSPAAGSNTLHLKVDTMDGKGGECQKEYDQWCVSSTPLMLKQSQTCRRPGRIV >OIW09449 pep chromosome:LupAngTanjil_v1.0:LG06:26094823:26100076:-1 gene:TanjilG_22723 transcript:OIW09449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDKIREPLLKKKYYDNCPGCKVDQAKEQNQNLSILNLAIIWMLVLSASLPISSLFPFLYFMVRDFNIAKTEADISSYAGYVGSAFMLGRGLTSVLWGIISDRYGRKPVLVIGIITIVIFNTLFGLSTSLWMAIVTRFLLGSLCGVFGPVKAYASELFREEHQAIGLSTVSAAWGIGLIIGPALGGYLAQPTEKYPHLFLKGSFWDKFPYFLPCFIISGLALVAAIVCIWIPETLHNHNGNRKSIDDAEALENGNSGGGKDSKIQKNENLLRNWPLMSAILVYSVFALHDVAYQEVFSLWAVSPRRLGGLTFTTDDVGNVLSISGFALIIYQITLYPYVEKAFGPINLARISGLLSIPLLQSYPFIAMLSGLTLFILISIASVLNSLLSITIITGLFLIQNREGGS >OIW10705 pep chromosome:LupAngTanjil_v1.0:LG06:3846576:3847961:-1 gene:TanjilG_16077 transcript:OIW10705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASWEESVTYSINTIYLLFSAYLVFVMQIGFAMICAGSVRAKNAMNIMLTNVVDAVVGSISFYLFGFAFAYGNSNPFIGTDLFALVNIPNVTYDYAFFLYQWAFAIAVAGITSGSIAERTQFSAYLVFSFFLSGFVYPIAAHWVWSPSGWLSPFSTNLFLSSGAIDFAGSGVVHLVGAIAGLWGSIIEGPRAGRFDAFGKPVPLRGHNATLVVLGTFLLWFGWFGFNPGSFDKIVVSYPGTTYQGNWTSIGRTAVVTTLAGSTAGIVTLFGRRLLIGHWDAMDVCNGLLGGLVAITSGCSVVEPWAAILCGFVAAWVLIGLNIVALKMNYDDPLEAAQLHGGCGTWGLLFTGLFAKQEFVIQTYNSGELGVSRPYGLLLGGGWELIGAQVVEILVIFAWVSVTMGPMFYGLHKLNILRISIDDEVAGLDISRHGGYAYAPHEDNFPQSYADYMRLREDQS >OIW08991 pep chromosome:LupAngTanjil_v1.0:LG06:31946509:31951425:1 gene:TanjilG_05967 transcript:OIW08991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPRVGNKFRLGRKLGSGSFGEIYLGTNIQTNEDVAVKLEKAKTKHPQLLYESKLYKILQGGTGIPNVRWFGVEGEYNVLVMDLLGSSLEDLFNFCSRKLSLKTVLMLADQMINRVEYVHSKSYLHRDIKPDNFLMGLGRRANQVYAIDFGLAKKYRDNSTHLHIPYRENRNLTGTARYASMNTHLGIEQSRRDDLESLGFVLMYFLRGSLPWQGLKAGNKKQKYEKISEKKVSTSIESLCHGYPSEFASYFHYCRSLRFDDKPDYAYLKRLFRDLFIREGLQFDYVFDWTILKYQQSQISAPPVRAIGAIAGPSSGMPPLVNADGQTGGQDGRHTGWSSSVPTRRRNTGPIANDGILSRQKDPVTSDTAGSKEVKMSGSNIFLSSGSARRGAVSSSHDAHVGSETEPSRPHAMDAGPAALRKISGAQRSSTITTSEHNWSSSGRKTSNLKDYERALRGIESLNIKDQRVQY >OIW10298 pep chromosome:LupAngTanjil_v1.0:LG06:8495433:8497457:1 gene:TanjilG_28049 transcript:OIW10298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLHKFGEKLYSGLVATMTGHLKDIANSVEAIHGGSFLEELNRKWNDHNKALQMIRDILMYMDRTYIPSTQKTPVHELGLNLWRENVIYSSQIRTRLLNTLLELVQSERSGEVVDRGIMRNITKMLMDLGPSVYGQEFENHFLQVSAEFYRVESQKFIECSDCGDYLKKAERRLNEEMDRVSHYLDPRTETKITNVVEKEMIENHMLRLIHMENSGLVHMLCDDKYEDLGRIYNLFRRVSDGLLKIREVMTSHIRESGKQLVTDPERLKDPVEYVQRLLDKKDKYDKIINMPFNNDKSFQNALNSSFEYFINLNPRSPEFISLFVDDKLRKGLKGVSEDDVELTLDKVMMLFRYLQEKDVFEKYYKQHLAKRLLSGKTVSDDAERSLIVKLKTECGYQFTSKMEGMFTDMKTSQDTVQGFYASHPELGDGPTLTVQVLTTGSWPTQSSATCNLPAEMSALCEKFRSYYLGTHTGRRLSWQTNMGTADLKATFGKGQKHELNVSTYQMCVLMLFNNADRLSYKEIEQATEIPAPDLKRCLQSLALVKGRNVLRKEPMSKDVGEDDAFFVNDKFSSKLYKVKIGTVVAQKESEPEKQETRQRVEEDRKPQIEAAIVRIMKSRKQLDHNNLIAEVTKQLQSRFLANPIEVKKRIESLIERDFLERDDSDRKLYRYLA >OIW10563 pep chromosome:LupAngTanjil_v1.0:LG06:5202579:5203129:1 gene:TanjilG_15935 transcript:OIW10563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTANCVRKTLQLPSPSTKTLFSRQSSPLSPSYAAKFKGLPSSKVSTQNRSFSLFRLPVQLSGVQVSLTQLHNATASALFTSLLSLHNNNWGCLSEE >OIW09354 pep chromosome:LupAngTanjil_v1.0:LG06:29702374:29703782:1 gene:TanjilG_01325 transcript:OIW09354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEGVSREAQMSLAASSMFPGFRFCPTDEELISYYLRKKLDGHEDSVQVISEVELCNFEPWDLPAKSFIQSDNEWFFFSPRGRKYPNGTQSKRATEYGYWKATGKERNVKSGSNVIGTKRTLVFHLGRAPKGERTEWIMHEYCISDKSQESLVVCRLKRNTEFRSSDAQNRASSSQMHIVNSHESDGVDQRDGVCQEDKEGSSYGSLSTEQIDSVSESNQRLANETALTESSGHAKVDLCITYPQF >OIW09301 pep chromosome:LupAngTanjil_v1.0:LG06:29292245:29303700:-1 gene:TanjilG_01272 transcript:OIW09301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNHNATTNDRSRTHWTPLMERYFVDLMLEQTRRGNRFGHTFKKEAWIEMQKMFNTRFASECDKDAMKLYYANLWNRFNNVKNILCHKDFTWDGSTEMVVANDQVWDAYIQAYPNAQRYRIKPVLNYVDLCEIFDNTNADGRYSISSHDVSIGVGTQELNLGDGGSSSQRIVWSAAMDQLFIKLLLQKLRDNNGSINKNAWADMFTVFKANFGFQHAMTFIANKRDKEHAYARKYSVNTFPNYDDLELLFKSMSDNEVRRLRQERNFNNRPTDIENGDEDGNANPSNANEGRIRWTLPMDHYFIDLLLEQMNLGNKVGNTYTPQVWNAIVKSFNEQFKTHYGKQNLRNHFKHLKRQYIVVSNLLQLKEFTWDETKEMVVGEDHVWDAYIKENHEARSIRTKGLHGYSKLCAILAEESSETRHSSSSQNIDHLNVETQILSTEGIEKEVEQQNYGFTFFDEQNHDIQDIFSYLELEMGEVEWTEAMEVYFIELMVEQVNINSGNINNSGCSFSEEAWTHMIEAFSTRMGYQYHKHFLEEQFMCLMYRHQNMTDLLNHTGLAFDQTLQITLATTQVWEAQLQNNQEALSSKLKSLRVFHDLCKIFGNKLTEVTASDIMQQLHIMDGANNINTINMNMNETSRSSEISQNDKKRANMMASSSEADWVKKKKKMESFESAVNALLELKDMDEDVVMEACDLLEDDKKAMTFLALDVSMRKKWLLKKLRP >OIW10037 pep chromosome:LupAngTanjil_v1.0:LG06:10581940:10583004:-1 gene:TanjilG_32777 transcript:OIW10037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFEDEFESKSLVASGYEDKLRLKDVVDGKQTLAFQLKPKIVILRVSMHCHGCARKVEKHISKLEGVSSYMVDLANKMVVVIGDILPFEVLESVSKVKRAEIWNSPLPSLAHSSKTKVDVE >OIW10413 pep chromosome:LupAngTanjil_v1.0:LG06:6563715:6585840:1 gene:TanjilG_05561 transcript:OIW10413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLILRIPPMFSFLVSLSLNNVIAVLIADFHSLPSSQYRQDLDFESMAMNCTCPYYSALCKFLRTLATHTVNSGTKIQDCSLPVLVEYKMAEKLVMHVKADILQGDAMGDWLQKNSDLLQNSSVVLMNCLQLFENVVNEFFDEVTRGRSRASDLPMEYDGAYLQMRMAYSPVAHLFLFLVQWTHCHLAGALGLIRILIYKVYADGTTTVSIQERRASIREFYAVIYPSLMQLQKGVTDKEDNKQKAVCMERYRRRDDEDHRQYSNIDIEREDECGICMEMNSKIVLPNCNHGMCLKCYWEWRDNVKGHA >OIW10775 pep chromosome:LupAngTanjil_v1.0:LG06:3187525:3191753:-1 gene:TanjilG_27721 transcript:OIW10775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSSYAKSSAFFYLILAVLIAKTSFCFSAKVYVVHMGSKTGQDPDEIIKENHQILASVHSGSVEKAQASHVYSYRHGFRGFAAKITDEQASQISKIPGVVSVFPNSKRKLHTTHSWDFLGLMNDEAMEIQGHSTKNQANIIVGFIDTGIWPESPSFRDTDMAPVPPGWKGHCQIGEAFNSSSCNRKVIGARYYMSGYLAEEGSDKKVSFRSPRDSLGHGSHTASTATGRYVTNMNYKGLAAGRARGGAPMARIAVYKTCWSSGCYDVDLLAAFDDAIRDGVHIISLSLGPEAPQGDYFKDAISVGSFYAARHGVLVVASAGNEGSPGSVTNLAPWIITVAASSTDRDFTSDIMLGNGVNITGESLSLLEMNASTRIMFAADAFAGYFTPYQSSYCLDSSLNKTKSKGKVLVCRHAESSTESKLEKSKVVQEAGGVGMILIDETDQNVAIPFVIPSAIVGKKAGELILSYINSTRKPMSRIYGAKTVLGTQPAPRAAAFSSKGPNALTPEILKPDITAPGLNILAAWSPAAAGNMKSNILSGTSMACPHVTGIAALVKAVHPSWSPSAIKSAIMTTAIILDKHHKPIRADPDRRADAFDYGSGFVNPTRVLDPGLVYDSQPEDFVAFLCSLAYDERSLHFVTRDNSTCDGAFKTPSDLNYPSISVPNLEDKLSVTRVVTNVGEARSIYKVVVVPPAGVNVTVVPNQLVFTRIGQKIKFTVNFKVASPSKGYLFGFLAWKNGRTQVTTPLVVQVVSTSLGLVR >OIW10854 pep chromosome:LupAngTanjil_v1.0:LG06:2530686:2531048:-1 gene:TanjilG_27800 transcript:OIW10854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKLLLSTKALFGFEATGVSDGGSPIDGDSADPDDEDGDEAGVGTGERGEGGDVEADGPGLGLTALSSGGDEIVEVGGGGWDGPNEAVGGVARVGAGEGAWAMENATRVRMRMKRVSAMA >OIW09862 pep chromosome:LupAngTanjil_v1.0:LG06:13290117:13290380:1 gene:TanjilG_15344 transcript:OIW09862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVQNSRLLFLIFMIILIISQLSSCCEASRWMSKEIKKTERSSSFQKFSISKHFYTKTEQGPNTDQVQPIYGVSLRGVPGGPNPLHN >OIW09060 pep chromosome:LupAngTanjil_v1.0:LG06:31231384:31231950:1 gene:TanjilG_16287 transcript:OIW09060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMASSRNETASFSSAFDSSTNFTLPTPSSSSSFTYRSPSSPPPSPPSPNSSVNKGNSSITVTKKHHRNSNNDAVTSPPSSSSSSQKRSCMCSPTTHPGSFRCAYHRRIIMEQQQNLTASLRHSSNGTSSRLNLGKSAMQNSLVKIEGEILRNPLTIFISSSSQQPRRRETFQLRLTRLSVMSKTQDS >OIW10165 pep chromosome:LupAngTanjil_v1.0:LG06:7235095:7237008:1 gene:TanjilG_27916 transcript:OIW10165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEVDPAFIQDPEHRPKLSPIEATGIPVIDLSPIINKTVKDPSAIEGLVKEIGTACKDWGFFQVTNHGVPLTLRQRLEEASRKFFSQSLEDKRKVNRDETTLTGYYDTEHTKNVRDWKEVFDFFAKEPTLLPVSSDEHDHRIHHWNNQSPQYPQNFRVIIQEYIGEVEKLAYKLLELIALSLGLEANRFEEFFIKQQTSFVRLNHYPPCPYPHLALGVGRHKDGGALTILAQDEVGGLEVKRKIDQEWVGVKPTPDAYIINVGDIIQVWSNDEYESVEHRVMVNTEKERFSIPLFFNPAHDAEIKPLEELINEHNPAKYRPYKWGKFLIHRRDTNFKKHNVENLQIYHYKII >OIW09881 pep chromosome:LupAngTanjil_v1.0:LG06:11900617:11904882:-1 gene:TanjilG_32030 transcript:OIW09881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYENNNWIWEGIYYYPNVFGALMVTAALLGLSTSFFGGIGVHLPLPYSWSNMGIFDKKKSGKRRVRVYMDGCFDLMHYGHANALRQAKALGDELVVGLVSDEEILANKGPPVLSMEERLALVSGLKWVDEVITEAPYAITEEFLNRLFHEYKIDYVIHGDDPCMLPDGTDAYAAAKKAGRYKQIKRTEGVSSTDIVGRIMSSLRDPTNCDDHNGADERRQEENQSKSSYISQFLPTSQRIVQFSNGKAPGPNARIVYIDGAFDLFHAGHVEILKRARKLGDFLLVGIHSDETVSGHRGNHYPIMHLHERSLSVLACRHVDEVIIGAPWQITKDMAPGPNARIVYIDGAFDLFHAGHVEILKRARKLGDFLLVGIHSDETVSGHRGNHYPIMHLHERSLSVLACRHVDEVIIGAPWQITKDMITTFDISVVVHGTVAENSLYNESDSYEVPKNMGIFHLLESPKDITTTSVAQRIMANHEAYMKRNAKKGLSEQRYYEEKKYVSGE >OIW09411 pep chromosome:LupAngTanjil_v1.0:LG06:27853695:27859076:1 gene:TanjilG_14562 transcript:OIW09411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGILAIIIALFLGLAFAYLLKYLNKQDSSHKLPNGNMGWPFIGETLGFLKPHRSNSLGNFLEEHCSRYGKVFKTHVFGSPTIVSCDFELNMFILQNEGTTFPVDYPKVMHKILGKLALLLITGNLHKKLRNTVISFVSASKSESNFLHYVETLALSSINSLDQKREQVSFYREAKRFSINVMLKHLLNINPDEPLASKILGNFENYVKGFISLPLNFPGTTYYKAVKARLRLSSIVKDIIKERRKGNMSPTEGGDLLNVILSKQNLSDDEMVSIVLDLLFGGYETTAKLLSMIIYFLGGAPNALQKLKEEHQVIRKSKKENEPLNWEDYKQMDFTQDVINEGMRCGNVVKFLHRKAVQDVKFKEFVIPAGWKVLPVLSAGHFDPTHFDNPSEFNPFRWSDNSTSKKVAPFGGGPRLCPGADLAKVEIAFFLHHFVLNYRWRMKAEEDHPLAFPYVEFTGGLLLDLEFTGHKF >OIW08885 pep chromosome:LupAngTanjil_v1.0:LG06:32811726:32813829:1 gene:TanjilG_05860 transcript:OIW08885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVTTTRTVVPAVIVGGGRVGRALEGMGRGEDLLVRRGEKVPLDFEGPILVCTRNDDLEHVLQSTPFSRWNDLVFIQNGMLEPWLQSKGLSDVNQVLAYFAVSKLGEAPIDGKTDTNPEGLTAAYGKWASAVADRLDAGGLSCKVLEKEAFQKQMLEKLIWISSVMLVGARHGGVSVGVVEKEFYSELSSLIAELASAAASEKGLTFEEAMEERLCAYSRAVAHFPTAVKEFKWRNGWFYSISEKAVAQGKPDPCPLHTQWLKELKIV >OIW10217 pep chromosome:LupAngTanjil_v1.0:LG06:7770285:7770990:1 gene:TanjilG_27968 transcript:OIW10217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRLIPSLNRVLIEKIVPPSKTSAGILLPEKSSQLNSGKVIAVGPGARDKSGNLIPVSVKEGDTVLLPEYGGSQIKLNDKEFILYRDEDILGTLHD >OIW08886 pep chromosome:LupAngTanjil_v1.0:LG06:32807634:32808314:1 gene:TanjilG_05861 transcript:OIW08886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLKPIIFREYIGSKDGSKHLNFPVHIINNKVKEFHFILAFAKESYQNNKGSGIFTASWDLTAFNLESIKNLKKDHPNVKVIVSIGGPKDVTLFNIDERNAWLSNATKSLTDIISHYNIDGIDINYETILSNIDDFVFCIGGLINQLKEEKIITLASIAPSEAVHSHYNLLFNAYKKYIDWVDYKFYGHFLPSKEQFKELYNKLSSDYPSVLLGQITVMFSTLARS >OIW10128 pep chromosome:LupAngTanjil_v1.0:LG06:9579933:9582599:1 gene:TanjilG_21965 transcript:OIW10128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWMVSYKEGSEFNLADYAFNGRKLRPLVPRPVTSPNNTSSAITTPCLTRIHHGNDFFSQYHNLASMVEQGKRELNGPPVVVSSRWNPTPEQLRALEELYMRGTRTPSAEQIQQITAQLKRFGKIEGKNVFYWFQNHKARERQKRRRQMESAAEGHHFDTILEKKDSGTSTTVFEVEQTKNWVPSTNCSTLAEESVSIQRAEEAAKAEVSECRTDGWFQFDEGELEQRRNFMERNATWHMMQLPPHPPTVVAPYLIKTSTPLNTSTVTSRLMDPTSLIKNHHNLRFFISPHKSELSSINNNNNTEDIIENCAVESQTLQLFPIRNGDCSSDNNNQQKETDISISAMNAPPSQFIEFLPLRS >OIW09290 pep chromosome:LupAngTanjil_v1.0:LG06:29172170:29174390:1 gene:TanjilG_01261 transcript:OIW09290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFNSHAIIGSSKVIYPKSHKGGSVRSAYWPSGDDFSPSSIETKYFTHIYYAFVELEPDSFKLDITDSDKKWIQEFIGGLHNRHPPVKTILSIGGGGSNSTLFSIMASTKQNRENFINSTIQVAHKYGFNGLDLDWEFPEDEKDMANLGILFKEWHQAFVLDAQVHRTQRLLLTAAVYYANTIKIIGNGPRSYPAQAISEYLDWASPMCFDYHGSWDNFTGFNAALYDPNSNISTHYGIGSWIKSGVPPTKLVMGLSLYGRTWKLQDPNNHGVGALAVGPATDTEGTLDYDEILKFNEENGAHVVYDELNVSYYSYVDTTWISYDDGPSITKKVQFIRLRGLKGYFFWALGKDKDWTISKQASNALGQ >OIW09658 pep chromosome:LupAngTanjil_v1.0:LG06:21461010:21462591:1 gene:TanjilG_15364 transcript:OIW09658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLTIQACVHSLKPPKCEKVRECEEVNGGKAWMLFGGLYLVALGVGGIKGSLPTHGAEQFDETTLDGRKQISTFFNYFVFCLSCGALIAVTFVVWVEDNKGWEWGFAISTITIFLSIPLFLAGSPTYRNKIPSGSPLTTIFKVLVAASLNSCIYRNSSNAVVNMASSPYSNAQSSTKEKEEENAKETEAPTNTLKFVNSAVENKPIFSSLECTVEQVEDVKIVLKVLPIFACTIMLNCCLAQLSTFSVEQAATMNTKLGSLKVPPASLPIFPVLFIMTIAPIYDHIIIPFARKVTKTENGITHLQRIGIGLVLSIVAMAVAAIVEIKRKRVATNSSLIDDTKESLPITFFWIGLQYLFLGSADLFTLAGLLEFFFTEAPIRMRSLATSLSWASLAIGYYLSSVIVSIVNSVTGNSSHKPWLSGSNINHFHLERFYWLMCVLSGLNFLHYLFWAIKYKYRVTGTTSGGTQ >OIW09428 pep chromosome:LupAngTanjil_v1.0:LG06:28189622:28193684:-1 gene:TanjilG_14579 transcript:OIW09428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVTPKIAPSMLSSDFSNLASEAHRMINYGADWLHMDIMDGHFVPNLTIGAPIIESLRKHTKAYLDCHLMVTNPLDYVEPLGKAGASGFTFHIETSKDNWKELIERISVQGMRPGVALKPGTPIEEVYALVEAENPVEMVLVMTVEPGFGGQKFMPEMMDKVRILRKKYPSLDIEVDGGLGPSTIDSAASAGANCIVAGSSVFGAPEPAQVISLLRNSVENAQQTH >OIW10847 pep chromosome:LupAngTanjil_v1.0:LG06:2576459:2582388:1 gene:TanjilG_27793 transcript:OIW10847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGVDHLADERNKAQFDVDDMKIVWAGSRHAYELSDRISRLVASDPEFKKDHVTSLGRKELFKNTLRKAAHGWKRINELGLNEEEALKLRTFMDQPSFTDLHWGMFVPAIKGQGTDEQQQQWLPLANKMQIIGCYAQTELGHGSNVQGLETTATFDVKTDEFVLNSPTLTSSKWWPGGLGKISTHAVVYARLIINDKDYGVHGFIAQLRSLDDHLPLPGITIGDIGMKFGSAAYNSMDNGLLRFDHVRIPRNQMLMRVSQVTREGKYVHSSVPRQLVYGTMVYVRQIIVFDASVALSRAVCIATRYSAVRRQFGSQNGSPETQVIDYKTQQARLFPLLASAYAFRFAGEWLKWLYTDVMERLQAKDFSTLPEAHACTAGLKSLTTSVAADGIEECRKLCGGHGYLCSSGLPELFAVYIPACTYEGDNVVLLLQVARYLMKAISQLNSGNKPVGTTAYLGRAEQLMQYHSDVLRAEDWLKPNVVVEAFEARAARMSMACAQNLSKFNNPEDGFQELSADLIEAATAHCQLIVVSKFIEKLQQDIPGKGVKQQLQVLCSIYALFLLHKHIGDFLSTDCITPKQGSLANEQLRSLYSQIRPNAIALVDAFNHTDHYLGSILGRYDGNVYPKLYEAAWKDPLNDTVIPDGFHQYVQPLLKQQLRNARL >OIW10791 pep chromosome:LupAngTanjil_v1.0:LG06:3076149:3076403:1 gene:TanjilG_27737 transcript:OIW10791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKTILLTCFLFLIIFQLNFGLVIPSRVLNPHPPPSPILRSPLPPSLDWYTINDDKGSEGDAFRPTSPGHSPGVGHQTPPGAP >OIW09400 pep chromosome:LupAngTanjil_v1.0:LG06:28511474:28511965:1 gene:TanjilG_20997 transcript:OIW09400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GGLLYKGSESNLQYVTTSSFLLLTYAKYLNSNEQNIVSCGTTTLTRHNIISLVKTQVDYILGNNPTNMSYMVAFGDKYPKHIHHRGSSMPSVHNQPQKISCNDGFQYFHLGSPNPNDLVGAIVGGPDSNDNFSHDRNNYQQSEPATYINAPFVGVLAFFSSKA >OIW10163 pep chromosome:LupAngTanjil_v1.0:LG06:7226011:7227509:1 gene:TanjilG_27914 transcript:OIW10163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSALFHPCSVPLLLQPKDLHSNIVSSTRHAFTACCSTRNQSYIPKLEPFSRTKFDRVVKDPPLIEKSERELSDYCSTLEGEECCSCWQAYFELKDLEKESPKVDIERLILQTGGVKSLIGCLHGVTIMHKMKNDKLNLIKDVKSEEEVHRSCPIPDGLPKSCDELLEEKQGRMPDSQYTRLLRTMGSSPAWYSHAPDHETD >OIW10823 pep chromosome:LupAngTanjil_v1.0:LG06:2756086:2757810:-1 gene:TanjilG_27769 transcript:OIW10823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTFSHLPPGFRFHPTDEELVDYYLKNKIASKRIELDVIKDIDLYKIEPWDLQELCKFGSDEQTEWYFFSHKDKKYPTGTRTNRATKAGFWKATGRDKAIYSKHSLIGMRKTLVFYQGRAPNGQKSDWIMHEYRLETNENGTPHQCTVQEEGWVVCKVFKKKMTTVMKMREYESPCSWYDDQVSVMQELDALSRPNYASQHYQHYPCKQELELMQYNMPHDANFLQLPQLNSSKVPQSYGYDINNNNNGSALQSSSLTQEEHTLYCQQQQSAHIHHSTSNDQVVEQVMDWRVLDKFVASQLSHNDQDHVSKGTNYSNVAEQITIQVKGSENLEIGQEHASTSTSSCQIDLWK >OIW09454 pep chromosome:LupAngTanjil_v1.0:LG06:26182510:26184144:-1 gene:TanjilG_22728 transcript:OIW09454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQASSTASAASRAQGYRSSKYIKSRSTAASVSPMMNSVSDDGDNAEGANRDYISDLPDECLASVFQSLSSADRNRCSLVCRRWLQIEGQSRHRLSLIAQSDLLPFIPSLFSRFDSVTKLALKCDRRSVSICDDALVLISARCPNLTRLKLRACRELTDSGMEAFAKNCKSLKKLSCGSCSFGSKGMNSVLDNCVYLEELSVKRLRGITDAATADPIGPGAAAASLKTICLKELYNGQCFGSLILGAKNLRTLKVFRCSGDWDKIFQKMVNQVTSIVEVHLERLQISDLGMQYIASYSNLEILHLVKTPECTDFGLVAIAERCRLLRKLHIDGWKANRIGDAGLIAVAKCCPNLQELVLIAVNPTKLSLEMLASNCLNLERLALCGSDTVGDPEISCIATKCLALKKLCIKSCPVSDRGMEALARGCPNLVKVKVKKCKSVTSEGGDWLRLTRGSLAVNLDTGENENLDASASDVGVQENGIEFPPMPNQTAAAAEGSASIASRRTSRSTSIKSRLGLLSERIFVACKLRRWSGGSRRTGARHS >OIW11182 pep chromosome:LupAngTanjil_v1.0:LG06:75611:76667:1 gene:TanjilG_22989 transcript:OIW11182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNALAASECSSGCESGWTIYLNNSFLNHNPSPFIGAQDDDDDNGFYDEEDKYIKSKSEEDQEEDWSMVSDASSGPPHFPQHDESYNNFNAQQDINPGCFYSASKAASKRRSKKKKKVKENQHLQDKQLQQQQQHLPSFLDDTASSPLFDFSMNSISVSNQQISIESMVDYSQGFSATYFEGRFSLQDHFGFLQPSLSENEVHSNKSDTEGKGWE >OIW10393 pep chromosome:LupAngTanjil_v1.0:LG06:6740159:6743638:-1 gene:TanjilG_05541 transcript:OIW10393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAYRADDDYDYLFKVVLIGDSGVGKSNLLSRFTKNEFSLESKSTIGVEFATRSIRVDDKVVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTRHVTFENVERWLKELRDHTDANIVVMLVGNKADLRHLRAVSTEDATAFAEREKTFFMETSALESMNVENAFTEVLTQIYHVVSKKALDIGDDPAALPKGQTINVGSRDDVSAVKKVGCCSA >OIW09477 pep chromosome:LupAngTanjil_v1.0:LG06:25604715:25606829:-1 gene:TanjilG_23056 transcript:OIW09477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHSFGQHSVPVPGSFDKSRVLNIKPIRTLVPVFPSPSNPSSLSNPHGGAPFVCVSPSGPYPAGVAPLYPFFVSPESQRLSEQNAQTPGGRHVPTGPISNAVPINSFRTPTRDTNGDVGSSRGKNKNGGQFTEEDGYVEMNEVDADDGTGDGSRKRKSGRQGRRPKGAGGASSNANPEAVANDIFKSINPLVFDALSQPDGSRDSVTYTLLVYEVLKRKLGQLEETAKDIAGAKRPDLKAGALMLTKGIRANSKKSFGAVSGVEVGDIFYFRIELCLVGLHAPSMAGIDYIGTKTSQEEEPLAVSIVSSGGYEDNVEDGDVLIYTGQGGANKEKGASDQKLERGNLALEKSMHRGNDVRVMRGLTDLAHPTGKVYIYDGLYKIQNTWVEKAKNGFNVFKYKLVRCPGQPAAYMIWKSIQQWTEKKVPRTGVILPDLTSSAEKIPVCLVNDVDNEKGPAYFTYSPTLKNLKPTAPMESSGGCSCIGGCQPGNHNCPCMQKNGGYLPYSAMGILADLKSVVYECGPSCQCPPTCRNRVSQGGLKIRLEVFRTNDKGWGLRSWDPIRAGTFICEYAGEVIDNARVEELVGENEDDYIFDSTRIYQQLDIFPSDTEAPKIPSPLYITAKNEGNVARFMNHSCSPNVLWRPVVRENKNESDLHVAFYAIRHIPPMTELTYDYGTVLSLKAGQKKKKCSCGSVKCRDYFC >OIW10231 pep chromosome:LupAngTanjil_v1.0:LG06:7935525:7937227:-1 gene:TanjilG_27982 transcript:OIW10231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGDKVNVKREENSISIKAKEVKFFKTLAPPLVAAFLVLSPFCSTPVSIGQTIDIHKGATLFGQACIGCHDAGGNIIQPGATLSTKDLQRNGVDTEEDIYSITYNGKGRMPGFGKECKPRGQCTFGARLEDEDIKILAEFVKLQADQGWPSIQAEQK >OIW09384 pep chromosome:LupAngTanjil_v1.0:LG06:29985572:29986324:-1 gene:TanjilG_01355 transcript:OIW09384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEFETSIPTAFDPFAEANAEDSGAGTKEYVHVRVQQRNGRKSLTTVQGLKKEFSYTKILKDLKKEFCCNGTVVQDPELGQVIQLQGDQRKNVSTFLVQAGIVKKEHIKIHGF >OIW10760 pep chromosome:LupAngTanjil_v1.0:LG06:3300969:3302840:1 gene:TanjilG_27706 transcript:OIW10760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKFCKALPYSSSSTHFISLKCFLIFQSQTFSSSSSLPSPEHVSHLILEQKSASQALETFRWASSLPKFAHTQSTYRALIHKLCSFRRFDTVKQLLDEMPDSIGSAPGDDIFITIIRGLARARMIRSVIKVLDLVYKFHTIPSLKIFNSILDVLVKEDIDIAREFYRKSMMECGVEGDDYTFGILMKGLCLTNRIGDGFKLLQLIKSRGVTPNTVIYNTLLHSLCKNGKVGRARSLMNEMEEPNDVTFNILISAYCKEENLVQAIVMLEKCFGLGFTPDVVTVTKVVEVLCNADRVTEAAEVLDRVESMGGSLDIVAYNTLIKGFCAAGKVKVGVHFLKQMESKGFLPNVDTYNSLISGFCESRMLDLALNLFNDMKTDGIKWNFITFDTIIRGLCSEGRIEDGFLILELMEESKEGSRGHISPYNSIIYGLFKQNRFDEAAEFLTKMEKMFPRAVERSLKILEHCKDGIIEDAKRVYDQMIDEGGIPSIIVYDSLVRGFSQEGSVREAVELMNEMIANNCFPIPSTFNAIITGFCSEGKVESALKFMEDITARGCVPNAETYGPLIDVLCRKGDLQKALQLFLEMVEKGIHPDHFIWNSLLLSLSQENSFKSKNIFNIDDLL >OIW10407 pep chromosome:LupAngTanjil_v1.0:LG06:6626710:6629140:1 gene:TanjilG_05555 transcript:OIW10407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEDISNSLLRRAKFSHTVCHRLDFPRSGSLPNGNSGLKYRPSEATPHNLNPVAKVSEVQKNIITNNQRSLSPLPETIVPQIFKEARLERKRFSTPGPQRKRQDKKIMGKLLTKDSQEIKVSNPKAPIKHLASMKLGDKSMHHMESGWTKYFDHGGGRVTAVETAEEWTVDLSELFLGLRFAYGAHSRLYHGTYKEEPVAVKIIRVPDDDENHILASRLEKQFIREVTLLSRLHHPNVLKFIAACRKPSVYCVITEYLSGGSLRSYLHNLERETVSLQKLIVFALDIARGMEYIHSQGVVHRDLKPENVLINDDGHLIIADFGIACEEAYCGLLADDPGTYRWMAPEMIKRKSHGRKVDVYSFGLILWEMLTGTTPYEDMTPIQAAFAVVNKNSRPVIPSSCPPAMRSLIDRCWSLHPDKRPEFWQIVKVLEQFESSLARDGTLTMTQNFLSQDNKSGPLHWMQKRGSVHQPSNNSVHQPSNNSVHQHSGFVSNPKFA >OIW11088 pep chromosome:LupAngTanjil_v1.0:LG06:1845408:1854707:1 gene:TanjilG_22895 transcript:OIW11088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMERADSVQKLYTRMRLWEFPDQYVIEPTDGSSGSSLAVSRIDGSMKLIDEVPECSSLRVPKIYTIFGVIGILRLLAGSYLLVITERECVGSYLGHPIFKISSMKIFPCDHSLKSTPAEQKKTEMEFSGLLNVAEKTSGLFFSYETNLTLSAQRLNDLGDESRLLPLWRQAEPRFLWNNYMLEALIDNKLDPYLLPIIQGSFHYFQAAIGKDIIDITLIARRCTRRNGTRMWRRGADPDGYVANFVETEQIMQFNGYNASFVQVRGSIPLLWQQIVDLTYKPKFELLKLEEARRVLERHILDLRKKYGAVLAVDLVNKHGGEGRLCEKFGDTMQLVGGDDVRYVHFDFHQVCGHVHFERLSILYEQISDFLERNGYLLLNEKGEKMKEQLGVVRTNCIDCLDRTNVTQSMIGRSMLEYQLRRLGVFGAEETISSHPNLDENFKILWANHGDDISIQYSGTPALKGDFVRFGHRTVQGILKDGVNALLRYYFNNFSDGTKQDAIDLLQGHYIVSVGRDTAVSSQKGGLEAIASFPLALGLVLIGFFFATISLGQVRYDYRHFFLSLMWASISIGIAAFVMANGRVFCNRPRLHKPC >OIW09056 pep chromosome:LupAngTanjil_v1.0:LG06:31186464:31198541:1 gene:TanjilG_16283 transcript:OIW09056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSLEDIKKETVDLERIPVDEVFRELNCSKEGLTNEEGQKRLEESKFLKFLGFMWNPLSWVMEIAAIMAIALANGGGQPPDWQDFVGIMVLLIINSTISFIEENNAGNAAAALMAGLAPKTKVLRDGRWTEQEAAILVPGDIISIKLGDIVPADARLLEGDSLKIDQSALTGESLPVSKNSGDEVFSGSTVKQGEIEAIVIATGVHTFFGKAAHLVDSTNQVGHFQKVLTAIGNFCICTIAIGMVIEIIVMYPIQHRKYRKGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSEQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKSLVEVFIKDADKDTVILLGARASRVENQDAIDACIVGMLGDPKEARDGITEVHFLPFNPVDKRTAITYIDAEGNWHRVSKGAPEQIIELCNLREEVKRKAISIIDKFAERGLRSLAVSRQVVPEKTKESAGSPWQFVGLLPLFDPPRHDSAETIRRALHLGVNVKMITGDQLAIGKETGRRLGMGSNMYPSSSLLGQNKDESVASLPIDELIEKADGFAGVFPEHKYEIVKRLQDRKHICGMTGDGVNDAPALKRADIGIAVADATDAARGASDIVLTEPGLSVIVSAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLIALIWKFDFSPFMVLVIAILNDGTIMTISKDRVKPSPSPDSWKLKEIFSTGVVLGAYLAVMTVVFFWAAHASNFFSDKFGVRSIRDNEHELTAAVYLQVSIVSQALIFVTRSRSWSYVERPGLLLIVAFFIAQLIATIIAVYANWGFARIKGIGWGWAGVIWLYSIVFYIPLDVLKFIIRYALSGKAWNNVTENRVAFTSKKDYGRGEREAQWATAQRTLHGLNPPGTEEILNEKNEYRELSELAEQAKKRAECLDLSEEVLRDGRWTEQEAAILVPGDIISIKLGDIVPADARLLEGDSLKIDQSALTGESLPVSKNSGDEVFSGSTVKQGEIEAIVIATGVHTFFGKAAHLVDSTNQVGHFQKVLTAIGNFCICTIAIGMVIEIIVMYPIQHRKYRKGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSEQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKSLVEVFIKDADKDTVILLGARASRVENQDAIDACIVGMLGDPKEARDGITEVHFLPFNPVDKRTAITYIDAEGNWHRVSKGAPEQIIELCNLREEVKRKAISIIDKFAERGLRSLAVSRQVVPEKTKESAGSPWQFVGLLPLFDPPRHDSAETIRRALHLGVNVKMITGDQLAIGKETGRRLGMGSNMYPSSSLLGQNKDESVASLPIDELIEKADGFAGVFPEHKYEIVKRLQDRKHICGMTGDGVNDAPALKRADIGIAVADATDAARGASDIVLTEPGLSVIVSAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLIALIWKFDFSPFMVLVIAILNDGTIMTISKDRVKPSPSPDSWKLKEIFSTGVVLGAYLAVMTVVFFWAAHASNFFSDKFGVRSIRDNEHELTAAVYLQVSIVSQALIFVTRSRSWSYVERPGLLLIVAFFIAQLIATIIAVYANWGFARIKGIGWGWAGVIWLYSIVFYIPLDVLKFIIRYALSGKAWNNVTENRVAFTSKKDYGRGEREAQWATAQRTLHGLNPPGTEEILNEKNEYRELSELAEQAKKRAEVARLRELHTLKGHVESVVKLKGLDIETIQQHYTV >OIW09373 pep chromosome:LupAngTanjil_v1.0:LG06:29857124:29860938:1 gene:TanjilG_01344 transcript:OIW09373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRIILNDKNKNNEDVSNDNEGYLKKGPWTPDEDAILINYVSKYGEGNWNAVQKNSGLLRCGKSCRLRWVNHLRPNLKKGSFSLEEERIIVELHAKHGNKWARMSSKNKQPNSLYASSSSSSSNLLNNQSFVVANNSDSNGYNYSSGSFNYKNGFNSNSMLPPLVPSSVSSSQTPSDSVTSASSYVSAVDGSIGASIKVNNENYEVAPNSSKGNSGLLDALVVEGQSHSHNNKPRSENSAIAVAEAAEKSSNNKRKSLVLEENTKEGGTSRKKEIAKEPLDIDETVWDDDLCSLLTYFPIEMPIPDWYRKQDHSKSQNLQTQPTKEMEKPKK >OIW09906 pep chromosome:LupAngTanjil_v1.0:LG06:12171141:12173444:1 gene:TanjilG_32055 transcript:OIW09906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENNQQSFWQFSDQLRVQNSNLANLSLNESIWSNNYTSKNERRNFDIKVGGEINSFGASNRSNNYNNNIKSTTTTKATPSSDFNDGWKQMNSMTNNTNGSLFNMPHNMYAMDGGASLNGGFNKGIYSKPSYGNLNNSYNNLNMDINVKGQKGKGEDEFGHLYQHKSSKKNNNSNKKNGDNNNNNDTNKKYKTLPPSESLPRNETIGGYIFVCNNDTMAENLKRELFGLPPRYRDSVRAITPGLPLFLYNYSTHQLHGIFEAASFGGSNLDPSAWEDKKCPGESRFPAQVRVITRKICEPLEEDSFRPILHHYDGPKFRLELNVPEALSLLDIFADQDINETFKAIPA >OIW09087 pep chromosome:LupAngTanjil_v1.0:LG06:31468797:31472187:-1 gene:TanjilG_16314 transcript:OIW09087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEIDNRGDFPAKQSSLRPGSSFKSTLSGRSTPRSSNSPTFRRINSSRTPRREGRSSVGGGAHWFRSNRLLMWLLLITLWAYLGFFVQSRWAHSDKKEEKFSGFGSRPKETNIDAERIHRRDLIATDNSISADNETARYKMEIGETRNVALAKKGSDVPSPRKTSSKKRSKRSKRASKGKARGKQKSVLEVEKIDKEEQEPEIPNTNSTYGLLVGPFGSIEDRILEWSPEKRSGTCNRKGDFARLVWSRRFILIFHELSMTGAPLSMMELATELLSCGATVSAVVLSKKGGLMPELSRKRIKVLEDKADLSFKTAMKADIVIAGSAVCASWIEKYIERFPAGASQVAWWIMENRREYFDRSKDVLNRVKMLIFLSESQSKQWQKWCEEESIKLRSRPELIPLSVNDELAFVAGIPSTLNTPTFSTEKMVEKRQLLRHSVRKEMNLTDNDMLVISLSSINPGKGQLLLLESASSVVEQAQLPEDNKLNKLLNVKEGQSTLPRRRRIRKLLPLLKDGNPLNRKKQVLSNNKGTMEQSLKVLIGSVGSKSNKVDYVKGLLSFLEQHPNASKSVLWTPSTTRVASLYSAADAYVINSQGLGETFGRVTIEAMAFGLPVVGTDAGGTKEIVEHNVTGLVHPIGRPGNHILAQNLRFLLKNQSARKQMGMEGRKKVEKLYLKEHMYKKFVEVIVRCMRSK >OIW10578 pep chromosome:LupAngTanjil_v1.0:LG06:5099646:5100113:1 gene:TanjilG_15950 transcript:OIW10578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGAKKRKKKTYTKPKKIKHKHKKVKLALLQFYKVDDSGKVQRLRKECPNAECGAGTFMANHFDRHYCGKCGTTYVYQKADA >OIW10381 pep chromosome:LupAngTanjil_v1.0:LG06:6952929:6965068:-1 gene:TanjilG_05529 transcript:OIW10381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIADTDYEGAAIESLGSGFKVTQVFLWSVTHPSLYLNTKLGFIYLQQQNNSGKDFHDLILPVDLELTRQMNELGLPLSFQTTKEKSGPVKGKRRGTRSKIPRTCHNHVDEALYKMSVEEIVSAEFHDKTNSSLSCISTLGQSELSNCDGAMEVDMTQCVFGEGDNLACFSGVANGVSMEKNNDDINDATTNNVQRDDVLVSNVCVDLSISPTSETVISAGSHLAGAGVDYSRVEYGESLEFLEVASKDTGCETICNDDSAATWQPQANESESIPMSSEGIRCDRIDASNNCGELRDWTVFWDTFYMRSYFYNVKTNTSTWNPPPGMEHLAVGGCIESDDSEHLKAAEDCETENNTKLPEETLIEENLEGMQYDEASAGIGVAVGNMVSDITRHSKDQSLDHSEEYLDRSSCNDGISCCSISNTTEHTISYKERCIQATSDDNNAHSENMNIDVSGLETESNPVMSKQEKKVKRRQRQKKLYDETKEMPEEYPATIEKYWWQRYILFSRFDDGVKMDEEGWFSVTPETIARYQAERCASGTIIDCFTGVGGNAIQFAQWCKHVIAIDIDPLKIDYARHNAAIYEVDDQIDFIMSDFFLLAPKLKADTVFLSPPWGGPDYTKVKTYDMKTMLRPHDGYTLFNAAKEIASKVVMFLPRNVDFNQLAELALSSCPPWSLEVEKVYLNGKLKAITAYFSDAAIGGPLPSANKHIRFCYDDE >OIW10226 pep chromosome:LupAngTanjil_v1.0:LG06:7853762:7875335:-1 gene:TanjilG_27977 transcript:OIW10226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVSRAATGVEASKLFLAGTRAGAYGGSWSSVFLYLYIACLVLGEIYHRAFIWIYMSVSRATAGVEASKLFLAAISFVAALGFLLYGGS >OIW09003 pep chromosome:LupAngTanjil_v1.0:LG06:31850129:31857994:1 gene:TanjilG_05979 transcript:OIW09003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEITDNESCSTQLIDGDGTFNASGIEKFMKEVKLAECGLSYAVVSIMGPQSSVFAFQDLSQTTKGIWMANCTGIEPCTLVMDLEGTDGRERGEDDTAFEKQSALFALAVSDIVLINMWCHDIGREQAANKPLLKTVFQVMMRLFSPRKTTLLFVIRDKTKTPLENLEPVLREDIQRVASLRQRFYHSIAPGGLAGDRRGVVPASGFSFSAQQIWKVIKENKDLDLPAHKVMVATVRCEEIANEKYTLFAANKDWCQLEEAVKSGPVPGFGKKLNSLLDTCLSEYDVEANYFDEGVRSAKQNQLQEKLFQLAQPSFLAVLGHIRSATFDKFKEAFDKALNGEEAFSAAANSCIGLYMTQFDEGCADIIIEVANWDTSKVREKLQRDIDAHVASVHSAKMSELTSSYEVALSGPVEALLDEANGDTWPSIRSLLSRETKSAIYGFSAELTRFDMDEQTRKNLTVSLEDYARGVIEAKAREEAGRVLIRMKDRFTTVFGRDSDSMPRVWTGKEDIRAITKTARIESLKLLSVMAVIRLDDDDTDNVEKTLAVVLVDSSSSSAKNRSIAEVDPLASSTWEKAANKRSNHWLPPPWAIVALLILGFNEFMTLLRNPLYLFVIFIGFLLIKALWVQLDIRSEFRNGALPGIISLSSKFVPTIMNIMKKLAEQGESPATNTSQRIQSRSNHNPDTADSAMSSTASSSDITSFNNNAEYTSPSKYD >OIW09698 pep chromosome:LupAngTanjil_v1.0:LG06:19554230:19556199:1 gene:TanjilG_06504 transcript:OIW09698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDATATATAAATDSAATDDQSPSAAITRWKFEVSRQYQHLLDKTTPFVLYRWIGFFVIALIYVVRVYFVEGFYVVSYGLGIYILNLLIGFLSPQVDPEVLELSEGPTLPTRGSDEFRPFVRRLPEFKFWYSITKAFCIAFVMTFFTAFDVPVFWPILLFYWVVLFTLTMRRQISHMIKYKYVPFSFGKQRYNGKRAAESTSLSED >OIW08966 pep chromosome:LupAngTanjil_v1.0:LG06:32142872:32144152:-1 gene:TanjilG_05942 transcript:OIW08966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLTGTETLYMTLEPKQTCHFCNLRNQVSGIYSKVENSNLSDKDKSYYAPFLLLGLANEFLALWLFLVASSCFLFSKNLNSFGKYRIKAAEEVSLPDRIVPNARANIENNATCHKYDAYSEISYLDKISYLDSLLSIELEEDTVWLSDSKSYELCSLEDPSTPLSRKYDSLSEILDIGSPNYLDSLQSLEGKESVRESYFDSLLSLEDEDTEWLTFEDPSSSTSLSQKCDSLSESDIGSPSYWDSLLKLEEKDNEWTYKGNCSALSSVSSVSSLTTTVQTEEFFGDEPLFWPFEEKLNWNYEKPWTSFCSSPRKRLVSEATSSPTPMIKECKQKINDALCSVKSTWSKSSAKIVVPLECEDHLNKTFLNENFASNFNDLPLGLEYLAMDQVLPIEALMGLKEFDGHEGLDTEFYGDNVFMLDKSLQ >OIW10365 pep chromosome:LupAngTanjil_v1.0:LG06:9187169:9188134:1 gene:TanjilG_28116 transcript:OIW10365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKVHGSLARAGKVRGQTPKVAKQDKKKQPRGRAHKRIQYNRRFVTAVVGFGKKRGPNSSEK >OIW10548 pep chromosome:LupAngTanjil_v1.0:LG06:5320989:5321357:-1 gene:TanjilG_15920 transcript:OIW10548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKIVHILKTDIIVVSKKAKKDERDKLTIEIAIWNESDYLYKNFILNELSVDLYDYHNPYKSVKLIWYALEKERNMILRKLRQKIYDVSPYFKYQMTNNKSVESQSYRIAHEIISDGMFLES >OIW10440 pep chromosome:LupAngTanjil_v1.0:LG06:6474913:6475217:-1 gene:TanjilG_25000 transcript:OIW10440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSAVVSMAEGAGQDLLQKNNATNASGNTILGNIGVYIQQEVSHNLFIVPKIIQMV >OIW11131 pep chromosome:LupAngTanjil_v1.0:LG06:406936:407523:1 gene:TanjilG_22938 transcript:OIW11131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMGSNSTQTGAATSAYRGVRKRKWGKWVSEIREPGTKTRIWLGSFETQEMAAAAYDMAALHFRGKEARLNFPELAATLPRPMSNNADHIRMAAQEAALKSRTNSYLTTKPPDGGGGDNDGSSSNSIGRVRLSPSQIQAINDSPLDSPNMWLQTQDTFMLDDQSMMFTNNGYDYMPFDEEENEWENMHNSSLWDP >OIW10597 pep chromosome:LupAngTanjil_v1.0:LG06:4923065:4926603:1 gene:TanjilG_15969 transcript:OIW10597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMIVFQALAKHVGSCLQNCKKINSVFYYTELEPQLNQLCKQLIHDLEAKKLDRHEVHETRISSRYFLHCRGSQSLLKFPVTYSFKLKEVDIFFIRLCSESPDSPQHQIVQLPDFPGGVEAFELCAKFCYGITITFSPYNVVAARCAAEYLQMTEDVEKGNLICKLEVFFNSCILHGWKDSIVALQTTKAMPLWSEDLAITSRCIEAIASKVLNNPSKVSLSHTHSSRVKDEVSCNGTESLKHHKSASKGWWAEDLAELSIDLYWRTMIAIKSGCKIPSKLIGDALKIYASRCLPIFTRNEHVKKQTSSDSDSSDSAGEITSKHRLLLESIVSLLPAEKGAVSCSFLLKLLKASNILNASSSSKLELATRVGLQLEEATVNDLLIPSLSYTNDTLYDVEVVMTILEQFMSQGQSPPTSPPRSRLAFQRRRSRSAENINFEFQESRRSSSASHSSKLKVAKIVDKYLQEVAKDVNFSLSKFIALAETIPVFARNDHDDLYRAIDVYLKAHPELNKSERKRLCRILDCKKLSIEACIHAAQNELLPLRVVVQVLFFEQARAAAAGGKVTDLPSNIKELLTTHGIDPSKYATPLSTTTSIHGEDNWNVSSFKSPKSRSSTLRMKLAEEDDLDENYSLRNGIGRNSRFKSICAIPTQPKKMLSKLWSTNRSANEKN >OIW09506 pep chromosome:LupAngTanjil_v1.0:LG06:24093526:24096806:-1 gene:TanjilG_11628 transcript:OIW09506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKNQSSTSSSCYCSSSQTTSASGNDGVLSGTGESEIGFSRIDLRQSSIAGTVEFYRRHVFLCYKKPEVWPPRIDASEFDRLPRLLHAAVVARKKDMNQETCLTICEGDDKTEIPNGDLLIFPDMIRYRRLTHFDVESFVEEVLVNDGEWLPGKPEALEASYVFVCSHGSHDCRCGVCGPMLVSRFREEVELYGLQGKVFVSPCSNIGVHHDAGNVIIFGSTINGEVTGHWYGYVAPEDVSVLLQQHIIKGKILESLWRGQMGLSENEQKKSQQQRLQLNGERGLKASTKMLMQMNDNEKSTAACRSPDNFVSCCQENDDSSHYQNHVSIERIKNHDDFAMEAKLLADNKSNENTICRINRGKRASRKFRSMSTELDSWEREDTYAAIAVVCAAASVAFAYSCYKQL >OIW11163 pep chromosome:LupAngTanjil_v1.0:LG06:222813:223482:-1 gene:TanjilG_22970 transcript:OIW11163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGWGVSLNHISRESSDLRRLANFYKEIFGFEEVESPKFGEFKVIWLKLPSTSLYLHIIERNPNNNLPEGPYSATSPVADTKHIPRGHHLCFSLSNLHSFLHTLKVFLFLLPLLIIHLLDWNSNGVVVNLMQEKGIETFERALPDGKIKQVFFFDPDGNGLEVASQEDP >OIW10869 pep chromosome:LupAngTanjil_v1.0:LG06:2402179:2404995:-1 gene:TanjilG_27815 transcript:OIW10869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVSALAKYKLVFLGDQSVGKTSIITRFMYDKFDNTYQATIGIDFLSKTMYLEDRTVRLQLWDTAGQERFRSLIPSYIRDSSVAVIVYDVASRQTFLNTTKWIEEVQTERGGDVIIVLVGNKTDLVEKRQVSIEEGEAKAHELNVMFIETSAKAGFNIKALFRKIAAALPGMETLSSAKQEDMVDVNLKSSSGNAQSQPQSSGCAC >OIW11020 pep chromosome:LupAngTanjil_v1.0:LG06:1367358:1372598:-1 gene:TanjilG_22827 transcript:OIW11020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METISLSKFTFACFFLPLCFVPHLLHSTITYDRKAILINGQRRILFSGSIHYTRSTPDMWKELVYKAKEGGLDVIETYVFWNVHEPSPGNYNFEGRYDLVRFVKTIQRAGLYAHLRIGPYVCAEWNFGGFPVWLKYVPGISFRTDNKPFERAMQGFTEKIVGMMKSEHLYESQGGPIILSQIENEYGPQSKMLGAAGQNYVNWAAKLAVEMGTGVPWVMCKEDNAPDPVINTCNGFYCDQFTPNKPYKPTIWTEAWSGWFSEFGGPIHKRPVQDLAFAVGRFIQKGGSFVNYYMYHGGTNFGRTAGGPFITTSYDYDAPLDEYGLVRQPKYGHLKELHKAIKMCEQALVSADPFVTSLGNFQEAHVYSTKSGDCAAFLSNYDTKSYARVMFNNMHYNLPPWSISILPDCRNAVFNTAKVGVQTSQMQMLPTNTQMFSWESFDEDVSSMDDSSAITVFGLLEQINVTRDTSDYLWYITSVDVGSSESFLHGGKLPTLIVQSTGHAVHVFINGRLSGSGYGTREDRRFRYISNVNLHAGTNRIALLSVAVGLPNVGGHFETWNTGILGPIELHGLDQGKLDLSWKKWTYQVGLKGEAINLASPNGISSVEWMQTTLVVQKKQPLTWHKTYFNAPEGDEPLALDMEGMGKGQIWINGQSIGRYWTASATGNCNDCNYAGSFRPTKCQHGCGQPTQRWYHVPRSWLKPDHNLLVVFEELGGDPSRISLVKRTLSSVCADVSEYHPNIKNWHIESYGKSEEFHPPKVHLHCSPGQTISSIKFASFGTPSGTCGNYVKGACHSAASYNILEKKCIGKVRCIVTVSNTNFGEDPCQNVLKRLSVEAVCS >OIW10770 pep chromosome:LupAngTanjil_v1.0:LG06:3230984:3235996:1 gene:TanjilG_27716 transcript:OIW10770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLTPPSSPPPFPSFVENTSLRYNYIKEEKPPSPDPYGIGLENGNNFVPLPINVSCDIPLLNLHKIYFDLSSPPRSGEGTSSAARVATAMNGGAVVRAPLQSSKNTVRRTASSVNTGIAATIIHQPFHFMEMDNVRATPIVVPSSCPNNTYSGNVAVTVAPPPVPQIELEAPLVPFPYPNSKQGASQRPFEASTILVKRNKIVVAPVSAFAAESMARQQYLRRPELAHFPNHRDREWLTKEIVNHIHKATLKELNNLA >OIW10173 pep chromosome:LupAngTanjil_v1.0:LG06:7322985:7324073:-1 gene:TanjilG_27924 transcript:OIW10173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVVVAVAPPPPTVDFNFDSNCSSPYITAPSSPQRFGNLFFSAPTSPTRVSTFLDQLNNFTTTHSSSSSSSIPFQWEQQPGVPKPQQNNNKEEYHDDFEFNFTGHLEPPPLSAADELFDGGKIKPLKPPPRLQLSEGITSPRSPRKGKKIFSPRHNQKRDIDSDPFAMALEETRRREEQPLEQRGRERVPSSSSSSRKGTRSLSPLRVSDVMFETEDKVVSSTTSNTKSSSSYVSFLSSIPFTKGYRKWRLKDFLLFRSASEGRATDKDPLRNFDVLSKKSATEEDVRNSSFRSTESSGSVSSRRRGPVSAHELHYTMNRAASEEMKKKTLLPYKQGLLGCLGFNPGMNQISKGIGSFASRS >OIW10237 pep chromosome:LupAngTanjil_v1.0:LG06:7992755:7994209:1 gene:TanjilG_27988 transcript:OIW10237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVESPHVNLLSSQLLINNNNSDIMMKPNYHIHNHEIDGVMPMAPSTMHDSYFFPLYQQSSFCNQIPTSFNKSESGLTYHHQIPVTRKRSRDSITDSNVLLISQKNKLSSLNHQPSLQNQHFFLLHLHNQKFEIDRFIAEHTEKIRMELEEHRVRGYRKLLSVIQEAVEKKVKEKDEEIDRMVNLNWVLQEKVKSLSAENQIWRDLAQTNEATVISLRTNLEQVLAAAQISEDHRDDDAESSCGSNYVEACGGDAVVGRMCQNCGVSESIVLLLPCRHLCLCTVCGSTTRNCPLCHSGINASVHVNFDY >OIW10248 pep chromosome:LupAngTanjil_v1.0:LG06:8134107:8151027:1 gene:TanjilG_27999 transcript:OIW10248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALHFSATSRHVNSPLHYNSKLSITGFSQRNSICWLFFIRKSNSKRALRKLVVKNVASDKRQELNEPLSKQGMDWFYRFTFLHSLYDCISMESMGSASIASSIKYHAEFKTSFSPEKCELGKAYLATAQSVCDSLIINWNAIDDYYEKINVKQAYYMSMEYLQVCYVLYPGDESLEGKVLRLKQQYTLCSASLQDIFARFEKRSGNTVNWDTFPEKVVVQMNDTHPTLCIPELIRILMDVKGLSWEKAWDITKRHVEIICPLLPRHVEIIRRIDEDFIHEIVSKYGTDDLDLLQQKLRKMRILENIELPNSVIELLNHLKKVPASEDVKEIGLDDNDIKATEEKEDEDDNSAGEDTDKKTEWTFEVDPNLPRMVRMANLCVVGGLSVNGVAEIVKDEVFDEFYKVRIAAEYDCVTDLEKLAVLRKFADNEDLQLEWTESKKRNKIKVASFLKEKTGYVVNPNAMFDVQKGNPWEILRNDVSYPVKFYGEVISGPNGTKQWIGGENILAVAYDVPIPGYKTRTTINLRLWSTKVSPAEFDLQAFNTGDHAKAYAAMNNAEKVFRYSFITLYPNSSQNSLLLI >OIW10515 pep chromosome:LupAngTanjil_v1.0:LG06:5596626:5601334:1 gene:TanjilG_15887 transcript:OIW10515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGACVSTPKGCIGGRSSSNSKRKIRKRKNGGLRRKVPSRLSKGSLDKIDVPALPDRSFVNPTFQGEALKSTFWNEHNKISGGKVVLEFGVFVILMSLYEQLSITAVAGSTEESWFDSFAVFDSDCDDDYQSVPEDVVSLNGIEGGSVSSFPSSRDANHRSSDLQHFGGNEVNEAVFLDEISSVDASSNKDDKILDNCGILPNNCLSCLASTVPSFDKRRSSSSSPPSARKKAPMKLSFKSKEGHGNGTLFSSKTLLQRPIAGSQVPFCPIDKKMLDSWSQIDPSTFKVRGVNYLKDKKKEFASNYSAYYPIGVDVFLSPRKVDHIARFVELPAISSSGKFPPILVVNVQIPLYPATFFQGETDGEGVSFVLYFKLSESYNKELPLHFQESMTRLMDDEVEKVKGFPVDTIVPFRERLKILGRVVNLEDLNLSAAERKLMHAYNEKPVLSRPQHEFYSGENYFEIDLDMHRFSYISRKGFEAFMDRLKMCTLDIGLTIQGNKAEELPEQVLCCTRLNGINYMNYLQLGITQDPL >OIW10417 pep chromosome:LupAngTanjil_v1.0:LG06:6250150:6250314:-1 gene:TanjilG_24977 transcript:OIW10417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGRSSGMMNGGRRSLGRRCLGRPIPKRGQVKVGIVLGLANSVASIFTRSRTR >OIW10980 pep chromosome:LupAngTanjil_v1.0:LG06:1041259:1041660:1 gene:TanjilG_22787 transcript:OIW10980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGACVSFYHSPTATTTTTNAKARAAESFRRPATIMVMDMKGEIREFIHPIPASHVIADNLSCFLCNSESLFIGKCIPRVPDEEQLLPGKIYFLVPLSQSHNPLSLTRLCDLVVKASSALANKTNTNSLQTSSL >OIW09267 pep chromosome:LupAngTanjil_v1.0:LG06:28835026:28837104:-1 gene:TanjilG_01238 transcript:OIW09267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSYPNPPLVTTSEPESTQTSSTPIPLLTPLSAKRVVQKVKTNLVFSSKWAELNGAMGDLGTYIPIMLALTLAKDLNLGTTLIFTGIYNIITGALYGVPMPVQPMKSIAAEALSDTHFGVAEIMAAGIITGGIMFVLGVTGLMQLVYKLIPLSVVRGIQLAQGLSFALTAVKYVRKMQNLPKSKTIGDNRHWFGLDGLVLAIVCICFIVIVNGSGEKNQGCDDSENSDTTSNYDLGSHVNNEGSRKSSSRMRKIIFSLPSALLVFVLGVVLAFIKRPKVFSEIKFGPSPIELVKFSKHAWKEGFIKGTIPQLPLTILNSVVAVCKLSSDLFPRKKFSVTSLSVTVGLMNLLGSWFGAMPCCHGAGGLAGQYKFGGRSGGCVAVLGASKLILGLVLGTSLAHILNQFPVAILGVLLLFAGIELAMCSRDMNTKEDSFVMLICTTVSMVGSSAALGFLVGMLVFVLLKLRIWTKDKPFSTIWMHKVSQPI >OIW09553 pep chromosome:LupAngTanjil_v1.0:LG06:22897407:22898033:-1 gene:TanjilG_28152 transcript:OIW09553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLLFFLFGFLLSTTISNVAAKDFCIADLKGGLTPGGYPCKSLQSVTVDDFVFSNFTVGTPNQLKMSFTPAFVEQLSALNGLGFSLARVELEEGGVVPIHTHVDATEVTIPTGGNFTIGFISSDNVVYMKTISEGNIFVIPKGLLHFGLNVGKGKHSAIYVFSSEHRSLQVVDLALFGSNLDSNIVAKTTFLDIEQIKKLKTLFKGSG >OIW09155 pep chromosome:LupAngTanjil_v1.0:LG06:30479416:30483238:-1 gene:TanjilG_11293 transcript:OIW09155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAMSYCVTHFQKPPHVLFSNSPSFPNTHNPNRAFPKPITQNNTNFRFGKLGIRCSHKARHGVVSASEATIVAPSVEIVSKVGKRTDLKKILILGAGPIVIGQACEFDYSGTQACKALKEEGYEVILINSNPATIMTDPDLADRTYITPMTPELVEQVLEAERPDALLPTMGGQTALNLAVALAESGTLEKYGVELIGAKLDAIKKAEDRDLFKQAMKSIGIKTPPSGTGTTLRECMEIANKIGEFPLIVRPAFTLGGTGGGIAYNREEFEEICKAGIAASLTNQVLIEKSLLGWKEYELEVMRDLADNVVIICSIENIDPMGVHTGDSITVAPAQTLTDKEYQRLRDYSVAIIREIGVECGGSNVQFAVNPEDGEVMVIEMNPRVSRSSALASKATGFPIAKMAAKLSIGYTLDQIPNDITKKTPASFEPSIDYVVTKIPRFAFEKFPGSQPILTTQMKSVGEAMAVGRTFQESFQKAIRSLEYGYSGWGCGQVKELDQDWDQLKYNLRVPNPDRIHAVYAAMKKGMEIDEIFELSYIDKWYLQQLKELIDVENFLLSHNLSDLTNVDFYEVKRRGFSDKQIAFATKSTEKEVRVRRISLGVTPAYKRVDTCAAEFEANTPYMYSSYDFECESAPTEKKKVLILGGGPNRIGQGIEFDYCCCHASFALQAAGYETIMVNSNPETVSTDYDTSDRLYFEPLTVEDIANIIDLERPDGIIVQFGGQTPLKLSLPLQQYLDEHKPACASGVGHVRIWGTSPDSIDAAENRERFNVIINELKIEQPKGGIARSETDALAIAADIGYPVVVRPSYVLGGRAMEIVYSDDKLVTYLETAVEVDPERPVLIDKYLADAIEIDVDALADSHGNVVIGGIMEHIEQAGVHSGDSACSIPTRTVPSSCLDTIRSWTEKLAKKLNVCGLMNCQYAITTSGDVFLLEANPRASRTVPFVSKAIGHPLAKYASLVMSGKSLHDIQFTKEVIPKYVSVKEAVLPFSKFPGTDVLLSPEMRSTGEVMGIDYMYNTAFAKAQIAAGQKLPLSGTVFLTLNDLTKSHLEKIAKAFVESGFQIVATSGTAHILEVANIPVVRVLKMHEGRPHAADMIANGDIQLMVITSSGDALDRIDGLALRRMALDYKVPIVTTVNGALATAEAIKSLKSNSIKMIALQDFINDDFKQ >OIW10003 pep chromosome:LupAngTanjil_v1.0:LG06:10959271:10965263:1 gene:TanjilG_32743 transcript:OIW10003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSNPLRVCVVGSGPAGFYTAEKVLKVQQQAQVDIIDRLPTPFGLVRSGVAPDHPETKLPYEGEGGEEVVSFGYSYILKFLENKREAIEGFGCVVVYNFFFRVTSMSLGYLENLQQIVINQFSRVAQHERCSFFGTVTLGSSISLSELRELYHVVVLAYGAESDRSLGIPGENLKGIHSAREFVWWYNGHPDGRNLDPDLKSTDTAVILGQGNVALDVARILLRPTTELSTTDIASHALSALEESSIRKVYLVGRRGPAQAACTAKELREILGLRNLDISIQEPDLILTPDVEEGLKCNRIQRRVYELLSKAATSRPKNDAGLSKRQLHFVFFRKPDSFIESKDRSGHASGVNFEKTVLKGVGPGKQIAIGTGEFEDINCGMVLKSIGYKSVPVDGLPFDHKKGIVPNDRGRVLSDTSGESTLLEAGLYVCGWLKRGPSGIVATNLHCAEETVLSISEDLEKGVLSSSSPKPGRDGLLQLLNDRNVRVVSFSDWEKIDSEERKLGSSRNKPREKLATWDELQKYTL >OIW09402 pep chromosome:LupAngTanjil_v1.0:LG06:28532760:28534803:1 gene:TanjilG_20999 transcript:OIW09402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSFKHSVAIPTTGEVHVIVGPMFAGKTTALLRRIKSEVDNGRNVAMLKSSKDTRYAIDSVVSHDGIKFPCWALPDLMSFKEKFGHEAYQKLDVIGIDEAQFFEDLYDFCCNAADNDGKTVIVAGLDGDYLRRSFGSVLHIIPLADSVTKLTARCELCGKRAFFTLRKTEQKETELIGGADVYMPVCRNHYVNSQVITESAKSVFESHKVNRDSLLVEAAPVV >OIW09225 pep chromosome:LupAngTanjil_v1.0:LG06:30972253:30973314:-1 gene:TanjilG_11363 transcript:OIW09225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANNSCCSYWFAIYFAISVTLGIIAISSVVHSSSKNSVQQVSPPSITHDISVNASNALRKAGFTFMAQLLHSSPQSPPFFLPPQNSTLFAIKDSSIKNTTLPLYLLKNLLQYHTSTSRFSMQDLLSMSRLTCIPTLFRGKNVAITKVDLDPKKRSIEINNVLISNPDIFLDDQVVIHGVLAPFSSLHPQGVLDFIHSSSCHRFNNNNNNNSSVTILEEVVKMLSSKGYTSFSIALHYVLDSIKEDWVSLNSATIFAPPDFDLMSYPSTLLDKTVRIHILPQRFSYKELISLPVRTLLKTLMPDNNLEIDGVLDFMSGIVISGVEIVVPDMFTSEKFVVHGISRVFKMAELATS >OIW10054 pep chromosome:LupAngTanjil_v1.0:LG06:10379757:10383480:-1 gene:TanjilG_32794 transcript:OIW10054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKEMSGEGLSANMAGMSKNQLYHIMSQMKNLIEQNQQQARHILIHNPLLTKALFQAQIMLGMVQSPQVVSNVQPMATQNTQQSVQPLQQPNVQSASLLHGQVGSQDQNQPFAVQPRKHQNQPSVPVPSAAVPAMSHQSQQPLSGHSLQMPQQPKGHLTPQVAPASRPQSAQIPNITSPSLHKSSQPLHPPQVPAASSQLQQPSQASGFPHMPLQPPRPQIRPPSGPAFHPQYPPQVGANLGFQNAGAPHNIPQSVFHAGTKSPASAGNSFPQGQTPLPSQQPSKSPYQVGNMPSGPDFGNQAGNAMQVDRPWMPGPSENPAQLSGPSGPPPSIVSGQMGTANQPLRPPGLSPEMEKALLQQVMSLTPEQINLLPPEQRNQVLQLQQMLRQ >OIW10324 pep chromosome:LupAngTanjil_v1.0:LG06:8821448:8825692:1 gene:TanjilG_28075 transcript:OIW10324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGLIKGLVDVALGGNRNHHDDDNNDEQRDERSRSSWAEIVSGEEDRPTPQQQEEEQWQDDAYRTTSNTRPHQEKYHPKQGEWESESFHASNRPNKSDEDNNDGWQTVGDKHSRQQHKVPKDNWNQYKLPPDEQQYSNEVEVGGRVEPSEDELTDLSRASEKLWDLDLNRLVPGNDYEIDCGEGKKVFQKDDMAQGSLFTWVNDDVFRKPTFSRFLSLLDNYNPHQGSKEVVTSEERQEQASFIEEISRTAPIKYLHKYLASKGIASGSYQDFKRMMTSLWFDLYGRGGTSGSSSAFEHVFVGEIKQNNQVSGFHNWLQFYHEEANGRVDYQGYIFPRRRGEIPDSETQLLTIQFEWNGVLKSVSSTLIGVSPEFEVALYTLCFYAGEEDNHIQLGPYSVNIKCYHLGDRIGSVFPISDS >OIW10932 pep chromosome:LupAngTanjil_v1.0:LG06:1895829:1897181:1 gene:TanjilG_27878 transcript:OIW10932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGNAQKAKMAREKNLEKQKGASKGSQLDANKKAMTIQRHFCWAKRFCRVFCSSLSILRARARASSKVKCDGNIEPEKRDSDLGFIPVQGVYANIYLHHIRSEVQGAC >OIW09575 pep chromosome:LupAngTanjil_v1.0:LG06:22682573:22682776:1 gene:TanjilG_28174 transcript:OIW09575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNAGFIAAACGKLDNLLQHSSNVESNGLSKAVGTGVSAVKTHNKKTAMKPAYRPEYVYGSCNGYHY >OIW09057 pep chromosome:LupAngTanjil_v1.0:LG06:31203131:31205635:-1 gene:TanjilG_16284 transcript:OIW09057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQDDDFLEQCFNDEDNDDLFIRNILHDPAFSSESETQSLHNPYNSNIVQNNFTTTTTITDTKDNMITDEITCLDRSGNRLLNSSTPKSYILSFDNSTIIPATPEPILGDEKIIGICSSKSNNSPLSTRKRTLENRNIEPKPKANNFQEGKKNRSDSKTMDHIIAERKRRQELTEKFIALSATIPDLKKTDKVSILHEAINYVKQLQERVSELEKVNNKGYNESIIFINKIEIFGDENGTFSETSSEDCCRPIIELLPDVEARVFGNEVVIEIHCDKENGIEYQILEQLQNFHLSVTGSSVLPFGNSTLGITIIAQMCDEYKMTVNDLVKNLRQVLLKNIPCNTDPFYSTLRYL >OIW10599 pep chromosome:LupAngTanjil_v1.0:LG06:4892218:4898019:1 gene:TanjilG_15971 transcript:OIW10599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSNDASPLVEAKVPLLEDQTLAKHPIIEEEEHDQDLKRKVWIESKKLWHIVGPAIFSRIASYSMLVITQSFAGHLGDLELAAISIANNVIVGFDFGLLLGMASALETLCGQAFGAKKYYMLGVYMQRSWIVLSICCVLLLPIYLFASPALKVLGQPDELAELSGNVAIWLIPLHFSFAFQFPLQRFLQSQLKTAVIAWVSLLALLVHIFVSWLFVFKLQFGVIGASATTNFSWWALTLGLYAYATRGGCPNTWTGYSMEAFSGLWEFVKLSASSGVMLCLENWYYRILILMTGNLPNAEIAVDALSICMTINGLEMMIPLAFFAATGVRVANELGSGNGKGAKFATIVSVLTSIIIGLFFWILIMIFHDKFGYIFSTSKPVLDEVNKLSLLLAFTILLNSVQPVLSGVAVGSGWQSYVAYINLGCYYVIGVPLGFLMGWVFNQGVMGIWAGMIFGGTATQTLILAWITIRCDWENEAEKARLHLTKWAETKQEVI >OIW11060 pep chromosome:LupAngTanjil_v1.0:LG06:1692832:1695720:-1 gene:TanjilG_22867 transcript:OIW11060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPRYSRLPQRKSSSSSSSLSNSTLILTLLLIFTLLVLILLALGIFSIPSSNSRPNFPKPNDLTSISHNTIHSRSDNEKEEEAEGKGEKWVELISWEPRAFVYHNFLNNEECEHLISIAKPNMQKSTVVDNETGKSKDSRVRTSSGTFLARGQDKIVRNIEKRIADFTFIPIEHGEGLQVLHYEVGQKYDSHYDYFLDEFNSKNGGQRIATVLMYLTDVEEGGETVFPAAKGNFSSVPWWNELSDCGKKGLSVKPKRGDALLFWSMKPDATLDPSSLHGGCPVIKGNKWSSTKWIRVNEYKV >OIW10506 pep chromosome:LupAngTanjil_v1.0:LG06:5696885:5701261:1 gene:TanjilG_15878 transcript:OIW10506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSLKLIKEHASDPKLWLVIGVGVAGIVVLAETRRRRRRGNSQKQDFGAFLERFEILPFPQPPPPAAKQTLSSLTFAIKDIFDVKSYVAGFGNPDWKRTHEVAEKTAVVVTALLKSGATCVGKTVMDELSLGISGENQYYGTPTNPQMPSCIPGGSSSGSAVAVAAGLVDFAIGTDTTGCVRIPASFCGIFGFRPSHGAISTIGVLPNAQSLDTVGWFTRDPSVLHRVGHVLLQLNPVETKRSRCIIFADDLFQLSKVPTQKTIHAISKAIENLSGYQAPKHMNLCQYITSKVPTLKLHEQSTYQQNGPSVLKVLSSVMLTLQGYEFKTNHEEWIQSVKPKFGRNLSGRVIAAINTSHDNIKTLYKVRTEMRVAFQNLLKVL >OIW10790 pep chromosome:LupAngTanjil_v1.0:LG06:3083543:3084656:1 gene:TanjilG_27736 transcript:OIW10790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVIAKLLLLISWLSFGIAIILLITATSMNRKQPYGVGWLNGECYLVKGGTYAGSAILVLATVGSVIGSTLATIKTNQADQGRKIHAQLG >OIW09285 pep chromosome:LupAngTanjil_v1.0:LG06:29100556:29100939:-1 gene:TanjilG_01256 transcript:OIW09285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMASNKLFSTILMLSLLAHSSTLTNACGTCNPTKPTPTPSKQKCPNDTLKLGVCADVLGLVNVIVGSPASSKCCALLQGLTNLEAAICLCTAIKANVVGINLNVPVSLTLLLSACQKSVPSGFQCE >OIW09242 pep chromosome:LupAngTanjil_v1.0:LG06:30055462:30058225:-1 gene:TanjilG_26455 transcript:OIW09242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSGASTGWLYDYYDFDVAGHDFMALDSDAFTWLPHTHTFNDPSNMSLEMEYSLDSTMLEKSPSKRFLELSSILEPDRPSKVDKVTILSDAVHVVTNLRNEAQKLKDMNHELLLKVKELKAEKNELRDEKNMLKADKERLEQQIKLTNVHPSFLPHPTVAKGAHGVNHKLIPFVGYPGIAMWQFMSPSVVDTSKDHLLRPPVA >OIW09067 pep chromosome:LupAngTanjil_v1.0:LG06:31265379:31276534:1 gene:TanjilG_16294 transcript:OIW09067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWNNNGQIAPPGTTLPSIPPPPAPQPSYTVLPPPLPTPAETEADAEAQLEEKARKWQQLNSKRYSDKRKFGFVETQKEDMPPEHVRKIIRDHGDMSSKKFRHDKRVYLGALKFIPHAVYKLLENMPMPWEQVRDVKVLYHISGAITFVNEIPWVVEPIYLAQWGSMWIMMRREKRDRRHFKRMRFPPFDDEEPPLDYADNLLDVDPLEPIQLEMDEEEDSAVYTWFYDHKPLVKTKLINGPSYRKWHLSLPIMATLHRLAGQLLSDLIDRNYFYLFDMESFFTAKALNMCIPGGPKFEPLYRDMEKGDEDWNEFNDINKLIIRSPLRTEYRIAFPHLYNNRPRKVRLCIYHTPMVMYIKTEDPDLPAFYYDPLIHPITSANKERREKRIHEEEDDDWILPDGVEPLLKDTQLYTDTTAAGISLLFAPRPFNMRSGRMRRAEDIPLVSEWFKEHCPPSYPVKVRVSYQKLLKCFVLNELHHRPPKAQKKKHLFRSLQATKFFQTTELDWVEAGLQVCRQGYNMLNLLIHRKNLNYLHLDYNFNLKPVKTLTTKERKKSRFGNAFHLCREILRLTKLVVDANVQFRLGNVDAFQLADGLQYTFSHVGQLTGMYRYKYRLMRQIRMCKDLKHLIYYRFNTGPVGKGPGCGFWAPMWRVWLFFLRGIVPLLERWLGNLLARQFEGRHSKGVAKTVTKQRVESHFDLELRAAVMHDVLDAMPEGIKQNKARTILQHLSEAWRCWKANIPWKVPGLPVPIENMILRYVKSKADWWTNVAHYNRERIRRGATVDKTVCRKNLGRLTRLWLKAEQERQHNYLKDGPYVTPEEAVAIYTTTVHWLESRKFSPIPFPPLSYKHDTKLLILALERLKESYSVAVRLNQLQREELGLIEQAYDNPHEALSRIKRHLLTQRAFKEVGIEFMDLYSYLIPVYEIEPLEKITDAYLDQYLWYEGDKRHLFPNWIKPADSEPPPLLVYKWCQGINNLQGIWDTGDGQCVVMLQTKFEKFFEKIDLTMLNRLLRLVLDHNIADYVTAKNNVVLSYKDMSHTNSYGLIRGLQFASFVVQYYGLVLDLLLLGLTRASEIAGPPQMPNEFITYWDTKVETRHPIRLYSRYIDRVHILFRFTHEEARDLIQRYLTEHPDPNNENMVGYNNKKCWPRDARMRLMKHDVNLGRSVFWDMKNRLPRSITTLEWENSFVSVYSKDNPNLLFSMCGFEVRILPKIRMTQEAFSNTRDGVWNLQNEQTKERTAVAFLRVDDEHMKVFENRVRQILMSSGSTTFTKIVNKWNTALIGLMTYFREATVHTQELLDLLVKCENKIQTRIKIGLNSKMPSRFPPVIFYTPKEIGGLGMLSMGHILIPQSDLRYSQQTDVGVTHFRSGMSHEEDQLIPNLYRYIQPWESEFIDSQRVWAEYALKRQEAQAQNRRLTLEDLEDSWDRGIPRINTLFQKDRHTLAYDKGWRVRTDFKQYQVLKQNPFWWTHQRHDGKLWNLNNYRTDVIQALGGVEGILEHTLFKGTYFPTWEGLFWEKASGFEESMKYKKLTNAQRSGLNQIPNRRFTLWWSPTINRANVYVGFQVQLDLTGIFMHGKIPTLKISLIQIFRAHLWQKIHESVVMDLCQVLDQELDALEIETVQKETIHPRKSYKMNSSCADVLLFAAHRWPMSKPSLVAESKDVFDQKASNKYWIDVQLRWGDYDSHDIERYTRAKFMDYTTDNMSIYPSPTGVMIGLDLAYNLHSAFGNWFPGSKPLLQQAMNKIMKSNPALYVLRERIRKGLQLYSSEPTEPYLSSQNYGEIFSNQIIWFVDDTNVYRVTIHKTFEGNLTTKPINGAIFIFNPRTGQLFLKVIHTSVWAGQKRLGQLAKWKTAEEVAALVRSLPVEEQPKQIIVTRKGMLDPLEVHLLDFPNIVIKGSELQLPFQACLKIEKFGDLILKATEPQMVLFNIYDDWLKTISSYTAFSRLILILRALHVNNEKAKMLLKPDKTIITEPHHIWPSLNDDQWMKVEVALRDLILSDYAKKNNVNTSALTQSEIRDIILGAEITPPSQQRQQIAEIEKQAHDTNQSTAVTTKTTNVHGEELIVTTTSPYEQAAFGSKTDWRVRAISATNLYLRVNHIYVNSEDIKETGYTYIMPKNILKKFICIADLRTQIAGYLYGVSPPDNPQVKEIRCIVMAPQWGTHQQVHLPSALPEHDFLIDLEPLGWMHTQPNELPQLSPQQWDGEKSIVLTCSFTPGSCSLTAYKLTQSGYEWGRINKDTGSNPHGYLPTHYEKVQMLLSDRFLGFYMVPDNGPWNYNFMGVRHASGMKYGVKLGTPREYYHEDHRPTHFLEFSNMEEGETIAEGDREDTFS >OIW09063 pep chromosome:LupAngTanjil_v1.0:LG06:31245223:31245444:-1 gene:TanjilG_16290 transcript:OIW09063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDILSIPVSTVSADSVFDTETRKMDSYRTSLDPMTLEALICTKDWFQHESLPNDFANGSCHSRDRFSSSSF >OIW10435 pep chromosome:LupAngTanjil_v1.0:LG06:6446161:6446400:-1 gene:TanjilG_24995 transcript:OIW10435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSNSLLFEYAAPSIQLATEMQVGIGLASSSSQVKRPCHFQDTHITSWVLLGGPICEYNNNQILVSLGEVGYMDLMIP >OIW10706 pep chromosome:LupAngTanjil_v1.0:LG06:3845061:3845561:-1 gene:TanjilG_16078 transcript:OIW10706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAISKNTISLKTADGDIHEVSPMIAKQMQIVQSFIEEESFETSTVIPLPNVKSVELSKIIEYLNYHQLIEEDSTYSAPDDAKKRFNARFVKELSGCEMIQLILAANYLDVKDLLEFMCQAVANLIKNKSVEFVRKFFGVVNDFTPEEEEKIRKENQWAFENIDKD >OIW09277 pep chromosome:LupAngTanjil_v1.0:LG06:28985700:28991816:-1 gene:TanjilG_01248 transcript:OIW09277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTKLRHITLPLRSYSILSNPPGSSSSRPLVKYRNLIKEGKLQHDPFQEGVASQLQNLLQRLDQYEKQMEQYHVNLSNWEKNRENERRRLLTEEAELHQKEEDWWKRLNSKLSDRWVSRKRPKNMEAGVGQWVSYLKREKKLNSVVGQRPIAPTSPKGLYIYGNVGSGKTMLMDMFYSATEGIVKHRRRYHFHEAMLRINEHMHKIWKNQVEEKPLKSSISGWIMNLPFDTKAKEWLAAEERYNQEVHLKNILPAVADKFFLDQEEDEKGASILCFDEIQTVDVFAIVALSGILSRLLNSGTIIVATSNRAPEDLNEAGMQREIFQKLVRKLEEHCENVLIGSEIDYRRFIAQKAVNQIEGENNRSPRIGSYFWPIEMEAINKFEEKWHDVTSRLGGRIVSNTISVMFGRTLEVPESCEGVARFTFEYLCGRPLGAADYIAVAENYHTVFISDIPMMSMRIRDKARRFITLIDELYNRHCCLCCLASSSIDELFQGTEEGTLFDLESFQFETETEGAKLRRDVLAEGNLGSGGGPVGITSILSGQEEMFAFQRAVSSKPVTLTGF >OIW08882 pep chromosome:LupAngTanjil_v1.0:LG06:32846917:32854197:1 gene:TanjilG_05857 transcript:OIW08882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTSKFACRVLDYPLCLVLIFVVCLLLFGIVSRLNIPNVSYTTVTKFHHYDHNHVVISKGEGYPPVLAYWIIGTRGESNKMLRLLKAIYHPRNQYLLQLDDASSESERMELAISVHSFKVFEAFENVNVIGKSYAINRIGSSALSATLHAAALLLKLKQDWDWFITLTASDYPLMTQDDLLHAFTFLPTHLNFIHYTNKTVQKVQRNIDQIVVDQSLHDERSSPLFFAVEPRDKPDAFKIFGGSPWMILTRDFMDYCVNGWDNLPRKLLMFFTNVAYPLEYYFHTVLCNSLEFLNTTVDNNLMYNLWDTDPSETQLIDLSYYDTMLENGAAFAHPFGENDIVLDKIDDLILNRTSNGLVQGKWCSNTEAEDELCIMSSNIDDVKPGLHGIKLKTMLDEIVKNKPLSLVSYTFMSNSSHRPPESTVTDDDKRRRDAPPPPSDTPVFRIVCPASKTSDLLSSSSLTVTGTRIAIDEFTLPPSPDQRIILITSSEDRDIISDASSFSSSSTNAQIGYVLGRGGKIIDKIRHDSGAHVRVLPKDQSSNSHLEFIQITGNFAAVKKALLSVSTCLQESATARVVDHPPNSAAFRPGPGVPHGNGLPSQHEPYPQRGYAPGPYALDHHPRGYSFPGPEPGHRMFVEEEVVFKLLCQQDKVGSLIGKGGSIVRALQNETGASIQIVDAGPDSEERVTPEQNPSPSQEAVIRVHHRLSEIGFEPSSAAVARLLVRSPQVGCLLGKGGHVISEMRKVTGANIRIFSKEQIKYIPQNEEVVQVMGNLQSVQDALFHITSRIRETVFPMMALPPNFSGPPPPPFPEMPPPPLFRPGNHLMSSGHPPPPPPHYVGPPHGIDHSGVPSLPVDHHHQRAFSHGVGHGPPPPNMDRVPYPRGYEDSNSPRSWNPQAHNRMNPGGTADTLSVASRNETPGKNGNLLQNPNSSTVEITIPHMYLTHVCGENSSNLVQIQQISGASVMVHDPKPGATEGLVIVSGAPGQTHFAQCLIHAFILCGQTAA >OIW09608 pep chromosome:LupAngTanjil_v1.0:LG06:22225588:22242083:-1 gene:TanjilG_28207 transcript:OIW09608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKTESSSDHVVLFVEQNSPKVSSIDIENGKNDEKPKHPLRVKTLNRLSFSKPKSRIQEYNYIPHKRVTISEENDIQFTDEEDEEDKWDLDEEDIEEDDEENGSKSHKKRNCKVKWRLLLEWVLFLNILTCLVCSLIISSIKNIHILGLEIWKWCLMAMVTFSGRLVSGWLTGVTVLVLERNLMLRDKALYFIYGLRKSIRNCLWLGLVLLSYWSVVFEDVQKKNHNFINKALVAVLVAATIWLVKIVLVKMLASSFHVTTYFDRMKESVFHHYILETLSGPPMQDAEEILKQQHLTGSKSMPTRFKDTKNMYKSKKFGSRKIDMEKLKELSMERSKSAWSVKRLVNYVRSSGLSTISRTVDDFGNTESEISSEWQARNFAQKIFKNVAKPGAKYIEEEDLMRFLKRVEIHTIFPLFEGALETGKISRSSFRNWMIGAYYERKSLAQSLNDTKTAVQQLHKLASAVMIVEEMNILTTVFLRYDNEKIYYPNAILLSKPISNFYRSPEMSDTIDFTIDATTPMENIIALKKSIQMYKLIKEVGDGSFGTVWRAINKQNGEVVAIKKMKKKYYSWEECVNLREVKSLRKMNHQNIVKLKEVIRESDVLFFVFEYMEFNLYQLMKKREYLFSEGEVRNLCFQVFQGLSYMHQRGYFHRDLKPENLLVTKDIMKIADFGLVREISSQPPFTEYVSTRWYRAPEVLLQSYLYSSKVDMWAMGAIMAELFTLRPLFPGASEADEIYKICSVIGSPTTESWTDGLKLARDLNFQFPQLAGVHLSGLIPSISDDAISLITSLCLWDPCKRPTAAEVLQHPFFQSCFYIPPSLRTKAVARTPPSCSVRGALDRQAVKRHSVALPDSKPTNIFSSSKLHPSLASGVQRKLDMANEDGVKNDKSLKTSRQPKYRPPGKDSPTSSTNKVQNARRVSETTEKLANMSVGTRRWSLGQPLPPTTLKNRGNLVSESGNLVRPAQHVPTTRRTYTRKVTR >OIW09268 pep chromosome:LupAngTanjil_v1.0:LG06:28839900:28845700:-1 gene:TanjilG_01239 transcript:OIW09268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGEENFNGASITKKSRSLDLKSLYKSQLTKESPKKKSLKRDASSLGGDDKKRNRRKKVKKEVSLSRLDNVDSRIKKTLDGEPSSGRQDSLELKFGLNQRLSSSSGPDGVLLCFRDNIVLVPTRKRSERKKIDVLEGLPSYETVHGGQMLKIGRHGVHKGIDSMKVRQKKNSNEFKENKEKGSSNSNSLQRFKGNEYIASHPLVNGAASSLKKSRRKDRRRKTLASVRTSVANEEEPLIDEEEENLEENAARMLSSRFDPSCTGFSSSSKSSRLPSENGLSFWLSSSQNIVNHGSKSWLDSESASVDTSGRNLRPSQQSEDNGKMRKRRHFYDIPLDDVDVQWLLMRRIKVFWPLDHSWYYGLVNDYDKENRLYHIRYDDRDEEWVNLQTERFKLLLLHSELPGNPRWRRASTKSRSSDQPNGSKSINERQRRTTTTTGDDNCGGSSMDSGPIISWLAQSPLQLKSSSHVYKKQKTSVTPQSTNTSVLYGEPVRVKEHLAKNSMGDVKNNLSCDLVSQDNSENLRKESLLQRATSAKDGKHHIVYFRKRFQWAAPRPVIGEPSDRRVEVEGPLCFTYMAGVSKIFWDMESAAFRFDLNLPIRTVLNCYFESESLWLRRAVMLHNYGTVVTKWPRVSLEMLFVDNVVGLRFLLFEGCLDMVVAFVLFVLRLFCQPAPQGKSVDLQWPFTSIGFKFSRVHMIKKPLVFELYSFSKVKNSKWMYLDSKLKRHWLLSKELPLSKCTYDNIQALQNGPSEFPMTSISDPISVKVLDEKSGKKRSPGIYIMGGSKVSTEVDTQSLDASETKFPPFAHSFAATNFLRLHLDFLMKQSTARMNFCGREPMHDQEEFGLVKDDCSGSNLKKHTMTLSKGAAGYEHCCAELDQVIGPSTCSDHILSEKYQDIGLNGAGTSISLGSKRHGTTIPLPEYKSHTSRLELSSLRLSSSIHNDNASDCSHSFNLSVQNPQFEKHVDRDLHRAQHSSDFSWNIDGGVIPSPIPTAPRSYLHRNRNSSLSSHGWSVGKADSFYSGFSVGPRKPRTPVSYSVPFAGFEVSSRHKSHDQKVLPRKRIRKVTEKKSLDVAIVPEKNFESLSCDVNVLITVGDKGWRESRAQVVLELLDHDEWKLSVNLLGITRYSYKANQFLQPGSTNRYTHVMMWKGGKDWTLEFPDRNQWTLFKAMHEECYNRNICAASVKNIPIRGVHLIEENDDSGPEVTYVRSCNYFRQVETDAEMALDPLCVLYDMDSDDEQWISNIQNSEKDNSSLNGLSEKMFEKTIDMFEKAAYAQKCDQFTPDEIEELMVDVGPLCLVKTIYEHWQRKRQKKGMALIRHFQPPLWKRYKQQVKEWEVALSKNNSPYCNGGLDKVATLQKPPMFAFCLKPRGLELVNKGLKHRPQKRVSLSEHTNNILYHDGFHTFERRLSGFAFGDENFIYSGHSYVSMDDSPLSQTPPRVFSLQGPGSMRYHSIDNDEYYRSPVPKFQRGNSSKFGSFMYSNDSQFKASYSQRMSASGKRNGTKLQHLPDGPQRYGSEQLEGPALDEFKLHDAASAAQHAVYIAKFKRHRAHVMQSRADVAIHRAAVALMTAEAMKVSKDSVGGDTEPEVASK >OIW09026 pep chromosome:LupAngTanjil_v1.0:LG06:31662563:31664692:-1 gene:TanjilG_06002 transcript:OIW09026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTVQRTPRSGRQSLFFQDLASPVSTRRGKFSSPGQTAVVSTPWRENFGGSDLPPPPFYTLEDRSDFSPESGIPDYQISPETNSDPRTPTQSSNRDFSTPLKTKSEASTSYALRGVQQNQQGSPSLNWWSPAKSGSEQDDKGKSSPVEGVVQPGALITLPPPLEVARPEVQRNSLPAGNLNEEEWVTVYGFSPGDTNLVLREFEKCGEILKHVPGPRDANWMHILYQNRSDGQKALNKNGIQINGVLIVGVKPLDPMQRQAVNERQNNQEFMPLPLSSARLSETSTLRASSRPYYLQNGNSGVRQTGGTIASPTKSLVSKVMDLMFGV >OIW09584 pep chromosome:LupAngTanjil_v1.0:LG06:22567424:22570171:1 gene:TanjilG_28183 transcript:OIW09584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRGKRAFSSSIPTTTTTISAKPFNLNLIPKSNNPSKPILDHTYISQLLSRTDWSLLLKHELSSKRLTLNPLSVITIFQNQQNPLHSIKFYTWVSNINPQLSKDSSLQRVLGDMLYRTGPVVLSAELIQDFRDSGFRVSLDLLCVLMGSWGRLGLANFCNDVFGQISFLGISPTTRLYNALIDALVKSNSIDMAYSKFQQMALDNCFPDRITYNVLIHGVCKIGVVDEALRLIRQMKDKGLSPNVFTYTILIDGFCNAKRVDEAFGVLETMKESKVRSSEATVRTLVHGVFRCVDPSKAFELLSSFFYRKPIHFKLACDTILYCLTNNAMVKEIIMFLREAEERGYVPENSTFNVIMACLVKGAEPKETCEIFESFRMKGVEPSIETYLALIETLYKDEKTEEGDRISNQMIRDGLISNVFSYNMMINCFCRAKMMDNASEVFRDMQLKGFTPNLVTFNTLINGHCKGGSIVKARELLEMLLETGLQPDMFTFSSIIDGLCRVKRTDEAFQCFNEMVESGIRPNSIIYNILIRSLCAIGDVVRSTKLLRRMQEEGISPDTYSYNAFIQCFCRMNKVEKAEKLFVSMSRSGLIPDNYTYSAFIEALCESGKLVEAKKMFYSMAANGCSPDSYICIGNQQRSPSVNLHDQFPSLVSASSHFTAEYIVSVPLFSSDHVLSSIRLFAFD >OIW10304 pep chromosome:LupAngTanjil_v1.0:LG06:8549614:8553263:-1 gene:TanjilG_28055 transcript:OIW10304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLLFFLLPFFLYLHHSTTAHTLSDYHSLLSFKTTSVTSDPTHFLSSWTPSTPYCTWPGITCDSSNRHVITLNLTSLSLSGTITTLHLPFLATLSLADNHFYGPIPSSLSSLSFLKYLNLSNNNFNSTFSPQLSKLQNLQVLDFYNNNMSGPLPVSVANMTNLKHLHLGGNFFSGQIPPEYGKWRNIEYLAVSGNELTGTIPPEIGNLKSLKELYIGYYNTYSGGIPPEIGNLSELVRFDAAYCGLSGEIPAEIGKLQKLDTLFLQVNALSGSLTSELGNLKNLKSMDLSNNALSGEVPASFSLLKNLTLLNLFRNKLHGSIPEFIGELPNLEVLQLWENNFTGSIPKSLGQNGKLTLVDLSSNKLSGSLPPDLCFGQKLQTLITLGNFLVGPIPDSLGKCESLTRIRMGDNFLNGSIPKGIFGLPKLTQVELQDNFLSGNFPESGSISPNIGQITLSGNQLYGPLPPTIGNFTSMQKLLLDGNKFSGPIPPEIGRLQQLSKMDFSHNKFSGPIAKEISHCKLLTFIDLSRNELSGEIPKEIKNMRILNYFNISRNHLVGTIPGSIAAMQSLTSVDFSYNNLSGLVPGTGQFSYFNYTSFLGNPELCGPYLAPCKEGGANGSQQHHVKGPLSPSLKLLLVIGLLVCSIVFAVVAILKARSLKKAGTRAWKLTAFQRLDYTADDILDSLKDDNIIGKGGAGIVYKGAMPNGDLVAVKRLPAMSRGSSHDHGFNAEIQTLGRIRHRHIVRLLGFCSNHETNLLVYEYMPNGSLGEVLHGKKGGHLLWDTRYKIAVEAAKGLCYLHHDCSPLIVHRDVKSNNILLDSNFEAHVADFGLAKFLQDSGTSECMSAIAGSYGYIAPEYAYTLKVDEKSDVYSFGVVLLELVTGRKPVGEFGDGVDIVQWVRKMTDSNKEVVLKVLDPRLPSFPNEEVMHVFYVAMLCVEEQAVERPTMREVVQILTELPKPPGSKHGDLTFTESSLTSSNGSESSTPALSKEPNDQEHPQKSSSPDLLLSI >OIW10069 pep chromosome:LupAngTanjil_v1.0:LG06:10232585:10232917:-1 gene:TanjilG_32809 transcript:OIW10069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKCRCEVQCCGDVFYDVRNHRMEDRVIVFLRGLNDSYAGVRSQIMIMDPLSKKGKCMDNNWNLRPWLCQTIGEREAILEEAKVQENHLCQLVLKRQFKWFRKRLVEQEL >OIW08975 pep chromosome:LupAngTanjil_v1.0:LG06:32091324:32096440:1 gene:TanjilG_05951 transcript:OIW08975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKDKSLGHGGGFLPPTGRYSGYSPTGNVAFNVKSEPASSSSSLFHSSVIPGTSADCSGFSHDISKMPDNPPRKRGHRRAHSEILTLPDDISFESDLGVVGGGDGPSFSDDTEEDLLSMYLDMDKFNSSSATSVFQFGELSNAAAGSGSGPTSAPASGAPTSSAENIVSGNNERPRIRHQHSQSMDGSTTIKSEMLVSGSSDDMSSIDSKKAMSAAKLAELALIDPKRAKRIWANRQSAARSKERKMRYIAELERKVQTLQTEATSMSAQLTLLQRDTNGLNSENSELKLRLQTMEQQVRLQDALNDALKEEIQHLKLLTGQAMPPNGRPMMNFTSFGGGQQFYPSNNHGTHTLLAAQQFQQLQIHPQQQQHQFQRQQQMHQQQQQQQQMLQQQQQQQQMLQQQQQQQMHQPQQQQQMHQPQQQQQQMHQPQQQQQRQEQQQQEQEQQHQQSGDLKMREATPPPCPRDNTSPHANPSESKDC >OIW08956 pep chromosome:LupAngTanjil_v1.0:LG06:32227748:32231467:-1 gene:TanjilG_05932 transcript:OIW08956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYGDDAVTFRPSLVSLPPFSPSSRRRRRLSTTFVERSRPVFSSTVGLISLQGRDVNAEVASSASTIGLNGDEAIAWELFSPIHRFLIVAVVGVAVAESKKLQQICQLKKSVQLRDQMLLSMQQKLDDLCEQLNSTTKEISPASTKGEEMQLTKTFGTEKIKFVDCGCWHCEQHSGMFNESTGASVTTVSNGNQMLQYKLSLSNEEPEERRMSDLSDWASSVTSAADIQFNNMIVEQDVCNLKRDCEEKDKTIKQLSTLLSSSEVCNNKRVTELEDIVRMKNTTISKLKKDLVVLEQQVVQLTRLRRPSSANDNSNNVNFPQMRDNLIYDMESTTSPSSSDSDSTPVSPVVDAIVVNQDSAAGKGQKSAPAKISRPSGRIFERRSKYQSITPLKEASAVRKSTNAASSASQKQLSPRGDLKKSRRRSLNGAMSATAQKRLVLQ >OIW10793 pep chromosome:LupAngTanjil_v1.0:LG06:3067700:3069129:-1 gene:TanjilG_27739 transcript:OIW10793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALISELLANIILLVTRSFSLLKLAYLIGIRTAIIVTYAWTELIRGTIIFHLNMILGTITWTFGLISLPARVVNSFQRERQASFHSIALEQKLHQMQNKLESLIWDQKELQERFQMVAKEHKMMELIFAELEEEHDKAIAKIEKLERKLQDQINENLRLKEIQGKAYWSSKDQNDTDSGQNIDASSYNNPVMQCKSSYNRNGISLQDLLMHKDIWEFDNKTRTELLKLLKTGNKSGSVTQVKPEMISNDVVEMKGVLDQRRDIALSQSLFSAIMSLIVGMTIWEAEDPCMPLVVALFAVVGMSLKSVVQFFSTIKNKPASDAVALLSFNWFILGTLTYPSLPRVARIVAQLLLRVMGQTMSRFGFPPVA >OIW11051 pep chromosome:LupAngTanjil_v1.0:LG06:1623666:1625032:1 gene:TanjilG_22858 transcript:OIW11051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METPSSTRRVTRSQAWASSNSSNIPVSRKIEDSEKNHSISKERNNGCALMDITNDSPIVGLANGGNLDTPLSSMAKHGGSRMKKTPGSGEALLRGQVKNLLHKVEEEAVLSKISLESRPFLQLMNSPMVLAAPTPANTPQIANLSVGDSVSVTPSTIVQEQLIPQVLNHMFDGNNNGEDTESEKNVITRSLLLDFSEKSEISDASECFSELSYQEVVQGVDDDASIWSMQVNASTPDEDYDDDNVEDEIAEDEDDGDYYEDGEEEEGDAEDDGGSVLDELCEGLNNISVNEKVGPKFEGKHTRFLYNSDDEIVKEEEVENSVTASDVLHLKGIPTPKGKHLRFTEEEE >OIW11150 pep chromosome:LupAngTanjil_v1.0:LG06:281899:284962:1 gene:TanjilG_22957 transcript:OIW11150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEAFYVDMVEFLKKPSVTETFLDILLCAVPIWVAVMIGLVIGWSWRPKWTGLVFLGLRSKFRFIWTAPPGFGARRLWFAFTALSAFSICRTFWSNFNAKRKDKPQPQSLTQSHNADSQFANTTNRSGDRAEEERGQDIVTQTDLEHLLHLLEGKDGVIDWQCFMERSAANMQYRAWRHDPENGPTIYRSRTVFEDATPELVRDFFWDDDFRPKWDAMLAYCKVLEECPHNGTMITHWIKKFPFFCSDREYIIARRIWQAGNSYYCVSKGVPYPSLPKRDKPRRVEQYFSSWVIRPVESRKGDGQLSACEVTLLHYEDMGIPKDVAKLGVRHGMWGAVKKLHSGMRAYQNARKSDGSLSRCALMASKTTKISFDRNLHSSENLSSEEEKMQDIDNNRQNGPGLDWKWVAVGGTAALILGVHSGAIGRALLLGAGHRLARR >OIW09358 pep chromosome:LupAngTanjil_v1.0:LG06:29739859:29740764:1 gene:TanjilG_01329 transcript:OIW09358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKIILSSFILLVLLCLLLMRETSDCGSVHECIGSNAVLKEIRNRKVLSALKNKKNSLKVSLEGSSSSTKYGEKPLSWELRKVPSGPDPLHHNGGTPKKPETP >OIW09964 pep chromosome:LupAngTanjil_v1.0:LG06:11796372:11805209:-1 gene:TanjilG_18271 transcript:OIW09964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLTFDAILNLLLLLSLPFSLLAVNSDMDQELILTETNATIAANNNASLARSDGESFANMIDRALQREFPENEQNEGTDAGSFNNSVAEQQAILETVARVKPKKNESKEEKPFQFHDVFNLDSENQAEDMPTLIDRKDNVFIISNRKSKYPVLQLDLRLISDLVIVIVSATCGGIAFACAGQPVMTGYLLAGSMIGPGGLSFVSEMVQVETVAQFGVIFLLFALGLEFSTTKLRVVRAVAILGGLLQIFLFMCLCGITTLLCGGKSSEGIFVGAFLSMSSTAVVLKFLMERNSVNALHGQVTIGTLILQDCAVGLLFALLPVLGGTSGVLQGVISMTKSLLILVTFLAILSILSRTCVPWFLKLMISLSSQTNELYQLASVAFCLLVAWCSDKLGLSLELGSFAAGVMISTTDLGQHTLEQVEPIRNLFAALFLASIGMLIHVHFLWNHVDILLAAVILVIIIKTIVAAAVVKGFGYNNKTSVLVGMSLAQIGEFAFVLLSRASNLHLVEGKLYLLLLGTTALSLVTTPLLFKLIPAVVHLGALLRWFPPDSPAEIAFKVDSYRSESAKRIPLMVQDSHHSS >OIW09656 pep chromosome:LupAngTanjil_v1.0:LG06:21566767:21569166:1 gene:TanjilG_28255 transcript:OIW09656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFFSSSTTESKVAIAKTVLSTVGSVMATVVLVHSITNDLLPDEFHNLFTNGIRRLFSSCSSKTTMVFDEFKGLDNNEIYEAAEIYLGNKVPLSTQRVKVTKPDTEKNFTLTLDQGQTITDIFNDIKFNWILISHQVESQNFFNPREVRVEVRSFELTFHKKHKDMVLNTYLPFIINEANSLKQERRALKIFMLEDEYKKYYPNDAWVGTTLDHPSTFETVALDTKVKEFVLEDLKRFVKRKEYYRKVGKAWKRGYLLYGPPGTGKSSLIAAMANYLRFDIYDLELGALRSNSDLRKLFIGMNNRSILVVEDIDCTIQFHHRNATSQEETTKDKNNNQVTLSGLLNFIDGLWSSCGDERIIVFTTNHKQKLDPALLRPGRMDVHIHMSYCTSCVFRHLVKNYLDITEHSLFQEIEEELQKTHVTPAEVAEQLLKSCDMETTLKELVDFLRRKKENQEQESKDKEEEK >OIW10243 pep chromosome:LupAngTanjil_v1.0:LG06:8065411:8068790:1 gene:TanjilG_27994 transcript:OIW10243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGYGSGEDVVTVGQKRPKTKIVCTLGPASRSVPMVEKLLRAGMNVARFNFSHGSHEYHQETLNNVRIAMENTGILCAVMLDTKGPEIRTGFLKDGKPIQLKQGDEITISTDYSIKGDEKVISMSYKKLAVDVKPGMVILCSDGTISFKVLECDTELGLVRCRCENTCTLGERKNVNLPGVVVDLPTLTEKDKEDILGWGVPNKIDMIALSFVRKGSDLVEVRKLLGKHAKDILLMSKVENQEGVANFDEILANTDAFMVARGDLGMEIPIEKIFLAQKVMIYKCNIQGKPVVTATQMLESMIKSPRPTRAEATDVANAVLDGTDCVMLSGETAAGAYPELAVRTMAKICLEAESTVDYGLVFKRIMEHSPVPMSPLESLASSAVRTANSAKAALILVLTRGGSTAKLVAKYRPSMPILSVVVPDISTDSFDWTCSDEAPARHSLIFRGLVPILCAASARASNAETTEEEIEFAIQHAKTKNLCKYGDSVVALHRVGTASVIKILTVK >OIW09543 pep chromosome:LupAngTanjil_v1.0:LG06:23270485:23270998:-1 gene:TanjilG_30862 transcript:OIW09543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMCLLLIVSSSSPFPSQQWESKSPTSFSSSPMSTLMEECDDANPLGFRWPRCGLKAITPNSSWEVHNGSTSLRWVTVILNQSHQWLSRNRLRRIEDIRHIDYAEASSFGGYSQQIEGEVITLLVSHESSPVV >OIW09274 pep chromosome:LupAngTanjil_v1.0:LG06:28959393:28964090:1 gene:TanjilG_01245 transcript:OIW09274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCIRLVANGNFELGPKPSDLKGTVVIGGRHAIPEWEISGYVEYIKSGQKQGDMLLVVPEGAYAVRLGNEASIKQKIKVIKGMYYSITFMVARTCAQEEKINISVAPDYGVIPIQTVYNSYGWDPIAYGFKADYEEVIMVIHNPGIEEDPACGPLIDSIALRTLYPPRPTNKNILKNGGFEEGPYIFPNTSWGVLIPPNIEDNHSPLPAWKVESLKAVKYIDSQHYSVPEGTRAVELVAGKESAIAQVARTIPGKTYVLSFSVGDANNSCEGSMIVEAFAGKYTIKVPYESKGKGGFKRAALKFVAFGPKTRIMFLSTFYTMRSDDFSSLCGPVVDDVKLLSLRKP >OIW10842 pep chromosome:LupAngTanjil_v1.0:LG06:2609509:2610668:1 gene:TanjilG_27788 transcript:OIW10842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCMLLERAMGRASSALSPGHRQFAAQTKDLIAEIQLLEEEVTSREQHVLSIYRSIFELCVSRPPIEQNSVVASPAHTRHESRKHPSSGEVFYHGKNSSIANFKRASIPVSKQAF >OIW09916 pep chromosome:LupAngTanjil_v1.0:LG06:12276669:12282924:-1 gene:TanjilG_32065 transcript:OIW09916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLISLVNKIQRACTALGDHGEASALPTLWDSLPAIAVVGGQSSGKSSVLESVVGKDFLPRGSGIVTRRPLVLQLHKIDEGSREYAEFLHLPRKRFTDFVAVRKEISDETDRETGRTKQISTVPIHLSIYSPNVVNLTLVDLPGLTKIAVDGQSDSIVQDIENMVRSYIEKPNCIILAISPANQDLATSDAIKISREVDPTGERTIGVLTKIDLMDKGTDAVEILEGRAFKLKFPWIGVVNRSQQDINKNVDMIAARRREREYFASTPEYRHLAHRMGSEHLAKMLSKHLETVIKSKIPGIQSLISKTIAELESELTRLGKPVAADAGGKLYAIMEICRTFDQIFKEHLDGIRPGGDKIYNVFDNQLPAALKRLQFDKQLSMENIRKLITEADGYQPHLIAPEQGYRRLIESSLTTVRGPADAAVDAVHSLLKDLIHKAISETVELKQYPGLRVEVGTAAIESLEKMREDSRKAALLLVDMEIGYLTVDFFRKLPQDVDKGGNPTHSLFDRYNDSYLRRIGTTILSYVNMVCATLRHAIPKSIVYCQVREAKRTLLDYFFTDLGKMDPKRLSALLNEDPAVMERRTALAQRLELYRSAQDEIDAVAWSK >OIW11135 pep chromosome:LupAngTanjil_v1.0:LG06:381040:385255:-1 gene:TanjilG_22942 transcript:OIW11135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRWALTHFPLIRQSNTFDDGYPILLRESLFFTVADPPPPPPPPPPREKGKGRVVKEKMESYSPALDCGVGSIVWVRRRNGSWWPGQILASDELSTSHLTSPRSGTPVKLLGREHASVDWYNLEKSKRVKLFRCGEFDGCIERVESTQGMPLKKREKYARREDAILHALQLERQMLKKQEKLEPQLDVACRAKRSRCVYLPAESSDSLDYKETLSHVEMSSSIPGGEYPYHNSLAEESESAFMDDVESDSSETFSNDSKTNSSETELDKDEEMAIFSETCQDHDDVEEESTSSDEHDELAISGDMPHFYPSDPITCNEAVYKWQFKGKRNNRNLKRSVGASDGKGDLYGVDVVRQRSHLSHKRSDRDLCYYRNDFGDAFDTYQMFGLEDEYLTSRAVSKSQIKIHHGVDWDDWPWEDQPPLRRYWDIKGFPPVCGDRYHFDERMRSMLVDVDLKIQASYRKQPVPIVSLMSKLDGKAIIGHSIQIGVLKDGSSDILFSAIDDFGNDGIGFEGSSVNPPAWRTARRTANFRVPRPRAEADADFSLDEERKFGYKKLNNRSSGHKASLSRKSSLNSHSLLIDKKSLKKVPTKVSLSSSQKTKTLSSLWIEQNLSKKQPLLDRLVKAEVSGLTTVTCIPVKLVFSRLLEEKINRPQHKQASNAAVEYWC >OIW11123 pep chromosome:LupAngTanjil_v1.0:LG06:465525:466806:-1 gene:TanjilG_22930 transcript:OIW11123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAINVQSEATQDNTIASLQQSLPTPNIVDSQSVLRRLQSELMALMMSGDSGISAFPEEDNIFCWKGTIEGSKDTVFEGNEYKLSLSFPNDYPFKPPKVKFETTCFHPNVDMQGNICLDILQDKWSSAYDVRTILLSIQSLLGEPNISSPLNPQAAQLWSNQEEYRKMVERSK >OIW10830 pep chromosome:LupAngTanjil_v1.0:LG06:2692065:2693231:1 gene:TanjilG_27776 transcript:OIW10830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTGSDLVWFPCAPFECILCEGKPIPNPKFKPHNLTLSQSDAVSCQSHACSAAHSHVPNSDLCAMARCRLDNIETHDCSSATCPPFYYAYADGSLVAKLYRNTLSISSLLLRNFTFGCAHTTLAEPTGVAGFGRGVLSFPAQLSILNPKLGNRFSYCLVSHSFDGERVNPPSPLILGRYDSTGDEDVEFVYTSMLRNPKHPYFYCVGLAGISVGEKKLPAPEMLKKVDSKGDGGVVVDSGTTFTMLPASLYNSVVTEFDARVGRAHERASEIENKTGLGPCYYLDRVSEVPTVTFHFVGNDSSVVMPRRNYFYEFIDGGDEVRRKRKVGCMMLMNGGDDSELIDGPGATLGNYQQQGFEVVYDLEKQRVGFAKRQCALLWDNLNHGKN >OIW10452 pep chromosome:LupAngTanjil_v1.0:LG06:5856884:5860684:-1 gene:TanjilG_00390 transcript:OIW10452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVTEGKLKFCIDRGGTFTDVYAEIPGQPDGRVLKLLSVDPSNYDDAPVEGIRRILEEFTGNKIPRNSKIPTEKIEWIRMGTTVATNALLERKGERIAVCVTQGFRDLLQIGNQARPSIFDLTVSKPSNLYEEVVEVDERVQLVQTKEEEENQGASSSLVKGISGELIRIVKPLNEEALKPVLKNLLEKGISCLAVVLMHSYTYPQHEQQVEKLALSLGFRHVSISSALTPMVRAVPRGLTASVDAYLTPVIKEYLSGFISKFDEGVGRLNVLFMQSDGGLAPESSFSGHKAILSGPAGGVVGYSQTLFELETDKPLIGFDMGGTSTDVSRYAGSYEQVLETQIAGAIIQAPQLDINTVAAGGGSKLKFQFGAFKAGPESVGAHPGPVCYRKGGELAITDANLILGYVIPDYFPSIFGPNEDQPLDVKSTREEFEKLARQINAYRKNQDPFAKDMTVEEIALGFVDVANETMCRPIRQLTEMKGHETKNHALACFGGAGPQHACAIARSLGMKEVLIHKFCGVLSAYGMGLANVVEEAQEPYSAIYGAESIIEASRREGVLLGQVKQKLQSQGFNEGNISTETYLNLRYEGTDTAIMVKRQIAEDGKSHDYATEFVRLFQQEYGFKLQNRNIVICDVRVRGIGVTNILRPRAIEPASGSPIVQGYYKVYFGNGWQETPLYKLENLGYGHKMSGPAIVMNGNSTVIVEPNCRAIITKYGNIKIEIDSPLSSAKITGKVADVVQLSIFNHRFMGIAEQMGRTLQRTSISTNIKERLDFSCALFDPSGGLVANAPHVPVHLGAMSSTVQWQLNYWGDNLNEGDVLVTNHPSAGGSHLPDITVVTPVFFKGKLVFFVANRGHHAEIGGITPGSMPPFSKSILEEGAAIKAFKLVEKGAFQEEGIIKLLQVPGSDERGNKVPGSRRIQDNLSDLRAQVAANQRGISLVQELIEQYGLETVQAYMTYVQMNAEEAVREMLKSVGHRISSESNGNSVTIEEEDYMDDGSIVHLKLSIDSNKGEAVFDFGGTSAEVYGNWNAPEAVTAAAVIYCVRCLVDVDIPLNQGCLAPVKIHIPEGSFLSPSDSAAVVGGNVLTSQRITDVIFTAFQACACSQGCMNNLTFGDDTFGYYETIGGGSGAGPKWDGTSGVQCHMTNTRMTDPEIFEQRYPVILHKFGLRENSGGDGIHRGGDGLVREIEFRRPVIVSILSERRTHAPRGLKGGKNGARGANYLIKKDKRKIYLGGKNSVQVLPGETLQILTPGGGGWGSPL >OIW09149 pep chromosome:LupAngTanjil_v1.0:LG06:30442531:30443409:-1 gene:TanjilG_11287 transcript:OIW09149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMSQTLLFISLTLLILPLFFSTTTLAALSPLGAPLQPTPAPTPPTAAPTKPLVPTSPGDNSPDTTATTSSDIVGILRKANSFNIFVRLLKTTQLINQLNSQLITIKSGGLTILAPDDSAFSELKPGFLNTLSDTQKQELLQFHVITDYVSSSNFDTLTNPVRTLAGTKPGKVELNVISYGGSVNISTGEVNTTINGIIYTDKHLAIYKVGKVLLPLEFFPSKIAKAPTLAPAPAIDAAAKAPKPDKEKPSSSENSSQSSQVVPTETSGAISMCGTLVSLVLGVVFVAVLTT >OIW10758 pep chromosome:LupAngTanjil_v1.0:LG06:3310955:3313088:-1 gene:TanjilG_27704 transcript:OIW10758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLTNFIVTVAGVGAVVLLLRSDVKQSASIFKRNVKHIRTWLEEETANSSKVIEKSKEVESKVPPKDIPKDNTQ >OIW10196 pep chromosome:LupAngTanjil_v1.0:LG06:7532680:7535807:1 gene:TanjilG_27947 transcript:OIW10196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSINLINIFSAAPFRTFSTPLLFPQRHSPLSSHAITKQRCSTLSVGFCNVATRASGSTINSVPRENGTYTVSDFMTKKQNLHVAKTTTTVHEDLPLVFVSALEAIVNNRISGLPVIDDDWNLVGVVSDYDLLAIDSISGGTQNDANLFPNVDSSWKTFNEIQKLLSKTNGKVVGDLMTPTPLVVHEWTSLEDAARLLLETKYRRLPVVDDNGKLVGLITRGNIVKAALLSKRAGEW >OIW08900 pep chromosome:LupAngTanjil_v1.0:LG06:32729999:32735476:-1 gene:TanjilG_05875 transcript:OIW08900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLEGKNGVNFRTKRGFSKKLKSGLKETLFPDDPFRQFMNEEKLSRRFIKGVQYSIPIFQWLPTYTWRLLISDFIAGLTITSLAIPQGISYAKLANLPPLVGLYSSFVPPLVYAVFGSSKHMAVGTIAAASLLIAQTISTVADPLQNPTLYLHLIFTTTFVTGIFQATLGIFRLGILVDFFSHSTITGFMGGTAIILILQQLKGIFGMQHFSTQTDIVNVIKGIITYKHEIRWETTVLGVIFILFLQITVYVRNKRPKLFWVSAIAPMCTVIITAVFVYLIKGQNHGIQTVGHLGKGLNPLSIKDLNFDPKYLSPVLQAAIITGILSLAEGIAIGRSFAVAENTPHDGNKEMIAFGLMNIVGSFTSCYLTSGPFSKTAVNYNAGCKTPMANIVQAILMALTLLFLAPLFGHTPLVALSSIIISAMLGLIKYEEAIHLFKVDKFDFVICMAAFFGVPFLSMDYGLMMSVGLGILRGLLYVARPATCKLGNIPDTGLYRDVEQYNATTLPGVLIIQLGSPIYFANAIYVKERIMRYIQSEEENGGNTVENIIIDLSGVTSIDTTAIGGLLETNKTLEKNGVQLIASKFVDKIGKDSFFLSLEDAVSAIQYALSRVKRNSSSEGFSEEAHNYA >OIW08933 pep chromosome:LupAngTanjil_v1.0:LG06:32454830:32457042:-1 gene:TanjilG_05909 transcript:OIW08933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKATRWLKNLFGIKSDKEQQHKQNSNSRILCHNPATIPPNLSPAEAAWLQSFYKETDKEQNKHAVAVAAATAAAANAAVAAAQAAVAVVRLTSHDRGTMFSGGDKMCAALKIQTLFRGFLARKALRALKGLVKLQALVRGYLVRKQATATLHSMQALIRAQATVRSHKSRGLISTKNEAYRFQNRARRSMEIFDDNRSEYIAPIHSRRLSSSFDTPINYNNNTNSVDGSPKIVEVDTGNSRPNSRSRRTNTSISDFGDVPSFQTLSSPLPIPYATPARLSIPDHRNFNDSSEYELTEEQCRFSSTAHSTPRFTNSCSCGAPLTPKTVGTDYLFLREYGNYPNYMASTKSFKAKLRSHSAPKQRPEPGQIRKRISLNEMMESGNSLSGFRMHRSGSQVQEAVNFKNAVMGKLYKSTESSKEMERNYFHSRRC >OIW10988 pep chromosome:LupAngTanjil_v1.0:LG06:1116489:1118500:1 gene:TanjilG_22795 transcript:OIW10988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKLFKVKEKQRELAENASAGAPVKKQSAGELRLHKDISELNLPKSCAIQFPNGNDDLMNFEVTIRPDDGYYLGGKFLFSFNVSPIYPHEAPKVKCKTKVYHPNIDLEGNVCLNILREDWKPVLNINTVIYGLYHLFTEPNYEDPLNQDAAAVLRDSPKLFESNVRRAMAGGYVGQSFFPRCM >OIW09471 pep chromosome:LupAngTanjil_v1.0:LG06:25957376:25959147:1 gene:TanjilG_06343 transcript:OIW09471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFFSIILFLFLSPLFFYLYFHSHTRKHNNIGFKIYPIVGALPDFLINRHRFLEWTTQVLRDCPTNTAVFFRPGAVHGVITANPDNVEHILKTRFDNYPKGERFISFLVDFLGLGIFNSDGDLWKVQRKTASYEFNTRSLRNFVMENVTVELQTRLLPIFSRASETDRILDLQDLLERFAFDNVCKLAFDVDPGCLSGDGTTGTEFMMAFEDAAMLSSQRFMCVLPIIWKIKKLFNVGSERRLRESIATVHKFADEIIQSRMEAKECTHGEDLLSRFIGVEDNNSPEFLRDIIISFILAGRDTTSSALSWFFWILSSRPEVKKKIIDEIKSVKSQSGGQTMFSYEELKEMHYLHAAISETMRLYPPVPIDTKACLNDDVLPDGTVIKKNWFITYHTYAMGRVENLWGKDCNEFKPERWLENGVYHKESPFRFPVFHAGPRMCLGKDMAYIQMKSIAAYVIERFEIDAVDKDTCPEHLLSLTLRMKGGLPVKVRPRARNAT >OIW10017 pep chromosome:LupAngTanjil_v1.0:LG06:10800390:10805298:1 gene:TanjilG_32757 transcript:OIW10017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTASPGVSPVPNSTPATNATSPPPPSPPTPSSPPPSNHSSPPPTTPSPSPPSPEKPPPSPAPESPPDSPPPPTTPSNPPPPTSPSNPPPNPATPSASPPLPASGKNPPAPVTRLSPPPSSPVPRDTPSASSSISTGVIAGIAAGVVGVILLCVLGICIMKRKKRRKSQYYPPPPPQGPKVDPYGRSPANWQNNAPPPSDHIISAVPPTPPHPPKTPPYINNSGGSSSNFSGGAYPLPPFSPGMGLSFSKSTFTYEELARATDGFSDANLLGQGGFGYVHKGVLPNGKEVAIKQLKAGSGQGEREFHAEIEIISRVHHKHLVSLVGYCTTGVQRLLVYEFVPNDTLEFHLHGKGQPTMDWSTRHRIALGAAKGLAYLHEDCHPKIIHRDIKAANILLDFKFEAMVADFGLAKFTSDVNTHVSTRVMGTFGYLAPEYAASGKLTDKSDVYSFGVMLLELITGRRPVDSSHTFQEDSLVDWARPVLTQTLEDENFDSLVDPRLQNEYDRNEMACMVACAAAAIRYSAKRRPKMSQIVRALEGDATLEDLNDGAKPGNSSAYGSRGNSDYDSAQYKKDMSKFRKLALETQEHGDASSEYNGVTSEYGLNTSESSGEIHNRQTTREMEMRKMKNNQGFKGSS >OIW10801 pep chromosome:LupAngTanjil_v1.0:LG06:2919040:2934939:1 gene:TanjilG_27747 transcript:OIW10801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQLSIKCKEGIPKATEESKPTIIVRNEAGKILLNALLYPAIKTSLLKNSVVAIFHTLGNAGGSGDNDSVVASTFLIRMKTEEDRNKLASIIQEYAPEKLLSLVAEKHPVSLSESCADVSGKGEKIHDQSTLRTSDHEVVDDSQGSIHNADSDLFGVRFHQTDFSDAVPSSVNGSEPIYEGEECVLDTRSQNFEDPESVYEGEVVLAEQADKSTLAAPVLRADCEITPHQGELIKTFLRNNASQLTFTATDQGYINQPDLVWEKLNEANGDTLFMTSNFKEFKAEESHESNTWDENNAMTSAADFTGVPAAVDLACMRDTMNKLSGDSNKINPLVLVDLVIGHSVQVDMARSENDVQAKKDLVSSNVVQMHSITCSLVVFNTNGVLYPDSIVGSDSHTTMIDGLGVVGWRVGGIEANATMLGQNNTISRFLMMGGGGDGGDHQHGELKAEIATHPLYEQVLAAHVACLRVATPIDQLPLIDAQLSQSHHLLRSYFSQQTHSLSPLNRQHLHNFLAQYLIVLCTFKEQLQQHVRVHAVEAVMACRDIENTLQALTGVSLGEGSGAAMSDDDEDELVMDLSLDDSHDIMGFGLPTESERSLMERVRQELKIDLKQGFKSKIEDVREEIMRKRRAGKLPGDTTSVLKNWWQQHANWPYPTEDDKAKLVEETGLQLKQINNWFINQRKRNWHSNSQSVTSLKSKRKR >OIW11121 pep chromosome:LupAngTanjil_v1.0:LG06:476741:486651:1 gene:TanjilG_22928 transcript:OIW11121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDEFKKLVIRMNPPSHGSSLCISLVLVFLMSLASESCNGLSSFGFDIHQRFSDPVKGIMRIDELIEKGTYEPELRLAVGLSRLQGPAREKEKRENERNELHDLVGKNLHVLSQIEGVDLDMYKDVVLPRVLEQVVNCKDELALFYLMDCIIQVFPDEYHLETLDVFLGAYPQLQSSVDIKTVMSQLMQRLSNYAASSAEVLPEFLQVEAFSKLSNVIGKVIEAQPDMPTFGVVTLFSSLLTFTLHVHPDRLDYADQGACVKRLSGKGKIEDNKATKQIVALLTAPLEKYNDVMTALKLSNYPRVVMASVIIQSIMKNGTNISTSDKVEALFELIKGLIKNSDGILSDEVSLLELLILDEDDFKEEQNSVACLIQMLYNDDPNDFFKIIDTVRKHILTGGPKRLPFTVPPLVFSSLKLARQMQGGQDENPFGDDESTTPKKLFQLLNQVEIPFNPARVLLQDFTGVPTVVDLAWEGMSELTLADRATIANMSPESLVRCNHGLVSYGSCHFTIFETDLQK >OIW10189 pep chromosome:LupAngTanjil_v1.0:LG06:7469499:7471100:1 gene:TanjilG_27940 transcript:OIW10189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTPSKSASILSFPSLEPKGKNIPESSANMLQCPLNQQTLVSSELDGPVAILWDIENCPVPSDVRPEDVAGNIRMALRVHPVIKGAVLLFSAYGDFNAFPRRLREGCQRTGVKLIDVPNGRKDAADKAILVDMFLFALDNPPPSSIMLISGDVDFAPALHILGQRGYTVILVIPSGVGVSSALCNAGKFVWDWPSVARGEGFVPPSKALVPPRGSSIELAGYLMGCHINDNSDGQNEEEAIVYRGMSQNYYNSRDFSMVSQSLAEYNCGTSNMSCFPTTVRSHSLPSGSNDVSGGHMPSNDHNEGLLWVQPGDLNGLKGQLVRLLELSGGRMPLARVPAEYQKMYGKTLYISDYGAMKLVNLFKKMGDTLAVDGKGQRKFVYLRNLKACPSAPPLLLARKDKKGKGVQEESANGFNGGCSSDELSDEEGAIIGEHDERSYIGKGNQGRAARSEIGDCILEQFKYELQEILVSYSCHIFIGCFEAIYQQRYKKQLEYQRFGVDKLEDLFEIVSDVVVLHEEPVSKRMFLTAVGG >OIW09604 pep chromosome:LupAngTanjil_v1.0:LG06:22302844:22307474:-1 gene:TanjilG_28203 transcript:OIW09604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNILPYRHCFLSPPRYSLHFHNPNHSFFTIFPSTTTVSLLPFNASLRFSAHRNLVFHSPPLSTSSDHHRCSDTPLTKLPFDFVRLAVSAAMFVCFGFCFGIRSCSASSTSLIAAPSSVQVEENSNQDDHDGREYDKKENVVDKELEEAFNAWKSKTYALTVPLKVVALQGSIPPSWIKDFINSQGKRLKFKLKYNASLESIFSDLSIPFTKGNLGPASALAADIVGIGDSWLKFAVEKAVIEPMRDVEDQDWFKALDQKWKVYLRRNSDGEIDPKGDIWAAPYRWGCMVIAYKTNKFDKHKLAPIEDWADLWRPDLAGRISMVDSPREVVGAVLKYMGGSYNTKDINAEVNGGRDAVKLNLALLAKQVRLFDSAGYLKAFGAGDTWVAVGWSSDIIPVAKRMSNVAVIVPKSGASLWADLWAIPAASKIQTSKIGGRVRGPSPLIHQWIEFCLQAARALPFKQEVIPGASPSLQAHSNNVTAELTKGRPRLNTNLIGGAPPPEIAERCEFLEPLSNSTLSDYLWLIASVQEPGHGLVHKVRQYISSMVKLSGRINSKLT >OIW10149 pep chromosome:LupAngTanjil_v1.0:LG06:7097536:7101025:1 gene:TanjilG_27900 transcript:OIW10149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPLRKPNLSLFNTLLRSRFRITPQSPLNILIHNHFTTSPPHDSNSITNTTTTSTSTKTSSIDAGSSNRKPISQWPGMFHSPATHALWEARSSIFENPVNGNAVSSSQIKPIPKAPSRSRTSIFYNFSFDHILREQYRNPWNHIRMGKLVEDFDALAGTIAFKHCSNEDGTVMPLLLVTASVDRMVLKKPIHIDADLTIVGAVTWVGRSSMEIQLELIQPAQGNPNISDTPALVANFTFVARDSATEKAVPINQISPETEEERLLWEDAEKRNKLRKKMKSEQKHTQNEDNARLHSLLAEGRIFSDMPALADRDSILLKDTCLQNSFICQPQQRNIHGRIFGGFLMRRAFELAFSTAYAFAGVAPHFLEVDHVDFVKPVDVGNFLRLKSCVLYTELDNPDKPLVNVEVVAHVTKPELRSSEVSNRFYFTFGVDPEAIKNGLRIRNVVPDTEEEARKVLERMDAENSKFG >OIW09665 pep chromosome:LupAngTanjil_v1.0:LG06:20513160:20513804:1 gene:TanjilG_11052 transcript:OIW09665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLPDENKEEFDQVPLRVMIETVKLWLVGDASMMRASLQAFSETVALHSSEYAKEHIRLNHPDDDLSWMSIDGFVDEGQLFFEKKDGTVIELPFLGWADCYESLGKKIRIHAS >OIW10756 pep chromosome:LupAngTanjil_v1.0:LG06:3322424:3323557:-1 gene:TanjilG_27702 transcript:OIW10756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNVCDVNHLDADVLLPPRKRLLAGLKKQSPDGHAAASPSVVVGSHVTISEAVSSSSSSFSSEFETRLKNLLSSHSNNPNLTPEEVVEASRSAAVAATLAAKAARAAAEEKAEIAAKAVAAAKSALDLAASISGEGVIQERNLKKNKLKKHIPVQLLYRKNQRIESCGTDEELARKLHRAMNSSPRISKNSPNSKGSKHKKPRSSSSFETTEGSDGGMESKQDCLSLNNGHAIVGKIDSEGSIQEVCSSKESRKWSRYDKSNQMEIENGEAETSRSKEKNTADLSPMGKKRGRVKLKKLPLSICTSKDRAQPKEGIGARSSPLTEMRQSNNHSADNIPLFPAEPSTERAMPIEATSMRKCQEFKALACIKQNKAVQS >OIW10050 pep chromosome:LupAngTanjil_v1.0:LG06:10413903:10414319:1 gene:TanjilG_32790 transcript:OIW10050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKRYKKRVTIEEVGEAESDRLKKLKAEKDAAAAAGGSDDTTVVPTEEEVEEFYAILRRMRVAVKYFNEKGRGGKELREVLEQSEVTVVDDEENAVDNDNHLESGCAAVNKGDQEIVIINEGLDLNAVAPEAADGSDA >OIW10734 pep chromosome:LupAngTanjil_v1.0:LG06:3613178:3621828:-1 gene:TanjilG_27680 transcript:OIW10734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSICLMNWQWSWLLYVLLIHIVINKSQAISPDGEVLISFRTAVVSSDGVLLQWRPEDPDPCKWKGVKCDPKTKSVTHLSLPHHKLSGSLSPDLGKLEHLRVLALHDNNLYGTIPPALGNCTGLQGIFLQGNYLSGPIPGEIGKLSQLQNLDISSNSLSGTIPASLGKLYSLKNFNVSANFLAGTIPSDGVLANFTASSFAGNRGLCGVQISSTCKGSPDANSPSNPDQNENGRKKYSGRLLISASATVGALLLVALMCFWGCFLYKKFGKNDKISLAMGVSGSASIVVFHGDLPYSSKEIIKKLETLNEEHIIGIGGFGTVYKLAMDDGNVFALKRIVKLNEGFDRFFERELEILGSIKHRYLVNLRGYCNSPTSKLLIYDYLPGGNLDEALHERSEPLDWDSRLNIILGAAKGLAYLHHDCSPRIIHRDIKSSNILLDGNLDARVSDFGLAKLLEDEETHITTIVAGTFGYLAPEYMQSGRATEKTDIYSFGVLTLEVISGKRPTDASFIERGLNIVGWLNFLITENRPREIVDSLCQGVQMESLDALLSVAIQCVSSNPEDRPTMHRVVQLFESEVVTPCPSDFYDSNSD >OIW09823 pep chromosome:LupAngTanjil_v1.0:LG06:14343876:14347620:1 gene:TanjilG_32261 transcript:OIW09823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASDGVSGGVTVVGSDAPSEYHVAPRTENSAPAGGSTTPNPATIESVPPSLTAVVTAAPSGTPVPGKKKRGRPRKYAPDGSVTMALSPKPISSAAPMPPVIDFSAERREKVRPSSVSKAKFEIENLGEWVASSVGAKFTPHIITVNAGEDVTMKVISLSQQGPRAICILSANGVISSVTLGQPDSSGGTLTYEGRFEILSLSGSFVPNDSGGTRSRAGGMSISLASPDGRVVGGGVAGLLVAASPVQVVVGSFLAGNQHEQRPRKHKHDVISTATPTAIVPFSTPDAIPFLSSPPSFRGDNWSAMPADAANKQTGINAALPGG >OIW11170 pep chromosome:LupAngTanjil_v1.0:LG06:180762:186294:1 gene:TanjilG_22977 transcript:OIW11170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKDRLGRSKGRRAREEDVGEYTSDESFNDEDEDHNNNNRRIPPPPPPPPPSSKVFRTPSWKPADEMIGVSVPRKARSAITKRSSHDSSGGIMAEQIHPHPFTSSSNLSVRKKIVKPLTSNNNNNNNNNNGHKIKFLKSSQSSSSSSFKAPCSSSAEHQEEEIEIEIAQVLYGMMTQPHPSPLNKSCNTHGKSESLQPQNSTSHIIPMSVLAPKRKRPRQVNYDEESQSPASFTIRRSPISSSAKVESDHPSKVETCSQNFDDNTEPVPGNAAVSCDLANSQGGVTSSEAQPESIKVESYDSSHSKPPTEESAKKDVELSNEVVAPQAPNKESPVVKSMKSNFTPSKLENQREQKFQIDLMAPPPLLRSSPERDSQINFVAGDPKALVTDAEMEVMHTLKEDDTSLRTNKEEAVAVEMDEVESQKPIIMQKESSTNLQLDLEKGDKADTSGSDNIVMKKQHQNNIQKLQQQPSSEKNDVSNSVPLTVSVPNWPGGLPPMGYMTSLPGVVSMGGSAVTSAAITPSHLLFNQPRPKRCATHCYIARNILYHQQISKMNPFWPTAAGSASLYGAKPCNLNVVPSTELHANVPNRPPNPTQDKDNVHVMFPGHMSNDKGSQPIIVDNSQRKQVLLQQALPPGTPSNILGPAFIFPLNQQHAAAAAAAASIRPGSMNSSPIASSGIASFTSNAVSQNASATGTSAVPPMSFSYPNIAGNETQYLAILQNNAYPFPIPAHIGGPPTYRGTHAQAVPFFNGSFYSSQMLHPSQIQQQPQPQQTQQGRHASISSGSSPSQKHVQNQQQKPNVSGSNGGVGVSGGSMQGSPVTKNHSSQPLQLQQQQQPKQQAQNHHTTHPAHQIDSEMGSADSPSADSRLTRATMSIYGQNFAMPVQTPNFAFMTPASLSGTGSNGSRGEKKQAQQHPGPKAAAETSQAFAMSFASINGATPASGLELSPIAQSHHSIMQNHHNYQIMTAAQAASAQQKKKYCVLEEEKHVVDSSNLDVDKKPVGGKFPATVGQSIAFSRPDASDPSITTLTGNNVVDSSARTLSLGSASINTISASSQQHMQRNQQQIIQLQKQNQYAAAAVATAQSKTPSTNNGSVYSDHLPSTLSMATKFPNAVSMFPQNLVQSSSTAVAQSPQWKNSSRATASQFPSPMASTPSSSVKTLPQQQSRSQQAHSQISFSANPKSTSVQVQPLSSTQYPSPPVIIGSPTSSSVSKNTGSPRTTSTSTDNKITQTSLASQQTKNSQTGPSGKSGRNSPSILSGPQLTSSTGAKLQLPQQQQQQISKQTLPRTQLLMSNPYYVHSQVSQSNSSTPTTSAASGYYLQRRGGGHDQMQRQSSSGTNSNGAAPSNTKGSGLPPSVLPHPAQFATMSQSGNHHQFVVPAAFPYVHAVPATVQVKQAEQKQPADGRRKPEKTKAKRYEMHLPLAIVEKGS >OIW09075 pep chromosome:LupAngTanjil_v1.0:LG06:31318058:31322136:-1 gene:TanjilG_16302 transcript:OIW09075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNLGCNCSSRTLIIIFIHIIIHILVSPYLTYAEEFDPVIQLPHSGSNITQTRSKRVFSVLDYGARGDGHHNDTKAFLKAWKIACSISRSTKVVFPFGKTFLVYPTDIAGPCRSKITLRILGTIVAPQDPSVWNGLNQHKWLYFHGMNRLTVDGGGRINGMGQEWWARSCKTNSTNPCHPAPTALTFHKCENLNVRKLTMLNSQQMHIAFTDCAHVVASHLKVLAPAFSPNTDGIHISESKGVEIKDSVIRTGDDCISIVRNSSQVRIRDISCGPGHGISIGSLGKSESEAWEKVQDVHVNGAYLYNTDNGVRIKTWQGGQGFASKITFQNIVMENVSNPIIIDQYYCDSKHPCDNQTSAVRVENISFIDIKGTSATEEAIKFACSDSSPCEGLYLKNIFLESCMGGNTSSYCWQAHGSTRGLVYPPTCLSRNHDFIRQKVWLDSNSAIDSI >OIW11057 pep chromosome:LupAngTanjil_v1.0:LG06:1662671:1669467:1 gene:TanjilG_22864 transcript:OIW11057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCKVNKKNSKTIVSSLNYEVPEIRVGIKAKVTKKIDAKAANDSDLEPVAYEFFTQAYILYEEEISYSTKLLKKPDQCRVVYACSHLFWVDDHDNMKDGERAHLQRMHIFLIENWDTLTHKATWVLNSVIYLVLIFTSLLILYHFTGQYSAKLLKKRDQCRAVYACSHLFWVDDHDNMKDGERILLESAIGNCDEFQVKSNAVEKSLDRENTSPKQVEIPFKPARVLLQVNLEYLGRVVFNGTVCFILTVSLELIHTTMIDGLDVVRWGVGGIEAEATMLGQVFMIESYLHVNKMFVDYSEVYVDLVLG >OIW11047 pep chromosome:LupAngTanjil_v1.0:LG06:1594883:1599177:-1 gene:TanjilG_22854 transcript:OIW11047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVVTVAVSFPSSSTVPTRTSFSALDRVPFQKVSFQYRDFSISGRGVSIRAQVTTEEPVTKVVKESKKQDEGVVVNKFKPKDPYTGRCLLNTKITGDDAPGETWHMVFSTEGEVPYREGQSIGVVPDGIDKNGKPHKLRLYSIASSALGDFGDSKTVSLCVKRLVYTNDNGEVVKGVCSNFLCDLKPGNEVKITGPVGKEMLMPKDPNATIIMLATGTGIAPFRSFLWKIFFEKHEDYKFNGLAWLFLGVPTSSSLLYKEEFEKMKEKSPENFRLDFAVSREQTNEKGEKMYIQTRMAEYAQELWELLKKDNTFVYMCGLKGMEKGIDEIMVPLAAKEGADVVTIDVHAAKGLIQSGYVYLDVRTVEEFQKGHVDATKIINIPYMLSTPKGMVKNPNFVKEVSSACNKQDHFIVGCKSGVRSQYATTDLLADGFKNVKDMEGGYMEWVKNKFHVKVSSDIEEL >OIW09789 pep chromosome:LupAngTanjil_v1.0:LG06:15301424:15301834:1 gene:TanjilG_32227 transcript:OIW09789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKAALFLSLNILFFTVVSSTYVPCPPPPTPKHSPTPKAPSSNPAYPKKQHTCPKETLKFGVCADVLGLVNAQLGKPPKVPCCSLIDGLANLEAAVCLCTALKANVLGINLNIPINLSLVLNYCGKGVPKGFQCA >OIW10678 pep chromosome:LupAngTanjil_v1.0:LG06:4054102:4059577:-1 gene:TanjilG_16050 transcript:OIW10678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVRSRGGGRKQGHHHGHASQPKLRFVKKSEILPSSSVSNSDNSCLTEQLASVNISNQDKDDRNNNNHVSDDACSSKTKEEGDFNDMDDNIFSLSSRLDKLLSRMEQPQLSEEQLRDNDISQQNELLVVESIYGENVFILDAWKDHHCFQIHINIEVLGEIAITAKMNSSNELETVSKNLDDFLYSFKVKYLPPIVLTCLLPKSYPSHQPPIFTISVQWLESSKISSLCSTLDSIWEEQEGQEVIYQWVEWLHNSSLSHLGFDKEIKLGPYGVNHVRDDRVVSGTSSPDFDIPLLQNYNNQKHHESFLKELHQCQICFSEYAGTEFRQLPCKHFFCLKCLQTFTQMHVKEGTISSLQCPEAKCPVMIPPGLLKLLLDDNEYERWESMMLEKTLASMSDVVYCPSERRHVGIVCMTLDMKLQILQDRQNSSNLKEDQKRREREKINEMLSMKEIHRDSKLCPSCDMAISRTEGCNKMVCGNCGEYFCYRCNKAIDKSDPYGHFRDGACELFPQEMIENWQERINHRQVVGQLQAELFPQSGRACPNCRQFNAKGPKVVIKDSKLENMHWLLVLAGEPQGNGKFACIYDMEVIDSNLGNNIFACEVYCIHLPSPNFTSASEGNGYAAWP >OIW08883 pep chromosome:LupAngTanjil_v1.0:LG06:32821579:32824506:-1 gene:TanjilG_05858 transcript:OIW08883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGSGSVNHYYSGSLSSPITNIAQDHLLTIFLLLPIDAILSLSMTCKILKDITSSDTLWKSLCCRDFGSTCVDSLMYKYQLQISWMRLYKQVYQLDSVCCHRLYETHGADLDFPSARASHSLNFVSNCLVMFGGGCEGGRHRDDTWVAYISNDFKKMLKWKTVSSGIPSGRFGHTCVEMGDFLVLFGGINDHGNRQNDTWVGQVIHNEKSGITFSWKMLDVGAIAPPPRGAHAASSINDKRMVIHGGIGLHGLRLGDTWVLEVSENLGFGTWYEIISHPSPPPRSGHTLTCIGRSRTILFGGRGLGYEVLGDVWLLDTCQGYQKWIRIVYDFGNIPDGVSLPRVGHSATMVLGGRLLIYGGEDSARHRKDDFWILDISAIPSITMQPTTLSSKRVSTKMWKKWRSNGYEPNRRSFHRACADPSGRYLYVFGGMVDGFLQPDEPSALRFDGELFLVELLLQL >OIW09388 pep chromosome:LupAngTanjil_v1.0:LG06:28328266:28330341:-1 gene:TanjilG_20985 transcript:OIW09388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEILQFNWGKKRGIGGKKKDVQFYDSFTYDGVEYKLFDNVFLHKDDESDPYIGKLIKIWEHRDSSKKVKVQWFFRPREIRNFLEGIESPCDNELFLACGEGAGLANVNPLEAVAGKCNIVCTSKDTRNRQPSDEELKMAEFVFYRFFDVGSRKIVDKVDDKIAGIDGM >OIW10388 pep chromosome:LupAngTanjil_v1.0:LG06:6791206:6795207:-1 gene:TanjilG_05536 transcript:OIW10388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIHYSTSPLALFSFYLLIPFVLINGYQAETTNADSKVISIGAIIDINSRIGKEQQVAMDIAAQSYNNTSNTYKLALYFQDLPNDPFKATALAENMIKKQKVQVIVGMHKWTEATQVAELASQAQIPIISFAAPSITPQMMGIRWPYLVTLANNGTAYVKCIADIVRAYGWQRVVAVYEDDGYGGDYGILALLSEALQVDGSIIEYRLALPSTSYMSDPRKFIHEELLKLIENTQSRVFIVMQSSLDMVIHLFREASQLGLVDRESAWIIPESVTNSLEYVTKVDISYMEGALGIQTYYSGNSSEYQYFEAQFRRIFRDKYPEEDNRNPGFYALQAYDSIKVTAHAVDRMGNRDNSGRNNLLSEILSIKYLGLSGEIQFEGMQLLQNHTLRIVNVDGKSYRELDFWTLEQGFTTSLSIEQGGNNASRNSESLSGVVIWPGKLVRRVPKGWNMPTKQNPMKIAVPGRTSFSKFVKVEYGEHGYPANYSGFCIEIFENALNLLGYKLPFEYSPINGTYADLVQLVYNKMWVVTAAILTYTMFVVWYLEREQNPEFHGNWKSQMGTALWFTFSSLFFAHREKIYSNLTRFVMGAWLFLVLILNSSYTASLSSMLTVQQLKPNVTDINWLKKNNAKIGCDGDSFVMTYLANVEGFKPENIISINSEYMYPSAFKNNSIAAAFLELPYEKVYVNKYCKGYSGSVPTTRFGGLGFMFQKGSPVAKDVSKAILQLLEQGEIKKLEDKWLNPSGECSNSVISTNAETLKLGNLWVLYVFSGGITTLCFILPTIHSLKYSQTPQNHAQGNDTANDENPWKRAIRLVKKICSRKHGEVKARGDVTDCSSRSDYISTTGPPEHQQTTAAQLVEVIINSSPSPLQITRS >OIW09134 pep chromosome:LupAngTanjil_v1.0:LG06:30283505:30286821:1 gene:TanjilG_11272 transcript:OIW09134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPQFKVAVALVISLVSIHPSFCGEPSDHGLNKWKCRCSSFLGNQGHSLPNCSESCDCHSDAKESSSKWTCICDPNGFPKVAADGHRNNCFKSCNCTWGTIRMPLGSKKHISSKIVVAILSICVVCTTIAIVASAACYVNRKERSTIHSPMILSDKETSYNSSSNLFSHRISSVPETKVTINSPISHITGCFQKICFLFGSQKETFHGNIIQFSFAELENATENFSASNLIGLGGSSYVYRGRLKDGSNVAVKKLKDKGRLEDDSEFSTEIELLSRLHHCHLVPLVGYCSELKGKQAQRLIVFEYMTHGNLRDRLDGVFGKNMDWSTRVTIALGAAKGLEYLHEAAAPRILHRDVKSTNILLGENWQAKITDLGMAKNLRGDDIAGCPDSPASMQGTFGYFAPEYAIVGRASLESDVFSFGVVLLELISGRQPIHKSAGKEESLVIWASPRLQDSKRVITELVDPRLKGNFPEEEVQIMAYLAKECLLLDPDTRPTMSEVVQILSSISPAKSRMRRSISVNLFEEPEDAEKQRQAPSNRFPARHLSTLESNHNHFAGKENKDVGTVSVEYMDSLVHLSSKGESWHASEEEMVDLTEPQFESFCISNVEIP >OIW10886 pep chromosome:LupAngTanjil_v1.0:LG06:2276344:2278065:-1 gene:TanjilG_27832 transcript:OIW10886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLNISLDASTASWLTDLEIDDYNLFPEYDMSLFNVDDIEFLSHDIASSLHPLHSDEMSLERPTKQLKTNSWNSSNITEHFSPDLSSSSSPTTTTSHNLYFENSNSSHVNTLNPKQNDLVSVSQPQERNTNLMAQTRKGTSENQSKGTKRSSSHGHNHIIAERKRREKLSQSLIALAALIPGLKKMDKASVLGDAIKYMKDLKERLRLLEEESKKRVVESVVIVNKPQVSGDDDSSSCDESEALPHVDARVSDKEVLLRIHCHKQKGILVKILDHIQKLHLFVVNSTVLPFGKNSIIDITIVAKMGTGYNLTLKDLLTKLRVATLKSMS >OIW09966 pep chromosome:LupAngTanjil_v1.0:LG06:11824486:11825313:1 gene:TanjilG_18273 transcript:OIW09966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSALTLPNLLPSLVASARTLARPPISNFHVAAVGLGSSGRVFIGVNIEFPGLPLHHSIHAEQFLIINLLLNNEPDLLSFAVSAAPCGHCRQFLQELRNPQNIDILIADNSDKQEFTPLSHFLPHRFGPHDLLPEDSPLLLERHHNRLTLVTDASNRFCNGDVKLLDAALEGANESHAPYSECPSAVALVDCGGKTYKGCYVESAAFNPSLGPVQAALVAFVAGGGGDYDRIVGAVLVEKEGANIKQEETARLLLRSISPKCHFETFHCTSHP >OIW09239 pep chromosome:LupAngTanjil_v1.0:LG06:30039726:30043938:-1 gene:TanjilG_26452 transcript:OIW09239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGGASVPASNPHLRKSGSSKFKAVVSDLEAYDVENDAEDGVFGSMEANDSRGGGSTPMSFTAILPSPVLLWRFKVLLFLIWGFICCKIGWDSVMRMSADKRGLFLYEAFLYFNPLLLAALMVWLWGINLWFFAQAGVNYAKIFDHDQNHLTHREIWKVLLYAGVVLVLIFPFDIFYLSSRFYFLRTLGRIVFPLQASFLLFECIMLVFSDLERSVCRMVHRQVATIDWLEADSVCGSHSVAIPLVLVLPYIFRLNQCLRQYKDSGDKTSLLNALKYSTAVPVIFLSALKYHIFPDKWTNFYRPLWLLSSVINSLYSFYWDVNRDWDLSSFTRIFKFSKPHRLSHLLHGRRWVYFWVIGSNLVLRCTWTYKLSSHLRHNYRTVFTIAALEIFRRFQWIFFRVENEWNKMNSKSHIQLSGDEMSNEEEKLLDYSNNHSV >OIW10396 pep chromosome:LupAngTanjil_v1.0:LG06:6723454:6724664:-1 gene:TanjilG_05544 transcript:OIW10396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSSSNGSEESEMYHAQIHLYKHVYNFVSAMALKSVMELGIADIIHNNGKPITLSELASSLKLHPSKISVLHRFLRLLTHNGFFAKTKVQEEEAYVLTPPSKLLITTKPTCLAPIVKGALHSSSLDMWHSSKKWFTEDKGLTLYETATNESFWAFLNGNHDSLIMFQEAMAADSHMFKLALKECKHVFENLESLVDVGGGIGGVTKLINEAFPHMKCIVFDQPQVVANCSGNENLSFVGGDMFKSIPSADAVLLKWVLHDWNDELSLKILKNCKEAISHKGKKGKVIIIDIAIDEESDDPELTELKLDYDLVMLTMFNGKERGKKEWENLIYEAGFSNYKITPICGFKSLIEVYP >OIW08912 pep chromosome:LupAngTanjil_v1.0:LG06:32611530:32614561:1 gene:TanjilG_05888 transcript:OIW08912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPLQKVLLILTLLFLVSVDAKRKRYIKSPRVAVPFPIFGAFDQKNPFLGIKNTDFETDFKGEWEVINPDSGVSAMQITLMPTNKIIVYDATVYRTSRLRYPEGVPCVPYIDPNSKQQLEDCFAHAMEYDIETNQVRPLKVTVDPWCSSGGLAPDGTLISSGGWNDGSKSLRYIRDSCPDCDFRESIDALQEPRWYGTQQILANGEFIMIGGRRAFSYEFIPKEGQKSTKAYFFPFLYETSDLDENNLYPFTHLMPDENVFVFANNRSLLLNPNTNKIVRTFPVLTGGSRNYPASGSSALLPIRLDDDKATSLETIKVEVIICGGNTPDAFITAEGQKVYLPALQDCARMVITDPKPVWDTEVMPSRRTMGDALNLPDGQILLINGAKKGTAGWWDAEEPNLEPALYNPDKPKGQRFKVLNPSGIARMYHSTSALLPSGKIWVAGSNTHNTYRDVDKFPTETRVEAFYPPYLDPNLNNYRPQILQDSSDKNLRYKARFEIHFSVPSGGQITKNDIKITIYAPPFTTHGFSMNQRLVVLNSGNVAQAIKGIYKVGSMAPRFPGVAPPGYYILFVVHRGVPSQGMWDKNVVLVTPLFIMEMLSCSTSYC >OIW10708 pep chromosome:LupAngTanjil_v1.0:LG06:3833574:3837365:1 gene:TanjilG_16080 transcript:OIW10708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEVMSVEAEGGQAPLPLPVQGGGGTTRPDLQHRAMKILRAREAYNGYDEEVGEKPPRFEVWGWYLYEFCSYFVLTVLIPIVFPLIISQLQQLPPDSLQDWNKNHQGLICSQKETHLYLKLTSHTIRTSTGSKYSSLEWTSIAWATGLAIAAPVLGFLSFHLDGHFPKLITAAATGVGVFFCLPAGFFKVTAIFIPYIAGIVAASTVASAAHTHHLGLMIRSFTGPILKKSQFATRQGVSSWLSLYATAAGCLGSALISAFTYHMLRELNTNEHDLMSLWVVSIFSGLIWLVGVLHVFTATSRTTDSISFASKLHPFSIFKYPHAIGALAGVFLSSFTTMAIFIGGVLFIVDHLCIKPLHLLYYWLMYFLFPIVSLPLLQPMQHMIKMNSVKMQMVGFILSLLSSGFGFYFGHNHWKWGHILVLGAVQSTSTGILHAFGRVLVLDCAPSGKEGAFSIWFAWMRAAGLCVGFTVGSVAPGHVRASFGAAFCTAIVGIVVLLFGNISDVGGAVAAGHVRDDSDQRSSTVPALDSKDSSRV >OIW10525 pep chromosome:LupAngTanjil_v1.0:LG06:5515745:5527043:-1 gene:TanjilG_15897 transcript:OIW10525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRSRNGGFLNFQLKHSFLSSRGQAISQASKGYDEFLEVMKDFKAQRINTTGVIARVRELFKGHREPILGFNAFLPKGYEVTLPLEEDQPPPKKPVEFEEAINFIKTRFQGDDRVYKSFLDILNMYRKESRSITEVYQEVAALFQGHSNLLNEFTHFLPYTSAAAASNHYVPAQNCIFRDSISSSTMLTMRQMHVDKRERIMASHGDRDPSLDCSDLDHDRDENFGLCPMSSTYDDKNYLKSKYRQELAFCEKVGDLLGEYPDLMEGLMNFWYKLKRMSIWSEGHGPKPMKAEDRDRDCYRDDGMKERERECRERNKSTAIANKDVSGSKTSLYPSKDNTQYLQLAKEQNLTQKFELDMLLESVNVTTKRVEEPLDKINKNIIKGESSIRIEEHLTALNLRCIERLYGDHGLDVLDVLRKNAPLALPVILTRLKQKQDEWARFELDMLLESVNLTTKRVEELLDKINKNIIKGDSSIHIEEHLTTLNLRCIERFYGDHGLDVMDVLRKNAPLALPVILTWLKQKQDEWARCRADLIKVWAEIYAKFEFDMLLESVNVTTKRVEELLDKINKNIIKGDSSIRIEEHLTALNLRCIERLYGDHGLDVMDVLRKNAPLALPVILTQLKQKQDEWARCRADFIKVWAAIYAMNYHKSLDHRNFYFKQQDTKSLSTKVLLAEIKEISEKKHKDDDILLSIVSGNRRGILPNLGVEYPDIHEDLYQLIKYSCGEICTTEQVDKVMKIWTTFLEPMLCVPSRPSGAEDTEDAVKAKSNSVKSVTASVAESDVHLLQDESSGVRKQDPSSEQLVNTNASPTSGMEQRLTATPSRPSNVSVTGGLELPSSEGDDSTRPGTEVHRYQEESDRHFKNEREEGKDGGACQQYQNRHGEVCGEARGETNANDEGEESPHRSSENSENASENVDIFGSESAGEECSPEEHEDGELHNKAENEGEADGIADAHSVEGDGTSLPFSERFLLTLQAVATDEMDIKLLQLCTYEKSRKPGRFVDIVYHENARVVLHDENIYRIEYSPESMQLSIQLMDCGLDKPEMAAVSVDPNFSAYLHNDFLSVVPDKKKLGIFLKRNKCRYTSSDELASQAMEGLQIINGLEYKIACNSSKVFYILRFSSAFLSVDVFSSLNPDYSSDFAHVADALHLMAV >OIW09339 pep chromosome:LupAngTanjil_v1.0:LG06:29629461:29633071:-1 gene:TanjilG_01310 transcript:OIW09339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKSPNNNLRCHHCAGPLSKHMETTQWTIPPLIRDSFSMIGSAVGGVTSAFYGFNHVMPVVQRRVKGPMWLHFLIGAPPVIVFSSACAGLAGGAIPAAAQLVSSSYHAAFSSPPLPPPSKDDDKIQKSRTSSTIS >OIW09737 pep chromosome:LupAngTanjil_v1.0:LG06:17323039:17325978:1 gene:TanjilG_23877 transcript:OIW09737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKKVTFVYYVLTGTFKFISKCIKGKGFSGTKRYFGDLGKTLRSAGSNIAFAAVAEISDSILKGAEANGFNGLVSGFHQGILKLAMEPSLLGTALMEGGPDRKILLDRSPGADELYIEGYIQAMLDTVYRQEYLRVRVIDNQVILKNLPPNHSLINEIMDRVKEYLVSKALLKGDPSNSSRPLRRLRGESEWRIGPTILTLFEHLFVSFAIRMLRKQANKFISSMNWKNQSEVIHQEDVSADAIQKVHKVNFIRKWGIGKFILSGLLAYIDGRLCRSIPNPVARRVVSGFLLSFIDQNDDK >OIW08894 pep chromosome:LupAngTanjil_v1.0:LG06:32760951:32766339:-1 gene:TanjilG_05869 transcript:OIW08894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRSHRKPPPENSNAGYHSKRRIPYQLKPSALWSHTKRRRFNSNSQTVTPDTNNPSRSNRFEPFRSSSKSRRKKRDEKSIRSSIIEDCSSRRWAFSSTDCSNYKDKVVVVSYNILGVDNASKHMDLYPNVPRSFLDWDRRKGLILEEIDNYSAGILCFQEVDHFNDLDYLFQNNGFKGVYKARTGEALDGCAVFWKDNLFTLLDQEDIEFQRFGLRNNVAQLCVLEVKDDELESDACALTKVTSSTKKRRFVIGNIHVLFNPNRGDIKLGQVRLLLDKAYKLSQKWGSIPVIIAGDLNSVPQSPIYEFLASSKLDIQLHNRRNISGQLDIQSNSRVFRSQIGDEASFSMSISRQVLYQWSIEELRLATGAAGVTHLQHHLKLCSAYSGVPGNNRTRDDIGEPLATSYHSKFMGTVDYIWHSEELVPVKVLETMPIDILKRTRGLPTEKWGSDHLALVCEFAFVNNGNVS >OIW10107 pep chromosome:LupAngTanjil_v1.0:LG06:9783805:9787025:1 gene:TanjilG_21944 transcript:OIW10107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPPLIPLSLSTVTTTVQNHPDSIESSPNYHIVNNETWADNHDPLPNVPNAKLRLMCSYAGHIMPRPHDKSLSYVGGETRIVAIDRHTSLKDLCSRLSHSLLHGRAFTLKYQLPNEELDNLITVTTDEDLDNMVEEHDRLASSKLCPSSRLRVFLFFSKPDTAVSMCSLMDDVKSETWFVDALNNCGILQRGVSDSAAVDQCLVNLDGVHETNDLEAQVIVDSTPTTVVDSTKEVKQELHSVENNSSYGSSSSASSMANLPPITVHGVDVNGCGRIQEQFAQMSFGTNGLRHNLLDDGYAISSAATPMNAIPEAVRNVVNTNNNNNVNLVNVSDDDKSDHNGGLGGYRKPPIPLQLVQSRTFNATCGGFGLASPDSVASESSIASANSFSKTVYYHHEQLQPPQMDNKAPPTPNIKTEISEHTPTLQREQIQVQDSATGQVPTMSSYYPLYPPPSQQQLHHAISQQQYPLYVMPVGPKQHVIPQGAAASAVYKDGDPPIYPTKLATPTISEVGPNVYKATMASNPAFVHIPPNRFQQQYVTLPQNIHHQTHPIAIAPSATTNYGYDQYDGPIQDQVYYTQQPPQYQSMTPAAAAAALSDVSEQFPIDNTQYPNRDSQPV >OIW10299 pep chromosome:LupAngTanjil_v1.0:LG06:8499431:8500780:-1 gene:TanjilG_28050 transcript:OIW10299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVENKKKYITPEELEKHNKQGDLWISIQGKVYNVTDWAKVHPGGDIPILNLAGQDVTDAFIAYHPGTAWKYLDKFFTGYYLKDFKVSEVSRDYRKLVSEFSKLGLFEKKEHVTLFTLTSVAVMFGIVLYGVLRCTSVWAHLGSAMLLGLLWMQSTYVGHDSGHYEVMQSRGYNKLAQIICGNCMTGISIAWWKWTHNAHHIACNSLDYDPDLQHIPVFAVSSRFFTSMQSCFYGRQLKFDTLSRLLVSYQHWTFYPVLCVARVNLYLQTLLLLFSRRKVPDRAFNIMGILVFWTWFPLLISCLPNWPERVMFVLASFCVCSIQHLQFCLNHFAANVYTGPPNGNDWFEKQTAGTLDISCSAWMDWFFGGLQFQLEHHLFPRLPRAQLRKISPLVIDLCKKHNLPYRSLSFLEANKWTLRTLRTAALQARDLTNPAQNLLWEAFNTHG >OIW11180 pep chromosome:LupAngTanjil_v1.0:LG06:109202:110359:1 gene:TanjilG_22987 transcript:OIW11180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPCHFLRILVGNLAIKSTLSSKPSSSSKVHTSTSSSSSFFAHIKINPHSQRHVATVPLISDEDPTPHSLASSFDFTKPQIDQLINTNGRTRNLRLNISLYRNPTTSSCAPRKPTLLANITVPIHLPLQESRACILHNGWVALDDQHHNKKANSAAQLLHFTLRVEPDPRFVFRFDGEPECSPQVFQVRGEMKQPVFTCKFSFRDKNNQTQRKGWSITVHDLSGSPVAAASIVTPFVPSPGSNRVCKSNPGAWLIIRPDGDGTWKPWGRLEAWREPNHSNAVGYRFEDADRVTLASSTISSHHGGKFTIDVTSGVTPRGSRDKGFVMSAKVEGSRKCSKTEVEVGVEHVSCTEDAAAFVALAGAMELSMDACKSFIRKLPKELRQ >OIW10634 pep chromosome:LupAngTanjil_v1.0:LG06:4584098:4585158:-1 gene:TanjilG_16006 transcript:OIW10634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQAELEHPNKAFGWASRDTSGILSPFNFSRRKTGENDVAFKVLYCGICHSDLHMVKNEWGISKYPLVPGHEIVGVVTEVGSKVQKFKVGDNVGVGCLVGSCRACQSCVDDNLENYCPSPILTYGAKNVDGTITYGGYSDSMAAIGTLDGIIDTVSAVHSLLPLVSLLKSHGKLVMVGAPEKPLELPVFPLILGRRIVAGSNIGGLKETQEMIDFAAKHNVKPEIEVIPIDYVNTAMKRLIKLDVKYRFVIDIGNTLKPKF >OIW10556 pep chromosome:LupAngTanjil_v1.0:LG06:5246772:5249903:1 gene:TanjilG_15928 transcript:OIW10556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKIMLHFVLGLTLLLLLESVLAAPSTTSPAKIVTGFLSNSVPAITKWVWSLKATTKTAVSGKSMMKFESGYSVETVFDGSKLGIEPYAVEVLHNGELLILDSANSNIYRISSSLFLYSRPKLVTGSAEGYSGHVDGKLKEARMNHPKGITVDDRGNVYVADTVNMAIRKISDSGVTTIAGGKWSRGGGHVDGPSEEAKFSDDFDVVYVGSSCSLLVIDRGNQAIREIQLHFDDCAYQYGSGFPLGIAMLVLAGFFGYMMALMQHRLSTIVASQDTQGPAMSDISPSPYQKPSKYYRPPLIPSEDEPYKQDEGLFGSIGKLLANAGASVVEIMGGVFPGFRSKPQSYEFQSQLLFQEPQKQSKAWPAQESFVIPDEDEPPPSIDTRTPTPRKTYPFMSKDAEKMQQLWQSRAFYSGWDGDLQQQQQWQHQQQQKHHRHQYHSSVPHTYYEQSHEATNEILFGAVQERDEKQESATIKPMNYGDSLYDHHNIRSRIISTGYIHKY >OIW08863 pep chromosome:LupAngTanjil_v1.0:LG06:33064873:33065286:1 gene:TanjilG_25106 transcript:OIW08863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEARDRRAVPADVAAIYIRRRASVLFGSDIYNDSTGSGSTRFGGSGILVARDLGVRNENTPLSAANRRSSRRRVSTTRRSVSPSWYPKLLRDITAVVRLLRVRI >OIW09378 pep chromosome:LupAngTanjil_v1.0:LG06:29908047:29910003:1 gene:TanjilG_01349 transcript:OIW09378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLCFTRHCIKFMCKLLLFLLVLCLWIPREVVGRTGTTTISNSTDSYSRPSVLRIGALFTFNSVIGRSARPAIMAAIDDVNANTTILPGTKLEVILHDTNCSGFLGTVEALQLMENDVVVAIGPQSSGIAHIISHVVNELHVPLLSFGATDPSLSSLQHPYFIRTTQNDYFQMHAIADLVEYYRWSEVIAIFVDDDNGRNGVSALGDALAKKRAKISYKAAFTPGATKSDISDLLNGVNLMESRVYVLHVNPDSGLEIFSVAKKLGMMTSGYVWISSDWLPSVLDSLENVDTDSGVMNLLQGVITFRHHTPDTNLKKSFISRLKSLKDKDTKNFNSYALYAYDSVWLAAHALDVFLNEGGNTSFSSDPVLHNRNGSMLHLSSLRVSNGGQQFLQTILRTNFTGISGQIQFDMDKNLIHPAYDILNIGGFGSRRIGYWSNHSGLSVIAPEVLYQKPPNTSTSNQQLYSVIWPGETTKIPRGWVFPNNGKPLRIAVPNRVSYLEFVSKDKNPPGVKGYCIDVFEAAINLLPYPVPRQYILFGNGDTNPDYNDLAYQVSQNVSFKV >OIW09146 pep chromosome:LupAngTanjil_v1.0:LG06:30414939:30420349:-1 gene:TanjilG_11284 transcript:OIW09146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMRGTQILLFLVWFLGIYAPTLFCATVEYDHRALVIDGKRRVLISGSIHYPRSTPEMWPDLIQKSKDGGLDVIETYVFWNLHEPVKGQYNFEGNNDLVKFVKTVAAAGLYVHLRIGPYACAEWNYGGFPLWLHFIPGIQFRTDNEPFKAEMQRFTAKIVDLMKQERLYASQGGPIILSQIENEYGNIDVHYGAAGKSYIKWAASMATSLDTGVPWVMCQQADAPDPVINTCNGFYCDQFTPNSDKKPKMWTENWSGWFLSFGGAVPYRPVEDLAFSVARFYQRGGTLQNYYMYHGGTNFGRTSGGPFFATSYDYDAPIDEYGSIRQPKWGHLKDLHKAIKLCEKALISTDPNITSPGPNLEVAVYKTGSVCAAFLANIGTGSDATVKFEGNSYNLPAWSVSILPDCKNVVHNTAKISSASMLSSFTTESLKENIGSLEASTSGWSWISEPVGISKADAFSKIGLQEQINTTADRSDYLWYSISIDLKDEASAQTILHIESLGHALHAFINGKLAGSHTGNSGKSALNVDIPITPVTGKNTIDLLSLTVGLQNYGEFFDTRGAGITGPVILKGLKSGKNLDLSSEKWTYQVGLKGEELGPSSGSSGQWNPQSTLPKNRSLTWYKTNFDAPSGSNPVAINFTGMGKGEAWVNGKSIGRYWPTYVSANSGCTDSCNYRGPYTSSKCLKNCGKPSQTLYHVPRSWLKPSGNILVLFEERGGDPTQISFATKQIGSLCAHVSQSHPLSIELWNSDTKSVSKAAPALSLKCPKHNQVMSSIKFASYGTPLGTCGNFYHGNCSSNKAMSIVHKACIGTSSCSVEVSTATFGDPCQGVQKSLAVQVICT >OIW09264 pep chromosome:LupAngTanjil_v1.0:LG06:28811073:28816319:1 gene:TanjilG_01235 transcript:OIW09264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWLQKNSSWDKKDGVNGIVAVAIDKDKGSQNALKWAIDHLLTRNNTVVLIHVNAKHASITTPKAKGFGISEHNSLVSKDPDDQTKEIFRPYRVFCARKDLHCKDVVLEDGDVARALIEYTSHTAIEHLVIGSSNKTGFLRFKGSDIPGIISKGAPDFCTIYVVSKGKIQSMRSASRPVPFVSPLLTQLTPTSLNSPDQSDPPRVPLSGGSVKGERRPLEVPPRRSHDGTTDSFRSPFTRKGMAERYVEISTAADSDITFVSSGRSSIDKLFPTLTNNNTSESGFSNPRLSYSSDTDANYSFESLNFGRRSIDITSPDHSFSSDGFSSSSQTTDDVEAEMRRLKLELKQTMEMYSTACKEALSAQHMAVELQRWKIEEEKRMEEARMAEEAALAMAERERAKSKAAIETAEAQKRIAELESQKRLNAEMKALKESEERRRAIDALANKDVRYRRYSIEEIEEATSFFAEALKIGEGGYGPVYKCLLDHTPVAVKVLRPDAAQGRSQFQREVEVLSCIRHPNMVLLLGACPEYGCLVYEHMSRGSLDDVLFCRGNSPALPWQLRFKIVSEIGTGLLFLHQTKPEPLVHRDLKPANILLDRNYVAKISDVGLARLVPPSVANNVTQYRMTSAAGTFCYIDPEYQQTGMLGVKSDIYSLGIIFLQILTARPPMGLTHHVERAIEKGKFVDMLDSKVCDWPIEEALTLAKIAIKCAELRRKDRPDLGKQVLPELNRLRELAESNDQNSLLNGFISPSNHSQVSVQVTYFIYYSFTQLSTQSMLHRGRRSTDCELLSLEEKQ >OIW11005 pep chromosome:LupAngTanjil_v1.0:LG06:1249432:1249810:1 gene:TanjilG_22812 transcript:OIW11005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNKDTYPKWTSKKNKEFENALAIYDKETPDRWYKIAMFVGGTTEVEVKTQYQILVEDITNIESGKIPFPSYNITSAEQRLRDLKL >OIW09993 pep chromosome:LupAngTanjil_v1.0:LG06:11136494:11141265:1 gene:TanjilG_32733 transcript:OIW09993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSINCFGTSKKISKDQNNIHSRVGGEEEGEEKKVIGSKKMESVLKEVRGGESVLDLSQRSTVVGCDGEEIYGEDCATEDQLVTPWTFSVASGYALLRDPKYNKGLAFTENERDAHYLRGLLPPTVSSQELQERNERLFYKLLINNVDELLPIVYTPTVGEACQKYGSIFQRPQGLYISLKEKGKILEVMKNWPEKNIQVIVVTDGERILGLGDLGCQGMGIPVGKLALYTALGGFRPSACLPVTIDVGTNNQKLLNDEFYIGLKQKRATGQEYYDLLHEFMSAVKQNYGEKVLIQFEDFANHNAFELLTKYSTNYLLFNDDIQGTASVVLAGVVAALKLIGGTLPAHTFLFLGAGEAGTGIAELIALEMSKQTKAPIEESRKKIFLLDSKGLIVSSRKNSLQHFKKPWAHEHEPVNTLLEAVKIIKPTVLIGSSGVGKTFTKEVIEAMTSNNEKPFILSLSNPTSQSECTAEEAYKWSQGRAIFASGSPFDPVEYNGEVYYSGQANNAYIFPGFGLGLVISGAIRVHDDMLLAASESLAKQVTDENYAKGLTYPPFTDIRKISANIAANVAAKAYELGLATHLPRPRNLVKYAESCMYSPLYRNYR >OIW10957 pep chromosome:LupAngTanjil_v1.0:LG06:872089:876303:-1 gene:TanjilG_22764 transcript:OIW10957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSTSSSKNQLGNCGNIITVLSIDGGGIRGIIPGVILAYLESQLQEIDGEDARLSDYFDVFAGTSTGGIIASMLATPDPKAKNRPLFAAKDIVPFYLEYCPTIFYQRRGMFAAFTNIVKALTGSKYDGKYLHELLRNTLGGITLSQTLTNIAIPTFDVKKLQPTIFSSYQLATEPALDVPISDICIATSAAPTFLPAHYFTKQDEQGKVIKEFNLIDGAVVANNPTLVAMREVTKQLLRKPGGKINPLDYDRFLVISIGTGSKRSEHKYDARVVAKWGGLTWLFNSGSVPIIDCFNEANSDMVDYHNCVVFSALHSQDNYLRIQDDTLCGDLASIDISTKENMDNLVKVGEQLLKKRVTRVNLDTGLYEPVQNKGTNEQELKRFAKLLSAERKLRKSNTQDGK >OIW08911 pep chromosome:LupAngTanjil_v1.0:LG06:32621844:32623217:1 gene:TanjilG_05887 transcript:OIW08911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANFEKMLVAFFIFAIAPSIVLVDATFSDSMYLTWGLQHASLQADNLSLVLDQNSGSAAQTKIPYLFGSFESRIKLVPGNSAGTVTAYYLSSTGNKHDEIDFEFLGNISGQPYIIHTNVFTQGNGSREQQFYPWFDPTADFHNYTIHWNPTEIVWYVDSIPIRVFRNYQSAGIAYPNQQGMSLYTSLWNADGWATRGGLVKINWSGAPFIARYNQFRARACPFNGPASINQCAANIPSNWWTSPLYKQLGYASLGQLNWVRSNYMIYDYCKDTKRFNGQLPPECYKQQF >OIW11082 pep chromosome:LupAngTanjil_v1.0:LG06:1803498:1807028:1 gene:TanjilG_22889 transcript:OIW11082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLTIDPNPASVLDRADPIWAAVTDEAKSEAEKEPILSSFLYASILSHDCLEQALAFVVADRLQNPTLLATQLMDIFCNIIMHNKGIQRSIRLDLQAFKDRDPACLSYCSALLYMKGYHSLQVYRIAHALWHQGRKVLALALQSRVSEVFGIDIHPAAKIGEGILLDHGTGMVIGETAIIGNRVSLMHGVTLGGTGKEIGDRHPKVGDGALIGASATILGNIRIGEGVMIAAGSLVLKEVPPHSVVAGIPAKVLGRLHDNVPSLTMKHDATKDFLAHVPTNSKDDNPTGAHNLDRNNVNT >OIW09684 pep chromosome:LupAngTanjil_v1.0:LG06:19999349:20002887:1 gene:TanjilG_06490 transcript:OIW09684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLALNGLFSIRISAPALPGKFAGCLDTRRGIGSLNVVMGNKLSVLAGDFLLSRACVALASLKNTEVVSLLAKVVEHLVTGETMQMTTTSDQRYSMEHYMQKTYYKTASLISNSCKAIAILAGQTAEVAMLAFDYRKNVGLAFQLIDDVLDFTGTSASLGKGSLSDICHGIVTAPMLFAMEEFPQLRAIVDEGFENPKNIDLALEYLGKSRGIQRTRELAVKHANLAAAAIDSLPQNDDEEVKKSRRALIDLTHRVITRTK >OIW09435 pep chromosome:LupAngTanjil_v1.0:LG06:27759245:27767570:-1 gene:TanjilG_10307 transcript:OIW09435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKKLLFLLILVGIIHCGKSKFIVYNTSQGIVSEKLNVHLVAHTHDDVGWLKTVDQYYVGSNNSIQGACVQNVLDSLVHALLADKNRKFIYVEQAFFQRWWNDQSEAIKDVVKQFVRSGQLEFINGGMCMHDEATTHYIDMIDQTTLGHRFLKEEFGVTPRIGWQIDPFGHSAVQAYLLGAEVGFDSLFFGRIDYQDRAKRKKEKSLEVIWQGSKSLGSSAQIFAGAFPENYEPPSGFYFEVNDNSPIVQDNVDLFDYNVQDRVNDFVTAALSQANITRTNHIMWTMGTDFKYQYAHTWYRQLDKLIHYVNKDGRVNALYSTPSIYTDAKYAANESWPFKADDFFPYADRANGYWTGYFTSRPSIKRYVRLMSGYYLAARQLEFFRGRSSSGPNTDSLADGLAIAQHHDAVTGTEKQHVANDYSKRLSIGYKEAEELVSSSLACLTESTLFTRCQNPVTKFRQCPLLNISYCPASEIDLLQGKNLVIVVYNSLGWKRNEVIRIPVVNGNVTVHDSKGIEVESQLIPQAEVHVGLRNYYVKAYLGQTPPETPTYWLAFTVSVPTLGFSTYTISTAKRTGSTRSLVYTYQSDEKSRFEVGHGNLKLKFSTGEAKYVNYVNVRSLVEEEVEQSYLYYSGYNGTDQKDPQNSGAYVFRPNGTYLINHEREV >OIW09319 pep chromosome:LupAngTanjil_v1.0:LG06:29503978:29508072:1 gene:TanjilG_01290 transcript:OIW09319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGGVSWHTWPCCCYRTPFNMSQPPSPPPPPQPPLPLLLPRSLSTTSSSTLHHTASLNYNQQQEEEEEEEEDKEVGISKIQVPRHKHIPISKSQLVDAIISNIPLHDSYHFPLISECLDSILHAEHKTMLEDMRSDYEIQYQHNSDDHMHPPTLNLTSRFQRAFMQLLSQAQFEELSIRDLMLTSALNSDYLLTLPIYVDWKRAYESNAIIFRRGYATEKQKGLLIVEKLDYLQSVLLRTIFFIISKPLGKLGTWTTELFQNATQKHYVQSWTQRLRLWLKELSVFQKSLLYNEHASDDQTGANGEIPIWLAAQRAVARYEGILSPVGPRERLFRRLLSWIGLIPPTPETPFEVHNDSNTPEPYLRPTFLSRISLSDIWRPATGKYCQNNPWKMFKTAISILSSQSVLQEPAFEELILLYTNDVGEPNAKDKAEVPSLQLKIYERIPIPDLLVIFPHKKLSFRIIDTVRLDVATILGLLAYFINYKFENVSSSPSAILLDVVAASALIIYGSRVVLGYKQTWDRYQLLVNKTLYEKTLASGFGSVHFILDASEQQQYKEAILAYAVLLKAEKGHFSAKFGREM >OIW10338 pep chromosome:LupAngTanjil_v1.0:LG06:8924718:8926821:-1 gene:TanjilG_28089 transcript:OIW10338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSLSKQTQFGCEVDLLNFFLGCLMVLLMKRNMWLFFVGAGFSYSLIIISSWTDITPQSEDFELHDHLVIQVDSLRHQVPDKMHKLLDKNCEQIKSLLRMESKEVQKLGIWGMGGIGKTTAAKAVFHELSSQFDCICFLANVHEDSNRRGLNYACSRLFSELLGRDVDIDIDAPEIVPSNVMSILGNKKVLIVLDDVNSSEFVEKLIGARHDWLGAGSIVILTSRDRHVLMSARVDVIYEVEAMNFQDSLDLFSLYAFNQTHPETEYEELSKTAVSYAKGIPLALAVLGSSLQCKTKSAWESILNKLRMIPNPKIQEVMILSFNKLADAEKEIFLDIACFFEGRHIDQISRVLDACGFFADIGIKILLDKALITTDSHSCIKMHDLLQEMGRDIVYHESIENPEERSRLWNSPDVMDVLTNNRGTDAVESMFLDMTQIPNLHLSSYAFKKMPNLRLLAFDSGNSSMIKNHVSIPEGLELPANLRYLQWDGYPLNSLPSIRWEKLVDLSMPHSNLQKLWNGAQNMPMLKKIYLPFSTLLIECPDLSGSPNLESISFLGCESLGQFHQSIFTLQKLEELDVSGCTSLTSLCSKTCSPSLKRLYAVGCTNLQECSITTPGDQCKTHLYM >OIW10363 pep chromosome:LupAngTanjil_v1.0:LG06:9169000:9171499:1 gene:TanjilG_28114 transcript:OIW10363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGRMIEDLLIIGGLIGVQFVYAGNAVLLSYLMSLGLNSLTIVIFCSFATFLVLLPVASYYERSIWPKKFRFKLFIQILLLSFGGVTLFQSLILKGINLTSPAMGTAMPNLAPGLIFIIAWTLRLEKVDLSCTYSKAKIIGTLLCVLGALTMSLMSSISISAPNKETTFQLSSPPPNILLDKQKIIGCFYLLAAVLIFSSSIVLQAFILGDFPAPMSLCATTSLFGAFMTATAQLLQDHEFKTSWPLMSVGEVISYSLLAGCVSGICLSFHGWALKKRGPVLVSMFSPIGTLCSVILSYFTLGDTITIGSFAGMILMFSGLYFVLWAKGKEGYASKDGLDAERPLLC >OIW10822 pep chromosome:LupAngTanjil_v1.0:LG06:2763470:2764381:-1 gene:TanjilG_27768 transcript:OIW10822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGASSSSSLKAAFSYCAQQVRRYDYHHYLCLLELPPSMRKAAFALRALNVETARAMDVASDPRIGLMRLVWWQEAIDKIFANTLIEHPTAQALSSVIGENKISKTWLKRSVEARINDARREDNDMPETMGELEKYAEDTVSTLLYLTLQSGGIMSTTADHAASHIGKANGILLLLKSLPYHASHGRHFSYIPTAVASKHGLIVKQGGGEGSRVDSREGLCNAVFEMASVANAHLEKARKLAKSVPPEALPVLLPAVPAQVLLDSLSRVNFDVFDPRLTRGVLGIPPLWYQLKLKWTSWRRKY >OIW10591 pep chromosome:LupAngTanjil_v1.0:LG06:4977768:4983360:-1 gene:TanjilG_15963 transcript:OIW10591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPKPLDYESINENVKKAQYAVRGELYLRASELQTEGKKIIFTNVGNPHALGQKPLTFPRQVVALCQAPFLLDDPNAGLLFPADAIARAKHYLSLTSGGLGAYSDSRGLPGIRKEVAEFIHRRDGYPSDPELIYLTDGASKGVMQILNTIIGGPGDGILVPVPQYPLYSATIALLGGSLVPYYLEETANWGLDTTELRRSVAQARSKGINVKAMVIINPGNPTGQCLSEANVRDILRFCYEENLVVLGDEVYQQNIYQDERPFVSAKKVLMDLGEPLSKEVQLISFHTVSKGYWGECGQRGGYFEMTNIPPETVDEIYKIASIALSPNVSAQIFMGLMVNPPKPGDISYDQYFSESQGILQSLRRRARIMTDGFNSCKNVVCNFTEGAMYSFPQIRLPPRAIEVAKQAGKVPDVFYCLKLLEATGISTVPGSGFGQKEGYILFHLFSDGYPLFYTFFYAIHKQ >OIW10084 pep chromosome:LupAngTanjil_v1.0:LG06:10003864:10007688:-1 gene:TanjilG_21921 transcript:OIW10084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFVARKSLIALRNRHLAVAGQGLHNSQNYALRLNAHSFSTKLEDEEREQLAKEISKDWSTVFERSIDTLFLTEMVRGLMLTLKYFFETKVTINYPFEKGPLSPRFRGEHALRRYPTGEERCIACKLCEAICPAQAITIEAEEREDGSRRTTRYDIDMTKCIYCGFCQEACPVDAIVEGPNFEFATETHEELLYDKEKLLENGDRWETEIAENLRSESLYR >OIW11137 pep chromosome:LupAngTanjil_v1.0:LG06:372407:374030:-1 gene:TanjilG_22944 transcript:OIW11137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELEEGKILVRTKTIPKRFIKNQIPDSILNNTSLNAAISILPSNYNFEVHKSLWRVLSTRANRIALQFPEGLLMYSLILSDIFTTFGNVSKCFILGDVTYGACCVDDFSAVALGADLLIHYGHSCLVPIDSTTIPCLYVFVDIKIDVDHFVDTVRLNIESRAMNVVLAGTIQFGSALRVAKVELEKLGFRVLIPQSKPLSAGEVLGCTAPKVKLNLFDDNGGSEGGETILVFVADGRFHLEAFMIANPGIKAFRYDPYMGKLFLEEYDHVGMKNSRKIAILKAREAQNWGVVLGTLGRQGNPKILERLEKKMTEKGFTYTVFLMSEISPVRIALFEDTVDAWIQVACPRLSIDWGDAFVKPVLTPFEAEIVVGLIPGWWEKTVHVVPKQGGEDGTGCCNKSDACCERSCIDAKGTTDFGGDYPMDYYAQDGGDWNSSYVKKSTRPARKISVSSVANTPISQ >OIW10806 pep chromosome:LupAngTanjil_v1.0:LG06:2891195:2892323:1 gene:TanjilG_27752 transcript:OIW10806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQLSIKCKEGIPKATEESKPTTIVRNEAGKILLNALLYPAIKTSLLKNSVVAIFHTLGNAGGSGDNDSVVVSTFLIRMKTEEDRNKLASIIQEYAPVS >OIW10941 pep chromosome:LupAngTanjil_v1.0:LG06:753221:754792:1 gene:TanjilG_22748 transcript:OIW10941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQSPSTAVPLPELVPRKYSDSNRFSNLFDDPNEHFDFDFSDDSTENRDFMADLPDDCLARIFNCLSSGDRKRCSLVCRRWHRVDGQTRHRLSLNAKSGLLDFVPFLFNRFDSVTKLALRCDRKSTSINDDALILISLRCKNLTRLKLRGCREITETGVAGIGDNCKVLKKLSFSSCMFGAKGINAVIHRFRVLEELSVKRLRGAHDSAEFVDFSGAGTSSLKSITLKELVNGQSFAPLVIGSKKLRTLKVISCLGDWDETLKAIGCSNNGLVEIHLEKIQVSDVGLGGVSNCLGLETLHIVKTAECSNYGICGVAERCKLLRKIHIDGWRTNRIGDDGLISIAKHCLNLQELVLIGIYPTYLSLAAIASNCKSLERLALCGIVTVGDAEIECIAAKCGALRKLCIKGCPISNAGIGALASGCPNLVKIKIKKCKNITGKGVEWLREKRASLMFSYDDSEVEALDGSGSEGVGAVQDNAIADLPALEQVNMIDVASSSINHRLTMFRTRIGFVAGFRRWANSG >OIW10362 pep chromosome:LupAngTanjil_v1.0:LG06:9155967:9165188:1 gene:TanjilG_28113 transcript:OIW10362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYDDNDFQNQNLHLAGEGGSKFPPLLRPYALPKFDFDESLQGHLRFDSLVETEVFLGIGSNEDNQWIDAYSRGSSAIEFSSTAAESCSISRHHNVWSEATSLESVEMLLKSVGQEEFIPRQAVILESDACTELACLNTQMEPNPKPDDKNEFKDDFIDSQPPGCMDENLSGSKENVEMEQSLAGVSQGNEGSIDGGSSNLQPLDIHRDIELCVPVGSLVTDSKYSDTNKRKVETLAECSLDKETQDDSSTSGLKTNITAAFTEIVSSTCDVLNSQNGQNQVVGASDEGQSSSQIQTDKQDVSSSVINNDSDADTQTLDENAVGSEAHHTDKPLCLVPVIGALENENVAYVLETGMSSFEDSFGMVSDGISDLQKDETCGEDTCARDLPQGNASEDPVMEDKSALNTRDSLKVTTIIDHSSSDGVVVEISNSRHGTNSSFQQNVNTVEKKYGKSSVSKENELMNIGHHMDTDILFSESEASMLAAVDNNIAVISEGTSDKEGGDFSSFNVVASTKPCILGEATQLYENNEPDRQSAHEQICKDVSVDNRESKMAPLDSSEMHRNVDQSHLLDRGSSSSSLRAGSVENVLTTTTASAVDVMPINNSASHLTSETISSTSCETGVPPPSRVVSAHEVPDHNEIQRTMLVEPVSFGVKEGSEHEVTAHNEIQRARLVESVSFNEKEGSEAKIVEEAGLLTLVSTKHEIATCPVTGTETHNYSDTSRQCEIVSNCLQHPGATATEKIDPEGKLNDNVNQECTKEVGMAPDQYESTEKPGDEVTVPFINDDKEAVEDNHDKSTSKLSSPVSSAPVPDSHIELHKTGSSPAYPTNSICNPTITFGSTPETKENGNQVKASCSLNSPVSELINRVVANTLTIAHDPKGSDASKDGRSLTSEVNPVANLSQKDIADLTTKGKEVGERLPVPLTAANRASMVVDEIPVASGLGSAKSKISGNISHGSPQISDGEATHSVSKGTPERKTRRPSNKTPGKESSRKRHQGKEKPLTKQSERGGGSTSISLSPPPGFQLMHSNEVHQYGHSDSNSTKPFALFNASTSNLPDLNTSASLPVHFQQPFMDIQQIQLRAQIFVYGALIQGIVPDETHMIASFGGQDGGRSMWESAWRSCIERQHGQKSHPGSLETPLQSRSGPRATDLTIKGKAVSSPLGRASDKATPTIVNPLIPLSSPVWSLRTPSCDSLQSSALARGSVVDYTQTVTSLHPYQTPPRSFLGPSWISQAPPCGPWIASPCPAPDNSSHLSASPVTETIKLSSVEVSSLPPSSGMKNLPPGLPASSACLQSVSVKTTPLLDTNNVIVSHAQHSSDPKPKKRKKVMSDDLGQKALQSQSQLKATPDFSSHISTAVAIAAPVENLPVTTVGKSVVSSNPTAKKRKKAMMSEDRGQKASLLQSRLQPTPDFSSHISTAVAIATPVGNVPVTTVEKSVVSVSPLSLADHRKSDWNVENKILSDETLKKVKDARLNAEEACALSAVAVNHSLDIWKQLDKQKNSGLVSDIEAKLASAAVAVAAAAAVAKAAAAAANVASSAALQAKLMADEALVLSDYENSRQISSPEGMSAIGKATPASILKGSNGTSSSNSIIVVAKEAARRRVEAASAARIQAENMDAIVKAAELAAEAVSQAGKVVTMGYPVPLSDLVEAGPEGCWNAAKESSQQAGVLKDMTRGLVNIDNVGDRPETSHTCNTDILSDEMGKQTVASVKSPLHKVCDERPQDPLRFIDGISTSININENSSRGSKRCIVPDLVNAIDVLPESETEIQASLTVGSGSENMEENNMNEGSLVEVFKDGGGLKPAWFTGNILSLKDGKAYVGYSVLLADEGVGPLKEWASLESEGEKPPRIRIARPLTGLHNEGTRKRRRTTMVDYTWSVGDRVDAWIQESWQEGVITDKNKKDETALTVHFPASGETSVVRAWHLRPSLIWKNGKWIEPSRMEANSSSTHEGDTPNEKRPKLGSPAIEVKVKGKISKGIDAVGSANGDDLDSLNLAENEKVFNIGSKNENKPNTERVARSGLQKEGSRVIFGVPKPGKKRKFMDVSKHYVADGRSKINDGNDSVKLANFLMPRSSRSLGWKNSCKTDTKEKVGADTKPKTLKSGKPLSAFGRVIPPKENPLPKSRANNKTSRTGIKDSSKNAAESASHSGTSGALGGSNLTSADSHHTKKASTSRATSGKVETERTSVKSTSEGVEPRRSNRRIQPTSRLLEGLQSSLTISKIPGSHHEKGHKTQNRNASRGENMYL >OIW10423 pep chromosome:LupAngTanjil_v1.0:LG06:6293942:6303242:1 gene:TanjilG_24983 transcript:OIW10423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVENDNFDFSELFPVLDDDGDDGETCIDFQMIMKLIDEDSGPAEECSPMASSLKSILPGESSINDMFQLQTGTLNQSMWKGENQRQFKHMGEDVASESDEMGFAIPSLICILVILAPTIGIPSQFLCGQLGCLPLLFCWFYNFSLFNPVTSFSSSSTLQLLQPLQPYASHSSIIDNDDVSFEDFIKADLGGVSGHQVNDSSTSFEIPVIDADKSLHVATSTDSAICQGSHDPNDVSDYYLSSNCYQGTGGSPFVADSSLTFSPGGIYSQPWTNEEMMRNMKAENKEFYGDVSCVSNSIYSSTTGEASFQYSQFMLTDNGFPSIFSDNVIFEDKASEPLSTCASHMSYGGQSLCVKGERDEVITPYQNNFHNNDAKFNVGQDMKQLCGAFPSKEHQSYECFKPKDNFTVATSSIASQKQDMDISSPCAQASIASEKQFGCVKREGGGKMIQHKHIDSHLSKGSAENIHVEDDSGVCIIEDISHPPPTQKSVDTGNSLGISHSSRYVDSRPCIVRSTRLKACDERNVLRVALQDLSQPKSEVSPPDGLLAVPLLRHQRIALSWMIQKETSSIYCSGGILADDQGLGKTLSTISLILTEKPPTLSVCPNAPKGEFETLNLDADDDVLPLNGVNKECKYPVKSTNMLMQAKGRPSAGTLVVCPTSVLRQWAEELRNKVTRQANLSVLVYHGSNRTKDPYEVAKYDVVLTTYSIVGIEVPKQPLVDKDEEKGDFEDHTASGRKRKVPSNSNRNGKKGRSNTVLEAVALPLAKVAWFRVVLDEAQSIKNYRTQVARACWGLRAKRRWCLSGTPIQNAIDDLYSYFRFLRYDPYAVHSSFCFMIKNPINRNPKKGYRKLQAVLKTIMLRRTKGTLLDGKPIISLPPKHVKLKKVDFSREERDFYSRLEADSRAQFQEYANAGTVKQNYVNILLMLLRLRQACDHPLLVKHYNSDSLWRSSAELAKKIPQEKQISLLKCLEASLALCGICNDPPEDAVVSVCGHVFCNQCICEHFNGDDNQCPTTNCKTGLNTSNVFSKDTLKSCLSEQGCDNLPGFFGSEVEESEPWFQSQPYDSSKIKAALEVLQSLCKPQSYTSKSVPVHSTVGENSDCSSDSNKGKSFKNFPENHNLSGNRSSNDSVTALGEKALVFSQWSRMLDLLEEHLKKSSIQYRRLDGTMSVAARDKAVKDFNTLPEVSVMIMSLKAASLGLNMVAACHVLMLDLWWNPTTEDQAIDRAHRIGQTRPVTVLRLTVRDTIEDRILALQQRKRKMVASAFGENGNGSTKSRLTVDDLKYLFMM >OIW09963 pep chromosome:LupAngTanjil_v1.0:LG06:11790790:11794722:1 gene:TanjilG_18270 transcript:OIW09963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSQKGSSCDYEEEMCSSDVNSKVVVVAVKASKDLSKTALVWALTHVVQPGDCIKLLVVMPALSSSKKVWGLSRFTTDCTSSQWRSVSDPKEVITNSCSQLVLQLHGFYDPDKTKIRAKILSGYLFGEVAAEAKRVQSCWVILDKKLKHEKKYCMEQLHCNIAIMKRSGPKILRLNLNISPKMEYNEGYSLSLELNAYTRNLKDKSDNSDIIRGPAVTPASSPEQGSPLMTATDIGTSSISSTDHGTSPFFNFDNYERRRRGFPFEGLTNLEGIESDSESEKLSSSSKSSYFQPWIENVICIDGEFSKHEDNMQRSSDKALVSTYEAVLQKFSKMDLDPVVGMLNCKIDVNINKSVRESISLARNAPLGPPPLCSICQHKAPVFGNPPRWFTFTELQLATGGFSQANFLAEGGFGSVHRGILPDGQVIAVKQYKLASTQGDKEFCSEVEVLSCAQHRNVVMLIGFCVEDGRRLLVYEYICNASLDSHLYGRKQNVLEWSARQKIAVGAARGLRYLHEECRVGCIVHRDMRPNNILLTHDFEALVGDFGLARWQPDGDMGVETRVIGTFGYLAPEYAQSGQITEKADVYSFGIVLLELVTGRKAMDINRPRGQQCLSEWARPLLEEHDILDLLDPNLRNFYIDDEVHRMLQCCSLCIKRDPHLRPRMSQVLRMLEGDILYDCYTHFHFPEHINRENKEG >OIW10529 pep chromosome:LupAngTanjil_v1.0:LG06:5465637:5466800:-1 gene:TanjilG_15901 transcript:OIW10529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPNRLNAVVLYLILCLLFPPFSLGIRSFPTSVVAAVNSLSDFTEAPEYRNGEFCPGSGNRVSFLSCDPSLVHIAMTLDSGYLRGSIAAVHSVLRHSSCPENVFFHFISAEFDPVSPRILTRLVQSTFPSLNFKAYIFREDTVINLISSSIRQALENPLNYARNYLGDMLDPCVDRVIYLDSDIIVVDDIHKLWNVSLTGTRVIGAPEYCHANFTKYFTDEFWSDPLLARVFSSRKPCYFNTGVMIMDLVRWREGNYRKKIEAWMELQKKKRIYELGSLPPFLLVFGGNVEAIDHRWNQHGLGGDNVNGVCRLLHPGPVSLLHWSGKGKPWVRLDEKNPCPLDSLWEPYDLYKPHLVHHHNGEKEEEEEQNWSFSSSILVGYAHDML >OIW10853 pep chromosome:LupAngTanjil_v1.0:LG06:2534562:2537005:1 gene:TanjilG_27799 transcript:OIW10853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTTSSFVFLKSPIPSSQPSHTTTLFMHNVPCFHSGIQTRRIKGIKAQYDSNVNVNVRKEHVVIVGAGIAGLATALSLHRLGVGSLVLEQAESLRTSGTSLTLFKNGWRVLDAIGVANDLRTQFLEIQGMVIKSVDGRELRDFTFKEEDESQEVRAVERKVLLETLAGQLPKDTIQFSSKLAKIETSPNGESMLELTDGSKLLAKWMGFPEPKYVGHCAYRGLASYPDGQPYGPRVNYIYGRGLRAGYVPVSPTKVYWFICFNSTSPGSKITDSSLRKKLAKELIRDWPSELLNIVDSTPDDTVIRTPLVDRWLWPTITPPASAGSVVLVGDAWHPMTPNLGQGACCALEDAVVLAKKLAGAIKSEDPSVEEALRSYGTERWSRVFPLSIRANLVGSLLQWENSVVCSVRNNIVIPKLVRLGPLLEHTNFTCESL >OIW09336 pep chromosome:LupAngTanjil_v1.0:LG06:29611068:29612270:1 gene:TanjilG_01307 transcript:OIW09336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVNMKSDPLAIGRVIGDVVDYFTPNVKMSVTYNHNKQVYNGFEFFPSSVSTKPKVQVHGGDMRSFFTLVMTDPDVPGPSDPYLREHLHWIVTDIPGTTDATFGKEVVKYEMPRPNIGIHRFVFLLFKQKGRQTVNKIPSSMDLFNARNFAHVNDLGPPVAAVFFNAQRETAARNR >OIW11185 pep chromosome:LupAngTanjil_v1.0:LG06:53952:56735:-1 gene:TanjilG_22992 transcript:OIW11185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSKWLTLPPFIPTLVNVKNGNQISSPSTITALKWVTRCCPQIPKTLVHKLFRLRQVRTQPPNHTSFKRLSPKDTLNSGDLIFLPQSVKQTPPPTHKHKHLSHSHPPLTDKQINFIRTLLIYKDPALLVLNKPPGLPVQGGINIKHSLDVLAAASLNYDYSEPPRLVHRLDRDCSGILVMARTHTSATILHSIFRDKTSTASHNVVVDNGKSDRITVVDKSTSVSSQHAVTEYKVIGSSSNGYTWLELSPLTGRKHQLRVHCAEVLGTPIVGDYKYGWQAHKKWGLFEDEEDSSEELLKEETETLLPFGLNMKKGSICEKRPRLHLHCKQIVLPNICESLQNVESGSSRSCDLLGVESLELVAALPPYMQRSWEFTNS >OIW09564 pep chromosome:LupAngTanjil_v1.0:LG06:22802829:22813259:1 gene:TanjilG_28163 transcript:OIW09564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSCRGYASVAEAIESEDDYSSSSSSDSVDEIHKLLEDEMVKEEKVRHNHNHNHNHIHQNENVQNNSYKYKVLRRRQIKMETEAWEEAAREYQEMLDDMREHKLAPNLPYVKSLFLGWFEPFRDAIAADQELCKEPRHRLSHAPYFNELPPDMMAVITMHKLMGLLMTNSNGVGSARVIQVATQIGEAIEHEARIYRFMESTKKTKEKRSTTVNPDGESDLEPKERDKLTEQKEKMVNDQKRLRKKVASLIKKQKKQQAMGIVRGLDDRKPWGQEGQVKVGSRLVQLLIETAYIQPPANQSADSPPDIHPAFKHSLKNISSDSQKGSRRYGVIECDPLVLKGIEKTAKHMVIPYMPMLVPPINWTGYDKGAYLFLPSFVMRIHGARQQREAVKRAPKNQLNPVYEALDTLGNTKWRVNKKVLSVIDQIWANGGRLADLVDRRDVPIPVEPNTEDESEIRKWKWKVKAAKKENSERHSQRCDIELKLAVARKLKNEEGFYYPHNLDFRGRAYPMHPYLNHLGSDLCRGILEFAEGRALGKTGLHWLKIHLANLYAGGVDKLSYEGRIAFTENHLDDIFDSADKPLEGKRWWLQAEDPFQCLSACINLSEALRSPTPENTISYIPVHQDGSCNGLQHYAALGRDKLGAAAVNLVGGDQPADVYSGIAARVLEIMKRDADKDPKTNPNVLHARRLISQVDRKLVKQTVMTSVYGVTYIGARDQILKRLKERCAIEDDLELFAASCYAAKTTLVALEEMFEAARSIMGWLGDCAKVIASTNQAVRWITPLGLPVVQPYRQIGRHLVKTSLQILTLQRETDKVMVKRQRTAFPPNFVHSLDGSHMMMTAVACKKAGLNFAGVHDSYWTHACDVDDMNKILREKFVELYEAPILENLLDSFQKTFPTLKFPPLPERGDFDLQEKKSVKIWRQQHGIMIDASQTLIEARWNSFKANFSDVDDSVCSTFLAAELILGC >OIW11093 pep chromosome:LupAngTanjil_v1.0:LG06:679593:684068:1 gene:TanjilG_22900 transcript:OIW11093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVREAMAFSGNSGSYSAHREGGVGGSGSGTQTRGFQPVSGFRSLLNVRDGSSGSAFSVEHSEHDNFGHGISIVTLPGMVPSSSGESVKKKRGRPRKYGPSDGPVSLRLSPMSATANSTPGEWMNNSAGQAFSPHVITIGVGEDIVAKLLLFAQQRPRALCILSGTGTISSVTMHQPASTAGSVTYEGKFQILCLSGSYLVAEDGGPRNRTGGMSASLSSPDGHIIGGGIASLIAASPVQVVVCSFIYGSSKPKTKEAAIVSSEPQSSDKLGSSPAVNAPVTQNYSASATGKWPADTDADVKREQPQPQPQPHTGIDLTRG >OIW10015 pep chromosome:LupAngTanjil_v1.0:LG06:10816470:10817717:-1 gene:TanjilG_32755 transcript:OIW10015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTRKRQRSIIKPSCQNVAPPSVLSPLLVEELTVNIMSRLPVKSINRFTSVAKQWNTFLSSSYFIRYHIQRSATSSCLNNHRLLGGGSYGSSFRLSDPLLSIIDNPSPYPTLFGRLLSDIWHDPLIVGSCNGLICWIDYRESGNYICYLNPATGTKSRSPYLRYPSSFNSYNLFGFGYDHINDSYKTVAINCDPNGDTVDEKTLVKVYELGAPTTWKKIQSFPYVPKQSGFLDEPCGVFVKGTLNWLCTRSNDSLVVVSVDLVNDTCKEVSLPRFVDTNRYRVVARPNLSVLGGCLCFSYENRQTHFVLWQMKVYGDASSWNVLFNIPYKDIRIDRNIPNYPKPLLMLNNGDVMMQTSELGDFLLYNPMHNSFKYFEFASGTTLFESIVHIDSLVSPCPTRPHRIRGLPCLYTIT >OIW08888 pep chromosome:LupAngTanjil_v1.0:LG06:32796509:32798947:-1 gene:TanjilG_05863 transcript:OIW08888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQLMKSDTDNNHCLKKLKLEETKHEVPPLLHTHKRPRFHSPHKSGSSDVSFPFPPALYNPLDEPSPLGLRLRKSPSLLDLIQMRLSQQDDDDDDDEHRISLKKESKGLKVSGTIADSKLKASNFPATALKIGTWEYKSKYEGDLVAKFYFAKHKLVWEVLDGCLKNKIEIPWSDIMALKASYPEDAPGTMEVVLSRQPLFFREINPQPRKHTLWQATSDFTGGQASIHRRHFMQCPQGLLGKHFEKLIQCDPRLNVLSQQSDLVLDSPYFEPGTDQVELSGGFDRSEERASLFGLQDVESGSAVQSTSSKSEHILGKAVEIASREVTSPSAVMNTNAMEDFESRGAETLKFLSNLDQIKLPGLHPSMSMNDLISHIGNCISEQCDSQHNRTILEEFTQTLFNDTQLTTASYDEQYVMSRVNSFYSLLQNDAEEDTTMMINDSNSGFDLNESGKVGMSNNIKSCKCNEVELEAQKGDGSSFKHGSSIFRKESVGELLTNLPRIASFPQFLYYMTQDSGSHAR >OIW10810 pep chromosome:LupAngTanjil_v1.0:LG06:2854792:2857277:1 gene:TanjilG_27756 transcript:OIW10810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFMGEPATAVQIFRPSPWALAHATFYGDETASATMGGACGYGNLFQNGYGTDTVALSSTLFNNGYSCGTCYQIKCYQSGACYRNVPFVTVTATNLCPPNWSEASDNGGWCNPPRAHFDMSKPVFMKFAQWKAGIVPVMYRRVPCGRKGGIRFSLQGNGYWLLVYVMNVGGGGDISSMLVKGSRTNWIKMSHNWGASYQAFATLGGQTLSFRITSYTNKQTIYAWNVAPSNWNIGLTYSANVNFH >OIW10081 pep chromosome:LupAngTanjil_v1.0:LG06:10121223:10122861:1 gene:TanjilG_32821 transcript:OIW10081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACLVSRSGRELQRYNNKGGRQVVGCIPYRYKEDMDGNMSNELEVLVVSSQKCQTLMFPKGGWELDETLEEAASRESLEEAGVIGIVEHTLGQWNFISKRHGIYYEGYMFPLFVKEQLDLWPEKNLRLRIWMTVAQAREACHHWWMKEALDILVKRLRSPQQWKDISIN >OIW10519 pep chromosome:LupAngTanjil_v1.0:LG06:5564839:5566164:-1 gene:TanjilG_15891 transcript:OIW10519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTSSSSFFPKFFFRALAVVGLVCLLVVGSISSSGRTRQEATQRSSEILEHEKVMGRDNKHVKNEELDFDNMSKRRVPNGPDPIHNRKAGNSGQPPGKA >OIW11108 pep chromosome:LupAngTanjil_v1.0:LG06:567917:575881:-1 gene:TanjilG_22915 transcript:OIW11108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHFRHIGEVVGSLKALMVLRDEIQINPRQCGLIIDIFSLAFETIADDIRQNLKLEERNTKWKALEFPLRELCRVFKEGELYIRHCLDSKDWWGKAITFSQNNDCVEFHIHNLLCYFPAVIEAIENAGEISGLDQDEKEKKTLILARKYDMEWNDPKLFQWRFSKQYLVSRDICKQLENAWREDRWRLIEALKEKRTSNKDTLTKNELRLADMLLKKLLHGSDKMNIQLCPISVLLGAKDYQVRRRLGRGRDFKEIQWLGQSFALRHFVGEMRTYQTEISTLLSLSHPNILQYLCGFYDDEKREISVVMELMNKDLWTYMKENCGPRRQILFSIPVVVDLMLQMGRGIEYLHSKKIYHGDLNPYNVLLRPRNCQEGYFQAKVAGFGLTCVKNIETKTSNNEEFNPSIWYAPEVLTELEQKGNTSTSSKYSEKADAYSFGMICFELLTGKVPFEDNHLQGDKTNQKIKGGERPLFPHRSPKYLVSLIKKCWQSDPSQRPTFSSICRILRYIKKLLSMNTEFHVINPELNQLELLSPPVDCCDIEAMFLKNFPMDRPSILSSISQIPYEMFAYKVVEKGKIISNNSNAKDNKYIETEMDEATMSSEENEEQNKVCGDDNASIAEEMLQDPLLLITIPKSNCEDRKLVCSNVSSKKSVKVKKPTLDKAKKDKGIPKLQATRPLPPSLHGCGLGVNKASSSSTSKPLSPPARRMASGVSESFKINKPSLSTPSSPDAEIRPPKQKITDPTELGEYRLRKRKEFEDLIRRIRWNIGVWIKYAQWEESQKDFKRARSIWERALEVDYKNHTLWLKYAEVEMKNKFINHARNVWDRAVTLLPRVDQLWYKYIHMEEMLGNVAGARQVFERWMKWMPDQQGWLSYIKFELRYNEVERGRGIFERFVQCHPRVGAWIRYAKFEMKNGEVVKARNVYEKALEKLADDEEAEQLFVAFAEFEERCKEAERARAIYKFALDHIPKGRAEDLYRKFVAFEKQYGDREGIEDAIVGKRRFQYEDEVGKSPTNYDSWFDYIRLEESVSNKERVREVYERAIANVPPAQEKRLWQRYIYLWINYALYEELDAGDMERTRDVYRECLSLIPHQKFSFAKIWLLAAQFEIRQLNLKAARQILGNAIGQAPKDKIFKKYIEIELQLGNIDRCRKLYEKYLEWSPENCYAWSKYAELERSLAETGRARAIFEVAIAQPALDMPELLWKAYIDFETGEGEFDKARALYERLLDRTKHLKVWMSYAEFEAMAINKDDLDVTEEALEQKKHSNKRSNAFSMPEVRDPNVMLGLVFEEALNYFRSSASDLKEERAMLLEKWLNMEAAEFGELGDVSLVQPKLPKKLKKRRHVTTEDGSTRIEEYIDYLFPDETQTTNLKILEAAYKWKKQKLSSGF >OIW09636 pep chromosome:LupAngTanjil_v1.0:LG06:21781101:21788568:1 gene:TanjilG_28235 transcript:OIW09636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMLAILIGLLTILTWHFTKIYTTKSLNSLAYDLRYELLQRPILRMWNILNSTAEITTAQVKLSEYVIRRHGKVATQGEQVEMYEAMRDVTWALFVSRKALNSITVKYRNGFVQAFHRDLKANNTFYIYSDLTNYSISATGDEVWNDQNILGNKSMIWYREPLNPVSGEKIGKAIQIAPEDSINIAGISQVSDGVASWHVAVSKFTDSPLLSAALPVRDATNKSIVAVVGVTTAFYSVGRLMKELVELHSGHMYLTSREGYLLATSTNAPLLANSTKGPKLKMAVDCENDVIKEGAEYLQKTYGNNLPPSHEVHVVNARLGHQQYYIDSFILNLKRLPLVGVIIIPRKYIMGQVDERAFKTLVILIFASLCIIVIGWVCILILTNGVSKEMKLRAELISHLEARRKAEASSNYKSQFLANMSHELRTPMAAVIGLLDILISDDCLTNEQCATVTQIRKCSTALLRLLNNILDLSKVESGKLVLEDAEFDLGRELEGLVDMFSVQCVNHNVEIVLDLSDDMPKLVQGDSARVVQIFANLINNSIKFTQSGHIILRGWCENPNSYSDSSNFPLEQKKSGCAQKTKAKQHENQAKRTSKRVNKMILWFEVDDTGCGIDPRKWDSVFESFEQADPSTTRLHGGTGLGLCIVRSLVKKMGGEIKVVEKEGPGTLMRLYLCLGAPVDAVEKHCQVDFANNGLVVLLALHGNIGRLITSKWLEKNGVFAMEASEWNGLTQILKELFNAGCSVINNGFDAHYPVHESLRSKLMNIQDIRNLTFVIAVDIGLLDLSTDIWKEQLNFLHKYFGRAKFVWVLNHDTSNTIKMELRRNGHILTVNKPLYKTKMIHILEAVIKERNLEVRRKNMSTPKSTTQEGDSHKSFEIDFTHCDAASSDGSDITELGGSDPVSANADIQREKLARSDASSQYKMNNCLVRLTNEYLEDNNYPMKKRSCESSLDSDKVTADATTKSSSSRQSSVTKGAANGKKTLEGLRILLVEDTLLIQRVATIMLEKMGANVVGVGDGQQAVDALYGVLGAEDGRRESQLKERNTRSSQTEILSCRPPYDLVLMDCQMPKMDGYEATKAIRKSEIGTGMHIPIVALTAHAMSCDEAKCLAVGMDAYLTKPIDFKLMESTILSLTTKTSSNA >OIW10433 pep chromosome:LupAngTanjil_v1.0:LG06:6412483:6421889:-1 gene:TanjilG_24993 transcript:OIW10433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVRTYGRRNRPNSKTCSASLNDDVSDDPFGFSSQEEELYKHDTTTNNNNNRFSSFAFSSQESSSHWSLFDSEPNSVDDFGGGREPKKIKKAAANGGFSVPATSTLMEAQEFGEMMEHVDEVNFALDGLRKGQPVRIRRASLVSLLGICGTTRQRRLLRTHGMAKTIIDAILGLSLDDSASNLAAVTLLYILTSDGQDDHLLESSGCVRFLIKLLRPIISPAIEGKVPKFGSKLLSLRQNDDMQKNKTGRLDSSSIAVYSRVQEILVNCKELKTACQIDNVAERPELCPKWLALLTMEKACLSAISLDDTSGSVRKARGKFKEILREYGGLDAVFEVTMNCHSDLENLMEDNSPSTRDVRNAERLKSLTLLLKCLKIMENATFLSHDNQTHLLGLKGKPCPKATPFSFTELIITVTKILSDLYLRRSASAASNDDEAYDPFSIVSQDSEVDLLKEHKDDEILYFSSTRKYHGVERASSVKSSKSNASQNSRVLTRSWLESSLSLSETPSTSTTDTYSLKMRASSSTSGSCSGASKSSYCKSSMIHNSSNKNVHFTEHTPVVILDDIEDPYAFDEYDVVPSKWDILSGKQKKPRSRKYEAANRGYEDGSCFKKDEVATREYEDGCQSQANGSQQEYNDGDINCSSSNVRNEEDSSLLSDCLLSSVKVLMNLTNDNPVGCQQIAAYGGLETMSLLIAGHFTSFSSSLSIAEIKENTSVTAQYDRHLTDHELDFLVAILGLLVNLVEKDGHNRSRLAAASVLLPSSEGLDQEVRRDVIQLLCSIFLANRGGSESAGEAEQLQLDEAALLQGEQEAEKMIVEAYSALLLAFLSTESKSIREAIADNLPDHNLSTLVPVLDRFVEFHLSLNMISPETHKAVTEVIESCRIG >OIW10053 pep chromosome:LupAngTanjil_v1.0:LG06:10385332:10386407:1 gene:TanjilG_32793 transcript:OIW10053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTLRSFEEMGMGSVVCPKPRRLGLFNNSSINNHIRPLSYQSEIGGSSAVGEELLGIILPKDSFYPEQSGGQLVAFSPPFFCGSPPSRVSNPVIQDEEFGNGNFSPFTSSPSSNSARGCVRVKFGHTPAAVRIEGFDSLSRDRSNCSISAVA >OIW09652 pep chromosome:LupAngTanjil_v1.0:LG06:21608670:21614340:-1 gene:TanjilG_28251 transcript:OIW09652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METSSVSKVVFAFFLAFYLGSNLINSSVTYDRKAIIINGQRRILFSGSIHYPRSTPDMWEDLIQKGKEGGLDVIETYVFWNVHEPSQGNYNFEGRYDLVRFIKTIQKAGLYAHLRIGPYVCAEWNFGGFPVWLKYIPDISFRTDNEPFKRAMQGFTEKIVGMMKSENLFESQGGPIILSQIENEYGAQSKLLGAAGQNYVKWAAKMAVELGTGVPWVMCKEDDAPDPVINTCNGFYCDKFTPNRPYKPTLWTEAWSGWFTEFGGPIHKRPVQDLAFAVAQFVTKGGSFINYYMYHGGTNFGRTAGGPFITTSYDYDAPLDEYGLIRQPKYGHLQELHRAIKMCERALVSADPIVTSLGEFQQADVYYTESGDCAAFLSNHDSNSSVRVLFNNMHYTLPPWSISILPDCRNVVFNTAKVGVQTSQMQMMPTNNQMFLWESVDEDITSMDDSAVTAPGLLEQINVTRDTSDYLWYITSVDIGSSESFLRGGETPTLVVQSTGHAVHVFINGQLSGSAFGTREYRRFKYTDKVNLRAGTNRIALLSVAVGLPNVGGRYETWNTGILGPVEIHGLDQGNWDLSRQKWTYQVGLKGEAMNLVSPNGISSVQWMQSAIVIKRNQPLTWHKTYFDAPDGDEPLALDMESMGKGQIWINGQSIGRYWTAFANGNCNGCNYAGGFRPPKCQFGCGQPTQRWYHVPRSWLKPTQNLLVIFEELEGNPSTISLVKRSVGSVCADVTEYHPNIKNWHIQSYGNAEKFRPAKVRLNCSPGQIISSIKFASFGTPFGTCGNYKQGVCHSPTSYSILEKVIYITLYFTIPFIKFMGN >OIW10818 pep chromosome:LupAngTanjil_v1.0:LG06:2786260:2787516:1 gene:TanjilG_27764 transcript:OIW10818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVMKTVSVSNIEKLKPEKYYPPFIHHHRFRFFKRTLWSSIFLIFILFFFIFSPSPSPTHTDWEKRVTKSARNTTASSFTVLVTGAAGFVGCHVSLALKHRGDGVLGLDNFNRYYDPNLKRARRKLLTRAGIFVVEGDINDSQLLRKLFDLVPFTHVMHLAAQAGVRYAMQNPSSYIHSNVNGFVNLLEACKLANPQPAVVWASSSSVYGLNSKVPFSEKDRTDQPASLYAATKKAGEEIAHTYNHIYGISISGLRFFTVYGPWGRPDMAYFFFTKNILKGKQITIFESANHGTVARDFTYIDDIVKGCLSALDTAKKSTGSGGKKKGNAQFRIYNLGNTSPVPVTELVTILEKLLKVKAKRKVVPMPRNGDVRFTHANISRWQRELGYMPATDLKSGLSKFVKWYLNFYSASKKKSV >OIW10604 pep chromosome:LupAngTanjil_v1.0:LG06:4828691:4834729:-1 gene:TanjilG_15976 transcript:OIW10604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSFSHVITLHGLIPSTRCSYGYSHSNPRLQTLKFTRVANKVGIFAQLKSQNSTPKSQDIDFSDPDWKTIYKEDFEARFRLPHVTDIFPDAAPMHSTFGLKMRTPRTRDFPGNYPSCKEWHGYINDNDRVLLKTIYYSSPTSAGAECIDPGCNWVEQWVHRAGPREKIYFKPEEVKAAIVTCGGLCPGLNDVIRQIVITLEIYGVTNIVGIPFGYRGFSDEELTEVLLSRKVVQNIHLSGGSLLGVSRGGPGVNEIVDSLEERGINMLFVLGGNGTHAGANAIHNECCKRRLKVSVIGVPKTIDNDILLMDKTFGFDTAVEEAQRAINSAYIEAHSAYHGIGIVKLMGRSSGFIAMHAALASGQIDICLIPEIAFNLHGPHGVLSHLKYLIETKGSAVVCVAEGAGQDLLQKTNATDASGNTILGDIGVYIQQETKKYFKDIGVHADVKYIDPTYMIRACRANASDGIFCTVLGQNAVSHSPSLSLAVDAYVHGAFAGFSGITVGTCNTHYAYFPIPEVISHPRGLEIQASIEYIIGR >OIW08970 pep chromosome:LupAngTanjil_v1.0:LG06:32123284:32125076:-1 gene:TanjilG_05946 transcript:OIW08970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEGLGDTMSHGASKKNKLPGRSSNIHPGNTRGCNVPKFDQNNFGAIEIVASEQDFASSIEVTTEAPPRSIEFFVWNNEGINLCVDLNSSPSDWVNKLRNEVCTSTDVNRKDSRSLRQELSYLGDSSTQGKSSLLSKTNCDQIDDHHTRQIKSSSSLKMAKDDATGLDQLNKGASPLIYDSLTPNSKTVNVADNVKENESAVSALTVNVADNSKEHRSVVSAEVNCGAANNYISGSESCAKALSTKICDSYATDTPFFKSPCGSVGNSPSDPDRIKCQNLKPDDEISEDCVMVNGPCSVNPAAVYPGASLSGSVELQISEVASWHKYASLPLCENDGILDLSDPKNTLDAEQTGLVNSREDLGKIVSRRESSECSQFDDPIKKSGLEIDNQDSKVKLNRKKKHRDPVVQLSSDNPPSKNLRSMKNVAMIGQRRRSMRLISKVH >OIW09166 pep chromosome:LupAngTanjil_v1.0:LG06:30563571:30568714:-1 gene:TanjilG_11304 transcript:OIW09166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYMDLNIPYPEPSGPNKKSIIDSNRTKIAVKAMELGFTGIAYNRTITGVMSDQHRCTISPLTITSLFKLLPALSSSAKLHRDLLGIPMSTPFRQYTRLTVCVDSGPQCQAMNSGNPILKTYDLVAVKPLNQNSFDLACEKMEVDIILIDFSIKLPFRLKQHLIKAAVQRGVHFEVTYACLITDIQSRKQLISNAKLLMDWTRGRNIVFSSAAPSVNEFRGPLDVANLLSLLGLSKDRAKEAISKNCRILLANSLKKKRFYKEAIKVEVLSSDVASHSREGWYQELLKWDPISSGEGDIVLDDLTKSLSTSCETPKTVKAINFDSIPSQGFQVKDFLPASNVFDNSTELPNRLDASSEPGEISLSDAVATHKVVSHDNIRKKTRRSRTKEALNLKEIDTLTNATELESRNFIDSDVNCTPFTAKAHVSEPDLCISSNVLDTVTPNENKILNLCSEDVKLDDAHNVGHEVEIFTPDSSLNAQMARMHETSPKEDLKIAEHGVLEISTSISENLMGGEQFNECKTDAVELNEIPLQTPDEMKMKDDFAPAAHSLPNAMLEDIKLGIASTGCDLEASVKSGSAQRRAKRKKRHSARLHETLPKEDLKIAEHGVLEINTSIPENLMEGGQLNKCKTDAVELNEIPQQKPDEKKMEDCSTISLPDGSLGDTELGKENTGCVLVPLVMSHSAKKRARQKKARLARMHETFPKEDLKVAEHGVSEINTSISENLMDVAQFNNCKTAAVELSEIPQQKSDEKKMEDSSALLLPDGMLGETELGKVSTGSELVPSIKSRSARKRANRKKNHGEPDAHFDAQLLNPVPVTFKKRIKKSYGFNAI >OIW09068 pep chromosome:LupAngTanjil_v1.0:LG06:31280547:31281599:1 gene:TanjilG_16295 transcript:OIW09068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGFGADLLWADMNRLLAFLFHQGVLDEQFLQLQQLQDDTSPNFVSEVVNIYFHESEKLLTNLRGLLMEREFSDYKKIGIHLNQFMGSSSSIGAKRVTNVCVAFRASSDQNNRAGLMEQQRALASGVRYPVNNQ >OIW09497 pep chromosome:LupAngTanjil_v1.0:LG06:24938463:24945751:-1 gene:TanjilG_14127 transcript:OIW09497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRTLRRRIHHGDVDGKRWEHLETSGLDTLSEPLLADDDYIEHNKVCALEDIWDDESKKAQIHWTFLFSNLIAQWAQWFATIVLGSGSLLGRLITFPSIAVNGQNNRMLPSSLSPLQEERLRNIRQRIEVPFDGSKTEHQDALKQLWKLAYPDRQLPSLKSDLWKEMGWQCSDPSTDFRGGGFISLENLIFFAMKYPESFQRLLNKQDGCRAEWEYPFAVAGINISFMLTQMLDLKAGYPSSLSGIHFLQLLEEDEMAFDNLYCVAFRMMDAQWLAKRATYMEFNDVLKSTRMQLERELALEDISSVKDLPAYNLLR >OIW09767 pep chromosome:LupAngTanjil_v1.0:LG06:16149804:16150349:1 gene:TanjilG_18682 transcript:OIW09767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMAPPGGEGGSETSSSQCPVLDLNLPPGGRDEDTSMNHPSLNPSHPKPEVYHPLHDDKTLTIERAIEMALLTDGFSRDELGDPRKRDEIRGLFLYAKGEILSYRKSREMQEELEYGTHQSKAYRDIIDAISSSKLFLRRVKGIKRWDKGDIL >OIW10685 pep chromosome:LupAngTanjil_v1.0:LG06:3979587:3984079:-1 gene:TanjilG_16057 transcript:OIW10685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELFPAQPDLSLQISPPNTKPTPSWRRSTTDEEVDLGFWKRALDSRKFLSSSMSKADAISFDLSLSNSTKPSSNTNLINPFQNTTNANNNSNNNNNNPFQSFHQNHYFHQQQQHPLLFQPQQQQQHQSLSQELGFLRPIRGIPVYQNPPPTMTFPQLQHQQNHLQDASSTAPSPISHTATISPFHHSQALMRSRFLSRFPAKRSMRAPRMRWTTTLHARFVHAVKLLGGHERATPKSVLELMDVKDLTLAHVKSHLQMYRTVKTTDRAAASSGHSDVYDNGSSGDNSDDLMFDINSSKRSDLSIKQGRPSANQDKEFHVLWSNSSREALLNGKPKADSVRNVLSLEKDVDPKCLSYERISDGSSSSNLSGSSPIKPNLDLEFTLGRPL >OIW09748 pep chromosome:LupAngTanjil_v1.0:LG06:16780886:16781279:1 gene:TanjilG_14271 transcript:OIW09748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSRWPQRFGSRWIMVVPIGVVSSFLPPLGVSNSELRENGDDMEWWFDGRR >OIW10998 pep chromosome:LupAngTanjil_v1.0:LG06:1186029:1189749:1 gene:TanjilG_22805 transcript:OIW10998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPHNSSPDDATPDNSTPDDADNSSPSSEPPPSTPSESSPPPPPPPENSSPPPTPPSSSNSPPPPSSTNNPTPPSSNNESPPPPASSGHSPPSPSSGSPPSPQRKSLPSNNNNNNSTPSPPNSDGGGDNNNKAIVGAVLGVGVVLLIMVVVCVICSRRKKKRKPMYYYGEQDGKADAGNHYYNNSGQHPGGYYGGPPHPDHVVGVQSGMAPPNSGWGAPPPLIMNSAEMSGNFSMGPPPLPPPSPNLALGLKGTFSYEELAAATGGFHDQNLVGQGGFGYVHKGVLPNGKEIAVKSLKAGSGQGEREFQAEIDIISRVHHRHLVSLVGYCIAGGQRMLVYDFISNDTLEHHLHGKGVPTMDWPTRMRIAIGSAKGLAYLHEDCHPRIIHRDIKAANVLIDDSFEAKVADFGLAKLTTDNNTHVSTRVMGTFGYLAPEYAASGKLTEKSDVFSFGVMLLELISGKRPVDLTNTMEDSLVDWARPLLTRGLEEDPGNFRELVDPFLEGNYNPPEMARMAACAAGSIRHSARKRLKMSQIVRALEGDVSLDDLKEGMMKTGISNIHTSSSSSDISYDTMQYNHDMQQFKKAVFSSEVGTSSGSSGEMSRFRETPRRLN >OIW09674 pep chromosome:LupAngTanjil_v1.0:LG06:21072902:21095621:-1 gene:TanjilG_11061 transcript:OIW09674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPPTTSFSRVELAKSCVTKEWSKAIRILDSFISQSPSVQDICNRAFCYSKLELNKHVIKDCDRALQLDPTVLQPYILKGQALSELGKKADALLVWEQGYQHAQYHYADLKQLLELEELLAAAKQHNDALCDTHRPSMPQSESKSPSDRNSSGTYKNQDGFSAEAECSNGSNKSVICLKSTDNSDSKNELHDEDKGSNKFGGQVNGSPDVIDTSSYNSESCNDSSDASDSCEKVSIHISHPISATEILGNPTSKLIFPRERKDEARKNKKFCLARISSKNAISVDFRLARGIAEVNEGKYDDAISIFDKILKDDPAYPEALIGRGTAYAFLRELDAAIADFTKAIQFNPMAGEAWKRRGQARAALGEFVEAIEDLTKALEFEPNTEDILHERGIVSFKFKKFHMAVEDLSACVKLDKDNKSAYTYLGLAFSSIGEYKKSEEAHMKSLQLDRNFLEAWAHLSQLYHDLAKPAKAQHCLNEALQIDGRYARAYYLRGLLFHAMGEHRKAIKDLTMGLSIDSCNVECLYLRASCYHAVGHYKEAVKDYDAALDLELDSVDKFVLQCLAFYQKEIALYTASKNNSDFCWFDIDGDINALFKEYWCKKLHPKKVCEKVFRQPPLRESSRRGKLRKLEFIITKQKAALLQAADSIGKKIQYDCPGFLPNRRQHRMAGLAAIEIAQKVSKAWRSEWKHSNKSNSKNGKRTRRRERIKMPSQNRGGAGCSTSSAFETCSRGTLDDKPSGRTMSWKDVYSSAVRWRQIAEPCDPVVWVNKLSEDFNSGFGSHTSMILGQAKVVRYFPNYERTLDIAKTVMKERPYVHSRIDEIIHLSKDGKLEEIMHAKSCSDLYKVVGEDFWLTTWCNSTAFEGKQLEGTRITLVKIEDFNSGFGSHTSMILGQAKVVRYFPNYERTLDIAKTVMKERPYVHSRIDEIIHLSKDGKLEEIMHAKSCSDLYKVVGEDFWLTTWCNSTAFEGKQLEGTRITLVKMGEHGFDFAIRTPCTPARWEDFDTEMTMAWGAICDAYCGKNYGSTDLNTLENVRDAILRMTYYWYNFMPLSRGSAVVGFVVMLSLLLAANMECTGSIPQGLQVDWEAILSLDPSSFMDSVKTWLYPTLKVTTSLKDYPDIASTFETTGSVIAALSCFDD >OIW10828 pep chromosome:LupAngTanjil_v1.0:LG06:2708837:2711744:1 gene:TanjilG_27774 transcript:OIW10828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLPTTHHKTVPDATDYKGHPAQSSKTGGWSASAMILGGEIMERLTTLGIAVNLVTYLTGTMHLGNATSANVVTNFVGTSFMLCLLGGFLADTFLGRYLTIAIFATVQATGVTILTISTIIKSLHPPKCNIESAQPCERASSMQLMVLYLALYITALGTGGVKSSVSGFGSDQFDDTNKGEKKQMIKFFNWFYFFVSIGSLAATTILVYIQDNQGREWGYGICACAIVFALVVFLSGTSTYRFRTLLGSPLTQFAVVFVAAWRKRHLELPSDSSLLFNEEYISNETHMTKKQRLPHSKQFRFLDKAAIKESGGITDTRKWYLTTLTDVEEVKLVIRMLPIWATTIMFWTIHAQMTTFSVSQATTMDRHIGKSFQIPAASMTVFLIGSILLTVPFYDRFIVPVARKVLKNPQGLRPLQRVGVGLVFSVLSMVVAALVEIKRLRFAQTHGLVNNPEAKIPMTVFWLAPQFFFVGFGEAFMYMGQLDFFLRECPKGMKTISTGLFLSTLSLGFFFSSILVTIVNNLTGHEKPWLADNLNQGKLYDFYWVLAILSAINVMIYFVCAKWYVYKETRLAEEGIELEEQPDATFHA >OIW10508 pep chromosome:LupAngTanjil_v1.0:LG06:5686460:5691265:1 gene:TanjilG_15880 transcript:OIW10508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTPGMDFFYHAKAVRLRGHHVKYLMAEDDEESVTQNRNGSSKNARWTLEYVPHNNNIIRLKSCYGKYLTASNHPFLLGMTGHKVLQTLPHTLDSSVEWEPIKDGTHVKLRTCHGNFLRANGGLPPWRNSITHDIPHRTATQDWVLWDVDVVEINVNSPIQELPSAPPLPEHDSNGFQSSVPSSPVGHDKSPSFFRQQPNDLKADTLPKMEGRTIYYHVAEESGEVSDEGMPRYSIIYNGNGVEELTHKFEEETGLEGIIVCSQSPLNGKLYPLRLQLPPHNVTMNVVLVLPFSKVAPSLLTQRGAFSSSDRYLELDAVADEPERLLLSKEKPIKFTLWVLFWATLSLAWFSVTKDANAAVDSIKASSFGLNIANSLRKLGWPDGVVVFSLATLPVLELRGAIPVGYWLKLNPLALTVLSIIGNMVPVPFIILYLKRFASFLAARNTFVSRFLDMLFENAKKKAGPVEEFQWLGLMLFVAVPFPGTGAWTGAIVASILDMPFWSAVSANFVGVVFAGLLVNLLVNLGMKYAIITGIILFFVSTFMWSILKNIKRSLRASN >OIW10202 pep chromosome:LupAngTanjil_v1.0:LG06:7569262:7572347:1 gene:TanjilG_27953 transcript:OIW10202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGEVPAGNHSPEEILSLIHDIAGMCSGDSSTADAIAADVMFRKDCTDLVRRISLLTYLFEEIKELPKVVDSAATSSTTTGDSDSWSSDLVLALHSAKRLLSIAKNFRSNCSSDGAAKTITYQFQCVTWKLEKVLSNLPYGNLDISEEVREQVDLVRTQLRRATDKYGFMISKMPFHELSQPMDQEFAQVLGKSVNGLHKQHSCPEKLSELNRIPKNIGGKGCSTFRAFSLLERSRSIPVCTDVSSSNVTDPESQEKSESKSQSGVKKPEAIVIPEDFLCPISLELMRDPVIVATGQTYERSYIQRWIDCGNTTCPKTQQKLQHLTLTPNYVLRSLISQWCIENNIEQPTGLTNGKMKKSDGSFRDVTGDIEAIEALVRKLSSRSIEERRAAVTEIRSLSKRSTDNRILIAEAGAIPVLVNLLTSEDVLTQDNAVTSILNLSIYESNKGLIMLAGAIPSIVQVLRAGTMEARENAAATLFSLSLADENKIIIGASGAIPALVELLQNGSPRGKKDAATALFNLCIYQGNKGRAIRAGIITALLKMLTDSSQSMVDEALTIMSVLASHQEAKVAIVKASIIPVLIDLLRTGLPRNKENAVAILLALCKRDTDNLACLSRLGAVIPLSDLARSGTERAKRKATSLLEHLHKLQQI >OIW09835 pep chromosome:LupAngTanjil_v1.0:LG06:13677285:13682171:1 gene:TanjilG_20542 transcript:OIW09835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAESLSVATTPSLCNFNASKFHRRPTLLSPLHFTVLRSPLPSHSLTSSSSSLSHFFGTSRVTSNSTNLSLLRQNGRRNFSVFAMSTEDTKRVVPLSDYRNIGIMAHIDAGKTTTTERVLYYTGRNYKIGEVHEGTATMDWMEQEQERGITITSAATTTFWNKHRINIIDTPGHVDFTLEVERALRVLDGAICLFDSVAGVEPQSETVWRQADKYGVPRICFVNKMDRLGANFYRTRDMIVTNLGAKPLVIQLPIGSEDSFKGVVDLVRMKAIVWSGEELGAKFEYVDIPEDLQDQAQDYRSQMIETIVDLDDDAMENYLEGIEPDEETIKKLIRKGTISASFVPVLCGSAFKNKGVQPLLDAVVDYLPSPLELPPMKGTDPENPEGTLERIASDSEPFSGLAFKIMNDPFVGSLTFVRVYSGTLTAGSYALNANKGKRERIGRLLEMHANSREDVKTALTGDIIALAGLKDTITGETLCDPESPIVLERMEFPDPVIKVAIEPKTKADIDKMATGLIKLAQEDPSFHFSRDEEINQTVIEGMGELHLEIIVDRLKREFKVEANVGAPQVNYRESISKISEVKYVHKKQSGGQGQFADITVRFEPMEPGSGYEFKSEIKGGSVPREYIPGVMKGLEECMSNGVLAGYPVVDVRAVLVDGSYHDVDSSVLAFQLAARGAFREGVRKAGPRMLEPIMKVEVVTPEEHLGDVIGDLNSRRGQINSFGDKPGGLKVVDSLVPLAEMFQYVSTLRGMTKGRASYTMQLAMFDVVPQHIQNQLASKEQEVAA >OIW09926 pep chromosome:LupAngTanjil_v1.0:LG06:12452295:12453774:-1 gene:TanjilG_32075 transcript:OIW09926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGAKWKTVSAEEKRPYEEKYHAEREVYLQVMAKERRETEAMKLLEEEQKNKTALELLEQYIQYKQETEKETKKNKKEKDPLKPKHPMSAYFLFTNDRRPALLAENKKVLEVSKITAEEWKNMTEKQKKPYEEIAKKNKEKYMHEMEAYKQKKDEEAANLMKEEEEHVKLQKQEAMQLLKKKEKTENLIKKTKKNNQKKKQSKEDKNSDPNKPKRPASSFILFSKDSRKTLQEQRPGVSTSTLNALISLKWKELSEEERKFWNGKASEAMEAYKNELEKYNEFIAATATQESNIDE >OIW10145 pep chromosome:LupAngTanjil_v1.0:LG06:7055006:7055218:1 gene:TanjilG_27896 transcript:OIW10145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVTLARSIMGCIFLMLLLSAGSETRPLNPTMVSNMLRRSIGTPIRPITEASEVYGNKRLSPGGPDAHHH >OIW10238 pep chromosome:LupAngTanjil_v1.0:LG06:8000893:8002164:-1 gene:TanjilG_27989 transcript:OIW10238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGTLNSTPCKCMLLFVFILILRAILLPPLHGFDGVEWSNFVFIRNHSPASVGVRQDKFLEVPQIVWGLNNQKIAFARACLTARLLNRTLLMPSLSASLFYKEIDLLQPISFDKVFQFDKFNALCSGFVQLGRYSDVLNRTQVLEMQKGSGRKWTVERDLDQLRQHSKGSSNDHEIIRIVGKNPFLWHDHWPVKDYAKVFECLVLVDEIAKEADRVVSRIIAVGNTESMEKENDSSSIQPLPYVAVHMRIEIDWMIHCKKLEQRLQTNQICSSKKEIMERVGNIAGLKTPVVYLAVADQLLKNSSILEGWQEGFLPFEKKKLGVDGIYSKYPYLIQSAIDYEVCLRADIFVGNSFSTFSSLIVLERTQKMIRMGIDDMCQTDVRWPSYAYNIAGESNGPMRWITNMSDSSLKAISYGTDHISC >OIW10024 pep chromosome:LupAngTanjil_v1.0:LG06:10699476:10699751:1 gene:TanjilG_32764 transcript:OIW10024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCHKGIPLVVQRRWHVSLGAFIDVNTTREHTPPGTVVIGQPHGGDGAGSGSSSEDDALIKKRCVCSPSQHPGSFRCRLHQAKYVWRRVEKN >OIW10895 pep chromosome:LupAngTanjil_v1.0:LG06:2202193:2204617:-1 gene:TanjilG_27841 transcript:OIW10895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTGAVLVGLRLSCQICPSRNSSLPNPSRPFNPSLPLPLKFHKLFSLASQNVLEVTSPLRPCATLSNSYNETTELADIDWDNFGFNIQPTDYMYIMKCQQGGTFSKGEIKRYGNIEFSPSAGVLSYGQEGLAPINLIVEKDLHRATPGGAGGVKTIGNYAAVLKAQSAAKSKGYSDALYLDCVHKKYLEEVSSCNIFVVKVLYSNSC >OIW09391 pep chromosome:LupAngTanjil_v1.0:LG06:28375388:28375900:1 gene:TanjilG_20988 transcript:OIW09391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSYSNSPCAACKFLRRKCMPDCIFAQYFPPEEPQKFANVHKIFGASNVSKLLNEVQPHQREDAVNSLAYEAEARIKDPVYGCVGAISVLQRQVIRLQKELDATNADLIRYTCNEIPNNTEDGRRIGEGGEGSSSSLNQSLSGFYYPSSPWNNDPCGDAYQRGSNNNM >OIW09206 pep chromosome:LupAngTanjil_v1.0:LG06:30847909:30850736:-1 gene:TanjilG_11344 transcript:OIW09206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEHRLKREQFNRTKHDSNFSPWKILIGPSDWEEYCEGKEGCRRYMIHNLPQNSGAGVYELGIGVWSSGLRRQNYKFAPDQNHSIVVVYIGQADNVRGRLQRYGRNGAHLVNGDGTPFFNHIFSLGYPILYRWAPMEKKEDAIRTEDQLLCTFDYAWNTSNNGTRRPDDILQKLNKIASGTRTFSDLAKVLLPFTERKVGIRIKSSKLPLTDDNKSDEDNGGYNFLSRVFKFSRSRPRIVQDTSEGIQKNDHKICGVALGDGSVCTRPPAETRKRCPEHKGMRTKTSNAKTIRAPKWESAVVLEPNEICQTKVNHYRDQHVGHDIQDPAQKVVENPADESISNTNICGIILDDGSTCSRQPVKGRKRCLEHKGMRINTSSAKTIPKSESTVVLGPNEFCQTKGNRYREHVGHDVQDPPQKVMEIPADESISNTHICGIILDDGSICSRQPVKGRKRCLEHKGKRNRASVHRNHQC >OIW09105 pep chromosome:LupAngTanjil_v1.0:LG06:31594662:31597590:-1 gene:TanjilG_16332 transcript:OIW09105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLERALSSRRYNIHGDTDEPSSSEYSKTMKHNHFFCRITNRASNYLSRFTIGSGYLCFCVIIAFLFILLVFGSSLLFSSRGFVCISSFDTLSRARFFGFDDLESDFGALGVPWYTYNLILGKGRSKHGNTVEWTSKDLLKGLEEFVPIYETRPIKNNLYGMGFDHSFGLWFMARWLKPDMMIESGAFKGHSTWVLRQAMPDTPIISLSPRHPEKYLKKGPAYVDGNCTYFAGKDFVDFGSVDWPKVLHKHGIADLSRVLIFFDDHQNEMKRVEQALNVGFRHLVFEDNYDTGTGDHYSLRQICDQSYIRGGGHSCFKNSDEARIRSRRKKFWEKAVDIKELCGPDEAWWGVRGYMRDNFNHSNEPISYTEHFQNSRFIESILDVYWELPPVAGPSLTHQTRYDPARAPSPIVEDGKYGLFKRLGLAKLDNSVFNGYTQMVYLQISEQ >OIW10497 pep chromosome:LupAngTanjil_v1.0:LG06:6164347:6170421:-1 gene:TanjilG_00435 transcript:OIW10497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVTSLLNTFLFCFSTLLIFLSTISISTDTLTTTQSLTTNQTLSSPQGIFELGFFSYTNSTWYLAIWYKNIDHKTVVWVANRDTPLENPTGFLKIGDKGNNIVLIDQSLHQIWSSSNQTTTTTTSTRNSILQLLDSGNLVLKESNENYPTKFLWQSFDYPTDTLLPGMKLGWNLDTGMERHITSWKIKDKDPSSGDLSFKLNYHGLPEIFLWNKDQIIYRSGPWNGERFSGVPEMQPTTNSIKFIFHVDEHEVYYSFSIENQILFSRLFVSPIGELQRLTWIKTTQVWNKFWYAPKDQCDDYRMCGPYGICDTNASPVCQCVKGFHPKNQQNWNLRYGSDGCVRNKELDCESDKFFKLHNVKLPETTLVLVNRSMNLVECEDLCHKNCSCTAYANIEITNGGSGCVIWIGELIDMRQYPAGGQDIYVRLAASDVGFGGGSNGTNSTAKVAGIIVGGAALILLALGFFLLWKKNKLQCILKGKTEHRGSLERSHEFLMPEVGFSSKREQSSESNIDDLELPLFDFNTITIATNNFSEHNKLGQGGFGVVYKGILIEGQEIAVKRLSKNSGQGIEEFKNEVKLLVKLQHRNLVRLLGCSIQMDEKMLVYEYMENRSLDGILFDKSKRSSLDWNRRFSIICGIARGLLYLHQDSRFRIIHRDLKASNILLDKEMNPRISDFGMARIFVADQTEANTMRVVGTYGYMSPEYAMDGIFSVKSDVFSFGVLVLEIISGKKNRGFYYANKEWNLLGHSWKQWIEGNALELIDSSIGDLYSQSEVLRCIQVGLLCVQEHAEDRPTMSSVVLMLSSETAVMAQPKNPGFCLGRNLAETDSSSSKQDESFTVNHVTVTMLEAR >OIW09127 pep chromosome:LupAngTanjil_v1.0:LG06:30191664:30195779:1 gene:TanjilG_11265 transcript:OIW09127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSDASKKKAAQKKAAAAAKRGGKAAAAASASSKSVSAANKLANGVGDIHISDRNCTGVLCSHPLSRDIRIESLSVTFHGHDLIVDSELELNYGRRYGLLGLNGCGKSTLLTAIGCRELPIPDHMDIFHLSREIEASDMSSLEAVVNCDEERLKLEQEAEALAAQDDGGGEALERVYERLEGMDAATAEKRAAEILFGLGFDKQMQAKKTRDFSGGWRMRIALARALFMKPTILLLDEPTNHLDLEACVWLEENLKQFDRILVVISHSQDFLNGVCTNIIHMQSKKLKLYTGNYDQYVQTRSELEENQMKQYKWEQEQISSMKEYIARFGHGSAKLARQAQSKEKTLAKMERGGLAEKVSRDSVLVFRFVDVGKLPPPVLQFVEVSFGYTPDNLIYKNIDFGVDLDSRIALVGPNGAGKSTLLKLMTGDLVPSDGMVKRHNHLRIAQYHQHLTEKLDMEMSALLYMMREYPGNEEEKMRAAIGKFGLTGKAQVMPMKNLSDGQRSRVVFAWLAYRQPQMLLLDEPTNHLDIETIDSLAEALKEWDGGMVLVSHDFRLINQVAQEIWVCADQKVTKWDGDIMDFKKHLKAKAGLSD >OIW10125 pep chromosome:LupAngTanjil_v1.0:LG06:9601117:9606431:1 gene:TanjilG_21962 transcript:OIW10125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANWISSKLKVAENLLHQASTEHINSIDQQAAESLRKNERLQSDELSIDDVPAKSASSVSLKNQLKKKKTHDNSNDYHGKLYSDPNFKVVKTTTNAPKSAPTPTLTDADWTELLSSPNQSSASASNPSHSNGIPVTRGLSRSNSRKQEIVSSGSLISDVKRKLKSESRSLQKLNSLKEVKLSRKGSIDDGKESETDGKGSVKKLVVETNDKQNEENSFNYRDRSPQEDMQEENGNLAAERMEISVVDKVQDANMAGDVGDGQLRSAMSRGELNAVSRNSTSDDLKRVISMESDGSSVSDTDSGSTSDSESEREREERRKKRERVLAEKAAAKAINAIKERENTVAKLEGEKQSLEKILEERAKQQAQEASQLQSIMMETMEAVELEKQKHNNTRMEVLTRLAKLETANADLARSLASVQWNLEVEVKQVAELRQQIASKELVLEELKRSTRNPQQTGASQLASKGVELEREILEEEHSLIDDKVAQLQEKARKLEADIEMTRKEIEEPTEVEVELKRRLHQLTDHLIQKQTKVESLSSEKGSLVFRIEAVSRLLEENMSASGATDLNAASSSSDLESGSWDLSNSKFKPMLKARFHSGKRQLGSLLVQLDYIFVTGAVFLKRNPTAKLWALIYLVCLHLWVIYILMSHSGPSNEGRSGAVISLENINNTGGV >OIW09979 pep chromosome:LupAngTanjil_v1.0:LG06:11587850:11590114:1 gene:TanjilG_32719 transcript:OIW09979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRFIFVASLFLIYLDCCSGSFIGVCYGRNADDLPTPDKVAQLVQLHKIKYLRIYDSNIQVLKAFANTGVELMVGIPNSDLIPFSQFQSNADTWLKNSILPYYPATKIAYITVGAEVTESQNNASSFVVPAMTNVLTALKKVGLHKKIKVSSTHSLGVMSRSFPPSAGAFNSSHAHFLKPMLEFLAENQSPFMIDIYPYYAYRDSPNKVSLDYAIFESSSEVIDPNTGLLYTNMFDSQIDAIYFALSALNFRTIKVMVTETGWPSKGSPKETAATPDNAQTYNTNLIRHVINNTGTPAKPGEELDVYVFSLFNENRKPGLESERNWGLFYPDQTSVYNLDFTGRGALDMTTGGNVTKSNGTSWCIASSKASQIDLQNAVDWACGSGNVDCTAIQPSQPCFEPDNLVSHASYAFNSYYQQNGASDIACSFGGTGVKVDKDPSMFITTHHQLFHTYLYCMLILACTYVSMLEMPFSSLFVKCELKELIKSINIAVAETAIDSIMTRNVVVFALRSLNGGCCFSYSLFIQVNDISE >OIW10518 pep chromosome:LupAngTanjil_v1.0:LG06:5570646:5572459:1 gene:TanjilG_15890 transcript:OIW10518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGATSTRAPLINLTHLSSSNLLQFSANYLPFPLQSFPSRLYYNHRSSNPIITNCHGKLNNSTGGDAYEMDGGIFGGYDVIEEDDGDEEDTESSVDLFIRFLQSMFKKLSKRAKKASRSVLPGVISPQLVSFAVDGTLLLATVSIVKAFLEVICTLGGTVFAAILFLRVIWAAISYFQSNGNNFNQGGNSFGAVA >OIW09529 pep chromosome:LupAngTanjil_v1.0:LG06:23775788:23779195:-1 gene:TanjilG_13753 transcript:OIW09529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRSLRNLLLYSKIFSFTLLLSLTSLLFTCCYSLNEQGQALVAWKNSLNSTQDALESWNPLAPSPCNWFGVHCNSQGYVVEINLKSVNLEGSFSLPLYSQSLMWSLKILILSSTNITGKIPREIGDYKELIFIDLSGNSLTGEIPEDICRLRKLQSLSLHTNFIEGNVPSNIGNLSSLVNLTLYDNKISGEIPKSIGSLSKLQVFRAGGNKNLEGELPWEIGNCTNLVILGLAETSISGSLPSSIGMLKRIHTIAIYTTLLSGPIPEEIGNCSELQNLYLYQNSISGSIPIQIGELGKLKSLLLWQNNIVGTIPEELGSCREIKVIDLSENLLTGSIPRSFGHLPNLRELQLSANQLSGTIPPEITKCTSLTQLELDNNSLTGEIPDLIGNLRSLNLFFAWQNNLTGKIPDSLSECQELQALDLSYNNLIGPIPKQLFGLRNLTKLLLLSNDLSGFIPPDIGNCTSLYRLRLDHNRLEGNIPPEIGNLKNLNFVDMSSNHLIGEIPAALSGCQNLEFLDLHLNSLTGLVPDSLPESLKLIDFSNNRLTGPLSPKIGALVELTKLNLGKNQLSGRIPAEILSCTKLQLLDLGRNSFSGEIPKEVGLIPSLEISLNLSCNQFSGQIPNRFSSLTKLGVLDLSHNKLSGNLDSLSDLENLVSLNVSFNGFSGELPNTPFFRKLPLSDLAENQGLYIAGGLETHANRMGSKDHARSAMKFTMSILLSTGAVLVLLAIYLFIRAHISNKVLMENEGWEITLYQKFELSIDDIVLNFTSANVVGTGSSGVVYKVTTPNRKTLAVKKMWSSEESGAFDSEIQTLGSIRHKNIIRLLGWGSNRNLKLLFYDYLPNGSLSSLLHGSGKGKAEWETRYEVILGVAHALSYLHHDCLPTIMHGDVKAMNVLLGPGYQPYLADFGLARIARENDHNTNSNPVQRHYLAGSYGYMAPEHASMQPITEKSDVYSYGVVLLEVLTGRHPLDPTLPGGAHLVQGVRNHLASKGEPSDILDPKLRGRADPTMHEMLQTLAVSFLCLSTRADDRPTMKDIVAMLKEIKPIESSRENDDVLKGGLTEHSSPPPQNNVVSHGSSNCSYNFSDDSIS >OIW10766 pep chromosome:LupAngTanjil_v1.0:LG06:3260850:3261509:-1 gene:TanjilG_27712 transcript:OIW10766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRALRFLTDNVTALAEAAPPPEPRELESDFVVILAALLCALICVVGLIAVARCAWLRRATGVGSLPAHSQANKGLKKKVLQSLPKFAYVDSNSSTWLATPECAICLSEFASGDEIRVLPQCGHGFHVGCIDTWLGSHSSCPTCRQVLAVSRCQKCGRFPAVGGGGGGGSTTNCATVDEPELKSREENNASVNNNKVGGSSCSNMEGHQSHNGSSNFLP >OIW10572 pep chromosome:LupAngTanjil_v1.0:LG06:5149926:5151005:-1 gene:TanjilG_15944 transcript:OIW10572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGIPAPRKTSKLDRNLVKKAVKISLDDLRERNSGLFLSSRAIQIRSYAPDLAKTIAGLILSSSDLDFQGECFSLLGLQSQEVGTENVENCIKERIVSVIETNDKKPHKTNEVEPFLFEDDRVHGVEFQENNYEDARVYPMDI >OIW10701 pep chromosome:LupAngTanjil_v1.0:LG06:3879278:3882109:1 gene:TanjilG_16073 transcript:OIW10701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDSTVSFLLDKLTSLLQEEVNLQKGVSQEVQFIKDELERHKAILRVADVLKDKDPELKAWLKRVRDIAHDMEDAIDEFNLRIVDHHGRSNISRFLHKLANMKARHRIASDIQGIKARLEFISKDRPNLYGIGSSSSQRLSPRHGMQGDALLLEEADLVGIDARKNQMSDLLFKDEAGRKVIPIYGMGGLGKTTLAKQVYEDPKVKKRFRFHAWVNVTQSYKMQELLKDLVQQLYNVKGKPAPEAVGQMKIDKLKEEIKNLLSRSRYLIVLDDVWHVNLWDAVKYALPNNNLGSRVMLTTRKRDVASHSSAESGKVYNLEFLSEQEAWSLFCKKTFQGDSCPPHLEEVCRSILKMCQGLPLAIVAISGALATRDRTDIEEWQMVYRSFGSEIETNDLLEGMKRVLSLSLNELPYYLKFCLLYITIFPEFYAVEHMRLIRLWVAEGFVHGEDGKTAEEVADSYLKELLDRSLLQVVDKTSDGRMQTCRMHDLLREIVNLKSKDQNFATVAKEQDIIWPDRVRRLSVINTMHNIPQNKTKFNLRSLLMFALSDPLDRFFMRALCPTSGYRLLRVLDLQDAPLEVFPSEIVNLYLLKYLSLKNTKVKNIPRSIKKLQYLQTLDLKHSYVTELPVEIVELHRLCHLLVYRFEIKSYAHFHSRYGFRIAASIGKMQSLQNLCSIEADQRSNALMVDLGKLNQLRRLGIRKMRQEDGSALCSSIEKMINLRSLSITAIGEDVIIDIQNIFKPPLYLQRLYLSGRLEKFPTWVSSLKNLARVYLKWSRLNEDPLVYLQELPNLRHLEFLQVYVGTTLQFKAKGFPSLKVLGLDDLDELTTMVIEEGAMCGLKKLIIQRCKSFKEVPLGIEHLTKLKKIEFFDMPDELITALRPDGGKDYSRVQNVPAVYATYWRDDGWDVYSLETFGERETDFSHSASMRSHEHHPLRKV >OIW09096 pep chromosome:LupAngTanjil_v1.0:LG06:31535190:31535780:-1 gene:TanjilG_16323 transcript:OIW09096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLRETIHKTKVLLHKSLRKFKSFFLGRYKKLPRSLSFNPFHGHSGNARTYTSDHFYNEFYDLLQSDINSRSVQIQSNSFETARTEPRNWALGNSIKRCDDISMSIPEVIVLKENCKYGKKGDLDSRNMIEGSKVLAQKMKELEMIESGDIEHELDIEEALHYYSRLTSPVYLDIVNKFFMDMKSEFSLPQPYVSI >OIW10115 pep chromosome:LupAngTanjil_v1.0:LG06:9710130:9712944:1 gene:TanjilG_21952 transcript:OIW10115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSVDPLIVGRVIGDVVDMFVPSVNMSVYFGTKHVTNGCDIKPSIALDPPRVTLTGNMDNLYTLVMTDPDAPSPSEPSHREWIHWIVTDIPGGTNPHRGKEILPYVGPRPPVGIHRYILVLFQQKGPLGLVEQPPSRASFNTRYFARQLNLGLPVATVYFNSQKEPASRRRT >OIW10767 pep chromosome:LupAngTanjil_v1.0:LG06:3253519:3255585:1 gene:TanjilG_27713 transcript:OIW10767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPNESPKDPIPLDNRPGIFIIGSPNVGKRTLLSRLLSIDLQDASDSASEVNVHGWNISTKYYTADVSVWTANLHDDFSVRSLPIFQQLDALVMVFDMNDLSSLTALQSWVSCTDIQNFEILLCIGNKVDLIPGHPVHAEYRRRLMKLEDSAVDPYSEFAKYGISESEGTSLLGDEEPSGDIRRSCLEWCTENNIEFIEACASNADFDKCLSVDGDLQGIERLYGALSAHMWPGMILKSGDRISEPSLPEKEESSDESEFELEYEVLSAGSADLWDDMEHGWVSAPSLDAGGSIPHSNPNAECQPEDGTKSDKELQTSTSGTAFQDESDKEVLPNNIDDCEDEKPDMGKILDFDDLEQMMAEIGNMRSSLRLMPDFQRREMAAKLAMKMAAVFGGESDAEEEIESI >OIW10272 pep chromosome:LupAngTanjil_v1.0:LG06:8302757:8305269:1 gene:TanjilG_28023 transcript:OIW10272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGGDGKKQPPSTAAEGSPPRRPTITLPPRTSMDTLFNGGGGDGGAFVFSPGPMTLVSSFFGENNNDEYKSFSQLLAGAMSPLDHKGFSGEGGGDGDGDGDGDGAFKHSNNNNNNTQLSSPFFMIPHEFSSSGLLDSPTFLFSPPQGNFGLTHQQALAQVTAQAVQAHSNVQIQTEHSSSASLVTAATSTTTQQLMSRSVPDTRAAKTESLDYSQSEQRLQSSVNGDKPNDDGYNWRKYGQKQVKGSEFPRSYYKCTHPNCRVTKKVERSLEGHVTAIIYKGEHNHQCPHPDKLAKDTLSSNQNSDMQGSYDSSYQFESGGLNRLKEGISHSMSKMDQESSQAIAEHVSGTSDSEEVGDHETEVDDKNDEPDPKRRNTELSLPDPASSHRAVTEPRIIVQTTSEVDLLDDGYRWRKYGQKVVKGNPYPRSYYKCTTPGCGVRKHVERASTDPKAVITTYEGKHNHDVPAAKINSHTMANSSASQLKPPNAKPETNSFSSRGVGANQQQPVARLRLKEERIT >OIW11124 pep chromosome:LupAngTanjil_v1.0:LG06:460427:464154:1 gene:TanjilG_22931 transcript:OIW11124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRTVVVGVTVSLAVAACAVAALVVGERVRGRKKWKKVVNVLRELEEGCETRVERLKQVVDAMAVEMHAGLASEGGSKLKMLLTFVDNLPNGTERGTYYALHLGGTNFRVLRVHLDGQRSSISEHEVEHEVERQPVPQHLMTSTTEDLFDFIASSLKEFIAKEGDGSKLDRRELGFTFSFPVKQMSVSSGILIKWTKGFSIVNMVGRDVAACLQDAVTRKGLDVRVAALVNDTVGTLALGHYHDADTVAAIIIGTGTNACYLERIDSIIKCQGLLTASGHMVVNMEWGNFWSSHLPRTVYDIDLDAESPNPNDQGFEKMISGMYLGDIVRRVILRMSLESDLFGPISPKLSAPFILRTPLMAVMHEDDSPDLREVARILNDIFEIKDVPLKARKVVVKVCDVVTRRAARLAAAGIVGILKKIGRDGSGGITGGRSRSDMKMKRTVVAIEGGLYSSYTMFKEYLHEALEEILGEDIAKHVILKVTEDGSGIGTALLAASYSS >OIW11027 pep chromosome:LupAngTanjil_v1.0:LG06:1414360:1417703:-1 gene:TanjilG_22834 transcript:OIW11027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQQPPLLNQGAAMDLRDLALAADKESNFDGTALKKKRGRPARGTSKSAPPSRPKKEEEDVCFICFDGGSLVLCDRRGCPKAYHPACIKRDEAFFSSKAKWNCGWHICSVCQKASHYMCYTCTYSLCKGCTKSSDFVSVRGNKGFCGLCMKTIMLIENNAQGNDAKCEVDFDDTSSWEYLFKVYWTALKGETSLTLDELLQAKNPWRALSCKPGTPHELYHVKDDKGSGSKNSFVDIESDNLNNKKPKRGVAHIHVVDGVLNDVRCHTEDLPITRDLIGGICIHNNQINPSDASIGGSTNIGVVRSESSDVALDMSSLLLSTGIEKSLADSVNDKLWHYQDPAGKVQGPFSMLQLYKWKASGHFPSDLRIWRMDEKQDYSILLTDALDGKCSKNVLLPHNSQLLSLGPSVTFDNKDNSQDSGRNASKNEFHGESRVIEHFGEQKVYDACRQSNGKDESVRSNKWNSYSSTPADGINNEGQSGNLEGREESSKCRNPCHNQPHVYPSLPSTAFSVNLNENPLDKLREGYGIEANPEDNGNYGLNRISEDQGKSGQRYQKQSDSEENSGQSSGQNWECPQVINPVCNASTWLAIFGEPTDCDESVSDLLAEVEAMESRGGGVESPTSIMKGVEELTDGSKTDFLSFVDGFSSMLDASKGNALTSTVDLHLPSQPTAAAEPFRRTDAFHNPQRISGVNSSKSSEVEVNEADRIGGHS >OIW10334 pep chromosome:LupAngTanjil_v1.0:LG06:8890783:8893476:-1 gene:TanjilG_28085 transcript:OIW10334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKGDIPEDANEHCPGPQSESAGKSDACEGCPNQQICATAPKGPDPDLVAIAERMATVKHKILVLSGKGGVGKSTFSAQLAFALAAMDFQVGLLDIDICGPSIPKMLGLEGQEIHQSNLGWSPVYVESNLGVMSIGFMLPNPDDAVIWRGPRKNGLIKQFLKDVYWGELDFLIVDAPPGTSDEHISIVQCLDASAVDGAIIVTTPQQVSLIDVRKEVSFCKKVGVKVLGVVENMSGLYQPLTDLKFMKLTDSGEQKDVTEWVLENIREKLPEILSLIACSEVFDSSGGGAMKMCDEMGVPFLGKVPLDPLLCKAAEEGRSCFADKSCVSSASALKNIIEKLMETNGLSMSVSNGV >OIW09083 pep chromosome:LupAngTanjil_v1.0:LG06:31419877:31423340:-1 gene:TanjilG_16310 transcript:OIW09083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGALAGLQDHLKLAREYALEGIYDTSIIFFDGAIAQINKHLNSVDDPLIRSKWMNVKKALSEETEVVKQLDAERNAFKESSIANSRRPPSPPISVSSAKSNFVFQPLDEYPTSSSSGGGGGGGGFSNTVDDPDVWRPPSRDTSRRPQAARNLKKDGAWARGATSRTSTTGAATNANARGGARLSRVNSGTRGSAAAKKGNASGKSSKTDSVNGDGDDGKSKRPQYEGPDPDLAEMLERDVLETSPGVRWDDVAGLSEAKRLLEEAVVLPLWMPEYFQGIRRPWKGVLMFGPPGTGKTLLAKAVATECGTTFFNVSSATLASKWRGESERMVRCLFDLARAYAPSTIFIDEIDSLCNARGASGEHESSRRVKSELLVQVDGVNNTSTNEDGSRKIVMVLAATNFPWDIDEALRSVATDVNMDDVARRTEGYSGDDLTNVCRDASLNGMRRKIAGKTRDEIKNMPKDEISNDPVAMCDFEEALKKVQRSVSQADIDRHEKWFHEFGSA >OIW08936 pep chromosome:LupAngTanjil_v1.0:LG06:32436701:32440712:1 gene:TanjilG_05912 transcript:OIW08936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTTTTQVYINVIEDVMVKVRDEFVNNAGPGYQVLKDLQAMWESKMMQVGVIVGPIERSTLPKPTPGGPITPVHDLNVPYEGTEEYETPTAEILFPPTPLQTPIQTPLPGTGDNSMYNIPTGPSDYSSSGNVTGGNTDPKGGRPGPYMQPPSPWMNHRPSLDVNVAYVEGRDEVDRGTSNQHLTQDFFTVPPGKRKRDDLASQYNAGGYIPQQDGAGDVASGIFEIEVNGGSISISAQHTTLKGNMSADLGRPLSRIPQLDGPIPFEDDALSTPNIYNYGGVFSEDYNVANTPAPPEIPASTPALVAENEVGNDDDADDDDEPPLNENDDDDLDDLDQEEDQDTHHLVLAQFDKVTRTKSRWKCTLKDGIMRINNKDILFNKASGEFDF >OIW11072 pep chromosome:LupAngTanjil_v1.0:LG06:1768707:1769636:-1 gene:TanjilG_22879 transcript:OIW11072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKASLSSFSSQKHSSRYGIRSISLPTRSHPSTIRIEEELNKLKSWETSSSSKVEKICYALYGLGELYKCVEDLLKLPLTQQALSQYQNEKWVDELLEYPVRFLDILGETRDAIMSMKGDVIKLQSALRRKKVVDLGMESHISSYWSLRRKMRKECTKSMLSLRQIDESFGASIPFDLDHHLSSIVRVLREASWVTTSIFQSLVVFLSSPIFRSRHNSKWAFVSRVVQKGLLVCNNQEENINELEKVDLALSRMVMDSSSKDFEAEKIQSAHRELEAVVVVIEMLESGLDCLFKHLINTRVSFLNILSP >OIW09035 pep chromosome:LupAngTanjil_v1.0:LG06:31029009:31033160:1 gene:TanjilG_16262 transcript:OIW09035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDRNEGSDYSSEEEGTEDYRRGGYHAVQIGDTFKNGCYVVQRKLGWGHFSTVWLAWDTQKLRYVALKIQKSAQHYTEAAMDEIKILKQIAEGDPEDKKCVVKLLDHFKHSGPNGQHVCMVFEFLGDNLLTLIKYSDYRGVPLPMVKEICFHILAGLDYLHRELSIIHTDLKPENVLLLSPIDPSKDPKKSGVPLVIPNTKDKTVPKIGTAKYDKSLYGDLTKNQKKKIRKKAKKATQCSVGKESTEEAEEDSKAPEQDDCSNDAKPSVESGEGKPNSPVSKDGSTKASETEDVPQGSHDHRRVSSSTRKKLLAAVDHKCKLVDFGNACWTYKQFTNDIQTRQYRCPEVILGSKYSTPADLWSFACICFELVTGDVLFDPHSGDNYDRDEDHLALMMELVGMMPRKIALGGRYSREFFNRSGDLRHIRRLRFWPLNKVLTEKYDFSEQEANNMADFLVPLLDFVPEKRPTAAQCLSHPWFSAGPRTLEPSLTTTVQPDAMDVEMSEKMKREKAEKEAVEVGMGNIAISGTLKPLKESQPIRS >OIW09196 pep chromosome:LupAngTanjil_v1.0:LG06:30785330:30787796:-1 gene:TanjilG_11334 transcript:OIW09196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTILIEQPCLETQSEERNVYGEETNEFVLDGGFPLPQLSQDGFHAPDINSFGKAFRNYDAETERQKSVEEFYKKQHINQTYEFVKRMREEYAKFDKTEMGIWECCELLNEVVDDSDPDLDEPQIQHLLQSAEAIRKDYPNEDWLHLTALIHDLGKILLLPSFGELPQWAVVGDTFPLGCAFDESNVHLKYFKENADYNNPAYNTKNGIYPNGVGLDNVMMSFGHDDYMYMVAQENKCTLPSAALFIIRYHSFYPLHKEGAYTHLMNEEDVENFKWLKIFNKYDLYSKSKVRVDVEEVKPYYMSLIEKYFPAKLRW >OIW09017 pep chromosome:LupAngTanjil_v1.0:LG06:31741640:31743013:-1 gene:TanjilG_05993 transcript:OIW09017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGLSWDASQLVSDVPILWSTHQQELEGGGYSIPNLSSSVLNQMQEMQKSQTIDGTSSSEMIMSMMMPHTNLSSQRTATMPVKSVAGNSWELEAYTSQSCHQSLSESMMNNYVPDFSMAHQQQQLLINNGTLQNSKVINNTLDHCLLSNIESFISTENKSFQDIIDDGISMILSDCRNLWNNNFGNGNSVAAVSSGESESDASNSRDKNMQQYHHVNELEETVVSQSSSDQYVSQPKFIDSYKVNNCTKRSNDIQYDPYFSIVQNSPANNTEVGTIKIISENQPKSKKPRWGHHKHPCSSTISFQQPNSSSVSSLSEDSEAIAQMKEMIYRTAAFRPVNLGLEVVEKPKRKNVRISSDPQTVAARQRRERISERIRVLQKIVPGGSNMDTASMLDEAANYLKFLRSQVKALESLGNKVDAMNNCHATSISFSFNPSFPMQTHFPIQNPSHSIQPFLG >OIW10805 pep chromosome:LupAngTanjil_v1.0:LG06:2903217:2903375:-1 gene:TanjilG_27751 transcript:OIW10805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPWMLMEKLTDSEERARDIVILKELNRFGFCELTLSLLLLVLAVLVHYDPF >OIW10622 pep chromosome:LupAngTanjil_v1.0:LG06:4673728:4679154:1 gene:TanjilG_15994 transcript:OIW10622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNENHKNENFSSDDDSSPRGVLETPVSGTESDISGNSSSSGHSISPLSSPSSAAAEAQRVGGFDGYMPQWKTMFDVLTFKSVRKLTVFPMLSANHDVSKKGSTKKLARIRSAEDTIDIGAVPMKPSWRNFDYAELAAATDDFSSENMIGKGGHAEVFRGYLPDGQVIAVKRLMKNEKDPGDRACDFLTELGIIAHINHHNATHLVGFGIERGLYFVLQFAEHGSLSSMLFGSEFFEWKKRFNVAVGVAEGLLYLHQDCPRRIIHRDIKASNILLNDNYEAEISDFGLAKWLPGKWSHHVVFPIEGTFGYLAPEYFMHGVVDEKTDVFAFGVLLLELITGRRAVDSDSRQSLVVWAKPLLETKNVKEIADPRLGEEYDPTEMNLVMVAASMCVHHLSSMRPYMDHVVKLLKGEAVIELKQSPGSSKSLLLEACDLEDYTCSNYLKDLNRHKQLLME >OIW09473 pep chromosome:LupAngTanjil_v1.0:LG06:25986758:25987240:1 gene:TanjilG_06345 transcript:OIW09473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSYEELKEMHYLHAAISETMRLYPPVPLDTRVCLNDDVLLDGTVIKKNWFMTYHTYAMGRMENLWGKDCTNFKPERWLENGVYGKESPFLFPVFHAGPRTCLGKDMAYIQMKSIVVCVRERFEIDAVDRDTCPEHLLSLTLRMKGGLPVRIRPSARNAT >OIW09908 pep chromosome:LupAngTanjil_v1.0:LG06:12207337:12207885:1 gene:TanjilG_32057 transcript:OIW09908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCSFKGTTTGECYHTIRVLSDSGDILQFKGPKTVGQVLQHYPGYGIFLQGHASMPLPLHKSLSYGLLYYLLPLKEAGEEKKKLMRVAACDYVEKLSNESSALEVLPSAKNGVWKVKLMIDTRQLEEILSEQVNTEALIEKMRMAATTCSTSSPTRSRIMTTWKVGWKPALGKFTRSNVAE >OIW09657 pep chromosome:LupAngTanjil_v1.0:LG06:21176088:21176414:-1 gene:TanjilG_15363 transcript:OIW09657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCLKHQSYTKYTTNNKGGDYNFSAAKGAFSSATSKGGATCTTTNGKTTTEVKIKITKKQLEELLGKVDMRELRVEQVLAQLMNHSREYESFHKPWRPSLQSIPEMN >OIW09483 pep chromosome:LupAngTanjil_v1.0:LG06:25430205:25433556:-1 gene:TanjilG_23766 transcript:OIW09483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAILKGDWESAKAFLDHNPSAWTAKITILGRTALHVAAVGGRWQIVGKLVQHMPAETLAELDFMGCSCLHYVAMGESIETAKKLVAKNPSLTQVTDFKGFTPLFYSITSTICKEMVWYLALNTTDERPGCPFSGPSATQLVALLTAAGFHDITIFLLQRYPTLATITDSNGSIILNVLSKMPSGFKSGNKLGFWGRCIYNCVPMPGLSTGDLKGSYGESSYHQPYSGGTIWDALQNLVPSIKLVRDAKLRHVFAMRLVEYVCSQVSTMNDSQFWQSFVSADIISSATSSGIVEMLRICFQFFPDLVWTYIPNEGYIAQVAIKNRQEKVFSLLCKMPIICKIFILVVDESQNTTSHLAAKFASPQLASISGAAFQMQKELQWFKEVEKLDHPLHKEVKNQDGKTAWQLFREEHKTLREEGEKWMKDTTNSCMIVAALIATVVFAALLTVPGGNNQDKGIPIFLSDNTLMLYVVSDALALFSSMASLLMFLSILTARYAEEDFLKTLPERLILGLASLFFAIVTTMIAFVAALTLVLRERVKWVSIPFALLACVPVALFARLQLPLFIQMIISTYGSRIYHPQSLW >OIW10330 pep chromosome:LupAngTanjil_v1.0:LG06:8860346:8860814:1 gene:TanjilG_28081 transcript:OIW10330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYAVKRKAVGIWGCKDCGKVKAGGAYTLNTASAVTVRSTIRRLREQTEG >OIW09572 pep chromosome:LupAngTanjil_v1.0:LG06:22714760:22715293:-1 gene:TanjilG_28171 transcript:OIW09572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFSITRKKTPFQKHREEEEAKKKREEDETARLYAEFVESFQGDTAPGSKTFVRGGTINPNDNLKDDLDGEKSKGGVSGSKKGSR >OIW10341 pep chromosome:LupAngTanjil_v1.0:LG06:8958309:8960402:1 gene:TanjilG_28092 transcript:OIW10341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DYLFKLLLIGDSGVGKSCLLLRFADDSYLDSYISTIGVDFKIRTVEQDGKTLKLQIWDTAGQERFRTITSSYYRGAHGIIVVYDVTDQESFNNVKQWLNEIDRYASENVNKLLVGNKSDLTANKVVSYETAKAFADEIGIPFMETSAKNASNVEQAFMAMAAEIKNRMASQPMNNARPPTVQIRGQPVNQNSGCCSS >OIW10250 pep chromosome:LupAngTanjil_v1.0:LG06:8172204:8174248:1 gene:TanjilG_28001 transcript:OIW10250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVVMYFLLLCLLATMSLIHGEDPYFFFTWNVTYGTLSPLGSSQQVILINNEFPGPNINSTTNNNLVVNVFNNLDEPLLFTWHGIQQRKNCWQDGVLGTNCPIAPGTNFTYRFQVKDQIGSYFYYPFTGLHRVAGGFGGLRINSRLLIPVPYADPEDDYTVLIGDWYTKSHSSLRKFLESDHSLGRPQGVLINGKSAKGDGKDQPLFTMKPEKTYKYRICNVGIKYSLNFMIQNHLMKLVEMEGSHVVQMNYDSLDVHVGQCYGVLVTANKEPKDYYMVATTRFTNTILQGKGIIRYTNGNGPPSPQILKAPVGWAWSLNQFRSFRWNLTASAARPNPQGSYHYGQINITRTVKIINSVSRGNSKLRYALNGISHVDTDTPVKLAEYFGIQDKVFKYNNISNNPPQSIGASIVEQPNVIKFEFRTFIEIIFENPEKTIQTYNLDGYSFFAVAIEPGTWSPDKRKNYNLLDAISRHTIQVFPKCWAAIMLTFDNAGMWNLRSENTENRYLGQQLYISVVSPERSLNDEYNIPTNCIICGNVKGLPKPAPYTITS >OIW11143 pep chromosome:LupAngTanjil_v1.0:LG06:326584:329199:1 gene:TanjilG_22950 transcript:OIW11143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDNNNLMEKVNALGERLKIGGVEVGKKMSAGMSSMSFKFKELFNGPNQVDKIAEDATSEALDEPDWALNLDICDLINTEKVNSIELIRGIKKRIVIKSPRVQYLALVLLETIVKNCDKAFSELAAERVLDEMVRLIDDPQTVVNNRNKALIMIEAWGESSGELRYLPVYEETYKSLKSRGIRFPGRDNESLAPIFTPPRSVSAPDANVHPPQQIRVHDVPVLSFTPEQTKEAFDVARNSIELLSTVLSSSPQQDVLQDDLTTTLVQQCRQSQATVLRIIETAGDNEALLFEALNVNDEIQKVLSKYEELKAPTLVPVPPEPAMIPVAVEPDESPRHTKEDALIRKPVGSRASAHGGSNDDMMDDLDEMIFGKKGGDSSADGGHNSKKPQSSKDDLISF >OIW10061 pep chromosome:LupAngTanjil_v1.0:LG06:10321911:10329460:-1 gene:TanjilG_32801 transcript:OIW10061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSSSETINIAASTQKLDVDNRIALRFYYRIADNILRQADIFRAEKNIVDLYVMLLRYSSLVSDTIPRHRDYRTSSQRQKELLRKRLLSSVIELEKLKPVVQLKINEFNSRQMYQPNRRENNHSNNFMDFSPVKKKTLTSYDQTKAVRPTAGDFANQGSRSQQLSYIRPVDENMRRLSLTLPRPREETLSKHSILGPSGLNGQWRPPISDKGVRYPTITDLSPVEIPSMQKSYEDVSLNKQDNSVSEHNRSDLESILTQSEDCQAKHADEPPSLISFEETEIPPTPELIRQPSPPPVLAEVQDLVPAVSPHVHEVGCKTETPSSDSFVRAETPLQLHISTTMMENFMKLAKSNTQKDLETCGILAGVLKNRKFYITALIIPKQEATSSSCQATNEEEIFEVQDKRSLFPLGWIHIMLPESVAIVMAPTDSSRKHGIFRLTTPGGMSVIRKCEQRGFHPHDQPPDGGPIYDTCTDVYMNPDLKFDVIDLR >OIW08961 pep chromosome:LupAngTanjil_v1.0:LG06:32201184:32202275:-1 gene:TanjilG_05937 transcript:OIW08961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLNRVNSISIDLNNSTENTDRGKKCQHFSLRGYVSNMRNKDWKKCWPFHVLESEKRPSFPPMDVPKFRWWTCDICQQAAAAEGNDNKDDDQTDFSNYGDAAPSSGIQQAPMPESVVVRRDIDLNIPIDLSSGNDFLPIDPEIGLENNLNLEVSSIPSPEVCPDTAQRNT >OIW11063 pep chromosome:LupAngTanjil_v1.0:LG06:1704887:1711427:1 gene:TanjilG_22870 transcript:OIW11063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETNGNGFIYLHGDLHLKIIEARRLPNMDLMSERFRRCITACDTINLPSSKTVAKSGDGDHRTHQHRRKIITSDPYVTVTVPQATVARTRVLKNSQNPCWDETFSIPLAHSVEFLEFRVKDDDVFGAQIMGAVKIPAVDIAAGDVISGWFPILGANEKPPKPDTALRIEMQFKAVSENPLYRNGIAADPEHRGVRHTYFPVRKGSSVRLYQDAQCSDGTLQEIQLENGNVYRQGKCWEDICYAISEAHHMVYLVGWSIYHKIKLVREPTRPLPRGGDLTLGELLKYKSEEGVRVLLLIWDDKTSHDKIFFKSAGVMQTHDEETRKFFKHSSVMCVLAPRYASSKMSFLKQQVVGTVFTHHQKCVIVDTQASGNNRKITSFIGGLDICDGRYDTPEHRLFRDLDTVFSGDFHNPTFPAGTRVPRQPWHDLHCRIDGPAAYDVLINFEQRWRKSTKWKEFAIIFKKTSHWHDDALIRIERISWILSPSIPTHTSRNAYTTVPEDDPLVWVSSEDDPENWHVQIFRSIDSGSLKGFPKGVDVAHSQNLICAKDLVIDKSIQTAYIQAIRSAQHFIYIENQYFIGSSYAWPSYKDAGADNLIPMELALKIASKIKAKERFAVYIVLPMWPEGDPKSAAMQEILFWQNQTMQTMYDVVAKELKSMQLTDVHPQDYLNFYCLGNREEFNEESSSTNGAQVSGAYKCRRFMIYVHAKGMIVDDEYVIVGSANINQRSMAGTKDTEIAMGAYQPHYTWSAKKRHPYGQIYGYRMSLWREHLGMLEENFEEPEKLECVRKVNEIAEDNWRRYTSEEFSLLQGHILKYPVKVDSDGQISSLPDCENFPDAGGKILGTHSPTIPDILTT >OIW10398 pep chromosome:LupAngTanjil_v1.0:LG06:6701401:6714152:1 gene:TanjilG_05546 transcript:OIW10398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPMFLLILFSILSSPLTILSLNQEALYLYQFKLLLDDPNSHLSSWNPLDPTPCNWYGVTCSTTTTTVTTIDLSNTNIEGPFPTTILCRLPNLSSITLFNNSIDQTLSPDISLCRNLIHLDLSQNLLTGPLPATLPQLPNLKYLDLSGNNFSGSIPESFGTFQKLEVISLVYNLIQGTIPPSLGNITTLKMLNLSYNPFFPGRIPPEFGNLTNLQVLWLTHCNLVGLIPDSLGELKKLEDLDLALNDLYGSIPSSLTELTSLKQLELYNNSLSGELPRSMGNLTSLRLFDASMNHLTGKIPDELCSLPLESLNLYENRFHGELPASIANSPNLYELRLFGNRLTGKLPENLGKHSPLRWLDVSSNQFYGSIPATLCDFGVLEELLVIYNLFSGKIPASLGTCQSLTRVRLGFNRFSGEVPAGIWGLPHVYLLELADNSFSGSISKTIAGATNLSLLFLSNNNFTGPIPNEIGWLEGLVELSGSNNMFSGSLPDSVVNLGQLGTLDLHNNKLSGELPKGIHSWKKLNELNLANNEIGGKIPDGIGSLTVLNFLDLSNNKISGNVPRGLQNLKLNQLNLSYNHLSGELPPLLAKDMYRTSFLGNPGLCRDLRGLCDGRSDEKSAGYIWLLRTIFVAATLVLFVGVVWFYFKYKSLKYARAIDKSRWTLMSFHKLGFGDDEIFNFLDEDNMIGSGASGKVFKVVLGNGETVAVKKIWGRAKKEVESGDLENRGRVEDTTFDAEVDTLGKIRHKNIVKLWCCCTTRDCKLLVYEYMLNGSLGDLLHSSKGGLLDWPTRYKIAVDAAEGLSYLHHDCVPPIVHRDVKSNNILLDGDFGARVADFGVAKVVETTTIGAKSMSVIAGSCGYIAPEALYLYQFKLLLDDPNSHLSSWNPLDPTPCNWYGVTCSTTTTTVTTIDLSNTNIEGPFPTTILCRLPNLSSITLFNNSIDQTLSPDISLCRNLIHLDLSQNLLTGPLPATLPQLPNLKYLDLSGNNFSGSIPESFGTFQKLEVISLVYNLIQGTIPPSLGNITTLKMLNLSYNPFFPGRIPPEFGNLTNLQVLWLTHCNLVGLIPDSLGELKKLEDLDLALNDLYGSIPSSLTELTSLKQLELYNNSLSGELPRSMGNLTSLRLFDASMNHLTGKIPDELCSLPLESLNLYENRFHGELPASIANSPNLYELRLFGNRLTGKLPENLGKHSPLRWLDVSSNQFYGSIPATLCDFGVLEELLVIYNLFSGKIPASLGTCQSLTRVRLGFNRFSGEVPAGIWGLPHVYLLELADNSFSGSISKTIAGATNLSLLFLSNNNFTGPIPNEIGWLEGLVELSGSNNMFSGSLPDSVVNLGQLGTLDLHNNKLSGELPKGIHSWKKLNELNLANNEIGGKIPDGIGSLTVLNFLDLSNNKISGNVPRGLQNLKLNQLNLSYNHLSGELPPLLAKDMYRTSFLGNPGLCRDLRGLCDGRSDEKSAGYIWLLRTIFVAATLVLFVGVVWFYFKYKSLKYARAIDKSRWTLMSFHKLGFGDDEIFNFLDEDNMIGSGASGKVFKVVLGNGETVAVKKIWGRAKKEVESGDLENRGRVEDTTFDAEVDTLGKIRHKNIVKLWCCCTTRDCKLLVYEYMLNGSLGDLLHSSKGGLLDWPTRYKIAVDAAEGLSYLHHDCVPPIVHRDVKSNNILLDGDFGARVADFGVAKVVETTTIGAKSMSVIAGSCGYIAPEYAYTLRVNEKSDIYSFGVVILELVTGRRPVDPEFGEKDLVKWVCTTLDQKGVDHLLDSRLDPCFKEEICKVFNIGLMCTTLLPINRPSMRRVVKMLQEVCTKNKMKPAKKDGKLLPYHYEDASDHGSIA >OIW11058 pep chromosome:LupAngTanjil_v1.0:LG06:1680203:1681855:-1 gene:TanjilG_22865 transcript:OIW11058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFLANPSPLRSSLFGSFGNIVERVKQFGTIAVSVIIGNIFSAIFTFCFALVGTLLGAVTGALIGQETESGFIRGAAVGAISGAVFSIEVFESSLVLWQSDESGIVCLLYLVDVIASLLSGRLVRERIGPAMLSAVQSQMGAVETGFDEIQNIFDIGGSKGLSGDSVERIPKIKITSDNNVDGSGERVSCSVCLQDFQFGETVRSLPDCHHMFHLPCIDKWLFKHGSCPLCRRDL >OIW09804 pep chromosome:LupAngTanjil_v1.0:LG06:14717356:14722207:-1 gene:TanjilG_32242 transcript:OIW09804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAEKLVQFKHFIEHVKSNPSILSDPSLSFFREYLESLGAKLPQSAESKSRDVESDEDTENVEEEKVKVEEPEEDDEIIESDVELEGETVEPDHDAPQKMGDPSVEVTEENREASQSAKAKAVEAISEGKLDEAIESLTEAILLNPTSAIMYATRATVYIKKKKPNAAIRDANAALEINPDSAKGYKSRGIARSLLGQWEEAAKDLHVASKLDFDEEISAVLKKVEPNAHKLEEHRRKYERLHKEKEEKKAERERQRRRAEAQAAYEKAKKQEQSSSSRNPGGFPGGFPGGMPGGGFPGGMPGGGFPGGMPGAGGMPGGVPGNVDFSKILNDPDLMSAFSDPEVMAALQDVMKNPANLAKHQSNPKVAPVIAKMMNKFGGGPK >OIW09752 pep chromosome:LupAngTanjil_v1.0:LG06:16909530:16911923:-1 gene:TanjilG_14275 transcript:OIW09752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVEKPSNKGQAWFCTTGLPSDIVVEVDDMSFHLHKFPLMSKSRKLFNLITQQESNQTNSIQPQQQHEQDEIDEDEIVEEQCHVTFTGFPGGPEAFETAVKLCYGVKIELSSSNVASLRCAGEFLEMTEDYSEDNLVSKTERFLSQKVFKSIKDSIKTLKSCEVLIPLAETLGIKQRCIDSIISKASSIDPALFGWPANEAGASNDRCSTSKQVLWNGIDPSTRRKCVNAIMNEDYWFEDLTLLRFPLFKELISAMRSAELNHELIEICVMHYAKKYIPGVSRSIRKPLPLTSSFSSSSTEAEQKELLETLISNLPLEKSSKPSTATRFLFGLLRTANILNASEACRKALEKKIGLQLGEATLDDLLIPSYSYLNETLYDVDCVERILSHFLESLDARNVADEEGNDGAGTSPVLMIVGKLIDGYLSEIASDTNLMPDKFYNFAISLPDEARLFDDGLYRAIDVYLKAHPSVSELEREKICGLLQCEKLTLEACTHAAQNERLPLRAVVQVLFFEQLQLRHAIAGTLMAAEAAAVEPESHSAAALEEEDDDREGLRLELGLELDGAPHVQEGSSTWRSAVRDNQVLRLDMDSMRTRVHQLERECSSMKKVIEKIDKSGLHGGAGGGWRASLGRKFGCKFKTQVCDSHESTVVDTRKTRHQQQRQHHPHHE >OIW09409 pep chromosome:LupAngTanjil_v1.0:LG06:28601302:28603018:1 gene:TanjilG_21006 transcript:OIW09409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIVATNAALRPELYWKSMLPNTPIPKSITDLLHFDQKEEKLGYGGVNVGVYKGYHGSGTNVNVGVGHSPFDYLYAASETQSHDDPNVVLFFMEKDLHRGTKLNSHFTKASNEATFLPRQVADSIPFSSNKVKDILNKLSVEPGSDEAKIMKNTINECEAKGLKGEEKYCATSLESMVDFATSKLGKNIKAFSTEKAENETKLQKYTITQGVKNLADNNVVVCHKQNYPYAVFYCHKTDTTKAYFVPLESANGSRVKAIAVCHTDTSKWNPKHLAFKVLKVQPGTVPICHFLPEDHVVWLLK >OIW10337 pep chromosome:LupAngTanjil_v1.0:LG06:8922803:8922982:1 gene:TanjilG_28088 transcript:OIW10337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLNDPDEAHHLQGGECNIGNHLYSRLFSIIYLVTLLLVLDSQPPIQTAWHSKVDLTYH >OIW09292 pep chromosome:LupAngTanjil_v1.0:LG06:29214619:29215751:1 gene:TanjilG_01263 transcript:OIW09292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISKKPFLAFLFSTMLVMLVSFCSMVNASDSLSFTYGNFGQDEKDLIFQGDANVISTTNVLQLTKTNGQGVPQQQTFGRALFSAPLRLWQKSTGRVSGFESTIKFVLTSPTTTPSDGFAFFIAPIDTNIPIGTLGGYLGLFNSKTALNASASQVVAVEFDTFYGGDNIWDPNYTHIGINVNTIQSSAYVKWDRVEGAIGTAHIYYNSSTKNLTVVSSYPHGIVYTVSYVVDFKNVLPEWVRVGISGASGGGVQLHTIKQWDFFSSLHYTNPNNNNIIMKKEKEDDIIIAPIVV >OIW10875 pep chromosome:LupAngTanjil_v1.0:LG06:2346952:2347176:-1 gene:TanjilG_27821 transcript:OIW10875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSKLPIKNASKEVTRESLIAISNSLPDKVLDSEFVPEYKKTTDVFAIPIADEDDKFRSDLISISYAESPDAKI >OIW09265 pep chromosome:LupAngTanjil_v1.0:LG06:28819127:28820076:-1 gene:TanjilG_01236 transcript:OIW09265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKGILSSEVGVHASASKWFNLFAKELHNVQNTTDRVHKTKLLEGDDWHSIGSIKQWTDIVDGKESHYKERLDAIDGKNKTIIYTLFDGDFSKDYNAFKLLFQVIEKDNHAFIKWTIEYEKVNENVEPPYSFMDHFTKSTKEIDVFLLKG >OIW11179 pep chromosome:LupAngTanjil_v1.0:LG06:110734:117009:-1 gene:TanjilG_22986 transcript:OIW11179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSISDPPFHEAYKSLFDKIISNNEEINGLLNNEVMVECELPLINLKSLEESDPVAREECKSTIARASQQWGFFQVINHGISSEILKRLRCEQEKLFRKPFHDKTKEDEFFNFSAGSYRWGSPTATCITQLSWSEAFHIPLTDILSSNSSSSNSLSSTMEQFATTVSTLAQTLADILAEKMGHKSTLFKENCLSNTCYLRLNRYPPCPIASEIHGLMPHTDSDFLTILYQDHVGGLQLVKDMKWIAVKPNPDALIINIGDLFQEYKNQVRDDVQRLGTKIGLPRGDVSNDTCQNCTITANQEIRIQCASNTSAIIWYDTCMLRYSNKNFFGEEQTSPLAFVGNITNTTTPSAIQGYRDEQTLMYQLIKEASSTDMLFKTGKSVGGNESENGYGLVQCTRDINSSSCNSCLHQLMKEADKCCQHKVGWSLMGPSCNIRYERYNFYNQTCAPPESLPPLPYSQGKRRYLKAKFLAIHVIPIAVVAALTVLMWFSCLHWKKRKRGRTDDEILVKSLKSSSRHLKEQGHGDNSGDMHYFSLSTIKIATNYFSDDAKLGEGGFGPVFKGKLSNGREIAVKRLSFKSTQGLEEFKNEVMLIAKLQHRNLVKLLGCCVGENEKLLVYEYMANTSLDAFLFDPIKRIELDWPKRARIINGIAKGLVYLHEDSRLKIIHRDLKASNVLLDEEMNPKISDFGTARMFGGNQNEASTDKVVGTYGYMAPEYALEGLFSIKSDVYSFGVLMLEIMNGRKNSGFYQQERGCGESLLSYAWRLWSVGKGVEFMDPILVKSCPKNQALRWIHIGLLCVQERPKDRPIMSSVIVMLGSAINLPSPSAPPFSVGRYFASDQSSTSAKDIEFMPSPSNSVSAKEELSLAPIALLLL >OIW10289 pep chromosome:LupAngTanjil_v1.0:LG06:8419792:8423062:1 gene:TanjilG_28040 transcript:OIW10289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDRLVLYVDRLVRPVPVEPLTQHPAQPSPEPSPSVDAVAVDSDGEGEEEPLLQMAECRICQEEDSANNLESPCGCSGSLKYAHRKCIQHWCNEKGDITCEICHKPYQPGYTAPPPCPRPEETTIDIVGGWTISGTPLDLHDPRLLAIAEAERQFLEAEYDGYAASNASGAAFCRSAALILMALLLLRHALSVTDADADAEDDPSTFFSLFLLRAAGFLLPCYIMAWAISILQRRRQRQEAAALAATQVAYVLQSGQRRGLQFAIAPGPTVVNTHQEQV >OIW11114 pep chromosome:LupAngTanjil_v1.0:LG06:521555:528146:1 gene:TanjilG_22921 transcript:OIW11114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCMETCTLRQQDDAVEIQKQHQHDEETGRTNGFVKINGDDDKGKKKNVLRVKVVLTKEELKWLMLQLNNDKEGMKLEQALEEIEKKGRAVGAAAAVSFSTKVPVLASAYRKGGSGSGPGAWISGALALPAAAYMLQDQEVHAAELERTFIAIKPDGVQRGLISEIISRFERKGYKLVGIKVLTPSKAFAQKHYHDLKERPFFGELCGFLSSGPVIAMVWEGQGVIIYGRKLIGATDPQKSEPGTIRGDLAVNVGRNIIHGSDGPETAKDEINLWFKPEELVNYTSNAEKWIYGAN >OIW10729 pep chromosome:LupAngTanjil_v1.0:LG06:3679542:3680494:-1 gene:TanjilG_27675 transcript:OIW10729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCDSRRILSSRPGIFYQRDRAKWDAWKAVEGKSKEEAMNDYITKVKQLLEEAGIAV >OIW10260 pep chromosome:LupAngTanjil_v1.0:LG06:8239726:8240142:-1 gene:TanjilG_28011 transcript:OIW10260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQQQQQQNRQDQFSNQDRDDDSGKNDQFGTGGATDPAVTQYTYYESTVATGYGAPPPVTGVAAAGAYALPNYGTYDPTLYATTGAAGFNSGGGGQWGQDASAGGDDGGGGGGQSGRDASAGGGGGGAGGGNKGRDQK >OIW11077 pep chromosome:LupAngTanjil_v1.0:LG06:1783158:1784021:-1 gene:TanjilG_22884 transcript:OIW11077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANKYHIRSNSFPSASHPSTTRIEEELSNLRTFEATSTSTSESIATSLSFLQDLYICLDDLLNLSSTRKLISQYKDEKCVEEILNGSVRLLDICGITRDTISEIKGNVQALHSALRRRKGDSSIERGVAEYIFFTKKAKKNAKKLITSLKQMDSKFGVSLFLNQDQDIAALIRVLREVITMNMSIFQSILSFLASPSSKSKINKWLMAAKLMQKGVKSCEENSNELQCVDAALSTLLSDATNVEKMQKTRNNLETLENSIESLENGLENIFRFLIKTRTSFLNIMSQ >OIW11186 pep chromosome:LupAngTanjil_v1.0:LG06:50644:51724:1 gene:TanjilG_22993 transcript:OIW11186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGIQGQSLEVTVIGCSNLKDNNWISRQDPYICLEYASTKFRSKTCEDGGTNPVFQEKFVFSLIEGLRELTVLVWTANTLSHDDLIGSGKIQLHKVISEGFDDSGWPLHTSSGRSAGEVKLIMHYANANQRKSESIGVPLASPLYATSYPPPPSAAAHSYPSPPPAAAHSYPSPPPAAAHSYPSPPPAAAHSYPSPPPAAAHTYPSPYPTSRSYPDPTPSPYPQSHSYTSSPYPTTHTHTHTHSHSPSYPATSYSHSAPYPTSHSHSAPYPPPSSSYAAASSPYPRPPYPPGT >OIW10848 pep chromosome:LupAngTanjil_v1.0:LG06:2564963:2572375:1 gene:TanjilG_27794 transcript:OIW10848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGVDHLAHERNKAQFDVAVMKAVWAGSRDAYELFHRFSRLVANDPEMFIPAIKAQATEEQQKQWLPLANKMKIIGSYTQTELGHGSNVRGLETTATFDPKTDEFVLNSPTLTATKWWPGGLGKIATHALAHARLIIDDKDYGVHGFLVQLRSLDDHLTLPGITIGDIGMKYGSGAYNTMDNGSLIFDHVRIPRNQMLMRLSQVTREGKYVPSNVPRQLSYGTMVYVRQKIVFDASIALSRAVCISTRYSAVRRQFGSHNGSPETQVIDYKTQQARLFPLLASAYAIRFVSEWLNSFYKDLLQRLEAKDFSTLPEAHACTAGLKSITTSVAADGIEECRKLCGGHGYLTSSGLPELSAVYIPACTYEGDNVVMLLQVARYLMKTISQLKSGNKPVGTTAYLGRAEQLMQYHSDVLRAEDWLKPNVVVEAFEARAARMSMACAQNLSKFNNPEDGFQELSADLVEAATAHCQLIIVSKFIEKLQQDIPGKGVKEQLGVLRSIYALFLLHKHIGDFLSTDCITPKQGYLANEQLRSLYSQVRPNAIALVDAFNYTDHFLSSVLGRYDGNVYPKLYEEAWKDPLNDTSIPDGFHEYVQPMLKQPLHHGRL >OIW11007 pep chromosome:LupAngTanjil_v1.0:LG06:1261885:1262850:1 gene:TanjilG_22814 transcript:OIW11007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGQDKEIEMPNTLGYKRDSSSKLSSPTSGERSDHQPSPAPQISHLSHHHHLYPPLAPTQLHQSQKPSVDPDISSSPIVTTSITITPITPPQITINTTASIRYRECLRNHAASMGNHVVDGCGEFMPSGEGAPESLKCAVCDCHRNFHRKEAEGEPLQHASNYYAYHPNKHNNTHNIIPSPPPYHNNHPLQFHAPSLSKHQHHRFSHGVATLTSLIPPRMVAFGSGGGTAESFSEDINMFQSNSTGQILVQPPFQSKKRFRTKFTQQQKDRMMVFAEKLEWKIQKQDEQEVQQFCSQVGLRKQVFKVWMHNNKQIMKKRQM >OIW10557 pep chromosome:LupAngTanjil_v1.0:LG06:5231938:5243237:1 gene:TanjilG_15929 transcript:OIW10557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSDGIEEISTRLLDSFSNDGSSESRWVDGSEVDSMEAPPSWANEGREGHGSLRRRFVNKPNRVDSFDVEAMKISRTQDHILQDASLLPTLALAFQTLGVVYGDMGTNPLYVFADVFSKVPIETEVDILGALSLVIYTIAVIPLAKYVFIVLKANDNGEGGTFALYSLICRYANVGLLPNRQQADEHISSFRLKLPTPELKRALKIKDNLEKKKSLKFLLLVLVLLGTSMVIGDGILTPAMSVLSAMSGLQGKIKGFGTEEVVIISIVVVVALFSIQQFGTTKVGFLFAPILGLWFFSLGSIGVYNVVKYDITVLRAINPVYIYYFFKKNGKHAWSALGGCVLCITGAEAMFADLGHFSVKAIQIAFTFVVFPCLLLAYMGQAAYLMKNPASYINIFYDSVPGIAEVAVMMVSTSLVTLVMLLIWQTNLFLAFCFLLAFGSVELIYMSSVLSKIAEGGWLPLAFAVFFLAVMYTWNYGSVLKYRSEVREKIPSNLMLDLGSNLGTVRVPGIGLVYNELVQGIPSIFVQFLLCLPALHSTIIFVCIKYVPIPVVPQEERFLFRRVCPKDYHMFRCVARYGYKDVRKEDHHAFEQLLIKSLDNFLRREARESVLESNVIEDIDNVSVKSKDCDLPSNSVNEELRIPLMLGGNSEESGTSTSLEHSAALPSTYMSSDEDPSLEYELSALREATASGFTYLLGHVCYAVAGLSNAAFTVLVSLMVNSLRLLSAHVMDLESRTRRNSAKRESWKTVLTLAYQSLGVVYGDLSTSPLYVFRNTFSEAINHTETNEEIYGVLSLVFWTLTLVPLLKYVLVVLKADDNGEGGTFALYSLLCRHAKVSSLPNCQVADEELYEYKNEIAPERSFASRLKSTLEKHKVLQRILLVLALIGTSMVIGDGVLTPALSVFSAVSGLEFSVSNENYRYVEVPAACIILIGLFALQHYGTHRVGFLFAPIVITWLFCIGAIGVYNILHWNHHIYKALSPYYFFQFLRNTQNEGWMALGGILLCITGSEAMFADLGHFSQLSIKIAFTSVVYPSLILAYMGQAAYLTRHHDVQNDRHFGFYISVPEKLRLPVFVIAILAAVVGSQAIITGTFSIIKQCSALSCFPRVKVVHTSSKIHGQIYIPEVNWLLMLLCLAVTIGFRDTKRMGNATGLAVITVMLVTTSLMSLVIVLCWQKNVLLALGFVFVFGTIEALFFSASLIKFLEGAWVPVALAFVFHTVMYVWHYGTLKKYHFDVENKVSISWLLKLGASLGIVRVRGIGLIHTDLVSGIPAIFSHFVTNLPAFHQVLVFLCIKHVPVPHVRPEERFLVGRVGSRDFRLYRCIVRYGYRDIHKDDIEFENDLICSIAEFIRSGNSSGDEFEKDDKMAVVGTCSTHSILMSESSVGDVDNVDTAGTSEVKEIKSPAGISEAVEIKSPHVTRKRVRFFVPESPKIDTAALRELEELTEARESGVAYITGQPYMRAKPGSSMLKKLVINYVYEFLRRNSRAPSFVSVPHASSLEVGMMYQV >OIW10873 pep chromosome:LupAngTanjil_v1.0:LG06:2370680:2375314:-1 gene:TanjilG_27819 transcript:OIW10873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKARRGKGSEAFWPSLVMKKWLNVKPKVNDFSEDEVDPETESEDDASSPKYSRMCEHDDNSLRTQGIQPMFSSKTSDATCKAFKIRHRRGKSETLRAQYINKKEVRVAIGTWNVAGKHPHEDLEIDEWICAEDQADIFILGFQEVVPLSAGNVLGAEDNTPIHKWEAIIRRTLNKSSKPENDGKHKSYSAPPSPALRTSSVADVLADNLDANAIVETIDNYDLEQPEVKNIIGIRKNLHLKRLYSMNLRSKLDWPERSLDAISQIVDSDIKLRRVLSGSDRIRSSWKENALLYSSGLKRSHHSSGNLGLLCKEQQVMPEVVDSLVAEISDMLTTEDGDTFNELPNNQYDYGLGTMQSSPMYVRIVSKQMVGIYVSIWVQRRLRRHINNLKVSPVGIGLMGYMGNKGSVSVSMSLFQSRLCFVCSHLSSGQKDGQRRNSDVHEILRRTCFSSIFDPDQPQTIPSHDQIFWFGDLNYRVNMLDVEVRKLVSLKKWGELMNNDQLSKELSAGHVFDGWKEGLIKFPPTYKYEINSDRYVGEIPKEGEKKRSPAWCDRILWQGKGIKQIQYGRAEIQLSDHRPVSSIFLIEVEVFDHRKLKRALNFTSAAVHPDIFLDEHDES >OIW10749 pep chromosome:LupAngTanjil_v1.0:LG06:3435920:3436274:-1 gene:TanjilG_27695 transcript:OIW10749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELKSKRFERWFWILNGVESILINSSASVRETLQATAETLNNIDFESMAMNDTCPYYSGLFFLHFDQCHSIIPYFV >OIW10487 pep chromosome:LupAngTanjil_v1.0:LG06:6092375:6092779:1 gene:TanjilG_00425 transcript:OIW10487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLKYTLVLLLALAFSACIIADEYHHGKLPYGGKGKPPVPHKPPHGKPPAKEEEDNSKVSSISSYHKPPHKKHPPNHKSPTTTTVENNEAAYTGDEYYKPHTPKHGHHHGHPPVEEGNFYKSPKDKPHKPPTAN >OIW08979 pep chromosome:LupAngTanjil_v1.0:LG06:32055098:32059546:1 gene:TanjilG_05955 transcript:OIW08979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENKFTEANVNVENSGNLNSTTEKLDDASANIEEQARKNRVLINKGLKVVFVVTIVIISLNLVTVLAMSVSGILRLWRALYLLVVFCWLMTVICWIFFGVYFFLYKFSSDACIAVANFQQNPYNNSLSSILPCNELLSAKPILSDFSAGIYNLVNQVNANISVMQGTSYSNLAHVCNPFSGPPQYSYQPENCPYNTIRIGDIPKVLKPLTCSNANEGTCDNPKQINEIKDFLLTARRKDARSVKIKRSKDVVKFKVRCSKYLYTLSVFDSDKADKLKQSLPPG >OIW09031 pep chromosome:LupAngTanjil_v1.0:LG06:32720650:32721875:-1 gene:TanjilG_05876 transcript:OIW09031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKKTFSSNLLLFLIPLLFQTTFEFGDRGENITIHPTLVFENNRIRNAYVALQAWKQAIFSDPNNITLDWLGSDVCNYTGVFCATALHNRKVRTVAGIDLNHGDIAGYLPEELGLLSDLALFHINSNRFCGKVPRNFEKLKILFELDLSNNRFAGKFPVVVLGLPKLKFLDLRFNEFEGTVPKELFDKDLDAIFINDNRFVFDIPDNFGNSPVSVIVLANNHFHGCVPASLGNMSNLNEILLLNNALRSCFPPEVGLLKNLTVFDVSFNQLLGPLPETIGGAVSLEQLNVAHNLLSGNIPASICKLPNLQNFTYSYNFFTGEPPKCLALPAVDDRRNCIPARPVQRTERQCVSLLFLPCPHHRLLFLHQSIRRHLLHHHRLRRHPHQSFARHLL >OIW09032 pep chromosome:LupAngTanjil_v1.0:LG06:32716280:32720549:-1 gene:TanjilG_05876 transcript:OIW09032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SPPPPSPPPPSPPPPSPPPPSPVYCVRPPPPPTPVFSPPPPVPYSYYSPPPPSSHSPPPPPHSPPPPPHSPPPPPPPHSPPPPPHSPPPPPPPHSPPPPPHSPPPPPPPHSPPPPPHSPPPPPPPHSPPPPPHSPPPPPPPHSPPPPPHSPPPPPPPHSPPPPPHSPPPPPPPHSPPPPPHSPPPPPPPHSPPPPPHSPPPPPPPHSPPPPPHSPPPPPPPHSPPPPPHSPPPPPPPHSPPPPPHSPPPPPPPHSPPPPPHSPPPPPPPHSPPPPPHSPPPPPPPHSPPPPPHSPPPPPPPHSPPPPPHSPPPPPPPHSPPPPPHSPPPPPPPHSPPPPPHSPPPPPPPHSPPPPPHSPPPPPPPHSPPPPPHSPPPPPPPHSPPPPPHSPPPPPPPHSPPPPPHSPPPPPPPHSPPPPPHSPPPPPPPHSPPPPPHSPPPPPPPHSPPPPPHSPPPPPPPHSPPPPPHSPPPPPPPHSPPPPPHSPPPPPPPHSPPPPPHSPPPPPPPHSPPPPPHSPPPPPPPHSPPPPPHSPPPPPPPHSPPPPPHSPPPPPPPHSPPPPPHSPPPPPPPHSPPPPPHSPPPPPPPHSPPPPPHSPPPPPPPHSPPPPPHSPPPPPPPHSPPPPPHSPPPPPPPHSPPPPPHSPPPPPPPHSPPPPPHSPPPPPPPHSPPPPPHSPPPPPPPHSPPPPPHSPPPPPPPHSPPPPPHSPPPPPPPHSPPPPPHSPPPPPPPHSPPPPPHSPPPPPPPHSPPPPPHSPPPPPPPHSPPPPPHSPPPPPPPHSPPPPPHSPPPPPPPHSPPPPPHSPPPPPPPHSPPPPPHSPPPPPPPHSPPPPPHSPPPPPPPHSPPPPPHSPPPPPPPHSPPPPPHSPPPPPPPHSPPPPPHSPPPPPPPHSPPPPPHSPPPPPPPHSPPPPPHSPPPPPPPHSPPPPPHSPPPPPPPHSPPPPPHSPPPPPPPHSPPPPPHSPPPPPPPHSPPPPPHSPPPPPPPHSPPPPPHSPPPPPPPHSPPPPPHSPPPPPPPHSPPPPPHSPPPPPPPHSPPPPPHSPPPPPPPHSPPPPPHSPPPPPPPHSPPPPPHSPPPPPPPHSPPPPPHSPPPPPPPHSPPPPPHSPPPPPPPHSPPPPPHSPPPPPPPHSPPPPPHSPPPPPPPHSPPPPPHSPPPPPPPHSPPPPPHSPPPPPPPHSPPPPPHSPPPPPPPHSPPPPPHSPPPPPPPHSPPPPPHSPPPPPPPHSPPPPPHSPPPPPPPHSPPPPPHSPPPPPPPHSPPPPPHSPPPPPPPHSPPPPPHSPPPPPPPHSPPPPPHSPPPPPPPHSPPPPPHSPPPPPPPHSPPPPPHSPPPPPPPHSPPPPPHSPPPPPPPHSPPPPPHSPPPPPPPHSPPPPPHSPPPPPPPHSPPPPPHSPPPPPPPHSPPPPPHSPPPNSCFI >OIW10912 pep chromosome:LupAngTanjil_v1.0:LG06:2069575:2072539:-1 gene:TanjilG_27858 transcript:OIW10912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMKNRYKKSATLPCNAGIRCSTSVIVWSLLGFLLFLHLYSYIHHKDRESGESLLPVSHHPQFRELQEVEEEHLQVPPPKGKRSPRAEKRRPKPKRPTTLIDEFLDENSQMRHVFFPGHKRAIDPIQAAGNDSYYYYPGRIWLDTDGNPIQAHGGGILYDKSSRTYYWYGEYKDGPTYHAHKKGAARVDIIGVGCYSSKDFWTWKHEGIVLAADERNETHDLHKYNVLERPKVIYNDKSGKYVMWMHIDDVNYTKAAVGIAVSDTPDGPFDYLGSQRPHGYESRDMTVFKDDDGVAYLIYSSEDNSELHIGPLTEDYLNVTSVMRRILVGQRREAPALFKHQGIYYMITSGCTGWAPNEALAHAAESILGPWETIGNPCIGGNKMFRVTTFFSQSTFVLPLPGLPGWFMFMADRWNPADLRDSRYVWLPLVVAGPVDQPLEYSFEFPLWSRVSIYWHRKWRLPQGWSRFK >OIW10316 pep chromosome:LupAngTanjil_v1.0:LG06:8691901:8697978:-1 gene:TanjilG_28067 transcript:OIW10316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTRRGRRSEAFWPSIVMKKWLNIKPKVYDFSEDEADTETEAGSEDDACSLKDSRMGLHEDNPCKTQPIFPSQPPGKCPCALCSFSYRPSAIAFPDAVCKGYKMRHRRGKSETLRAQYINKKEVRITIGTWNVAGKLPSKDLEIEDWLCTEEPADIYIIGFQEVVPLSAGNVLGAEDNTPIPKWEAIIRRTLNKSSEPESKHKSYSAPTSPVHASAADILADSIDANPLDIETFDYDELEQQEVEDIIGIGKNLQLRNIYGVVDHKTRLDWPELPLDAIPQIGSNPKLRRVLSSSARIGFNWMESNDLVYGGSGGGGMKRSHHSSGNLSLFWKEQQMMPEVATDSIDVSDILSDEEDDDFLELPNNEDDNHNGLGNMKSHPKYVRIVSKQMVGIYVSIWVQRKLRRHINNLKVSQVGIGLMGYMGNKGSVSVSMSLFQSRLCFVCCHLTSGQKDGAEQKRNSDVQEIIRRTCFSSIIDKDQPQTIPSHDKIFWFGDLNYRMNMLDVEVRKLVALKKWDELMNYDQLSNELYSGHVFEGWKEGLINFPPTYKYEFNSDKYFGENPKEGEKKRSPAWCDRILWLGKGIKQLKYGSATNKLSDHRPVSSIFLVDVEVFDQHKLQRALNFTSTAVHPEPEVYFMDDVDFQSY >OIW11147 pep chromosome:LupAngTanjil_v1.0:LG06:301850:304066:1 gene:TanjilG_22954 transcript:OIW11147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATCFAPFSISGVNHVNSPELWLTKRNRLSPKVLTFQTKSNLVSRRNRSSSICAEYSDNRGGGGGDFLAGFLLGGAVLGTVAYIFAPQIRRSLLNEDEYGFRKAKRPIYYDEGLERTRQTLNEKISQLNSAIDNVSSRLRGGNNVPAAPIENDPEVEATL >OIW10252 pep chromosome:LupAngTanjil_v1.0:LG06:8186241:8189540:1 gene:TanjilG_28003 transcript:OIW10252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSDGSPIPQMVGNAFVEQYYSILHQNPDQVHKFYQESSVLSRPEEDGALTTVTTIVDINKKILSHDYTSFRVEILSADAQPSYKDGVIVLVTGCLTGSDNIKRKFTQSFFLAPQDKGYFVLNDVFRYVDEYKPVDIESVPANDAEESGPTDAVIPEPELNHVPENIPPVPSQTVVVDTETIVSNEISPPKENGKLSVAENVVPVHHVKEPSHQEHRPTTEEAASATQEDLPKKSFASIVNALKTNAAPFHVRASPVKPVEKPRVSSVHAPETPVPSTVIALDNNNEKSGKAHAIFVANLPMNATVQQLEHVFKDFGPIKPDGIQVRSNKGSCFGFVEFESATSLQRALEASPPVMLDNRKLSVEERRANNDRVRRGGYRNDRNDNFRGRGNFGGGRGYSGRNDSEKRGEFSGRARGGNNNSGNSNGESVPRGGYHNGGGKAPSQPVKAQ >OIW10549 pep chromosome:LupAngTanjil_v1.0:LG06:5315686:5316451:-1 gene:TanjilG_15921 transcript:OIW10549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLEKIEAIIEIKTNADKYWRTFRDYRTVFPKVFSKYRSIEILEGDGKSVGSVLRHITFEGSLKSATEKIEAVDDEKRTLTYAVIDADILQDYKNYKGHISVTPKGNGSEVKWIAEYEKASQEVPDPISIKDYLVDTFLKLDAYIQKA >OIW11181 pep chromosome:LupAngTanjil_v1.0:LG06:81775:107076:-1 gene:TanjilG_22988 transcript:OIW11181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSSSSSSFSSSSFSPSSSTSQSHTHRHTAPPTVSANLDTLNRILSDLCTRGNPKEGASLAFKKHLEEEEARDLSGEAFSRFMDQLYDRISNLIESNDVTHNLGALRAIDHLIDVALGENASQVSRFTTYMRTVFDSKRDPDILVLASKVLGHLARAGGAMTADEVERQVQIALAWLRGTRIEYRRFAAVLILKEMAENASTVFNVHVPEFVDAIWVALRDPALPVRERAVEALRACLRVIEPRETRWRVQWYYRMFEATQDGLGKNAPVHSIHGSLLAVGELLRNTGEFMMSRYREVAEIVLRYLEHRDRLVRLSITSLLPRIAHFLRDRFVTNYLTICMNHILSVLKVPQDRDSGFVALGEMAGALDGELIHYLPTITTHLREAIVPRRSKPSLEALACVGSIAKAMGPATEPHVRGLLDVMFSTGLSTVLVEALEQICTSIPSLLSTIQDRLLDSISMVLSKSHYHLGRSVVSMSRGTTVNVPQQFSELSGSALVQLALQTLARFNFKGHDLLEFVRESVVVYLDDEDRATRKDAALCCCKLVANSFSGIMSVHFGSSHLNRSGGGKRRRLVEELVEKLLISAVADADVTVRHSIFTSLHGDRGFDEYLAQADNLSAVFAALNDESLALQDFVVREYAISLSGRLSEKNPAYVLPALRRHLIQLLTYLEQSADSKCKEESAKLLGCLIRNCERLILPYIAPVHKALVARLNDVNANTGIVSGVLVTVGDLARVGGFAMRQYIPELMPLIVEALLDGASISKREVAVATLGQVVQSTGYVITPYNEYPLLLVLLLKLLNGILVWSTRREVLKVLGIMGALDPHMHKRNQKSLSGPHGEVTRPSSDSNQQIQTMDEFPADLWPSFASSDDYYSTVAINSLMRILRDPSLGIYHLKVIESLMFIFKSMGLGCVPYLPKVLPDLFHTIRTCDENLKDFITWKLGTLVSIVRQHIRKYLQDLLSLISEFWSLFTLPASSRPRFGYPVLHLVEQLCLALNDEFRTHLPVILPGCIQVISDAERCNDYTYVLDILHTLEVFGGTLDEHMHLLLPALIRLFKVDASVDIRRAAIKTLTRLIPCVQVTGHISSLMHHLKLVLDGKNDELRKDAVDALCCLAHALGEDFTIFIPSIHKLLLKYRLRHKEFEEIEGRLQRREPLILGTAASQRLNRRLPVEVISDPLEDLENDANEDGSDAHRLRDHQVNDGRLRTAGEASQRSTKEDWAEWMRHFSIQLLKESPSPALRTCARLAQLQPFVGQELFAAGFVSCWAQLNETSQKQLVRNLEMAFSSPNIPLEILATLLNLAEFMEHDEKPLPIDIRLLGALAEKCRAFAKALHYKEMEFEGARSKKMDANPVAVVEALIHINNQLHQHEAADGILTYAQQHLDFQLKESWYEKLQRWDDALMAYTAKASQATSQHLVLDATLGRMRCLASLAQWEELNNLCKEYWTPAEPAARLEMASMAATAAWNMGEWEQMAEYVSRLDDGDETKLRGLGNTASGGDGSSNGTFFRAVLLVRIGKYDEAREYVERARKCLATELAALVLESYERAYSNMVRVQQLSELEEVIDYRTLPIGDRVAEERRALIRNMWTQRIQGAKSNVEVWQALLAVRALVLPPVEDIETWLKFASLCRKNGRISQARSTLVKLLQFDPEISPENVRYHGPHQVMLAYLKYQWSLGVDSKRREAFIMLQNLAMEVSSAPNIQPVTSSGFTNCLSPSVPLLARVYLTLGTWQWSLSPGLDDGSIKDILDAFANATQYSNKWAKAWHKWALFNTAVMSHYTLRGFPDVAAQFVVAAVTGYFHSIACAANAKGVDDSLQDILRLLTLWFNHGATAEVQMALKKGFSLVNINTWLVVLPQIIARIHSNNHAVRELIQSLLVRIGQNHPQALMYPLLVACKSISNLRKAAAQEVVDKVRQRSGVLVDQAQLVSKELIRVAILWHETWHEALEEASRLYFGEHNIEGMLKVLEPLHEMLEEGAMRNNVTLKERIFIEAYRQELLEAYECCVNYKRTGKDAELTQAWDIYYHVFKKIDKQLQSLTTLDLESVSPELLECRNLELAVPGTYRADAPVVTIASFARQLVVITSKQRPRKLTIHGSDGDNYAFLLKGHEDLRQDERVMQLFGLVNTLLENSWKTAEKDLSIERYAVIPLSPNSGLIEWVPNCDTLHHLIREYRDARKITLNQEHKCMLSFAPDFDHLPLIAKVEVFQFALDNTEGNDLARVLWLKSRTSEIWLERRTNYTRSLAVMSMVGYLLGLGDRHPSNLMLHRFSGKILHIDFGDCFEASMNREKFPEKVPFRLTRMLEKAMEVSGIEGNFRSTCENVMQVLRTNKDSVMAMMEAFVHDPLINWRLFNFNEVPQMSMLTSNHVTPAVNTEESAQNRELPYPQRGARERELLQAVNQLGDANEVLNERAVVVMARMSNKLTGRDFSTCSSVSNSSLQHALDHSNLISGDTREVDHALSVRLQVQKLIDQAASHENLCQNYVGYDL >OIW10258 pep chromosome:LupAngTanjil_v1.0:LG06:8213915:8218379:-1 gene:TanjilG_28009 transcript:OIW10258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSSWFNKLSMIFGPKPPPSWLILSIISVLALISLLSSLSSNAIDSKSRRPVSLIYTNYRRIKEQAAVDYLELRSLSIGGGTRQKELGLCGKERENFVPCHNVSANSLAGLTLGEEFDRHCELLMRAERCLIRPPKEYKIPLRWPSGRDVIWSGNVKITKDQFLSSGSMTKRLMLLEENQIAFHSEDGLIFDGVKDYSRQLAEMIGLGSDTEFPQAGVRTILDINCGFGSFGAHLLSLRIMTVCIAAYEATGSQVQLSLERGLPAMIGNFIARQLPYPSLSYGMVHCAQYGMFLLEVNRVLKPGGYFVLTSPTSRSQGSSSRGKMKTRTNPIEELTQQLCWTLLAQQDETFIWQKTANVDCYASRKQHAIQLCKEGDDGQSYYHPLVPCISGTSSKRWIAIQNRSSGSELSSDEIKVHGKYCVEPEEFYEDLQFWRSAVNNYWSLLTPLIFSDHPKRPGDEDPLPPFNMIRNVMDMNANYGGLNAALLEKKKSVWVMNVVPARTFNVLPLILDRGFAGAMHDWCEPFPTYPRTYDMLHANGLLSLLTSERCSMIDLFVEMDRILRPEGWVIFSDKMGAIEMARTLAAQVRWEARVIDLQNGSDQRLLVCQKPFVKK >OIW09163 pep chromosome:LupAngTanjil_v1.0:LG06:30542866:30553876:1 gene:TanjilG_11301 transcript:OIW09163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPSFPRDPRGSLEVFNPSTSLSHSDEIATSLVTKPKPLWKTWKDPEPPCSTSSDEITTTITAANTTSWMALKDNSIPEGESGKAAQRAAEWGLVLKTDTETGKPQGVTVRNSGGDSRRPSNNSGRNSGESSDGGNESRGIPKVSEELKEALAAFQQTFVVSDAMKADYPIMYASAGFFNMTGYTSKEVIGRNCRFLQGADTDPEDVAKIREALEAGTSYCGRLLNYKKDGTPFWNLLTISPIKDDHGNVLKFIGMLVEVSKHTEGSKEKMLRPNGLPESLIRYDARQKEKAATSVSELVEAVKRPRALSESGNLPVIRKSGGAKEERGEEEEEKAENLLRRNSESVASFRRKSSQGGIRSPMQRISELPDKKQKNSHRRSFMGFIRKSESNDESIVDEFVDDVSSESEDDERPDSFEFDEKEKQREKRKGLDLATTLERIEKNFVITDPRLPDNPIIFASDSFLELTEYSREEILGRNCRFLQGPETDPATVRKIREAIDNQTEVTVQLINYTKTGKKFWNLFHLQPMRDQKGEVQYFIGVQLDGSQHVEPLQNCIKEDTAKEGEQLVKKTAENVDEAVRELPDANMKPDDLWMNHSKVVHAKPHRREEAAWKAIHKILESGEQIGLKHFRPVKPLGSGDTGSVHLVELSGTGEYFAMKAMDKGVMLNRNKVHRACTEREILDMLDHPFLPALYASFQTKTHVCLITDYCPGGELFMVLDQQPKKVLKEDAARFYAAEVVIALEYLHCQGIIYRDLKPENVLIQSNGHVSLTDFDLSCLTSCKPQLLLPATNENKKKKNKKQKGQELPMFMAEPMRASNSFVGTEEYIAPEIITGSGHTSAVDWWALGILLYEMLYGYTPFRGKTRQKTFANILHKDLKFPKSKPASLHAKQLIYWLLHRDPKNRLGSKEGANQIKSHPFFKGLNWALVRCMKPPELDAPLKSATKDEREANVDPNLEDLLTNVF >OIW09450 pep chromosome:LupAngTanjil_v1.0:LG06:26106610:26130002:-1 gene:TanjilG_22724 transcript:OIW09450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGRALTSIMWGTIADRIGRKPVLIIGVISVVIFNPLFGLSTSFWMAIIMRFLLGSLCGVLGTIKAYVSELFREEHQAIGFSTVTAASGLGIIIGPALGGYLAKETLHNHNDGNKSIDEAEDLENEGGGTDKDKKIEKNENLLLNWPLMSAILVYSLNTLQDNAYHEVFSLWVVSPPRLGGLNFTTNNAGNVLSIAALPISSLFPYLYFMVRDFNIAKTDADISSYAGYVGSSLMLGRALTSVLWGLIADRYGRKPVIVIGVTSVVIFNTLFGLSTSFWMALITRFLLGSLCGVLGPVKAYASELFREEHQSIGLSTVSAAWGIGLIIGPALGGYLAQPAEKYPHIFLKGSFWDKFPYFLPCIIISSLAFVAAIVCIWIPETLHNHNDGNKSTNEAEALENEGGGTDKDKKIQKKENLLLNWPLMSAILIYSIYALQDIAFQEVFSLWAVSPRRLGGLNFTTDDVGNVLSISGLALIIYQAAAYPFVEKAFGMVSIARISGVLTIPLTQSFPFIAMCSGAVLFILLSIASSLKNLMSTNIVTGLFLIQNRAVEQRQRGEANGIAMTFMSVFKTIGPAIGGAV >OIW10058 pep chromosome:LupAngTanjil_v1.0:LG06:10348113:10349362:-1 gene:TanjilG_32798 transcript:OIW10058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLKSLSISSEDDNELRRGPWTHEEDNLLVQYIADHDEGRWNLLAKRSGLKRTGKSCRLRWLNYLKPDVKRGNLTIEEQLLILELHSRWGNRWSKIAQHLPGRTDNEIKNFWRTKIQKQAKYLKIDINSIEFQDLIRHLWIPKLLQKAKESFSSSISIQNQTIPMPLDNDSQYSTIPTQIPCQGPCINELSGPNHLEQHEQNSDSEHNNGSCISFSESANISKVPNLLGYTINQFHGLGANDFGPLTYDGYDVNNCAYEMDTFNMASTNSDCQMLDSNWVNKDFACRMFDQTWSMDELWQHSHLQE >OIW09094 pep chromosome:LupAngTanjil_v1.0:LG06:31521752:31524178:1 gene:TanjilG_16321 transcript:OIW09094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFWPEYLASSWGREFVAGGIGGIAGIISGYPLDTIRILQQQNSNFGSAFTILRNVVAKDGPVALYRGMAAPLASVTFQNAMVFQIYAVLSRAFCTSVSSNDPPSLKGVALGGVGTGALQSMLLSPVELVKIRLQLQNTGQSSQSVKGPVRVAKNIWRNEGFRGIYRGLGITVLRDAPAHGLYFWTYEYTREKLHPGCRKSSQESLNTMLIAGGLAGVSSWFFSYPLDVIKTRLQAQSPSSLKYKGILDCLRKSINEEGYIVLWRGLGTAVARAFVVNGAVFSAYEITLRCFFNNGSIQV >OIW09016 pep chromosome:LupAngTanjil_v1.0:LG06:31750559:31753742:-1 gene:TanjilG_05992 transcript:OIW09016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLDKWVNFFMILSFFAATTPIFSDSSSFTSKFLDLAKEPHVFDWMVDIRRKIHENPEMGFEEFKTSELIRSELRKLEIPYKYPVAITGVIGYIGTGKPPFVALRADMDALLMQELGDWEHKSKVPGKMHACGHDAHVAMLLGAAKILKEHEKYIPGTVVLVFQPAEEGGGGAKKIVDVGALQNISAIFGLHVAPHIPLGEVASRSGPMGAGSSLFEARISGKGGHAAIPHHAIDPILAASNVIVSLQHLVSREADPLDSQVVTVGKIQGGGAFNVIPDSVTIGGTIRAFSKESMMQLRQRIEQVIIGQAAVQRCNATVSFLDKEKPYIPPTINNDDLHEYFQSVATSVLGVDKVKGTQPMMGSEDFSFYQEVIPGYFFLLGMVNASVEHLESAHSPYFKINEDALPYGAALHASLSARYLLKLNRDVPVVEGKYNDEL >OIW08877 pep chromosome:LupAngTanjil_v1.0:LG06:32881577:32883543:-1 gene:TanjilG_05852 transcript:OIW08877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVVKAVATPNPALELPLTAENIETVLDEVRPYLIADGGNVALHEIDGNVVRLKLQGACGSCPSSVTTMKMGIERRLMEKFPQIVAVEPISDQETGLDLNEENIEKVLEEIRPYLVGAAEGSLELVGIDEPIVKVRITGPAASVITVRVAVTQKLREKMPSIAAVQLL >OIW09455 pep chromosome:LupAngTanjil_v1.0:LG06:26203949:26207990:-1 gene:TanjilG_22729 transcript:OIW09455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTRSNTLSTILLMFTLLALSSAIDMSIISYDKTHVQRTDDEINNLYEEWLVKHGKVYNALGEKEKRFEIFKDNLRFVDENNNVENRTYKLGLNRFADLTNEEFRAKFVGSRIDPNRRVGKLRTKSNRYAPRVGDNLPDSVDWRKEGAVLGIKDQGSCGSCWAFATIAAVEGINKLVTGELISLSEQELVDCDRSYNQGCNGGVMDYAFEFIVNNGGVDSESDYPYKGVDGTCDQYRKNAKVVAIDEYEDVPAYDEKALQKAVANQPVANAVEGGGRDFQLYESGIFTGKCGTALDHGVNTVGYGTENGKDYWIVRNSWGPSWGEDGYIRFERNLASSKSGKCGIAMEASYPVKKGPNPPKPAPSPPTPVQPPSVCDNYFTCAESTTCCCIFEFGNSCFEWGCCPLDGATCCDDHYSCCPHDYPICNVYAGTCLKSANNPFGVKALKRTPALRRSSVGAENKISSA >OIW08943 pep chromosome:LupAngTanjil_v1.0:LG06:32345412:32357676:-1 gene:TanjilG_05919 transcript:OIW08943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLKNDEMLEQQSKIPSNENEAILYVNGVRRVLPDGLAHLTLLEYLREDVGLTGTKLGCGEGGCGACTVMVSHYDRKLRKCLHYAINACLAPLYSVEGMHVITVEGLGSCKRGLHPIQESLARSHGSQCGFCTPGFIMSMYALLRSSQTPPTEEQIEESLAGNLCRCTGYRPILDAFRVFSKTNDILYTGVSSLSLQEGKSICPSTGKPCSCNLNDVSDKRVVSDDRYIPTSYNEIDGTKYTEKELIFPPELLLRTPNSLNLTGFGGLMWFRPLALQHVLDLKAKYPSAKLVIGNTEVGIEMRLKRMQYRVLISVMHVPELNALDVKDDGLEIGAAVRLSDLLNIFRKVVSERASHETSSCKAFIEQLKWFAGTQIRNAASVGGNICTASPISDLNPLWMAARAKFQIIDSKGNIRMVMAENFFLGYRKVDLASDEILLSIFLPWSRTFEFVKEFKQSHRRDDDIAIVNAGMRVHVQEHSEKWVVADASIVYGGVAPFSLSATKTKEFLIGKTWGQDMLQNAVKVLQNDISLKDDAPGGMVEFRKSLTVSFFFKFFLWVSHQMDGIKESIPLSHLSAVLPVHHPPVAGSQDYEIMKHGTAVGSPEVHLSARLQVTGEAEYADDIRLPPNGLHAALVLSKKPHAQILSIDESGAKSSPGFAGLFLAKDVPCDNIIGPVVADEELYATKYITCVGQVIGVVVADTHENAKIAASKVHVEYEDLPAILSIQDAINARSFHPNTEKCLSKGDVDQCFLSGQCDRIIEGEVQIGGQEHFYLEPHSSVIWTMDGGNEVHMVSSTQAPQKHQKYVSHVLGLPMSKVVCKTKRIGGGFGGKETRSAFIAAAASVPSYLLNQPVKITLDRDVDMMITGQRHSFLGKYKVGFTNEGRVLGLDLEIYNNAGNSLDLSLAILERAMFHSDNVYEIPNMRIIGRVCFTNFPSHTAFRGFGGPQGMLITENWIQRIAMELKMSPERIREINFQGEGSVTHYGQQLQYCTLAQLWNELKLSCDFVKAREEVDQFNALNRWKKRGIAMVPNKFGISFTTKLMNQAGALVHVYTDGTVLVTHGGVEMGQGLHTKVAQIAASAFNIPLSSVFISETSTDKVPNSSPTAASASSDMYGAAVLDACDQIKARMEPIASQNNFNSFAELASACYAQRIDLSAHGFYITPDIGFDWTTGKGIPFRYFTYGAAFAEVEIDTLTGDFHTRVANIILDLGYSLNPAIDVGQIEGAFIQGLGWVALEELKWGDGAHKWIPSGCLYTSGPGAYKIPSINDVPFKFHVSLLKGHPNVKAIHSSKAVGEPPFFLASAAFFAIKDAINAARVETGHNGWFPLDNPATPERIRMACLDEFTSPFVNSDFHPKLSV >OIW10550 pep chromosome:LupAngTanjil_v1.0:LG06:5310580:5311546:-1 gene:TanjilG_15922 transcript:OIW10550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIRGKLEGGFEAKSSADKLWGALRDWDTIFPKAFPDAYKAVDIVEGDGKAVGSVFRVSITGDSPYAKSFTETIEAVDDAKRTITFDVAGIDGNIFNLNKKYMLHVSVTPKGDRSEVKIKVEYENPREKDPEPIGILDVEIQGLQDFDSYLQNK >OIW09416 pep chromosome:LupAngTanjil_v1.0:LG06:27959895:27964626:1 gene:TanjilG_14567 transcript:OIW09416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIGNSAIILGLWPAHVVWTYYCVMSARQLGPFLKLVICICVLPILLISLPVVGILGSIIGGAAFGFLSPIFATFEAIEEGKEDKLFHCFTDGTWSTIDRSCMAVMDVKNVSFHSYFSVMDDLRQKGPPNGKYYEIRLLYLPGAVLAAIIGVIVDVPVISFVALFKAPYMLYKGWCRLFHDLIGREGPFLDTICVPFAGLAILLWPLAVAGAVLASWLASIFLGAYAGIVAYQESSFLLALRYTVAALSFYDEYSNDILDMPEGSCFPRPQYRENIDSSQRTAHSNSLRSSLRKAPSRSYSMKTNITELKSLELFDCLFKECHNVGEKMVSEGLITRKDIEEAKSGKGNRVISIGLPAYCLLQGLLRSVKFNSTGLLIGDDTELTTSNRPREVFFEWFLNPLLIMKEQIKAENLSASEEDYLSKLVLLNGDADRLKDLSVVPPPESEVKRAELNALARRLQGITNSMTRFPTYKRRFDHIVKSLSDELAEKHGAHTMTRSKSAFARLISFTSFKGTRGNGSGQGLEHGGRDLEIS >OIW09921 pep chromosome:LupAngTanjil_v1.0:LG06:12382103:12387544:-1 gene:TanjilG_32070 transcript:OIW09921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQVMNLISFSYVLLLLCSPFALAGHDYGQALSKSLLFFEAQRSGYLPSNQRVKWRTHSGLNDGKASGVDLVGGYYDAGDNVKFGLPMAFTVTMMSWSIIEYGKQMASSGELGHAIAAVKWGTDYFIKAHPQPDVLYGEVGDGNTDHYCWQRPEDMTTDRHAYKIDPSNPGSDLAGETAAAMAAASIVFRHSNPSYSAELLRHAYQLFDFADKYRGKYDSSITVAQKYYRSISGYNDELLWAAAWLYQASNNQYYLDYLGRNGDSMGGTGWGMTEFGWDVKYAGVQTLVAKFLMQGKAGHHAAVFEKYQQKAEYFMCSCLGKGSNNVRKTPGGLIFRQRWNNMQFVTSASFLATVYSDYLSSSGNSMRCSSGIVPPAELLSLAKSQVDYILGDNPRATSYMVGYGNNFPQRVHHRGSSIVSIKVNPSFVSCRGGYATWFSSKGSDPNLLTGATVGGPDAYDNFVDERDNYEQTEPATYNNAPLIGILARLNAGHGGYNQLLPVVVPAPNPVVTKAQPSPKPKISRSPASWSGPISIEQKSTSSWVSKGRTYYRYSTIVTNKSSKLIKSLNLSISKLYGPIWGLTKSGDSYTFPSWVSSLAAGKSLEFVYIHSASPADVSVANYLLA >OIW09668 pep chromosome:LupAngTanjil_v1.0:LG06:20903202:20905180:-1 gene:TanjilG_11055 transcript:OIW09668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITDMKSTSALAAKTARACDSCLRRRARWFCATDDAFLCHSCDSLIHSANQLANRHERAKLPTASNKVTTTSTAQAWHSGFKRKARTPRNNKHLALQQRLQHKVVFNNTSTNVLPVVPELGGGEEHVFNDEESEEQMLCCVPVFEPFDAAEFCNIYNNEVSMKNDAAGEEACDLNSFSEFLASDMDLAEFSADVETLLGTSEVLEYKEEDEIDGRKSKDANAIIRVKDEELDEDTTCHLDSVFNMTNEAIDWNIDSVLLTALSAAAPEEEEKVVVSVVAPESKVETKRDIILRLKLNYEEVITAWDSQGSPWITGNPPRFNSDDCLQDFLGSSSGGDVQCSSYEEIRRLRGHFVDGGREARVSRYREKRRTRLFAKKIRYEVRKLNAEKRPRMKGRFVKRTCNNTFQPYH >OIW09783 pep chromosome:LupAngTanjil_v1.0:LG06:15508494:15509072:-1 gene:TanjilG_32221 transcript:OIW09783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKAAIVLSLNILFFTLVSSTYVPCPPPATPKHTSPPTPKHSPPPTPKQSPPPTPKHSPPPTPKQSPPPTPKHSPPPTPINSPPPTPINSPAPKAPSTNPADPKKQPSCPKDTLKFGVCADVLGLVNVQLGKSSKDACCSLIDGLSNLDAAVCLCTALKANVLGINLNVPINLSLILNYCGKDVPKGFECA >OIW09589 pep chromosome:LupAngTanjil_v1.0:LG06:22513170:22516910:1 gene:TanjilG_28188 transcript:OIW09589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELNTMFFFFTSFLFIVLFKSFFFHSKGRELPLPPGSMGWPYIGETFQMYTQDPNVFFATKIKRYGSMFKSHILGCPCVMISSPKGAKFVLNKAELFKPTFPCSKERMLGKEAIFFHQGEYHANLRRLVLRTFMPETIKNIVPHIESIAQTCLQSCQGKLITTFLEMKTFTFNVALVSIFGKDEIMYSEDLKQCYYTVEKGYNSMPINLPGTLFHKAMKARKKLSKILSQIISSRRRRIIMQMKQDSYSYNDLLGCLMNEKTRLNDEQIADNIIGVIFAAHDTTATVLTWIVKYLGENPSVLQAVTEEQESIVKEKEKRGEEMCLNWTDTKRMTLTLRVIQETLRVASILSFTFREAVKDVEYQGYLIPKGWKVLPLFRNIHHSSEFFKEALKFDPSRFEVAPKANTFMPFGDGIHACPGNELAKLEILVLLHHLTTKYSWSVVGGDNGIQYGPFALPPNGLPITLNPKK >OIW09741 pep chromosome:LupAngTanjil_v1.0:LG06:16614805:16616098:-1 gene:TanjilG_14264 transcript:OIW09741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVLPCSGVQRAGESDCPQETSETAFAYQRGPNCPENVELVKLDDQPNDPLCNMEEIQIEGQGQGQQTASELLANSDCQCREASCFDCQVEDQNESCSFDDEINEHCLTSQNACSIMDTFEGESPKESGEGELPFSEPTWLKGDESLALWVKWRGMWQVGIRCARADWPLSTLKAKPTHDRKKYFVIFFPKTRNYSWADMMLVRSINEFPQPIAYKTHKAGLKTVKDLTVARRFIMQKLVVGMLNIVDQLHSNALIETARDVMVWKEFAMEASRCGGYSDFGRMLLKLQNVNSNT >OIW10395 pep chromosome:LupAngTanjil_v1.0:LG06:6732977:6734473:1 gene:TanjilG_05543 transcript:OIW10395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTIVASTPDLFKLFLQTHEASSFNTRFQTSAIKRLTYNNSVAMVPFAPYWKFIRKVIMNDLLNATTVNKLRPLRSQEIRKVLKVLALNSDTQQPLNVTEELLKWTNGTISMMMLGESEEIRNIAREVVKIFGEYSLTDFIWPLKKLKVGNYEKRIEELFNRFDPVIEKVIKKRQEIRQKRKERNGELEKGEESVVFLDTLLDFAEDPTMEIKITKEQIKGLVVDFFSAGTDSTAVATDYALAELINNPRVFQKAREEIDSVVGKDKLVDEADVQNLPYIRAIVKETFRMHPPLPVVKRKCVQDCEINGYVIPEGALILFNVWAVGRDPKYWQSPSEFRPERFLDTATDPVDLRGQHFQLLPFGSGRRMCPGVNLATSGMATLLASVIQCFDLQPVGPQGQILKGKDAKVSMAESFGLTVPRSNNLICVPLARETTAAKLLSS >OIW10897 pep chromosome:LupAngTanjil_v1.0:LG06:2173988:2175666:1 gene:TanjilG_27843 transcript:OIW10897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLSSKASCNTHGQDSSYFLGWEEYEKNPYDEALNTKGIIQMGLAENQLSFDILESWLAKNQDVAGFKRDGKSIFRELALFQDYHGLPSFKKALVDFMAEIRGNKVTFDPNHIVLTAGSTSANETLMFCLAEQGDAFLLPTPYYPGFDRDLKWRTGVEIVPIQCTSANNFQITESALQQAREEAKKRNLRVKGVLVTNPSNPLGTTMSRNELNLLIDFIKVNKDMHLISDEIYSGTVFSSASFISVMEILKERNYLKDSYSDAAQVWNRVHVVYSLSKDLGLPGFRVGAIYSNNDEVIAAATKMSSFGLVSSQTQYLLSAMLGDKKFTKYYLSENLKRLKRRQRMLISGLQKAGISCLKSNAGLFCWVDMRHLLSSNTFEAEMELWKKIVYQVKLNISPGSSCHCSEPGWFRVCFANMTEETLNLAMTRLTTFVADINVANESKMSSRRTRSLPSWVFRLSSRNHREQEER >OIW09703 pep chromosome:LupAngTanjil_v1.0:LG06:19316184:19319826:1 gene:TanjilG_06509 transcript:OIW09703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLQNTLLHFLLPLLLTFNLCYGLTKDGVLLLSFKYAVLSDPNYVLSNWNYNDTTPCSWNGVVCSSILTSTNTTPSVTSLSLPNSHLIGSIPSDLGLIENLQVLDLSNNSLNGSLPSSFCQPSSQLRLLNLSSNLITGEVPDSITQLRFLEILNLSDNSLVGKVPDNLSNLQNLSVVSLRNNYLSGFLPNGLRKLQVLDLSFNLLNGTLPLNFDGDSISYLNISYNRFSGNISPEFAARIPVNATVDFSFNNLTGEIPREIVFLNQEEECFGGNIGLCGEPTKKPCPIPSSPTSSPAIAAIPKTFDDDDDDSMSPNGSYELKQQNGGIRSGTVIGIVVGDVVGIGILAMIFVYLYKRKKEENAIKNEVEVEHSDSVKSSSSTTSETRRFTRWSCLRKRTEEESSSDATVSSDSDVEAAKDVQNYQKGHEDQKQIQNKTGTLVTVDGEKELEVETLLKASAYILGATGSSIMYKAVLEDGTSLAVRRIGESGVERFKDFENQVKVVAKLVHPNLVRIRGFYWGHDEKLIIYDFVPNGCLANVRYRKVGSSPSHLPWEARLKIAKGVARGLAYLHEKKHVHGNLKPSNILLCNDMEPKIGDFGLEKIVTGDTSYKAGGSARIFGSKRSTASRDSFQDMTFGPSPSPSPSSIGGVSPYNAPESLRNLKPHPKWDVYSFGVIFLELLTGKVVILDDMGQGPGLLVEDKSRALRMADVAIRCEMEGKEEGLLACFKLGYSCVSNVPQKRPSMKEVLQVLDKIPLSSYSLSCYY >OIW10916 pep chromosome:LupAngTanjil_v1.0:LG06:2044677:2046051:1 gene:TanjilG_27862 transcript:OIW10916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSGISISLSSLMCEEGGASFLVEYDENTSIDSLYNPCFILDDEEKYIEYLFTQEMGFLSPSCPGLSSNHDCSNRFWLRSARLDAIDWIFITQAKFGFKVHTAYLSITYLDQFLSKRSIDESKPWAIKLLSVACLSLAAKMEEQNVPSLSEYPSEEYRFQNRVIKNMEIMILSTLEWKMGSVTPFPYLHYFVTKFCPGSRPETIITKAIDYIVALVKDINLMDQRPSIIASAAVLAAFDATLTRNAIDLMISAISSWGNIESEKKRNKVKTPSSNLFSTHSTSTCVVENPFDTSSGTKRKHPFENSKSCPGQKLHRP >OIW10649 pep chromosome:LupAngTanjil_v1.0:LG06:4364993:4366387:-1 gene:TanjilG_16021 transcript:OIW10649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSQLGFLEELLAPRRDTWNNALSTGLNELFPSGWSFDSFDENPSLATLSPSFPPFSTPIDHRFECPYGNEAPYPFVDGFTMPELDSSYTRNDDTAPLLPQQDNNNPSMHDEELGFFGSDYLSLEERNNGCKVEEQASEVPVFNMGICGERKTKYKKVEGQPSKNLMAERRRRKRLNDRLSMLRSIVPKISKMDRTSILGDTIDYMKELLERIGKLQEEEIEEGNSQINILDISKDQKPNEGIVRNSPKFDVERRDKDTKISICCATKPGLLLSTVNTLEALGLEIHQCVISSFNDFSLQASCSEVVEQRNCVSPEDIKKALFRNAGYGGRCL >OIW10807 pep chromosome:LupAngTanjil_v1.0:LG06:2877551:2882829:1 gene:TanjilG_27753 transcript:OIW10807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESSCSPPPPKTDHNDQEHQEEEEEVKELIHKTKTIQFLGRNTPIILQNDNGPCPLLAICNVLLLRNNLNLSPDIAEVSQEKLLSLVAERLLDSNTNLNNKDVGYVENQQQNIADAIDLLPRLATGIDVNLKFRRIDDFEFTRECAIFDLLDIPLYHGWIVDPQDYDTANAIESKSYNTLMGELVSLETLNMEVRHESNLEEDCVDFKAATTAVLGVPSPCLSKARSFDDSPDSVAGEIRRKGNHEEEEELLRVLKLSDNDSKDSVSMDESMCNKQPVDSGDKLAKSTGAGKNDFHEAAEPSVSDDCTDSVKNRDDQTSSASALGKAANSSLKTDAINDHQSAHMGPEESLGQNDVTDNVSHDALIQNESATLLSPEKHSVSLSQSCADVSGRGGKIHDQSTLTTSDHEVVDDSQGSILNTDSDLFGVRFHQTDVSDTLPSSVNGSEPIYEGEECVLDKRSQNFEDPEPVYEGEVVLAEQADKSTLAAPDLRADGEITPHQGELVKTFLRNNASQLTFYGLFCLQDGLKEREICVFFRNNHFSTMFKFEGALYLLATDQGYINQPDLVWEKLNEVNGDTLFMTSKFKEFKVEESHESNTWDENNAMTSTADYLASIDSASQAGLDINSDLQLAIALQQQEFEQQPPPPPHHNSQQSPISGGSRLVTGPQVARNTGGHSSSSPSPKPDVKSKEKCTVM >OIW10412 pep chromosome:LupAngTanjil_v1.0:LG06:6589357:6592290:1 gene:TanjilG_05560 transcript:OIW10412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSVKDVKSRSEIDNLVHGGVPVIVHFWASWCEASKHMDQVFSHLSTDFPNAHFLRVEAEEAPEISEAYSVSAVPFFVFCKGGKTFDTLEGADPSSLANKVSKVAGSINPGQSASPASLGLAAGPSIIETVQEFAKENDSSKEKSHVKAGPTAPLKKRLQQLIDSHPVMLFMKGNPEEPQCGFSQKVVDILKEEKVKFGSFDILSDPEVRDGLKKFSNWPTYPQLYCKGELLGGCDIAIAMHESGELKDVFKDHGVDIIDEAKVTESGNAKGGVSKSTGLSETLTSRLENLINSSPVMLFMKGKPDEPKCGFSRKVVEILQQENVHFESFDILTDEEIRQGLKVYSNWSSYPQLYIKGELIGGSDIVLEMQKSGELKKNLQEKGILPQETLQDRLKKLIASSPVMLFMKGNPDAPKCGFSSKVVNALKGEDVSFGSFDILTDEEVRQGLKVFSNWPTFPQLYYKGELIGGCDIVLELRNNGELKSTLSE >OIW10278 pep chromosome:LupAngTanjil_v1.0:LG06:8345607:8354323:1 gene:TanjilG_28029 transcript:OIW10278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSGGESTSSDKQKEKARVSRTSLILWHAHQNDAASVRKLLQEDPSLVNARDYDNRTPLHVASLHGWIDVANCLIEFGADVNAQDRWKNTPLADAEGAKRNSMIQLLKTHGGSSYGQNGSHFEPNTVPPPLPNKCDWEVDPSELDFSNSARIGKGSFGEILKAHWRGTPVAVKRILPSLSEDRLVIQDFRHEVNLLVKLRHPNIVQFLGAVTDRKPLMLITEYLRGGDLHQYLKEKGSLNPASAINFSMDIARGMAYLHNEPNVIIHRDLKPRNVLLVNSSADHLKVGDFGLSKLIKVQSSHDVYKMTGETGSYRYMAPEVFKHRRYDKKVDVFSFAMILYEMLEGEPPFATYEPYDGAKRAAEGHRPTIRAKGYTPELIELTEQCWAADMNQRPSFIDILKRLEKIKENLPSDHHWHLFSS >OIW10832 pep chromosome:LupAngTanjil_v1.0:LG06:2668792:2673864:-1 gene:TanjilG_27778 transcript:OIW10832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGRNADFMRWPVVLVLAIWFMSTAWCIEATSLYKMKHKNAYATMMYVGTPRDYEFYIAVRVLIKSLSALNVEADLVVIASMDVPLRWIRALEEEDGAKVVMVENMDNPYKHQDNFDKRFKLSLNKLYAWKLVDYDRVVMLDADNLFLHKTDELFQCGQFCAVFINPCVFHTGLFVLQPSMEIFKDMVHELENGKENLDGADQGFIAGYFPDLLDKPMFHPPPPNGTKLGGQYRLPLGYQMDASYYYLKLRWSIPCGPNSVITFPGAPWLKPWYWWSWPVLPLGLQWHEQRRQTLGYGAEMAVILIQSAVYLGIIAMMRLARPSLSKLCYRRSDKSMNLVHNSLKLVALWCILAAYVTPFFIIPYTVHPLLGWSLYLLGAFALSSVVINTFLLPMLPVLVPWFGIAGTLMVMAFPWYSNGVVRALAVFGYAFCFAPVLWLSMVRIMAGLQLSLEREAFMPRLGESMPRPSWFKLY >OIW10695 pep chromosome:LupAngTanjil_v1.0:LG06:3907281:3907604:-1 gene:TanjilG_16067 transcript:OIW10695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSKELPRLLLQLQFVFYRDSTTEPNPKACSETTLEFLTLLLPPPTTTTLSSLTQVLHKVRLGIPNVCMSNHHKLDGTTPSMQFSFRCCEILARKNGSCGFLGHQHV >OIW10945 pep chromosome:LupAngTanjil_v1.0:LG06:777420:777743:1 gene:TanjilG_22752 transcript:OIW10945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTSLSRLAYNPILHRTVSQPLTRSISNSVTRLFSTQHDDNPDTEHARESSLHNESKQGHQQEEDNDDGDEDSGEHVNNETGEIGGPKGPEPTRYGDWEQKGRCSDF >OIW10119 pep chromosome:LupAngTanjil_v1.0:LG06:9670781:9671734:1 gene:TanjilG_21956 transcript:OIW10119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVSSNLLNHEDEFTQLGSTALSHHIVSLTSTTYGLLTLDPPPSSAAGTTTVSTPSTPPSRLSFPSSLSEPKSLWSEPKPLRSEPDEVINSWELMAGLDNIESFRFSPLPTPKPFKDSNFNKENSNPNRFTNGSRIYPKKPVSTVAKRFERICPPNGENRVVIYTTTLRGVRRTFEACNAVRSGFEAFGVLICERDVSMDNGFKEELRELLKGKEKEAMVPPRVFVKGFYIGGAEEMLKVVEEGLLGELLEGLPRKKIGDICDGCGDMRFLPCFQCNGSCKVVKEEDMGQKQRRRSVMVKCSDCNENGLVVCPLCG >OIW10051 pep chromosome:LupAngTanjil_v1.0:LG06:10396170:10400097:-1 gene:TanjilG_32791 transcript:OIW10051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLSFLFFSVLCLVQTKSIIEPCTSSFSCPSLLSYLLPWDSKLSEIATRFNVNVSDILAANYVYPITTTTSYANEILRAKSIVKIPIACPCVDGIRRSMSTIYTVQPSDTLATISDGFGGLVSGEQIKNVNSINPTNPLVNGGKIVIPLPCSCLNNVNNGGNVVYMSYVVQKGESLGSIAMVYGTTVSDMKTVNGIAEAAVDPGDILSVPISACSSAPLNWYNESLIVPNGSYALTATNCIKCTCAPLDLKMQCNPSGLDVPCYNLHCKGSNLLIGDVHVEHSKTGCNVTQCVYRGHRGGKILSSMMNSSYLMCPGI >OIW10728 pep chromosome:LupAngTanjil_v1.0:LG06:3683995:3685269:-1 gene:TanjilG_27674 transcript:OIW10728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKHNNVIPNGHFRKHWQNYVKTWFNQPARKTRRRLARQKKAVKIFPRPTAGPLRPVVHGQTLKYNMKVKAGRGFSLEELKAAGIPKRLAPTIGIAVDHRRKNRSLESLQANAQRLKTYKAKLVVFPRRARKVKAGDSSPEELANATQVQGSYLPITTEKPSVELVKVTDELKAFKAYYKLRLERTNKRHQGARLKRAADAEKEEKK >OIW09799 pep chromosome:LupAngTanjil_v1.0:LG06:14884639:14888877:-1 gene:TanjilG_32237 transcript:OIW09799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSKALFFFLVNISFLLTFSYAADPLVSYNFEVSYITASPLGVPQQVIAINGEFPGPVINVTTNNNVAVNVRNKLDESLLIHWSGIQQRRSSWQDGVLGTNCPIPSKWNWTYNFQVKDQIGSFFYFPSLHFQRAAGGFGGFIVNNREIIPIPFDTPDGDIVILIGDWYTRNHSALRKDLDAGKDLGMPDGVLINGKGPYRYNDTLVPDGIDHEKIEVHPGKTYRIRVHNVGVSTSLNFRIQSHNLLLAESEGSYTVQQNYTSLDIHVGQSYSFLLSTDQNASTDYYIVASARFVNESRWQRVTGVGILHYTNSKGNAVGPLPPAPDDQYDKTYSMNQARSIRWNVSASGARPNPQGSFRYGSINVTEIYVLKNKPLEKIGGKRRATLSGLSFVNPSTPIRLADQFKVKEVYKLNFPTKPLTGPSRAETSVINGTYRGFMEIILQNNDTKMHTYHMSGYAFFVVGMDYGDWSENSRGTYNKWDGIARSTTQVYPGAWTAILVSLDNVGVWNIRTENLDSWYLGQETYIRVVNPEATNKTELPMPDNALFCGALQKMQKPQHISSAAPPIKGNRLKLLFFTWLMFTCGLVPIFLH >OIW09796 pep chromosome:LupAngTanjil_v1.0:LG06:14983355:14983933:1 gene:TanjilG_32234 transcript:OIW09796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDLSRINTNTIKQKSTKISKDVSKLKENVHMPGIRPEVGAPRMARILPLTESRCKVVQANFTHLGQCLCIMTETNISNGSFKAKHQVRHDFSVKARVMHRMHQNKSSVKVRAMYQLHQTKSSVKARAMHQMHQTKLISSVKATHQLSSQEMQHPSTRIAEHIIVFLVACDTSYYFVSIFSHYLHVIFWIVG >OIW09863 pep chromosome:LupAngTanjil_v1.0:LG06:13280020:13284718:1 gene:TanjilG_15345 transcript:OIW09863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGPRTFPGGVTKWKWKRMHEKRARDKERRLLDQEKQLYEARIRSHVRSNLSPPQSPPHHLSSSAAAPTHGPMSPHDHVKALADRFMKEGAEDLWNNDDGPVNSLSTVTPPPLRGVSSTRHGSISSPVDLRKLIQEGSNSNSINSMNSLNSSGNYRQTRGFSVVRDVRSKRVVPGAKKRFWRNDTDTDTDSEIESEGEESERDLKKMGSSAALGKYDVKRERRVIPKSYDEESDFKEKVELIKYELNKRKLEQSEERKHEEETVLTQKRFDECGISPLTVKALSSASYIHMTQVQEASLPICLEGKDVLVKAKTGTGKSAAFLLPAIETVLKAMSSKTSPRVPPILVLILCSTRELASQIAAEAKVLLKYHDGIGVQTLVGGVRFKLDQKRLESDPCQILVATPGRLLDHIENKSGISLRLMGLQMLVLDEADHLLDLGFRKDIEKIVDCLPRQRQSLLFSATMPKEVRRVSQLVLRREHTYVDTVGMGCVETPLKASTLTVVVKQSYLIAPHESHFQLVYHILKEHIMQTPDYKVIVFCITGMVTSLTHKLLREMKMNVREIHSRKPQSYRTRISDEFKESKQLILVSSDVSSRGMHYPDVTLVIQVGMPIDREQYIHRLGRTGREGKEGEGILLIAPWEEYFLHEIKDLPLEKFNFPDIDPQTNIKVEDSMAKIDSDIKEAAYHSWLGYYNSIREIGREKSTVSDLANRFSESIGLQRPPALFRKTALKMGLKDIPGIRIRRPSMPLVWRAFHKV >OIW09076 pep chromosome:LupAngTanjil_v1.0:LG06:31326344:31334567:1 gene:TanjilG_16303 transcript:OIW09076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANEDLSNWSDLLHSSTKLLEQAAPSAQFPPLQRNLDQLEALSKKLKSKTLRAEAPSQSIAATRLLAREGINAEQLARDLKSFELKTTFEDVFPVEATSVEEYLQQVHEMAMVSAVQEAQKDNLRSFNDYMMKVFEEDWQKEKRDFLQSLNRISSLPRTNTTATSSTGTRLGQIVPTASSPQVSYGMEVVPLTSRPIMEKKASVYAEVVKSLNKARECGLPFKPAASFKGAYESLGIDAGGGKSVTMRKIWHLVQMMMDGHSTQQHVSKRMSLTIGARRHLELGHEKYIMDTIHSHPAQAALGGSVGNLQRIRAFLRIRLRDYGLLDFDAGDARRQPPVDTTWQQIYFCLRSGYYDEARNVSLSSRASHQFSPLLTEWINTGGVVPEEIASAASEECERMLRTGDRVGRAAYDKKKLLLYAIISGSRRHVDRLLRDQPTLFSTIEDFLWFQLSAVRDCSSGPSSIVLSDGLVPYTLDDLQIYLNKFEASYYTKNGKDPLVYPYILLLSIQLLPAVSYMSKEIGDEGYNIDAVHLSVVLADHGFLSEGAGAGHKLGVMDAYAEVSTIVRQYGSMYLRLGDLQMALEYYAQAAAAVGGGQLSWTGRGNVDQQRQRNLMLKQLLTELLLRDGGIYLLLGARGAGEEGELGRFVTDPNARQQFLIEAACQCQEAGMYDKSIEIQKRVGSFSMALDTINKCLSEAICALFRGRLDGESRTAGLIHSGNEILETYTYYPDVSLQERENVFEQQNVLRQLESVLSIHKLARLGNYVDAIREVAKLQFIPLDPRGPDIANDVFENLSPHVQACIPDLLKVALTCLDNVTDSDGSLRALRAKISNFIANNLKRNWPRDLYERVAQRL >OIW10802 pep chromosome:LupAngTanjil_v1.0:LG06:2912731:2913569:-1 gene:TanjilG_27748 transcript:OIW10802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTATMRARSDLQSAQPTQRWYHVPRSWLKPDHNLLVVFEELGGDPSRISLVKRTLSSVCADVSEYHPNIKNWHIESYGKSEEFHPPKVHLHCSPGQTISSIKFASFGTPFGTCGNYVKGACHSAASYNILEKKCIGKVRCIVTVSNTNFGEDPCPNVLKRLSVEAVCSPTATWG >OIW10259 pep chromosome:LupAngTanjil_v1.0:LG06:8232863:8239150:1 gene:TanjilG_28010 transcript:OIW10259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFKRDIVLILILAVIVLFQIQTCESDINIADFPHGFTFGTASSAFQYEGAVKADGRGPSVWDTFSHKFGKISDFSNADVAVDQYHRFEEDIELMKDLGVSAYRFSISWTRIFPNGSGEINLAGVDHYNKLIDALLAKGIEPYVTIYHWDLPQALDDKYDGWLGTEIIKDFANYAETCFQKFGDRVKHWITFNEPHTFATQGYDVGLQAPGRCSILLHLFCRKGNSATEPYLVGHNVLLSHAAVADIYRKKYKKIQGGSLGIAFDVMWYEPATNTQNDNDAAQRAQDFQLGWFLDPLMFGDYPSSMRSRVGKRLPKFSEAEAALVKGSLDFVGINHYTTYYARNNDTNVIGTLLNDSIADSGVITLPFKGRKAIGDRANSIWLYIVPQGMRSLMNYIKRKYGNPPVVITENGMDDPNSIFIPIKDALKDEKRIAYLKGYLSELLASIKDGCNVKGYFHWSLLDNWEWAAGYSCRFGMYFVDYNDNLKRYPKQSVQWFKNFLKSTK >OIW09142 pep chromosome:LupAngTanjil_v1.0:LG06:30371189:30374912:1 gene:TanjilG_11280 transcript:OIW09142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTFLFLSLTTLLLFSSFSLISSTTEDELEDLTFLQDSDQTSDSDHHHHFDDEDDNLDDSSSVSDPEIDEKDVVVLNQKNFSDVINNNRFVLVEFYAPWCGHCKALAPEYAEAATELKGKGVILAKVDASEENELAQEYDIQGFPTIYLFIDGIHKLYNGNRNKDAIVSWIKKKTGPGIHNVTSLDDAETILTSQSKLVLAFLNSLVGPESDELAAASRLEDDVNFYQTVNPDVAKLFHIDPDVKRPALILIKKEDEKLNHFDGQFAKSEIANFVTSNKLPLVTVFTREGAPAIFENPIKKQLLLFATSNDSEKLIPVFREAAKSFKGKLIFIYVELDNEDFGKPVSEYFGISGNAPKVLAYTGNEDGKKFVHDEEVTVDNIKVFGENFFEDKLKPFFKSDPVPESNDGDVKIVVGNNFDEIVLDESKDVLLEIYAPWCGHCQALEPIYDKLAKHLRSIDSIVIAKMDGTTNEHPRAKPDGFPTLLFFPAGNKSFDPITVDTDRTVVAFYKFLKKNASIPFKLKKPTSTSKPPSSDAKESADAKESQSSNVDVKDEL >OIW10940 pep chromosome:LupAngTanjil_v1.0:LG06:743155:744981:1 gene:TanjilG_22747 transcript:OIW10940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNIGIMDSAYFVGRSEILSWINSTLQLNLSKVEAACSGAVHCQLLDAAHQGIVPMHKVNFDAKNEYDMIQNYKVLQDVFNKLKITKHIEVSKLVKGRPLDNLEFMQWMKKYCDSVNSGLHHNYNPVERREVCKGGRETTKKSAQSQTSVKASATSRPHSSHNARRNDVSTAVNSTNQAPKATSTTKSSAYDEQITQLKLSVDSLEKERDFYFVKLRDIEILCQTPEIEHSPVVAAIQKILYATDDDGTAVVEAQAMISGEQKEAERLSPIAEVSEEKSCSETRKRKNIVDLEFDVAGITNLSPRQRLSDISDVHCSESPLTTC >OIW10229 pep chromosome:LupAngTanjil_v1.0:LG06:7911446:7913116:-1 gene:TanjilG_27980 transcript:OIW10229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGEDKRRVVFVTVGTTRFDSLVKSVDSEIVKQELFAKGYTHLLIQLGRGSYLPSKCEGDDGSLGVDYFTFSSTIAENIRSASLVISHAGSGSIFETLKVGKPLIVVVNEDLMDNHQIELAEELADRKHLYFASPQTLHQTISDMNLSSLIPYSPGDATPVAKHINRFLGFPDD >OIW09015 pep chromosome:LupAngTanjil_v1.0:LG06:31756953:31758416:1 gene:TanjilG_05991 transcript:OIW09015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGTDRKKRVIVGPWGGKGGTSWDDGTFTGVREIRLVYDRCIDSILVVYDKNGKPFTAEKHGGVGGNKTAEIKLQYPDEFLIGVSGHYCPVVGSGTPVIRSLTFKSNRRTFGPYGVEEGVPFTFSIDGGQVAGFKGRGDWYLDALAFTLSSAPPKSLLQKVQRSFSRLTSIAPKSLSSKDS >OIW10535 pep chromosome:LupAngTanjil_v1.0:LG06:5419539:5419730:-1 gene:TanjilG_15907 transcript:OIW10535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSTLSMLPPLPAKPVPSHPGQLHPSSSVAQRALYMVNMTGLLTLGSPFSLPCFLICVREVF >OIW09954 pep chromosome:LupAngTanjil_v1.0:LG06:11718929:11720298:1 gene:TanjilG_18261 transcript:OIW09954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAPCCDKANVKRGPWSPDEDATLKNYLKNHGTGGLKRCGKSCRLRWLNYLRPHIKHGGFTDEEDRVICTLYATIGSRQVHSILWSLIAAQLHGRTDNDVKNHWNTKLKKKFLAENTNIATNNTGHMINNINSQQFSTFTPHPQVEAFLFDHKNSPCYDPYVLGLDQTPFPVSLSMPLELDVSGFETSMSNNCGNGIIPFCKEEKDNNTQWLGYEDGDAAMLLDFVYEDFLHNNGFVSQDKTNQIASSSS >OIW09552 pep chromosome:LupAngTanjil_v1.0:LG06:23617048:23619303:-1 gene:TanjilG_30871 transcript:OIW09552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQMDTLQIFFTLAAVLSAYLLWFHLFSRTLSGPRVYPIVGSLPALFKNRNRVHDWIASNLQTGGSATYQTCIIPFPFLARKEGFYTVTCHPKNIEYILRTRFDNYPKGPKWQTAFHDLLGQGIFNSDGETWLMQRKTAALEFTTRTLRQAMARWVNRTIKNRLWCILDKAAKENVEVDMQDLLLRLTFDNICGLTFGKDPETLSPDLPENPFSKAFDTATEATLHRLLYPGILWRFQNFLGFGMEKKLSQSLMIVDTYMNDAVKAREEAPSDDLISRFMKKRDIDGKNFSLGVLQRIALNFVLAGRDTSSVALTWFFWLVMNHPEVEERILKELTAVLADTRGEDRGKWVEEAVDFEEAERLIYLKAALAETLRLYPSVPEDFKYAVDDDILPDGTVVPAGSTVTYSIYSVGRMKSIWGEDCMEFRPERWLSVHENRFDQTRFDQYRFEPPKDGYKFVAFNAGPRTCLGKDLAYLQMKSVAAAVLLRYRLYPVPSHRVEQKMSLTLFMKNGLHVFLHPRQLQPEASTCA >OIW10796 pep chromosome:LupAngTanjil_v1.0:LG06:2999021:3005743:-1 gene:TanjilG_27742 transcript:OIW10796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSLFDFCRPEPSQEPMVATPPLDMKQAESSQQHVRALNTHFASWVQTQLKNHPDELWEDGLRDYFDHASIIMAEAYATIFDWLLNFLVLILGNQSSTPSNHDASDDVDGENDLERPSSPSVKKSEEKGIVIVHEVKCKLYIKSSDPEDKDAWKDKGMGQLSIKCKEGIPKATEESKPTIIVRNESSDSEDKDAWKDKGMGQLSIKCKEGIPKAIKESKPTIIVRNEGNAGGSGGNDSVVASTFLI >OIW09610 pep chromosome:LupAngTanjil_v1.0:LG06:22204736:22209611:1 gene:TanjilG_28209 transcript:OIW09610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLTTDPPPPPDSTTATINGGTTSDLNPEPPFPTPPEELRVPISWPEDGNLNIDWIHNLISSFDWSSRNLSPSQLPSIFPVQVFDSLVLTASKMLHKEPNCVTIDPFRNNPDSSDSATATAAAATVVVVGDVHGQLHDLMFLLRDAGYPSEDRIFVFNGDYVDRGAWGLETFLLLLAWKVFMPHNIYLLRGNHESKYCTSVYGFEKEVMVKYGDKGKHVYRKCLMCFEGLPLASIIAGRVYTAHGGLFRSVTVTPSKRLKGKKNRKINLNSDSKILSLGSLEELAKARRSVLDPPWEGTNLIPGDVLWSDPSKSPGLAPNKERGIGLLWGPDCTEEFLKKYQLKLIIRSHEGPDAREKRDGFDGMAEGYTIDHIVESGKLVTVFSAPDYPQFQATKERYNNKGAYVVLEPPNYDNPIFHEFSAVTPRPKVNPYYDFEDVIDSDEELDLTSMVTS >OIW10303 pep chromosome:LupAngTanjil_v1.0:LG06:8533617:8536826:-1 gene:TanjilG_28054 transcript:OIW10303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQRILNPFFSLLLLLCTTSNISLGLSHSLYKDTQILLRIKSTQLQDKNNILKDWVPNTNNPCNWTGITCDARKISVVSINLSHFNLSGEFPCGFCYIHTLRKLSLGYNYLGKTINTHSFSICSHLRVLDLTENLFIGELPEFRSEFSQIRVLDLSFNNFSGEIPASFGRFPQLKSLRLVSNLFGGIVPSFLGNLSALRNLEIGYNPFKEGPLPWQLGNLSKLETLFISNANLVGSIPDSIGNLVSLKNIDLSSNYLSGEIPNSIFGLKYLEQIELFDNNLQGELPESLGNLTNLLRLDLGQNNLTGKLPHTIGSLSLISLRLNENLFYGEIPSSLALNPNLLHLALFANNFTGKLPQHLGLNSDLEDFDVSNNQLTGELPKHLCKRKRLQSILLFNNKFSGTLPSQFGDCHSLTVISIENNQLSGDVPSKFWNHPSFEILKMQHNMFSGSISNSISNAKGLSKLHLQGNNFSGMLPIGICELQRLMKFDVSKNLLTGEVPACITRLKKLEFLRLQENMFTGPIPSNLSFWHVLTELNLSYNQFSGTIPSQLSYLRDLTYLDLSMNSLTGNVPPELVNLGLSEFNVSGNKLYGEVPYVFRNPVFLSGLMGNPGLCSSVLKEFPPCSKQKPFSLIAVIIFAACFLLFMGFVLLFLKRKFLFFNGKSYERSYKTTTFQIVGFSEEDIVPFLTSENLIGSGSSGQVYKVDLNNGEFVAAKKLWGGTRKLETESVFKSEIEILGRIRHANIVKLLFSCSADDDLMVLVYEYMENGSLGDVLHVNKCEELREWSTRFNIAVGAAQGLAYLHHACVPPILHRDIKSNNILLDRDFLPRVADFGLAKTLQHEEGGIGDMSRIAGSYGYIAPEYGYTLKVTEKGDVYSFGVVLMELITGKRPNDPSLGDNMDIVKWVTETAFSSAEDQPIDLAHIIDPMLNLATCDYEEIESVLNVALLCTTPFPMNRPTMKRVVELLKELKLARHN >OIW09868 pep chromosome:LupAngTanjil_v1.0:LG06:13184069:13185199:1 gene:TanjilG_20494 transcript:OIW09868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIESIAATSLFGHCPIYGGNLMRDVYGKRKSSSSVRFQATELLGRRIVVSLPSLKPKKDGLMSPTIKALAVELTREVYSYREDKLPKKHNNKIDSGFAPRPDLWSPTNRADNPSLRNPLLRQERMGCGWLGAIFEWEGVLIEDNPDLEKQAWLALSREEGKPSPPAFLLKRIEGMKNEHAISEVLCWSRDPAQLKRLANRKEKIYQALQGGIYTLRPGSKEFVSLLMHYKIPMALVSTRPRNALESATMEIGIGDNFSVIVAAEDVHRGKPDPEMFVYAAQLLNFIPERCIVFGNSNQTIEAAHDARMKCVAVASKHPVYELGAADLVLRHLDELTVVDLKKLADIELDEFGSGEPVVMMEVEEDSDISSVDDSFW >OIW09395 pep chromosome:LupAngTanjil_v1.0:LG06:28433751:28433924:1 gene:TanjilG_20992 transcript:OIW09395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFDQNVEEFMIHDMPPKYQFHHFLCSHIGPTTTMMNFTLEALSIQFSTKLQLLAVA >OIW09901 pep chromosome:LupAngTanjil_v1.0:LG06:12080143:12081967:-1 gene:TanjilG_32050 transcript:OIW09901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHSWARGSLIVLAIISFGCLFAISIAKEEATKLGTVIGIDLGTTYSCVGVYKNGHVEIIANDQGNRITPSWVGFTDGERLIGEAAKNQAAVNPERTIFDVKRLIGRKFEDKEVQKDMKLVPYKIVNKDGKPYIQVKIKDGETKVFSPEEISAMILVKMKETAEAFLGKKINDAVVTVPAYFNDAQRQATKDAGVIAGLNVARIINEPTAAAIAYGLDKKGGEKNILVFDLGGGTFDVSILTIDNGVFEVLSTNGDTHLGGECFLI >OIW10602 pep chromosome:LupAngTanjil_v1.0:LG06:4858490:4859868:-1 gene:TanjilG_15974 transcript:OIW10602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDQKQKKPRILCLHGFRTSGEILKKLISRWPETITEKLDLVFLDGLFPAQGKSDVEGIYDPPYYEWFQANKDYTEYSNFEECVAYIEDYMLKNGPFDGFLGFSQGAMIEAALPGMQAQGVALGKVNKIKFLIIISGGKFGGKMFGMPKLASNAFSEPIECPSIHFIDDKSLETMLGFIDKIQRMTFRG >OIW10184 pep chromosome:LupAngTanjil_v1.0:LG06:7411391:7413970:-1 gene:TanjilG_27935 transcript:OIW10184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIIAILLCISIFFLTLLWFYSLVKKHKKSQHITKPKLPPGSMGWPYIGETPQLYSQDPNVFFVSKQKRYGEIFKTHILGYPCVMLTSPEAARFVLVSHAHLFKPTYPKSKEKLIGPSALFFHQGNYHSQIRKLVQKSLSPETIRKLIPEFETEVKSCLESWVSNEKVINSLHEMKKFAFNIGIISLFGHLDNNYREKLKENYNIVEKGYNSFPTRIPGTAYPKALSARKRIREIISEIVCKRKEQILMENDLLGHLLNYKDERGQMLRDDQIADNVIGVLFAAQDTTASVLTWILKYLHDDQKLLEAIKSEQTAIYEANQREKMPLTWSQTRNMPITYKVILESLRMASIISFTFREAVIDVEYKGYLIPKGWKVMPLFRNIHHNPEFYPAPHNFDPSRFENAPKPNTFMPFGNGVHSCPGNQLAKLNMLIFIHHLVTQFRWEVMEHQNGIQYSPFPVPLHGLPARFWRNEQTQEMLG >OIW09793 pep chromosome:LupAngTanjil_v1.0:LG06:15094772:15100863:-1 gene:TanjilG_32231 transcript:OIW09793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSLPQTHGETVPDAWDCKGHQAERSKTGGWTAAAMILGGEACERLTTLGIAVNLVTYLTATMHLGNASSANIVTNFMGTSFMLCLLGGFVADTFIGRYLTIAIFATVQATGVIILTISTIIPSLHPPKCIENSGKPCVPANNLQLMVLYLALYTTALGTGGLKSSVSGFGSDQFDDSDKGEKQKMTKFFNWFFFFISIGSLAAVTVLVYIQDNLGRDWGYGICACAILFALVVFLLGTRRYRFKKLVGSPLTQIAVVFVAALRKRKMELPSDSALLFNVDDIEDETMMKKKQRLPHSKEFRFLDKAAIKDSNIDVEFTKERKWYLSTLTDVEEVKLVIRMLPIWATTIMFWTVYAQMTTFSVSQATTMNRHIGKSFQIPAASLTVFFVGSILLTVPFYDRVIVPITRKVLKNPQGLTPLQRIGLGLVFSIFAMVSAALTEIKRLRVAQSHGLTHDPNAMIPMSVFWLVPQFFFVGSGEAFTYIGQLDFFLRECPKGMKTMSTGLFLSTLSLGFFFSTLLVTIVNKVTDHNKPWLANNLNQGRLYNFYWLLALLSALNVVIYLFFAKGYVYKEKRLVEEGIELEEQDAAYHA >OIW09117 pep chromosome:LupAngTanjil_v1.0:LG06:30108517:30109290:-1 gene:TanjilG_11255 transcript:OIW09117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMDRSIGTSANSSCHGGDHCSFSSPTTPFESVGKEEEDMANCLILLAQGKRGENDRGSGGSNERITCTTTIAETTNITTTIVTAVKTTTKNSLYDYECKTCNKTFSSFQALGGHRASHKKPIMNLEEKKSLLLSLSLSPQISFEFEERKQFDVENSSEIPISIQLGCGKNNFFHGNKSKIHKCSICGAKFTSGQALGGHMRKHRIYKNPSTHVVNMSGSDTSFEASATDTTIEVNPCNVLKIDLNLPALEEDLMKG >OIW08942 pep chromosome:LupAngTanjil_v1.0:LG06:32364092:32369092:-1 gene:TanjilG_05918 transcript:OIW08942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSTPIAPLPTPSKTNPHSHSLLPRRSTRRSLNPFATPQKESSTEVVEPCGVNGSVTEFGSEKLERRSGKGSVRLSLFDVLVEQEGGEKAVDKFESGGENGKGSVIRSVAKKRKREDAGDGEGTVDRFGSEILKPRNGKGFDEICFGTVTPVQSEKRKRRKKEEEGEGKRTVTRASASRKGKLNLKLEKKGEKSKPKRRVYYKKVVYDGGEFGVGDDVYVKRKYGLSDEDVDPVVEECRICFDSGSEVMIECDHCLGGFHLTCLKPPLNEVPEGDWMCGFCVDLKMGKKVQFPQPPEGKKLARTMRQKLLASDLWAAHIVSIWKEVDGNYQCRVRWYTIPEETSVGRQPHSLSRELYRTNDFADIEMESVLRHCFVLTPNEYAKACGAGDDIFLCEYEYDIHWHSFKRLADIENEREDGHETDSDEDWNLSKESDSDTDEDVEYDAETIKNTQSQPLTSHQLAANLHKGRFFGLQKIGTKRIPQHVRSHKQTDIERAKATLLLSSLPKSLPCRNKEMEEISTFIRSAIVDDQCLGRCLYIHGVPGTGKTMSVLSVMRSLRSEVDAGHIRPYSFVEINGLKLASPENIYRVIYEALNGHRVSWKKALHFLNERFVEGKKTGEEADRPCILLIDELDLLVTRNQSVLYNILDWPTKPHSKLIVIGIANTMDLPEKLLPRISSRMGIHRLCFGPYNYQQLQEIISSRLKGIDVFEKQAIEFASRKVAAISGDARRALEMCRRAAEIVDYRMKKLNSNPDSVTIGKGLVGMADVEAAIQEMFQAPHIQVMRNCSKLSKIFLTAMVHELYKTGMGETTFEKLAMTVSRLCISNGEEFPGYDTLLQVGCKLGECRVILCEPGAKHRLQKLQLNFPSDDVTFALRDCKDLPWLSKYLM >OIW10893 pep chromosome:LupAngTanjil_v1.0:LG06:2228965:2230465:-1 gene:TanjilG_27839 transcript:OIW10893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIFYPFRNVGVFITLSLWVLVSAICAWGRPATFVQDFRSTWSESHIKQIDQGRAIQLILDQNSGCGFASRRKYLFGRVSMKIKLIPGDSAGTVTAFYLNSDTDTIRDELDFEFLGNRSGRPYTVQTNIYAHGKGGREQRVNLWFDPALDYHDYTIMWNHHHIVFSVDDFPIRVFKNNEDKGVPYPMMQPMGVYSTLWEADNWATRGGLEKINWSNAPFYAYYKDFDIEGCAVPGAANCSSNLSNWWEGPTYQALNAIQNRRYKWVRINHLIYDYCQDKSRFLVTPPECLAGI >OIW10609 pep chromosome:LupAngTanjil_v1.0:LG06:4797333:4798225:1 gene:TanjilG_15981 transcript:OIW10609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLLRQLEQGMQQFRNYYNSEVQMRSSNFPRACISDTYNYIISKPRSKVENKETAAKKHSDAEKRRRVRINEQYNALRRILPSIFNQHPNSSSVLCIGNEPNRVKTDKASILAETIKQLRKLEKSVPKMLIPSWEDEFTTEQCNNQEQQGLVKVTLSCEDRPGLMLAIERAVGSVNAKLVNADLVTVGGRAKFVLWVQVLVAGKEGLRMLRRTLKVVMHKPVFKMQRFPQ >OIW09181 pep chromosome:LupAngTanjil_v1.0:LG06:30680067:30683121:1 gene:TanjilG_11319 transcript:OIW09181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKFFVGGNWKCNGTTAEVKKIVTTLNEAKVPGQDVVEVVVSPPYVFLPLVKDLLRPDFHVAAQNSWVRKGGAYTGEISAEILVNLDIPWVILGHSERRQLLNESNEFVADKVAYALSQGLKVIACIGETLEQREAGITLNVVAEQTKAIAAKVTNWDNVVLAYEPVWAIGTGKVASPAQAQEVHADLRKWIHENVSADVAASVRIIYGGSVNGGNSKELAGQPDLDGFLVGGASLKPEFVDIINSATVKKN >OIW09021 pep chromosome:LupAngTanjil_v1.0:LG06:31702897:31707058:1 gene:TanjilG_05997 transcript:OIW09021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASYRPFPPPQSSFVAQNQNPIAPPQTQQHGNWNYGGVVVDGSVNSSAPSTSFPQQNGYNQMPPNSSYHHQYQQFPYPPPQPPPPPPPPPETSYPAPPPPPNAPNMYYPPNHYSQYSNQQPPPPPPSLPLPSSSIPPPPPPSSPPHASAPMQSRNNDERRSHDRSKAQSKEASTHVRREHEHSSHGLKQHKPAAVPPMPMKKSNGPLGRAETEDERRLRKKREFEKQRQEEKHRQQLKESQNSVLQKTQMLSSAKGHGSATGSRMVEKRTAPLLSGERIENRLKKPTTFLCKMKFRNELPDPSAQPKLMAFQKEKDQYAKYTITSLEKIYKPKLFMEPDLGIPLDLLDLSVYKYYPCLLNPPSVRPPLDPEDEELLRDDEAITPIKKDGIKRKERPTDKGVAWLVKTQYISPLSMESTKQSLTEKQAKELREMKGGRNVIGNLNSRERQIKEIQASFEAAKSHPVHATKKDLYPVEVLPLLPDFDRYDDQFVVAAFDNAPSVDSEMYSKLDKSVRDAHESRAIMKSYVASGSDPANPEKFLAYMAPAPGELSKDIYDETEDISYSWVREYHWDVRGDDADDPTTYLVLFDESEARYLPLPTKLVLRKKRAKEGRSGEEVEQFPIPSRLTVRRRPNVAAIELKDSGAYYSNTKGTSSRRGGLEIDDDDMDEPHRISPPQDNYESSGAEDGMSD >OIW09672 pep chromosome:LupAngTanjil_v1.0:LG06:21027227:21027856:-1 gene:TanjilG_11059 transcript:OIW09672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAEDILFHDNGTGMIKLSQNGFGSYIVITGYGVYHYDIGTGFGHFGDVVEDVARTVDLIKM >OIW09095 pep chromosome:LupAngTanjil_v1.0:LG06:31528244:31533118:1 gene:TanjilG_16322 transcript:OIW09095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGGGRQGQRSGAPRVHHHRQHSDNFLDASSNSRWLQSSGLQHLQSSSATLQKNNGEDSPGDFSPGLLDLHSFDTELIPEMPASNVYDGNSLYLHGRTRSFDDSEPYMLSKQTGRARAPAPENMLKSFPSDKEKSSSVAKIKVVVRKRPLNKKELAKNEEDIIDTYSNSLTVHETKLKVDLTQYVEKHEFVFDAVLNEEVTNDEVYGETVEPIVPIIFERTKATCFAYGQTGSGKTYTMKPLPLRASRDILRLMHHTYRNQGFHLFVSFFEIYGGKLYDLLNDRKKLCMREDGKQQVCIVGLQEYGVSDVENIKELIERGSATRSTGTTGANEESSRSHAILQLAIKRSVDGNESKPPRLVGKLSFIDLAGSERGADTTDNDKQTRIEGAEINKSLLALKECIRALDNDQGHIPFRGSKLTEVLRDSFVGNSRTVMISCISPSSGSCEHTLNTLRYADRVKSLSKGNNSKKEVSSSNLNVRESTTIPISSATALGYEDRTADTWHDENDGDDFSPPEDYYEPVKPSLKKNAKIDPYATTDDKLKKPSGQIKWKDVPKVESITAQSEDDLNALLQEEEDLVNAHRTQVEQTMNIVREEMNLLVEADQPGNQLDDYITRLNAILSQKAAGILELQTRLVHFQKRLKEHNVLVSSTGY >OIW11132 pep chromosome:LupAngTanjil_v1.0:LG06:398094:400919:1 gene:TanjilG_22939 transcript:OIW11132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFDQAPPGDAKVGEKIFRTKCAQCHTVDKGAGHKQGPNLNGLFGRQSGTTAGYSYSAANKNMAVNWEEKTLYDYLLNPKKYIPGTKMVFPGLKKPQDRADLIAYLKESTAQ >OIW10318 pep chromosome:LupAngTanjil_v1.0:LG06:8747535:8749397:-1 gene:TanjilG_28069 transcript:OIW10318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMRPKPVFFNPTSSSLPFNKILPSELNLNHPILRALDSSNGLHHFNQIHAQLTVSGIFQHSLAAGRFIKKLCSKPHTLARAILIFDHVRYPDAFLCNTIIRTYVSRNELNAAFRFYYDRMMKRGVEPNYYTFPILIKVCVELGLVREGEKGHGRVLKLGFESDLFVRNSLIRLYSVFGRVWDARLLFEESSRLDLVSYNSMIDGYVKNREIGSARKVFDEMPERDVLSWNCMIAGYVGVGDMEAATELFERMDGRDVVSWNCMVDGYARIGNVSMAIDFFDRMPIRNVVSWNCVLALYVRIKSFVECLKLFDRMMESGEAKPNEATLVSVLTACANLGRLDSGLWVHSFIKSENIKPDVLLSTCLLTMYAKCGAMDIAREVFDEMPVKSVVSWNSMIMGYGLHGNGDKALELFSAMEKTGPLPNDATFISVLSACTHTGMVMEGWWYFDLMRRVYKIEPKVEHYGCMVDLLARAGLVKNSEDLIRNLPMKAGSALWGALLSGCRTHSDLELGEIVAKRLIEVEPRDIGPYILLSNIYAAKGRWDDVEHLRLMIKEKGLQKEATSSLVHLEDFKSNYFVKNNSVHRKRIMYSMLGELGAQMKLSLGDSIEEDNLDLDNSY >OIW09715 pep chromosome:LupAngTanjil_v1.0:LG06:19016684:19019337:1 gene:TanjilG_21241 transcript:OIW09715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANNNQEQQNQLVGHKDLAHKSLLQSDALYQYILETSVFPREHESLKELRQMTEKHPRNLMATPADEGQFLRMLLKLINAKNTIEIGVYTGYSLLSTALALPNDGKILALDVNREYYDLGLPIIQKAGVEHKIDFREGPALPLLDELVKDEKNKGSYDFVFVDADKDNYLNYHKRVIELVKVGGLIGYDNTLWGGSVVAPPDAPLKDYIIHFRGFILELNKYLANDSRIEICQLPVGDGITLCRRII >OIW10174 pep chromosome:LupAngTanjil_v1.0:LG06:7330535:7334734:-1 gene:TanjilG_27925 transcript:OIW10174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDENYLHNCFAHTGEVVSAKVIRNKLTGQSEGYGFVEFHSRATAEKVLQNYSGATMPNTDQPFRLNWASFSVGERRSSDAISDLSIFVGDLAIEVTDAILQETFASRYSSIKGAKVVIDANTGRSKGYGFVRFGDENEKTKAMTEMNGVYCSSRPMRVGVATPKKTYGYQQHYSSQTQAVVLAGGHPSNGAVTQGSQSEGDFNNTTETEGKHQRGRVGDTGKNAEEAIQALNGTVIGKQTVRLSWGRSPGKKHWRSDSNGSYHGGQGYGGGNGYAVRQNQDIAKNSAATVQGSS >OIW11140 pep chromosome:LupAngTanjil_v1.0:LG06:346851:349223:-1 gene:TanjilG_22947 transcript:OIW11140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTVVKSIVSSIKEKGFGGFFRYLKDEGYLFVVEMHYKAVIYSSTVYWQTKIHNIGARLVGVDKFGNKYYEKLENIQHGRHRWVEYAEKSRYNASQVPAEWHGWLHHITDHTGDELLLLKPKRYGAEHKENLSGEGDQYIYHSKGHALNPGQRNWTRYQPWESKAEP >OIW09011 pep chromosome:LupAngTanjil_v1.0:LG06:31778714:31780159:1 gene:TanjilG_05987 transcript:OIW09011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGIVVVFDFDKTIIDCDSDNFVVDDLGFTDLFNQLLPTMPWNTLMDRMMMELHSNEKTIEDIVEVLQKIPIHPRVIPAIKSAHALGCDLRVVSDANMFFIETILKHLRIRECFSEINSNPGYVDEEGKLRILPYHDFTKCPHGCSLCPPNMCKGLIIDRIQNSISPEENKRFIYLGDGAGDYCPSLRFKEKDFVMPRKNFPVWDLICKDPLLVKAEIHEWSDGEDLERVLLQLINKISMDGSAQLISNDCKLQNLPVSAHVPQVLRV >OIW10343 pep chromosome:LupAngTanjil_v1.0:LG06:8988650:8992417:1 gene:TanjilG_28094 transcript:OIW10343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVLWVLTRSLTLCTSNFKSCSKPYYFNHPLQFLTHNVYQQQYQSRRNLIFDSSASEFVKLHNLQGSDSGIVDVRLDRPGAKNAIGKDMLQGLHHTLELIEQNSYTNVAIISSSVPGVFCAGADLKERRTMNEPEVKDFVNSLRSTFSFLEAVRVPTIAVIEGVALGGGLEMALACDIRICGEDALMGLPETGLAIIPGAGGTQRLPRLVGHSIAKDIIYTGRKINGKEALSIGLVNYCVAAGEAYLKALAMARDINQKGPVAIRMAKRAINEGTETDLTSALALEEDCYDQVLITKDRLEGLAAFAEKRKPRFTGK >OIW10247 pep chromosome:LupAngTanjil_v1.0:LG06:8120374:8120670:-1 gene:TanjilG_27998 transcript:OIW10247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWLESLLKELQITYHTPVIFCDNLSTIAMSHNPVLHNRTKHIELDLYFVRDKIQSKTLIVKHIPSEFQTADIFTKPLPNTQFIILRNQLKIQDFNSAD >OIW10636 pep chromosome:LupAngTanjil_v1.0:LG06:4573330:4579411:1 gene:TanjilG_16008 transcript:OIW10636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACKMRKQVFFLWWFGMAAQLCFLLGVSAKKTSFRRNIREINSSLIHFPFTVNTRHHKQVVIDNGIVSVKLANPKGYVIGISYSGIDNLLDTKLKENNRGYVDVVWNKTGKEANTFQRFHYMAISDTRQRNMPTTKDRSTGKTLAYPEAVRITRPSNPRFMGEVDDKYQYSTENQDNTLHGWITKDSKATVGIWTITPSNEFRNCGPIKQDLTSHLGPTFLNMFMSTHYAGKNVAMSFGQGETYKKVFGPFYTYLNSASSDAQFRSLWSDAVQQRSKEVQSWPYVFPQSKDFIPPNQRGTVAGCFEIQDRFVAIEGSQPAVNAYIGLALPGDAGSWQEESKGYQFWTRTDENGHFAITNIVPGKYNLYGWVHGFIGDYKYEAIITITPGCAITLDSLVYIPPRNGPTLWEIGIPDRSAAEFYIPYPQPRLRNNLYKNDDKEKFRQYGLWARYSDLYPLNDLVYTVGVSDYRKDWFFAHVSRSIGNTYQPTTWQIIFELQNNTLRGGDYTLQLALASASGAEVQVRLNDPGAYSPLFTTGLIGDDNAIARHGNHGLYWFYTINIPSSHLVKGTNTIYLRQARAASPFVGVMYDYIRLESPAPLCASLCM >OIW11112 pep chromosome:LupAngTanjil_v1.0:LG06:545227:546216:1 gene:TanjilG_22919 transcript:OIW11112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEEKTQFDLQDGADIGSSLSKLILSSGTNTLDSIFSHCPPTYSISTTTSSTSTSPSTNSLLECFEPLGTSTFESLGSSVYLRQRDILQKLYQESRVNGSFVPTFPMANPSINSVSYTNSLTYLVNGPYKKKQYRGVRQRHWGKWVAEIRLPQNRMRVWLGTYETAEAAAYAYDRAAYKLRGEYARLNFPNLKDPTKLGFGDSTRLNALKSSVDAKIQDICQKVKREKAKKSAAKKLKSANGSNREKSSDMNANSCSSSSSLSQTNFCDNWANELLLPSVSEENSASPASASVTTEFPMMVTEEPEFEGCSLARMPSFDPELIWELLAN >OIW09249 pep chromosome:LupAngTanjil_v1.0:LG06:28634843:28637521:-1 gene:TanjilG_01220 transcript:OIW09249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLGVAKLKGGFVHVVFVALLLGSVSGIGVNWGTQSTHLLPPPTVVKMLKDNGIQKVKLFDADSSILDALKKSGIQVMVGIPNDMLYMLANSVQAAEKWVSKNISAHVSSGGVDIRYVAVGNEPFLSTYNGTFESTTLPALQNIQSALVKSGLGNQVKVTVPMNADVYLSASDKPSDGNFRPDIHDLMLQIVKFLSQNNAPFTVNIYPFISLYSDANFPVDFAFFNGFQSPINDNGRIYDNVLDANHDTLVWALQKNGFGNLPIVVGEIGWPTDGDHNANLQYAQRFNQGFMSRFVAGKGTPMRPGPIDAYLFSLIDEDDKSIQPGNFERHWGLFYYDGKPKYPLNLGTRTNGLVGASGVAYLPKKWCILKPSANLNSDQVAPSVSYACQNADCTSLGYGTSCGGLDVRGNLSYAFNSYYQVNDQMDSACKFPGLSVVTDKDPSTPSCKFIIMIQTDSAELIRNRKRIWFLPIMLFVYLFHNVM >OIW09101 pep chromosome:LupAngTanjil_v1.0:LG06:31559455:31561086:1 gene:TanjilG_16328 transcript:OIW09101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQNQDLATKLVNLYSVCNSPWYAHKVFDKIPKGNLFLWNVLIRGYAWNGPHEVAISLYHQMIVYGLSPDNFTFPFVLKACSALSAIGVGRRIHECVIRTGWERDVFVGAALVDMYAKCGCVVDAHRVFNEIVVRDAVLWNSMLAAYAQNGHPDESLDLCREMVLTGVKPTEATLVTVISSSADTACLPHGKEIHGHSWRHGFQFNDKVKTALIDMYAKCGSVKAARVLFEQLWEKRVVSWNAIITGYAMHGLAIEALDLFEKMRKEARPDHITFVGVLAACSRGRLLDEGREFYNMMVRDYGINPTVQHFTCMVDLLGHCGQLGEAYDLIRQMTVLPDSGVWGALLNACKIHGNVELAELALEKLTELEPDDSGNYVILANMYAQSGNWEGVARLRQLMIDKGIKKNIACSWIEVKNKVYAFLSGDVSHPNSDAIYAELKRLERLMLETGYVPDTGSVFHDVEEDEKTNMVCSHSERLAIAFGLISTLPGTRLLITKNLRICEDCHVAIKFISKITEREITVRDVNRYHHFKLGICSCGDYW >OIW08865 pep chromosome:LupAngTanjil_v1.0:LG06:33100458:33104908:1 gene:TanjilG_25108 transcript:OIW08865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAATQSQQAPHRTTAEELPAQPDNIKDQGTTTISQSGPFASGADHPLYPPNNLYAPQAQAQAFYYRGFDNVNGEWDEYPSYVNSDGLEIRSPGVYNENPSLIFHSGYGFNPQIPYGPYSPVTTPLPSVGGDAQLYSQQFPYTGPSYYHHLVPPSVSYLNSPTQVSQPELTNLVGIDQQVDSMFFGPRPGYPSVGSFGRGSFPGAPGSLGFHDSQQGFDGPRSGGIWSDSSKPSERQRSLVPLSPSVSPQPIGSHCSFGQTVGMASHQQRSLYGFGAGRGYLPNQGSTFGGTAISSLSTNDGNFLSVENSRRQVRATAALCNCNGTLDILSEQNRGPRASKLKNQISSENNSVDNNKSNASTAKFQNESVNRSDFATDYKDAKFFVIKSYSEDNVHKSIKYGVWASTPNGNRKLDAAYHQAKEKQDACPIFLFFSVNASAQFCGVAEMAGPVNFDKSVDFWQQDKWSGQFPVKWHMIKDVPNSQFRHIVLENNDNKPVTNSRDTQEVKLQQGIEILTIFKNYETEVSILDDFDFYEDRQKAMQERKARQQGGGGSIMGGVGVVGESEHRNSSANIIKQMSKSFAQVVRLDETNSEVTVAADRGGGSLASDDAISVAASSTQTS >OIW10949 pep chromosome:LupAngTanjil_v1.0:LG06:809434:813955:-1 gene:TanjilG_22756 transcript:OIW10949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCKDDPIVWEVVLSSCRIHANLSLAKRAAEELFRLVPQNSISYVLLANMYFSMGRWDEAKVVRDLMSDNQIPKNPGYSCWVSAIMICRSTILENKQEVALDVANIALWDDEQIEYIKERVTEEGRQEDLKKGKAPAQVSALIPGEFYTKKEHFPGFARPFVFNAEVLLRVGRNVEAKDAARGALKSPWWTLGCEYEDVANIAQWNDEQIEYIKERVTEEGRPEDLKKGKAPAQVALDEAAFLLDLASIEGTWDEYVERIAKCHEEAGLDDVAKFILYRD >OIW09688 pep chromosome:LupAngTanjil_v1.0:LG06:19874239:19877278:1 gene:TanjilG_06494 transcript:OIW09688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGIARGRLTEERKSWRKNHPHGFVAKPETLPDGTVNLMVWHCTIPGKAGTDWEGGYFPLTLNFSEDYPSKPPKCKFPQGFFHPNVYPSGTVCLSILNEDSGWRPAITVKQILVGIQDLLDQPNPADPAQTEGYHLFIQDAVEYKRRVRQQAKQYPAIL >OIW09582 pep chromosome:LupAngTanjil_v1.0:LG06:22591339:22598357:-1 gene:TanjilG_28181 transcript:OIW09582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSANDQQSMVSSFLEVAQGQTAQTARQFLQATSWQLEEALQLFLIGNEAGAVLSSSHTPPLENVDSFTDQPASEPTKNTTNESSSRNDGEEVRPPLPVIREALYDDAMLYGASRTGYNSQDPSSLIAFRNFDEERRRAGVWESEQGSVSTAESSRDNLASLYRPPFHLMFSGSFDQAKGAASIADKWLLVNIQSTKEFSSHMLNRDTWANEAVSQTISTNFIFWQVYDDTTEGKKVCTYYRLESIPVVLILDPITGQKMRSWCGMVEPESLLEGLLAFLDAGPKDHHVTLSHKRPRASSSPPKTKDLSKEEDEEVQRALAISMEGMNEASAVAGDDSKDTEIVVSGKDEPALPKRPTYPTLPEEPKVERNLLCRVGVRLPDGRRAQRNFLRTDPIQASRYSIIHRVRIFMNDLLFLLLKLLWSFISAQIGEEETKAFRLTQAIPGASKILDLESNSTFEESGLANSMISVTWE >OIW08928 pep chromosome:LupAngTanjil_v1.0:LG06:32483328:32486479:-1 gene:TanjilG_05904 transcript:OIW08928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKSSKSFVKFSSYSLLFFFLILNHAKSYSDMYNEEHIVLMNIKQYLQNPPYLSHWTLSNSYHCSWPELNCTNDSVTGLSLVECNINHTIPTFICDLKNLTHIDFSANSIPGEFPRYLYNCSKLEYLDLSMNNLYGKVPEDIESLSSLQYLNLGSTNFYGDIPVSIGRLKDLRVLQLQYCLFNGTYPDEIGNLSNLETLDLSSNFELPRSSLPSSWTKLSKLKVFYMYGCNLVGEIPETIGEMVALEKLDMSENNLSGQIPKSLLLLKNLTKLYLQHNSLSGEIPDAIEALNLTAIDLTKNNLTGKIPDDFGKLTKLTGLCLSTNKLSGEIPENISRFPYLKDFRVFFNNLSGTLPPDFGRFSKLRSFHICSNKFSGRLPENLCYYGELLNFTAYDNNLSGELPQSLGNCSSLEDFKIYNNQFSGNIPSGLWKSSNLLNFMVSQNKFTGELPDRLSSTISRFEISYNQFSGRIPAGVSSLKNVVVFNASKNFFNGSFPQELATLSTLTTLLLDQNHLTGSIPSDIIAWKSLVTLNLSENQFFGQIPDTIGNLPVLSQLDLSKNQFSGKIPSKLPILTNLNLSSNRLTGRIPSEFGISAFASSFLDNPGLCTDNPALNLTLCNTGPPRRTEGSSWSLPLIVSLIAVFFGLALLASLLIIRLFRKRKQRLDNSWKLISFQRLSFTESNIVSSLTEHNIIGRGGYGTVYRVPVDDLGYVGVKKIWNNRKLDKRLESSFHAEVKILSNIRHNNIVKLLCCISNQDSMLLVYEYHENRSLDRWLCKKSKSSGMSGSVHHVVIDWPKRLKIATGIAQGLCYMHHDCSPPIVHRDVKTSNILLDVQFNAKVADFGLARMLIKPEELETMSNVVGSFGYIAPEYIKTTRVSEKVDVFSFGVILLELTTGKEANYGDEHSSLAEWALRHVQLGTDIEELLDKDVMELSNVDEMCSAFKLGIMCTTTKPASRPSMKEAVQILHRCGEGFTFRERSVGKYDAVPLLKNSKRQHSFDFVDSDSGY >OIW11075 pep chromosome:LupAngTanjil_v1.0:LG06:1778560:1779411:1 gene:TanjilG_22882 transcript:OIW11075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANKYHIRSNSFPSASHPSTTRIEEELSNLRTFEATSTSTSESIGTSLSFLQDLYICLDDLLNLSSTRKLISQYKDEKCVEEVFDGSVRLLDICGITRDTVSQIKENVQALHSALRRRKGDSSIEGSIAEYNFFAKKVKKNAKKLITSLKQMDSKFGVSLPLNQDQDIAALIRVLREVITMNMSIFQSILSFLASPSSKSKINKWLMAAKLMQENSDNSNELHSVDSALSTLLSNGTSVEKMQATREKLEALENVIEIIENGLESLFRHLIKTRASLLNTMTQ >OIW10484 pep chromosome:LupAngTanjil_v1.0:LG06:6069286:6074189:-1 gene:TanjilG_00422 transcript:OIW10484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVEYSCCETEFFVHILVIVLLVLFAGLMSGLTLGLMSLSLVDLEVLAKSGTPQDQKILPVVRNQHLLLCTLLICNAAAMETLPIFLDSLVTAWGAILISVTLILLFGEIIPQSVCSRYGLAIGATVAPFVRVLVWVCSPVAFPISKLLDYLLGHRQEALFRRAELKTLVNLHGNEAGKGGELTHDETTIIAGALELSEKTASDAMTPISETFAIDINSNLDRELMNEILENGHSRIPVYYEQPTNIIGLVLVKNLLTIHPEDETPVKSVTIRRIPRVPESMPLYDILNEFQKGHSHMAIVVRRCDKTGQQSSKNYADESVRDVKVDIDGEKPPQEKVGKPKMAIHKWKSFPNTNKSIKGGSRSRKWSKNMYSDILEIDGSPLPKLPEEEEAVGLITMEDVIEELLQEEIFDETDHHFEDS >OIW10197 pep chromosome:LupAngTanjil_v1.0:LG06:7538745:7540217:1 gene:TanjilG_27948 transcript:OIW10197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNKNHDLHILFFPFLANGHIIPCIDLARVFVNRGTKATIVTTNLNVPLISRTIGKANINIRTIRFPSPEETGLPEGCENSESALAPDKFIKFMKSTLLLREPLEKVLEEERPDCIIADMFFPWATDSAAKFNIPRIVFHGLGFFPLCVLACVRQYKPQDKVSSYSEPFVVPNLPGEITLTKMQLPQVPQHDKVFSQLLEESNDSEVKSYGVIANSFYELEPVYADHYRNELGRRAWHLGPVSLCKRDREEKALRGREAAIDGHECLKWLENKETNSVVYVCFGSMTSFPDVQLKEIAMGLEASGQPFIWVVKKGSKSEDEKLEWLPEGFEERIEGKGLIIRGWAPQVMILEHEAIGGFVTHCGWNSTLEGVCAGLPMVTWPMYGEQFYNAKFLSDVVKIGVGVGVETWIGIIGREPVKKDAIEKAVKRVMVGEEAEQMRKRAKELGEKARRAVEEGGSSYIDFDSLINDLRSLAMSETKEQSNIKSTEI >OIW10108 pep chromosome:LupAngTanjil_v1.0:LG06:9767453:9768897:1 gene:TanjilG_21945 transcript:OIW10108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAKTDSEVTSLDASSPTRSPRRPVYFVQSPSRDSHDGEKTTASFHSTPVLSPMGSPPHSHSSLDRHSRQSSSSRFSGSRKINNNRKPHQKPIDVIDEEDLLLQNEDHHRTLSRRYYFLAFVLGFFVLFSFFSLILWGASRPMKPEIVVKSIKFDHVQVQAGSDATGVATDMISMNSTVKFTYRNTGTFFGVHVTSTPLDLTYSEIVIASGNLKTFYQSRKSQKLVTVAVMGTRIPLYGSGASLSSSTGMPTVPVPLKLSFVIRSKAYVLGKLVKPKYYKNIECSITLDAKKLNIPLSLKNSCTYD >OIW10336 pep chromosome:LupAngTanjil_v1.0:LG06:8912169:8914319:-1 gene:TanjilG_28087 transcript:OIW10336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTMASFSPPTHFSSSHSKPHHLIHNYNFITLKPNMNNFLFSPLKASTSDDNNGVGATAVEPPLEPSAPVGNNGSVSAAATVKVAEEEEVKVVSGFTDPRWVEGTWDLKQFKKDGTTDWDAVIDAEARRRKWLEDNPESSSNDNLVVFDTSIVPWWAWVKRFHLPEAELLNGRAAMVGFFMAYFVDSLTGVGLVDQMGNFFCKTLLFIAVVGVLLIRKNEDIDTLKKLFDETTFYDKQWQATWQDENSSTSIKE >OIW11081 pep chromosome:LupAngTanjil_v1.0:LG06:1795409:1798707:-1 gene:TanjilG_22888 transcript:OIW11081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRRTTPLLKHLFASRSRLNPTRFVTYMPRPGDGTPRAVTLIPGDGVGPLVTGSVEQVMEAMHAPVYFEKFDVHGDMRTVPAEVIESIKKNQVCLKGGLATPMGGGVSSLNVQLRKELDLYASLVNCFNLPGLPTRHDDVDIVVIRENTEGEYAGLEHEVVPGVVESLKVITKFCSERIAKYAFEYAYLNNRKKVTAVHKANIMKLADGLFLESCRDVAANYPGIKYNEIIVDNCCMQLVSKPEQFDVMVTPNLYGNLVANTAAGIAGGTGVMPGGNVGAENAVFEQGASAGNVGDNEVVEQKIANPVALLLSSAMMLRHLQFPAFADRLESAVKRVIREGQHRTKDLGGTSTTQEVTDAVIAALE >OIW08985 pep chromosome:LupAngTanjil_v1.0:LG06:32000869:32003619:-1 gene:TanjilG_05961 transcript:OIW08985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPGRSVEEIFEDFRGRRAAIIKALTTEKENLCLYGLPNEQWEVNLPVEEVPPELPEPVLGINFARDGMQEKDWLSLVAVHSDSWLLALAFYFGARFGFDKGDRRRLFNMMNDLPTIFEVVTGTAKKQVKEKSSVSNNSGSKSKSSSKARAPEAQGSQSKALQPKDEDEVEELGEDDHGETLCGACGDATDDFWICCDMCQRWFHGKCVKITPARAAHIKDYKCPSCSSNKRAR >OIW09781 pep chromosome:LupAngTanjil_v1.0:LG06:15592078:15593760:-1 gene:TanjilG_32219 transcript:OIW09781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQSPSGSSLGPGDLDLTQVFFKPITNAAPPSSTKRQTKISVIGAGNVGMAIAQTILTQDLTDELVLVDAKPDKLRGEMLDLQHAAAFLPRTKIHASVDYAVTAGSDLCIVTAGARQIADESRLNLLQRNVSMFKNIIPHLVRYSPDSTLLIVSNPVDILTYVAWKLSGFPSNRVIGSGTNLDSSRFRFLIADHLDVNAQDVQAYIVGEHGDSSVALWSSISVGGVPVLSFLENQQIVYEKETLESIHKAVIDSAYEVISLKGYTSWAIGYSVASLARSILRDQRKIHPVSVLAKGFYGIEDGEVFLSLPAQLGRGGVLGVTNVHLNDEESQRLRDSAKTILEVQTQLGI >OIW09247 pep chromosome:LupAngTanjil_v1.0:LG06:28631840:28632438:1 gene:TanjilG_01218 transcript:OIW09247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEDNFTWKLLSTQDKGEGSKEKEDEWLRYIGGVDVSFSNDDSSMACGTLVVLDFNTLKVVYEDFSLVTLHVPYVPGFLAFREAPVLVEILDKMKRNGNPFYPQLLMVDGNGILHPRGKLFFPLSLHRLSFMCQ >OIW09597 pep chromosome:LupAngTanjil_v1.0:LG06:22390841:22393818:1 gene:TanjilG_28196 transcript:OIW09597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHQRVTALNLQGYALHGLISPYIGNLSFLRYVNLQNNSFYGEIPKEIGRLFRLQQLYLTNNTLGGQIPTNLSGCSELKSLSLSGNNFFGEIPMELSFLTKLEQLYISMNNLIGEIPASIGNLSSLIVLSFGINNLEGKVPQEIFRLKNLTHVSIASNKLSGMLPSTLYNMSSLTFFSAGLNKFNGSLPANMFLTLPNLEQFGIGMNNISGPIPTSISNATRLLLFNIPRNNFVGQIPTGIGNLRDVWSIAMEYNHLGTNSSNDLDFLTSLTNCTKLQVLDLNLNNFGGSLPSSVANFSNQLNQFYIGGNQITGTIPEGLGNLINLIGFDLEFNLLKGSIPASFGKFQKMQSLTLNVNKLSGQIPSSIGNLTQLFQLDLSQNMLEGSIPTSIGNCQTLQYLDLSHNNLIGTIPLQVISLSSLSLLLNLSRNSLNGVLPFEIGNLKSINKLDVSKNDLSGQIPATIGQCISLEFLNLQGNSFDGAIPLSFASLKGLRYLDLSQNNLSGEIPEGLGGIPILEYLNVSFNSLDGEVPTEGVFKNSSAISVKGNKDLCGGITELHLPPCPVEVRTHKKHHPWKVVVIIISVVLFLLLLSYSISIYWKRKKNFGISTSTPTMDHLSKVSYQTLHQATNGFSPNNLIGSGAFGFVYKGIIEPAERIVAIKVLNLLKKGAEKSFIAECNALRSIRHRNLIKIITCCSSIDYNRNEFKALVFEYIENGSLEEWLHSESKLKDQSSFDLLQRLNILIHVGSALCYLHYECEQPIVHCDLKPSNILLDNDMVAHVSDFGLARLLCTINDISHKQTSTIGIKGTVGYAPPEYGIGSQVSTMGDVYSFGILVLEILTGRKPTDEMFVNGINLNNFVKVSLPDKFLQIVDSALLPELKQTAASSEEESEINEDMSQMHPNDMQKCLLELFCIGLACSAESPKERITMRDVNRELEQIKNVFFGGRSKEID >OIW09669 pep chromosome:LupAngTanjil_v1.0:LG06:20969878:20973400:1 gene:TanjilG_11056 transcript:OIW09669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQQPLLLHLPQIQEDTAYDSSEKIIIVGADESDDESNCGRTPPFSWKKLWLFTGPGFLMSIAFLDPGNLEGDLQAGAVAGYSLLWLLMWATLMGLLIQLLSARLGVATGRHLAELCREEYPTWAGIVLWVMAEVALIGSDIQEVIGSAIAIRILSNGFVPLWFGVIITALDCFVFLFLENYGVRKLEAFFAVLIGIMTLAFAWMFGEAKPSGKELLIGILVPKLSSRTIHQAVGVVGCIIMPHNVFLHSALVQSRQVDQSKKGRVQEALNYYSIESTIALIVSLAINIFVTTVFAGGFYGTEVANSIGLANAGHYLQEKYGGGLLPILYIWGIGLLAAGQSSTLTGTYAGQFIMGGFLNLKLKKWMRALITRSCAIIPTMIVALIFDTSEDALDVLNEWLNVLQSVQIPFALIPLLCLVSKEQIMGSFKIGPVLKIISWFVAALLIVINGYLLLDFFSAEVNGTVVATVLCALTAAYVAFIIYLVSRAITFSPWQSVIQKKTISNSEN >OIW10759 pep chromosome:LupAngTanjil_v1.0:LG06:3308273:3309562:1 gene:TanjilG_27705 transcript:OIW10759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYEKQASSSSYSLPPYPQEEEDVETPLVTNSPHLSSKFKTIANIFISIVGAGVLGLPYSFKRTGWVMGLFMLFIVAFFTYYCMMLLVHTRRKLESTFMGFSKIKSFGDLGFAVSGPIGRLAVDFMIVLSQAGFCVSYLIFISTTLAFMTTNKNEDTPLFLGLSPKVLFLWGCFPFQLGLNAIPTLTHLAPLSIFADGVDIAAKSVVMVEDVFVFLKNKPALEAFGGFSVFFYGIGTAVYAFEGIGMVLPLESETRDKDKFGSILGIGMAVISVLFGAFGALGYFAFGEETKDIITTNLGPGVISALVQLGLCINLFFTFPLMMNPVYEVVERRFYGSRHCLWLRWLVVLLVSLLALLVPNFADFLSLVGSSVCVVLGFVLPAVFHFMVFKEELGWKCIVPDGIIVVFGIVIAVSGTWYSIIEILSPKA >OIW10925 pep chromosome:LupAngTanjil_v1.0:LG06:1942480:1943266:-1 gene:TanjilG_27871 transcript:OIW10925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCNGCRVLRKGCSESCILRPCLQFIDTPEAQGYATVFVAKFFGRAGLMSFISNVPVTQRPALFQSLLFEACGRTVNPVNGAVGLLWTGNWHVCQSAVETVLRGGTLRPMPELLALDAPTHVADDASEGEVTCTDMWRLRDPNPNFRFTSSRSKVSSGVKRKRSEEIADLDLRLTPSFVQNSPAYSCRRDIRRPGTPSMNSEESVTTTACLDSGLVDRYAQGRDRKVLNLFI >OIW10581 pep chromosome:LupAngTanjil_v1.0:LG06:5070464:5071594:-1 gene:TanjilG_15953 transcript:OIW10581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVRDFQETPFHGDILEAIFSHVPLVHLLAVYHASKSWKRAVFSSLAHVNPIKPWLIILTQCPRAPHLITTHAYDPRSHVWLEIKQKPSMAIKHASAVRSSHSTLLYTLSPAEFAFSLDPLHLKWHHAPPPTVWRTDPIVACVGNHIVIAGGACDFEDDPLAVEAYDIVKNAWATCQSMPELLKGSTASTWLSVAVVGDTMYLTEKYSGMTYTFNPIANLWNGPFDLRPDQSVMFCVTGTLRKRLAVVGIVEEGENVKGVKIWVVEGELGSGTVMEELSEMPKEMVEKVMGGSEFGLGSVVVTWVGDFVYVWNSIKGKEVMMCEVVNGGASEWKSVKNVAVNERTRMKRVVLSGADVCFQNLLRESGNLHESNVIG >OIW09831 pep chromosome:LupAngTanjil_v1.0:LG06:13594511:13596680:1 gene:TanjilG_20538 transcript:OIW09831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNEKKPGFFSGFKGNVARTGSSKSGLLRRKKNLNPVTEPFITRSQSMSVIGETLAPLVEGPDPNVFQNDEPKKVGSGLGHWMKEHLSRSSSMSASSCKSSDLRLLLGVMGTPLAPVHVSATDPFPHLSIKDTPIETSSAQYILQQYIAASGGQKLQNSIKNSYAMGKVRMVSYEFETASRIVKNWNASKCAESGGFVLWQMNPDKWYVELAVGRSKVQAGCNGKLVWRHTPLLGAQTAKGPVRPLRRAIQGLDPRTTASIFANAKCIGEKNINGENCFTLKLSTDPETLKARGEGPSEIIRHVLLGYFSQKTGLLVHIEDSHLTRNQSNGGDTVYWETTINSDLYDYRPVDGIMVAHSGHSVATLYRFGETAMSHTKTKIEETWTIDEVAFNVPGLSVDCFIPPADLRTDSASEGCELPQDERGKNSLAVHWAKVIAQEKSHNLDIENMFWRMEI >OIW11136 pep chromosome:LupAngTanjil_v1.0:LG06:376250:379648:-1 gene:TanjilG_22943 transcript:OIW11136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEVKKHKSRKDGDSKRSHRDPDRTADKRDSESRRHEKDKNSDSEDRYDRDREKRKDKERTRVKDVDKDRTREKKVERDREDKERERSDRVREKERDKVKEKKREKEREREREREKEREKERERERERERERERERERERERKERVREKEIEREKGRRGHEREKRRNVDNKNSDDESRERDRKRHRKDDDDVYKGRDRERIVSKSHRQTENTEASPRKKSSEDEDGTKDDESKPTREEEMEIEQRRLDDEMEKRRRRVQEWQELKRKREETEREKQGEASADEPESRKTWTLEGESDDEEGPAAGKQDTAMDVDEDEKPADEGPTDLVVSHDNGTVASDLQDGNTGAPEDEEIDPLDAFMNSMVLPEVEKLNNAAALSLTDKAADLNPKDKEDEQSRGGQARKGSNKSIGRIIPGEEFDSDYADLEFEGDPSDEDDDEFMKRVKKTKAEKLSIVDHSKIDYMPFKKNFFIEVKEISKMTLEEVALYRKQLELKIHGKDVPKPVKSWHQTGLTSKILETIKKMNYEKPMPIQAQALPIIMSGRDCIGIAKTGSGKTLAFVLPMLRHIKDQPPVVAGDGPIGLIMAPTRELVQQIHSDIKKFSKVMGIRCVPVYGGSGVAQQISELKRGAEIVVCTPGRMIDILCTSSGKITNLRRVTYLVMDEADRMFDMGFEPQITRIVQNIRPDRQTVLFSATFPRQVEILARKVLNKPVEIQVGGRSVVNKDITQTVEVRPENERFFRLLEILGEWCEKGKILIFVHSQEKCDVLFKDLLRHGYPCLSLHGAKDQTDRESTISDFKTNVCNLLVATSIAARGLDVKELDLVINFDVPNHYEDYVHRVGRTGRAGRKGCAITFISEEDARYAPDLLKALELSEQIVPNDLKALADSFMAKVNQGLEQAHGTGYGGSGFKFNEEEDEVRKAAKKAQAKEYGFEEDKSDSEDEDDGIRKAGGDISQHPALAQILAAQKVNSPAMPTPISVGQSISNGGLPSLSAVLGLQTATVLPGNDVAARAALAAINLQHHLAKIQSEALPEHYVAELEINDFPQNARWKVTHKDTLGPISDWTGAAITTRGQHFQPGKVPGPGERKLYLFIEGSSEQSVKRAKAELKHVLEDFTNLQLPGGTQPGKYSVV >OIW10907 pep chromosome:LupAngTanjil_v1.0:LG06:2119586:2120899:1 gene:TanjilG_27853 transcript:OIW10907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSSYRNNKPSLFLSDCCLFFGGVVSALLLVWGFYSIPPNFDTILTQNDAVSLSCKTETHPVPDFRSDPPETTFYDDPEIGYTMDNTVRDWDQKRKEWLLRHPSFAIGANERIVMVTGSQPSPCRNPIGDHFLLRFFKNKVDYCRLHGYDIFYNDALLDPKMFGYWAKYPVVRATMIAHPEAEWIWWVDSDALFTDMEFKLPLHRYKDHNLIVHGWAHLIHEKRSWTGLNAGVFLIRNCQWSLDFMDAWASMGPQTPNYEKWGQTLRSTFKDKYFPESDDQTGLAYLIAFEKDKWADKIYLEGEYYFEGYWEEIVGTFENITNKYNEIENRVHGLRKRHAEKVSESYGLMREEYLVDAGNGRGSWRRPFVTHFTGCQPCSGKHNAMYSGDACWNGMHRALNFADNQVLRKYGYMHPQLLDNNVSQIPFDYPVSSQS >OIW10369 pep chromosome:LupAngTanjil_v1.0:LG06:9211396:9211740:-1 gene:TanjilG_28120 transcript:OIW10369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVHMVVMAIAKLHWQLSSHTLSATAATFAYSVLLKLLMSSFKLFRNEALYRSNLFLFRISQIVFNRELTLSTRARLERAIRLIWISFSTSTARTSEGLEIVHNTFHDLSIIAL >OIW11036 pep chromosome:LupAngTanjil_v1.0:LG06:1522975:1526639:-1 gene:TanjilG_22843 transcript:OIW11036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHWENMGEIANVAQLTGLDAVKLIGIIVKAASTARMHKKNCRQFAQHIKLIGNLLEQLKISELKRYPETREPLEQLEDALRRSYILVNSCQDRSYLYLLAMGWNIVYQFRKAQNEIDRYLRLVPLITLVDNARVRERLEVIEMDQREYTLDDEDQRVQTVILKPEPDKDDTAMLKKTLSCSYPNCSFTEALKKENEKLKLELQRSQANLDINQCEVIQRLLDVTEVAAYSIPGKCSPEKSHKKEENSYSDANANKGHSSDVKYHAKSDTFSASRVSVSQKDLLSTQSSYQQEDWHADLLACCSEPYLCMKTFFYPCGTFSRIATVATNRPMSSGDACNELMAYSLILSCCCYTCCIRRKLRKMLNITKNKERGNKTDTQGSHTPTELPTSFPHVLNHRSASSPYAPVLSPFHRPLPTFHHSLELVKSGLDAPFRALKIGSFDR >OIW11049 pep chromosome:LupAngTanjil_v1.0:LG06:1607793:1608290:-1 gene:TanjilG_22856 transcript:OIW11049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFASASFPSSLTAANLSGNAVAPPPCRVRSRPIVAFATATATSTAEARSTWTEQPRYPSYLNQHGISSASLYEILGIPAAASDQEIKAAYRRLARVCHPDVAAIDRKKSSADDFMKIHTAYSTLSDPEKRATYDRSLIRRRQRPLSTASMVSGYTSRNWETDQCW >OIW09230 pep chromosome:LupAngTanjil_v1.0:LG06:29988616:29989494:1 gene:TanjilG_26443 transcript:OIW09230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLKGVSMAIWITDLIVVVLLAIYVVILEKKGSRWKDGGWWDQSFMDWIRLVKLGGSCCLNTCLEWWCYEILVFLTGHLKNAKEAVGVFAIVLNLDYLLFSVMLSLGTCVSTRVSNELGANQAGNAYRSALVSLALGFMSGCIGSLVMVAVRGSWGALFSHDNGIIKGVKKTMLLMALVEVFNFPLAVCGGILRGTARPWLGMYANLAGFYFLALPLGVILAFKFHHGLVGLFIGLNTGIVACLMLDLVFIVRINWVKEAAKAHTLASDSQVQNAPKNDAEEPSMVQENHQV >OIW11078 pep chromosome:LupAngTanjil_v1.0:LG06:1786714:1787577:-1 gene:TanjilG_22885 transcript:OIW11078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANMHHARSNSFPSASHPTTVKVEEELRNLKSFEATSTSTSESINTSLCLIQDLYVCLDDLLNLASTQKLISQYKDEKCMEEVLDGSVRLLDFCGITRDTISEIKENVQALHSALRRRKGDSSIEKSVAEYIFFTKKIKKNAKKLITSLKQMDSKFGVSLPLNQDQDIAALIRVLREVIAMNMSIFQSILSFLASPSSKSKINKWLMAAKLMQKGVKSCEENSNELQCVDAALSTLLSDATNVEKMQAARDNLEALENAIESLENGLENVFRFLIKTRTSLLNIMSQ >OIW09132 pep chromosome:LupAngTanjil_v1.0:LG06:30252218:30267685:1 gene:TanjilG_11270 transcript:OIW09132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANGTHSSHKGKGEEINNRRPMQVGEKEKINPPSNVSDATGLRRSTRDASSTKLTTSPSTTRKSERLEKKTTPTPAVCRKSQKFEKPNMPSPLRRSGRNKTHCFSTPSDSKSSGSSSSKQKTKKEKTVKQLTFEAKEVTENDEHDVGAPQVKVKRMDARMYRATFIKPKRDCLEKPNTIDKSTQEGGTDGGGKIDECCRLSGHVKGLLENNSALGSSVPSNATAHETRQAPQSVQLDCNGEETSQMLVSMNAVSDENLVGSNVGLDSGEKMIKRKGTTVEKVSNVYSTLSNDKNCTLIGEAGPSRLGGNIVGTDSPCSKRRRLDYDSTVSHCNESCNPSTVEEPGRPSSVNKLSQLPGRVSSRLGNNNLLEAVNKLREHWQKGQSAIVIDDHERILKVVAFIATLQSDIYRPFLIISTAASLHSWEDEFNQLDPSIDVAIYNGNKEMRNSIRRFELYDEGGCILFQVLIVVPDILIEDLDVLVGIEWEAIIVDECQSPKISSYFKQIKMLNTHLTVLLFRDQLKDSIEENINILALLDCQSGNEKDGSTSNSSNNIVELKKRLLSHIAYKCQTDFFRFVEYWVPVQISYVQLEQYCGILLSNASILRSSSKVDTAGPLRDVLNSTQKCCNHPYVLNPSLQPLLTEGLQTTSPEFLDVGCKASGKLQLLDSMLKELRKKDLRALVLFQSIGGSGILVDFLLLRFGQDSYEGIDRSSPMSKKQSAMKKFNNKDNGCFVFLLETSACHSSIKLSSVDAVIIFNSDLNPMNDVRSLQKITLDSQFEFIKIFRLYSSFTVEEKALIFAKQDKTLDINIQNISPSTTHMLLMWGASCLFDDLRIFHDGETSVSSLKSLFGGEPHLKAVREFSSILSQDGENNDTSNCSFLLKVQPNGGKYRGDFSLLGEQKLKFLDEEAPHIFWTKLLEGKQFKWKYSCGLSQRSRKKVHQVNGSLDRPDLVSESISKKRRKVSNNTIVDQPSSKSGGQKLSAGVKAGTSKNPSGGLAGGDPSNGVESEKNSRLHDEQRSLHLLLKPNITKFCEVLLLPDNVKSTVDNFLGYVINNHRVPREPVSISQAFQISLIWAAASFVKHNLDQNASLILAKEILNFDCKKVEVEYLYSMLRCLKNIFLYRTGIFGDTGSPKASESRHGVYSCTGREVELFKKDISKSIKETKKKLKKKLTKLFSMQLEEKQKLKAAIEDEKAYFESKYKLESAAIQRCSPNDVVREEKLKDLSSEYDNKIGELKCEHETRLKDLEDMQSAKIRDFQDQEAAWVEELMSWAKNELSNIDVSKELGNEVEYLQTCDEALPHNGLKNVDLVSGHFTEGKGHDDMVEAMTGTTTGVPETNSPILELCSGPVELQTPLAQPIGANGMSIMASEDRPVSRHEDHNIAENEKIFYGNTSTVDEGYGRENFSRGSRDPVDEVLDRELSRPCGSTSPSSGPPNITLSNSPLEHQNRDGVSSCIHDGQFPVEVPETIHEWRTVSVLEREIPAEIPGVANFTDCPASATPVDNPSENQITIGDSVDVPVLDNVLSSRPCGAASPRGGTVTDPILNQQQNFDEVSFSIPDGDIPVIVPENSHAVADCHNNVEPLTNAGLVRTTSGQREGVPIMMTECTLSQDTPISRSVDAMESPEQVQQLTSAESPPDQDIAGEMQNSSKQVGLVSSPVDIIPANQSNHASLSTSPSELVQQLTSSELPYSNPDPSNIHLVPAVEHQPINEDDLPFHDPETSTVVPNQDVVQPDPNLGLDSHSRQVLMHPASNSNLSSLAPGGVGTQPSDTRNLSTLSGISHHNHPIQTATHSASGFLPPLCSEPLKYELERIQQVTEKIKQNHEDMKLQLKSDFEKEYAELRMKYDIKFQEIEVEFQQTRKNLDTSLHAVLMNKILADAFRSKCMVLKPSGALGIHQGAAHQFPQHSRHRGATHLPMVAGPSPSSAPPAPSLHNPSTTTTPQNVAAPIQPAYRTSGVFSSVPARPPLINSVSLPLGGSRPPLINSVSSPLGGLQAGSEIRAPAPHLRPYSSSTSVPATSVPALPQGIPRQPAPSNIPASSAFSHGPPRPRPTTYQSDPHLGRRPDNAGGLPSANLSPVDLPNVRPPPLSHDTSLNPPRVRTVNSSHEAPDYVYLSDDD >OIW10752 pep chromosome:LupAngTanjil_v1.0:LG06:3392505:3401156:1 gene:TanjilG_27698 transcript:OIW10752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMNIICPYYSGLLLLQFDKCHSVILIVFLIMQAYVDSVLDLALHFIACLWHYASFSRTLATHTVARNTGGHSSSSPSPKPDVKEGKVYSDVNFNDSCLELAIPTLKVLHALMSYVFTLAYGNLGSEHDLVEFLMGTWRLKCLKLLDGFSFLPPDMAFSAKWQHSRLLKYLKVAVKTLSAGSRLGVRDFFTEINTVSHVKHPNLVELIGCCVEGPSRVLVYEYEENNSLDRALLGTWISIIRLDWRKRASICMGTTKGLAFLQEELVPHIVHRDIKASNILLHRDFNPKIGDFGLAKLFPEYAMGGQLTMKADVYSFGFLIREVVGGKSSARANWGGS >OIW09298 pep chromosome:LupAngTanjil_v1.0:LG06:29276606:29277255:1 gene:TanjilG_01269 transcript:OIW09298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSSITCLLLLFLLFGLSASSKEILIGGKVDAWKIPSSSSDFLNQWAERSRFQVGDRLVWKYEGGKDSVLRVGREDYANCSTSNPIKEYNDGNTKVKLDHPGPFYFISGAKGHCEKGQKLIVMVITPRGTNTGISIAPSPAPSQNFESGQAPSPTSIATSLQSCGFLILAVFVAMWVF >OIW10915 pep chromosome:LupAngTanjil_v1.0:LG06:2049019:2054001:-1 gene:TanjilG_27861 transcript:OIW10915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESYLNENFGGVKAKNSSEEALQRWRKLCGLVKNPKRRFRFTANLSKREEAAAMRRTNQEKLRVAVLVSQAAFQFLQGVQPSNYIVPDEVEAAGFQICGDELGSIVEGHDVKKLKVHGGASGIAEKLSTSTTEGIRSEPDLLNKRQQIYGINKFTESAAKSFWVFVWEALQDMTLMILAVCAFVSLIVGIATEGWPKGAHDGLGIVASILLVVFVTATSDYRQSLQFKDLDKEKKKISIQVTRDSYRQKMSIYELLPGDVVHLGIGDQVPADGLFVSGFSVLIDESSLTGESEPVMVSSENPFLLSGTKVQDGSCKMLITTVGMRTQWGKLMATLSEGGEDETPLQVKLNGVATIIGKIGLFFAVITFAVLVQGLVSHKLQQGKLWSWDGDDALEMLEFFAIAVTIVVVAVPEGLPLAVTLSLAFAMKKMMNDKALVRHLAACETMGSATTICSDKTGTLTTNHMTVVKTCICMNDKEVSNKASSLNSELPPSVVKLLQQSIFNNTGGEVVINKEGKHEILGTPTETAILEFGLSLGSDFQAERQACKLVKVEPFNSTKKRMSVVVELPGGGLRAHCKGASEIILAACDKVLNSNGEVVPLDEELCNRLKTTINQFANEALRTLCLAYMELENGFSAEDPIPVSGYTCIGVVGIKDPVRPGVKESVAQCRSAGITVRMVTGDNINTAKAIARECGILTDDGIAIEGPEFREKSLEELLELIPKIQVMARSSPLDKHTLVKHLRTTFGEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKESADVIILDDNFSTIVTVAKWGRSVYINIQKFVQFQLTVNVVALIVNFVSACVTGTAPLTAVQLLWVNMIMDTLGALALATEPPNDDLMKRLPVGRKGNFISNVMWRNILGQSLYQFMVIWFLQAKGKSIFSLNGTDSDVVLNTLIFNTFVFCQVFNEINSREMEKIDVFKGIFDNYVFAAVLSVTVLFQIIIVEYLGTFANTTPLTLAQWFFSLLVGFLGMPIAAQLKKIPV >OIW09484 pep chromosome:LupAngTanjil_v1.0:LG06:25460658:25460897:-1 gene:TanjilG_23767 transcript:OIW09484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVCFPSTPKKLAMTIACFLSGAAIIAVGVHLSYLNVEPQRARTLARDNFVRETLKKKHGYIPPMQVWSTAGNDPPQKA >OIW08884 pep chromosome:LupAngTanjil_v1.0:LG06:32815789:32820628:1 gene:TanjilG_05859 transcript:OIW08884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRVASSVKVGSAKLVLICISLLGFALIADFLWASSSYSSSLWNSQHSSSSPSNFILVTNNNNNNKNNKKNGKKRVLAAAYADLNGPQLNWEKMLTAPVPRLDGAAIQINHLLFVFAGYGTIDTVHSHVDIYNFNDNTWGGRFDMPKEMAHSHLGMVTDGRYIYVVTGQYGPQCRGPTAHTFVLDTVTKQWEDLPPLPVPRYAPATTLWRDRLHVMGGSKENRHTPGLEHWSLAVKDGKPLEKEWRSEIPIPRGGPHRACIVVDDRLYVIGGQEGDFMAKPGSPIFKCSRREEVVYTDVYMLDDEMKWKVLPPMPKPNSHIEFAWVVVNNSIVIVGGTTEKHPETKKMVLNGEVVQFNLNTLKWSVVGKLPYRVKTTLVGFWNGWLYFTSGQRDKSPDDPSPKKVIGEMWRTKLKLNNI >OIW09939 pep chromosome:LupAngTanjil_v1.0:LG06:12652300:12657486:1 gene:TanjilG_32088 transcript:OIW09939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNNATVAIHCPSPMKATSNGVFQGDSPLDFSLPLLILQICLVVVVTRLLAFLLKPMRQPHVIAEIVGGILLGPSVLGRNKTYLDAIFPSKSLTVLDTVANIGLLFFLFLIGLELDPKSLRQTGKKAFFIALAGISFPFVIGIGTSFVLRATISQGVSEAPFLVFMGVALSITAFPVLARILAELKLLTTDVGRMAMSAAAVNDMAAWILLALAIALSSSGRSPLVSLWVLLCGSGFVLGCILILPYIFNWMAQRCPEGEPVNELYVTATLATVLAAGFVTDTIGIHALFGAFVVGVLVPKEGPFAGALVEKVEDLVSGLFLPLYFVSSGLKTNVATISGLKSWGLLVLVIFNACFGKIVGTIVVSLLCKIPLQETLALGFLMNTKGLVELIVLNIGKDRKVLNEQTFAIMVLMAIFTTFITTPLVIAVYKPAKRMGKSDYKQKTIKRENTDTQLRLLVCFHSTRNIPSMLNLIEASRGIGKREGLRLYALHLMELTERPSAILMVHKAKKNGLPFWNKGHHYSNTDQIVIAFEAYEQLSRVSIRPMTTISPFSNIHEDICTSAEEKRTAMIILPFHKHQRVDGTWENTRAEFRLINRRVLEHAPCSVGILVDRGLGGSTHVAASNVASTITMLFFGGHDDQEALAYALRMAEHPGINLTIVRFLFLTRSEDVGEIVSAPIKGNLLELENGPEDEIFLSGVKQKIMNKSSIKFEERSVNNYEGIVEVVKEYGRCNLFLVGRISEGKVVTTLNYVKCVCRELGPVCNMLTFPEFSTSASVLVVQQYHGQRILNRGSSTRVDVYSEEDLENNF >OIW10899 pep chromosome:LupAngTanjil_v1.0:LG06:2159929:2160432:1 gene:TanjilG_27845 transcript:OIW10899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSALSANDPLLANPFSDGFNGSFKPWDCPDIFLAKSTSPKPVTSGTGSDILEQTHSKEKQGSEDSNRGVTIVEERQRRRIISNRESARRSRMRKQRHLENFRNQLNLFRVQNRELKTRLQFLMNHYIRVRTENDRLRSERTLLGQKLSNVNQFMVFQQVQPLSSAW >OIW10512 pep chromosome:LupAngTanjil_v1.0:LG06:5646595:5648400:-1 gene:TanjilG_15884 transcript:OIW10512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYHLLHIVTLLTVIAFGGEIRKTTEACNSDDLQGLIGFKNGIPTDTSGRLAKWIGQNCCEWEGIVCENAYRVIQINLPGLISTDTDFYQTQMVGQLSPSITLLTSLEILDLGGLVGLSGTIPQTLGLQLTKLKKLYLYGNNLTGSIPESIGELQNLQELALHENKLSGPIPSSIGQMQALEKLDLSSNLLSGCIPSSLTNLTAISVLYMNTNYLEGTIPFPSRYGEMPSLAFLRLHDNYLSGTVPLNFGYLVSLQRVSLSNNKLEGPLPSSLGNLLSLSELYLSFNFLSGQIPKSIGQLSQLIMLNISNNLIEGPLPQEMSSLQNLQTLDLSFNPLNLTAIPEWLPNLSSLSMIYFAGCGIEGRIPDFLKTIHSSIQELDLSGNLLSGSIPSWIGSLTQLYLLNLSSNSLDSLIPDSISNLHDLGVLDLHSNRLTGSITGAFGIGQGNFGGSLTYIDLSDNNFSRGVEEIGVGGQFNIQFLNLSHNLLKGTLPSSLGRLSYIQSLDLSYNDLASNLPEVLANLSSLEKLKLQKNHFIGNIPNGFLNLRNLKELNLSDNFLEGEIPDGKPLIDFPGSSYSGNKGLCGKPLSPCKTMISIKL >OIW09609 pep chromosome:LupAngTanjil_v1.0:LG06:22217406:22221685:1 gene:TanjilG_28208 transcript:OIW09609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFLQLFYVASLPVIKVLLVSAIGLFLALDNINLLGEDSRKKVNHIVFYVFNPSLVGGSLASTLTRESIVLLWFMPINILSTFILGSALGWILIKITRPPKHMEGLVLGCCSAGNLGNLLIIIIPAICKEKGSPFGEPDVCNQYGMAYASLSMAIGAIFIWSYVYNIMRISANKVHKEVNTSNDHSILKGSEEISESQQDTLNSAKYDIDDSYTILLSNTECEEKIIGFIIGVIPQIRNLLIGNDAPLHVIEDSATMLGNAAVPTLTLILGANLLKGLRGTSNPPLWTVIGIIVVRYILLPLMGIGVVKGAIYLGLVQPNPLYQFVLLLQYALPPAMNIGTIAQLFGAGESECSVIMLWTYALASVAVTFWSTYFLWLLS >OIW09474 pep chromosome:LupAngTanjil_v1.0:LG06:26053064:26056120:-1 gene:TanjilG_06346 transcript:OIW09474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDLIFAAEPQPPPNPPLISSDLGFDDLTSQFNNIPIPSMDSLFDDNALPTEAFASDLDFAMDFGDNDDFEITFDDVDNLCFPPDDQDFLLPDAYNSFGASISPISDDHSDAVHHNDNDCTYDVVNSKSPESGNSAISGDNIYDISRFLNSQVLDSVSFKEEISPANNSGNQDRLNSVDVKASSLWSPDSEEECSNGPVSSHGSWNDGSGVYEAMNSPSLSFDHYRSISSRAHENDDKGVTKTEEIGRGCDLKRKKELSEESAETKTTQYRRSSSVHVENEIQQCVSAVNVNGINGEEEKRKARLTRNRESAQLSRKRKKHYVEELEEKVKAMNSTIADLSSKISFFMAENATLRQQLGAGGVMYPNPPMAPMPYPWIPCAPYIVKPHGSQVPLVPIPRLRPQNPAAAPKSKKQKPESKKSEAKTKKVASISLLGLFFFIMLFGGLVPLVDVKFGGLVNNLTGRSSYVIDRWVYGQDGGKGKVWPVNGGHRNGSERDEDVRFSDGRFSISDRRNYERQRKLEESHERHDLHLDESVRPGGNASEPLVASLYVPRNDKLVKIDGNLIIHSIMASEKTMASQTAAQVKKVKRETGLAIPNSALAIPEAGRNSPQHPHVYRVSPEQRKALGSGSTKTLKDHMKSSATDDGKMQQWFHEGLAGPMLSSGMCTEVFQFDVSPTPGAIVPATSVVNISSENRRNATTLNKSRNRRILHGLPYPLPGSKLNLTEDRIRKSKDDPLHGNSSSMVVSVLVDPKEAADVNVDGVMTPKSLSRIFVVVLMDSVKYVTYSCGLPRASHHLVAASSSIVF >OIW10867 pep chromosome:LupAngTanjil_v1.0:LG06:2423787:2431708:1 gene:TanjilG_27813 transcript:OIW10867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMYIWFCILLGYLHRSCLSLSLGHNLNRSTGLEDWLGYSKSLVRDNSILHDFVFVGTSPSSYSNNDSVSCEDLEGVGSFNTTCLLSSTHYLNSDVYVYGVGNLEILSHVSLLCPVEGCMITVNVSGNIKLRQNASIIAGSVVLSAANLSMEYSSSINSSSLGGPPPSQTSGTPFGIDGAGGGHGGRGASCLKNNKTNWGGDVYSWSTLSEPWSYGSKGGGKSTQKQYGGNGGGRVKLLVKDTLYVNGSVTAEGGNGGSDGGGGSGGSISVQAIKLKGYGTISAAGGMGWGGGGGGRISLDCYSIQEDLKITAHGGMSIGCPGNSGAAGTYFNANLLSLKVSNDNVTTETETPLLDFSTTPLWSNVYVENHAKVLVPLVWSRVQVRGQISVYCGGSLIFGLSDYPISEFELVAEELLLSDSIIEVFGALRVSVKMLLMWNSSIQIDGGESTVVTASILEIRNLAVLRQNSIITSNTNLGLYGQGLLQLTGDGDAIQGQRLSLSLFYNITVGSGSLLQAPLDDDASRGTVTKHLCDTQRCPIDLLTPPDDCHVNYTLSFSLQICRVEDLLVNGVMKGSIIHIHRARTVIVDTDGMITASELGCTEGIGKGNFLNGSGGGAGHGGKGGSGYFNGIKSIGGNQYGNAILPCELGSGTEGPNESHRHVLGGGMIVLGSIQWPLLRLDLYGSMRADGQSFNKAISSNDGSMVGGPGGGSGGTVLLFLQELRLLENSSLSVIGGNGGSLGGGGGGGGRIHFHWSKIGMGEEYVPIASINGTLDNSSQTGNARLTNGYCLNEKLEC >OIW10132 pep chromosome:LupAngTanjil_v1.0:LG06:9542155:9551894:-1 gene:TanjilG_21969 transcript:OIW10132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQPTNIWVLLGLGLAGIILISRKLKKTIKLDFGAFIHKLQLLPPPQPAPPKAPHPLTSLTFAISDLFNIEGHVSTFGHPEWEKTHEPASSTSPVVSALVEGGATCVGTTVVDELAYGIGGENKHYGTPTNPAVPARVPGGSSSGAAVAVAANLVDFSLGIDTVGGVRVPAGFSGILGFRPSYGAVSHNGIIPVSTSLDTVGWFAKDPNILRRVGHILIQAKFVIQRNPRQIIIADDCFQHLNVPLDRSSELVIKATEKLFGRQVLKHINLEDYISSKVPSLKGSSGQKTNGELKSSLKSLANIMQYLQRHEFKLSHDEWLNIVKPDLHAAVSSQLHEKLEVSDVEIENSKSVRSEMRAAINSLLKDEGILVIPTVADPPPKLGRKEIVSEDYQSRAFSLLSIASISGCCQVTIPLGFYDKYPVSVSLIARHGGDRFLLDTLQTMYTTLQEQADIAANTKSSKSVVSKEESAEIAKEKGNQAYKDKQWQKAIGYYTDAIKLNGNNATYYSNRAQAYLELGSYVPAEVDCTKAIDLDKKNVKAYFRRGTAREMLGYYKEAIDGRGRGIKEMGGKILMENIIGLLRIRIKRGINLVVRDVNKSDPYVVVRMGKQKLKTRMIKKNVNPEWNEDLTLSVTYPLSPFLLTVYDHDTFSKDDKMGYAECDITPFIEALKTKTQDLQNGTIISIIHPTRNNCLAEDSCIVYNDGKFVQDIVLRLQNVKRGEVEIQLQWIDLPGSKGL >OIW10718 pep chromosome:LupAngTanjil_v1.0:LG06:3751947:3766070:-1 gene:TanjilG_16090 transcript:OIW10718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKFSGAYKQCKPCTGSSSTYKKGQRPYPDFDTISEGVPYPYIGGASSSSTPAWDFTSSNHPGGKYIGGFSGDRKRDSAASLSVCDVVLEDEGEAKEWMAQVEPGVHITFVSLPNGGNDLKRIRFSREMFNKWEAQKWWGENYDRIMELYNVQRFNRQALNTPSRSEDEPRDSTYSRLTSARESPMNSSLNRDWTPRSHCKPSAIRGYNPTEPCSQSGGAEFHAGSSMEASRTTTSSRDEPSISNASEVEAEWIEQDQPGVYITIRQLGDGTRELRRVRFSDSKHEAFVCTYFKQFAASMHENTTNLFSPSSSNVSSGGFGLEPQTIGANVAALNFSSDGVTVVKAIIKKFMEDLG >OIW09472 pep chromosome:LupAngTanjil_v1.0:LG06:25985801:25986538:1 gene:TanjilG_06344 transcript:OIW09472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNNVITANPENVEHILKTKFENYPKAELFISLLLDFLGQGIFNSDGDLWKVQRKTASYEFNTKSLRNFIMENVMVELQMRLLPIFSGASETDKILDLQDILERFAFENICKLAFNVDPGCLSGDATTGTEFMAAFEDAPMLSSQRFMSALPIIWKVKKLFNIGSERRLGESISIVNKFADEIIQSKMEAKD >OIW09865 pep chromosome:LupAngTanjil_v1.0:LG06:13238990:13241005:1 gene:TanjilG_15347 transcript:OIW09865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSGTQQKCKACDKVVHFVESVSADGISYHKNCFRCSHCNGLLAMSNYSSLDGTLYCKPHFEQVYKETGIKKPQSSAKPPKELNKTASKLSAFFSGTQEKCSTCKKTVYPLEKLTVEGEFYHKSCFRCTHGGCFLTPSTYAALDGFLYCKPHFSQLFKEKGSYSYLSKTASMKKTEQQQAETASDSEPKETTNDEPEAVVTQEQ >OIW09157 pep chromosome:LupAngTanjil_v1.0:LG06:30494778:30496322:1 gene:TanjilG_11295 transcript:OIW09157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RKRPSRISELESQISQLKVDLKNLRNHLSLSESCKKQHQHDAEVYKEQLLAISVKLEDSKHQPLKLNASEEACVIEPKKIAEENDELWQWQTINSIVLNSVIHEIQKLKVKVAESLDLELVNLKQNLEESLYLMENMQNNFMDCHESFQAQDMVNETLKQLEAAKKTVENLRANAAKSVHGYNDTALELEHSRARVNSLEGLARKLVASLISIKCSHCANLAGDFNFENEAERLKNGNDPNEIEAELHSLESVIEATETKYQEEEFLSTVMISNAYELVDMIKSESSQREFELEADIEDLKVSLMDKENVLQSVKKENERLNLKLEKCMSMSSQLEHEFRRELKTLYECVAELKEDLMDKETTLQSISEENEMLKMEINKKLSEGVSSELEAVKTVDRDAVTKHGIVMDKTHRRNHKELRVAEKLEIVQAVNSEIEAELKRVKIQSDQWRKAAEAAMSMLSVGNNGKMSKRSLSFNNNYSISTTCNENIENDFQRKKNGNMLKKIGVLCKMPQK >OIW09948 pep chromosome:LupAngTanjil_v1.0:LG06:12855941:12860701:-1 gene:TanjilG_32097 transcript:OIW09948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAVELALHVDVAVEPKLMGSEGFSRSGGVEHQNDSVSLMVTHSSSIDNENINVVVPDVANMETDALFYPHNVFLETQLCNSTSQLPHLKGEELPKNMPAGNEKNCLVISSTLEGVPLHRKAAKVNKSSSSCSKRARVSQSEDSANTNGIDESNPEKSQLQKQKCNASKRADKRNFKVPSAKSKFESSSMKMGPSGCSSASGGNNVFGLYGLKHDFRDVTKLMDEPSLDELLKGTFAHPNLGKEKVKRTSSLNETFMNSVRKACSILQSPKSVHSQNTAEIDCSSNKMSPCELSSVCAVESAGNGEKDQSCTKDMSSCLKDPCSETESIASPLDSPLSQPKDVLEQIAIHPFQDLDSLLLDVSKLAITTKSTNDLRSGKQASRLPSLPSFPWLHAFGGHSRTNSDTVKLSTSRSACQGKWARIGVITNFTDIDRSSFINLDSFSYDTSLVPSSGSSGNKLLPPLFPNLSSFQWDSSSPVTCKDSQFNAELGGQVDTKENDGRCPRVIAAARTLYELATQSPRQNSFGVLRWERKTSHKAMKTCNLKSNEKLEEKPSTPISVIGSDVVSRSVGQTMPSKKPRLTIVENKNSSHSNNFKKGLCSWSTSKSSRSSPNKPVRVSTVEGKRTSTTTSVLKQHCMMPPPARDLGKAAYVGQQQVGKLVLTDWKRGRDK >OIW09747 pep chromosome:LupAngTanjil_v1.0:LG06:16766908:16768934:-1 gene:TanjilG_14270 transcript:OIW09747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASLQAAATFMQPTKFGRSNTLQLKSNHLVSKAFGESSGTKVTCSLQADLKDLAQKCVEATKIAGFALATSALVVSGASAEGVPKRLTYDEIQSKTYLEVKGTGTANQCPTIDGGADSFSFPSGKYTAKKFCLEPTSFTVKAEGVTKNAPLEFQNTKLMTRLTYTLDEIEGPFEVSPDGTVKFEEKDGIDYAAVTVQLPGGERVPFLFTIKQLVASGKPESFSGDFLVPSYRGSSFLDPKGRGASTGYDNAVALPAGGRGDEEELGKENNKSAASSKGKITLSVTKSKPETGEVIGVFESLQPSDTDLGAKAPKDVKIQGVWYAQLDS >OIW09586 pep chromosome:LupAngTanjil_v1.0:LG06:22548263:22553793:1 gene:TanjilG_28185 transcript:OIW09586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKFSKQFEGQLIPEWKEAFVDYWQLKKDLKRLIHIHRKLASATSKEDMYETEVLDQFGDNDVTKELFACLDQQLNKVNKFYRTKENEFTERGESLKKQMDILHELKFAFMEKHGRGNSFNDTKENQSISFTFSSEEDSVRRILQTEEDSVRSREMKEEMQDNCTDNLEQNEVPFSDSPRPDETIKSMQTKGEDWNLRTPSCRIVNYQGKNVRINIPLTTLSRAFSAISYLVKEDLLNQSSRKCGPESGKLHLNKAKLHHAEKIIKGGFVELYKGLGYLKVYRNLNLLAFIKILKKFDKITEKHILPIYLKVVESSYFNTSDKVMKLTEEIEELFVKKFAQHDHRKAMIYLKPSQRKESHAVTFFIGVFSGCFLALLAGYVIMAHVTGLYKRQRKSVYMETVYPVLSMFSLIFLHLFLYGCNIFAWRKTRINYSFIFELALTKELKYRDVFLICATAMAAVVGVMFGHLTLLTKGYSYAQVQAIPGLLFLAFLLILVCPFNTIYRSSRYRFLRVMRNIILSPLYKVVMLDFFMADQLCSQVPMLRNIEYVICYYITSSYKTQDYGYCMRTKHYRDLAYAVSFLPYYWRTLQCARRWFDEGDTGHLFNLGKYVSAILAAGARMAYEKDGSFGWLCVVVIMSIAATVYQLYWDFVKDWGLLQMNSKNPWLRDELMLRGKTIYYFSMGLNLILRLAWLQTVLHSSFENVDYRVTSLFLAVVEVIRRGLWNFYRLENEHLNNAGKFRAIKTVPLPFHQVDEED >OIW10605 pep chromosome:LupAngTanjil_v1.0:LG06:4821562:4823605:1 gene:TanjilG_15977 transcript:OIW10605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADCLDPDTSNLLCSEDNSTCFDDVLEYNDVAGFGISTFWAHTNLNSVNQCHSFVFQSEETVRVMIEREREHLPRDDYLKRLRSGDLDISVRREAIDWICKSHAYFGFGYLTFCLTVNYFDRFLSVYELPRDKSWTGQLLAVACLSIAVKMDEIKVPQSLDLQVGEPKFFFEGKTIQRMELLVLGTLRWKMQALTPCSFLEYFLGKITCEQQQAEPYISKSIQLILSTIKGIDFLEFKPSEIAAAVAIYVSKEIDAKDINNGIACSSIVEEERVLKCVELIKDMNVSANLGNNSSALFVPQSPVGVLDTGYLSFKSDELTVGSCPNTSYNSSNTKMCNKSDGPSTNGAFTSDEI >OIW10095 pep chromosome:LupAngTanjil_v1.0:LG06:9924057:9927253:1 gene:TanjilG_21932 transcript:OIW10095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSRSYSNLLELASGLSPSFEHMNRRIPRIMTVAGLISEVDDDDHVESVCSDPSSSSVQRERIIIVANQLPIRAQRKQDGNKNWSFSWDENSLLLQLKDGLGDDDTEVIYVGCLKEDVHPNEQEEVSQILLETFKCVPTFLPPDLFTRYYHGFCKQQLWPLFHYMLPLSPELGGRFNRSLWQAYVSVNKIFADRIMEVINPEDDYVWIHDYHLMVLPTFLRKRFNRVKLGFFLHSPFPSSEIYKTLPIREELLRALLNSDLIGFHTFDYARHFLSCCSRMLGLTYESKRGYIGLEYYGRTVSIKILPVGIHMGQLQSVLSMPKTEEKVCELVKQFSDQGKIMLLGVDDMDIFKGISLKLLAMEQLLIQHPECQGKVVLVQIANPARGKGKDVKEVQAETKATVKRINEKFGKPGYDPVILIEEPLRFYERVAYYVVAECCLVTAVRDGMNLIPYEYIISRQGNERLDKVLELGSSHKKSMLVVSEFIGCSPSLSGAIRVNPWNIDAVADAMDSALEMVDSEKQLRHEKHYRYVSTHDVGYWARSFLQDLERTCRDHVRRRWWGIGFGLSFRVVALDPNFRKLSMEHIVSAYKRTKTRAILLDYDGTLMPQGCIDKSPTSDCIKMLNFLCRDKNNMVFLVSARSRKTLTEWFSPCEKLGVAAEHGYFLRLRRDTEWETCGPATDCSWKQIAEPVMKLYTETTDGSTIEDKETSLVWCYEDADPDFGSCQAKELLDHLESVLANEPVTVKSGQNIVEVKPQGVSKGLVAKRLLSTMQEKEMSPDFVLCVGDDRSDEDMFEAITSCMAEPSVAPRAEVFACTVGRKPSKAKYYLDDTAEIVRLVQGLASVSEQTVLF >OIW09123 pep chromosome:LupAngTanjil_v1.0:LG06:30154615:30156645:1 gene:TanjilG_11261 transcript:OIW09123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEQKRPLKSKGFYVRMKLLHHKQEKKSCFYTYYKFLPCLSFFLYFISSYFITTNNPQTKPNTHFISHNLLQTTNTTTSYQNTLHNNIQNYKIFVYDLPSNYNTDWLSNSRCSTHLFASEVAIHRALLTSQVRTFDPYEADFFFVPVYVSCNFSTINGFPSIIHARSLIASAIQFISTQHQFWNRSKGFDHVFVASHDFGACFHTLEDVAMDQGIPEMLKNSIVLQTFGVEYKHPCQEVENVVIPPYISPESVRNILEKAPVNGRRDIWAFFRGKMEIHPKNISGRFYSKRVRSVIWRKFSGDRRFYIHRHRFSGYQSEIARSVFCLCPLGWAPWSPRIVESVALGCVPVIIADGIKLPFPSAVRWAEISVRVAEKDVGRLSRILERVAATNLSDIQRNLWDPKTRHALLYNEEIQEGDATWQILVSLSKKLGRSHRKSRMVSGELRSDT >OIW10199 pep chromosome:LupAngTanjil_v1.0:LG06:7544028:7544957:1 gene:TanjilG_27950 transcript:OIW10199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTNSNTKLILLHPSLHKQPLPTLLPSHHRLCLLFFLTFFTLIFTFTLLTTTTTTITTTPTSTTATSPLPPSVAKALLHYASIPNTTTKPMTPNELNAITTTLLQIPQPNLLIFGLTHESLLWHAVNHNGRIVFLDENEYHIANFEKSNPGIEAYDVQFTTKVRDYPNLLSHAKSQSKGDCKPVQNLLFSECKLGINDLPNHIYQVPWDVILVDGPKGYFPAAPGRMSAIFTAAVLAKSKKAGTGGRTMTHVFVHDIGREVESVFSNEFLCEENLVQKVDLLGHFVIENEAENGTSSEFCRNSSSMLPS >OIW09731 pep chromosome:LupAngTanjil_v1.0:LG06:18240587:18241642:1 gene:TanjilG_09404 transcript:OIW09731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTTPSITLHTFFFIFYSVTSFTITITLPTAQRFKEAPKFYNSPTCATITHHPNDTCSANRVHVAMTLDVTYLRGSMAAILSVLQHSSCPENIIFHFVSAASKPSSATVLNHTLDASFPYLKFQIYPFNDGAVAGLISTSIRSALDCPLNYARSYLANLLPLCVTKIVYLDSDLVLVDDIAKLAATPLGSDTVLAAPEYCTANFSAYFTPSFWSNPSLSATFAGRRPCYFNTGVMVIDLRLWRAGDYTMRIQEWMELQKRMRIYELGSLPPFLLVFAGRIVPVDHRWNQHGLGGDNFRGLCRDLHPGPVSLLHWSGKGKPWARLDANRPCLLDALWAPYDLLHTPFALEA >OIW09925 pep chromosome:LupAngTanjil_v1.0:LG06:12441697:12448389:-1 gene:TanjilG_32074 transcript:OIW09925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEPLKEDAGAAFVLESRGKWWHAGFHLTTAIVGPTILTLPFAFRGLGWVLGFFSLTAMGVVTFYSYYLMSRVLEHCERSGRRYLRFRDLAANILGSGWIFYFVIFIQTMINIGISIGTILFAGECLQLLYSNISPHGSLKLYQFIAMVTVVMMILSQLPTFHSLRHLNFLALLLSLGYTMLLVGACVYAGLSKNAPPKDYSLESKDSARVFSAFTAISIIASIYGNGILPEIQATLAPPATGKMVKGLALCYAVIFVTFYSAAISGYWAFGNNSNSIVLMNLLPDEGPALAPTWVIGLAAIFILLQLFAIGQVYSQVAYEVMEKKSADVKQGLFSKRNLIPRIILRSIYMAICGFMAAMLPFFGDINAIVGAIGFIPLDFILPMLMYNTTYKPSKTSLIYWVNMLIMVVFTGTGMLGAFSAIRKLVLDAGKFKLFSDNVVG >OIW09046 pep chromosome:LupAngTanjil_v1.0:LG06:31118146:31118441:-1 gene:TanjilG_16273 transcript:OIW09046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEPPFRPREKILEKQKVYQSIPKHTYLKGPYDKITSVAIPIALAATSLYMIGRGIYNMSHGIGKKE >OIW10242 pep chromosome:LupAngTanjil_v1.0:LG06:8055593:8056318:-1 gene:TanjilG_27993 transcript:OIW10242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGGLFVGDSWDRKLKRKIEELCTMDKDSEELSLSLSLGSNNMVHESSKRKVEESSHFDLKLVENSNNKELIKANEQQFSCKFCCKKFPNSQALGGHQNAHKRERNLFKMNRELSMGTFEAGFDPYPYPSMTNYHHFPDSHPYRAHMHPMAHMSLVHWRRFKVGYGNQVMYNMPFLGHQFGMTFDPSTTSVEPPHRLTHKDAYFGYDLPQISPLGEDIINRSITTHNDLGGLQGNHYIRNQ >OIW09019 pep chromosome:LupAngTanjil_v1.0:LG06:31722548:31724298:-1 gene:TanjilG_05995 transcript:OIW09019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFQICMDGHLSSNIDGNSLTAAAFIGHDGSAWGESSKFPKFKAEEIEAIMKGFDEPNILATNGLYLGGTKYNVIQGENGAVINAKQGRSGISVKKTNKAVVIGIFEEPMAPEECSKIVDKLGDYLIDQGF >OIW10866 pep chromosome:LupAngTanjil_v1.0:LG06:2434647:2444135:1 gene:TanjilG_27812 transcript:OIW10866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILNSGGAGSDGGNHGEDGTITGKACPKGLYGIFCEECPVGTYKDVDGSDAHLCTPCPLDLLPNRANFIYKRGGVTERACPYKCISDKYRTPNCYTPLEELIYTFWGPWPFSVMLSFILLLLALLLSTLRIKLIGSSSYHRSNSIEHHNHHQFPYLLSLSEVRGGRAEETQSHVHRMYFMGPNTFREPWHLPYSPPHAVIEIVYEDAFNRFIDEINSVAAYDWWEGSVHSILLVIAYPCAWSWKHWRQRVKISRLQEYVKSEYDHSCLRSCRSRALYKGMKVGATPDLMLAYIDFFLGGDEKRLDIVSIIQKRFPMCIIFGGDGSYMAPYNLHNDTLLTNLLGQHVPATVWNRLVDGLNAQLRTVRHGSIRSALLPIVDWINSHANPQLEFHGVKIELGWFQATASGYYQLGIVVAVGDYSLHDLHQSDAWVGNDEAMRRNVGHGRKNLKQLQHSWQCMSNSLSLKRITGVINGGLINDATLSSLDYKVDFLYPLTLLLCNTRPVGRQDTVQLLITLMLLGDLSVTLLMLLQFYWISLAAFLSVLLILPLSLLSPFPAGLNALFSKEPRRAPLSRVYALWNASSLSNIGVAFICCLINYVLSHFHHPAEPSTQNAKREDDKCWLLPIILFLFKSIQARFINWHIANLEVEDFSLFCPDPDAFWAHESGL >OIW10045 pep chromosome:LupAngTanjil_v1.0:LG06:10487108:10487341:1 gene:TanjilG_32785 transcript:OIW10045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPVAFVLRSFTKQERGELNFTLQHGLEAIRILLLEGFDKSATYVNSAKKI >OIW09791 pep chromosome:LupAngTanjil_v1.0:LG06:15205949:15206431:1 gene:TanjilG_32229 transcript:OIW09791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKVSSSLALFLTLNILFFTLVSSCGTCDQPKPKPKPYPGSGGSGGSGGHKGGSGGSGGSGGSGGSGGSGGSSASCPRDALKLGVCANVLNGLLNVTLGQPPVTPCCTLIQGLADVEAAVCLCTALKANILGINLNLPISLSLLLNVCSKQAPKNFQCA >OIW11085 pep chromosome:LupAngTanjil_v1.0:LG06:1825305:1827950:-1 gene:TanjilG_22892 transcript:OIW11085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVISNDNISNSINPTTSSFQGTIFMELSQFLRFNSMPRLMEYNMGTFVFVFVLDPMGTRKIGQNNRRALGIINQNMAVEGRYPCVVNKRGFSEKYEVCEKKQADPVHRPITRRFAAQVASTKQTRAEFIFIDDDEPKSTEDQSVPMSLEQTEVLHNEPDQMEEVEMEDIDEEPLLDIDSSDANNPLAVVDYIEDLYAHYRKIEGTSCVSPNYMAEQFDINERMRAILIDWLIEVHDKFDLLHETLFLTVNLIDRFLEKQTVVRKKLQLVGLVALLLACKYEEVSVPVVGDLILISDKAYSRKEVLEMEKLMVNTLQFNMSVPTSYVFIKRFLKAAQADKKVELLAFFLVELSLVEYEMLKFPPSLLAAAAVYTAQCTVYSVKQWSKTCEWHSNYSEDQLLECSSLMVDFHKKAGTGKLTGVYRKYCSSKFIYAAKYEPASFLLENNTL >OIW09493 pep chromosome:LupAngTanjil_v1.0:LG06:25347539:25347850:1 gene:TanjilG_22242 transcript:OIW09493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMCSRWWQDGASGGWMMHPLLLTSSSSLIGHSGSCFGRERRQRQWEVLDSRIRSGFEDEVCPSSPLPLLFPSSAPSLAAVIYVCRRGGDDGQGHPRLKLSRL >OIW10220 pep chromosome:LupAngTanjil_v1.0:LG06:7806469:7809147:-1 gene:TanjilG_27971 transcript:OIW10220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNTQGSSFLEGYKQFWSQRFSFLSNYSQFVKRDQPIRSWSSSDVEEFIASDPVHGPALRTAREAVQFGLAGSALGAVYTAGFAWKYSKSLHGAALSLVAGGVFGWTFGHEVANHALQLYRVDTLAAEVKFLEWWKTKNEGY >OIW10383 pep chromosome:LupAngTanjil_v1.0:LG06:6939162:6942767:1 gene:TanjilG_05531 transcript:OIW10383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEEPCGGDYLLLKPEEASFMDLWHLLFSPVLNNRKFIECPQKKEANEFRERWLLFISVVVQNILFRSRKFMKQMGDMLELWLNRLSSNGGLIRLFINNLKGKMITPEKSSGTFISVVGNLDTRLDLDKSIRKGDAKYKALLSMMASKFSYENETFVSNSVKNHWHMDFLGLYSFWNDNQKLPSTKAIIVQDKNSKPNLIVVAFRGTQPFDADQWRTNIDISWYEQPNVGKIHHGFMCALGLQKNKGWPKEIEQNGTQNRYAYYTIREKLKAMLNANKGAKFIVTGHSLGGALAILFASVLILHEETWLLEKLEGVYTFGQPRVGDEKFGEYMKDKLRKYDVRYMRYVYCNDMVPRVPYDDKTLFFKHFGDSIYFNSLYQGQVLEEEPNKNYFSLFWVIPKILNAIWEIIRGFLIPLVIGKDYKETWFMVMFRVFGLIIPGLPAHLPTDYINVTRLGSLTKFLELQSPQDSKAD >OIW10167 pep chromosome:LupAngTanjil_v1.0:LG06:7269960:7272369:1 gene:TanjilG_27918 transcript:OIW10167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVPAELSLDLRPTFVPKTITDFLSTLPNSSSNKLSLLNDFIARLEDEFKKIDAFKRELPLSMHLLNDAISILKEESEKCLSHKFQPVLEEFIPLKKECDEEEENNNKDKECRDKKNWMSSVQLWNTTTTTTNNVYDQKHHKLDNKKNEDERQYMVEDPFQPSSSRNGGGRGFLPFSSYTSIPVTTMVLPPTKEEKEDTAMNKLSLLTPPPPVVKILREGCSSSGSRTNSNRALSSSPPMVQPSLRTGSLQQQQQLASRKQRRCWSPELHRRFVNALQKLGGSQVATPKQIRELMQVDGLTNDEVKSHLQKYRLHTRRVPSSNTKQPVVVLGGLWMSQDQYNDSSKGSSSVSGSPQSPLQLATGSRGGTDSLEDDEDAKSESHSWKIHIHNNTGKVGV >OIW10987 pep chromosome:LupAngTanjil_v1.0:LG06:1111596:1112708:-1 gene:TanjilG_22794 transcript:OIW10987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSVNHVTWASPCSVFHPHHPVTRFRSQNFNPFLHVPISSATFKETKKPLSFTVSAVVTNQNTVTVEESEKITTFNFNAYMLEKADIINKALDASIALKEPVTIQEAMRYSLLAGGKRVRPMLCIAACELVGGRQEMGIPAACAVEMIHTMSLIHDDLPCMDNDDLRRGKPTNHKAFGVDMAVLAGDALLALAFEHVAAATKGVSPDRVVRAIAELAKSVGGEGLVAGQIMDIHSEGLSVSEVKLERLEFIHIHKTAVLLEAAVVLGAITGGGSDEEIERLRKYARCIGLLFQVVDDILDVTKSSQELGKTAGKDLVADKVTYPKLLGIQKSKDFAAKLIEDAHEQLSAFDPDKAAPLYALANYIAYRQN >OIW09991 pep chromosome:LupAngTanjil_v1.0:LG06:11149180:11149566:-1 gene:TanjilG_32731 transcript:OIW09991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNVIFRVSETNNNTVVMSLPSSNHSIDLGSFDLDEALAVAGESCRQLAVSKTIVLNLPAAEEVMTEEDVCSICMEGFRSNNTNEGNKKVPCGHVYHSNCITLWLCYSNSCPICRSHIYPSPSSSIPV >OIW10198 pep chromosome:LupAngTanjil_v1.0:LG06:7541060:7541374:-1 gene:TanjilG_27949 transcript:OIW10198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIYHTCRYIIMGFIFSILPYKLTYLIDEVHPPNATTKQKHARHSYNKPGQMPKLSCLGLAIIDKEYATNSHPHMKHILKDKIDREDNDSRLHLHQDHPSKVHNK >OIW09362 pep chromosome:LupAngTanjil_v1.0:LG06:29757429:29758862:-1 gene:TanjilG_01333 transcript:OIW09362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTFLPRLRCVSYLYSVPKLSINVKQIHAQLITNGLKSHTLFAKLIEHYCASPYQQITNNNAHLVFQYFDKQDLFLFNTLIRCLQPNDSIAVFRNEFSRGVMEFDDYTYNFVLGAGARSPSPSMLWVGRQLHALIVKHGIESNIMVQTTKIHFYAHNKDIISARRVFDEMIERTSITWNAMIAGYCSVKEGSEEYAREALTLFHDMLVDPSGVKPTGTTIVCALSAASQMGMLETGACIHGFKEKTVCTPKDDVFIGTGLVDMYSKCGCLESALSVFWRMNQKNVLTWTAMATGLAIHGKGRQALEVLYQMETYGVKPNETTFTSLLSSCCHVGLVEEGLQLFYDIKSKFGLTPQIQHYGCIVDLLGRAGQLKEAYDFIMEMPISPDAVIWRSLLGACKIHGDVVMGEKVGRFLLQLQELSCAESAPKSEDYVALSNVYASAERWNDVQTIRKKMKARSASNKAGSSYVQTVSMVAL >OIW11069 pep chromosome:LupAngTanjil_v1.0:LG06:1742334:1748766:1 gene:TanjilG_22876 transcript:OIW11069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIVDILRSSPDPTMDRCFRFFPCLADPGRRSSLCLKLVLVTIHVVYLVILFLFDGDLIEKTKKEPWYTALYLLLFGVTLIQYFATSISSPGYALDAMRAVNERNAIYRQTSETSNQSASSRNGSFIVTVEGNQTERNVSGSKATAWSKLVADLYSGSSISRTWTCTYCNVEQPPRAKHCHDCDKCVLQFDHHCVWLGNCIGQGNHCLFWWYLCEETALCLWTGVLYISYLKAHITLVWWKDAIMILLLITLAISLIFLLLLLLFHSYLILTNQTTYELVRRRRITYLRGLPERVHPFSKGVCRNVYNFCCLRSSIYNSLEPIPSPEEIKEKSRPYSCLAVVTCRCC >OIW09227 pep chromosome:LupAngTanjil_v1.0:LG06:30977394:30977957:-1 gene:TanjilG_11365 transcript:OIW09227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDGSKSLAKILAKWKEYNAQLDSNNDGDKPIRKVPAKGSRKGCMKGKGGPENLRCNYRGVRQRTWGKWVAEIREPNRGNRLWLGTFPSATGAALAYDEAARAMYGSCARLNFPNVSVSSFSEEFPNDSLGENRSGSSMAVLANTESAVSPNTEEDIDMEHISLSLTIKHDNGEGESGSSSSPPSSS >OIW10458 pep chromosome:LupAngTanjil_v1.0:LG06:5877717:5878470:1 gene:TanjilG_00396 transcript:OIW10458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLFAAQLEPYWCRVSRLYQPLLNVNDKYKKRPTLNLTEGFIFYTKVLSRTIDSNSHAKLTTLLHYSEDIGCKSFFQEEGLDFLHSHISHPNLPSKLREEIVKEAIYKVRQMFEFDDNFGSDHGYGVEELSDSRPLQYCLVLEIVVDTREDVNN >OIW09281 pep chromosome:LupAngTanjil_v1.0:LG06:29028524:29030605:1 gene:TanjilG_01252 transcript:OIW09281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEHQMVNGARGEYFRDQEVRLGFNLRILESDLQAALTSLKKKEEHLQEVERMVLLENNKLNLTKEELARQESKIASTRSKYEKLEEEMNEASVNLVSQGSQMEEVKLRLREQDQEIATFRIAITLKEEEMEKMKIDLEKKSQEAAYVNSELNDKARLLNEANEVMNKQEVELQELRKVVEEKEEQLHASLAQRKLEEEKMKDAEGMLEKQVMEWLLAQEELKRLRDDASRHTHESNETLEDFGRVKALLNDVRSELVSSQQSLASSRNRIEEQERLLEEQLAELVEQRESVMSYTESLKNAQIEMESERVKLRIAEARNEDLEWALSMENALVKELQEELKKERTSLQQAVQELSLLKEELEKKSAEYGELGEVLRVKESQLVDAKLQIQHLKSEKAYLQVMLEEKDLELSDARKMLVELNHEIYDLKMLMNNKETQLIDATNMLKEKDEHVKIIESKLNDTNLKAFEAETAVEWILELTNKLVSSIKDEEFNSLRPKVETGNDLLEQLMEEPTNEMTWLQKRLENELELTKENLKTKEMEVLAAHRAITIKDEELKATRERLDAKDEELKKARANLTDVTNLKRLHSSVRERINEKSIGELDVEKLELEVAQLEAEAATSALHKLAEMSIQLFNKAIQSVEANNYINIMPNNVNNTNLASNINFTMIKTGVVRISALTEQLVREAGIVVVN >OIW10280 pep chromosome:LupAngTanjil_v1.0:LG06:8363693:8367312:-1 gene:TanjilG_28031 transcript:OIW10280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATIYSACSGTAFLSPTIFHNKGFSFKAKVPPLHLKKPIHAKSLTSFETDISLPPAVQTFWQWLQKEGVVSNKTPVKPSVVPEGLGLVAVKDVSRNEVVLQVPKRLWINPDAVAASEIGSLCSGLKPWLSVALFLIRERSRDDSVWKHYFGVLPQETDSTIYWSEEELLELQGTQLLNTTLSVKEYLKNEFLRLENEIILSNKKLFPTPITLDDFSWAFGILRSRAFSRLRNENLVIIPLADFINHSARVTTEDHAYEIKGAAGLFSRDYLFSLRSPLSLKAGDQVYIQYDLNKSNAELALDYGFIEPNADRSAYTLTLQISESDPFFDDKLDIAESNGFGETAYFDIFFNRPLPPGLLTYLRLVAVGGTDAFLLESLFRNSIWGHLELPVSRDNEELICRVVREACKSALSGYHTTIEEDNKLKETNLDSRLAIAVGVREGEKKVLQQIDEIFKEKELELDQLAYYQERRLKDLGLCGEAGEIIGGDLEKFF >OIW09593 pep chromosome:LupAngTanjil_v1.0:LG06:22460317:22460739:-1 gene:TanjilG_28192 transcript:OIW09593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERIRELNGSDVKFLMHKKLCSSDLSKNNNRLSMPKSKIECEFLTEDEHEKLNERKEDSRRGLVGMEITVIDPYLREYKITFKKWEMKKNPEDDDMKGVIYNLVTNWHNMVNDNEFQINQQLDIWSFRVDAKLYLLLNHV >OIW09754 pep chromosome:LupAngTanjil_v1.0:LG06:17154094:17157879:-1 gene:TanjilG_14277 transcript:OIW09754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSKLYNEVFPFIVIIATEAILVGVNVIYKAATLKGLSYYALIVYSYSISSIILLFPLCYVLKRSRGLPPFKASIFFKILVIGVLGFAAELCGVQGMQYASPTLSSTLSILVPILTFILSVLFRMEKLALRSKSTQAKIFGTVLSIIGALFVVLYKGHIIFSNSNSLQLPTTDSPISLSSPRNWVIGGSLLVVQYLLLSIILIIQGILNTCLSTLVYAWAVRLKGALYVSIFKPASIVIAATLSVIFLGDSLYVGTVFGAMILSFGLYAVIWGKAKEEELSEEIDEGRTDHLPNSKTPLLQGSKVKDNSEIMYTNCP >OIW09324 pep chromosome:LupAngTanjil_v1.0:LG06:29531509:29533889:-1 gene:TanjilG_01295 transcript:OIW09324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERFLYLELFATSVALAAFSVLFLILLPKLRPRFRNSNSNRNNNNSKLPSVPVVPGVPVLGNLLQLKEKKPYKTFTNMAQNYGPIYSIRTGASTLIVLNSTLLAKEAMVTRYPSISTRKLSNALNILTYDKCMVAMSDYNEFHKSVKRHILANVLGPNAQKRHRLHRETMMENIVTKFHEHVKTTYDLAVNFRKIFVSELFGLALKQAVGSNVESIYVEELGSTISKEDLHKILVVDPMEGAIEVDWRDFFPYLKWIPNRSVEMKVQKMHFHRTAVMNALLKEQKKRVASGEAVNCYFDHLLSEAKELTEEQLAMLIWETIIETSDTTLVTTEWAIYELAKDKTRQDRLYEELRKVCGPEKITEDQLAKLLYLGAVFHETLRKYSPAPIVPLRYVHEDTQLGGYHIPAGSEIAINIYGCNMDKERWEKPHEWMPERFLDERYDPNDLYKTMAFGAGKRVCAGSLQAMLIACTAIGRLVQEFEWELEQGEEDNVDTMGLTTHKLHPLLVKIKPRIQ >OIW10921 pep chromosome:LupAngTanjil_v1.0:LG06:1978368:1978559:-1 gene:TanjilG_27867 transcript:OIW10921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSQEIKIKIKKIQVPPQMKSQEIHHFPCINYKVIQRLKIKRVVLLINGQNLFLGSWDSLLVP >OIW09639 pep chromosome:LupAngTanjil_v1.0:LG06:21747160:21751787:-1 gene:TanjilG_28238 transcript:OIW09639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDAAKLPLPEAFLNFLHSNGIDPSIYTAIHSTPRFIRLKPGFEDYIEEFEAEMKCKVEKLEWLEGFYSLSHDIQIAGSRAYQEGKIYGIDASSGAAVTALHISPGDHVLDLCAAPGAKLCMILDLVGDSGSVTGVDVARHRLAACRTMVQKYALGDRCRLFVADGTTFSVIPVGFHSDCESKSEESVDVFKEWTSRRPWKERKRASRCGTPQLVSRDQPPELIYYGRHSGVVGLPKGELFKTASDDEIASLGYDKVLVDAECTHDGSVKHIQKFEHWGWRTLERRLNLLTNGFRLLKVGGSLVYSTCSLTVAQNEDVLEQFLKENKTAELVEIDAARNWPCKGGRIPKTWRFDPLASQTSGLFVARFTKVVI >OIW10623 pep chromosome:LupAngTanjil_v1.0:LG06:4667554:4670925:1 gene:TanjilG_15995 transcript:OIW10623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEANMKGEENLGAVVAHVLAFPCPAQGHVNSMLKVAALLALYDVRVTFLNTDYVHKRLARFGDIDTLVACYPTIQFKTISDCFTEEEHPGIGDRIGDVLNSMNLHAKPLLRDILVTEKVTCIIADGVFGSLTNILARELGITILHFRAVSACSIWSYLCFPKLLECNELPIRGDEDMDRIISNTPGMENLLRCRDLPSMFRPNRKGRLMSIESVVFQTQQSLQADAFILNTFEDLEIPVLSQMRLHFPKIFTIGPLHHHLSLRKSAANKAASLPNDANSLKGNLFQVDRSCMVWLDAQPERSVIYVSFGSVALITREELLEIWYGLVNSKKRFLWVMRPDMITEKVGEDQIPVELEEGTKDRGFVVEWTPQDEVLRHKAIGGFLTHSGWNSTLESIVAGVPMICWPYFADQQINSRFVSEVWKLGLDMKDVCDRNIVEKIVNDLMVHRKEEFLKSAQKMATLAHNSVIEGGSSYSNLDDLIHYIKSTALKNIDE >OIW10206 pep chromosome:LupAngTanjil_v1.0:LG06:7608168:7608623:1 gene:TanjilG_27957 transcript:OIW10206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIFHLNSSPSTFFLLVFTILLSFPGTISDDPCPYPCYPPPIGGTTTPTGTTVPPPPPQSGLPYPPPSGYNPYNPSPPFGGGGGGDGGGGGSGTFGTPPPPDPILPYFPFYYRKPPHQPEGYSSASSTTMKKWTRMISSTSTLLYLLLLFV >OIW11117 pep chromosome:LupAngTanjil_v1.0:LG06:501341:506297:-1 gene:TanjilG_22924 transcript:OIW11117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINKTVLVTGGAGYIGTHTVLHLLLAGFKTVVIDNLHNSSQIAILRVKKLAGDFGNNLSFHKADLRDRDALEQIFVSTKFDAVIHFAGLKAVGESVQKPLLYYNNNLSGAITLLEVMAAHGCKKLVFSSSATVYGWPKEVPCTEEFPLSAANPYGRTKLIIEEICRDVCSAEPDWKIILLRFFNPVGAHPSGYIGEDPQGIPNNLMPFVQQVAVGRRPALTVFGNDYNTIDGTGVRDYIHVVDLADGHVAALLKLDESNIGCEVYNLGTGKGTSVLEMVRAFEQASGKKIPLVIAGRRPGDAEIVYASIEKAGRELKWKAKYGIDEMCRDQWNWASKNPHGYGSQEDSTD >OIW10301 pep chromosome:LupAngTanjil_v1.0:LG06:8523665:8526052:1 gene:TanjilG_28052 transcript:OIW10301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKLSPRRNQRSKGFKVKHALQICLLLGVCVWLIYQVKHSHDKKESYVESAKTSSELVKLGRKDIHPHVEETSVIDARHKEMEEDEEENKHDKKNKLDDVNVIEEEQSNNDDNSEQRQDLVDQETGESSENDRTDTEGEQHNEKFDGENDNLEDNKESDRVVKENEENKNEVEDNESGESREEKSQQENEETSDNKENEESNQNKINVEENHEQDSKEEKEGIDVTETQREENDKISEVVSSENKVQDGEKNNEESREENYAGDNASSAVDHESQDGSDESSSKTEEFDKKDKNELELETEKSGTQTNEVTDATVATNDQENGGENQAQSENDSQKSSMSDGQQHEQNNPERDGVETVDSSLQNGNDTTTHTTEKQNETSENSNSEVENSNKDNTMLKTEDSNSDAAEGEGDSTSTTTSGTNDNNANHGEDKESTSNSVENENTNNNSVQEGKQESVASSNTFVNKDANQEVQLTSSDTSSEEKKDESSNAENNSDKVNTDQGNTSSDGSANDNKDASQDNSSHTSSEQNSEGSSNSENSNDANQNNLNDNDGSTNDNKDASQDNSSDTSSEQNNEGSSNSENSSDNNQNNSNGNDGSASNNKDASQDNSSNILSEQNSEGSSNSENSSDDKQNNSNGGNESGSDKKDASQDNSSHTSSEQNSEGSSKSENSSGTNQNNSNDNENTGDNGNASHDEVQANAIIHEEKDESSNNNNGEAVKNETENKTSENEGGAQNETVESQQEKVETTHSDGDNNDQGGSDSSIPQDVKEAQVDLGTLPETNAENNHNDVAAAE >OIW10103 pep chromosome:LupAngTanjil_v1.0:LG06:9821608:9827122:1 gene:TanjilG_21940 transcript:OIW10103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSLKMQIHQHPVALRIQEQSGNKRKYTFIQAQRAWLPKFLLFWIMLMAIISWCIYSNMDADTKVRRREVLGSLCDQRARMLQDQFSVSVNHVHALAILVSTFHYYRSPSAIDQETFAEYTARTSFERPLLSGVAYAQRVANSERERFEKQHGWVIKTMERVPSPVRDEYAPVIFAQETISYLESLDMMSGEEDRENILRARATGKAVLTSPFRLLGSHHLGVVLTFPVYKSKLPPKPTVEERIKAAAGYVGGSFDVESLVENLLGQLAGNQAIMVHVYDVTNSTNPLIMYGNQYEDGDVSLVHESKLDFGDPYRKHLMICRYHQKAPTNWIALTTASLFFTILLLVGYILYGAGNHIVKVEDDFHEMQELKVRAEAADVAKSQFLATVSHEIRTPMNGILGMLGLLLDTELSSTQRDYAQTAQACGKALIALINEVLDRAKIEAGKLELEAVPFDLRSILDDVLSLFSEKSRHKGLELAVFVSDKVPHIVMGDPGRFRQIITNLVGNSVKFTEQGHIFVKVHLAENRNSTMNGKSEMFLNGGPDEVLHTSFGHHSKTLSGREAADERNSWDNFKHLIADEEFFFDASIKNMASSESYEQITLMVCVEDTGIGIPFSAQDSIFMPFVQADSSTSRNYGGTGIGLSISKCLVELMGGNINFISRPQVGSTFSFTADFRTFKKNPATDVKKHNLEDLPYSFRGLKAIVVDGKPVRAAVTRYHLKRLGIQAKVANNINKAVSLCGKNGSLTSGLFQPDIIIVEKDSWVSGEDGIFFNVWQLDWKQNCHLFKIPQMILLATNISNAEFEKAKAAGFTDTVIMKPLRASMVAACLQQILGMGKKRQLGKDNPNGSTFLRSILCGKKVLVVDDNVVNRRVAAGALKKFGADVKCAESGKAALEMLQFPHSFDACFMDIQMPEMDGFEATRRIRKMESKANEEMNSECSEVGNGRKSEFHIPILAMTADVIHATYDECFKCGMDGYVSKPFEEENLYQEVARFFKSKNSD >OIW11026 pep chromosome:LupAngTanjil_v1.0:LG06:1408898:1413526:-1 gene:TanjilG_22833 transcript:OIW11026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKTTSYLTPTQRYAGGALFGLALHQTQVHQTHPLGLIFEEQEPSSSSSFIADAVSDDPHLWIHPYSSLLRPVLKYLDIDSAAWSGLEETSGTSSATRHVGPLLRLLSEEFDDDYSQRSDQELALSEAVDAMVISLEKNSKSSKAKRDQFRDYEQQCREKFSTSDVQPISEKEDMHLETQQETDTPPFFDCEGLSQGSINSKLDESPVEEVRMLTYQRKVTVLFELLSACLSDLGESNKKSTRKRRGYDARHRVALRLLATWLDIKWTKMEAIETIIASSAMAIVKEQESNKEETQSKESSWDKWKRGGIIGAAALTGGALMAITGGLAAPAIAAGLGALAPTLGTLIPVIGASGFAAAASAAGTVAGSVAVAASFGAAGAGLTGSKMARRVGSVDEFEFKPIGENHNQGILAVEILVSGFVFEEDDFIRPWEGHNDNLERYALQWESKNLIAVSTAIQDWLTSRFAMELMKQGAMLTVLSALLTALAWPATLLAATEFIDSTWTIAIDRSDKAGKLLAEVLLGGLQGNRPVTLVGYSLGARVIFKCLEALAETENSAELVERVVLLGAPIAIKDENWEAARKMVAGRFVNAYSRNDWMLGVAFRASLLSQGLSGIQPVDIPGIQNIDVTDHIEGHSSYLWATQQILDHLELDSYYPVYNNNILWKQ >OIW09958 pep chromosome:LupAngTanjil_v1.0:LG06:11739135:11740292:-1 gene:TanjilG_18265 transcript:OIW09958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLKTLQSKACQASQFVAKHGTAYYKQLLEQNKQYIQDPATVEKCNLLSKQLLYTRLASIPARKEAFSKEVDYVKQLWKNKQDLKVEDAGIAALFGLECFAWFCAGEIVGRGFTFTGYYV >OIW10786 pep chromosome:LupAngTanjil_v1.0:LG06:3122001:3125206:-1 gene:TanjilG_27732 transcript:OIW10786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYICSLNLPSSWFIYLYLLLILFTFKSTWFGRKTIASASGNETDQLALLKFKELISNDPYRILDSWNSSTNFCNWDGVTCNPMHERVIQLNLQGYQLHGTISPLVGNLSFLRVLDLGNNNFYGTIPQEFGRLLQLQGADVSNNSLHGEFPINMTSCFQLQELNLYGNNLIGKIPIEIGSLRKLQVLSVGRNKLTGQIPASIGNLSSLTHLFMGENNLEGEIPQEIGLLRNLTYIQVGENKLSGMLPSTLFNISSLITIAATLNQFNGSLPSNMFHNLPNLQQFGIGGNQISGPIPISITNASVLQLLDIGFNYFVGQVPSLGKLLDIKYIDLENNSLGSNLTNDFDFLESLTNCSKLYLFSISYNNFGGYLPNFIGNLSTQLSQLYLGFNQISGQIPAELANLNNLTILSMGYNHFDGIIPTAFEKFREMQILGLDGNKLSGVIPTSIGNLSKLFSLSLTQNMLEGNIPPSIGNCQKLQSIYLAQNNLTGPIPSEVFSLSSLTEFLDLSQNLFSGSLPDEVGRLKNIEKLDVSDNHLSGNIPGTIGECMSLEYLYMQGNSFNGVIPSSLSSLKGLQYLDLSRNHLSGSIPEGMQGISVLEYFNVSFNMLDGAVPTEGVFKNSSRFSITGNSKLCGGISNLHLPPCPVNGRKLSKHHNFRKLIAVVVSVVAFLLILSFSLTIYWMRKSKKKPSFDSPTIDHLVKVSYQNLHNGTDGFSARNLIGSGSFGFVYKGTLESEDKIVAIKVLNLQKKGAHKSFIAECDALRNMRHRNLVKILTCCSSTDYKGQAFKALVYEYMANGSLEPWLHPAKENAQQSRPLNVAQRLSIIIDVASAIHYLHHECEQPVIHCDLKPSNILLDDCLVAHVSDFGISRLLSSIGVPPKQTSTTGIKGTIGYAPPEYGMSYEVSIEGDMYSFGILVLEMLTGRRPTDEMFKDGQTLHNHVKISIPNHLSQIVDPSTLPEELEQDADNKSMILTDPNVNECLLSLFSIALACSVESPKQRMSMIDVIKELNVIKSSFFLV >OIW09088 pep chromosome:LupAngTanjil_v1.0:LG06:31476766:31481978:-1 gene:TanjilG_16315 transcript:OIW09088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSVVEEEDVEYESDPEDAKRSLAMRRRREASDDEEAEGEDGDGRDNISDPGVIRSDDSEDEGGVADYDDEEEEELEEEDEVEVYEERSVEEDGGVGNGAVVMMKNSDAADVNTHLEEEEEEENSGKKDGEEKKENEPFAVPTAGAFYMHDDRFRDNAGARNRRMNGGRRLWESKDERKWGHDKFDEMTLQERHYKEGRRPSRGNYRGGRGRTRAVDRGGYARGHRTGYDNSGSQGQVPKVVVRGRGPRRYEPANKKSNGPAFQMQNKQSLESLEKTSHVSSGRIFEPASTSESDPAPAKKQVSSNLNYASPPFYPSGSSNKEINLTPKRDVQTGTTSKNFLPTNEGFSVQQNNASLRGKNVVDSISMDKLYIDDSVNPSAGKPMNNLQSSGVNPSHSPHLRARGRGGVIPAHMNYQPATSHTRVNRTAPTQLHAAQRSSVPGRTSSSVQAPAPQLGHRPGSGSQSSSPTKTDPGEIDTTSESGKLKGTLVGKGRGASYGSGRGFVYGGAMGSMGGSHGDQNFPAFLPVMQFGGQHPGGMGVPAVGMAFPGYVQPQHGLGSSEMTWRMNGGRRLWESKDERKWGHDKFDEMTLQERHYKEGRRPSRGNYRGGRGRTRAVDRGGYARGHRTGYDNSGSQGQVPKVVVRGRGPRRYEPANKKSNGPAFQMQNKQSLESLEKTSHVSSGRIFEPASTSESDPAPAKKQVSSNLNYASPPFYPSGSSNKEINLTPKRDVQTGTTSKNFLPTNEGFSVQQNNASLRGKNVVDSISMDKLYIDDSVNPSAGKPMNNLQSSGVNPSHSPHLRARGRGGVIPAHMNYQPATSHTRVNRTAPTQLHAAQRSSVPGRTSSSVQAPAPQLGHRPGSGSQSSSPTKTDPGEIDTTSESGKLKGTLVGKGRGASYGSGRGFVYGGAMGSMGGSHGDQNFPAFLPVMQFGGQHPGGMGVPAVGMAFPGYVQPQHGLGSSEMTWLPVLAGAAGALGASYCPPYLTVDGAYHARQSGQTSTPGSASSLNTLHPGMKHIVVRYM >OIW09638 pep chromosome:LupAngTanjil_v1.0:LG06:21753736:21757146:1 gene:TanjilG_28237 transcript:OIW09638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSNEIESSGSEVVTIDVNVAKGLIQNGYVYLDVRTVEEFEKGHVDAEKIINIPYMFNTPEGRVKNPEFLKEVLSASNKEEHLIVGCQSGVRSLYATADLLAEGFKDVSNMGGGYLDWVKHDFPVKIPITNEKEL >OIW10537 pep chromosome:LupAngTanjil_v1.0:LG06:5390835:5394931:-1 gene:TanjilG_15909 transcript:OIW10537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGNRSKSEKHDGAMQLRRDPYEVLGVSRNSTDQEIKTAYRKMALKYHPDKNANDPKAADMFKEVTFSYNILSDPDKRRQYDSAGFEAVESDSQELELDLSSLGAVNTMFAALFSKLGVPIKTTVSATILEEALNGAVTIRPLPLGHFISKRVEKQCAHFYSVTITEEEARAGFVCRVQSSDKSKFKLLYFDQEDNGGLSLALQEDSAKTGKATSAGMYFLGFPVYRLDQTLNSIAAAKDPDTSFFRKLDGFQPCELTELKAGTHVFAVYGDNFFKSASYTIEALCAAPFSEEKENLRNIESQILSKRAEMSKFETEYREVLAQFTEMTSRYAHEMQAIDELLKQRNEIHASYTVAPLKRSSSKSRIKSSSKEAKEDDQVREKRNIRDRPRKKKWYNIHLRVDKRKAC >OIW09226 pep chromosome:LupAngTanjil_v1.0:LG06:30974346:30974675:-1 gene:TanjilG_11364 transcript:OIW09226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITQILILQALPYEYETHREVELSDHKTNKIAYQPEVSSSSTNSTSSKEESHKEEVASAEGPNIRRFGKHHSRDKSVAGGGVIIGGLVTATFATVFCYIRVTRKRETVY >OIW10035 pep chromosome:LupAngTanjil_v1.0:LG06:10595560:10601082:1 gene:TanjilG_32775 transcript:OIW10035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRLKEDEKNEKIIRGLLKLTPNRSREFTHRVKSVSMAKFTSQEVSALQEGGNRRAREVYFKEWDAHQSLPDSSNVGRLRDFIKHVYVDRRFTGERAYDKPPRVKTGDSDDLNENRRMETSQGGSKSPPYEDKFERRYSDRSSPGGISPGYDQESRQYGDYKRKPGRPVVINDWRREDRFGDGRKSDDRRISDGDIKMDSQSPERAKGLGSLSPPVVRPVSDILGENVVPLRISEPPKTNSGKAANNSVLTQRSTSSSSLASSNGNQAEVKLETTKSLIDFEDDPEPPVARPVVMPLNSGDNNWASFDFAPQAKSVQGSSNANPLESVLSQLSVPAALTAHVSGAPAGPNHAAAIPGSSVSLAGSSLTAARAPTINSFSAFPPSGASVPYAGLTTISSLNNAGQLAGLQYQQPSFPATVSQPTVHQVTQPVGGASNNQSWNIPSVPTVQGHASTSIPQASHNANEPSASVVSQPSTVDVKPVGRTELPEDLFTVKYSPFPAPVPGWQMGAPLGMGTSIQYNNVVPIPSFPQPSKSSNPFDVSNEPTQVQASTFPSMSSLQGALPIVTTPVSVHPSSLGDPSFAWNLPLSSSSSYASLLPPEAQTLAPAVGPGAYMGHQMPTNTPIPRYEGIGSFGADGAAFGVSNPDQQLTGLSTIPATTNPFPAGGNPFG >OIW10735 pep chromosome:LupAngTanjil_v1.0:LG06:3607786:3611130:-1 gene:TanjilG_27681 transcript:OIW10735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSIRKQASKLRDQVAKQQQALLRQLGQISNEPLMTHESELQCQHKLENLYTSTKAAKISEPLRAQITGAPLEDARHLTQRYEKLRHEVEAQAAEVLRRRSKLRGSSVSAESSMKLQNAETRLKELKSALVALGREATAAMLSVEEQQQQLNFQSLCTMVDAERAYHGHALVILEKVYAEMTEEKQPKESSSYPLSRDGYDQTADDNSNLNGFDQKHTSQTGSSFFAKVIHPFDAQADGELNLSVDDYVVVCQVDPNGWSEGECKGNAGWFPSAYIQRQDLIQASKIVE >OIW09038 pep chromosome:LupAngTanjil_v1.0:LG06:31069042:31071542:1 gene:TanjilG_16265 transcript:OIW09038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGHVTQSSISTQSDPPKTPMLGHEVVYSNNNNNINVKVEDSVLASKQVLKRKRGRPCKYDVNGSVLSATTSSTHAIGTSSKRCRGRPRSSHHNNHNIALPLSGFSLAETAGTSFKPYVMTVNTGEDVVSKIIAFSQNDVSMAAVSILSATGVVSSALIRNSQASTHTYRREGWFEILSLSGSYTFVADGDAHCKKGMLNILLAGPDGSVSGGILEGSLIAAGPIQLVVASFKQNMIKEIMRKHSNGSSSAVVAPDLERVPQNVQAIIDSTSIPTQNVIPTTTTIAVSENVIPANQNIQSASVNGVGLNSSIKEQNIAAHDNASV >OIW10218 pep chromosome:LupAngTanjil_v1.0:LG06:7777188:7777616:-1 gene:TanjilG_27969 transcript:OIW10218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRVRTKTVKKSSRQVIERYYSRMTLDFHTNKKVLEEVALIPSKRLRNKIAGFSTHLMKRIQKGPVRGISLKLQEEERERRMDFVPDVSAIKTDLIEVDKETLDMLQALGMNDVPGIVQVDPTPVQPQFGFGRGGASAPRRY >OIW10101 pep chromosome:LupAngTanjil_v1.0:LG06:9835851:9855098:1 gene:TanjilG_21938 transcript:OIW10101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLPPVHYLYVTEDSLREWKSGNPNLKISEPVPLLRFLYELCWTMVRGELPLPKCKVALDSVRFSEKASTVKLASNFADIVTQMAQDHTMSGEFRSRLIKLAKWLVDSELVPVRLLQERCEEEFLGDAELIKIKAQELKGKEVRVNTRLLYQQTKFNLLREESEGYAKLVTLLCRDSEVSTQKASAATIGIIKSLIGHFDLDPNRVFDIVLECFELQPDNYLFLDLIPIFPKSHASQILGFKFQYYQRMEVSNSVPFGLYKLAALLVKQDFIDLDSIYAHLLPGDDEAFEHYNSFSSKRLDEANKIGKINLAATGKDLMDDEKPGDVTIDLFAALDMETGAIEERTSELENSQTLGLLTGFLSVNDWNHAHILFDRLAPLNTVEHSQICGSLFRLIEKSISSAYDAIRQARLQKSGPSIGGGTDAMDVDNSSGGGSFIDLPKELFQMLACAGPYLYRDTMLLQKVCRVLRGYYLSALELVSGGDGALNPHIHLKDAKLRVEDALGTCLLPSLQLIPANPAVGHEIWELMSLLPYEVRYRLYGEWERDDERFPMLLAARQTAKLDTRRILKRLAKENLKQLGRMVAKLAHANPMTVLRTIVHQIEAYRDMITPVVDAFKYLTQLEYDILEYVVIERLALGGRDKLKDDGLNLSDWLQSLASFWGHLSTLTNAGLLDIAAFFIPEWCKKYPSMELRGLFQYLVNQLKKGKGIELVLLQELIQQMANFQYTENLTEEQLDAMAGSETLRYQATSFGVTRNNKALIKSTSRLRDSLLPKDEPKLAVPLLLLIAQHRSLVVINADAPYIKMLSEQFDRCHGTLLQYVDFLCSAVSPASNYGVLIPSLNDLVHLYHMDPEVAFLIYRPVMRLFKSHKAPDVCWPLDDKNAESDASMNTESDPADHSSSMVLDLGATQNPISWSYLLDTVKTMLPSKAWNSLSPDLYATFWGLTLYDLYVPKNRYESEIAKLHANLKSLEELTDNSSSAITKRKKEKERIQESLDRLINELHQHEEHVASVRRRLSHEKDKWLSSCPDTLKINMEFLQRCIFPRCTFSMPDAVYCAMFVHTLHSIGTPFFNTVNHIDVLICKTLQPMICCCTEYEAGRLGRFLYETLKIAYYWKRDESIYERECGNMPGFAVYYRYPNSQRVTYGQFIKASVHWKWIQRITRLLIQCLESSEYMEIRNALIMLTKISSVFPVTRKSGINLEKRVAKIKTDEREDLKVLATGVAAALAARKPSWVTDEEFGMGYLELKPAPSVAKSLAGNSVAVQSGMSIGVSQSESSSGKHLGSGNTAKGQIIRTKTVDSKSERSESTAVTKSESVVVKTKGSSMVNGLDAQSSLPLSTVQTGASKSTENQKQVDESINRASDEHMTRVAEDGRSGKAVARTSGSSNIDRDLQTHASDGRHIGTTNVSSSVNANGSTIAGSVKGSAPSPKMDSPGNESKAEIVPAKSSDVRASVVKEDRNDVADLPRGSSSRVVHSLGHDMPVVTYKSSDKVQKRAGSAEEPDRLGKRRKGDVDLREVEGEVRFTEREKLVDPRLSDDRSGPDELGVYRTGDKPLERPKEKSNERYEREHRERLDRPDKSHEDDFIVEKYRDRSIERYGRERSIERIQERGSDRSFNRVPEKAKDDRSKLRYSDAPVEKPHADDRYHGQSLPPPPPLPPNMVPHSVGANRRDEDSDRRYGAARHSQRLSPRHEEKERRQSEESVVSQDDVRRRKEDDFRDRKREERDGLSMKVEERDRERERDKSNLLKEDLDLNAASKRRKLKREHLPASEPGEYSPVALPPPLQGGGISQAYDGRDRGDRKGLIIQHASYIDEPGLRIHGKDVASKLNRRDPDPYP >OIW08906 pep chromosome:LupAngTanjil_v1.0:LG06:32663405:32666061:1 gene:TanjilG_05882 transcript:OIW08906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNISRDQRSRSSKPPTIHGYARSGDLLGFQRLLRDNPSLLNEANPIMAQTPLHVSAGHNRAEIVQFLLGLQGPDRVELEAKNMYGETPLHMAAKNGCSEAAQLLLSHGAFVEARANNGMTPLHLAVWHSLRAEEFLTVKVLLEYNADCSAKDHEGMTPLNHLSNGPGNEKLRELLNWHLEEQRKRRAIEACGETKAKMDELEKELAYIVGLHDLKMQLRKWAKGMLLDERRRALGLHVGTRRPPHMAFLGNPGTGKTMVARILGKLLHMVGILPTDKVTEVQRTDLVGEFVGHTGPKTRRKIQEAEGGILFVDEAYRLIPMQKSDDKDYGLEALEEIMSVMDRGKIVVIFAGYDEPMKRVIASNEGFCRRVTKFFHFNDFNSEELAQILHMKMNNLAEDSLLYGFKLHPSCSIEALAALIESVTTEKQRKESNGGLIDTMLVNARENLDLRLSFDCIDTQELLTITLVDLEAGLGLLSQ >OIW09193 pep chromosome:LupAngTanjil_v1.0:LG06:30759950:30762519:1 gene:TanjilG_11331 transcript:OIW09193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTVSFLLIIFLSTITFISSESVLRLPSENDLSGRLHQVQEDDDLYCDSWRLTVETNNIGPWKSVPARCVNFVAEYMGGERYSSDSKVIGEVSSAFAKSVEVNGDGRDAWVFDIDETLLSNVPYYENNGFGKEIFNETSFDDWVNLAEAPALPASLSLYNELLELGFRVFLLTGRSELQRNATETNLLLAGYNKWERLILRGSSDQGKPAIVYKSEKRAELVEGGYRIHGSSGDQWSDLWGHAVASRSFKLPNPMYYIP >OIW09210 pep chromosome:LupAngTanjil_v1.0:LG06:30872099:30873082:1 gene:TanjilG_11348 transcript:OIW09210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQKPRFVISASEAESMAQSIGTTLPNLLPSLVKPSQSLARPPISNFSVSAVGLGVSGRIFIGVNLEFPGLPLHHTVHAEQFLLTNLSLNAEPLLLSFAVSAAPCGHCRQFLQELPSAPDIKILITSHDTPLFTPLSHFLPHHFGPHDLLPKGAPLLLEPRHNNLSLLPHTHHQNFEFLHKGVNGYATNPDPKLKVESFEDATSIGINGYARNSNAELKLEALEAANKSHAPYSGSPSGVALLDCEGNIYKGSYLESAAYNPSLGPVQAALVAFVAGGGGGYDQIVEAVLVEKDGAVVKQEQTARLLLNSISPKCHFTAFLCSSGPD >OIW10332 pep chromosome:LupAngTanjil_v1.0:LG06:8870741:8874370:-1 gene:TanjilG_28083 transcript:OIW10332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGGKYEGDWVDGKYDGYGVETWARGSRYHGQYRQGLRHGMGVYRFYSGGMYVGEWYNGQCHGFGVHTCNDGSRYVGEFKWGVKHGLGQYNFKNGDLYAGEYFADNMHGFGVYRFQNGHQYEGAWHEGRRQGPGRYSFRNGETQCGHWQNGVLDNQNSHTGSPCAVDHAKVLSAVQIANCAAGKVYDMAKMDQGVNKVVTEAIKAGNAARVAAVKAVQNKMHHNNNDI >OIW09444 pep chromosome:LupAngTanjil_v1.0:LG06:27230186:27230665:-1 gene:TanjilG_31163 transcript:OIW09444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHTGNHGYNYLQRGTCMPVVSIISTVCASTRAFVIGSSNLTCSFNGNYMHVSMFSTNLALDSAQHFTKIIIIARKYIMAHRRKENPEAS >OIW11098 pep chromosome:LupAngTanjil_v1.0:LG06:648957:651843:-1 gene:TanjilG_22905 transcript:OIW11098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQQNLEKMQIRQNYRNLWHTDLMNTIQADTPYCCFSLWCAPCASYLLRKRALYNDMSRYTCCGGYMPCSGRCGESKCPEFCLCTEGFMFCLQQLACIFSIVAMIVGSEEISEASQLLSCLADFVYCTVCACMQTQHKVEMDKRDGIFGPQPVMAVPPAQQMSRIDQGVPPSVGYAPQPAAYGQPYGYPAPATQPPQGYPTTGYPATAYPPPGYPPSGYSR >OIW10860 pep chromosome:LupAngTanjil_v1.0:LG06:2491489:2495305:1 gene:TanjilG_27806 transcript:OIW10860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKHNEPNPILSNRLFHLFACFFSCGLVYTFLSSLFTPSSVSKFGSSLASVHGTRSNVGGCCRGIESLELWGASVKWGSEFKFNTSRGCCEACKSMCTGKDGPCLCDTWVFCGNRETCGSKFGECWLKKQKDSLAPERHDNVPPEEIVSWTSGLIFGKGEGIILLETEYGALHIKLFPDCAPHSVAYILELVALGHCAGCQFYRAESRGQSWDSEGNHIENAAFGPPYALIQGLLEAQGTPFEKLPIEDCPTLRRGSVAWVGSGPAFFISLANHAEWKNEYTVFGSVLPEDMHIAEKIATLPTVSDIWNNVNVTVLEKPVPLSLRRVKKSHED >OIW11159 pep chromosome:LupAngTanjil_v1.0:LG06:245880:246489:-1 gene:TanjilG_22966 transcript:OIW11159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTSTIPKMKPMSPTMDPAHDSHSTHSTHRMSTLKADLAPVYIVCGMVVVAVSIGVHTAYQQLARSPAVHVNKKRRESVPEFSEPDHTIKSSNNFINGSFLRKLSHIQENKATLNDPLHPNPFTHPRPAETLKSVGIDPSKR >OIW10761 pep chromosome:LupAngTanjil_v1.0:LG06:3296611:3299170:-1 gene:TanjilG_27707 transcript:OIW10761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPGTGCISETSTMSGGESLKGGSNSGEFSMEDQDGDTLGSLNQNIGKPPRDHSSMRHCTSSSWLLESEPDIMIIGLKPTSEEKSEFSPILRSGSCSEKGPKQYMEDEFICVDILGDYVGRGTDLPSPAAFYGVFDGHGGIDAASFTKKNILNFIIEDSQFPSGIKKAVKNAFVKVDHTFKDASALDSSSGTTALTALVLGRGMLIANIGDSRAVLGKRGRAIELSKDHKPNCTSERLRIEKLGGVIYDGYLNGQLSVARALGDWHIKGSKGSKSPLSSEPDLEEISLTEEDEFLIIGCDGLWDVMSSQCAVTMVRRELMQHNDPTTCAKALVTEALQRNTCDNLTVVVVCFSKDPPPKIEIPRSHRRRSISAEGLDLLKGVLNGR >OIW09489 pep chromosome:LupAngTanjil_v1.0:LG06:25303011:25303244:-1 gene:TanjilG_22238 transcript:OIW09489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSALMKPMSSFIWVIHGYGGFRYSPLWWNELVQALEGYKKRVMSVLTLDQELRLGTTARLVKAKPSREFSKEMMPT >OIW09676 pep chromosome:LupAngTanjil_v1.0:LG06:20413473:20417427:1 gene:TanjilG_06482 transcript:OIW09676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHALSTHLIFLIIIHLIITTTFAAEIIRNRHHGSGPAMFLPLYLSSAPNSSTSALDPRRQLSITESKRHPNAHMRLHDDLLINGLMPFICSCNSQYHQFRIERDDPKFQPDLSRTYKPVKCTSNCNCDNDRNQCTYYRQYAEMSTSSGVLGYDVMSFANKSELAPQYAVFGCENMETGDIYSQHADGIMGLGRGDLSIMDQLAGKKVISDSFSLCYGGMDVGGGAMVLGGISPPSDMVFAHSDPDRSPYYNIDLKEMHVAGKRLPLNPKIFYGNHGTILDSGTTYAYLPEAAFLVFKNAIVKELQSLKLISGPNPNYDDICFSGAEIDVSHLSKSFPVVDMVFGNGQKYSLAPENYLFRHSKVRGAYCLGIFQNREDPTTLLGGIVFQNTLVMYDREHRKIGFWKTNCAKLWKRLHISDAPPHVHSDSHEINSTNAVEPSVSLSASQQNIPSGIIN >OIW09485 pep chromosome:LupAngTanjil_v1.0:LG06:25466912:25467244:-1 gene:TanjilG_23768 transcript:OIW09485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKTNGNVLVQWLVTALLVALLGGAKAVVLCNIDSTKLNLCYAAVTGTHPPKPNGKCCEVVLHADLPCLCGYKSILPALGINPTNAFTLPKRCGLKTPPQCRGNHKPERP >OIW10540 pep chromosome:LupAngTanjil_v1.0:LG06:5368580:5369744:-1 gene:TanjilG_15912 transcript:OIW10540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFTSFFFVPVTPAKTPTSNAIFPRLSSSSSSSFGVKPIFTNNFTFITTRASLTQKYVYPDPCPHFAESETQKFKAELLEKLLEEKDEFGDELDAVINVCAQNASGHLSPALPVGCFVIAVIYNWRSIYDLVSFLIAP >OIW09806 pep chromosome:LupAngTanjil_v1.0:LG06:14681357:14684650:-1 gene:TanjilG_32244 transcript:OIW09806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLFSTFFHNINDSFSYTNLLPLLLILTITLYSCLSFFKPKAHKNLPPGPPGLPFFGNLLSLDPELHSYFAALARTHGPVLKLRLGGKLGIIITSPSAARQVLKDHDTVFANRDVPAAGRAATYGGKDITWTPYGPEWRMLRKVCVLKMLSNTTLDSFYDLRRNEVRKMVGFILGRAGLKVNIGEQGFLTQLNVITNMMWGGAVEGAERERFGAVFRKMVAEMTALLGKPNVSDFFSGLARFDLQSVEKEMKKLVVKFDEIFEKMIGERGKIEKEGEVKKDFLQFLLNMKDEADSKTTFTITHIKALLMDMVVGGSDTSSNTVEFAMAEMMYKPEVMKRVQDELEAIVGKDNIVEESHIPKLSYLHAVMKEVLRLHPVLPLLVPHCPSETTIVEGYTIPKGSRVFVNVWAIHRDPSIWEKPLEFDPTRFLDTKWDFSGNDFNYFPFGSGRRICAGIAMAERSVLYFLATLVHSFDWTIPQDEKFDVSEKFGIVLKKKVPLVAIPTPRLTNLDLYK >OIW08969 pep chromosome:LupAngTanjil_v1.0:LG06:32126727:32127365:-1 gene:TanjilG_05945 transcript:OIW08969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHGASKKNKLPGRSSNIHPGNTRGCNVPKFDQNNFGAIEIVASEQDFASSIEVTTEAPPRSIEFFVWNNEGINLCVDLNSSPSDWVNKLRNEVCTSTDVNRKDSRSLRQELSYLGDSSTQGKSSLLSKTNCDQIDDHHTRQIKSSSSLKMAKDDATGLDQLNKGASPLIYDSLTPNSKTVNVADNVKENESAVSALTVNVADNFELKKVND >OIW09461 pep chromosome:LupAngTanjil_v1.0:LG06:26346107:26346577:1 gene:TanjilG_22735 transcript:OIW09461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRSRSESSTEKRKQQREKQMKPYFGIRMRKWGKWVAEIREPNKRSRIWLGSYTTPIAAARAYDTAVFYLRGPSPRLNFPELLLQEQDGDVSFKREDMSADSIRKKATQVGARVDALQTALHASSKNNSTSTYSSMKPDLNEFPKPDEDYSFDLQG >OIW09837 pep chromosome:LupAngTanjil_v1.0:LG06:13697717:13700020:-1 gene:TanjilG_20544 transcript:OIW09837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTDAVKSTLSNLAFGNVIAAAARNYQKELLDQEKAQSSTSVNEEVDLDELMDDPELEKLHADRIAALKKEAEKREAWKKKGHGEYREVTEGDFLGEVTGSEKVICHFYHNEFYRCKIMDKHLKSLSSKHVDTKFIKLDAENAPFFVAKLAVKTLPCVILFRQGVAVDRFVGFQDLGAKDDFSTRTLEALLLKKGIIDKKKDEDDEGGYEESTRRSVRSSALADSDSD >OIW10887 pep chromosome:LupAngTanjil_v1.0:LG06:2271163:2272607:-1 gene:TanjilG_27833 transcript:OIW10887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSIEEESWTSWLCDLDAEDYSFINESDTNMVEGSFPSPNNDVVPTIQEENSESQLSYATFNTENSSTMSNWDDNSSNFERPAKVMKISTTSNTGYFSQKDSTPSSYILSFDNVNPEPIFLSTDPNLKPKAKVVNKPSMGFLENERNEPKRNIIQEGRKKCVSVNRSPHHAQDHIIAERMRRERISHQFIALSALIPGLKKTDKATVLADAIKHVKELQEQVKVLEDQAKRKRVESVIYVEKSKVSSSEDVSDTSSNSGDGNSDDPSSKTTRSLPEIEARVSEKNVLIRIHCEKQKGILVNILKEIEKLHLSVINSSALPFGTSIMDITIIAEVRFYFLNLGFSIHRSISQ >OIW09376 pep chromosome:LupAngTanjil_v1.0:LG06:29884803:29885138:-1 gene:TanjilG_01347 transcript:OIW09376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHRTTIPHFHKYLKPGTLARIRDSRITARSHRLTTALSHIPIRRATPPSSPPHSFTVDAIPFFANIYSPRCLQRKKLMAAKSIYYLPPSPVSDSPDLVTESFGSDVIVAN >OIW09853 pep chromosome:LupAngTanjil_v1.0:LG06:13399320:13402113:1 gene:TanjilG_15335 transcript:OIW09853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLRFSSFFKPYPNHSLIVPSKPTFEDLPESCVALIMAYMDPPQICNLATLNRTFRGASWADFVWESKLPSNYDVIVRKIFNDFPCDLGMRGIYARLCRVNSFDDGTKKVWLDRSLGKICMSVSAKGLSITGIDDRRYWNHIPTEESRFSSVAYLQQTWWFEVDGKVDFPFPAGRYSLYFRIHLGRASKRFGRRVCNTEHVHGWDKKPVRFQLWISDGQYVASQCFLKEPGNWCYYHAGDFVVEDGNASTTVKFSMTQIDCTHTKGGLCLDSVLIYPSELKKVKTFLNNS >OIW09029 pep chromosome:LupAngTanjil_v1.0:LG06:31651956:31652597:1 gene:TanjilG_06005 transcript:OIW09029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQTEQGIGIKLYNTTPPLAPPPRSPSESGRNQRGRAMMAKGVQKTLSKTSLLGNFLPTGTLLTFEMVLPSIYKNGQCTHVQTIMIHLLSVLCALSCFFFHFTDSFRGPDGNVYFGFVTPKGLSVFKPGLTIQVPKEERFKVGFTDFVHAIMSVMVFMAIAISDHRVTNCLFPGREKEMEQVRESFPLMVGIVCSSLFLVFPTNRRGMGCMTA >OIW10720 pep chromosome:LupAngTanjil_v1.0:LG06:3727873:3728904:1 gene:TanjilG_27666 transcript:OIW10720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMKHKDGKPINLPNKNRNVTLAITVFVLCGLSFYLGGMQCNSQNDGVVTNTIEKSLDLPKRTLRSLQVRPINFPECSIGLQEYTPCTDPKRWRNYGTYRLTLLERHCPPLPERKECLVEGEKFLFPGVGTTFPNGVGEYVDLMQNLIPEMGDGTVRTAIDTGCGVASWGGDLLDRDSNSFTCSKR >OIW09177 pep chromosome:LupAngTanjil_v1.0:LG06:30651304:30653142:1 gene:TanjilG_11315 transcript:OIW09177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNTPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDALMKILTERGYSFTTTAEREIVRDVKEKLAYIALDYEQELETSKTSSSVEKSYELPDGQVITIGAERFRCPEVLFQPSMIGMEAVGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPSIVHRKCF >OIW08892 pep chromosome:LupAngTanjil_v1.0:LG06:32771859:32779638:1 gene:TanjilG_05867 transcript:OIW08892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPLSDSNNLGEVEAKNRMVLVQWLNSVLPSLNIPINSSDGELRTCLSNGTVLCQILNKLRPGSVNVVNDFYHSLPSQSENVKRFLAALDLLGLPKFEILDLEKGNMKSVVDCLLTLRAKSLQNAWGDNGTSSSVVRPLRNVSSNVHFTDQRKVSSETTFQRIVRSPVMPEHVGSKIHDVFQLKHGSYSDLPEAKISELMKSTNLDNAPTQSLLSVVNGILEESVERRSGEIPQRVACLLRKVVQEIERRISTQAEHLKTQNNLFKTREDKYQSRIRVLEVLASGSKKESENDKPKMEENKADDKDIIRLTKELEEKSMEISTLKQELQNEKTKMEQKMANDIDVIKLVKRLEDKNTEILTLKQELQIAKTKMEENKADDKDVIRLMKELEEKHTEISTLKQELKTEKTKMEQKMANDIDVIKLVKGLEDKNTEISTLKQELHIAKTKMEEKKANEKDVIRLTKEMEDKNEHISTLKQELQVEKTKMEEKKGNEKDVNRLMKELNDKNMEILTLKHELQLAKTKMDEKKGNEEDVNRLTKVLNDKNMENLTLKQEIQVAKTKMDEKKGNEEDVNRLTKDLNDKNMENLTLKQELQVAKTKMDEKKGNEEDVNRLMKDLNDKKMEILTLKQELQVAKTKMDEKKVNEEDVNRLTKELDDKNMEISTLKQELQVAKTKMDEKKVNEEDVNRLTKELDDKNMEILTLKQELQAEKTKAKKADDKNVVRLMKELVDKGTEISTLKQELETLNKTYKVQCSQLEAKANGAKGELEQKSQEYEHMLEKLRNKIKANESMFQKWNIEKNKLHKALTFQFGSIQELRLSWQSIKQDVKKEQMIYAEECNRLGVNIKPIVDTAESYQEVLAENRKLFNEVQDLKGNIRVYCRVRPFIPGQKEKQSIVEHLGETELVVANPSKQGKEALRSFKFNKVFGPASTQAEVYGDIQGFIRSVLDGFNVCIFAYGQTGSGKTYTMSGPNGATNESLGVNYRALNDLFSISTTRKNSIVYDIVPDASMYPVKSTSDVMKLMDIGLKNRAKGSTAMNERSSRSHSVVSIHVRGVDNKAGTSLQGNLHLVDLAGSERVDRSEVIGDRLKEAQHINKSLSALGDVIFALSQKSAHVPYRNSKLTQLLQTSLGGQAKTLMLVQINSELKSFSESVSTLKFAERVSGVELGAARSTKAGTDVKDLMEQIATLKDEIVIKDGEIEQLQLLKRS >OIW09000 pep chromosome:LupAngTanjil_v1.0:LG06:31875067:31875825:1 gene:TanjilG_05976 transcript:OIW09000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDRVYPAAKPTVNGGAANPSFPATKAQLYGASRPTYRPQPYHHRRSRRRICCTICFWLILIILILLLLIGVAGAVVYLLYRPHSPSFTVTALKLSHFNLTSSTLNSKFNVNVTATNPNKKITFSYDPTTVSIFSGDVDVGDGIVPGFFHREKNTTLLKASILRSGVALENDDEAKLKSSMKSKSGLPLKVKLETKVKGKMGKLKTPKVRIRVVCDGIKVTLPVGKKPVVGSTSNAKCDVNVRFKIWKWTVG >OIW11169 pep chromosome:LupAngTanjil_v1.0:LG06:187721:190429:-1 gene:TanjilG_22976 transcript:OIW11169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELENLTLTTLPFKTLKFFTLAFIQYIKKTTLYLLSKGGWLMLFSVAVGTLGVVLMAFDGPHEKHLEELLEYFRFGLWWVALGVASSIGLGSGLHTFVLYLGPHIALFTIKAMQCGRVDLKSAPYDTIQLKRGPSWLDKECSQFGPPLFQSEYGSRVPLSSILPQVQVEAVLWGLGTALGELPPYFISRAVYSPCAHDSNQIINVARLSGRQVDAMDELDSDDKGILAVQLNKIKRWFLSHVQYLNFLTILVLASVPNPLFDLAGIMCGQFGIPFWKFFFATLIGKAIIKTHIQTVFIISVCNNQLLEWIENELIWVLSHVPGLSSALPGVIANLHAMKDKYLKAPHPVSSNVQGKKWNLSFASIWNTIVWLMLMNFFVKIVNATAQRYLKKQQESDLAELSKKCNLADSDAH >OIW11001 pep chromosome:LupAngTanjil_v1.0:LG06:1213850:1224448:1 gene:TanjilG_22808 transcript:OIW11001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRCVARRLGLFVLLKETESCFVVVVAVKSGENSSYTVVDHKYDAVVVGAGGAGLRAAIGLSEHGFNTACITKLFPTRSHTVAAQGGINAALGNMTEDDWRWHMYDTVKGSDWLGDQDAIQYMCREAPKAVIELENYGLPFSRTEEGKIYQRAFGGQSLNFGKGGQAYRCACAADRTGHALLHTLYGQAMKHNTQFFVEYFALDLLMNNDGTCQGVIALNMEDGTLHRFQAASTILATGGYGRAYFSATSAHTCTGDGNAMAARAGVPLEDLEFVQFHPTGIYGAGCLITEGSRGEGGILRNSEGERFMERYAPTAKDLASRDVVSRSMTMEIREGRGVGPLKDHIYLHLNHLPPDVLKERLPGISETAAIFAGVDVTKEPIPVLPTVHYNMGGIPTNHHGEVVTIKGDNPDAIVPGLMAAGEAACASVHGANRLGANSLLDIVVFGEKQKPLEKDAGQKTIAWLDKLRNSNGSLPTSKIRLNMQRVMQNNAAVFRTQETLEEGCQLIDKTWESFHDVKVEDRTLIWNSDLIETIELENLLINACITMHSAEARKESRGAHAREDFKVRDDENWMKHTVGFWENEKVRLDYRPVHLNTLDDEVESFPPKARVY >OIW09667 pep chromosome:LupAngTanjil_v1.0:LG06:20813802:20814083:1 gene:TanjilG_11054 transcript:OIW09667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEFLHMFEGYGERLVKCRRPCRHDDWRRRSWVCPRGPFATRRDYYNDDQSLAIRLGFGRQRRRLPPLELIVRIFMEVRAPNSEPLLLNFPNP >OIW10320 pep chromosome:LupAngTanjil_v1.0:LG06:8760515:8771786:-1 gene:TanjilG_28071 transcript:OIW10320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESVVELPSRLAILPFRNKVLLPGAIIRIRCTSPSRVFTHRVPHMAESVVELPSRLAILPFRNKVLLPGAIIRIRCTSPSSVKLVEQELWQKEEKGLIGILPVRDADESKPLGSAISQGTDSLDQSSKVQGGSLDSNKLDTKKQNDVVHWHARGVAARALHLSRGVEKPSGRVTYIVVLEGLCRFSVQELSTRGTYHTARISSLELTKTEMELVEQDQDFITLSRQFKATAMELISVLELKQKTGGRTKVLLETVPVHKLADIFAASFEISFEEQLSMLDAVDPKVRLSKATELVDRHLQSIRVAEKITQKVEGQLSKSQKEFLLRQQMRAIKEELGDNDDDEDDLVALERKMESAGMPQNVSKLAHRELRRLKKMQPQQPGYNSSRVYLELLADLPWQKASEEIELDLRAARERLDSDHYGLVKVKQRIIEYLAVRKLKPDAKGPVLCFVGPPGVGKTSLASSIASALGRKFVRISLGGVKDEADIRGHRRTYVGSMPGRLIDGLKKVAVCNPVMLLDEVDKTGSDVRGDPASALLEVVFVATANRMQPISPPLLDRMEVIELPGYTPEEKLQIAMRHLIPRVLDQHGLSSEFLQIPEGMVKLVIQRYTREAGVRNLERNLAALARAAAVRVAEQEHVVPLNKGVQGLAAPLLENRLADSTEVEMEVIPMSDNSQEISNTFRVASPLVVDEAMLEKVLGAPRFDDREASERVASPGVTVGLVWTAFGGEVQFVEATAMAGKGELHLTGQLGDVIKESAQIALTWVRARAADLNLAAAERVNLLEDRDVHIHFPAGGVPKDGPSAGVTLVTTLVSLFSQKRVRSDTAMTGEMTLRGLVLPVGGVKDKILAAHRYGIKRVILPERNLKDLAEVPSSVLANLEILLAKKIEDVLEHAFDGGSPWRQHSKL >OIW10992 pep chromosome:LupAngTanjil_v1.0:LG06:1143968:1146183:-1 gene:TanjilG_22799 transcript:OIW10992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLEGKGREGRVWNRNNDMDLLGASNVAGEVGLRLLLSPIGSNVVIRTACCSVGIALPVYSTFKAIESKDQNAQHKCLLYWAAYGSFSLVEVFTDKLISWCPMYYHMKFAFLVWLQLPPTNGAKQLYVNHLRPFLLRHQGKIDQILGLASSELIKLVSLYQTEIKFVRDMVVKITGSGDQSSRGTTESNRSRQLGHSEDTAVPSDAETDRSNNS >OIW10257 pep chromosome:LupAngTanjil_v1.0:LG06:8209868:8211113:1 gene:TanjilG_28008 transcript:OIW10257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLIFFVLFMALCIRHSSASYCLCKDGVDSDELQKALDYACGFGADCGPIQPNGPCYEPNTVKDHCDYAVNSYYLNMHSVGGTCDFAGAATSSPTPPTKISTGCVYPSGPGDSGTNPTPPSFETPTTPATPTTPPSFDTPTTPPSTSTRTPGTPPFMQDPPPTGTSGTVPNMFGTAPPKSKNDSSNVKGNNTMLLLLLILAISLRAFRA >OIW10000 pep chromosome:LupAngTanjil_v1.0:LG06:11001043:11004761:1 gene:TanjilG_32740 transcript:OIW10000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERIVGGKFKLGRKIGSGSFGEIYLATHIDTFEIVAVKIENSKTKHPQLLYEAKLYNILQGGSGIPSIKWFGVDGEENVLVIDLLGPSLEDLFVYCGRKFSLKTVLMLADQMITRIEYVHSKGYLHRDIKPDNFLMGLGRKANQVYIIDYGLAKRYRDSATNRHIPYRENKNLTGTARYASCNTHLGIEQSRRDDLESLGYVLLYFLRGSLPWQGLKAATKKQKYDKICEKKLSTPIEVLCKSHPVEFASYFHYCHSLMFEQRPDYGFLKRLFRDLFAREGYEFDFVFDWTILKYQQAQKNRVQPLISPVPGARNSHAMPMDVDNHQGHGSERIRSDNATGSGVKTQFASPEVKIWSSENPLNKNTFGEANMPSTSYTPASTSRWNSLRPGLSTEASNPGHGQGSKVGPSSSWMASLQNMSSSK >OIW10707 pep chromosome:LupAngTanjil_v1.0:LG06:3839223:3840938:1 gene:TanjilG_16079 transcript:OIW10707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRCATKLRSFAVNYSRQSLPTPSPRRFFHHAPPQPFIHSLASTNLISRPIFNFSSFSPQSFRPSPFPTSLLVQVRHVSSRERKKRRKPMTPVTSKLKKTKMKSYSSFKLRFRVMNDGNIRRWKEGKRHNAHLKSKISKRRLRKPGIVPAAYAKVMKKLNFCG >OIW10187 pep chromosome:LupAngTanjil_v1.0:LG06:7443817:7451578:-1 gene:TanjilG_27938 transcript:OIW10187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLVSNQRRSRTETTHEGLPATISSGGGAVPKKKWSNLMTLFVVLVVIAEIAFLGNIDMAKNAAMVDTLTDLFYRSRVAVEGGDGGGDDSGLAVISSVQNSEYESCEEWLDREDGVTYLRNFTEEPVFVSGAGQKWDSCSVGCKFGFNRDKKPDAAFKTFQKAGASVLRSMESAEYYPDNNVAIARRRGYDVVMTTSLSSDVPVGYFSWAEYDIMAPVKPKTESALAAAFISNCGARNFRLQALEALEKANINIDSYGGCHRNRNERVNKVEALKRYKFSLAFENSNEEDYVTEKYFQSLVAGTIPVVVGAPNIQDFAPSPSSVLHIKGVEDAESIAKTMKHLAENPEAYNQSLRWKYEGPSDSFKALVDMAAVHSSCRLCIHLATTIREKEEASPGFKKRPCKCTRGLETVYHIYVRERGRFEMESIYLRSSNLTLEALKSAVALKFKSLNHVPIWKPERPEMLRGGNELKIYRIYPVGLTQRQALYSFSFKGISSLVTSLRATLLRMSFTWNWHGFIVH >OIW09221 pep chromosome:LupAngTanjil_v1.0:LG06:30945994:30946829:-1 gene:TanjilG_11359 transcript:OIW09221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDKNEITADSSSDSDFTNQWSIELSEYLKFDGNNEWVVDDDPGSFVSEHVSTQVVYDQANVVSDFGEGGIHFEGSSSTREISSVEEKKVRKKFSFKTKSEVEILDDGYKWRKYGKKMVKNSPNPRHYYRCSVEGCPVKKTVDRDRDDSRYVITTYEGTHTHPR >OIW09663 pep chromosome:LupAngTanjil_v1.0:LG06:21537822:21539591:-1 gene:TanjilG_15369 transcript:OIW09663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVYFANILDKHTGYIDLLIIFSPLKVKEDDEVDSLDIKLDVKKKSKRVYQSPTPEVGLKISRSLKSLNAKTGLFSNHMKIIHQDPMLQAQRVAAIKKAKGTVAARKHSSKTMKAFFSDPINRLKRSIAMKGVKFYCQHCGREGHRRHYCPELKDGLIRWQYACRLCGEKGHNRRTCIKSSISHTDGRVKKQYRCKICHRYGHNCRACPQVVSNKRRDLASHKSYKCRLCHKEGHNSRTCPSRIIVDEQSEGEQSVS >OIW09889 pep chromosome:LupAngTanjil_v1.0:LG06:11973639:11976932:1 gene:TanjilG_32038 transcript:OIW09889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSMDAFPAIQEIMLEFRAGKMHFEGKTVVPDPRRGLVRIARGEEGLVHFQWLDRTQNVVEDVNQASGRVYILKFNSDDRKFFFWMQESNSDNDSQLCSSVNDYLNKQIEFLSDEEPDGSLPLQVSEDMAEDDISSRY >OIW09135 pep chromosome:LupAngTanjil_v1.0:LG06:30293351:30297007:1 gene:TanjilG_11273 transcript:OIW09135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFACSRSVSFDDDPELVEVPASKNDNGIKLKYHIDGRQIPESSSKMAQKKVLRKTKKLLKARVLSRVFSEDYERVNRRLILDPRGQIINRWNKIFLVACLASLFLDPLFFYLPVVQDQVCIDIGISLEVTLTIIRSVVDLFYIIQIFIKFRTAYVAPSSRVFGRGELVIKSYKIAIRYLKMGFWLDFIAALPIPQVLIWIVIPTLRGSTMRNTKNVLRFFIIFQYLPRLFLIFPLSAQIVNATGVVTKTAWAGAAYNLMLYLLASHILGACWYLLSIERQEACWRRVCLMEKFSCEYGFFDCHRVKDGPRVSWFMTSNITNLCSPNVDFYQFGIYADAVTSQVTSSAFLNKYFYCLWWGLRNLSSLGQNLLTSTYVGEIMVAILIATLGLVLFALLIGNMQTYLQSTTVRLEEWRVKRTDAEQWMHHRQLPAELRESVRKYDQYKWLATRGVDEEALLKGLPVDLRRDIKRHLCLELVRGVPLFDQMDERMLDAICERLKPALSTEGTYLVREGDPVNEMLFIIRGHLDSYTTNGGRAGFFNSCRIGPGDFCGEELLTWALDPRPSVILPSSTRTVKAISEVEAFALIAEDLKFVASQYRRLHSKQLRNRFRFYSHHWRTWAACFVQAAWRRHKKKKDAAELRNKANAKNVEVEKPTSSGSGLGVYATRVARSTRKGVNEVVNSLQKPAEPDFSVDEWRNS >OIW09694 pep chromosome:LupAngTanjil_v1.0:LG06:19674629:19678834:-1 gene:TanjilG_06500 transcript:OIW09694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDPCVLEVVRQLDKACTEAGFFYVKGHGIPETLLKEVKDITGRFFELPYEEKTQIKMTPATGFRGYQMIGENITKGVPDMHEAIDCYREVTKGMYGDLGKQMEGCNQWPQNPQKFKVLMEEYVAELARKIMRGIALALGGSPDEFEGQRAGDPFWVMRLIGYPGVSTVNGTNVHKNDIGCGPHTDYGLLTLLNQDDGINALQVRNKSGDWISAPPVPGSFVCNIGDMLKIYSNGLYESTLHRVINNSPKYRVSVVYFYETNFDTAVEPLDTWKTRANGTKKFERAVYGEHLVSKVLTNFVEE >OIW09720 pep chromosome:LupAngTanjil_v1.0:LG06:19209131:19209292:-1 gene:TanjilG_21246 transcript:OIW09720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVERLETGVVKPDMVVAFGPIRLTNGVKFVEMHHEALQEAYPGSIEGCERIF >OIW10933 pep chromosome:LupAngTanjil_v1.0:LG06:1887025:1891698:1 gene:TanjilG_27879 transcript:OIW10933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAKTVKRNWSGKTNTLSFSSVFLICIFFFLGGYFCSTLVFHSQEDENGIRPRPMTRLLEKSAKEETEYNLLRAGESGDDSITSIPFQVLSWRPRALYFPNFASSEQCKSILNMARGRLKPSALKLRKGETKESTKGIRTSSGVFISASEDKTGLLDAIEEKIARVTKIPRSHVEAFNILRYEVGQRYASHYDTFDPAVYGPQESQRMATFLLYLTDVTEGGETMFPFENGLNMDGSYDFEDCIGLRVRPRKGDGILFYSLFPNGTIDPTSLHGSCPVIKGKKWVATKWIRDQERKKSRIG >OIW09495 pep chromosome:LupAngTanjil_v1.0:LG06:25035806:25037894:1 gene:TanjilG_14125 transcript:OIW09495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQLTDEQISEFKEAFSLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMARKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQINYEEFVKVMMAK >OIW10172 pep chromosome:LupAngTanjil_v1.0:LG06:7310953:7316043:1 gene:TanjilG_27923 transcript:OIW10172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVCTSKPQKPNPYELREPEAENDSYDPPQIPKTPATPNNHRRKDDVIAGKKSPFFTFYSPSPARFLKKSPAPSAGGSKSASSTPRRFFRRPFPPPSPAKHIKAVLARRQGKKAATAIPEEGEEDAGDLDKRFGFSKEFNSKLEIGEEVGKGHFGYTYSARFKKGELKGQQVAVKVIPKAKMTTAIAIEDVRREVKILRALNGHNNLVQFYDAFEDQDSVYIVMELCEGGELLDRILSRGGKYSEDDAKAVLVQILNVVAFCHLQGVVHRDLKPENFLYASKDESSELKAIDFGLSDFVRPDERLNDIVGSAYYVAPEVLHRSYTTEADVWSIGVIAYILLCGSRPFWARTESGIFRAVLKADPSFDEPPWPTLSTEAKDFVKRLLNKDPRKRISAAQALSHPWIRNYNNVKVPLDILIFKLMKVYMRSSSLRKAALRALSKTLTTDELYYLRQQFALLEPSKNGSISLENINKALMKYATDAMKESRITDFLSSLNALQYRRMDFEEFCAAALSVHQLEALDRWEQHARCAYELFEKDGNRAIMIEELASELGLGPSIPVHVVLHDWIRHTDGKLSFLGFVKLLHGVSSRNLAKCAAIDNCSLGFKSSFKQNPLQ >OIW10629 pep chromosome:LupAngTanjil_v1.0:LG06:4641255:4641419:-1 gene:TanjilG_16001 transcript:OIW10629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDVKAMTVWNPKPGWYVCAMLPFILDGYAGDRSYTILNANITSSVVEGGDSMP >OIW10314 pep chromosome:LupAngTanjil_v1.0:LG06:8663939:8669584:-1 gene:TanjilG_28065 transcript:OIW10314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFHSKTAHLHSPEDPPTSLADSKKPDPDNENTVEQDCEIPAFKEYSLNDLRRATNEFSTGNIVSESGEKAPNVVYKGKLENNQLVAVKRFSKLSWPDAQQFTAEAAGVGKVRHKRMVNLIGCCAEGDERLLVAEYMPNDTLSKHLFHWDKQPLPWEMRVRVGYHVAQALDHCSMENRKIYHDLNAYRILFDEDGDPRLSSFGLMKNSRDGKSYSTNLAYTPPEFLRTGRIIPESVIYSYGTVLLDLLSGKHIPPSHALDLIRGKNALLLMDSSLEGQYANDDATKLVELASKCLQFEARERPDIKFLLSAVAPLQKQKEVASHVLMGLTKNTGVLPTMLSPLGKACARMDLTAAHDILLKTGYKDEEGAENELSFQEWTQQVQDILNTKKFGDIAFRDKDFKNAIEYYSKLVVMMSVPSATVFARRAFSYLMNDQAELALRDAMQAQVCIPDWPTAFYLQALALSKLGMDTDAQDMLNDGAAFEAKRSNSWRG >OIW09915 pep chromosome:LupAngTanjil_v1.0:LG06:12270370:12271062:1 gene:TanjilG_32064 transcript:OIW09915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPTTTTPPNPPIQPPLPPPPMAERYVTITKPKRPPRPPSGRTNLASCVVATIFLIFIIIVILIVYFMLFKPQDPKIAVNSVKLPSFSIVNGTVNFTFAQYASIRNPNRATFSHFDSTLQLIYSGTEVGFIFIPAGEIDAGRTQNMAATFSVKSFPLYSPVINVGPTVANGDGVVFDYGLRVQPTMEIESKLEMAGRVRVLHFFSHRVKTNAGCRVAIVVSDGSVLGFHC >OIW09462 pep chromosome:LupAngTanjil_v1.0:LG06:26374765:26376062:1 gene:TanjilG_22736 transcript:OIW09462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSMKVHQFTRGGFWEHEPLSLTLGCKRLRPLAPKLSNSNITTTTSTPFDLKSFIKPESGSTKLGSSYEDNKKDPPSPKDQVETHPGGTRWNPTQEQIRILEMLYRGGMRTPNTQKIEQITVQLSKYGKIEGKNVFYWFQNHKARERQKQKRKSLGLPLSPRSPTFTSSITFETTMGEVDQEDSPYKKCRSIAFQHLEEQNWLSCKEEEHKTLELFPLYPELGR >OIW11148 pep chromosome:LupAngTanjil_v1.0:LG06:293448:300376:1 gene:TanjilG_22955 transcript:OIW11148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLESGTCNVCSAPCSSCMHLNHALKRPKAEEFSDENCRLAEANNNQCSMDVGNVSSLRSRACESSQHVISGTSNIISVTSSHDFVSENAESGQTLSNKYKDSKSLEGHDESASCISRASDTYSVNNIHTRNKGIGSNQSLYVQSRSDKSLSGDPSLMHWDRDSCSHIPEMSECSIEKSNSSLTKERETIVDCGEKSIANKDSLVDCTAKVSPKVFPKSEADNDNDVKDEDHNGSVHNRRHKKTEELVKSPGMQEPQSEHESDKSDLMEPDVDVQLCDICGDAGREDLLATCSMCSDGAEHTYCMRVMLQKVPKGDWLCEECQFAKETENLRLEVAGKRISGNIEVALAAKRQALESSTGSPKMLSPKKLDHLSRESSFKSLDKGKVKPCHQMPIRNHSGGDDEEIARSVSIGLQGQPSKSTLLKSSSFNNSNSKPRVKLLDEVVPLKLKGGGEHTSKTMRMTSKSMLFKSSSLGRSNSTESKVKAISPTKSATSQELKGSRHSKESGPFDRKLPFRIDRPVACSSTPSSFSTSKGDQKLTPRGETTKSSSVNNSRELKANSDGKFSTLSKSESNINRKSLNPQVSSETTSTSVDEAVQEVLPRSRKTANQDEKTRDSSRDHVTPAVITASISSFCKKCREFDHSPEYCTASSTNESGAVVSDTALCSTKEETHKGSKLKAAIQAALLRRPEIYTKKNISGQTGELSTSDKDPNGEASSQDEVLVSGTLKNCITSDKTHEQQEIPESSSSASSKCLSANDLKQLNSCRTGFFPQPGLNSVGLAAVKPLMRDMSDNALAISSVLSRMSAIPEYEYIWQGVFELHRNVKSPDSCNGIQAHLSSCASPKVLEVVNRFPPKVPLVEVSRLSTWPSQFHQGTVTEFSIALYFFAKDIESYERHYKGLLDHMIRNDLALKGIFDGVELLIFPSNQLPENSQRWNMLFFLWGVFRGRRTNHLDSAKKICIPSLNSVPAEEDSTAVVTCSERHCSPKPMDEVSTTHDKTCSEFPPSTSLDQGQITVRRNIDINDPSHLVSQGNLEKQHSRINSQSTSAAPTSCKLLFQEMKSTGLPLKDSVLGHGQFIESNPPEALGTSVSSRIVETDTGCDISVNEERGAVSNVGIDKISQRIKSEEDQPSPKRKQKESCHYIDLEVTIGNEGGGAACNSSKDKVSVRTDCDEDQQTPKGKLNDDRCIDLEATIGDREGDAASIISKDKIHEKMESNEYQRRPKWKQKVNDHYIDLEATLDTIMQASAISYQKVPSNNVNGKSRDGGSSSKKRQTGLGGIYGSCSSGGRDSFNDNFTSLDNDLGSCSSVQDKLCEEACDGKIICEDLGAIERTFFPVSGSQLDLNSVPLKGPHEYQDGFQLGFPNLDLALSGKTESPTPPPKGMAPFSAGTLEKKNSQEEHPDMSAKAHEDDGSAASLSLSLAFPSSNKEHKKAELLSDGHLVNTPPFFLFGRFTDK >OIW10110 pep chromosome:LupAngTanjil_v1.0:LG06:9751537:9755274:-1 gene:TanjilG_21947 transcript:OIW10110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEITDQNASLQSPLIQYSGQDGPNVDDIEQNKKWVGARTEIIEEVKKQLWLAGPLIFVTLLNYCLQVISVMFVGHLGELPLAGASMATSFCTVTGISILLGMSTALDTLCGQSYGANQHSMLGIHTQRAMLVLMIVSIPLAIIWANTGSILVFLGQDPEISAQAGRYAQATIPSLFAFGLLQCMIRFLQTQNVVFPMMFSTGVTTFLHFFLCWILVFKTGLGNVGAAISNSISYWLNVLILILYVKCSPSFAKTWTGFSKEALNNIPFFLSIAIPSAAMVCLEMWAFELLVLLSGLLPNPKLQTSVLSICMNTATTVVMIPLGFSEAASIRVSNELGAGNAWGSRLAVYVVLVMVIIEGILVGTAMILLHNVWGYAYSNELEVVKYVATMLPLLAASNSLDGIQCVLSGTIRGCGRQIIGATINLGSYYLVGIPVAIVLAFVFHLDGKGLWLGIICAVAVQMLTLIIVTIRTDWDREAKKTTDRVYNSVKPDSIVS >OIW09848 pep chromosome:LupAngTanjil_v1.0:LG06:13460912:13463884:-1 gene:TanjilG_15330 transcript:OIW09848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGREAMAFSGGSGSYYIHRGGVAGSGGGFPAAAPPPGFRPLSNTGIQTQSIAMTGVRSSASTFSTVEPQTRPVFSHDSVSIGGGVSSGGVPPPPPSSGDPVKKKRGRPRKYAPTDGAVSLKLSPISGDANCTPGSITPSEKKGRGRPPGSGRKQQLASLGEWMNSSAGQAFSPHVISIGVGEDIVAKLLLLSQQRPRALCILSGTGTVSSVTLRQPASTNASVTFEGRFQILCLSGSYMVAEDGAPSNRKGGISASLSSPDGHVIGGSVSVLIAGSPVQVVVCSFLYGGKRTKQETTTKEDGSSEPQGSDKLSSPASAPPPSQNYISSATGMLPGSRAEDVKSEQLHTGIDLTRG >OIW10406 pep chromosome:LupAngTanjil_v1.0:LG06:6632788:6634726:1 gene:TanjilG_05554 transcript:OIW10406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFNIANPTTGCQKKLEIDDDLKLRAFWDKRISQEVLGDALGEEFKGYVFKITGGCDKQGFPMKQGVLTPGRVRLLLHRGTPCFRGYGRRTGERRRKSVRGCIVSPDLSVLNLVIVKKGDDDLPGLTDIEKPRMRGPKRASKIRKLFNLSKEDDVRKYVNTYRRTFTTKNGKKVSKAPKIQRLVTPLTLQRKRARIADKKKRIAKAKSDAAEYQKLLASRLKEQRERRSESLAKKRSRLSSATKPSVTA >OIW10021 pep chromosome:LupAngTanjil_v1.0:LG06:10716620:10717465:1 gene:TanjilG_32761 transcript:OIW10021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLEKKLAKIVQDSDTYYSHYDYNSDITTGYGVGAFLFLMSEKYPVEDNYSKYIIKHGGSTNAFTASEQTNYYFDVNKDGFEEALDRFAQFFTKPLMPSDATMREIKAVDSENQKNLLSDGWRMHQLQKHISAEDHPYHKFSTGN >OIW09053 pep chromosome:LupAngTanjil_v1.0:LG06:31157416:31162032:-1 gene:TanjilG_16280 transcript:OIW09053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYTMPMMELRNVSDFFKGKTILVTGATGFLAKVFVEKILRVQPDIKKLYLLVRASDPYLATQRLHNEIFKKDLFRVVQDKWGADFGSFICNKVVAVAGDVSRDMFGLIDVKLSQEMLDDINIIVHSAASTNLDERFDVAMGTNTMGAFNVLNFAKSCRKIEIILHVSTAYVCGPGEGLVAEEPIHMGQTLNNSPRLLDINLEKHLIKEKLSELHAQNANEETITKTMKEFGMIRANLHGWQDTYSFTKAMGEMIMGNMKDNLHLIVTRPTMIVGTHSEPFPGWIEEVRTIDFLIAAYCKGTLTSFVGHSEIVLDTIPVDMVGSKLERISDLYKPYFLFQGIFDDKNAEKLRIATKGVPGMNKEFNFDPKAINWKDYMMNIHFPGLVKYSIRSKM >OIW09092 pep chromosome:LupAngTanjil_v1.0:LG06:31507117:31508337:1 gene:TanjilG_16319 transcript:OIW09092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGIEDDDTRYPSNPYRVSHHQQGFGYMSHRKLPTNVYPYSQPVENEYGENNNISESEEEEEQLGEEGDDDDDVNNIHPSEKDADDNEDQEEDDADANGGGDENCDDNGDGEDDDDDDDDKGKSYIMEIDDDLERQPKKQKLKSLISAYEFAPRVPAPSAAAPKPSSGGRNPLTDWTEHETFVLLDVWGDRFLQHGRKSLRSEEWQEVAEKVSKVSRVERTDTQCRNRLDTLKKKYKKEKIKFPEMDGGTSNWVYFKRMDKLMSSPPQQAGISCGLDSGEYVSTNSRIYSNRANGLDETRDSPENTESTKEGSDGPHAKKRRKGRSSSESSSFRLLADSLHKFSNIYEKIENDRRQQMVELEKMRMDFQMEIETQRRQVLERLQSEISKLDQTEDDENDGSSENGM >OIW09660 pep chromosome:LupAngTanjil_v1.0:LG06:21495660:21503977:1 gene:TanjilG_15366 transcript:OIW09660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLVLGCLQVDQSTVAIKEVFGKYDDVLQPGCHCVPWFFGNQIAGYLSLRVQQLDVRCETKTKDNVFVTVVASIQYRALAEKAVDAFYKLSNTREQIQAYVFDVIRATVPKMELDTSFEQKNEIGKAVEEELEKAMSAYGYEIVQTLIVDIEPDERVKRAMNEINAAARLRAASTDKAEAEKILLIKKAEGEAESKYLAGLGIARQRQAIVDGLRDSVLAFSENVPGTTSKDVMDMVLVTQYFDTLKEIGASSKSNSVFIPHGPAAVKDVASQIRDGLLQGNAAQS >OIW10517 pep chromosome:LupAngTanjil_v1.0:LG06:5573740:5576911:-1 gene:TanjilG_15889 transcript:OIW10517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPLPMINDTSANTPPFHLSEIWQFPATGVSGISDNGLGLRTSSFGHGLGQFGDFGPTRHVSGNDPINLEHRAIILNRKRRDSEEESAKVVSTSNGGGVSAMSDGGDGKRAKTTGSNINESGKGETETSSGKHAEQEQTTPEPPLKQDYIHVRARRGQATDSHSLAERARREKISERMKILQDLVPGCNKVIGKALVLDEIINYIQSLQRQVEFLSMKLEAVNSRMTPGIEVFPPKDFHQQTFDTTGIPFTSQTTREYSRGSSPEWLHMQVVFAICTCYCVGK >OIW09713 pep chromosome:LupAngTanjil_v1.0:LG06:18914439:18917315:1 gene:TanjilG_21239 transcript:OIW09713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDQLSKQTSIFGLRLWVVVGVCVGATIVLLLVLISLWLTSKFSKSKTKSKTKHNTGPTTIPDISKEIQEITIDPATRPDPFHEPDPVTGIERQALLTLQQPEQNTDHNNSNGNGNSNGNGNNTALLGFNRIQFEIGKNHRISYPERVFVRSSSQSQHGSGEGRHLDQVATVIPEVSHLGWGHWYTLRELEDSTDEFAVENVIGEGGYGIVYHGVLKDNTHVAIKNLLNNKGQAEREFKVEVEAIGRVRHKNLVRLLGYCAEGAHRMLVYEYVDNGNLEQWLHGDVGPSSPLTWEIRMNIILGTAKGLTYLHEGLEPKVVHRDIKSGNILIDRQWNSKVSDFGLAKLLDSDSSYITTRVMGTFGYVAPEYASTGMLNERSDVYSFGILIMEIITGRTPVDYGRPPEEVNLVEWLKKMVSNRNAEGVLDPKLPEKPTSRALKRILLVALRCTDPNAQKRPKMGHVIHMLEAEDSPYKEDRRARRDSEHSPSNKVGDGLKEEPSVSSDDRSIETNMQVNETR >OIW11003 pep chromosome:LupAngTanjil_v1.0:LG06:1227208:1227787:-1 gene:TanjilG_22810 transcript:OIW11003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHTIKSILTYPPASFPRSISSNLSRKPSTVRFCTQPDTDNTHKMDKNKTQNPSEEATHGDVMSHSFGEGYATRSDEEGFGGIYGGNQSPPDKTIHENHPAYDKTQGSEVKEKEKARHQTSANA >OIW08935 pep chromosome:LupAngTanjil_v1.0:LG06:32441782:32446425:-1 gene:TanjilG_05911 transcript:OIW08935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSATYSPNYSESPSSPYLERMKQHDPEEDEGLYQKKSSVLAKMREGVKRMHNSFRMRKQEEEGNLTPSWGVRLQDYVEEEDAEYLGAPMYESELAPEGYKENARQHPRANPVISEKHVLHSPTKSNVEQDQEKQPSIINSTTTTQPTTTTTFMSEKNLAEKMTPTYASGSYSDAANSISSKIQGLIVSRTSSAKMSSQTSSTALPTTSISSAPLSTQTSLSSSHSMNGRSTISPKGASVKEYLKIKFEPGNDEKVLSEAMSPRTTTTTSDVGLIDKVKGVVSSLLYNEEPSQQYANKTTTTRASSQTPTIVSTNNFQQGGESTKMSATYSPNYSESPSSPYLERMKQHDPEEDEGLYQKKSSVLAKMREGVKRMHNSFRMRKQEEEGNLTPSWGVRLQDYVEEEDAEYLGAPMYESELAPEGYKENARQHPRANPVISEKHVLHSPTKSNVEQDQEKQPSIINSTTTTQPTTTTTFMSEKNLAEKMTPTYASGSYSDAANSISSKIQGLIVSRTSSAKMSSQTSSTALPTTSISSAPLSTQTSLSSSHSMNGRSTISPKGASVKEYLKIKFEPGNDEKVLSEAMSPRTTTTTSDVGLIDKVKGVLVMKKAMGKPFRAN >OIW10914 pep chromosome:LupAngTanjil_v1.0:LG06:2058176:2058616:-1 gene:TanjilG_27860 transcript:OIW10914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFNPRVSSSRRKSRKAHFTAPSSVRRVLLSAPLSGDLRSKYNVRSVPVRKEDEVQVVRGTYKGREGKVVQVYRRKWVIHIERITREKVNGSTVNVGVHPSKVVITKLRLDKDRKSLLDRKAKGRAAADKEKGTKFAPEDVMQTVD >OIW09161 pep chromosome:LupAngTanjil_v1.0:LG06:30522495:30526197:-1 gene:TanjilG_11299 transcript:OIW09161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCTVHKHHQKYPVTQTAMRIMTSYLRVQKPLPFINFKSITRAFSVAATISPPSKAIIYSTHGEPNTVAKLVSIPGIEVKENEVCVKMLAAPINPSDINRIQGVYPVRPEPPAIGGYEGVGEVYSVGSAVHNFSPGDWVIPSPPSFGTWQTYIVKDHNVWHKVDKGVPMEYAATITVNPLTALLMLEDCITLNSGDTIVQNGATSMVGQCVIQIAKSRGIRNINIIRDRAGVDEVKERLKDLGADEVFTENELEVKNVKSLLGDIPEPVLGFNCVGGNAASLVLKFLRHGGTMVTYGGMSKKPVTVSTSSFIFKELSLRGFWLQKWLGKDKAEESRGMIDRLLALVKDGKLKYKMELAPFEEFNTALDKALGKLGSQPKQVIKF >OIW10249 pep chromosome:LupAngTanjil_v1.0:LG06:8167082:8168854:1 gene:TanjilG_28000 transcript:OIW10249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGVVVALMLCLMGAAVMGEDPYLYFTWNVTYGNISPLGVNQQGILINGQFPGPEINSTSNNNVVVNVFNNLDEPLLFTWHGVQQRKNSWQDGTLGTQCPIAPGTNYTYKFQVKDQIGSFFYYPTVGLQRAAGGFGGLRINSRLLIPVPYADPEDEYWVLIGDWYGKSHKTLQGLLESGRSLGRPDGVHINGKNDVDGSGKALYTMKLGKTYKYRICNVGLKDTLNFRIQGHPLKLVETEGSHTVQNIYDSLDVHVGQCFSVLVTADKEPKSYYMVASTRFTKKVLTGKGIISYTNGVGPASPQIPDAPVGWAWSLNQFRSFRWNLTASAARPNPQGSYHYGQINITRTIKLANSVSNVNGKLRYAINGVSHVESETPLKLAEYYGISDKVFKYNIINDEPPASLDNIKLATNVLNATFRTFIEIIFENPTKTIQTYNLNGYSFFAVAVEPGKWTPEKRKNYNLLDAISRHTVQVYPKSWAAIMLTFDNAGMWNLRSENSENRYLGQQLYISVLSPEHSLRDEYNLPETQLLCGLVKDLPKPPPYSS >OIW09307 pep chromosome:LupAngTanjil_v1.0:LG06:29379069:29380604:-1 gene:TanjilG_01278 transcript:OIW09307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRSNNEVKNLTGLTLPSYKHSSTPDPIYISSSDDESDISKQLVVYDPVANGNNAIQLFPAPLQCEPPPIRRSKVLPSVGAFTVQCASCFKWRLIPTKNIYEEIRECITEQPFVCQKAREWRPDVSCDDPEDISQDGNRIWAIDKPNISKPPKGWERLTRIRSEGSSKFADIYYVAPSGKRLRSMVEINNYLLEHPEYMSDGVDLSKFSFQIPKPLQENYVRKRPAKLASPHEGSGPEQGHPLAWTNPELHDRRLELPAPPCMEPDVSDPLSRPVKKQATNKVLTEKEQQSKKIISSEVKTEVP >OIW09557 pep chromosome:LupAngTanjil_v1.0:LG06:22864713:22869006:-1 gene:TanjilG_28156 transcript:OIW09557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGAYPKKKNDDAVSGNRNFKAYLATLSLDYLVIVVTMLLFFTVLADWSYIMACLLIILTLLYIAVKRPGDSSLSFDEEPNSLRSYIMSYRIIVMIITFLCILAVDFKIFPRRYAKTETYGTSLMDLGVGAFVLANSLVSRQARNMTSVNLKSTIVSCSPLIILGFLRLVTTTGVDYQVHVSEYGVHWNFFFTLGAVSILTSIINVPPRYSGIFGLLVLVGYQFCLMNGLNHYLLSNDRGTDIISQNKEGIFSIFGYWGLYLLGVNLGNYLIFGSHTSGFRSNRWVRIRVWVLCVLFWLLTVLLDRHVERISRRMCNLPYVTLVVADNLQLISILMLADLVPGRKTSILEEAYNRNLLATFLLANILTGLVNLSIDTLSVSSFAALSVMLIYTYILSTVIGIADYFDIYSAKSQYVVHLAARLTSVIPATCRFRSYVSISLNGGDF >OIW10696 pep chromosome:LupAngTanjil_v1.0:LG06:3902709:3904244:-1 gene:TanjilG_16068 transcript:OIW10696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGLINPNPIIYKKKDRRPRTLSSDENDVEPIDLQEIFDILFSNSIDPEHPKSLEELGVVTEEGIEVDDQKSYVRVTFKPTMEHCSMATLIGLCIRVKLMRSLPSRYKVDIRVAPGSHATEAAVNKQLNDKERVSVVLENPTLVDIIDECLTPSYD >OIW09714 pep chromosome:LupAngTanjil_v1.0:LG06:18962071:18982821:-1 gene:TanjilG_21240 transcript:OIW09714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAKKENGGVIAGPTNPMVTPLLTDLYQFTMAYAYWKAGKHQERAVFDLYFRKNPFGGEYTVFAGLEEVIRFIANFRLTEEEISFIRESLSDSCEDGFFDYLRGIDCSDVEVYSIPEGTVVFPKIPLLRIEGPIAVVQLLETPFVNLINYASLVSTNAARHRNVAGKSKTLLEFGLRRAQGPDGGVSASKYCYVGGFDATSNVAAGKLFGIPLRGTHSHAFVSSYMSLDEIIDKSLRRKDGSSTCEDFVSLVQTWLSKIQWSNSLRGIFGETNQSELAAFTSYALAFPNNFLALVDTYDVMRSGVPNFCAVALALNDLGYKAGGIRLDSGDLAYLSCEARKFFCSIEKEFGVPDFGKMTITASNDLNEETLDALNKQGHQVDAFGIGTYLVTCYAQAALGVVFKLVEINNQPRIKLSEDVSKVSIPCKKRCYRLYGKEGYPLVDIMTGGNEPSPKVGERILCRHPFQESKRAYVVPQRVEELLRCYWPGISDKTRETLPPLKAIRERCIKQLELMRPDHMRRLNPTPYKVSVSAKLYDFIHFLWLNEAPVGELQ >OIW10011 pep chromosome:LupAngTanjil_v1.0:LG06:10865635:10866324:-1 gene:TanjilG_32751 transcript:OIW10011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVSKAMSRLSSRLNSLSHTNFTKQQPSLLKPNHFASTSRVSPTSRLPVELGSLDSMMPLHSAVASSRLVSSLSVQSMGWALVPQG >OIW10473 pep chromosome:LupAngTanjil_v1.0:LG06:5973994:5980371:1 gene:TanjilG_00411 transcript:OIW10473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYRFSFFFFTFLLLHSSTTSNAIENNIKTVVVLVMENRSFDHMLGWMKKLNPNINGVTGSEFNLLSVDDPKSRRFMFKDKVEYVDPNPGHKFDAIREQIFGSKTAFVDPAPMNGFAQQAHSIDSSNKMSENVMNGFTPNKVAVYKTLVSEFALFDRWFSSVPTSTQPNRLYVHSATSHGATSNAALHLVKGYPQRTIFDNLHQAGKSFGIYFQNAPTTLFYRSLRKLKYLTKFHQYHLSFKKHARQGKLPNYVVIEPSYFDTKILPGNDDHPSHDVYRGQMLVKEVYQTLRASPQWNQTLLLITYDEHGGFYDHVPTPLRGVPSPDGIQSSENFNFDRLGVRVPTIAVSPWIEKGTLVHGAKGPTPTSEYEHSSIPATVKKIFNLPNFLNKRDEWAGTFESILQTRKEPRTDCPRYLPTPVKIRKSEADEEASLSEFQQELIQLGAVLKGDNVLTSYPHTVGNDMNVKQGKEYLEDSVKRFFEAGHFAKKMQVSEEHIVQMKPSLTSRPSNSPITDP >OIW09943 pep chromosome:LupAngTanjil_v1.0:LG06:12726413:12728393:-1 gene:TanjilG_32092 transcript:OIW09943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADHHEHEEVKGESLLDKISGIVHDHDSSSSDSDNEKKNEKKTSSPPSSIKSKVFRLFGREKPVHHVLGGGKPADVFLWRNKKISGTVLGVATAVWVLFELLEYHFLTLVSHLLIFSLAALFLWSNASAFISKSTPKIPQVHIPEEPVLQFASALRIEINRAFAVLREIASGRDLKKFLSVIAGLWVLSIVGSYANFLTLFYIAFVLLHTLPVLYEKYEDQVDSFAEKATAEIKKQYAVFDANVLSKIPRGPLKEKKKD >OIW10180 pep chromosome:LupAngTanjil_v1.0:LG06:7381117:7383365:-1 gene:TanjilG_27931 transcript:OIW10180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHFFINAGYFIDCGGTKEVTVDNILYIPDGSYTNVGNNTTINEPNILPTLTTLRYFPDISAKKYCYTLPVIKGSKYIVKTVYYYGGFDGGKQPPVFDQIIQGTRWSIVNTTEDYAKGLSSYYEVVVKSSRKTLSVCLARNADTGSSSPFISALEVKDLDDFLYNPIDFTKYALVTVARHAFGGEDIISYPDDKFNRIWQPFKDQNPVVGSHSSVTSSDFWNLPPAVAFTKGITTSRGKTLEIQWPPLSLPSTYYYVSLYFQDNRSPSPYSWRVFDISINGHTFFTGLNATDKGVTVYSIKWPLSGQTKLTMTPAGGEHVGPVINAGEVFQILPLSGRTQTIDVIAMEDLARSIQNPPADWNGDPCLPKGNSWIGVSCYQHNLVARVTTVNLTNVGLAGSLSPSIGNLSSLVHLWLGGNKISGTIPDMSGLKELQTLHLENNKLEGPVHPSLKKLPKLREINLQNNSLQGKITLMRSEVKV >OIW09573 pep chromosome:LupAngTanjil_v1.0:LG06:22702857:22709970:-1 gene:TanjilG_28172 transcript:OIW09573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLLFCRLHWQPRERNLKGKSKEEEKPKEKEKGKSRNIDHFMEELKHEQEMRERRNQERDRDGHTVDYSISSRFDELPDEFDPTGKLLGSFDDGDPQTTNLYVGNLSPQVDENFLLRTFGRFGPIASVKIMWPRTEEERRRQRNCGFVAFMNRADGQAAKDEMQGVVVYEYELKVGWGKSVSLPSQALPAPPPGHMAIRSKEGNTVILSGPSGPPVTSVPNQNAELVLTPNVPDIMVTPPADDHLRHVIDTMALYVLDGGCAFEQAIMERGRGNPLFNFLFVLGSKEHTYYVWRLYSFAQGDTFQRWRTEPFIMITGSGRWVPPSLPTAKSPEHEKESGLTHAGGKSRRVEPERTLTDAQRDEFEDMLRALTLERSQIKEAMGFSLDNADAAGEIVEVLTESLTLKETPIPTKIARLMLVSDILHNSSAPVRNASAYRTKFEATLPDIMESFNDLYRSIMGRITAEALKERVLKVLQVWADWFLFSDAYVNGLRATFLRSGNSGVIPFHSICGDAPEVEQKVTSEDMVIGGKTNPDAALAMGRGAATKELMSLPLAELERRCRHNGLSLVGGREVMVARLLSLEEAEKQRGYELDGELKHAQNQANSGKYQSSRRETSAEPETVGLPAWNRFGDEALQSQGKGSVPLVPTLSIPQPELKAFTKKEKSDPVLPASKWAREDDESDDEQRGSGKNFGLSYSSSGSENADEGLIKADELESAVDTRLSAHADSGMNDEQRQKLRRLEVALIEYRESLEERGIKNLEEIEKKVATYRKQLEVEYGLSDSGEDGQGKRRTSSERRDGHDVSRKRHRTHSPSNSPQQKLSGKVRDREHDLERGRDRHRDRTHDFDSDRGRDRHREKSGSREREDHDKERGRDRERRRRIK >OIW09182 pep chromosome:LupAngTanjil_v1.0:LG06:30685426:30687838:1 gene:TanjilG_11320 transcript:OIW09182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGICLSNQIKAESPYSTGLNSKHVSTDGTDLSGNNSKVSVPRSEGEILQSSNLKSFTLAELKTATRNFRPDSVLGEGGFGSVFKGWIDEKTLAAAKPGTGIVIAVKRLNQDGIQGHKEWLAEVNFLGQFSHPHLVRLIGYCLEDEHHLLVYEFMPRGSLENHLFRRGSYYQPLSWSLRLKVALDAAKGLAFLHNAENKVIYRDFKTSNILLDSNYKAKLSDFGLAKDGPTGDKSHVSTRVMGTYGYAAPEYIATGHLTTKSDVYSFGVVLLEMISGKRAVDTNRPPGEHNLVEWAKPYLANKRKIFYVLDSRLEGQYSTDQAHKVSTLALRCLSTEIKHRPNMDKVVTILEELQVPDVNGGNQSPSVDRSRARRKSADDANHGRVPTPYPRPSASPLYA >OIW09571 pep chromosome:LupAngTanjil_v1.0:LG06:22719770:22722472:-1 gene:TanjilG_28170 transcript:OIW09571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGVLGKGESPKKVWAPETKLEAKMVEAMQRRESHGSSVKSFNTVILKFPKIDESLRKCKAIFEQFDEDSNEAIDQEELKKCFTKLEISFTEEEINDLFEACDINDDLGMKFSEFIVLLCLVYLLKDEPKALHAKSRIGMPNLEATFETLVDTFVFLDQNKDGYVSKNEMVAAINETTSGERSSGRIAMKRFEEMDWDKNGMVNFKEFLFAFTRWIGIDDIEDDENE >OIW09671 pep chromosome:LupAngTanjil_v1.0:LG06:21009462:21011748:1 gene:TanjilG_11058 transcript:OIW09671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSEQSMENNTEALMEQLSQAFLELEARKVNFENKIQWVEIKQHFLDLEVTLKKQLEEVEAKVKVYEEKQIEMDTIVAERKVAVDSKEQDLLDRLQELKDAAVASIAEARAIHQTASLEFVYDGENKNNEVSNSLGNTDSEEDFPHKPSENSEGVAVEFRPLSVLTNYCEQMNVSGLLNYIEENKKNLSVIREVISVALESATDPAQLVLDSLKGFYPANPKDKRDAALHGRQKSCIIILEALATLSARADPGADYFLKPETKQQAKAIADEWRPELARADIDSANGNSLEAEAFLQVLSTFRIASEFDEEELRKLVLAVSQRKRAPELCRSVGLIHKVPAIVESLISNEKQISAVHFIHAFKLEDSFASVPLLKEYLKNQRRNLQVKAGGVSDVLSAKNDANVRELRAVKAVIKCIEEYNLQSDYPLDTLQKRVSQLQRTNPDKKRSGEFNKRHQSKRPRANERYSSSRSFGGATASAGVVGRHVPPVRTTYTGTPDRYSHAGAIAYDYQVPGQTIHTQPANVPPSNYDHHTPYNPYML >OIW10419 pep chromosome:LupAngTanjil_v1.0:LG06:6259451:6263693:-1 gene:TanjilG_24979 transcript:OIW10419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRYDNPFEEEEVNPFSNLGSVAPAKNSRLSPLRPERADYNYGFGETVDIPLDTSSDLKKKEKELQSKEAELKKREQDVRRKEEAAARAGIVIEEKNWPPFFPIIHHDIANEIPVHLQRLQYVAFTTFLGLTLCLFWNVIAVTAAWIKGEGVKIWFLAIIYFIAGVPGGYFLWYRPLYRAFRNESALKFGWFFMFYLLHIGFCILAAVAPPIVFKGKSLTGILSAIDVVGDHALIGIFYFIGFGLFCLETLISLWVIQQVYMYFRGSGKAAEMKREVARGALRAAV >OIW10443 pep chromosome:LupAngTanjil_v1.0:LG06:5806848:5807729:1 gene:TanjilG_00381 transcript:OIW10443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLYAVLALQFLPTPTVSELVQEQPLVLKYHNGQLLKGQITVNLIWYGSFTPIQRSIIVDFVNSLSYSSSSIPSASSWWKTTEKYKGGSSVLVVGKQFLHPAYTLGKNLRGKDLLALASKFNELSSITVVLTAKDVAVEGFCVSRCGTHGSIRSVNGKVRSAYIWVGNSETQCPGQCAWPFHQPLYGPQTPPLVAPNGDVGVDGIIINLATLLAGTVTNPFNNGYFQGPATAPLEAVTACTGAFGSGSYPGYPGRVIVDKVTGASFNAHGANGRRFLLPAMWDPQTQACKTLV >OIW09501 pep chromosome:LupAngTanjil_v1.0:LG06:23951933:23954651:-1 gene:TanjilG_11623 transcript:OIW09501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELVPYSDSNSASPAWQDMFRSASTRKPASAPHTHAPPKPPSPTDPDDTITFSGDPQVRLALYIAMAHAGVAFTIFILFTATKLLEAYLRPLQWAVLCSIPLRNIQQTLFCFWSEPLRLGLTETLLAVPVSVFRVFVGTLVEVREAVLRVLLRKPRKRSESLRKKRSGFSKMLRLLVSFGILIVAYERLGWVGALSLLGLGFVFSAKNVDSTMSTLSSFRSYSFKRSAISAFFTRGVLKRLKTIIAVGLIVGMIVGFLFGLIFFSYKIGVEGKDAMISLKSHVEESNYAERIGIKKWMDENDVAGTVDSYTTKFYETVSVQIDGLAVQYNMTEFVTGIKHFVIATPGNNSSAPSKDLMTPSPYTEKVLSLKSRVRNREWGQIYAELDSLFREFVITREDLVEKAKGFAIKGIDVARGVFASSRTVLGSSAKFMFSIANSIISGAAEVFNFVSQSMVFIWVLYYLITSESGGVTEQVMCMLPISQSARIRCVDVLDKAISGVLLATAEIAFFQGCVTWLLFRLSKIHFVYMSTVLAFISPLLPIFPSWLATIPAAMQLVLEGRYIVAIVLSVTHLFLMDYGSSEILEDVPGNSAYLTGLSIIGGMTLFPSALEGAIMGPLITTVMIALKDLYTEFVLEEPKGSSKQKAS >OIW10251 pep chromosome:LupAngTanjil_v1.0:LG06:8175585:8183039:-1 gene:TanjilG_28002 transcript:OIW10251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGIALIVSGIRFHISSFISDSPRKSHKLLFTLDDLKASLRRRGPDSLGVKKVLLHHNVSAKNPITSVVEDDDDEVHELQSMMLCSGNTDNSGQCDNFSVGKPAAAELRFIGATLQLRGINPQVQPLVDSSGNVLVYNGEIFGGHNLASDCNDSEFLMQALGKCCSCGSCLTGECVQCGKSSIADVLSTIKGPWAIIYWQGSSNTLWFGRDAFGRRSLLVHWPTEDDPTFLLSSVSPVSPSQQESEYEAPNGMGCLSYWEELPCGIYHVYVNASNTNGHLVGEIKKYEFTNSMLNELIKWERTSIEPNSEDLQISRHEFSRRHDMHSPSLEAVPCELGSTQPAILTPAHILLNALKESVLRRTSLYTIYQAMISGIKQESFVPVAVLFSGGLDSMILAALLDQCLDPIYRISAKAGLKELKRVAPCRRWRLVEIDANLSDLVLETSHVMSLINPANTFMDLNIGIALWLASGGEGCLPVANGDENDDNHARIRYKSTARILLVGSGADEQCAGYGRHRTSYRRGSWLGLHEEMRLDMQRIWKRNLGRDDRCIADNGKEARFPFLDEDVIRVLLNIPLWEVANLDQPSGIGDKVILREVAKMLGLFEAAVLPKRAIQFGSRIARESNRKNFGSNRAANQASAGSVGIYKK >OIW11056 pep chromosome:LupAngTanjil_v1.0:LG06:1650419:1651945:-1 gene:TanjilG_22863 transcript:OIW11056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRVIRAQRKGAGSVFKSHTHHRKGPARFRSLDFGERNGYLKGVVTDIIHDPGRGAPLAKVTFRHPFRYKKQQELFVAAEGLYTGQFLYCGKKATLVVGNVLPLRSIPEGAVICNVEHHVGDRGVFARCSGDYAIVISHNPDNDTSRIKLPSGSKKIVPSACRAMIGQVAGGGRTEKPLLKAGNAYHKFRVKRNCWPKVRGVAMNPVEHPHGGGNHQHIGHASTVRRDAPPGQKVGLIAAKRTGRLRGQAASAASKADKTT >OIW10607 pep chromosome:LupAngTanjil_v1.0:LG06:4810857:4811804:1 gene:TanjilG_15979 transcript:OIW10607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIKGFVEGGIASIIAGCSTHPLDLIKVRMQLQGESNPAPVRNIRSELAFHNGSSIHVAQAPQIKPRVGPISVGIRLVQQEGVAALFSGISATVLRQTLYSTTRMGLYDILKQKWSIGGNMPLSRKIEAGLIAGAVGAAVGNPADVAMVRMQADGRLPPAQRRNYKSVVDAISTMVKQEGVTSLWRGSSLTVNRAMLVTASQLASYDQFKEMILEKGVMRDGLGTHVTASFAAGFVASVASNPVDVIKTRVMNMRVEPGAEPPYSGALDCALKTVRAEGPMALYKGFIPTISRQGPFTVVLFVTLEQVRKLFKDY >OIW09165 pep chromosome:LupAngTanjil_v1.0:LG06:30557820:30558096:1 gene:TanjilG_11303 transcript:OIW09165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDTVKFPHRSVVGVKQLSKESRKGVASENERRWSWIIGGGGGVVVVVVVEEERENAVLECGNMARGGDSGN >OIW11034 pep chromosome:LupAngTanjil_v1.0:LG06:1514655:1514930:1 gene:TanjilG_22841 transcript:OIW11034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPEATFNPRNKTVVASFRVLALYFLVTIFYFDFECLVCCTFLVTSGTVEFGWVVVVVDRWLPSKAEARSISLFNQRFRLCKKYTKIVNQE >OIW09766 pep chromosome:LupAngTanjil_v1.0:LG06:16146059:16149482:1 gene:TanjilG_18681 transcript:OIW09766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASYWNEPNSIDKKSTSYWLGERSISALLLFLCYGSLRLLEKAPILKLLVNYVLLPRLEEIRSQSHSSSDSAVDIIRRMATLDSEPEGDWLGRGAWALDNPRTATGEEPLEKLYRLRAVSVGDGIARVYGLNQIQVGEMVEFSSGVKGIALNLENENVIIVVFGSDTAIKGDLFKCTRSIMDVPVGKSLLGRVIDALRVPIVVAFAQFGSNLDVATQTLRKDLPLSDYVEVRYDDLEKRVVSEPIPKLDKI >OIW09718 pep chromosome:LupAngTanjil_v1.0:LG06:19045257:19059379:-1 gene:TanjilG_21244 transcript:OIW09718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIQKRVEENRQKLVGLTDNLFKKSKEIRSTSSFSNTHRSLDLLTKRQKDAIDAHNGFQRSNGGKESSGYQEDSRGCKAVLERYETLSNKDNAAGGSKTRDIEGNFQSGNLFLEKDLGEVLGSFDNLFCRRCHVLNSEIFARATSSSQANVIESHSGDVGEQMLSKKFSGVFAKRQKKCSKSESASSNAKSIYESSGSGNGPIQDIASSSHSILPKIRSMKKGGIGKTNSKRVVDCVLVSMQKRQNKAMTSNSNSTGEGLDRSSNKIDKNSPIISSEDMGKEFVHEKDCQLKFTDDESWKPLEKGLLDKGMQIFGRNSCLIARNMLNGLKTCWEVYQYIDYKEGKMSVPNGDAPSSPLEVYFKLLESAYPNKLCQNECKGNKKVRRRSKFLRRRGRVGRLRYTWKSTAYRTNRKRITERKDQLYKQYNPCGCQSACVKQCPCRENGTYCEKYCGCPDSCKIRFRGCRCAKSQCQSRQCPCFAAQRECDPDVCRNCWVGCGDGTLGIPGQRGDNECRNMQFLLRQQRRILLAKSDVHGWGAFLKDKVEKNEFLGEYTGELISHREADKRGKIYDRENSTFLFNLNDQFVVDAHRKGNKLKFANHSPHPNCHAKVVMVAGDHRVGIFATDRIAAGEEIFYNYRFAPECTPDWVRKLEASGSKKENGASSSGRAGRAKKSA >OIW09730 pep chromosome:LupAngTanjil_v1.0:LG06:18178125:18178349:1 gene:TanjilG_09403 transcript:OIW09730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VMIHQPASSFYEAQTREFILEAQELLKLRETITRVYIQRTGRPLWLVYEDMERYVFMSATEAQVHEIVDLVAVE >OIW09357 pep chromosome:LupAngTanjil_v1.0:LG06:29728116:29728787:-1 gene:TanjilG_01328 transcript:OIW09357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKDCGHHDEERRQLLRRIFVGITTFIILILLIIFLIWIILQPSKPHFIIQDLTVYTFNLSSTTATATEPSFSSPTPNTLTTTMQATISSFNPNARIGIYYQKLGVYASYRGQQISSYTMVPVTYQGHREATVWSPFLYGNTVPVSPYVLSSLQQDQDAGGTLVNVKINGRVKWKVGTWVSGRYHIDVNCPAYITLGGGRNNAIGVATAVMKFQTDQTCNVDV >OIW10564 pep chromosome:LupAngTanjil_v1.0:LG06:5194937:5197028:1 gene:TanjilG_15936 transcript:OIW10564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQQLNHVKLLCLLILVSSLTIGFATVAGDDKNPFTPKAYLIRYWDKEIRNNLPKPPFLLSKASPLNAVDAAAFAKLAAADGGAALSTRLPEFCTAAKLLCFPELQPSLEKHDKDSNFAVYQDKNFTNYGTGRPGGLDSFKKYSEGVNIPVNDFRRYSRNSAGHKDSFLSYADDGNVVEQTFHTYGAGATGGSGDFKVYADENNVPDIRFTTYSSDTNGRTQSFTSYSENGNAGEQTFTAYGKNGNGAENQFSSYGKSSNVVSSGFSNYAETANGANDSFTSYSTDMNNPTNDFNNYADGGNGVTETFSSYRDQANVGADSFSSYAKNSNAAEVDFSNYGKTFNEGIDRFTGYGKGATGESKVGFTTYGVNNTFKEYNKEDVSVSFSRYTNVSSGGSVSQSVSRVSDNLVKKWVEPGKFFREKMMKEGTVMPMPDIRDKMPQRSFLPRSILSKLPFSSSKISELKKIFKASDNSSMEKMMKDSLAECERVPSPGETKRCIGSMEDMIDFATSVLGRNVVPRTTQNVNGSKKKVIVSNVKGINGGKVTQSVSCHQSLFPYLLYYCHSVPKVRVYEADLLDPSTKSKINHGVAICHLDTSVWSPTHGAFMALGSAPGRIEVCHWIFENDLTWTTAD >OIW09468 pep chromosome:LupAngTanjil_v1.0:LG06:26955396:26958164:1 gene:TanjilG_22742 transcript:OIW09468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLREENEEGRDLKKPFLHTGSWYRMNNDSRESSLYSSTQAFRDASISVFVCVLVVALGPIQFGFTAGYTSPTQSAIINDLGLSVSEFSMFGSLSNVGAMVGAITSGQIAEYIGRKGSLIIASVPNIIGWLLISFANDTSFLYMGRLLEGFGVGIISYTVPVYIAEIAPQHLRGRLGSVNQVG >OIW11029 pep chromosome:LupAngTanjil_v1.0:LG06:1433530:1441170:1 gene:TanjilG_22836 transcript:OIW11029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSIGGEEAMQQGHEERILVSVRLRPLNDKELARKDVSEWECTNDTTIICRNNLSASDRSLYPTAYSFDRVFRGDCSTRQVYEEAAKEVALSVVSGINSSIFAYGQTSSGKTHTMSGITEYAVEDIYNYIYKHPERQFVLKFSAIEIYNESVRDLLSADSSPLRLLDDPERGTVIEKLTEETLRDWNHFTELIAFCETQRQIGETTLNDASSRSHQILRLTIESSALEFRGNDKSSSLAASVNFVDLAGSERVSQTNSAGTRLKEGCHINRSLLTLGTVIRKLSKGRNGHIPFRDSKLTRILQSSLGGNAKTAIICTMSPARSHVEQTRNTLLFASCAKEVATSAQVNVVVSDKALVKQLQKEVSRLETELRISATPHPISDSTALLREKDREIEMLKKEVRELMLKLDRAQSQMKDMAQVAEDDVESMDLQYPRLRVRNTWDFENQSDEPNILSDGVESIRSFHASQYSDGHSISSDENLFQLPDLEKNLPIRIASPGISIASTDAVSNDLDQKSVDDEHEGEHCKDVRCIESEDMIANTHTHSNQADLSPKNTYTNSNTANFRLTVVDNGDKKNMDLSPSELKEDKKLDHSRQGFALPSTETISPWMSRYSSFSCKTLKLSRSRSCKGSLMKSSSSDWFDMEEIMQNTPEMGDEKDFSIRPGGFQRKVYTLNYNANVERKSLDSYINFVGGAQIAESSTNKETESNGPNGDARQNGSFNLTIESSALEFRGNDKSSSLAASVNFVDLAGSERVSQTNSAGTRLKEGCHINRSLLTLGTVIRKLSKGRNGHIPFRDSKLTRILQSSLGGNARSAIICTMSPARSHVEQTRNTLLFACCAKEVATNAQVNVVVSDKALVKQLQKEVSRLESELRNSWTPRPISDSTVLLREKDREIEMLKKEVQELTLKLDRAQSQIKDMAQVVEDDVESMDLQYPKLRVRNTWDFENQSDEPNVLSDGEESIRSFHASQYSDGHSISSDENLFQLPDLEKNLPIRSASPGLSVASSDAISNDFDQKNIDDQHEEEHCKEVRCIESEDVITNTQTHSNQADLSPNNTYTDSNTSSPAVNTANSELTDNGDKKNMDLTSSELKEDKRLDHLRQDFAFPSTENISPWLTRYSSFNYRTMNLSRSRSCKENLMKSSSSDWFEMEEIMQSTPQMGDEKDFPGRPERFQRKFYALNYNANPERQSLDSYISFVGDAQNVESSTNKESESNGELASRGKANKTSLNLMTDHEVTEKGTNPIIITTKEFKDVGLDPMQADIENHSNWPSEFKRLQREIVELWDACNISLIHRTYFFLLFKGDPSDSIYMEVELRRLSYIKQTFSQGNQIVESGLTLTPDSSIRNLRRERQMLSKQIQRRLSKSERENLYLKWGLRLSSKHRRLQLSHRLWSETKDMEHARESAEIVAKLVGSVEPHRAFKEMFGLNFAPRPTSKKSFGWTASMKNILL >OIW10219 pep chromosome:LupAngTanjil_v1.0:LG06:7802210:7803493:1 gene:TanjilG_27970 transcript:OIW10219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTLIRRMRLSYIFLTFSLSLGIGFFERVASFGINYGQVANNLPAPEKVLELFSYFKITKTRIYDTNPQILNAFANSNIEVIVTIENGILSQLNDPQQALQWVSDHIKPYLPDTKITGIQVGNEIFSDGDISLIQSLVPALVNIHNALAQLGIGSNIQVSTPCSLSVLEQSYPPSAGSFKSEISDIMHQFLDFLSSTKAPFWINAYPYFAYKDAPNEISLDYVLFNPSVGMVDPNTNLHYDNMLYAQVDAVIFAIANMGFNGIEVKVSETGWPSKGDSDEAGATMQNAATYNKNLLRRQIANEGTPLRPRMRLEAYLFALFNEDMKPGPTSERNYGLFEPDESMTYNVGLSAFATISASSTTMSLTSSATNTKVKQ >OIW08988 pep chromosome:LupAngTanjil_v1.0:LG06:31982138:31986813:-1 gene:TanjilG_05964 transcript:OIW08988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSGVTGIMNRGQKIKGRVVLMRKNLLDFNTISSIPSGGVEGVIGSGLNVIGSTLDGLTAFLGRSVSLQLISATQLDENGNGKVGKDTFLEGIIASLPTLGAGESAFSIHFEWDNSMGIPGAFYIKNYMQVEFYLKSLTLEDVPNHGTIHFVCNSWVYNSKLYNKRERIFFANKTYVPSETPAPLVKYREEELKILRGDGTGERKEWERIYDYDVYNDLGNPDSSEKLVRPILGGSSIHPYPRRGRTGRYPTRKDPKCEKPGEVYVPRDENFGHLKSSDFLIYGLKSLSQYVLPAFQSVFDLNFTPNEFDSFQDVRDLYEGGIKLPLDVISTISPLPVIKELFRTDGEQVLKFPPPHIIQVSKSAWITDEEFAREMLAGVNPCLIRRLQEFPPRSNLDPETYGDQTSKITAEALELDGCTVEEALSKQRLFILDYHDVFMPYLRRINQTSAKSYATRTILFLRDNGTLKPVAIELSLPHPDGDESGAVSKVILPAKEGVESTIWLLAKAYVVVNDSCYHQLMSHWLNTHAVVEPFVIATNRQLSVLHPIHKLLHPHYRDTMNINALARQSLINADGIIEQTFLPSKYAVEMSSAVYKNWVFPDQALPNDLIKRGVAVADSSSPHGLRLLIEDYPYAADGLEIWAAIKSWVHDYVSLYYAADNDVKSDYELQNWWKEVVEVGHGDLKDKPWWPKMQTLEELIETCTIIIWTASALHAAVNFGQYPYGGFILNRPTTTRRLLPEQGTEEYDEMVKSHQKAYLRTITPKYQTLVDLSVIEILSRHASDEVYLGERDNPHWTSDSRALQAFQKFGNKLKQIEANFAQKNNDASFGNRIGPVELPYTLLHPSGEEGLAFRGIPNSISI >OIW10972 pep chromosome:LupAngTanjil_v1.0:LG06:976118:979682:1 gene:TanjilG_22779 transcript:OIW10972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATMKKRGRAANESLSIPNYHEHTNNMSDYELSREQRIRENRERMGKLGIMDLSLNLKVQTKTPIPQKRSRYNPKTPPSLPPRRSSRLQNASPVSYCELPQKKDEHENLKNRKILIEAGSKPEVYTEEHEKLLGNTEKSWTLFVDGCGKDGKRIYDSCHGKTCHQCRQKTLGYRTRCSQCNMVQGQFCGDCLYMRYGEHVLEALQNPTWLCPVCRGICNCSLCRQAKGWAPTGALYKKISASGYKSVAHYLIQTRRSEIDVKENEDAAVNPVSAKRSLPFSDVEINGSLDVNENHQGPSKPLTETGSDGEVSAKRSLLFSEVQDQPEKVECSDTIKPLQHENVECSDTMKPVAPSPAPNSDSIAGRLRSRVKKTL >OIW09549 pep chromosome:LupAngTanjil_v1.0:LG06:23487148:23490841:-1 gene:TanjilG_30868 transcript:OIW09549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDYKLDIVNNQHDPEDPGLNTTISSRLSQERIHNQGMMSINNFTTNAERRALNERFLKANKAAIDDNVSLHVNGEERHHLHGSAKKSGPLVAGTAYCISSCSMIMLNKIVLSSFNFDAGISLMFYQNFISTVVVVLLALSGRISVEKLNWKLVRVWIPVNVIFIGMLVSGMYSLKYINIAMVTILKNVTNIITAIGELYLYRKRQNSKVWTAMFLMIISAVSGGITDLSFDAVGYGWQISNCVLTASYSLTLRWVMDEAKKSTKSGSLNEFSMVLLNNLLSLPFAIILILIFGEWDYVIHANVIKLPIFWVVATASGLLGLSISFTSMWFLHQTGPTTYSLVGSLNKIPISIAGILVFKVPLSISNLFSILFGLFAGVFFARAKMS >OIW09949 pep chromosome:LupAngTanjil_v1.0:LG06:12871060:12874756:1 gene:TanjilG_32098 transcript:OIW09949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDENVLDDIIRRLVAAKNGRTTRQVQLTEAEIRQLCTSSKEIFLNQPNLLELEAPIKICGDVHGQYSDLLRLFEYGGYPPEANYLFLGDYVDRGKQSIETICLLLAYKIKYKENFFLLRGNHECASINRIYGFYDECKRRYNVRLWKAFTDCFNCLPVSALIDEKILCMHGGLSPDLKNLDQIRNIARPIDVPDHGLLCDLLWADPDKDLDGWGENDRGVSFTFGADKVAEFLEQHDLDLICRAHQVVEDGYEFFAKRQLVTIFSAPNYCGEFDNAGAMMSVDETLTCSFQILKSSEKKGKFGFGNNTSRPGTPPHKGGKA >OIW08972 pep chromosome:LupAngTanjil_v1.0:LG06:32111282:32112439:1 gene:TanjilG_05948 transcript:OIW08972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSSTLEADTQALLEIKLAIDPNSVSPSSYLNSWDFTVDPCESTGSQFLGILCNLPLDNSSSRVTALDLDSIGYDGFLTPSIGNLTELTMINLDKNKFRGPIPQTISNLRKLTRLTLSDNFFTGPIPLGISALKNLQHIDVSFNRLSGSLPSNISALRSLTFMSLSNNAFSGRLPDLTGLWQLQTLDLSINQFYGDIPNLPISLRKIYFNHNILSGHLTPLKGLKRLKWLDVSDNRLSGAISKDIMSLKGVVHLNVSYNRFTTLEVINYSLEGPMLQVLEAQGNHLKGHLPVNLVTLQNLTNVNLANNEFTGIIPNEYGAKVITSWRRLYLDHNFLQGKLPQEFGLKTNIRGSLANNCLQCPPNIVLCHGAQRPATECVGNHQAP >OIW09736 pep chromosome:LupAngTanjil_v1.0:LG06:17303128:17320185:1 gene:TanjilG_23876 transcript:OIW09736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQEALSSKPDSSVGTIRGALHANKMFPFFIKGTCRFKSIDVILHNSRTSNTVESSTRKFDFLTGNKIGVQELPDCGIWISIELATIVISCEEGKMDLVTDLSGITSFVFKYQTSIGIKNDHNLLESLLLRSVHCLHEISLSGSKFTLCLGRVQSTSSSGNESKTLGSTSSDGNISYVVQETNLTDFENSNNQSPDLVKKMRSPVNNSIPAPASHWLLINVAVANIFIGRCSIKSNLVLANQSNELLSSLSIGGEFQMISWVIQGGLVVLETTSLGMAIGNYCSYIHYIGNLNSDTHQSNEGIKKGEQGKESYNLNDENDQGTVCTSQQAESTLPDSFDLSLSHSALVFALENESGGIREIVLEVDIHLKFELTSTGRKLTVNVSHLSILSQFINEEVEDERATPHFSSVTSKDLSPHLGSEDPLLGFQNFGEFSSVSDASSSRDSIPLQLRRPHQILKDLRAFMSLDRPDNGSLHLSRCWFGVGSLSGFNMTLSLSEFQTILSMASSLSGLSSQNTTNELERNHSSTSDEVENSLEALIPDGAIVAIQDVNQHMYLTVEGEEKAFSIGGIIHYSLVGERALFRVKYCTQRRWKSTALWFSLISLFAKSDRGVPLRLNCRPRSCFVDISCFDDGGSALWRVCPPQGESYEGITDWEACNQLVKRTFYLENKRNNSAVAFVDGAPEFVRKPGNPIKFKVFPDLSAVYDVSETASYPGMALQTSVNTNEESTSWQGGKLPCVDIKIENISLNIVHELSDTEDLFPLICFSMNNTQFTIQNLATKSRVIGTSSAVVNCFDAQRNLWGELLHPVEISIFYRSNVQTQLSEYASRAVPVNYFCRFKEVLNISLSENSLDVLLFVIGKLSLSGPYSLQSSMILANFCKVENQSGLNLLLQFNQQKMTIPKKQSASLLLKRPSDLKNQDSEAATSVAMQLSDFGSFATTSIRFSLSQTQTLAWRTRIMSNEGSRTFPGPIFVVSIFRNSEVGLSVVVSPLIRIHNETGFPAELQFQRPDPKEDEFASVLLKPGDSIDDSMAMFDAINFSGGVKRALTSLSVDHIKGGKAVRLSGLFDKLNFRVRKALFVQSVKCSFTTAHCALMSGGACVANMHFLIQTLARDIPVATPNKSAAELNENLPVSLLEQKEIYLLPTVRMTNLLHSEIDVLLRETDQSNPAGYENIGKQATISHGSTVEFYANPTIIYFTVTLTACNSSSKLVNSGDCLKKLLKQKNDLQHMDINLDFDRGKFSATLRLYRGNRGMLEVVAFTSYSMKNDTDIPIYVLATKRWPLSRTELDNLNSNVPSELGLCLPPKSTRSWFLKSKSVQLKLLEDHTSDALLDLDSLSGLTEISFKKEEGSGVKSVTKLGVSSGPSSGEIGVPSQMVTLVPRYVICNESEGCITIRQCYFQDEVAGDISIDSKQKMAIQLKEGFSKTREFNVFEHFIRKHKSYNDNSLLYIQIQTNEPGWGWSGPVCIASLGHFFLKFRKQSDEVKISESKTTQFAAVHVVQEGSTLVLSFCKPPNLSLPYRIENYLHDLSITYYQKDSLEPEFLGPACSADYVWDDLTLPRRLVVRINDSFQLHEIKLDKVRAWKPFYKFRQQRALVPDLLLDKRSRDQMAGFREYNSMQMENIGYEIYAEGPTRVLRICEISDSFKKDTVIDMCAKFQLRVSRIAIHLLEHVKQEENRNELKEFSPFIVANLGNLHLITVSNNHQRYNQFSVQYINLELKWNGTPFASMLRRHQLDDSDSNDLLKVVFVLLTSSSNVKQVRYSAIFLQPIDLNLDEETLMKIASFWRTSLSDSESQRFYFDHFEIHPIKIIANFIPGEPHSNYNSEQEALRSLIHSVVKVPPIKNMVVELNGVLITHALITMREIFIKCAQHYSWYAMRAIYIAKGSPLLPPDFVSIFDDMSSSSLDIFFDPSRGLANLPGLTLGICMFC >OIW09728 pep chromosome:LupAngTanjil_v1.0:LG06:18056948:18060660:1 gene:TanjilG_09401 transcript:OIW09728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFKKTLAQRLLGITKISSQSHSNCRISYSSVQSRIPPSAGTSDIALDPGEFGTIRRFVHKKSVNLPELRPMPPSTGNLIRKLRDMDIARNRVRFDGLTPPESNDGVSVEEARKFLRAMQIENMKSKLRKIQQSCITYSEFIRICGENCEDEDQAMKVAKLLDDSAVIITVGDIVFLRPEQVAKSIQALLPVPEVMSNESGRKELEVMEKEKAAIDNKADTLVRRELWGGLGFMVLQTAALMRLTFWELSWDVMEPICFYLTSMYFMGGYTFFLRTSKEPSFEAFYQSRFSTKQKHQMKLHNFDISRYNQLRAASSFSQPLNKFHKNL >OIW10981 pep chromosome:LupAngTanjil_v1.0:LG06:1044585:1052845:-1 gene:TanjilG_22788 transcript:OIW10981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVLPCSGVQYARESECTQHSSETAFVYQGEPNWQINGEQVKLAANPLKEPSNKMEGPQIERQGEGKQTFFYLSDCQYIGTSCCDRHVKDQKESCDCHNFKEDVISEHCLTSETSLAVVDTIESELPNNIKEGDLSLSKPAWLEGDGSVALWVKRRGKWQAGIRCARADWPLSTLRAKPTHDRKQYFVIFFPHTRMYSWADILLVRSINEFPHPITYKTHQVGLKMVKDLTVARRFIMQKLAVGMLNIIDQLHFNALTEIARDVKVWKEFAMEASRCNGYSDVGRMLLKLYNSILQHYINADWLQHSCHSWVERCQNANSADSVELLEEELVESIMWNDVKTLRDEPVQPTLGSEWKTWKHDIVKWFSTSPSLSSNKYTEPQTSDGSYQANFQISRKRPKLEVRRADTHASQVETKGLVHSITLETDHGFFKKQETLSTVVAESFKQDDVREVSMATDSSNNLANKWSEIVVEAASSDFLHTKGKESTPMTELAVSKSAEPDSKNRQCIAYIEAKGRQCVRWANEGDVYCCVHLSSRFLCSSAKAENHFPVDTPLCEGTTVLGTRCKHRALPGFSFCKKHRSYAETEQNSNLPYNALKRKHRKSYTGSEDMFCKDMVLVDVGSALQVDSVTSIGDDSLHGKSNLKKKPIPDENDRSAADTLQCIGSSVYDNENPCKEFPKHYCLYCESHLPSWLKRARNGKSRIVSKEVFTELLRDCSSSEQKVHLHKACELFYRLFKSILSVRNPVPEDVQFQWALTEASKDSGVEEFFTKVVNSEKARINLIWGFNYKMDVTSVIEEPPLLPSTTNDSLHNENAIKCKICSAEFPDDQELGNHWMDIHKNEAQWLFRGYACAICLDSFTSKKLLETHVQERHHVQFVEQCMLLQCIPCCSHFGNTEQLWQHVLSIHPDDFKPSKALDKKTVSISKDSPPKHDQGNSASLENPSENPGAVRRFVCRLCGLKFNILPDLGRHHQAAHMGPNLVSSRPTKRGVRFYAYRLKSGRLSHPRLTKGVTATSYKIRNRVSANLKRCIQATKSIDMGRPIIQPGVTEITDISRLAKHQCSAVAKILFSEIQKTKNRPNNLDILSIARSACCKVSLVASLEEEYGFLPEKLYLKAAKLCSDNNIAVKWHQEGFICPRGCKVLIDQALHSPLSSLPNGFVRPNSANLSDSASKEWEVDEFHCIMNLHSFKSESLQKAVILCDDISFGKESIPVICVVDQELMYSLSVSNEQDINSSMPWKSFTYVTKPMLDQSLSLDSKTPQLGCACCPYSACCPETCDHVYLFGNDYDDAKDIFGKPMRGRFPYDENGRVILEEGYLVYECNQMCRCNKSCPNRILQNGVRVRLEVFKTEKKGWAVRAGEAILRGTFVCEYIGEVLDVHEAHNRHKRYDTENCSYFYDINSHVNDMSRLIVEQAQYVIDATKYGNVSRFINHSCAPNLVNHQVLVESMDCERTHIGLYASRDVALGEELTFDYHYEPMPGKGSPCLCGSLKCKGRLH >OIW10283 pep chromosome:LupAngTanjil_v1.0:LG06:8377597:8383639:1 gene:TanjilG_28034 transcript:OIW10283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGEFQDDTTQNKDGMDLDEKGNAGKCNKASAMDVDECYNDNKFLENNRIVKSIGKVVLGLRNLGFTSMAEDAYASAIFLLLKAKVYSVADDDFRSSVLQSIQSWIQAVPLQFLHALLVYLGDTVSYESTSSGIKSPLASQPSSCCPGIDTPSEGLVRWKLRLEYFAYETLQDLRIDKLFEIIVDYPESSPAIEDLKLCLEYTGQHSKLVESFISALRYRLLTAGASTNDILHQYVSTIKALRTIDPAGVFLEAVGEPIRDYLRGRRDTIKCIVTMLTDGTGGNSSASGNPGDSLLEELNRDEEIQEDAGVDDDFNTDDRQAWINATRWQPDPVEADPYKGSRNQRKVDILGMIVGIIGSKDQLVHEYRTMLAEKLLNKSDYDIDSEIRTLELLKIHFGESSLQKCEIMLNDLIGSKRINTNVKATISGENAISMDTISATIMSSNFWPPIQDEPLNLPEPVDQLLSDYAKRFSEIKTPRKLQWKKSLGTVKLELQFEDRVMQFTVAPVHASIIMQFQDQISWTSKNLAAAIGIPVDVLNRRINFWISKGIIAESPGADSGDHVYTILENLAETSKNGGSSGNTQELLGGDEEEERSVASVEDQLRKEMNVYEKFILGMLTNFGSMALDRIHNTLKMFCITDPTYDKSLQQLQSFLSGLVSEEKLELRDGMYILKR >OIW10532 pep chromosome:LupAngTanjil_v1.0:LG06:5453617:5454738:1 gene:TanjilG_15904 transcript:OIW10532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSKDVHVLAVDDSFVDRKVIERLLKISSCKVTAVDSGTRALQFLGLDEQRRTSDSDEGFVGLKVDLIITDYCMPGMTGYELLKKIKESSTFREIPVVIMSSENILPRIDRCLEEGAEDFIVKPVKLSDVKRLKGYMNNNRDGKVGISQDYSGDGDKSDVRINKRKMA >OIW10308 pep chromosome:LupAngTanjil_v1.0:LG06:8602163:8610187:1 gene:TanjilG_28059 transcript:OIW10308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVSASAIYFLNLRGDVLINRLYRDDVGGNMVDAFRTHIMQTKELGVCPVRQIGGCSFFYMKISNVYIVIVVSANANVACAFKFVVEAVALFKSYFGGAFDEDAIRNNFVLIYELLDEIMDFGYPQNLSPEILKLYITQEGVRSPFSSKAADKAVPNATLQVTGAVGWRREGLVYKKNEVFLDIVESVNLLMSSKGSVLRCDVTGKVLMKCFLSGMPDLKLGLNDKIGLEKESQLKSRPTKSGKTIELDDVTFHQCVNLTRFNAEKTVSFVPPDGEFELMKYRITEGVNLPFKVLPTIKELGRTRMEVNVKVKSVFSAKMFALGVVVKIPVPKQTAKTNFTVTSGKAKYNASIDCLVWKIRKFPGQTESTLSAEVELISTMTEKKSATKPPIQMEFQVPMFTASGLRVRFLKVWEKSGYNTVEWVRYITKAGSYEIRTITSTLTMALRIPCNCSIPLQTKNLQSFPVNTSFKQRRKTITSELQTQQQVGVNGSSVSVGVPTHKVTVHDRQRGTVHQFLVPEDQYILHTAEDQNITLPFACRHGSLLNIIIIVQAWMQDEVRIAVYTQFKLLWLPACTCIVPIREN >OIW10505 pep chromosome:LupAngTanjil_v1.0:LG06:6218066:6219622:-1 gene:TanjilG_00443 transcript:OIW10505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSILQATSNSTNENFLKCLSDHSKTSNPVSDVVFTRSNNSFSAILNMHIQNKRFKAATIAKPLAIVTAQRESHVQTTILCAKTHGIQIRIRSGGHDTEGLSYVSDVPYVVLDLFPLHSVDVDISSATAWVEAGATLGQLYYHIYEKSKVHAFPAGVCTSLGTGGHFSGGGYGNLLRKYGLSVDNIIDAKIVDANGNILDRKSMGEDLFWAIRGGGGASFGVILSWKIKLVLVPATVTVFTVNKTVEDGAADVLYKWQEVAPNLDQDLFIRAEHSVVNGTKGGKKTVQVAFIGEFLGTIDRLIPLLNKSFPELGLKKSDSIEMPWINSTLYWNHIPMGTPLETLLTIPKEPMTVYTKIKSDYIKKPIPKKAFYVIWDKFIESESMVMQWNPYGGKMAQIPSSATPFPHRAGNLFKIQYLLAWTKDGDEANNRYLNFSRSFYDLMAPYASKSPRETFHNYRDVDIGANHPSNTTSLDIARTYGSKYFKDNFDRLVKVKTRVDPLNFFRYEQSIPPESSN >OIW10724 pep chromosome:LupAngTanjil_v1.0:LG06:3715783:3717294:-1 gene:TanjilG_27670 transcript:OIW10724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGNPRKSNTGDDLHAAARSGDLIAVHSILTSNPLAVNSRDKHSRTPLHLAAFSGQTEVVSYLCKNRADVGASAMDDMTAIHFAAQKGHLEVFRVLVSAGASFKASTRKGMTSLHYAAQGSHLELVKYLAKKGASLKAKTKAGKTPLDLATNQEVRSFLEEFEKSAKDGDVRNKDTAEQSVPELINKDKDDESDPKTSTLGSEDNLGAETSAAAVDKENSEREKRKGDADDTREESAQPKKAKVKLSHLQSSDDNQEEENL >OIW09160 pep chromosome:LupAngTanjil_v1.0:LG06:30503026:30506496:-1 gene:TanjilG_11298 transcript:OIW09160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIFNLNADSNENGDLLELLEKIPEASSGTSNSSIVNADGSSNIGGGDDSCSTRAGNGSGVFTFDFGIMKVEGRNDVVTPTKELFPMSSGNWKMQQQSTLPFPAKKSGVDLSWEQGENSEMVKMVQAQKPVKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVDADINFNLIDYDDDLKPLKNLSKEEFVQTLRRQSTGFSRGSSKYRGVTLQNCGRSEAPMGQFLGKKAYEKAATNCNGREAVTNFEPSKYESNMKPKAINEGDSHNLDLNLGIATPGHGPKENKENLQFQPVPYNMHLGRNSRVETNVHSVIDGPALKSLVVTEDRPSVWNATYSSFFPTQEIGERIVPHPYQGLPNWAWQTQGQVTATQMPQFSTAAAASSGFSISATSPPKSMNPFPQSLCFTSPSTPVSIAPQYYYQVKSPQAPQ >OIW09467 pep chromosome:LupAngTanjil_v1.0:LG06:26895542:26900709:1 gene:TanjilG_22741 transcript:OIW09467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRVAAKRLSSLSSSPWRANHAASAFLSRNPVAGPSFSEERSSDPFLLRPEFLLPFRGFASESLIHTKEHGIITEIPPTVAAVKNPSSKILYDEHNHERFPPGDPSKRAFAYFVLTGGRFVYASLVRLLVLKFVLSMSASKDVLAMASLEVDLSSIEPGSTVTVKWRGKPVFIRRRTDDDIKVANSVDVGSLRDPQQDSERVKNPEWLIVVGVCTHLGCIPLPNAGDFGGWFCPCHGSHYDVSGRIRKGPAPYNLEVPTYSFLEENKLLVG >OIW09429 pep chromosome:LupAngTanjil_v1.0:LG06:28224646:28232858:1 gene:TanjilG_14580 transcript:OIW09429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEETTSIDYVMEAASGPHFSGLRLDGLLSSPPSSPAHHFSSSSLSHHSLPIQPFIIGVSGGTASGKTTVCDMIIQQLHDHRVILVNQDSFYRGLTPDELKRVHEYNFDHPDAFDTEQMLECVGKLISGQSVQVPVYDFKIHQRSSENFRQVNASDVIILEGILVFHDQEVRDLMNMKIFVDADADVRLARRIRRDTVERGRDINSVLEQYAKFVKPAFDDFVLPSKKYADVIIPRGGDNHVAIDLIVQHIRTKLGQHDLCKIYPNIFVIQSTFQIRGMHTLIRDQDISKHDFVFYSDRLIRLVVEHGLGHLPFTEKQVVTPTGSVYSGVDFCKKLCGVSIVRSGESMENALRTCCKGIKIGKILIHRDGDNGKQLIYEKLPKDISERHVLLLDPVLATGNSANQAIELLIQKGVPESHIIFLNLISAPEGIHCVCKRFPSLKIVTSEIDVALNEEYRVIPGLGEFGDRYFGTDD >OIW10234 pep chromosome:LupAngTanjil_v1.0:LG06:7961521:7963957:1 gene:TanjilG_27985 transcript:OIW10234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLKVLAALDTAKTQYYHFNAIIIAGMGLFTDAYELFSITLIIKMIGRIYYSDRHGDHKHQTPPFVASALVAVALLGTAIGQVVFGRIGDLKGRRHVYGYALILIIIGSLACGFSICTSRTCVLLSLGFFRFMLGLGIGGDYPLSSTIMSEFANKRTRGSFIAAVFSMQGFGILASAIVTTVVCSIFRRASDTSPQKPTPQGADIAWRLILMLGSIPAAMTYYWRMMMPETARYTALVEQNVMQAAHDMEKVLDISISEITENNPLPPTQHNYPLLSMEFLRRHGRDLFACSSTWFLVDIVFYSSNLFQYQIYKRFLKNKNNVDVYQEAIQLAGLQAILAVCSTIPGYFFSVYFIDRVGRVKIQIMGFFFMALAFFAIGFPYYSYWARGEKESNKGFIALYGLAFFFANFGPNTTTFIVPAELFPARFRSTCHGISGASGKVGAMIGCVGFLWASHKEKEEGYPKGIGMQVSLITLGGVCILGMLVTFFFTKETMGRSLEENETEPQDIKIEEFS >OIW09769 pep chromosome:LupAngTanjil_v1.0:LG06:16209595:16212603:1 gene:TanjilG_18684 transcript:OIW09769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSTSSYTSSRGIAAIVGVGPNLGLSIARKFAHEGYIVAILARDLGRLSRFADEIAREEKGQVFAIRIDCSDSRSVREAFEAVLSLGFVQVLVYNAYQPFSWNPTSFQQLRIDSFEKSLAVSSVGAFHCAQQVLPGMVERGKGTILFTGCSASLNGIAGYSELCCGKFALRALAQCLAREFQPQGVHVAHVIIDGAVGPPRGPTTSQRGSVVGEQSSEVGRGEGAMDPDTLAQTYWLLHIQDRSAWTMEMDLRSSSARFF >OIW09504 pep chromosome:LupAngTanjil_v1.0:LG06:24070369:24070953:-1 gene:TanjilG_11626 transcript:OIW09504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METPKGTCELRYPKGKIGVCATKENKEMPNQTEMFCETRQSKKGEPLDQETTNAMTQLNDLFENSSQQPDEAFQSMFGKEKPGRVQCHGRVTTPTLLKRTEEIAKIEKKTC >OIW09757 pep chromosome:LupAngTanjil_v1.0:LG06:16472605:16475308:-1 gene:TanjilG_18087 transcript:OIW09757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTNNCKKHYVLVHGACHGAWSWYKLKPLLESAGNRVTILDLAASGTNLEKIEDVNTASQYSEPLLEFIASLPQNEKVVLVGHSLGGLSIALAMDKFPEKVALGVFLTAFLPDTEHKPSYVLEKYIERAPEGGWLDTEFYPCGNKSAMSFGPKFLASKIYQLSPTEDLELAKILLRPGSLFVEDLSQENNYFRHGYGSVPRAFITCTEDLGISLEFQLWMIQNAGIIEVEEIKGADHMAMLSKPQELCDSLLLIASKYA >OIW11152 pep chromosome:LupAngTanjil_v1.0:LG06:278048:278849:1 gene:TanjilG_22959 transcript:OIW11152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHRRMEMCLVLVIVAMLCAGAAAQSSCVNTLVSLSPCLNYITGNSSTPSSGCCSQLANVVRSSPQCLCQVLNGGGSSLGININQTQALTLPAACKVQTPPTSQCNAASPANTPAGTVGGSPAEADSPNSVPSSGTGSKTVPTTEDGSSGGNSIKLSIPMFLILAAAYTSTFSTY >OIW10971 pep chromosome:LupAngTanjil_v1.0:LG06:970006:970618:-1 gene:TanjilG_22778 transcript:OIW10971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGRRFVVANVGPIGCIPYERSINQLKEGKCVDLAENLSTQYNAQLKDLLAELNDNLHGATFVLANVYDLVSEIITNYAKYGFRTASTACCGDGGEYAGIIPCGPISTLCSDRYKHVFWDPNHPSEATNIILAKQLVDGDNTYVSPINLRQLRDL >OIW09514 pep chromosome:LupAngTanjil_v1.0:LG06:24333451:24335541:-1 gene:TanjilG_11636 transcript:OIW09514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEGESGDPMDQFHRNEAISAVADDGFLAEEDDDYDDLYNDVNVGEGFLQSLNKNNNIDDLGIRTDDVPDKKPPPQSNPVSQDGGGASLPGGGGSGEGSGIGGVVPERVNGFQNQGSGGVGGIRVELGQPSVKLNQIKEQIGNDNIVGVQQPIVGVVGSVGNEGLGRQGGGNLIRVGGNGVGNSVSAVTGVNTAGGAAVVAGGGGLAVVAGGGGPAAVAGGGGVAAAGGTVLFVGDMHWWTTDAELEAELCKYGPVKEVKFFDEKASGKSKGYCQVEFFEPFAATACKEGMNGHVFNGRSCVVAYASPYTVKKMGEAQVNRNQQGTQSAAGPQQQGNRGPAAGGSNIATGGNYQGGDGNNRGGYGRGNWGRGNNPGMGNRGAVNPMRNRGGGMGGRGMMGNGGNGFGQGIGGTPPMMHPQSMMNQGFDHAFGGPMGRMGGYGGFPGGPTPPFPGMMPSFPGVGLPGVAPHVNPAFFGRGMPVNGMGMMPASGMDGPNMGMWPDPSMGGWGGEEQGGGAGESSYGEEAASDHQYGEVSHDRSGWPNSTREKDKGSERDWSGSSERKYKDDRDQVYERDAPREKDIGHDHEWSEKRHHDDREVGRERDRDRERDKGRSRDRDRDRERERDRERDRYRDDRDRYADHHRYRDREAGHEDEVERGRSSRTHSKSRLPQEEEHHSRPRDADYGKRRRLTSE >OIW09541 pep chromosome:LupAngTanjil_v1.0:LG06:23213830:23226448:-1 gene:TanjilG_30860 transcript:OIW09541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLLEYDMASESGKDSFARASNAEWVEEDEEELQMAALLRLPTTKRANLALLRNLSSSPGNSDSEKIKNIKLEQIDVRRLNRLHRERLVKNALATNDQDNYKLLSEIKNRLKRVGLEVPNIEVRYKNLTIGADVQIGSRALPTLLNYARDCFEGFITGLGLTRPKRHSLTILDNISGVIKPGRMTLLLGPPGSGKTTLLLALAGKLDRNLQKSGSITYNGHEQKEFVVQRTAAYTSQTDNHIAELTVRETFDFANRCQGSHDAGLVKSLEQLEKEKNIMPSPAIDAFMKAATVGGKKHSVMTDYVLKVLGLDVCSDTIVGNDMLRGVSGGQRKRVTTGEMIVGPRRALFMDEISTGLDSSTTFQIVKCIRNFVHQMEATVLMALLQPAPETFELFDDIVLLSDGYVVYQGPREDVLEFFESLGFKLPPRKGVADFLQEVTSKKDQAQYWADSSKPYKFISVPEFTEAFRNSRFGKSMESMCTAPFDKSKSDPSVLPKTKFSVSYWDLFKGCFSRELTLVNRHRFLYIFKTCQVVFVGFVTCTMFVRSRLHPTDEANGILYESALFYGLTHVMFNGMSELSLTIVRLPVFYKQRDNLFYPPWAWSLSSWILGIPYSAVESFLWAAVTYYTIGFAPSPGRMCRQILLLFMVHQMALGLFRFMATVARDMVIANTFGSAALTIVFLMGGFVIPKNMIKPWWIWAYYLSPLNHALKAISINEFTATRWMKHSAFGEGTVGYNVLKTFDMPTEDYWFWAGIGVLVIYSLVFNSCVILALTYLNPLQNARTVLAEDEDSQMSSDVDGNGSETSSDADNKTKGMILPFQPLTMTFHNVNYYVDMPQEIRKQGITETRLKLLSNVSGVFRPGVLTALMGSSGAGKTTLMDVLAERKTGGYIEGDIRISGYPKEQRTFARISGYVEQNDIHSPQVTVEESLWFSASLRLPNEVSKEKKHEFVEQIMKLVEVDTLRHALVGLPGSSGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLMKRGGRVIYGGKIGRQSDIMIKYFQSIKGTSPIPKDYNPATWMLEITTPAIEEKLSVDFAEVYTKSEQFKGVEASIKQYAQPPAGSKPLKFDTLYSQNTRSQFFKCLWKQNLVYWRSPPYNAMRIVFTIISALTFGTIFWDVGQKRDTTQQVLVIMGSLFCACLFVGINNASSVQPVVSIERTVFYREKAAGMYSPIAYGTAQGLVEIPYIFAQTLIFGLITYFMVNFERDVGKFFLYILFMFLTFTYFTFYGMMAVGVTPTQTFAAIVSSAFYSLWNLVAGFMIPKSHIPGWWIWFHYLCPVTWTLRGIITSQLGDLENIVVGPGFKGTMKEYLSSSLGYDAKVNGFSAVWLSVIVLICFIAVFFGAFAISVKVLNFQRR >OIW10066 pep chromosome:LupAngTanjil_v1.0:LG06:10275528:10276796:1 gene:TanjilG_32806 transcript:OIW10066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPFTGHLDGGGGLAVLSNAVNKVDSSSSVLNGGADLKKCAQLDSPILIFLFFHKAVRNELDALHRLAMAFATGNRSDIDALRERYHFLSSIYRHHSNAEDEVIFPALDIRVKNVAQTYSLEHKGESNLFDHLFELLNSSTDIGDSFPRELASCTGALQTSVSQHMAKEEEQV >OIW10538 pep chromosome:LupAngTanjil_v1.0:LG06:5385464:5387854:1 gene:TanjilG_15910 transcript:OIW10538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCEAAFLAPYISVPVLTRTCHSLTPTRVTHPHLSLISCFNLQLFRQPRVILRAAASRGGRFVPNAPSRKKKPKGFGEGKEYDTSVEDKLLEELEKSKEAQAENLKKLKNNLVNPGSVQTEQKKKDVVPSGGRVRLVNLPKKKNIHRDLKSALQGIPGIMNIVPTVSGNKKTRDPVCKGFAFVDFKRKEDAAKFVELYSGQAITFGKIQKQIECELVDAQSPSSTSIGLSKNLTSLPLLPVSSFEEDSNENSNTDGSALSSWDKTTSDDSDYSDDSDYTDDMDNETYEEEQKGVGDNQESDTAMNVDYDNSVDIIIDSESDLLSSEKVDKNSIAEENSSSKVRLEHKKPKEKTKKVSNSDVPGSAKRLKVKEKAVLSDVFSKYGSKSALASKDS >OIW10255 pep chromosome:LupAngTanjil_v1.0:LG06:8200393:8201850:-1 gene:TanjilG_28006 transcript:OIW10255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLSFSLLLFLALSGHSSALYCICKDGVGTQALQKAIDYACGNGADCGPILQNGACYLPNTVQDHCNYAVNSFYQRKVSSGGTCDFSGAATTSLNPPTTSSSGCVYPSSPSNGTTTPSTGGTPPTSGNGTGTGTPGSPNVFGISPSSSTGSGGVDGNGAVVAALHGSYTKLLLYLVLTTAWLALGV >OIW10979 pep chromosome:LupAngTanjil_v1.0:LG06:1033070:1033573:1 gene:TanjilG_22786 transcript:OIW10979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCSSSDSTQVATSKLVHVDGTLQEFSYPVKVSYLLQEHSTCFICNSDEMDFDDVVKAVHEDDVLQPGQLYFALPLSRLDQPLQAAEMAALAVKASSALMKRGGADNKCYCRRKRLVFSEEDCSSPCRNIPPTIRTGNDTIHRSRRGRTTNGGREKFTAFLSAIPE >OIW09940 pep chromosome:LupAngTanjil_v1.0:LG06:12690618:12693556:1 gene:TanjilG_32089 transcript:OIW09940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNSTSGNVCPPPMKPASNGVFQGDNPLDFALPLAILQICLVFVVTRGLAYLLRPLRQPRVVAEIVGGIILGPSALGRNKSYLQAIFPPRSLTVLDTLANLGLLFFLFLAGLELDPKSLRKTGSQALGIAIAGISLPFVLGIGSSYVLQATIAKGVDATAFLVFMGVALSITAFPVLARILAELKLLTSDVGRTAMSAAAVNDIAAWILLALAVALSGRSQSPFVSLWVFLSGCGFVICSILIVPPIFKWMTQRCHEGEPVDERYICATLVAVLAAGFVTDAIGIHAMFGSFVIGVLVPKDGPFAGALVEKLEDLVSGLFLPLYFVSSGLKTDIATIQGLQSWGLLALVIFTACFGKIVGTVVVSFFCKVPLKESLALGFLMNTKGLVELIVLNIGKDRKVLNDQTFAIMVLMALFTTFITTPVVMTVYKPARKAKSAVYKYRTIERKNTNSQLMILACFHSARNIPSMINLIEASRGTQNRDALSVYAMHLKEFSERSSSILMVHKARKNGLPFFNKRHRANCGHVVVAFEAYCQLSQVSIRPMTAISSMTNMHEDICETAERKRAAVIILPFHKHQRLDGSLETTRNDFRLVNKRVLEHAPCSVGILVDRGLGGSSHVSASNVSYCIIVLFFGGSDDREALAYGARMAEHPGIRLVVLHFVLEPRIAGEIVIVDVSEGDSPQTGSVSEDEEVLTEFKQKTANDDSIIYEEKAVKDGAETVAVIREFSRCNLFLVGLKPEGELANALNRSEYPELGPVGGLLVSQDCPTVATVLVMQQYHNGVRGGRKTDME >OIW11065 pep chromosome:LupAngTanjil_v1.0:LG06:1719277:1719916:1 gene:TanjilG_22872 transcript:OIW11065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLASPFCLRSVTAVGSVTDHRNATVSHSVSFHFSPSPSCLRFRCPKSILISCNSYRGPSRIRSSFDDHHNSNHFLPASLLLSGLSMCVYACIP >OIW10041 pep chromosome:LupAngTanjil_v1.0:LG06:10512253:10516740:1 gene:TanjilG_32781 transcript:OIW10041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRFVDNVLAVTKESVKTLNYESLNNFVRLINGVCALLLSLLPGKATILEGIQGWELRPTFRGPRFPRWMENGVSSFNQFIHELSVDSDTSSLEYSSGEEDIESPQSQSSRASRASFSNYDRHHMDWIHYILLWILVPIKFLFGIPPFLFHLASSWLSKTLSVSVNQHPSQVHSHTTMQTLKDHIMHCTTDRRHGVIEDLHLELEIFIERAFDVVHKAIHLLLSPSEAFETLKRVFSSHERGGKDEHGEIEDTSISTATLGKNDPTTTTRTISFRQPLNTGARTCQDVITDMGYPYEAIHVITADGYILLLERIPRRDARKAVYLQHGVLDSSMGWVSNGVVGSPAFAAYDQGYDVFLGNFRGLVSREHVNKNISSRQYWQYSMNEHGTKDIPAIIEKIHEVKTVELRLSKPDIKEEVNDEQLYKLCAICHSLGGAAMLMYVIMRQIEAMPHRLSRLILLSPAGFHHDSNLIISLVERVLLSLAPVLSRIFPAFYIPTRFFRMLVFKLVRDLQNLPALGGLVQTSMGYVVGGDSSDWVGVLGLPHYNTNDMPAVSFYVALHFAQIKRSRRFKMFDYGNVRANMEVYGSPEPLDLGEHYELINIPVDLVGGQKDTVIRPSMVKRHYRLMKDAGVDVSYNEFEYAHLDFTFSHHEELLSYVMSRLMLVEPNPKNQVNQRGLRLRKKGHVSAK >OIW10070 pep chromosome:LupAngTanjil_v1.0:LG06:10221396:10227172:-1 gene:TanjilG_32810 transcript:OIW10070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLFNVSRKDTTPFDGQKPGTSGLRKKVKVFIQPNYLENFVQASFNALTAEKVRGATLVVSGDGRYYSKEAIQIITKMSAANGVRRVWIGQNGLLSTPAVSGVIRERVGPDGSKATGGFILTASHNPGGPNEDFGIKYNMENGGPAPEGLTNKIYDYTTTIKEYFISEDLPDVDISTTGVTNFTGPEGPFDVEVFDSASDYVKLMKSIFDFESLRKLLSSPKFTFCYDALHGVGGAYAKRIFVDELGAQESSLLNCTPKEDFGGGHPDPNLTYAKELVARMGLGKSESQGEPPEFGAAADGDADRNMILGKRFFVTPSDSVAIIAANAVEAIPYFSAGLKGVARSMPTSAALDVVAKSLNLKFFEVPTGWKFFGNLMDAGLCSVCGEESFGTGSDHIREKDGIWAVLAWLSILAYKNKDKTEDKLVTVEDIVRQHWATYGRHYYTRYDYENVDAGAAKELMAYLVKLQSTLSEVNQIIKGIRSDVSNVAHADEFEYKDSVDGSISSHQGIRYLFEDGSRLIFRLSGTGSEGATIRLYIEQYEKDPAKIGRLSQDALAPLVEVALKLSKMQEFTGRSAPTVIT >OIW09398 pep chromosome:LupAngTanjil_v1.0:LG06:28477970:28484421:1 gene:TanjilG_20995 transcript:OIW09398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YRAFDEVNGIEVAWNHISIEDVLQSPQQLERLYSEVHILKSLKHENIIKLYNSWVDDKNKTINMITELFTSGSLRQYRKKHKNVDMKAIKNWARQILRGLCYLHSRSPPIIHRDLKCDNIFVNGNDGHVKIGDLGLAIVMQQPTAQSVIGTPEFMAPELYDEEYNELVDIYSFGMCMLEMITCEYPYSECKNPAQIYKKVTSGIKPAALSKVNDPEMKRFIEKCLVPASMRLPASELLKDPFLATENTKEINHDMLHLPDPFAKMVNPPISEPYPMEIDSNFRHTSSSSSVRRIEEASQVSTFDLVRMTENNEFRLRGDKDGESTISLMLRIADTHEGVGQLNGTVVCRVTDYGFKSREGYRAFDEVNGIEVAWNHISIEDVLQSPQQLERLYSEVHILKSLKHENIIKLYNSWVDDKNKTINMITELFTSGSLRQYRKKHKNVDMKAIKNWARQILRGLCYLHSRSPPIIHRDLKCDNIFVNGNDGHVKIGDLGLAIVMQQPTAQSVIGTPEFMAPELYDEEYNELVDIYSFGMCMLEMITCEYPYSECKNPAQIYKKVTSGIKPAALSKVNDPEMKRFIEKCLVPASMRLPASELLKDPFLATENTKEINHDMLHLPDPFAKMVNPPISEPYPMEIDSNFRHTSSSSSVRRIEEASQVSTFDLVRMTENNEFRLRGDKDGESTISLMLRIADTHGRARNIHFPFFIESDTAISIAAEMVEHLELASEDVAVIAELIHDMIVNLVPNWQPSCRDLQFRTGHLCRSSDVQNDEIGSCHWPPHSSDFETKAADGDFVLSKHVDGEDQEKQESVLSDISAEYGVPVTSDSKALEHGFSILDECCQVSNGFNCNSDFMFCRQDDGYKNRTNQSENHSASSLMNSCCSASEIFDTSSICSLTLADKDNSNDELQLELDAIDVQYQQCLHQLDKMREEAIENAKRKWITRKKISVI >OIW09350 pep chromosome:LupAngTanjil_v1.0:LG06:29680248:29683518:1 gene:TanjilG_01321 transcript:OIW09350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRLSYEQVLKDNNVSGDSSSVVTLQLNYKALSDVSCLGNFTNLEKLDLKFNSLTSLEGLRSCINLKWLSVVENKLESLEGIQGLTKLTVLNAGKNKLKSMDQVSSLVSVRALILNENEITSICKLDQMKELNTLVLSKNPIGKIGDALMKVKSITKLSLSYCQLQRIDSSLKSCVELREVRLGHNEIKSLPDELIHNSKLQNLDLGNNVITRWSELKVLNSLTNLRNLNLQGNPVSAIDKVTRKIKKALPRLQIFNARPVDKDSKNEKGDVIDGDNDFLVDHEGQNLRDSSKEKKSVPKERKKDTPKVTIDPDVENNKSTTKKRRKDDKPLDKGLAAEENVNRIEKKPKTKQKNEEQSELDLIDDAEASFMDIFKIEKGAENLSHGGEMKAHEKAIKDVKSVSGAVTSFAKRKSAKLQNTESLSNPSSEIGIGGPSTWGDD >OIW09578 pep chromosome:LupAngTanjil_v1.0:LG06:22635193:22638809:1 gene:TanjilG_28177 transcript:OIW09578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPVDVKGLGLHDYYEVIDKPMDFNTIKSKMDAKDGTGYKNVREIYADVRLIFKNAMKYNDDEKHDVHVMAKTLLEKFEEKWLQLLPKVVDEEKRQLEEESQAQLDTLLAQATTYENMARDLSSELSEVDIHLKNLKETVIQKFRKMSTLDKKILGAALTKLSPENLTKALEIVAENNPSFKFASEEVDLDIDAQSDYTLWKLRVFVKDALEVQGTSAGDRNDNTDDKTNNSKRRRQICDSIAKTNAKRTRKLSTL >OIW10389 pep chromosome:LupAngTanjil_v1.0:LG06:6784261:6787434:-1 gene:TanjilG_05537 transcript:OIW10389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIHYSTSPLALFSFYLLIPFVLINGYQAETTNADSKVISIGAIIDINSRIGKEQQVAMDIAAQRYNNTSNTYKLALYFQDLSDDPFKATALAENMIKKQKVQVIVGMHKWTEATQVAELASQAQIPIISFAAPSITPQMMGIRWPYLVTLANNGTAYVKCIADIVRAYGWQRVVAVYEDDGYGGDYGILALLSEALQVDGSIIEYRLALPSTSYMSDPREFIREELLKLIENTQSRVFIVMQSSLDMVIHLFREASQMGLVDRESVWIIPESITNSLEYVNKVDISYMEGALGIKTYYSGNSGEYQYFEAQFRRIFRDKYPEEDNRNPGFYALQAYDSIKVTAHATFEAVVGDITLLAERLQYVDFTVPYAESGLSMVITQKSEDSAFMFLKPFSWQMWVATGAILTYTMLVVWYLECELNPDFEGNWKKQMGTALSFTFFSLFFAHREKMYSSLTRVVMGAWLFLVLILNSSYTASLSSMLTVQQLKPNVTDINWLKKNNAKIGCDGDSFVMTYLANVEGFKPENIISINSEYVYPSAFKNNSIAAAFLELPYEKVYVNKYCKGYSGSVPTTRFGGLGFMFQKGSPVAKDVSKAILQLLEQGEIKKLEDKWLNPSGECSNSVISTNAETLKLGNLWVLYVFSGGITTLCFILPTIYSLKYSQTPQNHAQGNDTANDENPWKRAIRLVKKICSRKHGEVKARGDVTDCSSRSDYISTTGPPEHQQTTAAQLVEVIINSSPSPLQITRS >OIW10461 pep chromosome:LupAngTanjil_v1.0:LG06:5885059:5886478:-1 gene:TanjilG_00399 transcript:OIW10461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMHSLIVKLCIKLVKYMACQMGSMNLHWRLSITENESYQKSYISATSRIRHFCCEPSVIGLTLRVGMKSLPFPQSCLLRRRAAWKKAFALNTGGLSGNGDEQNLDDASSSFGGTRLGRIVTAAGRQLLEKLNTARKNFPTKIFLLLLGFYTANALATILGQTGDWDVLVAGVVVAAIEGIGMLMYKRPPTVRTGRVQSFLMMMNYWKAGICLGLFVDAFKLGS >OIW10201 pep chromosome:LupAngTanjil_v1.0:LG06:7551007:7552790:-1 gene:TanjilG_27952 transcript:OIW10201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAKALCHVFLLYAFFVQFYLHNSVIASQDIARSESEALEQAGSKRETLEITIGGGTYAPAPSPQCPPPPPPPCPPQPPAPPPPPPLLSRLDKARLVLLNFTKFIDDPKGYTKNWNQNTKDTCKFNGIRCAIYPNTNEKAVAGIDLNQAGISGINKTSLSLYGILDRISELTFFHVNSNNFSGSIPNQITKFPFFFELDVSNNKLVGEFPKEVLQAVQLVFLDLRFNYLYGPLPPQLFQLPLDFIFINNNKFSQCLPDNFGSTPARYLTFANNQFTGPIPRSIGNASKTLTEVLFLGNKFEGCLPYEIGYLKKATVFDVSKNELTGPIPLSFACLNKIQFLNLAHNKFYGPVPEIVCQLPSLRNNGNLSLSDNYFTEVGPECRKLIKSNVLNVNNNCIPGFPNQRSYNECYEFSCKVKSCPNEKYLSYIPCKGPWGQSSTIVSDSSASPLDPVTYKSLKPHRLRL >OIW10699 pep chromosome:LupAngTanjil_v1.0:LG06:3889329:3889592:-1 gene:TanjilG_16071 transcript:OIW10699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQQVKASLTCFIILFLLVIATTSLVSVHSSNTEIHTSKVVKENQAWEMKHRWQRKTWMNHGSNRGPRKHLVNPTAQNPFQGLEFPV >OIW09768 pep chromosome:LupAngTanjil_v1.0:LG06:16156558:16179715:1 gene:TanjilG_18683 transcript:OIW09768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYGRIPRYGHSGSPSEDESHKILARAGEASMVDERDEQNRESTGWGSTRLFEQLESEVPNGARELTGIRIIGASNRRYAYIGDIVVVVIKEVVPNTPLERSEVIIAIIVRICKELKHINSMIIYYNDNDVVVIDQEENPKGTQIFGTIVRELRQLNFTKIVSLAPEVL >OIW09556 pep chromosome:LupAngTanjil_v1.0:LG06:22875087:22876623:-1 gene:TanjilG_28155 transcript:OIW09556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKRFSIGYALAPKKQNSFIRDSLLTLAKSRGVDLIRVDTDRNLADQGPFDCILHKLYGFDWKQQLQQFKSHNPNATILDSPEAIERLHNRISMLQVVSELKIEDPNETFGIPKQIVIYDKETLFDEQAWETLKFPVIAKPLVADGSAKSHKMALVFNCDALNKLKPPIVLQEFVNHGGVIFKVYVVGEHVQCVKRKSLPDVSEEKKSVEDLLSFSQVSNLANHEKIDDKYYKLMHLDDTEMPPQSFIVDIARGLRQAMKLNLFNFDVIRDARYGNRYLIIDINYFPGYAKMPGYETVLTDFFCDILYNKQQLEGEEGKGESHHDLKKVASNTCSDDGETEKEESVEV >OIW09001 pep chromosome:LupAngTanjil_v1.0:LG06:31867499:31870464:1 gene:TanjilG_05977 transcript:OIW09001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLISIIFSTVYIEKVGWDAKLVNESYPWVENQIVYRPKFSAWQRAARDSLLDAGVSPFNGFTYDHKYGTKVGGTIFDRFGHRHTAAELLAHGNPHKLTVLIHATVQRIVFDTTGKRPKAMGIIFKDENGKQHKAILGSDKKSEVIVSSGAIGTPQLLLLSGIGPKSELEKLNISVVLDNKFVGKGMADNPMNTIFVPSKRPIQQTLIETVGITKVGVYIETSSGFGQSNNSIHCHHGLLSAEIGQLSTIPPKQRSREAVEAFIKNKKDIPIEAFKGGFILSKVANPWSTGELKLMNTNVDDNPNVTFNYFNHPNDLQRCVEGIRLATKVVQSQHFTNYTMFNRQTTQELFNLTVKANVNFIPKNLNDTKSLEQFCRDTVITIWHYHGGCHVGKVINSEYKVIGVDRLSVVDGSTFTESPGTNPQATVMMLGRYMGLKILRDRLGKLAGV >OIW09590 pep chromosome:LupAngTanjil_v1.0:LG06:22493520:22500346:-1 gene:TanjilG_28189 transcript:OIW09590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWRNGCYDEVGHSKPLFLTIYIVVIIGIVFSSFYIFSAIYSSNPLASSSFSSISYNNPHLTDATPNISQLATVHTVPTTSPGPQNVWPKPIWDVPSHDKKMPPLEDFRLTKTLVQERVKDNVIIVTFGNYAFMDFILTWVKHLTDLGLSNLLVGAMDTELLEALYWKGVPVFDMGSHMSTVDVGWGSPTFHKMGREKVILIDSILPYGFELLMCDTDMVWLKNPLPYLARYPTADVLTSSDQLIPTVVDDSLEIWQEVNGAFNIGIFHWRPTESAKKLAKQWKEMLLADDKIWDQNGFNDIVHRQIGPSVDDDSGLVFAFDGNLKLGILPASIFCSGHTYFVQAMYQQLGLEPYAVHTTFQFGATEGKRHRLRGAMLFRDPAEYYNPPGGFFSFKPNIPKRLLLSGEHTVESHFTLINHQIKQIRTALAIASLLNRTLVMPPLWCMNDRLWYPHPGVLEGTMTRQPFLCPLDHVFEVNVMLKKLPEEEFGPDIDIREYSILDNPSLQPEVRNSWLDVKLCKEGSQDCDASNNTTVGGLLKFPKRSSEETFVKVFSSFKDVKVIKFSSVQDAFTGFTNKDREDKFRNRVKRYVGIWCCVADHPGHIYYDMYWDEKPEWKAIPPQTSEDDHPPW >OIW11158 pep chromosome:LupAngTanjil_v1.0:LG06:251959:252643:1 gene:TanjilG_22965 transcript:OIW11158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPSDMTGETGTLGYMAPEVLDGKPYNRRCDVYSFGICLWEVYCCDMPYPNLSFADVSSAVVRQNLRPEIPRCCPSALANIMRKCWDGNPNKRPEMEEVVRMLEALDTSKGGGMIPEDQATGCFCFTPTRGP >OIW09331 pep chromosome:LupAngTanjil_v1.0:LG06:29562934:29567295:1 gene:TanjilG_01302 transcript:OIW09331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLRYTGRGKKSSSKSFRVGVKKGSDGLRRIFGISLKSGVAWSVFPEDLKVSQRKVFDPQDKNLLYWNKFLQIMCILSISCDPLFFYLPYFNHKSFCLAIDSSLANSVITMRTIFDCFYLLRISFQFRTAFIAPSSRVFGRGELVIDPAEIAKRYLQRYFMIDFISVLPLPQIIVWKYLRRTRHTEVLATKTALLRIVILQYFPRFARFIPLASEVNKTAGVFSENALVGAAYYLIWYMLGSHITGSVWYLLAIERNDRCWRDACKGVEICQTQFLYCGSSNKRVPNYDDWRNISMSVLKTHCFIENDNLPFKYGIYSQAIESNIVASIDFFPKLCYCLWWGLQNLSTVGQGLQTSTYPGEVLFSIVIAILGLVLFALLIGKMQTYLQSMSVRLEEMRIQRRDSEQWMHHRLLPAELRERVRRYEQYKWLNTRGVDEDNLVRSLPKDLRRDIKRHLCLNLVRRVPLFANMDERLLDAICERLKPCLFTEKTFVVREGDPVNEMLFIIRGRLESITTDGGRSGFFNRGFLKEGDFCGDELLTWALDPNSAGNLPSSTRTVKALNLVEAFALEAEELKFVASQFRHLHSRQVQHTFRFYSQQWRTWASMFIQAAWRRYSRRKIIDKRRKEEAEELYESDYEEDNDDVSRALVPRIDTTTTTTTSSSARPGFDSTIYATLFAANALRSHRLHTSTSRGLQKLPKPPEPDFSHLDE >OIW08984 pep chromosome:LupAngTanjil_v1.0:LG06:32008739:32017101:-1 gene:TanjilG_05960 transcript:OIW08984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLQQAGQPKPSNGYGRRKYEREGIMKSENKISSGKLNASRLAVTGALTDSKDGNYESPSHDRLVYITTCLIGHQVEVQVKNGSIYSGIFHATNADKDFGIILKMAHLVKDGSLRGQKSDIEFVGKASSRILIIPAKELVQVIAKGVAVTRDHLPSESHHDMHQELMVDSLISQSRHVDLGRELKPWVPDDDDPQCPELENIFDGLWNRGWNQFETNETLFGVKSTFNENLYTTKLEKGPQTRELERQALRIAREIEGEDTRDLHLAEERGLNMHGNLDIDEETMFSSVYRGKGVDDSGYDENEDMFDSHNSDTFGGMFGSVMKGTGEISCGKGNDGAPTVRNSSSVDHPKLLQSSTGLDLIRTGSHGHAKKFASELPAKSYSSFNGESRIQENFVTDLLGGNGNTKEENKIQAVDVQLSKSEDSKASLYSKKDGSDKGVLSPNATSYAPSKTHEKTGSPGDLTGSSASGKANGEAKSLKSHGPSSRSNSVLGVPTSYRLGLSPSSSVGSLSSEKSTLNPNAKEFKFNPNAKSFIPSQPPIRPPSPAPEASFYFPTNATTVQNVPGMPMGIGVGPNFSGPQPIIYNPQVAQMQSQTYFHPNGPQYGQVLGPRQAVYMPGYLPVGPNFSGPQPIIYNPQVAQMQSQTYFHPNGPQYGQVLGPRQAVYMPGYLPVRTHFFYS >OIW10862 pep chromosome:LupAngTanjil_v1.0:LG06:2485632:2486025:1 gene:TanjilG_27808 transcript:OIW10862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVSQHSKFFCEFCGKYAVKRKAVGIWGCKDCGKVKAGGAYTLNTASAVTVRSTIRRLREQTEG >OIW09077 pep chromosome:LupAngTanjil_v1.0:LG06:31345090:31348805:-1 gene:TanjilG_16304 transcript:OIW09077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTLIADIEGTFIVIAAEVGSRTVRRTFEFGKTYVVRPKGKHQATLVWLHGLGDNGLSSSQLLESLPLTNIKWICPTAPTRPVALLGGFPCTAWFDVGELSEDGPDDWEGLDASASHIANLLSTEPADVKVGVGGFSMGAAIAQYSATCFAMGRYGNGIPYPVNLRAIIGLSGWLPGSRSLRNKIEVSHEARRRAASLPILLCHGISDDVVLWKYGEKSAQSLSSAGFRYVAFKSYDGLGHYTVPREMGEVCTWLSSRLGLEGSS >OIW10671 pep chromosome:LupAngTanjil_v1.0:LG06:4131673:4132521:1 gene:TanjilG_16043 transcript:OIW10671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQTRDSNVVQIITGDENWSSSGDQSVWATEDDYRFFNNSNDAESTPKRSRNSQDATASSSNNRSKAIGKMFFKTKLCCKFRAGTCPYVTNCNFAHSIEELRRPPMNWQEIVAAHEEEKSVPAREEFQIPSLSGYSGETQRSYKGRHCKKFYTEEGCPYGDSCTFMHDENSRNRESVAISLGPGGYGGGGGSGAGVGGGAGSGGGGGGSGSLNLKPSNWKTRICNKWELTGYCPFGNKCHFAHGAAVVMHCEM >OIW09243 pep chromosome:LupAngTanjil_v1.0:LG06:30062150:30062641:-1 gene:TanjilG_26456 transcript:OIW09243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCYVGKATKIFFFLLTVFIVLGLVLGFRLLRHRHHRTKCSSDDYSSCTTTVTYSPPPPPPTVTTTFVPSPIFNLPTTSPTPPSSDNTIAFSPPPSTSTNNPTPPTPLANPNPTPLPPPPPPLVYPPPPETSPPSVAAPPMDTPTPGSALVSPGPVHAIAVIGF >OIW10263 pep chromosome:LupAngTanjil_v1.0:LG06:8254603:8255904:1 gene:TanjilG_28014 transcript:OIW10263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKKFVCKYCSKSFPCGKSLGGHIRTHMTEERNNKNANAECDDLNLDGVRMKKKRDLWSEAGYVLRENPKKTMRFVHSNATLEQQEEDEKFCKECGKGFPSLKALCGHMACHSEKQKMVMDNQSDTEENCDILKHPKRSKRMRFKTLSNNQTYSSSVPLANGSSSVSEVEQEQEEVAMCLMLLSKDSSHKGPFGFVTESSENNSVVVEAAKSSSVDMRVGAKNGNKFVSNGYDLVEKVSNDEFKSEFEDYNVESRKMLSMNKGRSKCALTVLKKKSVMGNLDYDRNEGTTRKFDSRKTTNCDYHGHSKKTENMFDSDEIYETGKKGWNNESLAYDSIDESDENSSDTDSFPAPKYHSSKVLNGKKCSKAKKKLKSKKSREHECPICYKIFKSGQALGGHKRSHFVGGSKENTVVINQGPRLIDLNLPAPVDE >OIW10584 pep chromosome:LupAngTanjil_v1.0:LG06:5053556:5057266:-1 gene:TanjilG_15956 transcript:OIW10584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFMILIMDSYFFKKLTFSENFVSHRRILLSGFSLGVGASLLFLTLLFFNSSLNVLRGSTTSLANSSFSSWPFSFSSHPFSSSSLTNASSVSWVKEKGKISEVNASREGFDNTHLSNSTENHGNATLHDEEQRFPKLNSSDPTNGVVKNVTLMAAKTRVSDEVGTEKTMEGELHEGDLVKKNGVTVIGHDADSKEKKMHVGLNGKCNIFDGKWVKDDSKPYYPLGSCPYIDRDFDCNLNGRPDSEYVKWKWQPNGCDIPSLNATDFLERLRGQKLVFVGDSLNRNMWESLDYNCSVDFVGSPFIVQESTFKGINGSLETLRLDLMDQTTSMYHDADIIVFNTGHWWTHEKTSKGEDYYQEGNHVYPRLKVLNAYTRALTTWAKWIDNNIDASRTQVFFRGYSVTHFRGGQWNSGGQCNKETEPIFNGTHLRKYPSKMRALDNIIPKMKTPVIYMNISRLTDYRKDGHPSIYRMEYKTAEERASAELHQDCSHWCLPGVPDTWNELFYASLLKYGKGSWKT >OIW09390 pep chromosome:LupAngTanjil_v1.0:LG06:28353166:28355124:1 gene:TanjilG_20987 transcript:OIW09390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNILCDELLEEIFLKLPPSSSLSLVSKRWLHLHRSSTTSLSLSLSPLSSIPPSLSSLLHHHPSLSSLSLRLSPPPTAATTAAFAFSSNLLSLVSSCCVNLRSLAFHVGPVSLSSLTSLSKACTFLNSLSVTLPRRVFLKWVLCFPSLKHLSIEFHEVEVESQHGVLESYNFDEDDGDDGEFELGLESVCFVGINGDDYGVGWLWRRCKKLKRLKLQRCQGIGGSYSCFVQCLKSIEEVEIRTCRVVADGILFKLAENCYSLKSLLLYDGGGSREGLLYFFSQCRSNLNKLDLRLPMDLDNNHIQSVAMNFRCITSLRLQSCYLLSGEGLKAVGLVMSNVLEELALINCDVVGSESGLLATLGQHLRKLRKLDLSHNEMLLDKELISMLVSCVHLIDLRLRGCKRLTSVAMVSMLRSCKRLENVDIMNCFGIESESIEMLVKKSPRLRRIEVEGNKISDAARMWASNRFIEVIA >OIW10479 pep chromosome:LupAngTanjil_v1.0:LG06:6023201:6024196:-1 gene:TanjilG_00417 transcript:OIW10479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKASLKFREEQKPLLRAKVPLNILGFPFQSSIVASDPKELTLNIATFFQSGPSIKVAYRPNDSGNPFSLILKTGTGSFGSPVSSSMHMSCEFNLLTRSGIGNPNPIFMLHFKPRFGDFSFKKSQSSIFDKKGRGRTRNDDVSFEVVDSPVMGSFSSDKVHIFGSDSPAVGAIANLFSGMEVAARTTFPVMNRAAVNFRWGVRVPSEIKSGGGKATPGIAFQKIPFLVMDKIGVELLNGSGDSKVAAGTDSPVNRDVVEVCLNVKRQLEVLQGENGLLRNAIENLRREIGSGGGFELGKYREFERNGGKSSDEKRNGKNSKEADKSEELKKA >OIW10523 pep chromosome:LupAngTanjil_v1.0:LG06:5536131:5540315:1 gene:TanjilG_15895 transcript:OIW10523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLPFSTNRRLSLSGSPNQPAGASAHDLRQRVIACLNKLSDRDTLAVATVELESIAKTLTPDTFSTFISCIHNTDSSSRITVRKQCIHLLSVLSHFHCDALAPFLPKMIAAVIRRLRDNDNAVRSACVDATAAMSSQITGPPFSAAFLKPLMDALTQEQDANSQIGAALCLAAAVDAAPEKDVEALRRSALPKLGKFTKNDACKAKAAVLVLIGSLVAAGGAASRGVMNWLVPFLIEFLSSEDWTVRKAASEALGKVAMVEKDLASQHKILCVDSLQNRRFDKVKVVRETLNRALEMWKEVANASEDVSAPAKSARPSAGPDGDKDQCVTKTSSYVGSKPSQPKKTVPASRSPPSAVSVVSSIKREGRLKGNDKDSRMGISHRMDHEKFSDEELETPVSKFPSSNMSREDDIKTCDFEVSKPALNQNGISSRADIKRVLFSKMSDEKVQKFSVSKSRVVPCSDDDNDNADVTINHVNEVCESPQDAEDLSLIREQLTQIENQQSNLLDLLQRFIGSSQSGMNSLETRVHGLETALDEISYDLAVSRGRIPNSDATEDTCCKLPVTDFLSSKFWKKTEGRYSTSRFSSGSIASSDVVLKATYKDGSKEMLTTNNKRFQHRSSGIFVNPLAEIQSDLKGQSYKPKNIVQDAERARWNDARKFNGISPTTYELPMNQNTSAYFFFAFKAKWEKPTMTTGHGISAVKMECTILLQQASQNHWVEDLQMKS >OIW09570 pep chromosome:LupAngTanjil_v1.0:LG06:22723356:22737926:1 gene:TanjilG_28169 transcript:OIW09570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCEDRRSEEENVAKNMNNNEEKEEENGVTTDKFMFVFMDTSNQSEVNYILQKVAKRLIFGKGNETADFQTDEKRKKIKKFKESAWKCVYFLSAEILALYVTYDEPWFSNTRNFWVGPGNQVWPDQKIKLKLKAVYMYAAGFYSYSIFALMFWETRRSDFGVSMGHHVATVILIVLSYIFRFARVGSVVLAIHDASDVFLEIGKMSKYSGAETMASFAFILFVLSWIILRLIYFPFWILWSTSYEVLLTLDKEKHQKEGPIYYYVFNSLLYCLLVLHIYWWVLIFRMLVKQIQGRGKLSEDVRSDSESEDEHED >OIW10179 pep chromosome:LupAngTanjil_v1.0:LG06:7377830:7379788:1 gene:TanjilG_27930 transcript:OIW10179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTFTLIQRARTAMLSSFFKSRTHFIYGNHCSQTMTMSLSTSAIANDYQRFPPPSDSTPFHPQTHTNSNQWQQQQQQQQQRHPYSPQNQSHFQHGNPPPPSNQFSHNYQNQNPHVQNPEQLNYQNNYPPNRNQNFQPPISQNPNFQSPTTQNPNFRPPTYQNQNFQPQKPNFPTPNAPDGWNNQNPNQWNGPSQNPNQLRPPPQFQNPNGLNNQASIQGQAQIAAPSPPLPPSIIDLTRSCQEGKVKEAIELLEKGVKADSNCFDLLIDLCGKSKSLEDAKKVHDYFLQSTYRSDDKLNNKVIEMYGNCKSMTDARRVFDHMPNRNMDSWHLMIRGYTNSTNGDEALQVFEQMNELGLEITSETLLAVLNACASAEAVEDAYLHFESMKSKYGIEPGMEHYMGLLDVLGQSGYLKEAEEFIEKLPFEPTITVWEILKNYARIHGDIDLEDHVEELIVSLDPSKAVANKIPTPPPKKYTAISMLEGKNRIIEMSGYKCCAWNLEAPLLLG >OIW09701 pep chromosome:LupAngTanjil_v1.0:LG06:19408801:19410525:-1 gene:TanjilG_06507 transcript:OIW09701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTEQSQISHQNSPSILDTLLCEEQHTFEEEEEDFDTKNDDGSSLSVRKFQSLPMVLLENDLLWEHEELVSLISKEKETYLNHLIFDGSLKEHRFDAVSWISKVCAHFGFSSLTIVLAVNYFDRFITNLRLQDDKPWMTHLTVVACLSIAAKMEETHVPLLLDLQVEGSRFVFEAKTIQRMELIVLSTLKWRMSPVTPISFFEHIVRRLGLKSRLHWEFLWRCERVLLNVIADLRVMSYLPSTLAAATMIHVIKEIEPLNATEYIDLLLGLLKVTEEQVNQCHKLILELLVCNEGIHNLHPKRKRLSEPSSPGGVIDASFSCDSSNDSWANASPVSPSLEPLFKRSRTQEQQMRLPSVNRVSVDVLNSPR >OIW09507 pep chromosome:LupAngTanjil_v1.0:LG06:24102735:24106039:-1 gene:TanjilG_11629 transcript:OIW09507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTKIRQNDNDSPNPKPEVGEIDTSPPFQSVKDAVSLFGEGAFSGEKPAFKKSKPRSVERVLAKETQLHVALKELNKLKEQVRNAEITKVQALVEIERARRTIEDLTQKLKVISESRELSIKATEDAKSQANQLKEEKDGNPDETHGASKEELEAAVQRYASVIAELDVAKQELKNIRLEYASSLEARASYLKQAVEAEDALKENSERASELSNEISVVHDSIGQTKFVAIEAQKQQSVILAEKDVLRLSCKATLEKSEMELLALKKDFNPEVTNILEVQLAETMSEIEALQKEMENKRKSDLDSIKMVTLELDGAKESLQKLADEESSLRSLVESLKVENENVKREHSELKEKESETESIVGNLHVKLRKSKSELEACLAEKSEVSGASEEVIFTLNQMQSEAETAQREAETMKNKAKLLKKELGFTALVLKDAEICLSAALEEAEAAKAAEEFAVNQITELTERTSAARVSTSESGGKISISKEEFESLSRKVEESDKLADMRVAAAKAQVEAVKASENEALNRLATTQKEIEDLKAATQEALKKAEMAEAAKRAVEGELRKWREREQKKAAETASRILAETQMSSESSPQHYRIKSQNSPQTTVGVKKLEKEKVSVSKKVFMPSISGIFHRKKNQVDGGSYLPGENPL >OIW11166 pep chromosome:LupAngTanjil_v1.0:LG06:213008:213913:-1 gene:TanjilG_22973 transcript:OIW11166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEKKKATILIRNDDGINSDGTVAGAREAFFNDIPSISISYDRPHSAGGKSKLHDFILAAQVCVPIIRAVLVEIKNQSYPPRCFLNINVPNNVANHKGNTNAQCQRQSLIPLPWGLIHDSQGINRDS >OIW09316 pep chromosome:LupAngTanjil_v1.0:LG06:29477129:29481670:1 gene:TanjilG_01287 transcript:OIW09316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWLHNNNNNNPEGIRKGGKGHGLVAVAVDKDKGSHYALKWAADSLLSRGQTIILIHVLHNTTSSSSTGSSSNALGCNISNSVASPYRHQLDNSTKDLFLTFHCYCTRKDIQCLDVLLEDTDVVKAITEYVSYGAIENLVVGASSRHGFIRPAFERRGDISALSEVDFGESDTDISFVSSDRPSSGRTSSVYDFIDSARTSRASTSSDRSFGSSRLGLKFNDPMSSDSTATSFSNSSQNMDEAVEADMRRLKLELKQTMEMYSTACREALTAQHKLMELNHWRMEEEIRIEETQLAQEAALVMAEKEKARSKAALETTEALKQIAEVESHRRESIEVKALKEAEELRKLLDNVTQSDVKYRKYTIEEIEEATNLFSESQKIGEGGYGPVYKCYLDHTPVAVKVLRPDAAQGKSQFQQEINILSCIRHPNMVLLLGACPEYGILVYEYMANGSLDDCLFRKKKNGVLPWQVRVRIAAEIGTGLLFLHETKPEALVHRDLKPGNILLDQNYVSKISDVGLARLVPAVAENVTQLCMTSAAGTFCYIDPEYQQTGMLGVKSDVYSLGIIFLQLLTGRPPMGLAHHVQQSIEKGTFAEMLDPSVTEWPFEQALCHAKMALKCAELRRKDRPDLCKQILPHLNKFRDFAEQRFLGGPLSCIISSTATASSPNNTQPSIQQERGEIYFFYRPKVGKEEAHSDDDVQRLYIIMRPESGERAVEEKQHHDHDDDANKGCGGHGSQKVNIEEESLFRLIVMGRKRLPDPAQKSTPYWGFVEMVTTRADHVKSALKRQEYETSTRGHRHTSEARAVGEGIYRIVRHERGGKRTQHTHLIYKLEFPSGENEKREPQESLNIKPEGSFIIQIKNPEKGSEGLMKKRKAVFPAHLQGQLGHLKFAAPDPPDFLNYEGCELLLISASDHIEHDLGLELLTDSSSSDLLHSFGDSVGPTAPLLKGTWA >OIW11037 pep chromosome:LupAngTanjil_v1.0:LG06:1531097:1531426:-1 gene:TanjilG_22844 transcript:OIW11037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCEGCERRVKKSVEGMKGVTQVEVNPKQSKLTVIGFVEPNKVLERVRHRTGKKAEFWPYVPYDVVPYPYAPEAYCNVLQDPEVSTRAKHLLSQNSVSLIVTFRKIINY >OIW09721 pep chromosome:LupAngTanjil_v1.0:LG06:19236655:19238393:1 gene:TanjilG_21247 transcript:OIW09721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLDNFLNNNQEYEDEHEGEDEHEGEDEQEEGVQEGEDREENDEIYEVGEDINHQNEQVDESNNSTEQGVDVEALATMLSTPGTSTSTHVPNNDKQNHLEDNFPHTV >OIW11156 pep chromosome:LupAngTanjil_v1.0:LG06:263671:266841:1 gene:TanjilG_22963 transcript:OIW11156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRKLFNIKSKSEDPPHQPQHGLAHNGGGDVQYRRRNSFSEREPSTIKKSKTERFSRNLERVRRARMNLDHPRIIDVQNYRIFVATWNVAGRSPPSNLNMDDWLHASAPADIYVLGFQEIVPLNAGNILGAEDNGPAKKWTALIRKTLNNLPGTSGGSGYYTPSPAPQPVVELNADFEGSARQKNSSFFHRRSFQTPSNSWRMDNDPSTVQPQLDRRFSVCDRVILGHRQSDFDPSLRWGYRPSDYSRASDYSRASDYSRPSDYSRWGSTDDDNGLGDSPSTVSFSPMSYGGPASNEDVYRLPGHSRYCLVSSKQMVGIFLTVWVRSELKDHVRNMKVSCVGRGLMGYLGNKGSISVSMSLHETNFCFICSHLTSGQKEGDELRRNSDVTEILKKTRFPRVHGVDNEKSPETILEHDRIIWLGDLNYRISLSYRSATALVEMQNWRALLENDQLRIEQKRGRAFVGWNEGKIYFPPTYKYSTNSDRYAGGDMHPKEKRRTPAWCDRILWYGEGLHQLSYVRGESRFSDHRPVYGIFWAEVESSHGRLKKSTSCSASRIEVEELLPYSRGYTELSFV >OIW10782 pep chromosome:LupAngTanjil_v1.0:LG06:3142498:3143761:1 gene:TanjilG_27728 transcript:OIW10782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQVLTRFRVFASVVGAGRRSMSTVSKAVVEIVGGGKSKNRKASSELCKFIGIPQQSRSEIALIISKFLKLYNFKSPGIKKDKIWEQNLQTLLRGKNSIGFPEVAKILSPEFSQGAINIKDSSTDSFADNVKGKNAQKKGKSSKK >OIW10178 pep chromosome:LupAngTanjil_v1.0:LG06:7364567:7365389:1 gene:TanjilG_27929 transcript:OIW10178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKASDSKLCDAMVEDAPSNVSKKRKITSSSSEKSVDSSGTVVSGEFRLDRSPLSSCSSTLQADEGHVFKKLNTTPLDPEIQSNCFETVDSTHLNFKPVSLLSEFSGDSEETMTPSVKMAPKEEIEEFLTMAEKYEQKRFAMKYNFDIATDTPMEGRYEWVRLN >OIW10590 pep chromosome:LupAngTanjil_v1.0:LG06:4985441:4986970:-1 gene:TanjilG_15962 transcript:OIW10590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWVSLATLLMFLVVLVHSKPIPTYRGFGNDVFNGNKDYDLPGYQKDPEQEKLNGEQVKNVTGNLREGFYSQSCPNAEKIVAEELAQIAKTNPNAVPNLLRLQFHDCFVGGCDASILLDVLPSGEQVEKSSLLNGLLLKGTDIIDDIKTKLEEECPQTVSCSDTLAFATNEALSLAGLPRQAPLGGRRDSIVSLAMLAEDNNLPLPNWSVDQMLAIFEKKGFTAEEMVILLGAHSVGSAHCDMFNERLYDFKGTQKPDPALAALFVDELRKTCTAPGTPQYRNPPVNFDETPTVLDNRFFKNLAEKRKSLLMTDDVLLDDPRTAPTVKKMAAEPELFAKRFPQMMIKLSSLNVLTGNDGQVRIICRSTN >OIW11035 pep chromosome:LupAngTanjil_v1.0:LG06:1519273:1520338:-1 gene:TanjilG_22842 transcript:OIW11035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSPKKITLKSSDGEAFEVDEVVAIESQTIKHMIEDDCADSGIPLPNVTSKILAKVIEYCKKHVDAAASEEKPNDDDLKAWDADFVKVDQSTLFDLILAANYLNIKSLLDLTCQTVADMIKGKTPEEIRKTFNIKNDFTPEEEEEVRRENQWAFE >OIW10838 pep chromosome:LupAngTanjil_v1.0:LG06:2631160:2632941:-1 gene:TanjilG_27784 transcript:OIW10838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRFLCNEARKFKNPNCSLAFFASPLTHISVDHIHSIRESNFKWENLIETLSQGGTNFEFALFEASRKLTCGLKPNAFSLVQFLRATTDLGYHSFGQQLHSYILRSGYCSHSYISASLVKFYVRMHSLNDAHRLFVETPHLNVVSWNTLISGYVQAGHFRKALSVFIGSHFYADAFSFTSALAAYAELSLLILGRSIHCKIVKSGYVNGTVVANCLIDMYGKCGSVEDAVKLFYEIIEKDVISWNSVIAATAKNGKIELAWKFLHLMPYPDTISYNGLINGIAQVGNIEDAVKVLSSMPNPNSSSWNSVITGFVNRNRAREALDMFCKMHSENMPMDDFTFSIILCGIAALSSLKWGVLIHSCTVKCGFDSSVVVGSALVDMYSKCGQVKNAESIFHALPNRNLVSWNAMISGYARSGDFAQVIQLFESLKIERDINPDDITFLNLLSVCSHDQVPFEVANHYFESMINDHGIAPSIEHCCSMIRLMGQKGELRRAERMIHELGFESYGVVWRALLGACGTQRDLQVAEVAAAKVIELEKNEDYVYVKLSNMYASVGRWEDVSVIRGLMSKKQVRKEAGCSWIESEEFCRDQG >OIW09833 pep chromosome:LupAngTanjil_v1.0:LG06:13609310:13614011:-1 gene:TanjilG_20540 transcript:OIW09833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLRSVAIAASAIPAAFALSSLHSSSYSQSLNFPNALFPSNPNRFPLLKSFAIAPSPLRMDTNPTSQYDAAGFPELLTEYMVDMKCEGCVNAVKDKLQTIKGFEPIISGLQGKQPYRYGEFETKGASGSVGVKNVEVDLSNQVVRVLGSTPVKTMTEALEQTGRKARLIGQGVPEDFLISAAVSEFKGPDIFGVVRLAQVNMELARIEANFSGLSPGKHAWSINEFGDLTRGAASTGKVFSPTNEKDAKPVGDLGTLDANEKGEAFYTGVKEKLRVADLIGRATVVYVTEDKSEPGIAAAVIARSAGVGENYKKLCTCDGTTIWEASDRDFVTSKV >OIW09827 pep chromosome:LupAngTanjil_v1.0:LG06:14104079:14107185:1 gene:TanjilG_32265 transcript:OIW09827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKTSSSFNRRGTTGMKLIVPLQGVVQGKGGLFLGSIIPCALFYIFQLYFKPRHRSSSTDNCKDKLSEVSVLPRSLSRTLLSHTGSVFISGRANSVSRTGDSPYDVGLKKAAENLYHEIHNPDGVFQLGGDENNLCMDLVQEWMEKNAMGEGGYCSMLNIGGIAPYQPFDGLMELKMGVAGFMSQALENSVFFNPSQMVLTAGANAAIEILSFCLADYGNAFLVPTPHSPSFVEAAKWRTGMEIVPVPCCSTDNFNLSITALHQAYNLAKKRGQNVRGMIITNPSNTVGNLLNRETLCSLLDFAREKNIHIVSNEIFAGSTYGSQEFVSMAEIMEAEDLDRERVHIVYGLSNDLSVPGFGVGVIYSYNMNVLAASRKLARFLSVSTPPQQLLTSMLSDTRFIQKLVEINRLRLQKMCSALAAGLKQLGIECIRSSGGFHCWADMSRLMRSYSDKGELELWDRLLNVAKINVTPGSSCQCIEPGWFPFCFAALTESDVPVVMERIRRISEATKS >OIW10114 pep chromosome:LupAngTanjil_v1.0:LG06:9714356:9716158:-1 gene:TanjilG_21951 transcript:OIW10114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETLVLRGTMRAHTDAVTAIATPIDNSDMIVTASRDKSLIVWHLTKEDKTYGVPRRRLTGHSHFVQDVVLSSDGQFALSGSWDSELRLWDLAAGTPSRRFVGHTKDVLSVAFSIDNRQIVSASRDRTIKLWNTLGECKYTIQDSEAHSDWVSCVRFSPNTLQPTIVSASWDRSVKVWNLTNCKLKNTLSGHGGYVNTVAVSPDGSLCASGGKDGVVLLWDLAEGKKLYSLDANSIIHSLCFSPNRYWLCAATEQGIKIWDLESKSIVEDLKVDAKSEADAATGTGNRNHNKVIYCTSLAWSVDGSTLFSGYTDGVVRVWGIGRY >OIW09321 pep chromosome:LupAngTanjil_v1.0:LG06:29518861:29523127:1 gene:TanjilG_01292 transcript:OIW09321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACSAAPNFTYLRIPIPKISSSSQLFSVRCCCISSNNSNSSDSINSNNEKEKKKEEVKKKKLSSQSSWEAEDAEGKDYLYRLGQEADNMNISVGQRHGFIDQLFVGNFLGQDSDIVFDYRQKVTRSFQYLQGDYYIAPLFLDKVVCHIVKNYIAHLLNVKVPLILGIWGGKGQGKSFQTELIFQAMGVEPVIMSAGELESDRAGYHIYDETGKKFTKEIEALLTLGKMSCLMVNDLDAGLGRFGNTQMTVNNQIVVGTLMNLSDNPTRVSVGQDWREADITNRVPIIVTGNDFSTIYAPLIRDGRMDKFYWQPNREDILNIVNRMYEKDGIARDEVVKIVDTFPNQALDFYGALRSRTYDKSILKWVDEIGGVENLGNKLIRRRKDESLPVFIPPEVLFDAGLLKLESDVETCGYEDVQVMWEMLQRTENQVITVNNNKRKQLPFWRIFVWSSNPSEAKQLHHNHQITL >OIW09122 pep chromosome:LupAngTanjil_v1.0:LG06:30142592:30145200:-1 gene:TanjilG_11260 transcript:OIW09122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNQHSSLVNWAHYCHGKSMEELKHSLMYTILELEQTKVAVQEELRKKDDQLLDLKNLIQKTIMERNEAQEKCHKLLLEKLLFHQQTAPLSGISSIEDDPRIELDQNNALSSSDCEESIVSSPLIDHFSLQSMIELTPEKPLPEKGKLLQAVIKAGPLLQTLLLAGPLPQWKHPPPLLESFEIPPVTISAPQFLHQDSFFSTNGSSSSTATNCGRVSRKRSMEELKHSLMYTILELEQTKVAVQEELRKKDDQLLDLKNLIQKTIMERNEAQEKCHKLLLEKLLFHQQTAPLSGISSIEDDPRIELDQNNALSSSDCEESIVSSPLIDHFSLQSMIELTPEKPLPEKGKLLQAVIKAGPLLQTLLLAGPLPQWKHPPPLLESFEIPPVTISAPQFLHQDSFFSTNGSSSSTATNCGRVSRKRVLYDGSDSSTDNKYQRVVLQ >OIW10511 pep chromosome:LupAngTanjil_v1.0:LG06:5656807:5660495:1 gene:TanjilG_15883 transcript:OIW10511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRSKVEQSPSMLPNRLTLLQIFMAVTLLYLLFTSFEIHIASKAGLSSVVTEKATTSGFRLPTPLLLEKEPEDPFAIRPKDKPFRVPNGSKLQKVSTLRFNESFTIVKNGEFSKLHRAAKHAWIEGKKLWKEVEVNNFAAKFENENQKKKKNDSDSCPNSVSISGLEFRDKFKGLMVLPCGLTLWSHVTVVGTPRHAHAERDPKIALLREGDEAIMVSQFMMDLQGLKTVENEEPPRILHLNPRLKGDWSEKPVIEQNTCYRMQWGSAFRCEGWKSSPDEETVDGQVKCENWIRDDNSHSEELKATWWLNRLVGRKKQVPLDWPYPFAEGKLFVMTLSAGLEGYHVSVDGRHVASFPYRTGFALEDATGLSINGDVDVHSIFAASLPASHPSYAPQMHLELLPQWKAPPLRNLNVELFIGILSSGNHFAERMAVRKSWMQHELIRSSHVVARFFVAVHARKDINVDIKKEAEYFGDIIIVPYMDHYDLVVLKTIAICEYGIRTVHAKYIMKCDDDTFVRVDSIISEARNAESNRSLYMGNMNYHHNPLRTGKWAVTYEEWAAEEYPTYANGPGYIISSDIAQFVVSKFEKSRLNLFKMEDVSMGMWIDQFKIRRAVKYVHSLNFCQFGCIDDYYTAHYQSPRQMTCLWEKLQQQGKPFCCNI >OIW09999 pep chromosome:LupAngTanjil_v1.0:LG06:11009454:11009888:-1 gene:TanjilG_32739 transcript:OIW09999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAKKKEQEQNTSMTFFSSELHTFYPMFSTMEFNEQNNARANKSYYHSFAPCEAACGYSDACYEKHGFDKSETDSCSEGGVGDECDDEEVSLNELMLHGGKEKKKIEELAAMVGVDSTEPGIVLAEVVRVLRHLKRINQFYLSA >OIW09942 pep chromosome:LupAngTanjil_v1.0:LG06:12709675:12724560:1 gene:TanjilG_32091 transcript:OIW09942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCVINGKSDGKLFFYCIFIYTSSKWLCFACFPLLIIRHSLQKLSISCFRHEHHSPESPKPEIIDQYLPEQLVQSEFNNSSVSKRNWKSSLKKATNEVFKVFGKRWFVPWNAMTILQVMLLWTSAFWCIGSWMIPFAVHITGFNKESLTLRGQALFSLVIDVTEGIAGIAILLRCLSRFRPLPPDWFKFSLKGNWQIDVVLGCLMFPLVNRLSQFNLDLLPLLPSTPVTFSSVEQSIRARDPVAMFLYAIVVSVCAPVWEEIVFRGFLLPSLTKYMPVWCAILHAEVSKYTRNLAPASRTILLSGPAELYQQMLAKALAHYFDAKLLLLDLTDFSLKIQSRYGSSNKESSFKRSTSETTLERLSDLFGSFSIFPQKEEPKVKMHRQGSGVDLQSMGTEASGNPPKLRRNASSSSNISSLGLQSNTANPAPLRRTSSWSFDEKLLIQTLYKVLVFVSKTFPIVLYLRDVDNLLHRSQRIYKLFQKMLEKLSGPILILGSRVLDSGNDYVEEDEKLASLFPYNIEIRPPESESHLVSWKSQLEEDMKRIQVQDNRNHIIEVLAANDLDCDDLDSICVEDTMVLSNYIEEIIVSAISYHLMKNKELEYRNGKLVISGKSIFHKGKSSGSDTLKLKDQAVKPEMKKGEGNVVKPEAKSEIAAPVKKAETGSTSVGKTDNEKSVPASKASEVPPDNEFEKRIRPEVIPANEINVTFSDIGALDETKESLQELVMLPLRRPDLFTGGLLKPCRGILLFGPPGTGKTMLAKAIAKEAGASFINVSMSTITSKWFGEDEKNVRALFSLAAKVSPTIIFVDEVDSMLGQRTRVGEHEAMRKIKNEFMSHWDGLMTKPGERILVLAATNRPFDLDEAIIRRFERRIMVGLPSVENREKILRTLLAKEQVDEEIDFKQLATITEGYTGSDLKNLCTTAAYRPVRELIAQERLKNMDKKQKDKATKDQNKDVQDRNKQGAVDTEQEAKQERVITLRPLNMQDFREAKNQVAASFSAEGAGMSELKQWNELYGEGGSRKQKQLSYFL >OIW11167 pep chromosome:LupAngTanjil_v1.0:LG06:195548:198528:-1 gene:TanjilG_22974 transcript:OIW11167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKIEQRDTARVEAVLQLIRQQTPLTLKQEKFCNYGCVERVLKAKGDNVKRAAKQLKACLSWRDNIGIEHLIADEFSAELADGLAYVAGHDDESRPVMIFQMKQDYQKLRSQKQFIRLLVFTMEVAISTMPKNVDQFVMLFDASFYRTASAFMNLFLAALKIVGEYYPGRLHKAFVIDPPSLFAYLWKGVKAFVDVSAMTMIVSSLDYEESLEFNDFTSYPRAASLRFDESTAKIGPCTSSRFAFTVSHHNFDSLKPWYLSFSDTSSSKVGPTSPASLGPARISPLNARSFSFASPAARKTLFSTPLPQRVTGGDKKVTARTPRPSFLQSPAMFFNRKSERGEKSRESFKAYLKFYRRPYDEMIYRSKMRPPLGGLISIVSHNIRRRHVSLSQRY >OIW10560 pep chromosome:LupAngTanjil_v1.0:LG06:5213025:5215844:1 gene:TanjilG_15932 transcript:OIW10560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLPVYHPRLPGAVNVLSVSRPPVAGIPGVHPIIPLTVRPVITPSVTPTDMPQTPVYVGKMAPTLENDWKRPQDLSSGTPKGFGFYEFESAEGILRALRLLNKLNVDGQELMINVNQAMKEYLERYVRRKTENSKKIETQATEEVKKDDEGAKPPDGNQDAKPDAEHSNKEDNDSGNKESHDVANSGIITDEDRKADREASEKMKAMIEEKLKPRPLPPPPAQPSGDGSVNLSSEKSGKTRDEDSDVDTDSVAAKDKKETISDNKLTSEHDRPETTDRRRPRERHRDKELKQKKQRGFERHEREVERERVRKERGQRKRVEEVESQYEACLKEWEYRERDGEKERQYEKEKEKERVHKRRKDILYEEDGDDGSRKRWHRNVFEEKKRKRLHGADKIMDTEEIANEVISIVSEQDIVVDCNCECHIGDGNTLNGIYDELAMASVAITDTQSSRNAPTKKLGFGLVGSGKRTTVASVFHEEDDDEHKDKKIRPLVPIDYSTEELQAVQSAVSGQTSNLVAAAEFAKRYLMPISRNENWMWNRIEVAVQMRSLTVGTETGVMKMVLAIEMKRRNFLTVKGIEIMGWRNTRLLITGSF >OIW10956 pep chromosome:LupAngTanjil_v1.0:LG06:861129:869590:1 gene:TanjilG_22763 transcript:OIW10956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHRVVTAPFLTKTYELVDDPMTDEVISWSESGNTFVVWKHAEFAKDLLPKYFKHNNFSSFVRQLNTYQFRKIVPDKWEFANEHFNRGQKQLLSHIKRRKTNLPPSSAQPPVIRKSDANDNSPSNSGADEIGSISTSSPDSKNSGSMEVTDLSSENQKLKKDNEKLSFNLARAKKQCDELVVFLRDCLMVGPDQINRVLGEGCCGSTNETVRSDADENVVVEGGGGGDGDSLKLFGVWLKYDKNNNNYKKRKRQDQLDLSGPSAKEFKSVVNFNGATTILMIKSSKLSRSLSSITNLLFNRRNANELANSKRQRLRPGKVSPRRQVPKEIPRPPYVKSKIPAGMASGSEVHDENGIECMRASGRLAAQVLQYAGTLIKPGITTDEIDQAVHQMIIDNGAYPSPLGYGGFPKSVCTSVNECICHGIPDSRALQDGDIVNIDVTVFLNGYHGDTSATFFCGDVEEEARKLVQVTKESLDKAISICAPGVEFKTIGKTIHDHADRYGYGVVETFVGHGIGRVFHADPVILHYKPMLTMGSINPVMWNDNWTVVTEDGSLSAQFEHTILITADGAKIMTQC >OIW11095 pep chromosome:LupAngTanjil_v1.0:LG06:672447:673119:-1 gene:TanjilG_22902 transcript:OIW11095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALDKNFLIVVVYALILLLLCHDVPSAIVHDHDSTPKKPGCENQFVLVKVKTWVNGIEDAEFVGVGA >OIW10467 pep chromosome:LupAngTanjil_v1.0:LG06:5935373:5937237:-1 gene:TanjilG_00405 transcript:OIW10467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSQTVELLKNEIPLEQESVILAEDSVNGLVLVDIINGFCTVGAGNLAPRVPNRQISGMINESARLARLFCEKKLPVMAFLDSHHPNKPEDPYPPHCIAGTDESNLVPALRWLENETNVTIKRKDCFDGYLGSIEEDGSNVFVDWVKKNKIKTLVVVGVCTDICVLDFVCSTMSAKNRGFLNPLENVVVYSHACATFDIPLEVARNNKGALAHPQEFMHHVGLYMAKERGARIANQILFDAAEKV >OIW09691 pep chromosome:LupAngTanjil_v1.0:LG06:19744587:19745678:-1 gene:TanjilG_06497 transcript:OIW09691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLLQSIGGPCLESIGRPTLAVPHPTENLPLDWGCIPKQTDSLTTRHGATWFGNDEALTLSGAPFQGTWARPTAEEASPDYNSDTEGDRLSWWPTDPHGSKSRKDGGGDTYDWCRALAQPPSLTTPSTVDSVFNQPWDIARGKPTFALLGSTCVQRLDGSRDYAIHTKYRISLRSSLMQELRYPLPRVFRISQEDTTEGVVPLDTRGIEVPNTSSHP >OIW09218 pep chromosome:LupAngTanjil_v1.0:LG06:30931089:30933980:-1 gene:TanjilG_11356 transcript:OIW09218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQLLQLFLQGNNLHGAIPSSIVNCTKLQDLYLNDNQLQGVLPDTLNNLNDLVSFDVSHNSLKGTIPLGSSNTCSQNLQFLDLSFNVFSGGIPSGLGNCSALSQFASVACNLDGTIPSSFGLLTKLTILRLPENHLSGRIPPEIGNCKALTELHLYSNRLEGEIPSELGKLSELQDLELFSNKLSGEIPLGIWKIQGLKHLLVYNNSLYGELPLEMTQLKKLQNITLFNNQFSGIIPQSLGINSSLVELDFTNNNFSGELPPNLCFGKKLSILIMGINQFQGRIPHDVGRCTTLTRLILKQNSFTGPLPDFERNMNLNYMDISNNKLNATIPSSLGNCTVLNELVLSRNRFSGLIPQELGNLANLRTFNLSHNNLEGPLPSHLSNCTKMDKFDAGFNFLNGSLPSSLRSWTGLSSLVLKENRFSGGIPVFLSEFERLYELQLGGNMLGGRIPRSIGALQNLIYGLNLSSNGLIGDIPVEIRNLKSLLMLDLSQNNLTGSIEALDDLHSLVEINISYNSFHGPVPKMLMKLLNSSMTSFIGNPGLCINCSPSDGSVCNESSYLKQCDKKSANHKGLGKFEIAMIVLGSSIFVVLVLLVLVYKFVFGRKSKQEVNISAREGTSSLFNKVMEATVNLNDRYVIGRGAHGVVYKAAIRPDKVYAVKKLGFAASKGKNLSMVREIQTLGKIRHRNLVKLEDFWFKKDYGLILYSYMPNGSLHDILHEKNPPLSLEWNVRYKIAVGIAHGLAYLHYDCDPSIVHRDIKPNNILLDSDMEPHIADFGIAKLLDHSATSSNPSMIVPGTIGYIAPENAYTTANSRESDVYSYGVVLLELITRKKAASQSFTEEGTSIVVWVKSMWEETRAEIHQIVDSSLAHEFLDTHIMEQATKVLMVALRCTENDPRNRPTMRDVTKQLSYANPRPTSMKS >OIW11157 pep chromosome:LupAngTanjil_v1.0:LG06:256111:257018:-1 gene:TanjilG_22964 transcript:OIW11157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRSFPWVEGQVDASSSNPSSTTIFHFPLPIHITSQDVLIRRAEMYQDHMKQIPIPTHRGSVIPFNSWMGLGRSIKQLYGQPLHYLTNILLNQWDQLRIGTDDEYTPLDNIIHPCKAEATIWLMEEVHRQTSSHVQIAELWKEDPMYNAFVDSVFPILEHTS >OIW10048 pep chromosome:LupAngTanjil_v1.0:LG06:10453968:10455872:1 gene:TanjilG_32788 transcript:OIW10048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSVTFTEKPHAVCIPFPAQGHVTPMLKLAKLLHHRGFHITFVNTEYNHKRLIKARGSDSLNDLPSFHFETIPDGLPESDDVDATQDIPSLCVSTSKTCVPHFRNIISKLNNNASDHVPSVSCIISDGVMSFTLDVAQELGIPEVIFWTTSASGFMCYTQFHQLVEKGIIPLKDSSYITNGYLETSINWVPGIKEIRLKDMPSFIRTTDPNDIMVNFGIRECKRAQRASAIILNTFDAIEHDVLDALSSFLPPVYSIGPINLLLNDVTNKDLEKIDSNLWKNDLKCFEWLDTKDPNSVILVNFGSIAVMTSEQMVEFAWGLANSNKTFLWVIRPDLVTDEGAILPPQFVTETKNRGLLLSWCPQEKVLVHPAIGGFLTHNGWNSTLESVCGGVPMICWPFFAEQQTNCKLCCKEWGIGLEIEDVKRDKIESLVRELLDGNKGKEMKEKVLEWKKLAKSAASNPNGSSFLNLEKMIHEVLINGQKSQN >OIW10426 pep chromosome:LupAngTanjil_v1.0:LG06:6326059:6326883:-1 gene:TanjilG_24986 transcript:OIW10426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSSKQVSSSGLDWNNGLLEPQKLELLPKPHQMKKQQQSEESSETLKCPRCDSTNTKFCYYNNYNKSQPRHFCRACKRHWTKGGTLRNVPVGGIRKNKRVKKPTTPTTTTTTSSTTTTTNSTCTTNNMNTIQIPLDQKNMSSSLYQALIGPPPLLLQQQNLMNMRDLESKDFGMTLSSSSLPLAQNQSLHFPFSTSSSFYTNPLRSSSNVYNYVEDSTMNSAIPSTGSINTHAWEITATSGGMGMSNYWSWEDIDSLVSTDLKDPWDDSDIKP >OIW10018 pep chromosome:LupAngTanjil_v1.0:LG06:10766555:10767448:-1 gene:TanjilG_32758 transcript:OIW10018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENQNRLKLRISRMFRSSFGSCSTRNFNDVMEKAVFSPPQNRSVTFHQLLMDPPSPKPRPFPSICKPKVSQTSQTTNDQCIMSFKDSLPRPKISEKSLPPFANNSRSYPLVSPNTPFDDTVFGFEETTKDSKRNIRNNKKKKKKNNNQKRREIFPFNSCAKDKNFGDYYCFGSDEDDETDTLFSSKSISSDSSRSRRRRRKNNSGERKKGQGSEMGVLPLHGNGKVKDTFAVVKRSSDPYNDFRTSMVEMIVEKQIFSPRDLENLLQCFLSLNLYHHHKIIVEVFTEIWETLFSDWL >OIW10894 pep chromosome:LupAngTanjil_v1.0:LG06:2216517:2217950:1 gene:TanjilG_27840 transcript:OIW10894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHDQNPSSSGLHLVLGLSLTTEETTTTPPPPTMVDDHLYLLKPTPMKPYFSSFTTETEPSLTLGLSSTESYLNQQHVAKNKMDGNHKPHGEDPVDLSSHEASPHSVASSFSTGRVVKRERDPSCEDVEAEATERFSSRVSDEDEDGTNARKKLRLTKEQSALLEESFKQHNTLNPKQKQALARQLHLRPRQVEVWFQNRRARTKLKQTEVDCEFLKKCCETLTDENKRLKKELQELKTLKLAQPMYMPMPAATLSMCPSCDRIGRGVSNGGSNKSPFSMAPKPHFYNPFTNYSAAC >OIW10732 pep chromosome:LupAngTanjil_v1.0:LG06:3633891:3638432:1 gene:TanjilG_27678 transcript:OIW10732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVLHCPPFEFSGKYYHVSENGGGCVRQSSFFEGKPVLNKGVGYSVILGFGAFFAVFTSFLVWLEKRYVGSRHTSEWFNTAGRNVKTGLIASVIVSQWTWAATILQSSNVAWEYGVLLFGIMAIEIKRKAPHAHTVCEIVKARWGTSAHIVFLFFCFMTNIIVTAMLLLGGSAVVNALTGVNIYAASFLIPLGVIVYTLAGGLKATFLASYIHSVIVHIVLVIFVYLVYTASSELGSPSVVYNRLMEVSSKSRTCQDPISHHGQSCGPVSGNHKGSYLTMLSSGGLVFGIINIVGNFGTVFVDNGYWVSAIAARPSSTHKGYLLGGLVWFAVPFSLATALGLGALALDLPINESEAGRGLVPPATAVALMGKGGSILLLTMLFMAVTSAGSSELIAVSSLCTYDIYRTYINPNASGKKILNVSRGAVLGFGCFMGFLAVILNKVGVSLGWMYLAMGVLIGSAVLPIAFMLLWRKANAIGAILGTIFGCVLGIITWLSVTQIEYGKINLDTTGRNAPMLAGNLVSILTGGAVHAICSMLWPQNYDWSTTKKITVVEKEKTDLPTEEFKEEKLIKAKAWIVKWGVGFTVLIVILWPILSLPAGEFSKGYFYFWAVIAIAWGTIGSAVIIILPITESWETIQNVILGMFTNDRLIEKMDELNFKLKTIMQAIPEAERIYLLEKEKAKKLEASEQQAYSLPA >OIW09407 pep chromosome:LupAngTanjil_v1.0:LG06:28582668:28589548:1 gene:TanjilG_21004 transcript:OIW09407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNSLVERATSDMLIGPDWATNIEICDMLNRDPGQAKDLVKGIKKRIGSRNSKVQLLALTLLETIIKNCGDIVHMQVAERGVLHEMVKIVKKKPDFHVKEKILILIDTWQEAFGGPRARYPQYYTAYQDLLHAGAVFPQRSEQSAPVFTPPQTQPLTSYPQNIRDSDTRQDAAESSVETEFPTLTLTEIQNARGIMHVLAEMLNALDPGNKEGVRQEVIVDLVEQCRTYKQRVVHLVNSTSDESLLGQGLALNDDLQRVLAKHESFSAGTSTQNKKHAENPKPLPAGALVDIHAPLVDTGDTSKEIDGRPSSSAEAGSQTLNQLLLPAPPTSNGSAIPTKVDPKLDLLSGDDYNSPKADNSLALVPVGEQQPSTPVSQQNALVLFDMFYDGNNAPTSVNGTQQIQPANVAGQTTPLGPQFQQQTFMSQGWFYPTGSVPNTTGSPRYEQSLYTQTGPAWNGQVAQQQQPPPVYGAQSSGGSLPPPPWEIQPADNGSPVTSTQYHQPPQSAQVVMMHIQSSAHPQGPQAIGGDQMYMQPNANIHIQNNQFGIHPQHIQGVAGPYSGMVSHHQMQAASMYPQQIYGNQYIGYGHGQQQQGGVSYFEQQMYGLSVRDDNSLRNSYQVSTTSYVPSGKPSSKAEDKLFGDLVDMAKVKPKSSPGQAGSM >OIW09048 pep chromosome:LupAngTanjil_v1.0:LG06:31126836:31131184:1 gene:TanjilG_16275 transcript:OIW09048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPWLDDLNDDLQSLSFASSTTAEIKRSTSFSSETTTITASTSAHLPPTPTTKHHAPSSDPRWSAIRRIRSESPTRRILPSDIRFKRRLGAGDISSVYLAEVNDGSAMFAAKVMDKKELASRSKEGRARTEREILELLDHPFLPTLYATIDAPKWFSLLTEFCPGGDLHVLRQRHPNKRFLQTAVRFYASEVLVALEYLHMLGIVYRDLKPENVLVRSDGHIMLTDFDLSLKCNDSTPLAQIKPDQKSPHIAPHHIEPSHFTSSKCILPNCIVPAVSCFHPKRKRKKQNQHNGPEFVTEPIDIRSMSFVGTHEYLAPEIVSGEGHGSAVDWWTLGIFIFELFYGVTPFRGMDNELTLANIVARALEFPKEPFVPANAKDLISQLLVKDPSRRLGSTMGASTIKHHSFFQGVSWALLRCTTPPFVPPPFAKDKDAVSDESCCPETIIDYY >OIW09563 pep chromosome:LupAngTanjil_v1.0:LG06:22813973:22818211:-1 gene:TanjilG_28162 transcript:OIW09563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSRSYTMTMEDNKTHNNPKQLKDEESPLLLHGSSNINNNVAEASSSFTGSVFNLSTTVIGAGIMALPAAMKVLGLPIGIGAIIFLAFLTNTSLEILMRFSRVGKAGTYGDVLSGTSSNGTHHFGVLEGWFGECWWTGRTFVLLMTTLLVFAPLGFFKRIDSLRYTSAIAVALAIVFLVITAGITFVKFFNGSILSPRLFPNITGIASIWNLFTAVPVLVTAFVCHYNLHMISNELGDTSLIQPVVRVSLVLCSSIYILTALFGLLLFGESTLDDVLANFDTDLGIPYSSLLNDIVRISYAVHLMLVFPVIFFSLRFNFNDLFFPSASSSDLDKRVFVLITTGLVSLLYVAANFVPSIWIAFQFTGATATVCLGFVFPAAIALRDPHGIATKNDKIISIVMIFLAVFSNVVAIYSNIDSLFRKAS >OIW10520 pep chromosome:LupAngTanjil_v1.0:LG06:5556726:5560672:-1 gene:TanjilG_15892 transcript:OIW10520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSQADLDRQIEVLMECKALPEAEVKALCDQARAILVEEWNVQPVKCPVTVCGDIHGQFYDLIELFRIGGSAPHTNYLFMGDYVDRGYYSVETVTLLVALKVRYRDRITILRGNHESRQITQVYGFYDECLRKYGNANVWKFFTDLFDYLPLTALIESQIFCLHGGLSPSLDTLDNIRALDRIQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDIAAQFNHTNGLSLISRAHQLVMEGYNWCQEKNVVTVFSAPNYCYRCGNMAAILEIGENMDQNFLQFDPAPRQIEPDTTRKTPDYFL >OIW09022 pep chromosome:LupAngTanjil_v1.0:LG06:31699787:31701348:1 gene:TanjilG_05998 transcript:OIW09022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERNHSHKQVAAMKQSLFDQGHLDEQFIQLEELQDDANPNFVEEIVTLYYHDSSRLISNMEQALGRNPLDFNKLDNIMHQFKGSSSSIGAKKVKAECTLFREYCRTGNAEGWLGKLDPWRVHVAPGKGGGI >OIW09637 pep chromosome:LupAngTanjil_v1.0:LG06:21762627:21773266:1 gene:TanjilG_28236 transcript:OIW09637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSPSVRTGAPRLELLRTGPWCLGQNLCAKACVLWSECCVVPRADALMAMHCLAWAYLLGAELGFESVHFARIDYQDRAKRKGDKSLEVVWRGSRTFGSSAQIFANAFPVQYSAPSGFNFEVNSNDPPLQDDPLLFDYNVEQRIEDFINAATTQDGRVNALYSTPSIYTNAKNAANISWPLKTDDYFPYADGPFSYWTGFFTSRPALKGYVRFLSGYYLAARQLEFFAGKNSTISRTLELGDALGIAQHHDAVSGTAKQHTTNDYAKRLAIRASKAKAVVSSALACLSSKQSGNQCSEPASAFAQCQILNISYCPPTEDNIPETKSLVVVVYNPLGWNRTDIVRIPVNDVNLVVKDSYGNNIEAQHVDIDDEKVEMDLSRTAGLGKVTTLTFSSTSGQLKRMYNSRTGVDIPIQQSYLWYGSSEGDISDSQASGAYIFRPNGSPPTVVPRSTRIVVRLYKDKDHAEIEFTIGPIPIDYGVGKEVITRLTTNMVTNKEFYTDSNGRDFLKRINELKEVNLSANQDKSEIRKMTWKVEGDKGQESQVVRGGPVNSPEFIDDFI >OIW10429 pep chromosome:LupAngTanjil_v1.0:LG06:6379157:6379345:1 gene:TanjilG_24989 transcript:OIW10429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENVENPNKSINGKKLPKVFEEFRNQSRGTQLCMALGRIFKKEGLTPKGQLHIQFIDIAMKI >OIW10954 pep chromosome:LupAngTanjil_v1.0:LG06:847958:850504:1 gene:TanjilG_22761 transcript:OIW10954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNNHCNKSFAKSYMLLKPEEAHFFDIIRVLFSNNLGHRKFVESNKEGPLVDESFRHRWLIFISVMLQKIMMFVAKPLATFGYWVEWFINMVILNGGLHRIPFNFLTGKLVIPDCASHTFMSSIGNLDGRVKLSNLKREDSRYYVSLAMMASKACYENAAFLNATITNDWKMEFIGFFECWNEYMGKVTTQLLIFLDKSENSDTYVVAFRGTEPFNADDWCTDLDISWYEIHGVGRMHGGFMKALGLQKNEGYPKEIQRDENLSPLAYYVVRDILRKAFSENHKAKFIVTGHSLGGALAILFPTIMFLHDEKLLMERLQGIYTFGQPRVGDEAYATYMKQKLRENSIIYCRFVYNNDIVPRLPYDDEDLLFKHFGLCLFFNRHYEVQVLDEEPNKNYFSLWCMIPMIYNAVLELIRSFTIAYMKGPHYREGWFLFSFRLLGILIPGLPAHSPQDYVNSTLLGSIEHHFKSD >OIW09569 pep chromosome:LupAngTanjil_v1.0:LG06:22752271:22754954:1 gene:TanjilG_28168 transcript:OIW09569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVTNNVNCYNHQAGDSPGKVDSPKSSKHVIDTAAPIESVKDAVSRFGGKVEWRGRRSQSMEKSKLEGQDFGKPDPSEELENTKKLIAELKVKLGKIEREEGEAKEEVELISLKIEEMEHDIINEASIEAKAQFEVEKVKRDVAVSDLEFVKRELDSLYKKYDSLVSGRDIAVKKAEDVVAASKLVEKEMEELTAEFNATKESLYSSRATCLDAEEQRLGVVDEETRDLKVEFEQVEEEFGRLEQQVSSARVLESKLNASSSLLIHLKGELAAYMESKIEAEGDEERKKELEEVKMNIEKATAEVNSFREASASLKSKLELEKSVLTNLKQSEEKASAAVATLEEELEKTKFAIAFLKMKEEEARDMMIELPKKLQQAARDADEAKSLARAAQRELVEAQKEAEQAKARASTLERRLLATKMEIEAARASGKLAKESMKALEKSESTISNDDTNSSDMVTITLDEYHELGKRSYKVEEQANARVAASNSQIQMAKESELRSLEKLEELNQELAVRRESLNIAIENAEKARQGKLALEEELRTWIEEQEQQRNDSTNAAAAAATTTEPTHDLISSKGKAPLNNTETGSAPDTKSKKKKKKKSLFPSKVVMFFAKRKTHPTK >OIW10469 pep chromosome:LupAngTanjil_v1.0:LG06:5943261:5945125:-1 gene:TanjilG_00407 transcript:OIW10469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSQTVELLKNEIPLEQESVILAEDSVNGLVLVDIINGFCTVGAGNLAPRVPNRQISGMINESARLARLFCEKKLPVMAFLDSHHPNKPEDPYPPHCIAGTDESNLVPALRWLENETNVTIKRKDCFDGYLGSIEEDGSNVFVDWVKKNKIKTLVVVGVCTDICVLDFVCSTMSAKNRGFLNPLENVVVYSHACATFDIPLEVARNNKGALAHPQEFMHHVGLYMAKERGARIANQILFDAAEKV >OIW09753 pep chromosome:LupAngTanjil_v1.0:LG06:16968963:16973771:-1 gene:TanjilG_14276 transcript:OIW09753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFHHQTKFAIVFTIFMFYSTKAINNNCERSCGKHSLKYPFGFSSGCEIQLNCAERKQVQIGELKVQNVTSDSIYIRLPAKCNRRISFVDPLFGKNFAPTRNNSFLVQRCSSHLGGCVIPTSIFFGSLKLEGCEHKRSEDINCLTMLKGKDVMMMTHEELKGSGCKFLFSAIAVDKSKELSLQFQVVELGWWLEGPCHCSNNETCTEVHLAGGKQGFRCHCREGFTGDGFIDGTGCRRVIIVGASLVAALFLLCYFTKHRSTWLRKQVTIKRLLREAAGNSSVPFYPYKEIERATDSFSEKHRLGTGAFGTVYAGNLHNDEWVAVKKIKHRDTNSVDQVMNEIRLLSSVSHPNLVRLLGCCIEDGEQILVYEYMPNGTLSQHLQRERGEVLPWTIRLTVATETANAIAYLHSAIDPPIYHRDIKSSNILLDYSFQSKVADFGLSRLGMTENSHISTAPQGTPGYVDPQYHQNFYLSDKSDVYSFGVVLLEIITAMKVIDFARPQSEVNLATFAVDKIRRGCVDEIIDPFLEPHRDAWTLYSVLKVAELAFRCLAFHSDMRPTMMEVAEELEQNRWSGWATMEETICMASSVESACLSPRNGSEKSLSSIKFKREGQVSETLTVPKRTDICLHSMEEVKESSPVSVQDNNWLSEPSSPSTNTC >OIW10453 pep chromosome:LupAngTanjil_v1.0:LG06:5863999:5864343:-1 gene:TanjilG_00391 transcript:OIW10453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSNSFITSLLLALVFSSMSLSLGARNLLQTTTQPNFPSTLPTLPNPTTFLPPLLPQGNNVPQLPTIPSLPQLNTLPPLPSIPTNIPSIPTTIPTTIPSIPFFSPPPSTTTSP >OIW11032 pep chromosome:LupAngTanjil_v1.0:LG06:1486084:1486251:-1 gene:TanjilG_22839 transcript:OIW11032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDGYAPNSDKTGCRIHYTLLSQKLYYPSTESGETMITIFRHCFGDDFETASLRI >OIW09635 pep chromosome:LupAngTanjil_v1.0:LG06:21790211:21793551:1 gene:TanjilG_28234 transcript:OIW09635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARYDRAITVFSPDGHLFQVEYALEAVRKGNAAVGVRGTDNVVLGVEKKSTAKLQDSRSVRKIVNLDNHIALACAGLKADARVLINKARVECQSHRLTVEDPVTVEYITRYIAGLQQKYTQSGGVRPFGLSTLIVGFDPYSSTPSLYQTDPSGTFSAWKANATGRNSNSIREFLEKNYKEVSGQETVKLAIRALLEVVESGGKNIEVAVVTKEHGLRQLEEAEIDAVVAEIEAEKAAAEAAKKAPPKET >OIW09280 pep chromosome:LupAngTanjil_v1.0:LG06:29010363:29012588:-1 gene:TanjilG_01251 transcript:OIW09280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDPSNLSFGDGIEVVHQNGVHREPSNSGEDSVVSNDLDINLTESTESLPQNGNFENLNQADGTVTENSSVAAKIKILNENTKGNNVTLAKEEGVKITNQTGQLKAQKDPVKNKNAKASASSGVHSSLVKRNKIAKDKEEASSVSNGASALDSCTRQPINSRSLNNRQTRLSMRPSKSDASSSDVATEKTKPKSLKKGSVDKGQGEAESSSSTNAEDSKPRKVGTLPNYGFSLRCGERAEKRREFYSKIEEKIHAKEVENSNLQAKSKESRDAEIKILRKSLTFKATPLPTFYQEPPPPKVEFKKIPTTRPKSPKLGRKKSSINSESDGNTSSSSQQGRISFDEKTYQSNNPTKGVTPIHPKKPLRKSLHARLATRKLNSPNSKTALQGPSEPRKIEKTVSSSTKKDITPSNATEEEKIPIPATNEEDSTLPSETSEALPLNVVHSDKPSETESGVNGDPVEEDNPQLPFTQESIKAEH >OIW09763 pep chromosome:LupAngTanjil_v1.0:LG06:16056543:16063080:-1 gene:TanjilG_18678 transcript:OIW09763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVFLPYFIWLRCVIVLDELCLPVAYASYSRKDLMSGIEDKKEQGQIDVNATDESTKMPSSEHQEEAVKKKYGGLMPKKPPLISKDHERAYFDSADWALGKQGVQKPKGPLEALRPKLQCYNKMSRQPDILFLEEWLKSRSGDPKRFSPKKSYPLSARAIIQAWAELRDSLQQPSFHQHHLQHLKSLINSQTSLHVADPQARLVLRILSSSKFSLPYESYPLFFRLLYIWVRKASKPTSDIIDSAMGVLSHLFSSQFDSANNPVFFSEGILLLGAFSFVPSLSEKSKTVCLDLLSRQLIEKCQILGSFTELLPDFLAGIGYALSSSVTVHCHRILNSLFEIWHKDDGPQGNIFLGLIVLHLFDWVMSNLINFQFLDKINVILQETFGSLKENYASFAVFMAAAGVLRVVNRSASSGVKLEILSTMRVSAVVWMEALTNDLVSGTLRFNNSGNDHKNRLLLQCVSLALARTGSFSGHSSLFVCLALALLTEIFPLPRLYKSVIEPSNAPLELKLNEVKEHLDNILFQEAGAITAIFCNQYVSADEENKNIVENLIWEYCREIYFGHRQVALVLKGKQDELLEGLEKIAESAFLMAVVFALAVTKHKLNSKFALEIQMEVSLRILVSFSCVEYFRHVRLPEYMETIRKVVATVNSNEHTCTSFLNSMPSYVDLTNGPDPKTKYLWSEDEVQTARILFYLRVIPTFIECLPINVFSNMVASTMFLYLEHPDGKVAQASHSLFVAFMSLGKESEKNDRVSLKEQLVFHYIQTSLLGYPLITPFEGMASGVVGVAQHLPAGSPATFYCIHSLVEKANQLCSEVFTHEVDAWKKRQGEPEPSKKLMDLLLRLIFLVDIQVLPNLMKQLPQLITALPRDAQNMVLNELYSLVADSEDVIRKPTLVSWLQSLSYLCTSATHQNAASKKSIGEDNLTLASIQDPLSSGRLTSQL >OIW10892 pep chromosome:LupAngTanjil_v1.0:LG06:2240865:2241377:1 gene:TanjilG_27838 transcript:OIW10892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCDHHICAKRLSFISVSLFFLIISSWTLQGFVTEEGTRTTPKQNGFQKIVHDDKVMVRARIGSRPPKCEKRCRSCGPCEAIQVPTNPQTQNGKINVNPSTVSIAAYERGDNVNYKPMSWKCKCGNLLFNP >OIW11062 pep chromosome:LupAngTanjil_v1.0:LG06:1699467:1699853:-1 gene:TanjilG_22869 transcript:OIW11062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIYSCIECDSNLNLNPSHIFPSDFYFEAGNKATLSFSSVDPTKFTFQNEDKIRPFFETLNYWGIQRKRVKIKCNACSRLLGYVYDDGPPITDSPGQFHMGPSQVIPRAPRYRFKTKALRITSSPIL >OIW10977 pep chromosome:LupAngTanjil_v1.0:LG06:1018661:1020082:1 gene:TanjilG_22784 transcript:OIW10977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASLQAAATMMQPSKVAITGRNTTLQLKHTQPISKAFGFEPSSAKLTCSLQPHLKDLAQRCLDATKIAGFALATSALVVSGASAEGVPKRLTYDEIQSKTYLEVKGTGTANQCPTIDGGSDSFNFQPGKYTAKKLCLEPTSFTVKAEGVTKNAPLEFQNTKLMTRLTYTLDEIEGPFEVSPDGTVKFEEKDGIDYAAVTVQLPGGERVPFLFTVKQLVASGKPESFSGDFLVPSYRGSSFLDPKGRGASTGYDNAVALPAGGRGDEEELGKENNKSAASSKGKITLSVTKSKPETGEVIGVFESLQPSDTDLGAKAPKDVKIQGVWYAQLE >OIW11059 pep chromosome:LupAngTanjil_v1.0:LG06:1684634:1691621:1 gene:TanjilG_22866 transcript:OIW11059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQNPFNSILKSLEKPSGDGVFGNYYSLPALNDPRIDRLPYSIRILLESAIRNCDEFQVKSNDVEKIIDWENTSPKQVEIPFKPARVLLQDFTGVPAVVDLACMRDAMNKLGGDSNKINPLVPVDLVIDHSVQVDVARSENAVQANMELEFQRNKERFGFLKWGSNAFNNMLVVPPGSGIVHQVNLEYLGRVVFNTNGVLYPDSVVGTDSHTTMIDGLGVAGWGVGGIEAEATMLGQPMSMVLPGVVGFKLLGKLRDGVTATDLVLTVTQILRKHGVVGKFVEFYGEGMSELTLADRATIANMSPEYGATMGFFPVDHVTLQYLKLTGRSDETVSMIESYLRANKMFVDYSEPQVERVYSSYLELNLEDVDPCISGPKRPHDRVPLKEMKVDWHACLNNKVGFKGFAVPKEFQNKVAEFTFNGTPAHLRHGDVVIAAITSCTNTSNPSVMLGAALVAKKARELGLQVKPWIKTSLAPGSGVVTKYLQRSGLQKYLNELGFNIVGYGCTTCIGNSGDINEAVASAITENDIVAAAVLSGNRNFEGRVHPLTRANYLASPPLVVAYALAGTVDIDFDTEPIGIGNDGTKIFFRDIWPSSEEIANVVQSSVLPDMFRETYNAITKGNPMWNNLSVPSGSLYAWDSESTYIHEPPYFKDMSMSPPGAHGVKNAYCLLNFGDSITTDHISPAGSIHKDSPAARYLTERGVDRRDFNSYGSRRGNDEVMARGTFANIRIVNKFLNEVGPKTIHIPSGEKLSVFDAATKYKSDGHDTIILAGAEYGSGSSRDWAAKGPMLLGVKAVIAKSFERIHRSNLVGMGIIPLCFKPGEDAETLGLTGHERYTIDLPSNVSDIRPGQDVTVVTDDGKTFIATLRFDTEVELAYFNHGGILQYVIRNLINAKH >OIW09500 pep chromosome:LupAngTanjil_v1.0:LG06:23941603:23941794:1 gene:TanjilG_11622 transcript:OIW09500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQKEKIRKEKEKKKRKRRKRRKNEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEGEGEEEGKN >OIW09899 pep chromosome:LupAngTanjil_v1.0:LG06:12058968:12072581:1 gene:TanjilG_32048 transcript:OIW09899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLVKVATCNLNQWAMEFDFNTRQIKHSISKAKQSGAIIRLGPELEITGYGCEDHFLELDTVNHSWECLKEILLGDWTDDIVCSIGMPIIKGSERYNCQVLCLNRKIIMIRRKMWLANDGNYRELRWFTAWKQRDELVDFQLPIEISEALGQNSVPFGYGFVKAIAAEVCEEFFTPIPPHSELALNGVEVFMNASGSHHQLRKLDVRLRAFIGATHTRGGVYMYSNHQGCDGGRLYYDGCASVVVNGDVVAQGSQFSLKDVEVVVAQIDLDVVSSLRGSVSSFQEQASCKTKVPSVEVPYSLCQHFNLKTRLSIPLKIKYHSPEEEIAFGPGCWLWDYLRRSGASGFLLPLSGGADSSSVAAIVGCMCQLVVKGEFECSVKCCSILDCLFRNKQVPQVTSDLASVEYKLSDLTRSWAKVLADEIGSWHLDVSIDAVLDGGSNVENLSLQNIQARIRMVLAFMLASLLPWVHSKPGFYLVLGSSNVDKGLRGYLTKYDCSSADINPIGSINIEAAPPTAELEPIGSDYSQLDEVDMGMTYEELSVYGRLRKIFLCGPVSMFQNLCYRWGARLTPSQVAEKVKHFFKYYSINRHKMTVLTPSYHAESYSPEDNRFDLRQFLYDARWPYQFRKIDELVSELNVKDVVQEPGEFETVAAASSEVVGGMGVAAQSDVYQVLNIKHFFILRAIAAEVCEEFFTPIPPHSELALNGVEVFMNASGSHHQLRKLDVRLRAFIGATHTRGGVYMYSNHQGCDGGRLYYDGCASVVVNGDVVAQGSQFSLKDVEVVVAQIDLDVVSSLRGSVSSFQEQASCKTKVPSVEVPYSLCQHFNLKTRLSIPLKIKYHSPEEEIAFGPGCWLWDYLRRSGASGFLLPLSGGADSSSVAAIVGCMCQLVVKGEFECSVKCCSILDCLFRNKQVPQVTSDLASVEYKLSDLTRSWAKVLADEIGSWHLDVSIDAVLDGGSNVENLSLQNIQARIRMVLAFMLASLLPWVHSKPGFYLVLGSSNVDKGLRGYLTKYDCSSADINPIGSINIEAAPPTAELEPIGSDYSQLDEVDMGMTYEELSVYGRLRKIFLCGPVSMFQNLCYRWGARLTPSQVAEKVKHFFKYYSINRHKMTVLTPSYHAESYSPEDNRFDLRQFLYDARWPYQFRKIDELVSELNVKDVVQEPGEFETVAAASSEVVGGMGVAAQVQAIPMLDSN >OIW09257 pep chromosome:LupAngTanjil_v1.0:LG06:28734999:28738813:-1 gene:TanjilG_01228 transcript:OIW09257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSASQFDSTTGFSGGGFVSSNSTQFNDSSPAHPRSRETQGLVPVTVKQISEASHSGDEKSSFVINGVDLNNVTLVGMVFEKAERNTDVNFVLDDGTGRIKCRRWVNEAFDSKEMEEIQDGMYVRVYGHLKSFQGVRQLVAFSVRQPVTNFDEISFHFIDCIHNHLRTKLKVEGITPAHPDSSFNTPVKNASNVSPAPSSIPAYAQYGVDGLKDCDKLVIDYLQQHSDMSDERGIHVDELSRELKLPSDKIMLSLKTLGDDGLVYSTIDDFHYKQA >OIW08925 pep chromosome:LupAngTanjil_v1.0:LG06:32512120:32514995:1 gene:TanjilG_05901 transcript:OIW08925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGRGSKTLPPVEETSRNIPVEEEFSKPKAKSVRQEVLIQIPGCKVHLVDDGEALELALGEFKIVKVVDQNVSLATIIKVGNDLQWPLTKDEPVVKVDDLHYLFSLPMKYGEPLSYGVTFSQHSFGSIGLLDSFLKDHSCFSDLKLNKKKDLDWKEFAPRVEDYNHFLAKAIAEGTGQIVKGIFMCSNAYTNQVQNGGETILNGAADKKNGRNMSNKTAGTTNINTMNENLKRVRQLTNMTEKLSKSLLDGVGIMSGSVMAPVLNSQPGQALLRMLPGEVLLASLDAVNKVFEAAEIAEKQARAATSQAATRMVSNRFGEEAGEATEHVFASAGQAANTAWNVSKIRKAINPASSAATAGAFKNAAINRNVGY >OIW09369 pep chromosome:LupAngTanjil_v1.0:LG06:29815084:29822435:1 gene:TanjilG_01340 transcript:OIW09369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFLPCYLSKRVPDLPAVDLFVTTADPILEPPIITVNTVLSLLALDYPPNKLACYVSDDGCSSLNFYALFEASKFAILWVPFCKNYNVQLRAPFRYFSQSANTISQEDSLQFKQQWLHMKDLYDNLSRKIEDVTRDPNLLQLDGEYAVFSNTHPKNHPSIIKVILENKEAISDGVPHLIYISREKRPNHPHQYKAGAMNVLTRVSGLMSNAPFMLNVDCDMIVNNPKIVQHAMCILMDPKKGKEVAFVQCFQQFFDGIKDDPFGNQWVSAFEYIIRGMAGLQGPFYAGTNTFHRRNAIYGLYPHEMENDRKGKISMQQFGSSKEFFKSAIGALEGNAYSSKQISPSNFIEEAIQVSDCAYENGTSWGKQLGWIYGSISEDVPSGLNMQRKGWRSEGCTPDPVPFTGCAPRGLISTMVQFRSGLSYCWLTNWGLRSVFEVTYAVLPVYCIITNTNFLPQGLGLWIHIALAMIYYIHTLIEYLAIGLSIQHWWNNQRMSIIRTSTASFIGFLSAMLKLLGISDTVFEITQKEQPTSSSNDEVDAGKFTFDKSPVFVVGTTILMLQLTALFMKIMGLQPLAKSSNSGNGSGLGELICSTYLVVCYWPYLKGLFARGKYGIPLSTIFMFGGKLIHNRRGSSTEVKNENLEQETVFDDIPSELLELIFKRLTLDNNIRASVVCKKWHSVATSVRVVNYFPWLMYCSTYGEQYEFLNPLHHGTYFTELPELSGSKVCYAKDSWLLQHQFGTQQLFFFNPFTRKMIKLPRFDHTFYLAAFSCAPTSSECVLFIVSYVSPFVVTISTCYPGATKWTIDNYRHQLPLSFNSIVRNTVAFCNGLFYCLSFDGLLAMFDTAKCTWTVLSVPLLKWPRKLFATKLCREDFLYMTEQGGDIIAISQCYSEKPIVYKLDKKLMQWKVMRTLDGGTLFAGFMSSRPGIGLPRSMRNCIYFPVYGRQCKSIISFSLNHYRFYPHKDWFPWNSRNCFDDIVWIEPPRDFFRFT >OIW09488 pep chromosome:LupAngTanjil_v1.0:LG06:25139455:25146008:-1 gene:TanjilG_22237 transcript:OIW09488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSGEENSSFELRQRDSGDAEHDSGDPIRFGPRPNSSAVYAGVGARYKLMSPAKLPITRPPCLSVPPMLSPTSFLESPVLLSNMKVEPSPTTGSLPKLHQTAHGSVTYAVDDRKASFFEFKPDSRSNMGPTDFGNHVSEKSTQIEGQGKVQSSNSPLLVKNEIAVPSNELSLSSPGTSSHIEVDLEEFNNWSNTCTGLQASQIEGRGTGLSVTAERVSDDGYNWRKYGQKHVKGSQFPRSYYKCTHPNCEVKKLFERSHDGKITEIVYKGMHDHPKPQPSRRYPAGTTMSIHEERCDKASCLIGRDEKASGHMSHTAEPNSTSELSPVATNDDSPEVAGFVSNRINDEVDDDDPFSKRRKMALGNTDITPVIKPIREPRVVVQTLSEVDILDDGYRWRKYGQKVVRGNPNPRSYYKCTNAGCPVRKHVERASHDPKAVITTYEGKHNHDVPAAKNSNHDMAGPSGAGGQARFRPEESDTISLDLGMGISTASEIRSNGQGRLVLSEFGNSQTHTGNSNFKFVHTAEAPVYLGVLNNSSNPYGSRESLSDNHSAYPCPQNSGRILTGP >OIW09208 pep chromosome:LupAngTanjil_v1.0:LG06:30859713:30862211:1 gene:TanjilG_11346 transcript:OIW09208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWFLKLSTRKPFSTLQISASLFHQLRFFSRLEQEHSVQLSNTTKPTFIHPTSIIHPNAVIGEGVSVGPFCSVSSSAKLGDGCQLYPGSHVFGSTELGDNCVLMTGAVVGDDYPGYTIIGNNNTIGYHSVVGVKCQDLKYKPGDECFLEVGDNNDIREHTSVHRSSKSTDKTVIGDSNFIMGSCHIAHDCKIGNNNIFANNTLLAGHVEVEIRSLRAAYRKIFMCVDANAVSFEERLAEVTTVVEYANLDTGVALEAS >OIW10366 pep chromosome:LupAngTanjil_v1.0:LG06:9190059:9194448:-1 gene:TanjilG_28117 transcript:OIW10366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGVAAAISIPITTMHFRRFTLNSYSPLFSFLSLYHTTPNPTTTLSIPLFHHPIIFSLPFSPLRRCSFSTAATLHSGEPLIQIKLETFGRSLRLLISKVVLLKAWTFLINDGSSLSNMQCVIDSETEGYDQVESGLITTGASISVQGVIVESKGSKQKVELKVNKIILVGKSNPSFPIQKKRVSREFLRTKAHLRARTNTFGAVWFSFLHIHNLPVTCLLHYAKRNNSLDVADKDIVQITYTEAIDLLSRAKKNFEFPIGELIGGSQREERLEYLEARLDDLKLNKDAFWWYLDLRHYGSGLILYASLLEFLIV >OIW08901 pep chromosome:LupAngTanjil_v1.0:LG06:32706697:32709175:-1 gene:TanjilG_05877 transcript:OIW08901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGTKSKKQDSKKVSFLSNQFSRKQHRKGENPMRFIPDPDVLSGFGQSNSWICKNSACRAVLSKDDTFCKRCSCCICHHFDDNKDPSLWLVCTSESAQGNSCGLSCHIECALQHEKAGVVDHGQLMQLDGSYCCASCGKVTGIIGCWKKQLSIAKDARRVDVLCYRIYLSYRLLDGTSRFKELHEMVKEANAKLESEVGPVNGVSAKMARGIVSRLPIASDVQKLCSLAIEKADEWMATVPDVNTESREGSLPAACKFVFEEVTNSSVKIILIQTPNASSDLIKGYKLWYYKSRDESHTEDPVCVFPKIQRRILISDLQPCTEYTFRIISFTDIGDLGHSEAKCFTKSIEILEKNSSSVVAMNHKKENLQTGGNSSGSKMEPDPNMEDSRFKVRDLGKFLRFAWAQEQGCLEGFCCDDKKECCGQSEIVKPSDPKEQLPSFSRGLDLNVVSMPDLNEELTPPFESSRDEDNGCTLQQAVEADDAASHDLEKARSHGSGDSQNWTHGPTGEVPAVDSRMDACKKRVASRNEETPDCDSTLINGSPLRVPDGSLSLDEKFEYCVKVIRWLECQGHIKQEFRLKLLTWFSLRSTEQERRVVNTFIQTLIDDPSSLAGQLVDSFSDIISNKRPRNGFCNKVVA >OIW10594 pep chromosome:LupAngTanjil_v1.0:LG06:4962640:4965286:1 gene:TanjilG_15966 transcript:OIW10594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPPCYLFSTKNTTSLPKGLFHLENSHLFISKSSSPFLINEAHHANKPCSLSQPLPKPSSAILRIKNSKLWHPFASLSSFAEGGGDEEQKDGINLNVHHQQQQQHEAKTKEDNDHLPEIAQAFNISPSTASAISICIALAALIFPFFMTSLGKGLALKTKLLSYVTLLFGFYMAWNIGANDVANAMGTSVGSGALTLRQAVLTAAVLEFSGALLMGTHVTSTMQKGLLVADAFQGKDTLLFSGLLSSLAAAGTWLQVASYYGWPVSTTHCIVGAIVGFGLVYGGAGAVFWGSLARVVSSWVFSPLIGAAASFLVYKCIRRFVYSAANPGQAAAAAAPIAVFLGVTGISFAAFPLGTTVPLALTQALAFGTACAFIFDRIIRKQLGHLLVKPTTTQEAESKQDTVHKNKGFLSDIAGPTGTQLEIVYGVFGYMQVLSACFMSFAHGGNDVSNAIGPLAGALSILHGTAGGAEIVIPTDVLAWGGFGIVSGLMMWGYRVIATIGKKITELTPTRGFAAEFAAASVVLFASKLGLPISATHTLVGAVMGVGFARGLNSVRSETVKEICASWAVTIPVGAILSVLYTWILTKLLSSIL >OIW09677 pep chromosome:LupAngTanjil_v1.0:LG06:20403929:20406232:1 gene:TanjilG_06483 transcript:OIW09677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDLEQSMENNTEALMDQLAQAFLELEAQKGDFENKIQWVEIKQHFLDLEASSKKQLEEVEAKEKTYEEKQIEMDTLVAERKAAVDSKEQDLLDRLQELKDVAAASIAEARAIYKTATLEPVYDGEKENKGNEVSNFLGDTDSEEDFPHKSGENSEGVANEFRPLPELTHFCKKMNVKGLLNYIEENKKNLPVIRESISVALESATDPAQLVLDSLKGFYPTKPKDKRNAALHGKRKSCIIIMEALATLVARADPSADHFLNPETKQQAKAIADEWRPKLATADIDSANGNSLEAEAFLQVLSTFRIASEFDEEELCKLVLGVAQRKRAPELCRSVGLINKVPGIIESLISGDNQISAVHFIHAFQLQESFAPVPLLKVYLKNQRRDSQVKTGRVSDVLSAKNDANARELRALRAVIKCIEEYNLQSEYPLDNLQIRVSQLQRINPDKKRSGEFNRRQQLKRPRANERYSSSRSYGSYGGNAASAGVISRHVPPVQTAYAGTPDRYNHAGAIAYDYQVPGQAIHTQPANVPPYNYDHYASYNPYMG >OIW09618 pep chromosome:LupAngTanjil_v1.0:LG06:22085558:22087105:1 gene:TanjilG_28217 transcript:OIW09618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFRNKSKPPWSSLCIDILLNISNRLEFIDNLRFRAVCSTFRAVNPLPFHPNFPLLPFPIKPNNHENLVGHFSLVEVTTLNIQPLKSNNKCWLIKVEASQEEVGGKVHLKMLNPLSSYYLDDYLKELPESFDVLRNRVSIVGKGYYLKQEGNNTSLDGEDNQDIQRVFVSSMEDDFVVMAMHSNGKISVWRKGDKKWTHIENGLGQCEDIVLHKGKFYVVEKTGLTVIVDKDFNVTKVASSLPLSRQNYGYGKDLVEIEEELYLLLRGASAPLL >OIW09621 pep chromosome:LupAngTanjil_v1.0:LG06:22017384:22018103:1 gene:TanjilG_28220 transcript:OIW09621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMRIDEDEEEEDEDEEEEDEDEEEEDEDEEEEDEDEEEEDEDEEEEDEDEEEEDEDEEEEDEDEEEEDEDEEEEDEDEEEEDEDEEEEDEDEEEEDEDEEEEDEDEEEEDEDEEEEDEDEEEEDEDEEEEDEDEEEEDEDEEEEDEDEEEEDEDEEEEDEDEEEEDEDEEEEDEDEEEEDEDEEEEDEDEEEEDEDGDEDENEDRMRMRMGIA >OIW10792 pep chromosome:LupAngTanjil_v1.0:LG06:3072239:3072877:-1 gene:TanjilG_27738 transcript:OIW10792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSQRDHGSILFKTKLITGHNGHTHPLIWLLAFICTIIAIGVIVAGIIVFVGYFIIHPRVPTISVANAHLDIFKNDYAGLLQTQITIVVVAQNGNAKAHATFSHIRFNVSYQNHDLAVLVSDRFEVPKNSSKYLKYVVQAASIPLNPDQMGDVDYSWKRDIVGFDLQGNARTQWRVGPLGSLKFWCNLECTLKFHPWNGSYIPSKSCTSKSK >OIW09872 pep chromosome:LupAngTanjil_v1.0:LG06:13043519:13047222:-1 gene:TanjilG_24527 transcript:OIW09872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMVPENMKKQLSLVVRSIQWIYAIFWSGSATKPGVLSWGEGYYNGDIKTRKANQGVELNSDEIGLQRSEQLRELFRSFKTTEATKKPSATLSPEDLTDTEWYYLVCMSFVFNNGEGLPGRTLENDRPIWLCNAHSTDCILFGRSLLAKTVVCFPFMDGVIELGTTDLVQEDLSLIQRIRTSFLNILEFNAPKRDGATLNMINEEEVADKNAYNAKSTAEVGNEIINITSPNTSDALQGNQPADETLIVEMVNGGNPRVQSWQVSDDELSNCIHNAMNSSDCISQTFASPEKIASVANGENCNDHCAINLQKFNNPEMTLVDPQRDDWHYQSVLSTLLKSSDQLTMGTHFQNFHQVSSFVSWKKAGPTDCQRPRARNSKSLLKKVLFEVPRMHLEGLLESQEENDYKEGMRPEVDGNGMNHVLSERRRRAKLNERFLTLRSMVPSTSKDDKVSILDDAIDYLRKLEKRLRELEAQREIIDIETRTKRSPQDMVERTSDNYFNKTDNGKKPVVKKRKVCDIDAARVEINADALNGSSTNDVTVTVSGKEVVIELKCPSTEGRVLKIMEAISSLNLDFNSVQSTESDGTLYMTIKSKLKGPTIVSAKKIKQTLQRVASKC >OIW10986 pep chromosome:LupAngTanjil_v1.0:LG06:1107089:1108228:-1 gene:TanjilG_22793 transcript:OIW10986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGGQSSKAASTDVPLPMKMGNHSLYASDLSSYEAACVEDPSLQSFDATIQERTGRVITSLAHGVEVRSLSIDSLKEVTGSMLEMNQEVVKVILECKQDIWNKKDQELFSLVNDFFENSLHTLDFCNALEKCLKRAREKQIIVKSAMTYFEEESQNGVEGSVYLKTLQELKSFKETKDPFTEEFYSLFHSIYKQQASMLKKLQIKKQKLDKKLKSLKTLRRVSNAIFVAAFVSVLIFTVVAAALAAPPVVTALAGALSVPLGSVGKWCNSLFRKYETALKGQKEIISSMQVGAYITLTDLDNIRVSIDKLEIEFESLLQNAEFALRNEGAVRLAVDEIKKKIETFADIIESLSEQADKCSRQIRRARTVVLQKIIKYPG >OIW09795 pep chromosome:LupAngTanjil_v1.0:LG06:14994316:14995026:1 gene:TanjilG_32233 transcript:OIW09795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLPRPPKSSTTTTTTTTTTTLPPPLIRHILNLDATVSLYIHTLTKPLIPRPLLRLLELIADFRFFFPVTLSLFLATSPYSSLRSHLLLPLLLCSILDLLFIALIKSIVRRSRPPYAKHDEYNAVVSVDNFSFPSGHSSRVCFIASIFYLSGTFIVDTVADLNHPRVTLLIHRWVRGDEVLAVTVLIGVVWCWALSTLISRVVLGRHYVLDVFVGGCLGYLEALLTLYIIKLQLL >OIW09235 pep chromosome:LupAngTanjil_v1.0:LG06:30015321:30018148:-1 gene:TanjilG_26448 transcript:OIW09235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVQGQERLNQAVQQQLNLEQVKTRALSLFKSISRILEDFDAYGRTNTTPKWQDILGQYSMLNLELFNIVDEIKKVSKAFIVHPKNVNAENATVLPVMLSSKLLPEMELDDNSKRDQLLQNNISIDKLKARIDMIAAACEGAEKVLADTRKAYCFGTRQGPTTFAPTLDKGQAAKIYDQENLLRAAVNVGDGLRIPPDQRHIVAPLTSLPIHLQQALTVHHHDPPFSSNNMSAQNAFLQATGSQLLGRSAASPSAATSATSFDNTASPIPYANSPRSSTNIMNTPSPQQQTQQQQTPPQQQQQQLQQQQRQKLVQLPQQQQQQLLAQQKQFRQSAIQGMGQLHGQHQMQFSQSLGHQQFQGRQLSTGHVQHNIAQSQLNQGNQMTRLSQFSGPANSALFSAAQITPNSQMLPNMSDTMPSQTLLPRMQFGLSGNNPQRSHASQMLSDQMYNMGGGNPGGMMPIQQQQQQQQHGSQGGAFGSMASNAQNLQSGMVTLQNTQQNHPNFSQQRQQNPQ >OIW10485 pep chromosome:LupAngTanjil_v1.0:LG06:6080654:6081127:1 gene:TanjilG_00423 transcript:OIW10485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSSNLIFLTIFSISCCISQAHDFPGDFLNAHNKARAEVGVPPLRWNKTLAAYAKIYAKSKIIDCKMEHSNGPYGENLAEASWEMNNAEVVDYWITEKDNYDRKSGSCVKDVCGHYTQIIWRDTFQVGCAKEKCTNGWMFAICNYYPPGNVFGQRPY >OIW09505 pep chromosome:LupAngTanjil_v1.0:LG06:24088052:24092221:1 gene:TanjilG_11627 transcript:OIW09505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMGNQEAIKQLQTLMENVDEQQKKSFQNLHQGYPTETLARFLKGRDWNVAKAHKMLIDCLQWRVENEIDNVLAKPIPADLYRAIRDSQLVGMSGYSKEGLPVVAVGVGLSTFDKASDKHYVQSHIQLNEYRDRVILPTATKKHGRYIGTCVKVLDMTGLKLSALSQLRLLTSISTIDDLNYPEMTDTYYIVNAPYVFSACWKVVKPLLQERTRKKVQVLQGCGKEELLKIMDYASLPHFCTKEDSKSSRHHASLKTENCFSFDHVFHQQLYNYIKQQAIIMETSSPIMRQGSFYVHVPEPNPDDAKIAKTIETEFNKMDNQNGFSNSVNGVAINGH >OIW09271 pep chromosome:LupAngTanjil_v1.0:LG06:28876687:28879910:-1 gene:TanjilG_01242 transcript:OIW09271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPINIFSTITLNPSIIFPRRQSNSITFAAVNSPESPPPPEIELKFIGPKKLGSDGSYPIDEVKTISGEKLLRNIMLDNNLELYATYGKLMNCAGGGSCGTCIVEIIEGNDLLNERTNTELRYLKKKPESWRLACQTIVGNKENSGKVVVQRVPQWKK >OIW08889 pep chromosome:LupAngTanjil_v1.0:LG06:32795314:32796141:1 gene:TanjilG_05864 transcript:OIW08889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQITISRTSCKKNSNLFSTASGKNLQVSSEDTVNKPQSNEKDPPSDEDMQNSIPPSELSERRKALFEPLEPIMNVNGKRPSSESLLPPPDFESANYPKGWIIGKKRKLVNVDVVESMRRIAVQEMNRKDREIDGLNEQLDEDSRCLEHLQIQLVDEKSKRGEVERENAMLKDQVNMLMNMLQETEGLEEENDQLGDES >OIW10144 pep chromosome:LupAngTanjil_v1.0:LG06:7047601:7047927:1 gene:TanjilG_27895 transcript:OIW10144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKLSSCFCILLLLLSFSLFETRPLRNHDPFSSHSHSSSLFLSTVKLHLNIAKADSKLLAKSGKVSLSIELRNSNGTRTQYYQPLRVSPGGPDGHHHFNTTVGGHGRR >OIW10969 pep chromosome:LupAngTanjil_v1.0:LG06:959191:963574:-1 gene:TanjilG_22776 transcript:OIW10969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVVKDMLRNTEVAVRSFMMLCPRFLHPSVGASGATAPSQTPEATQSSSNQPTATSVEPVFDFYSGLPRKPSPFLQRTVLRFEKYISECHQWIEELEQLFLLDSERNASSNGSSLLQSLPKVMKNVHDFFVLVAAKVESIHQNIESMKSEYLADQRRRGEANDPFLEADRRETAREEAASKRLFATPSTTCAPASSWFASSSDAAPSSLFGSASSSAAAPSSLFGSASFCKGYRLRPLFLASPPSCSYVLPIRHWKYHFLFNLHVSTTTHTATPQNQNLRCPRCDSSNTKFCYYNNYNLSQPRHFCKTCRRYWTKGGALRNVPIGGGCRKSKNVVVSNSAAKAATTKMKAVVSELERSGLGPAGFVNELPTTPLLWDSPQNIHLLALLKATSQNQNPNPSSKSVNDFGVKEEGNFIGSQSHLMTEPLFTNLNPRTLGYDSVGQVPSLGFGSTFCRSNDQNQPQQHNSGFVLRENQNMSGIQELYNKLRSSSSSGNYYCDISSPMLMGKLASSSSLSNILESPSVAGGELGSWNPTLSWCNLPTSNGAYP >OIW09074 pep chromosome:LupAngTanjil_v1.0:LG06:31314251:31315052:1 gene:TanjilG_16301 transcript:OIW09074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKESVGNPLHLKSVNHISLICASVEQSMNFYQNVLGFFPIRRPGSFNFDGAWLFGYGIGIHLLRAEEPENLSRKTEINPKDSHISFQCESMGVVENKLKEMEIEYVRAMVEEGGIQVDQLFFHDPDGFMIEICNCDSLPVIPLAGEMVRSCSRVNLQLMQQKIQQVG >OIW10680 pep chromosome:LupAngTanjil_v1.0:LG06:4044148:4044845:1 gene:TanjilG_16052 transcript:OIW10680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNNSSSPAHSSLSTTTAVGGGGGGSNATATFDDLHFPSDPISFSTQLRKDESMLVLKSDLMAALDKEVKSLDEDNWKFEGPRSRIHLVSRPGC >OIW10978 pep chromosome:LupAngTanjil_v1.0:LG06:1026995:1027498:1 gene:TanjilG_22785 transcript:OIW10978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCSSSDSTQVATSKLVHVDGTLQEFSYPVKVSYLLQEHSTCFICNSDEMDFDDVVKAVHEDDVLQPGQLYFALPLSRLDQPLQAAEMAALAVKASSALMKRGGADNKCYCRRKRLVFSEEDCSSPCRNIPPTIRTGNDTIHRSRRGRTTNGGREKFTAFLSAIPE >OIW10294 pep chromosome:LupAngTanjil_v1.0:LG06:8464726:8468507:1 gene:TanjilG_28045 transcript:OIW10294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKISSADSRTRSSLQIFIVVGLCCFFYILGAWQRSGFGKGDSIALQITKSSEECNIVPNLSFDTHHGGEISKIDEGDSKPKVFKPCHARYTDYTPCQDQQRAMTFPRENMNYRERHCPREEEKLHCLIPAPKGYVTPFPWPKSRDYVPYANAPYKSLTVEKAIQNWVQYEGNVFRFPGGGTQFPQGAEKYIDQLASVIPIANGTVRTLLDTGCGVASLGAYLWSRNVIAMSFAPRDSHEAQVQFALERGVPAVIGVLGTIKVPYPSSAFDMAHCSRCLIPWGANDGMYMMEVDRVLRPGGYWVLSGPPINWEVNYKAWQRPKEELEEEQRKIEETAKQLCWEKRSQKAEIAIWQKTVDTESCRSRQDDSSVKFCETSDADDVWYKKMEDCITPSPKASARGLKPFPSRLYAIPPRIASGSVPGVSSDTYQDDNKKWKKHVAAYKKINRLLDTGRYRNIMDMNAGLGSFAAAIQSPKLWVMNVMPTIAEKNTLGVIYERGLIGIYHDWCEGFSTYPRTYDLIHANGLFSLYNDKCNTEDILLEMDRILRPEGAVIIRDEVDVLIKVKKLVGGMRWDTKMVDHEDGPLVPEKILIAVKQYWVVGENTTSTQ >OIW10737 pep chromosome:LupAngTanjil_v1.0:LG06:3601874:3604462:-1 gene:TanjilG_27683 transcript:OIW10737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKESSSKSKQWKPFTANCCSSKDQIIFTNISRCKTSRSDFSKNIAPLSSFKRLSYSDLSGSSSLRINKDIAQSFDPDLYDFQLSELHAITQNFSSTFLLGGGEFGRVHKGYIDDKLRQGLKAQHVAVKLLDIEGLQGHREWLAEVIFLGQLRHPNLVKLIGYCCEDEERVLVYEFMPQGSLENQLFKRLTSLPWETRLRIALDAAKGLSFLHGAEKPVIYRDFKTSNVLLDSDFTAKLSDFGLAKMGPEGSKSHVTTRVIGTDRYAAPEYISTGHLTTKCDVYSFGVVLLELLTGRRAMEKTRPETDQNLVDWSKPYLSSSKRLRYIMDPRLAGQYSVKGSKEMALLALQCISLNPKDRPTMPTIVETLESIQQWMSMRTRTHGYPKIIPDFNTLDLISNKSEL >OIW08968 pep chromosome:LupAngTanjil_v1.0:LG06:32131575:32131820:1 gene:TanjilG_05944 transcript:OIW08968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDRDEEEDMREAFNVFDQNGDGFISVEELRSVLSSLGLKQGRTVEDCKKMIMKVDVDGDGMVDYKEFKQMMKGGGFSALS >OIW11009 pep chromosome:LupAngTanjil_v1.0:LG06:1279775:1289893:1 gene:TanjilG_22816 transcript:OIW11009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTVYGAIVILPKPGTPFPFPQPSREFEILLDTYAIEVEQGKTYLVSIINAALNYELFFAISGHTLTVVEVGEVYTKPFTTQAILIGPGQPTNGYFVATVVQANKVPGRYFMATRTFMDAPIPVDNKTATTILQYKGIPNNVLPSLPQLPADNGTDFALNYSKKLRSLNSAKYPANVPLKVDRHLFYTIGLGKNSCPICVNGTRQEFFRTDFPERSSTPFNYTGLPLTANLVKDPPKYDLVDPAERDTVGVPTGCWTAIHFRANNPGERWNNDVEEVEKQGFKMGLPSNMADAHTINGKPGPLFPCTKKNTYAIEVEQGKTYLANKVPGRYFMATRTFMDAPIPVDNKTATAILQYKGIPNTVLPSLPQLPASNDTAFALNCSKKLRSLTLLIPNGKGLLFVHPDAVFNKKKPISGGIPHCFPQFGPGPIQQHGFGCNLDWTVIDFENVDGNPVVSLDAKSLSTELKIKNTDNMSFSFSTALHTYFRASVSGASVKGLKGSKTLNKDPDPKNPVEGQEERDLVSFPGFVDCVYLNASNELQLDNGLGDLISIKNTKCRGMSIASLSNKESTKLGVNLTEGEGNLPKLVLSSPAGSEAEIYLFGGCVTSWKVPNGKDLLFVRPDAVFNKKKPISGGIPHCFPQFGPGPIQQHGFGRNLDWTVIDSENVDGNPVVTLELKDEPYTRAIWDFSFHALYKVSLDAKSLSTELKIKNTDNKAFSFSTALHTYFRASVSGASVKGLKGSKTLNKDPDPKNPVEGQEERDVVSFPGFVDCVYLNASNELQLDNGLGDLISIKNTNWSDAVLWNPYLTMEASYKDFVCVENAKIESVQLEPEQTWTAVQHLSVA >OIW10321 pep chromosome:LupAngTanjil_v1.0:LG06:8779945:8780946:-1 gene:TanjilG_28072 transcript:OIW10321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSSESTIVRPCYLGKDHGLASLTSMDYGHCTNNFVSRIMTLGYGATSFVSSPRSGRRFYDARFEDQQPHFLQACFLCNKPLGDNSDIFMYRGDTPFCSEKCREEQIDIDEAKEKKKKLSSSMNAMRKKEQRKSGSPNKAQGYSFRTGAVAA >OIW09924 pep chromosome:LupAngTanjil_v1.0:LG06:12438898:12439290:-1 gene:TanjilG_32073 transcript:OIW09924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHLPQRYHHHHQHDLSPVQQQPSPTPSSHHCMFNNKSFKISRSFQKLLRFIFKGNKHPNNSNSLHKAKDNYSREGCFYVVYDKSDQPVLSTIPELPEFEIGGLSPETSSLVRKSASERFSAISTGISCA >OIW09765 pep chromosome:LupAngTanjil_v1.0:LG06:16086862:16088886:-1 gene:TanjilG_18680 transcript:OIW09765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDINNSFGKGSVTRLGSAGGALVETFPSGCLTLDCALGGGLPKGRIIEIFGPESSGKTTLALHAIAEKLGGNAVLVDAEHAFDPTYSKALGVDVENLIVCQPDHGEMGLEIADRMCRSGAVDLICVDSVSALTPRAEIEGEIGMQQMGLQARLMSQALRKMSGNASKAGCTLIFLNQIRYKIGVYYGNPEVTSGGIALKFFASLRLEVRSIGKIKSAKGDEEIGLKVRVRVQKSKAS >OIW09052 pep chromosome:LupAngTanjil_v1.0:LG06:31153600:31156645:1 gene:TanjilG_16279 transcript:OIW09052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKQKKTQNPEFKFQYQLNSCSKSKDLRAAISLYDDAVSLNNTRFNQHHFNALLYLCSTSVTDPSLKDSALDYGFRIFNHMVDLGLNPNEATVTAVARLATAKGDADYAFELVKSIGKYKTLPRLRTYDPALFCFCERLDANKAYEVEEHMKVSGVVLEEAEIAALLKVSAQNGRADKVYEYLHKLRSSVRRVLESTALIIEEWFCSRSGCEVVGEAGFDAGRVKDEILRNGGGWHGLGWVGKGDWNVRKTSVNDEGDCSSCNERLVCVDIDDEETEKFAQSIAALAMEREVKAHFSEFQAWLEKHACYEAIVDGANVGLYQQNFADGGFSTSQVDDVVKELYKQSGKKWPLVILHNKRIRGLMENPSSRKLVEEWMNHGALYTTPTGSNDDWYWLYAAVKLRCLLVTNDEMRDHIFELVGSDFFNQWKERHQVHYTFVKGNMKLQMPPSYSLVIQESEKGSWHVPSAPGSSCESSRTWLCITRPSAQDAVATVSNGVDTSGNGSLSSHHNPSDCHGLRRCANGVGSLDSHVDVNNTTSVTGKRKERSPPS >OIW10689 pep chromosome:LupAngTanjil_v1.0:LG06:3948596:3949020:1 gene:TanjilG_16061 transcript:OIW10689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQQLGLAHLGKQQLGIEHFTQQLGLEHLMQQQGPKQLDNFGRRQHFMQLPGLQHSGQLIDQETFMQQHSEHPGHANVQHPSPQHVQQEPMLDLVCRFTVKLQVAVTTALNP >OIW10476 pep chromosome:LupAngTanjil_v1.0:LG06:5996246:5998013:-1 gene:TanjilG_00414 transcript:OIW10476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNIRGKRFAVLLCAEDSEYVKKKYGGYSGVFMKMLAEEGEIWEVYKVASGEFPQDDDLSLYDGFVISGSCSDAHGNDKWIHDLITLLNKLQSMNKKTLGICFGHQILGRALGGKVSRSPTGWDIGVRTITFSPSWSLALSSLQLPSHLSIIECHRDEIRELPPKAEVLGWSDKTGIEMFKYGDHMMGIQGHPEYSTDILFQIIDRLTQRDFIMEAVAVEAREKAALWDPDMEAWKRLCINFLKGYSDINGMGNEKFCKEVHDILKLN >OIW10625 pep chromosome:LupAngTanjil_v1.0:LG06:4653445:4653866:-1 gene:TanjilG_15997 transcript:OIW10625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPIAVSFGILNSKAACSINVIYGCYSCYHMHQLCLIRWSHYSNVGQASYFCNIKCPMICWSISTNQTSSDDKSDSSPDHIHVAGNVKICNRKG >OIW10613 pep chromosome:LupAngTanjil_v1.0:LG06:4741549:4745076:-1 gene:TanjilG_15985 transcript:OIW10613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAREKIQIKKIDNITARQVTFSKRRKGLFKKAKELSVLCDADIALIIFSSTGKLFEYSSSSMKEILERHHLHSKNLSKMEQPSLELQLVENSNYTRLRKEVAEKSHQLRQMRGEDLHGLDIDELQQLERSLEDGLGRVIQKKGEKIMNEITDLQTKGMRLMEENERLKQHVENITNGQRHECENLVGEEDQSSGSVTNICNSTGSPRDYSDSSNTSLKLGLPYQG >OIW08923 pep chromosome:LupAngTanjil_v1.0:LG06:32532660:32534166:1 gene:TanjilG_05899 transcript:OIW08923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPYEDGKQEAKWKGKATTEVNGSKAEQVWPLLEDFFGLDKWFPTLSTCLPLQGISGQPGCIRFCAGFKTPVENNGKQAINWTKQKLLSIDRSNMTFIYSIIDGNVGFHSYVSTVKVLPNEDASDSCVIEWLYEVEPVEGWRLEDLDFFIGSGLQVMGQRIQVALKVMEDALKPSN >OIW09709 pep chromosome:LupAngTanjil_v1.0:LG06:18776972:18778024:1 gene:TanjilG_21235 transcript:OIW09709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWIRGESIGRGSFTTVNLAIPKTNSTQFSSPTAVKSCEVSTSSLLKNEKQILDCIGSCPKIIRCLGDNYSFENGSEYYNLFLEYASGGSLYDQLKLHGGRFSEAVVRGCTRSIIEGLIHIHQNGYVHCDIKLQNILVFKGGDIKIADFGLSKETGEKQSKTLFECRGTPMFMSPETVNDNEYESPADIWALGCAVVEMVTGKPAWNIKNLSNIWSLFARIAVGEELPEIPHDLSQEGKDFLTKCFIKDPRKRWTAEMLLKHPFVADGSAALECVNEKKSSPRSQFDFPDWVSNTTVSVMCSSELREGSEWNYGSSCLSNFYSPADRLQEIVTNHGPVNWSDSDGWTCVR >OIW09250 pep chromosome:LupAngTanjil_v1.0:LG06:28648551:28650761:1 gene:TanjilG_01221 transcript:OIW09250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATMKQMALIVSFFGFVSFILGVLAENKKPAAGTPVQNANGVTCKYPSDPTIALGYLSTAFLIASTVAGYLSLFYPYQGKSVPQGVLFKYTTFTVFFNVSLFTTGLAATMLLWPTITEQIHLSNNVHSNPKYECPTAKTGLLGGGAFLSLDSSLLWLIALMLAGNVREDFFEEQGDDKGEFGKASTDAYDADIGVKVSS >OIW11022 pep chromosome:LupAngTanjil_v1.0:LG06:1375617:1379877:-1 gene:TanjilG_22829 transcript:OIW11022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWIIGLMVLIGVISTTTLWFLCEKNKNKNTVAKGKVPKGNSGWPLIGETLDFIASGYTSRPISFLEKRKSLYGNVFKSSILGSSVIVSTDPEVNKVILQNQGNIFIPAYPKSIRELMGEHSILKMNGSKHRKVHALIGGFMRSPHFKARITKDIQNSVKQCLATWTHQPIIYIQDEVKKITFSILVKVLMNVGDGEDLDLLKREFEEYIKGLICLPIKFPGTRLYKSLKAKEKMMKIVRRIVEERKKIVVDNNEEEWGAVNDVVNVLIRDKDESNYMNTEMISQNIIEMMIPGEETLPTAMTMALYFLSNFPLALSKLQEENMELKRQKINSSDDYAWTDYMSLPFTQNVISETLRMTNIVNAIWRKAVKDVEIKGYLIPKGWTVMASLTSVHLDDKNYENPFEFDPSRWEKIGAVASNICFAPFGGGQRLCPGLELSRLELCIFLHHLVTTYSWVAEKDEIIYFPTVKMKRKLPISVQPISD >OIW09476 pep chromosome:LupAngTanjil_v1.0:LG06:26069051:26069986:-1 gene:TanjilG_06348 transcript:OIW09476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREEDSNWFSRWEEELPSQEELMPLSQTLITPDLAIAFDITTNNNNNIIIQQQQNLQLQPQQQQQSLHLLQQQIPTTPSNPTTQQQQPSSGDFADSGELGSANGADEPARTLKRPRLVWTPQLHKRFVDAVAHLGIKNAVPKTIMQLMSVDGLTRENVASHLQKYRLYLKRMQGLSGGGSGGGGGVDAATDHLFASSPVPPHFLQQGRTNSDHFLPFVQVPALQHHHQMAAATAVQHPQLQQPQYHRQVGHFGSPPNGHFEHPFLSRQPQQQLHRMGAPVHSPVAGYVEDKESENASGGRKVLTLFPTGDD >OIW10983 pep chromosome:LupAngTanjil_v1.0:LG06:1075991:1082709:-1 gene:TanjilG_22790 transcript:OIW10983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQDYQQGIFTFLNGFERTTVTHQDQHQEQQIQRDKVRLQEGFEPAPPPQQLDGIEQQPGGLPVYETAGMLSEMFNFSHDGATAAELLEQQQQQMTVTFRSSRPEPVASGSEWYGSNRQGIITGLGPLQDSSKNHHHSQISSINVADSAAHQAMQLFLMNPQTRSPSPPPLPPTNSSSSTLHMLLPNTSSNPLQGYATGSTAGGSFGQFTWVPDHNTEDGSNTGGVVEGSMVHQGHQVGFGTTSSSLNAVNVLRNSKYVKAAQELLEEFCSVGRGQFKKNKISRQNSNPNNSDTVGGGGGSSKDPPPPITSLSAADRIEHQRRKVDRRYNHYCEQMQMVVNSFDVVMGFGSAVPYTALAQKAMSRHFRCLKDAITAELKNSCEVLGEKDGGGCSGLTKGETPRLKMLEQSLRQQRAFHQMGMMEQEAWRPQRGLPERSVNILRAWLFEHFLHPYPSDADKQLLARQTGLSRNQVSNWFINARVRLWKPMVEDMYQQELKEREGDSEEREDMNQSNNNSNSGQLAQTPNAAATTSTATTAPPPQPITGKRSDINAHESDPSLVAINREAFSENQASTTIINASPSEVAPPVSQSFDSDLTHHRSMATDDTCRHGSTVTGADYGASFSVGGADIGSTLIRFGTTAGDVSLTLGLRHAGNMPEKTPPYSVRDYGAI >OIW09693 pep chromosome:LupAngTanjil_v1.0:LG06:19683584:19684525:-1 gene:TanjilG_06499 transcript:OIW09693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNMKNTNNTKFILLHPYIQKQGSSNRLWLLAFISLFTIAFLVTLIYTRESTSIITTTSSIIASTTTTTVSALGNAPLPATVINTLLHYAAKSNETYHMQYSDLKPISDVLRKCSSPCNFLVFGLTQETLLWKALNHNGRTVFIDENRYYAAYFEEKHPEIDAYDVQYTTKRSEMKELVASAKERVGNECKPVQNLLFSDCKLGLNDLPNHVYEVDWDVILVDGPRGDWPDAPGRMSAIFTAGILARSKKGGNLKTHVFVHDFFGEVEKVCGDEFLCKENLVEASYTLGHYVLEKMDENSVQYCKSSRAFSST >OIW09421 pep chromosome:LupAngTanjil_v1.0:LG06:28069008:28070445:1 gene:TanjilG_14572 transcript:OIW09421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDNNVNPPRLSRLRPGLKTITPNLDRVVHDGSASLRWVMVVPNRSDDPGSFTAEPKLIKQYRGHTPYRLSRNVIWIWNDYQRHHQHFFR >OIW10776 pep chromosome:LupAngTanjil_v1.0:LG06:3185496:3185927:1 gene:TanjilG_27722 transcript:OIW10776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDPRIHLSKEVVNNKPKKKKNSTQQASLYQLRGSNVKEDLRVSMSLKSVQKGSKRHLMTNVSPPFQKQEGSNSDSLPDSSAAGNEYRTLRRKYMMLEDESFALGRELRDVEDDVKTLEDEKVALLDQLVVMEGLVDPSKIHS >OIW09699 pep chromosome:LupAngTanjil_v1.0:LG06:19488283:19493136:1 gene:TanjilG_06505 transcript:OIW09699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLKLDSADHKVLVDIIKLAQKRGLKGKLGGWKEFLNSYDKKFGDGVSDPAKRPVETLAAFLKTFSNKDDLKFFDNIMRHHSNQNMVEKLKDSSHESPEQRLVEATLQHPLYPLDYSFSSIDEGWIIINVKKKGKVMRSTAMMALDCEMVLCEDGTEALVKVCVVDHNLEVKLNELVNPKKPVADYRTEITGVSSQDLEAVTCTLANIQKSMKRLLYNGAILVGHSLHNDLCGYEVREKGAPHNCLDDACAAMKLALAKIKHGVDKQFTLVEEPVPESELAKLLIHKIQNTVNSETLHKLVPGDFTIELKPSRNGKGDKYSALAIFKNPQEACDAYEKVQGDELQDSFGRPQKLVTFQLSTGRTSNLFVRKMATDEPHNTIPAQKRALEEDEAAVNVSKKSKTDPKTEEEGDALKGSKVKMEPKIEEDAPKDSNKCNTDTPSNEIEALNQRLKQNDLELESLRKQLKQKDFEITMLHKMVASLKKKKSK >OIW10647 pep chromosome:LupAngTanjil_v1.0:LG06:4421588:4422118:-1 gene:TanjilG_16019 transcript:OIW10647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEFTIQISNELVNQLVDDAVPKKKTRRTRRKVAREPKNPQSDSSQKQILDGSGKPEIAAAPGWPVQPSLFLPPTLPVQPAHSELENIRSVLRESEKVVERLKTQEENMLQEVTQKAKDLRDKEYKLPNPKPEPCMDEKVASLTCYKEHIKDPLKCASFVTNFADCLRRFRGVADK >OIW11048 pep chromosome:LupAngTanjil_v1.0:LG06:1601302:1603293:-1 gene:TanjilG_22855 transcript:OIW11048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQFMVKTIHLADQVCKAADEATFFKQNCLQLKSNTDKLASLLHTAARATSDLYERPILDTHKVLDKALSLVLKSRTNGLFKRVFNIISTNAFRKTSSLFENSTGDVSWLLRVSNPAGDRADADTHLGLPPIAANVPMLYSALARRRIGPARRRYWCPLSAAMKMVQGLFVYWDVIMRVWNILLTLVCVLCLLKFSKKVQCVVAWAISELAANNPNCQHKLAQHNIISFLVSHLAFETVQEHSNYAIVSNRPTSVHALVMAHSNDNSDNVKKGNYEDEEKLILNRMPNPFDNNAKAINQLHWVITSTIAVHKAATKLQDNNKANEVNKNHQSSDSTKNNGNSKQGRHHLHSYSPSGFNMKGREVEDPETEASMKEMAARALWQLAKGNSQIFRSITESRALLCFTVLLERGPKAVQYNSAMALMEITAVAEKDADLRRFAFKPNSPACIAVVDQMVKIVEREDSDLLIPCMKAIGNLAKTFKATENRMIGPLVELLDAREAEVMKEAIIALTKFACTENYLHIDHAEAIISAGGAKHLIHLVYFGEQMVQKPALVLLSYIALHVPDSKELAQDRVVGVLEWASKQAFMTQDETLEALLQESKSKLEPYQLRGSRGFHKSKSKLEPYQSRGFKRIP >OIW09710 pep chromosome:LupAngTanjil_v1.0:LG06:18799839:18800150:-1 gene:TanjilG_21236 transcript:OIW09710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFGDVKHIPKDKESPYVLHYSRHYGKTGGVGEKCTLEVDAVIGVDGANSRVAKAIDAGDYEYAIAF >OIW10993 pep chromosome:LupAngTanjil_v1.0:LG06:1148672:1152337:1 gene:TanjilG_22800 transcript:OIW10993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPHKAFLASSFWLFLVIFLTISLHGVISISEEHHVTPYVGPVVKRDQRRTLCDSEYGEISSIDIKGGHSAPPYHLQFFTLEPNSVFLPVLLHVNMVFYVHTGSGRVTWTGEDGGTRRTDLREGDLFKLSEGSVFYIQSNLEAERNRLRIYAIFANTEDTTFDPSIGAYSRINELVKGFDNKIIQAAFKVPEDLIETITNKTDTPAIVHANSKERKTLWELEASVLNNILAIRSNGKKGKTYNIFDKDPDFKNSHGWSLTVTKKQLKSLKGANTGFLMVNLTAGSMLGPHWNPSATEIAVVLEGEGMVRVVCASSNNNEDKMEECREMRFRVKHGDVFVVPRFHPMAQMSFNNDSLVFMGFSTVAKENYPQFLAGKRSVLGALDRHILATSFGVTNTTIDQLFASQGDSIIFGCASCAEEEERIMQEEIETEKKAEEEERKREEEERKRKEEEARKREEEEAKREEEEARKQQEERERRREEEEARKREEEEEARKREEEEEARKREEEEEAREREEEEAREREEEEARKREEEEAKREEEEAKKQQEERKKRREEEEARKREEEKEERRQKEEEEAKREEEEARKKQEEREMRREEEEEEEERRQKEEEEAKREEEEARKKQEEREMRREEEEEEEERRQKEEKEAKREEEEARKKQEERERRREEEESRQKEEEEARKKQEERERRREEEEERRQKEEEEAKREEEEARKKQEERERRREEEEEEEERRQKEEEEAKREEEEARKKQEEREMRREKEEEEEEMRQKEEEGAKREEEEARKQQEERERGREEKEEDNRKRGRGGESKKGKEKKKKKKKLRGREKGEGVQKHKGSKNKPEDNKKRGRGGESKKGEEKKKLRGEEKGEGVHIEDKDNMKKEEQKKKKKKKHKGSKNKQEDNKKRGRGGESKKGEKKNKLREEKGEGVHIEDKDNMKKEEQKKKKKKKHKGSKNKQEDNKKRGRGGESKKGEKKNKLREEKGEGVHIEDKDNMKKEEQKKQQHKGSKKKPEDSKKRGKGEEESKKVVK >OIW09623 pep chromosome:LupAngTanjil_v1.0:LG06:21961775:21972929:-1 gene:TanjilG_28222 transcript:OIW09623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KFCICMQPSGNSLDGLKASDVDPHLVFHQGVPSRCTKFAYDNIHKILSLSTKDGRIKLFGEDNGQALLESSEAVPSKFLQVFSLLRLSMSIFMFNTMINDANMFEHSLFRILIVLEKFHTQVWDIDKKLLSDVYVVKEIVSFAVIHHSLYIYIGDSIGNISVLKLDREPWKIIQMKYTIPLSASYGNSEVSDDTAVTHILPQPAAESRRVLIVFRNGQIVLWDIRESKSVFRTGGNMSQPLYNETKKVTSACWACPFGSKVVVGYNNGELFIWSITSLNIGNGSASDCSSQNTPSLKLNLGYKSDKISIGSIKWVYAEGKATRLYVMGASDNASSNLLQVVLLNELTESRTIKSGLHLPECCIDMEIISTSSEQSKQQHDFLLMLGKSGRVYQYDDSLIEKYLLQSQSKSTPSILKEVVVKIPMTDSSITTAKFISNNPSELNSNEEYYKQLVKNYPLLIPAETIQKEGISPSSAKFTGFSKVQNLYITGHSNGAINFWDASCPFFTPILQLRQQSENDFSLSGIPLTALYFDCNSPLLVSGDQSGTVRVFRFKPEPYATNSFMSLTGSTKKGNDHIIHSVKLVKINGAILSMNINHCSTLLAVGSDQGHVSVFNIDEATLIYQKHIPSEISAGIISLQFQICKLHGFEKNILAVGTKDSSFLALDSETGNTLSSGNVHPKKPSRALLMQVLDGQGESNTKDGIDLREGIHNEDSTTKQMYILLCSEKALYVYSLVHAVQGIKKVLHKKFHSSSCCWASIFYGPSDVGIVLLFTNGQVELRWCLPELSLIVETSIRGFTYSPPTLKSFSDSNICCSSKGDIVLVNGDQEIFVISLLVQRNIFRLLDFASCIYKKEKMLSEEELVSDPVVHKEKKKGIFSSIIKDITGSKEKHVPVMESEDSKESIQELSLIFSNENFPCDADSNENLIVDEEEVELNIDDIDLDDDEGKHKEHSILGGLSKKKLAGKFQALKGKFKEMKGNNQKTADKEEQHDDQAGSVDQIKKKYGFSSSSTETSVAKLAENKLKENINKLQGINLRTTEMEDTAKSFSALANEVLRKAEEDRQS >OIW09951 pep chromosome:LupAngTanjil_v1.0:LG06:12896150:12897606:-1 gene:TanjilG_32100 transcript:OIW09951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEIAYFMDWDLEAIVRGSTSESAPSATTIMEDPYLNFSQFCSEQNEIVWSFPEFSETTKVLDELQDLYKPFYPVLHPLSTQTILTSNSLPIPKEPQEFKVHKASEEITPHDQLQIPIVSKSKKSKKNKVMKQVTTENGTCDSWAWRKYGQKPIKGSPYPRSYYKCSTSKGCLARKQVERSHLDPQVFLVTYTAEHSHPHLAPRNSLASRTRKNNNSIVASQNTLDQKSTCSSSSSAMILSQNTPLDEVEMVTSVEQSKGLMKEEEFLELLDEAELGHGWFSSTELEELIGDLTENLN >OIW09719 pep chromosome:LupAngTanjil_v1.0:LG06:19193192:19194553:1 gene:TanjilG_21245 transcript:OIW09719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEATRVISTTTIKAPSDNNNTNPTPKIHLTPWDLAFLPIEAIQKGLLFHNPNNEEKQDISIQIQHLKNTLSTTLSFFPLLTGRFDIIHNEHEDNKNASCYILCNNSGVLFVHAVAENTSVAHILESKYVPSIVHSFFPLNGVKNYEATSQPLLAIQVTELIDGIFIGCTLNHAAADGKSFWHFMNSWAEISRGNNKISKLPSFQRFFYDTTDQPIRFPFTKEDETYSENSSPLSERIFHFSKEEIVKLKSEANEEANTDKLSSLQALLSHVWKSVFRFKHVDPEEEIRYILIIGVRPRMVPQLEEDYFGNAGMICAVTMKAREVLESGVGKVALEMNKVISSYTGDNIKSQYEYWVRKPTFLSLVNVDSTNNLATSSSPRFNVYGNDFGWGKPVAVRSGSANKKNGKITVYEGAEKGSIDIELCLPYEILEALGNDPYFMNTIPRLKEKNSVQ >OIW10673 pep chromosome:LupAngTanjil_v1.0:LG06:4102955:4103936:-1 gene:TanjilG_16045 transcript:OIW10673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAYLIRTGSVPILPGSTKISLSRQASLAGDKNHVQSPRLSLHSHSDHRRDSPANGINRALSEAVAILSASEDFGIRRNLNRGTSSFPAIIPDEDELRKPIYIGVWKEGGIAMEDVGFGGGLGDGGDRGFTKVTGGNDGERIQIGAYYEEMLKSNPTDALLLRNYGKFLHEVEKDLVKAEKYYGRAILANPGDGELLSLYGKLIWETERDEERAKSYFDQAIHTDPDDCTVLGSYAQFMWEAEEEEVNGGEMGGKAEESAAELIAVF >OIW10943 pep chromosome:LupAngTanjil_v1.0:LG06:762133:766607:-1 gene:TanjilG_22750 transcript:OIW10943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLSALQQSYLNRRSNNFRGSLESSGDGTVKSPASVFWIVVHVVCCLISLVLGFRFSRLVFFFIFSPSSTNLYTVPFRTASEIAVDSNPVTTVENTANITTSALPLAGGGTSRVVVGRHGIRIRPWPHPDPVEVMKAHGIIERVQREQRALFGVKNPKTVIAVTPTYVRTFQKLHLTGVMHSLMLVPYDLIWIVVEAGGVTNETASIIANSGLRTFHVGFRQRMLNSWEGRHKLESRMRLRALRIVRKEKLDGVVMFVDDSNMHSMDLFDEIQSVKWIGAVSVGILVHSTSAAEASSLIQREDEVEAMMPVQGPACNATNQLVGWHTFNSLRYKGRSAHYIDDRAPVLPRKLEWSGFVLNSKLLWNDGDNKPLWVKDLDALDGEIESPLSLLKSASMVEPLGNCGRKVLLWWLRVEARSDSKFPAQWIIDPPLDITVPSKRSPWPDAPPELPSNEKVLIGSQEQTNKHSTKTKTPRSRRSRSKRKHDIKVIGMQVSTHSEEH >OIW11174 pep chromosome:LupAngTanjil_v1.0:LG06:140804:147264:-1 gene:TanjilG_22981 transcript:OIW11174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERDPDEWLPERKGQKRKQLDQNDFHFPSQICISEQVSILNSTFSSNLSHRAAAKRATHLLADLAKNEEVVHDIVEGGAIPALVMHLQPPPLDQNDRFLNPLPFQHEVEKGSAFALGLLAVKPEHQQLIVDNGALKYLVDLLKRHDNVLTSRAVNSLIRRAADAITNLAHENSTIKTRVRMEGGIPPLVDLLEVADTKVQRAAAGALRTLAFKNDENKNEIVEYNALPTLILMLRSEDAAVHYEAVGVIGNLVHSSPNIKKEVLLAGALQPVIRLLSSCCSESQREAALLLGQFAATDSDCKVHIVQRGAVRPLIEMLQSPDVQLKEMSAFALGRLAQDSHNQAGIAHDGSLMPLLKLLDSKNGSLQHNAAFALYGLADNEDNVSDFIRVGGIQRLQEGEFIVQATKDCVAKTLKRLEEKISGPVLNHLLQLMRDSEKAFQRRVALSLAHLCSVADQRKIFIDHHGLELLIGLLGSSSPKQQLDGAVALWKLANKAMTLSPIDAAPPSPTPQVYLGEQYVNNCSVSDVTFLVEGKRFYAHRICLLASSDAFRAMFDGGYREKDARDIEIPNIRWEVFELMMRSNNFFDVTLHIAQDLLRAADQYLLEGLKRLCEYTIAQDISLENVSSMYELSEAFNAISLRHTCILFILEQFDKLSARQGHSLLIQRVMPEIRNYFVKALTKTNSRIQP >OIW09893 pep chromosome:LupAngTanjil_v1.0:LG06:12001714:12015658:-1 gene:TanjilG_32042 transcript:OIW09893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSSGTTLMDLITADPTPAPTASSASSSAASPAMTPPVGLPSALGRPAGERKSKRAALMQIQSDTVSAAKAALKPVRANIMPQRQRKKPVSYSQLARSIHELAATCDQKKSVRQLVHNVFPKLAVYNSVDPSLAPSLLMLNQQCEDRSVLRYVYYYLARILSDTGAQGLSTGGGIPTPNWDALADIDAVGGVTRADVVPRIVEQLTVAAKNSEFEFHARRLQSLKALTSAPSTNSEILSRLYEVVFGILEKVGDNPQKRKKGIFGAKGGDRDSIMRSNLQYGALSALRRLPLDPGNSAFLHYAVNGISFADPVAVRQALEIVSELATRDPYSVAMALGKHVETGGALQDVLHLHDVLARVSLARLCCTISRARALDERPDIRSQFNSVLYQLLLDPSERVCFEAILCVLGKYDNNDRTEERASGWYRLTREILKLPDASSKESSKDKSQKTKRPQPLIKLVLRRLESSFRSFSRPVLHAAARVVQEMGKSRAAAFSVGLQDVDEGAHVNTFAEAADFNDSDESAHPESNEMHNYIARSDDHIGHQMLKDIQTIGSAYDRYLHSGQPSSLTSGETSTTSALGLGRGGGGFPGYPLADPSAMGHHGGDGRGLTPNGRGVNYGGQLPVDAAYRPGPETVPLPPDASNTLYVEGLPSDCTKREVAHIFRPFVGYREVRLVSKESKHRGGDPLILCFVDFENPACAATAMSALQGYKVDELHPESSHLRLQFSRYPGPRSGSGPGPGPASRGKR >OIW10269 pep chromosome:LupAngTanjil_v1.0:LG06:8282595:8284220:-1 gene:TanjilG_28020 transcript:OIW10269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNRIRSYATLLNLPRILTPSSSTGFLVFSPYVRFFGRRQRKKLEKFSPLSTIDIAVASFNHMLHMVPAPPIVELNKILGAIMKMKQYHVVVSLCNKLEFKGTLKPSLITISILINCYCHLGTMGFAFSLVGRILKRGFELDRTTLTTLMKGLCLKGRTSEALDLHDDSVSKGFRFGEVCYGTLINGLCKTGKTRAAIELMRKMEKFGVGSNLVIYNTVIDGLCKDGLVTDACCLCSEMVARGIFLDIFTYNSLIHGFCIVGQFQRAVKLLDEMVHGNIQPDVYTFNILVDALCKLGMVTEAQNVFALVIKRGRKPDVVSYNALMNGYCLSNNVTKAKDVFDKMVERGLTPNVISYSTLINGYCKIARMDEAMMLLTEMHQQNLVPDTITYNCLLDGLSKSGRDLYERDLVEAMRASGQPPDLITYNIMLDDYLKHQCLDKAIALFQHIIDIGISPNIRTYNILIDGLCKGGRLEAAQEIFQLLPMRGCHPNTRTYNIMINGFCKEGLLDEAEALLLKMVDDNCPPNVVSFDPILRAILQK >OIW09365 pep chromosome:LupAngTanjil_v1.0:LG06:29778080:29782245:1 gene:TanjilG_01336 transcript:OIW09365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAQKSILAGKAKIDVNVDLTHKLCASLMFPTLRSSSSPLSLVIGSLCVKHPNLFGGSEKLDVSWDKGLYDSNILVAYRRPRPEWLAQQSFVLQHSLSPEIGVHGIPVDNFSRSGSGGVNLSRLSMGLDLKEPVSSKWSSTTSIKFEHVRPLSDDGHAISRDYDGFPLTCSGNPHDSMVVLKQESRFAKATDRSFFHFNLQIEQGIPVLSKWLIFNRFKFAASKGIKLGPAFLLTRLTGGSIVGDMAPYQAFSIGGLGSVRGYGEGAVGSGRSCLVANSELTLPLNNMLEGALFLDCGTDLRSGHLVPGNPALRHGKPGSGVGLGYGLRFKSQFGHFQVDYAVNAFQQKTLYFGLSNLAS >OIW09207 pep chromosome:LupAngTanjil_v1.0:LG06:30854500:30858497:1 gene:TanjilG_11345 transcript:OIW09207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCQKGLCGEKGSSDYMSGKVVVVAFKACREISKTALVWALTHVAQNGDCIKLLVLIPAICSCKRIWGLSRFATDCTTGHWISGVGTASDQKELVANSCSQMVLQLHDFYDPEKIKIRVKILSGSSCGAVAAEAKKVQSSWVILDKKLKHEKKYCMEELRCNIVIMERSRPKVLRLNLISSTNTEIKLGCPLPSKLNAYSRNLKEKSEHQDMIRGRVVTAVSSPEQGSTLTATEIGTSSVWSSEIGASPFSHYDNYERRMRGFPFIHEESESDSECEGMSFSSKSSYFQPWVTNAISKQEDSVMLKSSDKALVSTYETLLKRLSKLDQDPDLLGLKLNSKLDVNLSRSVREAISLTRNAPLGPPPLCTICQHKAPIFGNPPRWFTFSELQLATSGFSHANFLAGGGFGSVHRGVLPDGQIIAVKQYKLSSTQGDIEFCSEVEILSCAQHRNVVMLIGFCVEDGRRLLVYEYICNGSLYSLLHGQKKNALEWSARQKIAVGAARGLRYLHEECRVGCIVHRDMRPNNILLTHDFEALVGDFGLARWQPNGVETRVIGTFGSLAPEYTQSGEITEKADVYSFGIVLLELITGRNTMDINRPKGQQCLHEWARPLLKGHAIHELVDPVIRNCYDDHEVHRMLQCSSLCIHKDPRLRPRMSQALRILEGDILL >OIW10628 pep chromosome:LupAngTanjil_v1.0:LG06:4648038:4648319:-1 gene:TanjilG_16000 transcript:OIW10628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTDLYASAGKWNSVIEMKSTIKDLILKKNPAMRFLSSKSSTDFYMLDELLEKLKTLGYNPETRFLLRGRLMAGLLVSRFLRILEFVWIVIVE >OIW10937 pep chromosome:LupAngTanjil_v1.0:LG06:710623:711833:-1 gene:TanjilG_22744 transcript:OIW10937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKNLLSLALICIVVAGVGGQSPAAAPTTSPATPSATTPAATPSTSTSPTAPVAAPIAAATTPAASPKPASPASSPSKAVAPAPVVTKAPASSPPTVTPVSSPPASVPVSSPPAPVPVKSPPSPAPVTPPASAPPAPVPVVAPSAEVPAQAPSKSKKKTKKHHASAPSPSPSLLGPPAPPVGAPGPSEDASSPGPASENDQSGAETIRCLKKVLGCLALAWATLVLIF >OIW10380 pep chromosome:LupAngTanjil_v1.0:LG06:6980126:6987298:1 gene:TanjilG_05528 transcript:OIW10380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGYDGTVRLGAINLKHDRASDFDSASDVSVSSPVTRQKAAAAKQFIENHYKNYLQGLQDRKDRRRALQRKVQEAQIPVEEQEEMMRSLERRETEYMRFQRRKIGIDDFEQLTVIGKGAFGEVRLCRAKNTGEIFAMKKLKKSEMLSRGQVEHVRSERNLLAEVDSRCIVKLHYSFQDSDSLYLIMEYLPGGDIMTLLMREDILSEDVARFYIAESILAIHSIHQHNYVHRDIKPDNLILDKNGHLKLSDFGLCKPLDDKYSSILLESEDLTSHESTSEPEGYSVSPWSMPKEQLQQWKRNRRALAYSTVGTLDYMAPEVLLKKGYGIECDWWSLGAIMYEMLIGYPPFCSDDPRMACRKIVNWKTCLKFPEEPKISPEAKDLICHLLCDVDTRLGTRGVEEIKDHPWFKSVQWDMLYELEAAYKPTVIGDLDTQNFEKFPDLDGPPCTTTATVGPWRKMLTSKDTNFIGYTYKKSDILKSLESSGRIDLQDNVIPESEQNLQI >OIW10445 pep chromosome:LupAngTanjil_v1.0:LG06:5818253:5830490:1 gene:TanjilG_00383 transcript:OIW10445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIKIEQYPSFGVCHIGVPSYLHGKLLPFYNALKNLINSSTIETVVPTLQGYEDAGDFTVKARLRTSIHGNLVFYLSLGAVALFGLILLIILHKDWSGNIMGVAMACSNTFGLVTGAFLLGFGLSEIPKGIWLNSDWNIQQKVHSHKVAKMAVKLDDAHQDFSNAIVITQATSKQMSKRDPLKPYMNIIDKMLAQMLKEDPSFKPQGGRLGERDMDYDTDEKSMASLRRHLKRAHEQYYRYRSEYTNVVLEALELEDTIKNYERLDSTGWKYFSCLRPERVGRIGALLDTIEFLWRCIIKKQLEKSLAVILGIMSFAILLAEATIPLSGVDLSLFSILIHAAANHEVLVQLAASIPLMYMCICTYFSLFKMGMMMFYSLTPRQTSSVSLLMICSMVARYAPPISYNFLNLINLGGDKKTIFEERMGNIDDAVPFFGDGFNKIYPLIMVIYTLLIASNFFNRIINYCGNWKIFKFNDDAEDMDGFDTSGVIILQKERSLLQQGHRVGELVFPLARSFSISVDLELANRTTALDESGTELTTNNTVEDKNEDTEIGMSRKIGGRNYAAVRTNLNEQVSSKDMTQEIVSSSLTNDVNDSQNTSSAASSSLSSKWETMMLGFQSLRHNIDTNRFLPLGNAHGSTLKACKNFKLFSGLHRINSTITNGHAPDLAFIRTKSRFASSDGRIKATLAPGGDLRGIEPVGGEVQPDAIAFATLGADTALASNAFADDNDEFDLDRPTDGFASIPEAIKDVRNGKMVVVVDDEDRENEGDLIMAAELATPEAMAFIVRHGTGIVCISMKEEDLERLELPLMVNSRDNDEKLRTAFTVTVDAKYGTTTGVSAQDRATTVLALASKDSKPGDFNRPGHIFPLKYREGGVLKRAGHTEASTDLAILAGLNPVAVLCEIVDDDGSMARLPKLRQFAERENLKIVSIADLIRYRRKRDKLVERAGAALIPTMWGPFTANCYRSLIDGIEHIAMVKGVIGDGQDVLVRVHSECLTGDIFGSARCDCGNQLALAMQQIEAAGRGVLVYLRGHEGRGIGLGHKLRAYNLQDDGRDTVEANEELGLPVDSREYGIGAQILRDLGVRSMKLMTNNPTKYVGLKGYGLTISGRIPLVTLITKENKRYLETKRVKMGHMYGLEFNNQLSYDGSGNGKASGGDDSNAVTGI >OIW09487 pep chromosome:LupAngTanjil_v1.0:LG06:25548631:25554432:-1 gene:TanjilG_23770 transcript:OIW09487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVADKVVVPTMQLERLFSMKGGKGESSYANNSQAQARHAKSMLHLVKENLDLAELQASDNPFVVVDLGCASGSNTINVVDVIIKHIIKRYEASGLKQPEFSAFFTDLPSNDFNSLFQLLPPLGNYGARTEECVAANNHRSYFTAAVPGSFYRRLFPAKTVNIFHSAFSLHWLSQMPEIVVDKKSSAYNKGRVFIHGSSAIIANGYKKQFQTDLRSFLSARSVEMKRGGSMFLVCLGRTSLDPTDQGGPGLLFGTHFQDAWDDLVKEGLISSEKRDSFNIPVYAPSLQDFREVVESDGSFTINKLELFKGGSPLVVNKPDDASEVGRALANSCRSVAGVLVDAHIGDKLSDELFHRVASRATSHGKVLLEQIQFFHVVASLSFAN >OIW08960 pep chromosome:LupAngTanjil_v1.0:LG06:32209439:32214711:1 gene:TanjilG_05936 transcript:OIW08960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKIAILGAGTFVKNQYLPRLSEISHLVTIKAIWSRTQESARAAVETAQKHNFIDVDCKWGDEGLHDIIQDQSIVAVAVVLAGQNQVEISLRMLKAGKHVLQGTSELETALYNYRSISADAPGQLIWSVAENYRFEPAIVESKKLIAEIGEMMSVQVIIEGSMNSSNPYFSSSWRRNFTGGFVLDMGVHFIAGLRMLVGCDVVSVSAFTSHVDLSLPPPDNISSVFHLENGCSGAFVMVVSSRTPKACGKSSFIPHIHTPHQTILWRVVGMNGTVQIERGFQGQHGYLVSVYGADGLCKSPFFPFSGVTEELKAFISDVSECTLKKGSQFVPEPRLSFVEGARDVAVLEAMLESGSRKGELVHVKKF >OIW09860 pep chromosome:LupAngTanjil_v1.0:LG06:13303606:13310544:1 gene:TanjilG_15342 transcript:OIW09860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKNDTEKSEETITPINCNTNCNSKQQIVAKANEHPEWLPDGWDVDVRIRKSGANRGSVYKCYTDPLKGYKFYSKPEYAIENEKEEEEDNSCTSKKENRCTILHTPNDVVVEKEKEDNNCTSEKEKKCTNTHSPNNVVVEKSTVEGLPPGWIKETKLRKTRNRIKKDLLYTDPLSGYVFHSKKDVLRYLESGDIRTCAHRPSRREIEDKDKSTPSSVAKGQKLKQFAPKKQLFVDANSSRKGQDVKAPSGVMVAAVPTGEFVVKMHSLEDGAAICPEMEKISNPDYVQEKEHVVNVMENANDNNPGSLSKTELNVHHQFSPRLSGTEPVKLANNVIKEQTQVPKRSLRKGRTNLDADMENTSCQHFGGVPKIENVHKIQEVINTGYDLSEDQSIFKEQQHLLETDNAEDSKPEIRTNSNKSSNKRVHHIPCRASKRLAGIEYELMRNSISCDKAPKNKSKMSMDEVNAGFLKSEVGQAAEFADHAAIKVESVNKRRKSHKAPPSKDGHLEIVEDEEKNHEKSEPELSFASHYSWSDPSLESTINNLMGVLQDKDLVNNGPTTSLETDSPKTPFDKVKGRREGLEFATNTLMSVLPAEDSVDNGPTATLGSDTQKKSFDNVTGSRDRKPQIPSNKFKNKKELTVPMRLSKRLAGLEPEVQPSEKAVEYVSRKSFKEEPIATVTATLTNGASDPLDAGEETKPTPHACDRLKTEGLGESSNKSEKSLGAQTVPYEQLQKVEAESICDEISELQLPLMFGDTWSDPCLEFAFKTLTGALPVDVPADVLCGRTPNTNNLSNKRLLENVVVSISEQAHDNSNQSQSKKELNMVSQPSMQFPGQPELRTRSTTCENDPTFTTRESYNDEGNLTRYFGRQPLHTEAGTITQLVRHSRNISTPIHETKNRDNTEPQFCASLMDSWSDSCLDFAFKTLTGAIPVDENVFEGCFPEPANCHDRGDGVSTLPHFGSSSFSQSDISFYPDIGVESRPGQQSSKSSSFLPLEKANLQGFPGVDPQNHYSQWNKNFHW >OIW10293 pep chromosome:LupAngTanjil_v1.0:LG06:8455828:8459710:-1 gene:TanjilG_28044 transcript:OIW10293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSIIVPSSLYSSSSLCTLFRSHNLSSSPKSFSKQSRAFLLTTHRNLSLPYHFTSISKRGLSSLCFFNAGDKPDTKFQDKESGPEWPILRRWEVPWPWQTVSLTSLACGLGFVLTGLVAATAIPYLGIQPDQLSLDEKAELLFVNQGITTAVVLGIIFATANAFQPLPEDVFKYDLREPFNLQKGWLLWAGIGLAGALVAIGLTGVALSFFNGEAPQRETDALVRLLPLIGSSSVSTACLVGITGVLAPLLEETVFRGFFMTSLTKWVPTPVAVIISAAVFALAHLTPGEFPQLFVLGAALGISYAQTHNLLTPITIHAFWNSGIILFLTFLQLQGYDIKELLQTV >OIW10353 pep chromosome:LupAngTanjil_v1.0:LG06:9077609:9078431:-1 gene:TanjilG_28104 transcript:OIW10353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAPVKSQPLHNFPLSFLKWGGTSTSKNNHPSSNHHHRRFRRPTSSSSDLLPPSSPDHPSEPDSDPPRHGSRTSRNNRFAVRHSPKQISNNDETDEEVADNKKRGGDTEDAAVEAEETVQKPWNLRPRRQPAVPVIAIGAKNANGEVQEPVHSVRVRGDTSMMMVQCGERKKEMKKNKFWIALSKEEIEEDIFVMTGSRPNRRPKKRPKNVQKQMDSVFPGLWLVGVSADAYKVEETLSKVLS >OIW08917 pep chromosome:LupAngTanjil_v1.0:LG06:32573697:32575078:-1 gene:TanjilG_05893 transcript:OIW08917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPELRRFAPDVPIVLVGTKLDLREDRGYFADHSGSSVITSAEGEELRKQIGAAAYIECSSKTQQNVKAVFDTAIKVVLQPPRRKEIARKKRQGSGCSFVGIVCGGCAA >OIW10834 pep chromosome:LupAngTanjil_v1.0:LG06:2656925:2664343:1 gene:TanjilG_27780 transcript:OIW10834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSPPFHVEDNTDEDFFDKLVEEDGDVGPSKSGHDEGNVSDDTKTFEDLDISDANAALENLDAGKSEIEVKGKMRSVELDDNLASGDEKEVNLVLASSSVGCDSKTVPPGNDGMGLEFTSASAVSDIDKIPSHGVREVGWNSFYADLNERTEFGSYSDLFGELADQSGDFPGSAFDKLNSEVNPGNVAHNDGLNASVNYLQCQVSQGYDATLENRTSMHGDGLNASVNNVQYQEGQPYDASLEKQTNRHDLSASQNWEDLYPGWKYDHSTGQWYQIDVYNEADTTQGISEANTAVDWTGASDYKTELSYMQQTAPDVTGTSDEIGTTESVCNWSQVSQGNNGYPEHMYFDPQYPGWYYDTVAQEWRSLETYNPSIQSVVEGVENGRASAGTFSDNGSSLYREYSQSGNYGSQGIGRSQAVESSWNGSYGDNHQRGFDMYTNGTPAQSGDNITSGGNQQFTHSYGSNVSGGNQQKTSSSSGSLALYNKESHDQSLTSGTVEPQSFVPSGDVVQQFNYSNTKFDKQRKSSNDFSESQMPFSYSQQSIQDGHEYSYAPHAGRSSAGRPSHSLVTFGFGGKLIIMKDNNLSSSSYESQGAVQGSVSVLNLMEVILGSLDSSSIGNGTSDYFRALSQQSYPGPLVGGSVGNKELYKWLDERIAHCESPDMDYKKGERLRLLLSLLKIACQHYGKLRSPFGTDTIHKENDTLESEVAKLFASAKSSGTHYGMLSHCLQNFPPEGQMRSMASEFYVNTVKQMALHQLVAGSPLRTLCLLIAGQPAEVFSTGTSVSDHPGASNMPQHSAQVDSNSMLNDWEENLAVISANRTKGDELVIVHLGDCLWKERSEITSAHICYLVAEANFESYSDSARLCLIGADHWKFPRTYASPEAIQRTELYEYSKVLGNSQFILLPFQPYKLIYAYLLAEVGKVSDSLKYCQAVLKSLKTGRAPEVETWKQLVSSLEDRIRIHQQGGYATNLAPAKLVGKLLNFFDSTAHRVVGGLPPPAPSSSQGGVHGNEQRYQHMSSSQSTMAMSSLVPSASMEPISDGTADNNRIIKHNRSVSEPDFGRSPRQEMASPGSQEKTHVSGGTSRFSRFGFGSQLFQKTVGLVLRPRPDKQAKLGETNKFYYDENLKRWVEEGVDPPAEEAALPPPPTTAAFQNGSTEYNLKSALNIEGSSSNEGSNIRTLTPDPRPGMPPIPPTSNQFSARGRLGVRARYVDTFNQGGANSENLFQPPSIPSVKPVPTVAKFFIPAPAPSNELKMEAIAESNQEDSAANEDPSTSATNDWSYQSPKHASSTAMQRFPSMDNISKQGAVTDVSNFRSAQSRRTASWSDSCFSDSFSSPRMGQMKPLGETLGMSSSTFMPNESSLMHTPTKRSSFGEELHEVDL >OIW09805 pep chromosome:LupAngTanjil_v1.0:LG06:14686259:14686789:-1 gene:TanjilG_32243 transcript:OIW09805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEFTIQISNDLVNKLVDDTVPKKKARRTRRKVAREPEKPQSDLSQNQISDGSGKLESATPQVWPVQPSLILPATLPMQPAHSDLESIRSVLQESEKVVERLKKQEENMLQEVTQKAKDLHDKEYKLPNPKPEPCMAERVASLTCYKEHIKDPLKCATFVTNFAECLRRFGRVSDK >OIW09759 pep chromosome:LupAngTanjil_v1.0:LG06:15872985:15882274:1 gene:TanjilG_18674 transcript:OIW09759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSISKAIGNAAMEAGAQVITNAEVVLADGIEVHSSVVLSNATPYRTFVELVSDNVLPVDFVRAIKNPDYSSVSILLFGKYLLKQATTKINVAVDKLPQFQSCKLDHPHAGPQHVDTIHIGSESMEEIHSASQHAVNGVPSRRPIMEMTIPSVLDKTISPPGKHVINLFVQYTPYKPLDGDWQDHDYRESFAQKCFTLIDEYAPGFSTSVIGYDMLSPPDLEREIGLKGQTTRLRFEDYIYVEVEHILGAELWGLLDEMPPN >OIW09270 pep chromosome:LupAngTanjil_v1.0:LG06:28872744:28875313:1 gene:TanjilG_01241 transcript:OIW09270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKTFLNKLSRKSKLDSEGDSSRSTASPRGVSGRSHRQHGGGGGGSSAAAKRASASAVFPASMVSGIEPLVPFNDVPNSEKMNLFVSKLSLCCVTFDFSDPGKNIVEKDLKRRTLFELVDFVSCGGSMKFSEHAILAMCKMFAINLFRVFPPNYRANGGVSENDDDELMFDPAWPHLQIVYELMVTFISSSCLDAKVAKKYIDHSFISKLLDLFESDDPRERDCLKTILHRVYGKFMVHRPFIRKSMSNIFYQFVFETEKHNGIAELLEVFGSVISGFALPLKEEHKIFLWRVLIPLHKPKSMDLYFQPLSYCITLFIEKETKLASIVINGLLKYWPVTNSQKEVMFLGELEEILDVINMVEFQRVMVPLFLRIGCCINSLHFQVAERALYLWNNDHIVNLIAHNRQVILPIIFPALDSNVHSHWNPAVMNLTHNVRKMFLEIDEKLYISCHSHFKEDEAILSAEAEKRKEAWKQLERAASLKPVTGNTAVLVSPLMT >OIW09297 pep chromosome:LupAngTanjil_v1.0:LG06:29267551:29271707:-1 gene:TanjilG_01268 transcript:OIW09297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFMKLGSKPDAFQADHGNSLRYVSSELATDIVITVGDVKFYLHKFPLLAKSNRLHKLVSKANEENCDEILLDDFPGGSKAFEICAKFCYGMTVTLNAYNVVAARCAAEYLEMNKDIDRGNLIFKIDVFLNSSIFRSWKDSIIVLQTTKSLLSWSENLKIVGRCIDSIATKTSVDPENITWSYTYNRKLTEPTDKIVELSQEKIEPVPKDWWVEDICELDIDLYKRIMITVKSKGRMDDVVIAEALKTYAVRWLPNSIDALVSDAHAWRNKSLVETIVFLLPCDNDNAVCSCSFLLMLLKVAIVVEADESLSELLMKSISLKLHEACAKDLLIPARSPQTTTYDVDLVQCLLNRYMSNEKESGVKVNGDYILAHGSLLNLGKLVDDYLREIAHDPNLGLSSFVGLSQSIPDFARPNHDSLYRAVDLYLEEHPTLTKAEKKKICGLIDVKKLTMDASMHAAQNERLPLRVVMQVLFFEQVRASAANARALSYNRRNSSSHIAINEDEDYSNHISQLKIKDEELQNKNGKLNKKNSKNSRSGMQLLPSRSRRIFDKLWSVGKGQQGENRCSETSGSSNSPTTSVVVGDTKSCGSSLRHRRYSVS >OIW09844 pep chromosome:LupAngTanjil_v1.0:LG06:13574072:13574812:1 gene:TanjilG_15252 transcript:OIW09844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNITTQSSNKPEYHSPSPFETSSSTSNPLQSDEQVILLASARPKKRAGRGKLKETRHPIYRGVRRRNNKWVCEVRVPKKSTRIWLGTYPTPEMAARAHDVAVLTLKGNSACLNFADSRWRLPLPKTTDAEEIRRVAAKAAESFRVEEKENYEQKSVNDVTEYEVCSGNNVEVGIMEDIKKDDESFHGLCFDEGGSFSPFEDIEEWFQSMVDEPLRSPSPFDVRHGYQNEWCDWYVDAEVSLWNFAT >OIW09229 pep chromosome:LupAngTanjil_v1.0:LG06:30987406:30992518:-1 gene:TanjilG_11367 transcript:OIW09229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNIMSIRLLRVSLPAATFPCRSSWPFMAPPWNLSLQTSKLRVIHSKLSIMSVALHHQLVPIEAECSTKSLEQVKRKIQETLLNSDRLGTLKIIDSIQHLGIGHHFEEEINALLGRVLDYDTAHDLFATSLHFRLLRHNGFPTSSDIFKKFCDKSGYFKESLSKDIWGMLSLYEASYLGAEGEELLHKAMDFSKVHLHNSISQLGPQVSMHIARSLILPRHLKMAKLEAKNYIHEYSHASNQIPALLELAKLDYDMAQSLHQRELAEICRQATLLLWWKELGLVGRLGFGRDRPAECFLWTLGIFPEPSYSNCRIELTKTICILLVMDDIFDTYGSLDDLILFTDAIRRIQKEHGVTVVAHLKRTWIDIFEAFLEEAKWFNKGYMPTFREYLNNGVISAGSYMAMVHATFLIGDGNSKENISMMKPYPRLFSCSGEILRLWDDLGTSTEEQERGDNACSIQCHMRENNISDENEARKHIREFIGKLWQELNGIAMNTNLPWSIVKASLNMARTAQVIYQHGDDKSTYSVDEYVQTLLFTPSPRNS >OIW09199 pep chromosome:LupAngTanjil_v1.0:LG06:30800363:30803017:1 gene:TanjilG_11337 transcript:OIW09199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDTVKESATIDAIASAHSAAFKESENLQGKCLQIHGYDFNQGINYPQLLQSMLTTGFQASNLGDAIQVINQMLDWSLADEPVDEDSSNEERDLGYRKSVTCKVFLGFTSNLISSGVRDVVRFLCEHHLVHVIVTTTGGIEEDLIKCLAPTYKGDFSLPGAHLRSKGLNRIGNLLVPNDNYCKFEDWIIPIFDQMLKEQNEENVLWTPSKLIARLGKEINNESSYLYWAYKNNIPVFCPGLTDGSLGDMLYFHSFRNPGLIVDIVQDIRAMNGEAVHASPSKTGMIILGGGLPKHHICNANMMRNGADYAVLINTAQEFDGSDSGARPDEAVSWGKIRGSAKTVKVHCDATIAFPLIVAETFAKRVKLDHQ >OIW11083 pep chromosome:LupAngTanjil_v1.0:LG06:1809919:1819708:1 gene:TanjilG_22890 transcript:OIW11083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGEGDTGGTIETHTEDPSVPEEQLDDAIHHYKNGSNGNLPLENGISDDNQSSDDSHDQLLQMVVELRFQNEFLKSQFEGLSNVNCVHSDSNLNKGVDTLEDAESDIVKELQERIQSLNKELQEEKQTRHASEEALKHLQMLYSETEAKAQELSEKLAEGQTKLDHQIKEHEEKYSELDSKFNRLHKRAKQRIQEIQKEKDDLEARFNKVNETAERASAQQSSLQQELERTRKQANEALKAMDGDRQQLRSANNKLRDTIEDLRRSLQPKESALEALQLSLAEKEQMLEDMKGLLQVADEKRQASLTEISAKHQKNIESLEAQLNDALSDRSKATESISSLQVLVAEKESKIAEMEAASTGEAARLRAAVESVKGEISHLKQQHEKEMESWETECQALKSKLEIAEGNCIRAEVEVAKIRSQLESDVSAQHRILSMRDAELLAAKEKISNLEREFSSYKVRAHALLQKKDAELAAAKDSEQLKALEEALREAENEISTITEGKDKVLQDLQSAMANHEKELAERDTALENAKQKIRSLETRLDSANAQHLKEKETWGLSLQNVEETWRIRCEAMKAENEATTVQDKQKELEELKQLYKKLKEEHASFHDLADRTIEEKDNEISRLVDDNKNLRQSLQSRSLILARQQAQREEELARSQRHILALQEEIEELEQENRLHSQQEAMLKTELRNMERAKKREGVDMTYLKNVILKLLETGEVEVLLPVIGMLLQFSPEEVQKCQQAYQNSTDVPPSPANDASGSGLSLFSRFSF >OIW08937 pep chromosome:LupAngTanjil_v1.0:LG06:32418220:32434642:1 gene:TanjilG_05913 transcript:OIW08937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSYSPLFDKTKLPQPSLQRHAVISIFSKLRSSPKYLNADSEPGHHVITQCLNSPSSNVVDQSVREICRLVTDSVISVNRGLLELQSALEGSDPITVPVFVKGLGFLVRFDFQKNNALWQFNSPEAHPFVKVLSCRLEVQPELLQQVCLFMLQNKQLGMVKDYRKLIFVVEHMVEAYIVVLKSLAGRKSLITEAQECAVEFLETIFSLSTCLLCHPGGHEPIFELSKRLLSVQHDLGLRWVPRLSSTMVSLFMILVKSELEHEQISMLKLLLLILKWKYNNDDAISRSKSTSVEEILFVLPVINLMSSPSKSVKGLAIDFLFLLEKLLVKMIVVPTDKPVVEDGVHYLSTPGIIVSRTLRLLWYQDVESSSRISLLNFAPNGLSDGERMHEMPSLLTAVIGVLLIHPSMGAAAVNSLSSMAMMDPKLGVPLLLTIMFYSNIFIRKDVVRHDMLLKIFEMLPSLASHSAMVPLVVQTILPMLYKDAKVWGVLLPKGFTDFKSDIAICISMAASIRDVCRKSPDRGVDLILSVSSCIESKDPVIKALGLQSLTHLCEADVIDFYTAWDVIAKHLQGYHADPIIAHSICLLLRWGAMDAEAYPEASKGVVQIIWDVVTSNQDIQWAKARISAVEALTQYEVSQLEKSIPDFKKRNLELFFSEKNPEVLKVMEDCQVKIVTDEHINRRRLVKEKRVTTGSKIEKLMAVFPQVIFSSGKVKEARELPGAALLCFTLTHKDVDELPASKRVRDVHAGYENALVEIASSLQLSRNILLALVAFQSWKGFMRRWMKAYIQSYDAKAQSGVQDTTSKAASNILKSLVAIADEAIPRAAENIALAIGALCVVLPPSVHTVKSAASKFLLEWLLQHEHEHRQWSSAISLGLISSCLHVTDHRQRYHNITGLLEVLFVSKSSLVKGACGVGLGFSCQDLLTRVEAADDSAVEKETDKVPESELLGRIVRALATMLHDRTQSSSDVLDSLSSCFPLDSYDMNAEVFEPFSENNEDLEEDIWGVAGLVLGLATSISAIYRAGELEAVIKIKKLVISWLPYANSLLQGTNFLGEESNTVLAIGSCIALPTIVTFCQRMELMDGIELDNIVAGFKELISELISVKKSGILHQSLLMASCVGAGTVISCILNEGVHSIEVDCVNGLLELFKKCYSNPFPSLVHLGGMLGAVNAMGAGAGILAYMNFPNYTKHSCYEKKEYSSVTGPLLTISVFEPYLTSLVQEMFLVAQNSDHHQLQQFASWALSFLRQHLWSKEHLSVDGDSNVAETNSKSVSHSFSEENMVLKLSLWLMDIKYTEPGSTVHVSTVIATLRCLCRAPRLPNLDWGAIIRRCMRHEAKFAELPPVYSAFKKGTLREECIQFALAHASQFDSLLNFLDELSDFSRFKTLELNLQSCLLIHLADLAKVYSSSRLEKLFGDVTNHLSSFTSYDELKSLLCISCWKGLYECLHEVSVDTSDHIAHVERCMQALFTLLPVMQSSDVVVSGDVSSIEEWSEAIKCLGKAPQSWLLDFLKVSHYEFGQSADDAVEIQKKVCAKIKLVKAGSLPLIELGKMKSYILNSKAQGLWDVLVEVVAALHHAEGSVKRQWLIDAVEISCVSAVPSTALQFLGLLSATCCKYMPFMIVDQQKVLNDLPVTLVSLLEDKNWENAAETIVSHLFSSTERIYHWAMQIEDGSYVPDSQPIDESENHMAAFLLQIEMATTFLKKRWKTWLPPRSKNLSIVKRSLYILASL >OIW10963 pep chromosome:LupAngTanjil_v1.0:LG06:925520:927937:1 gene:TanjilG_22770 transcript:OIW10963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSNSPCAACKFLRRKCTQECVFAPYFPPDNPQRFAYVHKVFGASNVAKLLNELTAAQRDDAVKSLAYEAEARLRDPVYGCVGLISVLQHRLRQIQMELSNAKKELATYIGPHALQGMPTTATLQHHHLAVAANPFTSSVSPAAHGGPLLLRESQPPQHQILEAQQLGAREHQEMFRGFEHQQQQQQEFLRFNNGGFDLGSVPGSGFSQQVSPDAPVSDDHLSPSLALGSFENPFHHMQQPQQVEHHAHHLPLEAQLLLPPQQEKQLLNELTAAQRDDAVKSLAYEAEARLRDPVYGCVGLISVLQHRLRQIQMELSNAKKELATYIGPHALQGMPTTATLQHHHLAVAANPFTSSVSPAAHGGPLLLRESQPPQHQILEAQQLGAREHQEMFRGFEHQQQQQQEFLRFNNGGFDLGSVPGSGFSQQVSPDAPVSDDHLSPSLALGSFENPFHHMQQPQQVEHHAHHLPLEAQLLLPPQQEKQVHQQQTQQSQLQHQHHQQQLERDECRSVGPSC >OIW11008 pep chromosome:LupAngTanjil_v1.0:LG06:1270692:1272592:-1 gene:TanjilG_22815 transcript:OIW11008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLKSIVRELKVMRDGIGSISRRGAESKHWHSRMKSHIVPDVTVTPLENIQQGPWANLPPELLLDIIRRIEESETSWPARAVIVSCASVCKSWRAVTKEIVKTPEQCGRLTFPISLKQPGSRESPIQCFIRRNRETSTFLLYYGLVPSENETDKLLLAAKRIRRATGTDFVISLVADEFSRSSNTYLGKLRQVLDDRKSNFLGTKFTIYDSQPPCEAGIQRNSRSCRRFQSKQVSPRVPACNYVVSTIAYELNVLRSRGPRRMHCTMNSIPVSAIQEGGNAPTPTSLPQVIDEHFSPSPALKGKGPVTDLNSASLTELPVRNQGSVEPLVLKNKAPRWHEQLQCWCLNFKGRVTVASVKNFQLVAAVDPAHNVSAAEQEKVTLQFGKIGKDIFTMDYRYPLSAFQAFAICLSSFDTKPACE >OIW09814 pep chromosome:LupAngTanjil_v1.0:LG06:14564767:14569606:1 gene:TanjilG_32252 transcript:OIW09814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRGRGGRDPFSDFGDPFAGFGGFGSLGPPGSLMSSFFGGRDPFDDPFFTRPFGGMLESSPFGGPAGFPSPFGGPAGFPSPFGGPAGFPSPFGGPDGFPFPPNMHHSGFLENQAPQTSRPRGPIIEELNSDDENEDAKEDKKGNPRKHGRSDNEQVVEHPNDGLEGKKSRHLQCINEYNRSNVARPQPQAQSFCFQSSTVSYGGPSGTYYTSSQTRRAGSDGVTFEERKEADSSTRQASHSISRGIHGKGHSLARKLNSDGKVDTMQTLHNLNEDELSGFEEEWKGKGQKYLTGWSGNIGGSRGGQIEQARPGVRALPSLEHIYHGETMSDVRDKVASSGTQERARTNSSDKRAYKPGKRGQN >OIW09854 pep chromosome:LupAngTanjil_v1.0:LG06:13389968:13391992:1 gene:TanjilG_15336 transcript:OIW09854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAGCSSSTLLSPRHRLRNQVTSSAHFQNQACNLHLPSSMNTHRLDLPSSCNFPRKETPRSQQQSLKPMTLSVEKPMEAKTSTCSLKHNTQTMAFVECKGEINDGYWEKGVKGLKRLAEHGSVEDSVACRAKRKKGSSDNSDFGYIHEGDSLSLAQMGAGNFWFQHPQVPLSMTGSEEDERVCFVPSEVVSAPLPLSNNHAWGEMEFGVTKIKNFGEKNVVESSQCMGGKEGSVSNASSESQSLSFRLNENAPSEHEVGNGSGDPYHYEGTEVEAGEEDDREKHREFELVSFLTACVDAIGSRNVAAINHFIAKLGELASPRGTAISRICAYFTEALAIRVTRLWPQIFHINISRELERVVEDETGNVLRLLNQITPIPKFIHFTSNEMLLRSFEGKDKVHIIDFDIKQGLQWPSLFQSLASRTNPPSHVRITGIGESKQELNETGDRLQGFAEALNLPFEFHPVVDRLEDVRLWMLHVKDHESVAVNCALQLHKTLYDGTGTALRDFLGLIRSTNPTVVVMAEQEAEHNDPRLETRVCNALKYYSALFDSIDHCLPQESPMRIKIEEMYARDIRNIIACEGSHRLERHESFRNWTKMMAEQGGFRCIGITEREMFQSKLLLKMYPCENYNVKKQESEEATGVTLSWLEQPLYTVSAWTPIDAIGTSNTFSQPT >OIW10874 pep chromosome:LupAngTanjil_v1.0:LG06:2356256:2363593:-1 gene:TanjilG_27820 transcript:OIW10874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTIITSITSQNGSPHFGKKDSSFDLESSNYGVEREGTDAGRKTKIVGTIGPSTSSREMIWKLAETGMNVARLNMSHGDHSSHQKTIDIVKEYNAQFPHKVIAIMLDTKGPEVRSGDVPQPILLKEGQAFNFTIRRGICTEDTVSVNYDDFVNDVEVGDILLVDGGMMSLAVKSKTKDLVKCEVVDGGELKSRRHLNVRGKSATLPSITDKDWEDIKFGVDNQVDFYAVSFVKDARVVHELKDYLKTHSADIKVIVKIESADSIQNLQSILSASDGAMVARGDLGAELPIEEVPLLQEDIIRRCRSMQKPVIVATNMLESMIDHPTPTRAEVSDIAIAVREGADAIMLSGETAHGKYPLKAVKVMHTVAQRTEISIPSCDASPNQSSSHRNHMGDMFAFHATTMSNTLNTPIIVFTQTGSMAIHLSHYRPYSTIFAFTNEERIKQRLALYHGVVPIYMQFSNDAEETFTRALQLLLSEGHLLEGQQHVTLVQSGAQRIWRQESTHHIQVRKVHA >OIW09413 pep chromosome:LupAngTanjil_v1.0:LG06:27891599:27893065:1 gene:TanjilG_14564 transcript:OIW09413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKEKVHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIVKEVSSYLKKVGYNPDKIPFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQINEPKRPTDKPLRLPLQDVYKIGGIGTVPVGRVETGVVKPGMLVTFGPTGLTTEVKSVEMHHEALQEALPGDNVGFNVKNVAVKDLKRGFVASNSKDDPAKEAANFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFGELLTKIDRRSGKELEKEPKFLKNGDAGMVKMLPTKPMVVETFSEYPPLGRFAVRDMRQTVAVGVIKSVEKKDPTGAKVTKAAAKKK >OIW10571 pep chromosome:LupAngTanjil_v1.0:LG06:5152305:5155101:-1 gene:TanjilG_15943 transcript:OIW10571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLILRIPNVAIQLVAEDYAVPGSQYEKVFLAHGCTQLPISGSNLELFKSGLKDYVLKHGNNLSNMCNSCFPSREQLKVGSGVACCTENHQHIELVVEAVIVMSNISRENAACVREYGDKTEVLFFKDFSPCTISRSCMKALKSTDWKRYAPRKTSKLDRNLVKKAVKISLDDLRERNSGLFLSSRAIQIRSYAPDLAKTIAGLILSSSDLDFQGECFSLLGLQSQEVGTENVENCIKERIVSVIETNDKKPHKTNEVEPFLFEDDRVHGVEFQENNYEDARVYPMDI >OIW10763 pep chromosome:LupAngTanjil_v1.0:LG06:3286950:3289783:1 gene:TanjilG_27709 transcript:OIW10763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFLNLLEVASVPVIQVLLIGALGAMMSTHYFDHLLSPDFRKSLNKVVFLVFTPSLVFASFAKSVSLADMISWWFMPVNIGITFFIGGILGWILVKLLKPNLKVEGLIIASCSSGNMGNLPLVIIPAICDEKGGPFGRSDICHNNAVSYASFSMALGGIFIWTYTYQTIRSRSMRYKALEAAEIVKIPNKDFDANAETLLLKGEYSENIVAEVPTSDYIVDPENQSIEEQGQSSVSKKGKESVWHRLIEVLSQLVEELMSPPAIASFFGFLFGAVAWLRNLIIGDDAPLAVIQDSLVLLGNGTIPCITLLLGGNLAQGLKSSSVKPLTLISITIAKLFILPVIGLFIVKAAANLGLLPLDPLFQYVLVLQYAMPPAMNISIMTQLFDVGTEECSVILLWTYVSAAITLTAWSTFLISVL >OIW10141 pep chromosome:LupAngTanjil_v1.0:LG06:7017830:7019161:-1 gene:TanjilG_27892 transcript:OIW10141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKKQKVQVIVGMHKWTEATQVAELASQAQIPIISFAAPSITPQMMGIRWPYLVTLANNGTAYVKCIADIVRAYGWQRVVAVYEDDGYGGDYGILALLSQALQVDGSIIEYHLALPSSSYMFDSGKFIREELQKLIENTQSRVFIVMQSSLDMVIHLFREASQMGLVDRESVWIIPESITNSLEYVTKVDISYMEGALGIKTYYSGNSGEYQYFEAQFRRIFRDKYPEEDNRNPGFYALQAYDSIKITARALDRMANGNSSGKNILLSEILSTNYLGLSGEIQFEGMQLLQNHTLRIVNVDGKPYRELDFWTLEQGFTTSLSMVQRGNDASRNTESLSGLVIWPGKLELRVPKGWNMPTKQNPMKIAVPGRTSFSKFVKVEYGEHGYPVKYTGFCIEIFEKVLKLLEYDLPYEYYPINGTYPDLVQFVYNKVTTISICLPQGN >OIW11091 pep chromosome:LupAngTanjil_v1.0:LG06:693776:696805:-1 gene:TanjilG_22898 transcript:OIW11091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNFKLGVDVVSAHNLLPKDGEGSSSSFVELYFDGQKYRTTIKEKDLNPVWNESFYFNISDPSNLHYLTLDAYVHCHTRATNSSSFLGKVSLTGTSFVPYSDAVVLHYPLEKRGIFSRVRGEIGLKVYITDDSTIKSSIPAPVVESMPTNNPSLAHTEVNAAGNAMANPLSNAKVESARHTFHHLPNQNQNQHQHQQHSTGFTDTHYVTKYEADQMVSGGPQPMKLVHMHSLSSVQPVDYALKETSPFLGGGRVVGGRIIHKDKASSTYDLVERMYFLYVRVVKARELPAMDLTGSLDPFVEVRIGNYKGITRHFDKNQHPEWNQVFAFSKERMQASILEVRIKDKDLVKDDFVGLVRFDINEVPLRVPPDSPLAPEWYRLEDKKGEKIKGEVMLAVWIGTQADEAFSDAWHSDAATPVDSTPAATTVIRSKVYHAPRLWYVRVNIVEAQDLIPTDRNRFPDVYVKAQIGNQVLKTKTVPARSLSPLWNEDLLFVAAEPFEDHLVLSVEDRIGAGKDEIIGRVIIPLNAMERRADDRMIHSRWFNLEKPVAVDVDQLKKDKFSSRIHLRLCLDGGYHVLDESTHYSSDLRPTAKQLWRPPIGILELGVLKAVGLHPMKTREGRGTSDTYCVAKYGHKWIRTRTIVDNLCPKYNEQYTWEVFDQATVLTVGVFDNSHIGEKGSKDLKIGKVRIRISTLETERIYTHSYPLLVLHPTGVKKMGELHLAIRFSCTSFANMLYLYSKPLLPKMHYVRPFSVMQLDMLRHQAVNIVAARLGRAEPPLRKEVVEYMSDVDSHLWSMRRSKANFFRLMTLFSGVFAVGKWFGDICMWRNPITTVLVHVLFLMLVCFPELILPTVFLYMFLIGVWNFRYRPRYPPHMNTRISQADAVQPDELDEEFDTFPTSRNPDIVRMRYDRLRSVAGRIQTVIGDLASQGERIQALLSWRDPRATALFITLCLVSALVLYVTPFQALAGLTGFYVMRHPRFRHRLPSAPINFFRRLPAKTDSML >OIW10644 pep chromosome:LupAngTanjil_v1.0:LG06:4455491:4456894:-1 gene:TanjilG_16016 transcript:OIW10644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSERRVMVSKEEVIAKLKDDGDFDKLRFNIIRKLKDNEELREHIISTVKQSTALNRAGAENMKPRQLSDAIYEEVGGKVMGQISDSLWQIIGSTDGMKSEITETVQSVYDKLANPKGKDEVLLSTTDVVPIQRQSETTSATEIDDDLCENEPAEPPGFTLLHNHHLNNNHEEHDKGKEHAHRQGSTAEQKEDSHSLPDAVGETDDNGDGPPGFSTDVGHNPLSDCCDEEDPDVPPGFG >OIW10658 pep chromosome:LupAngTanjil_v1.0:LG06:4254873:4255202:1 gene:TanjilG_16030 transcript:OIW10658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLNCLSCSQVLQRTDSYGELHREKEYREACKRVDRSWSGNIAPSPKCEGGKGGAVAKLKADRRRIHSTGNVSFSGSSEPRLVRSSGMRRDWSFENLVENQDQGVSCRS >OIW10448 pep chromosome:LupAngTanjil_v1.0:LG06:5839847:5843062:1 gene:TanjilG_00386 transcript:OIW10448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQRWSKAITHVSKIGSLSNLKFVNDVCSGTRHSYAKVAAAAAPTIEDKGLTREPVVNLDKMFWSKPCSLALARDSPLRVEEPNYEGIKRFILRLMMFYSKQSKSIRGANVVYRRIVSQVDKPPIYEVFNLEKTFKTTFSLLVLHMWLCLRRLKQEGKEGVEFGQYLYEIYNHDVELRVSKAGVNLLLTKWMKDLEKIFYGNIVAYDTAMLPEAKQGDLSNVIWKNIFSDDGTSTPDAAALHSVQAMARYARLQASCMSLTGKE >OIW09371 pep chromosome:LupAngTanjil_v1.0:LG06:29830396:29831499:-1 gene:TanjilG_01342 transcript:OIW09371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHNRRGASTEVKNENLEQQTMFDDLPSELLELIFSRLTLDNNIRASVVCKRWHSVATSVRVLSYAPWLMYRPLYGNQYEFYDPFHYKTYSIDLPELSGSIICYAKDGWLLLYGSETRQLFFFNPFTRDMIKLPGCDETTYLAAFSCAPTSSECVLFIVSYVSPSAVNISTCYPGAIEWTTVNYQNQLPLSMSSMIWNKVVFCNGLFYCLSFEGLLAMFDTDERSWTVLSVPLPKCRNNCVRDLFNEELMFMTEQEGNIIVITQCSSENPVVLKLDRTLMQWKVMRTLGGGTLFAGFMSSHSRIGLPRSMRNYVYFPVYGTEYKSTISFSLDDCSFYPHKNWFPPELIFCFNDIIWIEPPKDFSGFT >OIW10400 pep chromosome:LupAngTanjil_v1.0:LG06:6674682:6675935:-1 gene:TanjilG_05548 transcript:OIW10400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDNNGYSASELFQAQVHLHRHIQNHLTTQCIKWAIELGIPDIIHNHAQPITLPHLVLALQVPQAKVACVQRLMRLLAHNNFFFITKIIDTNAEAKDAYSLTPSSQLLVKGSDQSLLSMVQLMTNQTLCDMFNHLGKWTFTEENTLVETALGSESFWDYMQQNPTLLTLFNEGMESDSSLVRLGLRDCKFVFEGLDSLVDVGGGTGNTAKFISEAFPNLKYIVLDLPQVVTGIAGSNNLTFVGGNMFKSIPQADAVLLKWVLHDWSDDDCIKILKNCKEAISSKDKQGKVIIIDTVINEKQDEHGVTEVKLFFDIAMMAIHNGKERDENDWKGLFKKAGFTNYKIFPTFGFRSLIELFP >OIW10859 pep chromosome:LupAngTanjil_v1.0:LG06:2495745:2496691:-1 gene:TanjilG_27805 transcript:OIW10859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPQNMKPLNLFSQQLSCLPSLVNSSLIKPATGSSAQMTIFYGGEVIVFDDCPAEKAKEIMSFATKGNSQIQNNNNNNNYAYTFTQTHPTSSANNFVQEHNPQAPPRYVACDLPLTRKASLYRFMEKRKDRINGRKPYQKSNPITDPYKPDESMSWLTLSPHSPQDRSESCSSFV >OIW10031 pep chromosome:LupAngTanjil_v1.0:LG06:10635335:10640274:-1 gene:TanjilG_32771 transcript:OIW10031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVMDSTSNHENHNNAVNLKTTPRGFVTKLKSGVKEMFFPNDLFRHLKNEEKPLRRVLKGVQYFIPILEWLPNYTWSLFCSDFIAGLTITSLTIPQGINYAKLAYLPPIIGLYSSFVPAILYAIFGTSRHMAVGTVASSSILIYQTISKVAKPEDDPKLYLHLVFTTTLVAGAFQACLGILRLGTIVNFLSRSAITGFIGGSAIMLSLHQLQSIFGMKHVVSSKTDLITVLNNIWTYRHEIRLETTVLGLIIIIFLQFTRYVEGITVGRNFAEVDNSSYVENKEMIAFGLMNLCGSFTSCYLTTGPFSKTAMNYNAGCKTAMSNIVQAFLMAFTLQFLAPLFYYTPLFALSAITVSTMLGLINYTEAINLYKVDKFDFIICIAAFLGVIFGALDVGLMLSVGLGVLRALLYVARPTICTLGMLPDLGIYRDVKQYIASTFPGVMIVQLGSPIFFANYTYIRERIMSYVRSEQDSNGGIIEHIILDMSGVTSIDTTAIQGLLETNKIFEMNGMKMSIVNPRMDVLEKLIVSRFVDKIGKKSFFLTLDDAVKASNLLTL >OIW10127 pep chromosome:LupAngTanjil_v1.0:LG06:9592946:9594126:1 gene:TanjilG_21964 transcript:OIW10127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMLRPLLTLFLISLIPVLKVSAAAAVIFYNKCSHPVWPGIQPGAGKPILARGGFKLAPNKAQSLQLPPLWSGRFWGRHGCAFDTTGRGKCATGDCGGALFCNGIGGTPPATLAEFTFGNDQDFYDVSLVDGYNLPISITPMKGSGKCSYAGCVSDLNLMCPVGLQVRSRDMKRVVACKSACSAFNSPRYCCTGSYGSPQACKPTAYSRIFKTACPKAYSYAYDDPTSIATCTRANYLVTFCPHHH >OIW09576 pep chromosome:LupAngTanjil_v1.0:LG06:22674802:22680308:1 gene:TanjilG_28175 transcript:OIW09576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYVLVTGGVVSGLGKGVTASSIGLLLKACGLRVTAIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEFVIDKERKGDYLGKTVQVVPHITDAIQEWIERVAHIPVDGKTGPADVCVIELGGTIGDIESMPFIQALGQFSYRVGAGNFCLVHVSLVPVLNVVGEQKTKPTQHSVRGLRGQGLTPHILACRSTTALDENAKGKLSQFCLIQEENIVTLYDVPNIWHIPMLLRDQKAHEAILKVLNLYDVAQEPSLEEWTRRAEACDLLHEPVHIALVGKYTGLSDAYLSILKALVHASVDCRKKLFVDWISATNLEDATAKENPDAYKTAWKLLKGADGVLVPGGFGDRGVQGKILAAKYARENRIPYLGICLGMQIAVIEFARSVLGVHDANSTEFDPNAKNPVIIFMPEGSKTHMGGTMRLGSRRTFFQTKECKSAKLYGCKGFIEERHRHRYEVNPDLVACLENAGLSFTGKDETGKRMEIVELPNHPYFIGVQFHPEFKSRPGKPSPLFLGFIAAACGKLDNLLQHSSNVESNGLSKAVGTGVSAVKTHNKKTAMKPAYRPEYVYGSCNGYHY >OIW10148 pep chromosome:LupAngTanjil_v1.0:LG06:7089537:7090641:1 gene:TanjilG_27899 transcript:OIW10148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIEPSEVSGSSSSMKLLFVEMGVGYDQHGQNITAAAMRACMDAISSNSIPAFRRGSIPGVSFGEMKLQIKLGVPHSLQTSLDIEKVKSVFP >OIW09175 pep chromosome:LupAngTanjil_v1.0:LG06:30639306:30640363:-1 gene:TanjilG_11313 transcript:OIW09175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFSSIPLYLDPSNWHQQSNQYHQANVTSNNHELLPPLPPQPKGSGYGGESIRPGPIADQGNQLTKMALPDQVTQKCPRCESTNTKFCYYNNYNLSQPRHFCKTCRRYWTKGGALRNVPVGGGCRRNKKNKRSSSSKSPSSIDKNSSISNSTSATNPLGISTPNLIGRFPQQQSNHQPFMASLQNLNRYSVGNMSNTNQMEFQIGGRGLTSVGGGGGVFQHFPFLNGFESTSAASYPFQSENVEAPYGLVKFEDLNPSRNPLSGVSENNNQYYSWTDLSGLAPSSTTHLL >OIW10891 pep chromosome:LupAngTanjil_v1.0:LG06:2248278:2250209:1 gene:TanjilG_27837 transcript:OIW10891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTSSHQRIPRSNGFKVKHALQICIVLGVCIWLIYQVGHSGEDTKTVGEAVKLSRKDLHSHVGESSVIDTRHREEESEEESRHEEQNKLDDANGVEDEILTQKREENNNEENYEHRQDSVDRETEESSENSTRDNESEEHNQYSSEENDNMANKENEQNINDVEDKESSQISEEKSQQENEETRDTSMENKGKEDINQDRDEMKKHGVVNHEQESEDEKEERNATETEREENDKIREVASSEDRAQDGEMNNEVPRDEHYTSANAPNALDNKSQDTLDGGFNKTGQSDKKEKNEFELESQTNVTEAAHVDQKESISNVTDSETTEVTNSNVVTTNRGNSSEDHAETQNDSHESSIADSNQQQQEQNVLSGDGVETLHLQNGTNTTSNTTEKQIETSEKSGSKVGDSTSSLNNTMLKAEDSNSDAAREQASPTLTDSSGVHESNVTNVEYRDTSDNSAQSEIPNNYSIQEAIPEQVLSPDTNSNKDASQEVQFASSNTSSELKNDESSKFENSTSSWQSETVNNVQNNTNSGGSANNNTENNGNENANGSGNDSGNDSNDVPLNATDSSISEEKDASLKNNVDVGQVENEKTVQNKTNENEEGAQNESVESQKEKEESTHLDGHSKSKLNDQSSSEPSNLQNFT >OIW10660 pep chromosome:LupAngTanjil_v1.0:LG06:4222496:4225945:-1 gene:TanjilG_16032 transcript:OIW10660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNEDTDLSAQDLENNHPQNLNLSLSLSINQSESQNQMTSTFPSAQTEVNVGATRSVGQEGRNGVLSANTSRLIPSKRRYSDLQGTRDFIRGESSRIGARAGRARQSLASSQANTNTNLIGSSYRNELPDGDHFQGETFRNVRPFSNIQNPVGEVQSNGSNFNLQTTTNATATATPRFILPATRRLPNIHHTWGLQLPLTSTPLSFFQSHRPPFISSSPGAANGSTSSVQPVLYAPHIISSVNAHPIGRSVHYETISGARPPFLNQRNIHENVVHTNRGIGTGDQDISPGITGLAIRPTYQYGSYYNTIRPSNAQIRVTGSTQQRPQVLDLSLGLGHSGTVNEGSSAARFETQPHNNSVPFLTTMFQLPDPRSNVRWLNRDDQVNTAINFLEAALHRQQVGHLQYEDVIDFARNLEELIERHAVTQEQTAVANIGLTDENILKYIKREKFELVGEDTPENKEKCCICQATYIDGEEVGKLDCVHRFHVDCIRQWLVIKNICPVCKQTGLAVDGNDE >OIW09443 pep chromosome:LupAngTanjil_v1.0:LG06:27199313:27204413:-1 gene:TanjilG_31162 transcript:OIW09443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGKDSNVMKAWEFTVRKTHAVAKMRATSIFGTLSLANTYVEEDINDDYDDDDDEDENGSESFEIYQADKVLPNGDYYTGEWADNFPHGVGKYLWTDGCMYVGEWYKGKTKGKGRFSWPSGATYEGDFKSGFMDGNGTYTGFNGETYKGHSVMNLKHGHGLKSYVNGDWYEGEWKRDLQEGQGRYEWKDESYYVGEWKNGSVWGKGVFVWANGNSFEGSWEEGLPKGSGTFKWLDGSSYEGNWDKDATDHNGTFYPSESSEEGHFDWDPQELYNDLSGYVVCPGEKVSVLPSQKRLAVWRSTKGGDSGKNRRMSLDGRVSSVRMNLWNGGEGNVSGGVRTSAVGSDLDQELMALQLDNLDDIVAQLQPLKAPKKSKRQGETICKGHKNYELMLNLQLGIRHSVGRPAPAASLDLKPSAFDPKEKVWTRFPPEGSKYTPPHPSCDFRWKDYCPVVFRTLRKLFNVDSADYMLSICGNDALRELCSPGKSGSFFYLTDDDRYMIKTMKKAEVKVLIRMLPAYYNHFRDHDNTLLTKYYGLHCVKLTGTAQKKVRFIIMGNLFRSEHTIHRRFDLKGSSLGRTTDKPEAEISETTILKDLDLNFIFRLHKSWFQEFCRQIDRDCELLEQEGIMDYSLLVGIHFKDISQDGDIIPSGGSKTPVGDSENEGSPHLKREDTDQLLLDPSRWDSIVLGVNMPAKVERTVRRNDIELQLVGEPIGEFYEVVLFFGIIDILQDYDISKKLEHAYKSIQYDPTSISAVDPRQYSRRFRDFILKIFTEDS >OIW09670 pep chromosome:LupAngTanjil_v1.0:LG06:20981048:20982951:1 gene:TanjilG_11057 transcript:OIW09670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKFISNWGLVGDLFIPQKRDKRGRRFGFVSFKKVEDWSKFKLQLSNIWIGLYKISINTPRFQRQGLKSWVNNMPFSVIPEVEKGVAILGRGGSIPIGNASHWKQALLNEAQSIPSSSRDLGEGLLEFKVKPKFIDKLQKAVLGELVRVEDIFNVQALLAKEGFLSSKATHVGSLGLFVKLDAGTRDMARLDSGGGYCHSRFISRKNQMAEVPGVSDLESWQDRNSCWGKHKLMDVIDDDVVESFVNENNWVGDSVPIPTPNLKGFVDSVPLPGLSQNDDCVAPVSDDLRVEELGYGRVDGLIPKEVTVASLSVAKSVGVGPKSCVQSLRKSKPKSKGSKVQSDGGSPLGLPSCIPASMEGKNSKVFGKSLFEVGSTSKVNAIVCGKEGVLDCREVVSVSNAGVSHKGKLKPLFDAHRPLSQRIQAKRKKVHPPLALKALPSRKKNLQVSTMGKKVVVLQDGSCESLDSSISNSINDSHVRSVNRLHLKEGKNVARKL >OIW09346 pep chromosome:LupAngTanjil_v1.0:LG06:29661327:29664588:-1 gene:TanjilG_01317 transcript:OIW09346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKDRNVVVSSLQFACSDDLSTNVTTAERLVRDAHKQGANIILIQELFEGYYFCQAQREDYIQRAKPYKGHPTILRMQKLAKELGVVIPVSFFEEANNAHYNSIAIIDADGTDLGIYRKSHIPDGPGYQEKFYFNPGDTGFKVFQTKFAKIGVAICWDQWFPEAARAMVLQGAEILFYPTAIGSEPQDGGLDSRDHWKRVMQGHAGANLVPLVASNRIGKEIIETEHGKSEITFYGNSFIAGPTGEIVSAADDKEEAVLIAQFDLDKIKSQRHSWGVFRDRRPDLYKVLLTLDGNNPVL >OIW09018 pep chromosome:LupAngTanjil_v1.0:LG06:31728471:31736754:-1 gene:TanjilG_05994 transcript:OIW09018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFLRTIFTHHKHHYHLLNPKSISSSPISLHPQQTPQTQPNDTNNTTTTSSSSDSSNSWSNYTNVIETSLKGTTGRNPIGGTKKKDKVQWVCSDCGFSTAKWWGTCPSCEVTGTMKEFHEAKSSDGADNKVKGGLVISEDAVGLWLPHKANQLFPVKLEEVNRGFNHQHWRIPLSGSFGNEVSTVLGGGIVPGSLTLIGGDPGVGKSTLVLQIAALIAGHTDGATSPVVYVSGEESLEQIGNRADRLGIKSDIYLYSSTDIEDILKKAQVVSPRALVVDSIQTVYLKGILGSAGGITQIGHVTKSGDIAGPRVLEHIVDVVLYMEGEKCSSYRMLRAVKNRFGSADELGVFEMSQSGLQSVSNASEIFLSEPCSDSQFLAGLAITVIMDGSRTFVIEIQALCLSNCPVPVSSSGLINGIPASRANMVKCVLVKQAGLQLQENAVFLNVVSGLIVEETAGDLAIAAAICSSFLEFPIPKGIAFIGEIGLGGELRMVPRIEKRVYTVAKLGYTMCIVPKQAEKVLEAESLGKTKVVGCNNLKEVINVVFRKR >OIW10967 pep chromosome:LupAngTanjil_v1.0:LG06:950639:950986:1 gene:TanjilG_22774 transcript:OIW10967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEYVGGLHTLRCLDISDCISLDKLPKDIGDLHNLEKLYLKGCSGLNELPYSVMNFKHLKHELYVICDEERASLWEHFPTIPNLKIEMPKVDISLSWLHGVRSRSYTLYSDLNSC >OIW11025 pep chromosome:LupAngTanjil_v1.0:LG06:1405422:1406721:1 gene:TanjilG_22832 transcript:OIW11025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYQTPNPFSTFNFIPSFLSYSSSISTLQTTSSLPLSKVDTEMDCIEGALKTSLRKDSTVKLSPQTFMEDLNVQIGTPCEDFLFVDNLLDFSHVEQQQDEEEPKQQQHKEGEGEDEDEGSACVSPHKSYEICNLSLKDEFLSLPTSDLSVPEDVADLEWLSHFVEDSFSEFPTTTTMRENPKTFFAVKEPKPLNPVYIQPCFKTPVPAKARSKRTRSGIRVWSLGSRCFTESSSSSTSSTSSSSSPTSTLLNYADLVQNLDQVCSPPKKPKKRVSLHGSVQTPRRCSHCGVQKTPQWRTGPLGAKTLCNACGVRFKSGRLLPEYRPACSPTFKTELHSNHHRKVLEMRRNKEDTGGVETGFAPPPVVPTF >OIW09867 pep chromosome:LupAngTanjil_v1.0:LG06:13157330:13159993:-1 gene:TanjilG_20493 transcript:OIW09867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQELELDHHGKSLVGLSPNTVLSSDQYCANVKKRSKKGKPAGKDDFLTLKGNFAEINFSRFRSSSCKSHLSRPNGLEIGGNLETRRASVYQSSEVVDNIKKLSSMGGRQKIEISRTSDIDTSFSGSIVASLCGSDDERFGQRSSEISRDSNLDSPSVSMSMACMEPNSPNGFIDFCMNSHVRDRDFTAVKGRDSINVKFRNDNVVDSLIDGNSPVEKDIVHPLQKSFSAKVDASHLQSPSENDCSSRATPKSRFNPVSRRRLNQFAKSKSLRSPVGHKVEPDEVKSNETVNITRSRTYQKSLLTDLSNAAKHSDIISEFINREIQYSGIASSPVHLHANMKLENKHGVPCFEFKVKCPEDVFVAKASRAGDAFNWVYTFDSVDNRKRSNASDLGSHHFDKDSSAVAQMLVSCKLCSELEGSISDNNSMVTEFVLYDLTHLRQTVSSEKRSFSEQDTSKTLKAFRTGLKEKTFRPDEGNLAVKNKVQVKPVSSNVEFGHSDSYPLLSSELNSNLETAAIVLEIPFVKRESLKYKRGDRISAKEYSDIIELPTVLDRRRKSLRDSKIQERMKVVIPTGNHGLPTVESRGPSSLLERLRHGGGCDCGGWDMACPLILLGNPSIEFAEDHPLMEDYQPLELFIQGAKDSNPTFSMKIIEQGQYAVDFHAQLSTLQAFSICVAILHGTSAFNVSGHEKNQQLSQCSSLKMLTKDEVELLIKSVTIEENKTVSKTPKRFPQSYVLNPPFSPIARV >OIW09594 pep chromosome:LupAngTanjil_v1.0:LG06:22448502:22454671:1 gene:TanjilG_28193 transcript:OIW09594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEENGERNSRNGSFKLGVKDVKIHTVVELSSVDTQNLLVEEDREKKKKPFTKRKSLSQREMKCLVAICDTLLPSIKDHSVVVDESVANFYQTSASMAGTPQLLDEAQNNPSWSAIGYSGPDPQFKAQLMNNDNDDYAEEIIGPLNKGIVHLNNPPNIIADTLRKFGFHASFTTRKPKVSYNLSYPSLVIKCDAVVVGSGSGGGVVAGVLAKAGYKVLVLEKGNYSARNNLSLLEGPTLDQMYLSNGFLSTEDMSVLLLAGSTVGGGSAINWSACIKTPKHVCKEWCGRYELELFESELYEEAMNVVCEKMGVQCEIEEEGFNNEILRKGCQEMGYPVSNIPRNAPKDHYCGWCCLGCKDGKKKGTSETWLVDLVKSGNGAILTSCEAIQVLHKRKKGSDRKIASGVSFAIEYKGTKEICVVESKVTIVACGALSTPSLLKRSGLRNENIGRYLHLHPTTMAWGYFPDSPSAELWPEKDKKSYEGGIMTAMSTVVADFEKSGYGAVIQTPALHPGMFSVLMPWTSGLDIKDRMRKYSRTAHVFALARDQGSGIVNSPNSISYQMNDVDKENLKKGIEKVLRILAAAGAEEIGTHHNKGRRLNVKQVSYNEFEKFVQEESSRPLTDISTPLCSAHQMGSCRMGSNPKESVVNQIGETWEVEGLFLADTSVFPTALGVNPMVTVQAIAYCTAQSVLQVLRRKRTW >OIW09704 pep chromosome:LupAngTanjil_v1.0:LG06:18496106:18502093:-1 gene:TanjilG_21230 transcript:OIW09704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQPAPFLTKTYQMVNDCETDELISWSESGNTFVVWKHADFASDILPRYFKHNNFSSFVRQLNTYGFRKIVPDKWEFSNEHFKRGHKELLIEIKRRLTVSTTRKSSDGSGGGDNNPPSNSGADDMGSTSTGSMEDATTRDLSCENEKLKKDNEKLSCELARAKKQCDELVAFMRNSFDVGLDQINRIIQQGRCGSSLNTVRFDDVDNDENGVGEGESGSCVSLKLFGVWLKEEEVKEKMKIGANNHNNRYKRGHEDQMSFGGANKELKTLV >OIW09246 pep chromosome:LupAngTanjil_v1.0:LG06:30073404:30074780:1 gene:TanjilG_26459 transcript:OIW09246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVTHSMKSELKGSSISLQNSTSLSNTTPHSTLSGALKGCLGSHDGACIEKLLLHCASALESNDITLAQQVMWVLNNVASPLGDTNQRLTSWFLRALISRALRICPTAMNFKGSNNTIQRRLMSVIELAGYVDLIPWHRFGFCASNNEILKAIEGFHRVHILDFSITHCMQWPTFIDDLAKRPEGPPSLRITVPSCRPHVPPLVNISIQEVGQRLGNFAKFRDVPFEFNVLGNTPPILVSSELGNESSSFHFESLLSLLNPSVLNLREDEALVINCQNWLRYLSDDIKGSPQNLSLRDTFLNIVKSLNPRIMLLVDEDCDLSAPTLTSRITTCFNHLWIPFDALETFLPKSSSQRTEYESDIGQKIENIIGFEGHQRIERLESGMQMSQRMRNAGYMSLPFCDETVKEVKALLDEHASGWGMKREEGMLVLTWKGNSCVFATGWVPSDMRDHIDIDAN >OIW09697 pep chromosome:LupAngTanjil_v1.0:LG06:19568732:19571404:-1 gene:TanjilG_06503 transcript:OIW09697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMAPTILFLFFTLSLALDMSIINYPHNHNQPNPRSNEEVMAMYEEWLVKHQKVYNGLGEKDKRFQVFKDNLVFIDEHNAQNNTYKLGLNKFADLTNEEYRAMYLGTRNDPKRRVMNAKKTGHRYAYEAHDRLPVHVDWRLKGAVAPIKDQGSCGSCWAFSTVAAVEGINKIVTGKFVSLSEQELIDCDRIYDEGCNGGLMDYAFEFIIGNGGLDTEQDYPYKGIDSICDPTRKNSKVATIDGYEDVPPYNEKALKKAVAHQPVSVAIEASGRAFQHYNSGVFTGKCGTDLDHGVVAVGYGTEHGVDYWLIRNSWGGAWGEEGYIKIQRNVIDTSAGKCGITMQASYPVKNGKKSILSSANESTGMYISSA >OIW09903 pep chromosome:LupAngTanjil_v1.0:LG06:12096414:12096713:-1 gene:TanjilG_32052 transcript:OIW09903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKYPLDCPDPQKTIKIPKYKQHWQISWDTSFLVLLHGIIYFTFVSPVKTAYFSAKLAENIGLHQPNSSIAMQKNKYEKQNEKRRLHHVADFKCRQSKD >OIW09547 pep chromosome:LupAngTanjil_v1.0:LG06:23434972:23435412:-1 gene:TanjilG_30866 transcript:OIW09547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLWRLATGQDPNPEDYQGIQFWSNPERTGWLTKQGDYIKTWRRRYFVLKQGKLFWFKDPTTAASPSGVPRGVIPVSDCLTVKGAEDVLRKPSAFEISTPNSTMYFLADSDKEKEDWINSIGRAIVQHSRSLADNEVVDYDSSRR >OIW10659 pep chromosome:LupAngTanjil_v1.0:LG06:4248087:4250171:1 gene:TanjilG_16031 transcript:OIW10659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLWTDDNSSVMEAFITTTSSSDLSSLWPPLTPSLPQQPHHHQQPQQQPLFNQDTLQQRLQALIEGHATHIWTYAIFWQSSYEYSSSSTLLAWGDGYYKGDEDKSKPNNKNKKASSSEQEHRKKVLRELNSLISGTSTENDTVDEEVTDTEWFFLVSMTQSFANGSALPGQSFFNSTPVWVSGSDRLAGSGCERARQAQVFGLQTMVCIPAANGVVELGSTEMVFQNPDLMNKVRFLFNFNNNSNNHMGSWPFTASIATTTTDQGENDPSSLWLNDHEIRDSVNVNANNTVVAPAAGSVSVSVSIPSLNSSHINNNNQVILKTTQLDTPGSSTLTETPSAINFPSRSPHQHQQSFFSRELNFSAYGFEGNGVKNGGNQHNHHSLKPESGEILSFGETKKSSYIGTNGNSSHFLSGQSQFAAAAPAVEENSKKRRSPTSRSSNDDGMLSFTSGVVLPSSTSNMRSGGGGSGDSDHSDLEASVVKDPENSRVMEPEKRPRKRGRKPANGREEPLNHVEAERQRREKLNQRFYALRAVVPNVSKMDKASLLGDAISYITELKSKLQNLESDKDGLEKQLDTVKQELEKTTKDSGAPLPPDKESKMLTNVGSSKLIDLDIDVKIIGWDAMIRIQCSKKNHPAARLMAALMELELDVHHASVSVVNDLMIQQATVKMGSRFYTQEQLSAALSSKFGGGQ >OIW10354 pep chromosome:LupAngTanjil_v1.0:LG06:9080789:9084547:1 gene:TanjilG_28105 transcript:OIW10354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYGFFYLVNHNIDKEFVREVFNHSNNFFSLPLEHKTNLARKAYRGYTPLYCEQLNPAPDSKGDSKESFYIGTLEDTTSANLNQWPSQELLPDWKPTMESFFWKLLTVGKELLSLIALSLNLDEGFFKKIESLNKPEAFLRLLRYPGELGSSEEEYGSSPHTDYGMITLLLTDGVPGLQICKDKFKQPQIWEDVTHVEGAFIVNIGDMMERWTNCLYRSTLHRVMPTGKERYSVAFFLDPPSDCVVECFETCCTDSSPARFPPIRSGDYLNERFRVTYGSVADLKASVN >OIW10835 pep chromosome:LupAngTanjil_v1.0:LG06:2648196:2655410:1 gene:TanjilG_27781 transcript:OIW10835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNPPFHMEDNTDEDFFDKLIEEDDDVSPVKSGQDEGNNSDDTKAFANLSIGEGYAAFENLDAGESGTELKGETGSVKLNEGLVDGHEQEENLALPTTSVECDHKTDPHGNNRMGSEVTPASTVSKTDIISGPEIKEVGWNSFYADSNGDTGFGSYSDLFNELGDQSGDFPVTAFDNSSSALNPVNEVHNDGLNTSINYLQYQGSQGYEASLQNHTTGNGVGLNASVNYVQYQEGQTCDASLEKHANGQYSGSTQNWEDLYPGWKYDHNTGQWYQIDGYDAASTTQGSSIANTAVDCSGASDGKTDVSQSAQAVSGTSAETGTSESLSCWNQVSQGNNGYPEHMYFDPQYPGWYYDTISQEWRSLETHNSSIQPAVEVLENGHASSGTISHIDNSLLVEYKHAVNYGSQGISDQTDHAVGGSWSGLYNANHQQGFDSYTTEAPVKSGDNISSGGNQQFTHSYGSSISVNKDKKNTSSSFGSVSLYNHDHGLANGTIEPQNFAPCRNMVEQFNYSNTKFDEQGKFLNDFAENKKPFNYSQQSIQGGHQHSYAPHVGRSSGGRPPHALVTFGFGGKLIIMKDNSLLSSSCGSQGAVQGSVSMLNMMEVLMGSVDSSSIGNATGDYFRTLSQQSFPGPLVGGSVGSKELYKWLAERIAHCELPDMDYKKGERLRLLLSLLKIACQHYGKLRSPFGTDTTLKENDTPESAVAKLFASAKMSDTQYGMLSHCLQNFPSEGQLRATASEVQNLLVSGKKKEALQCAQEGQLWGPALVLASQLGEQFYVDTVKQMALRELVSGSPLRTLCLLIAGQPAEVFSTDTSVSVHPGISNMPQHSAQIGSNTMLDDWEENLAVITANRTKGDELVIVHLGDCLWKERSEITAAHICYLVAEANFEPYSDSARLCLIGADHWKYPRTYASPEAIQRTELYEYSMVLGNSQFILLSFQPYKLIYAYMLAEVGKVSDSLKYCQAVLKSLKTGRAPEVEMWRQLVSSLEDRIRTYQEGGYAANLAPAKLVGKLLNFFDSTAHRVVGGLPPPAPSSSQGAVHGNEQHYWPMSNSKSTMAMSSLVPSASMDPISDWTADNNRMTKHNRSLSEPDFGRSPRQETTSPDAQGKTHASGGTSRFSQFGFGTQLLQKTVGLVLRPRPGKQAKLGETNKFYYDEKLKRWVEEGVDPPAEEAALPPPPTTAAFQNAPTEYNFKSALKTEGSHSNEGSNIRTLTPEHSPGIPPIPPSSNQFSARSRLGVRSRYVDTFNQGGANSANMFQSPSVPSVKPALASNAKFFVPAPVPSDDHTMEAIAESSQEDSVANEVPSTSAANDWPYQSPLKNTLSASMQRFPSMGNISKQGVANGSNFHSAIARRASSWSGSLSDSFSPQKMGEMKPLGEALDMPPSTFMAGESSLMHKPIRRNSFGEELHEVEL >OIW08941 pep chromosome:LupAngTanjil_v1.0:LG06:32381299:32384762:1 gene:TanjilG_05917 transcript:OIW08941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIPLTTSFPLLRLPSSSNLIPTSSLRYSIPANSKHTKRCRFNLSPILSTSHYNLSNTKLNRTFSTVKASAAGASVSPSQSPSPSPPWQGAAIKPLLASIATGVILWFVPVPAGVTRNAWQLLAIFLGTIVGIITQPLPLGAVAILGLGVSVLTKTLTFAAAFSGFSDPIPWLIALAFFFAKGFIKTGLGNRVAYQFVSLFGSSSLGLGYSLVFSEALLAPAIPSVSARAGGIFLPLVKSLCVACGSNVGDGTEHRLGSWLMLTCFQTSVISSAMFLTAMAANPLCATLTLNSINQTIGWLDWAKAAIVPGLVSLVVVPFILYLIYPPTLKSSPDAPKLAREKLQKMGPMTTNEKIMTATLFLTVGLWVFGGFLNIDAVTSAILGLTVLLVTGVVTWKECLGEGVAWDTLTWFAALIAMAGYLNKYGLISWFSQTVVKFVGGLGLSWQLSFGILVLLYFYSHYFFASGAAHIGAMFTAFLSVATALGTPPFFGAIVLSFLSNLMGGLTHYGIGSAPVFYGANYVPLAKWWGYGFLISVVNIVIWLGIGGIWWKAIGLW >OIW08870 pep chromosome:LupAngTanjil_v1.0:LG06:32919162:32922497:-1 gene:TanjilG_05845 transcript:OIW08870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEIGRDGGGGGGGFPVGGGGCRGSHLRSNTYDSLLHILSHLSPFLSQNPLPLPLHDSSLSRVEEQQNEPSSDFRDPNEDHNKDTIATDQVTEQTLLNEMDLLLQQQQQQQQQQQCPQFGINEMPNTIEEEEEGEFFGDFVIDDNLFDFSSDNPLVLEHHNIDNQDIHKPKTAVKDITLPSDLQNAEKEQGFQPNSFIANKVQHDTDNNGIASGVHKADDLPINSTHNLVFYGDLWEADDSQYMQKDDVSSKTKRGPGNNAEKKARKKRKQRQKRAEMNREHGVKRLKLPPPPVQKSKAKTITCRHYCYGRCYEGDKCKFAHDVEPLTKSKPCRHFARNSCMKGDDCPFDHQLSKYPCEKFASGGCGRGDACLFSHQVPTEQCIPTLSNVSKPEMKSPSPLGNTNLSMAVNNRGCNPVQENHFSNSTGIHSPMTAEHKVTSSLQKHPMPTPKGISFLNVAKPSLLSPSTPKEGMVTANKDSRVQIRTNADQSASGTNKISVEIPKKSSAVTPKGINFLSFANGSLKSSVSSLLNMESGNRLPQSVNFGFNRDDYSKVYSHGDNSHSKSVQDRKKASENSQTSTVTSSMTLASPFVSNQSSASNSGKRAILSTLAFAADYESDIKMKSLRREQGKQQ >OIW10547 pep chromosome:LupAngTanjil_v1.0:LG06:5323276:5326857:1 gene:TanjilG_15919 transcript:OIW10547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFGLVSAWNKRRRSKSEDHTDPWIYKPAEFWQLEDQTPQPTKRLHGSSVFILKEMEEATGSFSEENLLGKGGFGKVYRGTLRSGEVVAIKKMELPAIKLAQGEHEFRVEVDILSRLHHPNLVSLIGYCADGKHRFLVYKYMHNGNLQDHLNGIGERKMDWPVRLKVALGAARGLHYLHSSSDIGIPIVHRDFKSTNILLDANFEAKISDFGLAKLMPEGQETHVTARVLGTFGYFDPEYTSTGKLTLQSDVYAFGVVLLELLTGRRAIDLNQGPNDQNLVLQVRNILNDRKKLRKVIDPDMARNSYTIQSIVMFANLASRCVRADSSERPSMAECVKELQLIIYTNSKGLGMVMHSLRMI >OIW10789 pep chromosome:LupAngTanjil_v1.0:LG06:3086764:3092492:1 gene:TanjilG_27735 transcript:OIW10789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQLKSIGRELAMGAQSKELFHNHSKEFLDLIKSIGESRSKSEEDRIVLHQIQTLKIQLTEPNIPTRKIKEYIIRLLYIEMLGHDASFGYIHAVNITHHDSLLLKRTGYLAVTLFLHDNHDLIILIVNTIQKDLKSDNYLVVCAALNAVCRLINEDTIPAVLPQVVELLGHSKEAVRKKAVMALHRFYQKSPSFVSHLVANFRKRLCDNDPGVMGAALCPLFDLVTNDVDSYKDLVVSFVNILKQVAERRLPKNYDYHQMPAPFIQVKLLKILALLGSGDKKASENMYTVLGDIIRKSDSSTNIGNAVLYECIRCVSSIYPNPKLLEAAADVIAKFLKSDSHNLKYMGIDALGRLIKLSPNIAEQHQLAVIDCLEDPDDTMKRKTFELLYKMTNSSNVEVIVDRMIDYMISISDDHYKAYIASRCVELAEQFSPSNHWFIQTINKVFEHAGDLVNIKVAHNLMRLIAEGFGEGDDAAYSQLRSSAVESYLRIVGEPKLPSVFLQACTISALMKIYAFEVAAGRKVDMLPECQSLIEELLASHSTDLQQRAYELQALVGLDAQVVETIMPHDASCEDIEVDKDLSFLNGYVQQSLETGAQLYIPEDVRTGMGNMSSFGSQDQHESLQHGLRFEAYELPKPPMQSKVTPVSFASSTDVVSVAEALYSRETQHVSSVGSAASEAGSSELKLRLDGVQKKWGMPAYSSPTPSTSFSTSQKPANGVTQVDGATTINSKAHDSYDSRKTHVEISPEKQKLAASLFGGSTKPEKRSSTSHKVPKGSASAADRSQGSKAAGVPNEVVVEEKIHRPPPDLLDLGEPTVTSGPPSVDPFMQLEGLLDPSTISKTNDNAVAATSAPDIMTLYSDTAASGHSGTGAYSVPISGDNLNLLTELFNASVGVNSAETIVTPLPQSVKGSNAKDSLQKDALVRQMGVNPSSQNPNLFSDLLS >OIW10480 pep chromosome:LupAngTanjil_v1.0:LG06:6026576:6027313:-1 gene:TanjilG_00418 transcript:OIW10480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHPTWYECYVHQQQQPRNIKLTDSFRIEAKFIIQYFLPINDDPNSDPPIIDTVPLFEASITLPCKHAFEINHKDFLQRYLINSSYETLLNYVSPRYIENVSCEIIAQLRRLYNDDASNHGFAKSSNSRGESFDCDLNIIIDIPINTSDEELAREEQLSMQEDVKMVPASDKDIQSLKTYKLPQQCQICLEKFYGEKEDEDDDVEITTMPCDHVFHHHCIMQWLQTSHMCPLCRYPLSTNNERKR >OIW09366 pep chromosome:LupAngTanjil_v1.0:LG06:29784234:29794292:1 gene:TanjilG_01337 transcript:OIW09366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFLSEAASAIKNRFRFNDHSSDSVSLFQNTPDLLKSAAKDNLITHSSIRTIQNWDENDEGAAVESSNNAVSSSSSQRFEVIEDPSFWKDHNVQVIIRMRPLSNAEISVQGYGKCIRQESCQAITWTGHPESRFTFDLVADESVTQEKLFKVAGLPMVENCMGGYNSCMFAYGQTGSGKTHTMLGDIEGGTRRHSVNCGMTPRIFEHLFSRIQKEKELRRDEKLKFTCKCSFLEIYNEQILDLLDPSSNNLQIREDNKKGVYVENLKEVEVTNGRDVIQQLIQGAANRKVAATNMNLASSRSHSVFTCIIESQWESQGVTHFRFARLNLVDLAGSERQKSSGAEGERLKEATNINKSLSTLGKVNPVGDMIHFRLVIMNLVSISNGKSLHVPYRDSKLTFLLQDSLGGNSKTIIIANISPSICCSLETLSTLKFAQRAKFIKNNAIVNEDASGDVIAMRVQIQQLKKEVSRLQGLVSGEVQDNGTSVISFPGSPGSFKWEGAQGSFSPFPLASAKKISQKKDYEIALIGAFRREKDKEMTMLALREENQAALKLAKQREDEIQGLKMRLRFREAGIKRLEAVASGKISAETYLLNEKEDHLKEIAVLRGHVDRNQEVTRFAMENLQLKEEIRRLKSFYEEGEREMMNEQIMVLQNKLLEALDWKFMHEQDLVMAQKTNIDSVMEDVHSDGNLMSNEESSPKSRWQSSLRAENEFLRIQAIQNLAEMDTIRKKLEVCLEEKEKLERHLDDLTAKSLATKDGREQMDLPSTTDIPVMSINDQMELKAMVDAIAAASQREAEAHEMAIMLSKENDELRMKLKALIEDNSKLIELYEQAAAESKNGNVDQVENAREIGSKVDNGSCLETREEVTELKRVIENLQHQLMEINEENEKLMTLYETAMQERNDLKRAKGKMECPEKLVEVDRGERGESKHVESSTISWSAHEEHLEVENEVDMDIEVNSDMSIEVPNLTVEKLSRELNCVRKKLESVDDKISDAVINLSSLGCAEKAMVEVDKLSIEIEVIEHDIQVKHQQFESLELELSEAQERRTLVDKKFSALKYSLSSFSLSFSYFEQREARARAGVKDLASHLDRKKKELAALQASREGLVNAQKKNQEAEVELVTNIACIKSKLEEENRKREDEKVLFAVDNNTQNMDSSLKSWHFGGKATELLKLEEEKTKLHAEMRLSQEKLGFIRKELGNISKKVADVESRIQAVQLEIHQGLQKMEEKELALQRVTMEKEMLMEFRDDGILEIEHIIIELQQHVFEYDLKEAEMEILEEELQIDFAKAEEFHIIRVIVSNNRNNFMSSLSHSGMCEKIEEEMQSLRASISETKLLLEGISHAT >OIW10633 pep chromosome:LupAngTanjil_v1.0:LG06:4598280:4599380:1 gene:TanjilG_16005 transcript:OIW10633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMSQDDETPPKPAATPKDNHENNNQQGSNSGSRKSGTGTTRPHEQSLKCPRCDSPNTKFCYYNNYSLTQPRHFCKTCRRYWTKGGALRNVPIGGGCRKNKKVKSSRLSCDSIDSGGGTSSSDHHHHHLGGGLKFLHSLSSPPVRDFQLGGLTLPFLHHPPIPSTNSIYNNNQFSAFGDVSNAPSFNLDPSSGTTTPSSNLLGLNYPFNGANAAIQGGMSSMNLHNTNLASSIESLSSINQDLHWKLQQQRLAMLFGGENQKDDSNLEINQTQRPQPILFQNLEISKPETFPIGVSRKEGPSGGGGDIPTEWFFGNSYASVTTTPTPTTTDGGGGHGNDNDNGNNWNGNVHGWGDVNVHQQYSSLP >OIW09089 pep chromosome:LupAngTanjil_v1.0:LG06:31483807:31485102:-1 gene:TanjilG_16316 transcript:OIW09089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAENAVQSSKKRAAGRELTRDTPIDDEEDSPELETGTFKKASDEILATRRIVKVRRQTTSSAPNPFAGIRLVAPTGSSANPAEATTEVQSAVENTVADDSKGNDGITKDSEEAKDGETKQSESKTGVVEDKSAANKEAADEERTAEKESTGDKSEVDKEQSKNVTENENEGKKDAAHESADEENKGDIKDDNVSENDNKKENTDNVDEKVESGEPSAEVGNLKSFQQHSSSQNAFTGLAGTGSSVPFSFGSISNDKPFGLGLSTNGTSVFGASGSSAVFKSEGSGVTALQEVVVETGEENEKVVFNADSILFEFVDGSWKERGKGELKVNVSSETEKARLLMRSKGNYRLILNARLYPDMKLTAMEKKGVTFACINSATEENRGLSTFALKFKDGSIVEEFKAAVSAHKGETSSTVTKTPENSPKATNE >OIW10348 pep chromosome:LupAngTanjil_v1.0:LG06:9051409:9054642:1 gene:TanjilG_28099 transcript:OIW10348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPSNSERVQESEKKKKKKSSSTLYLNVYDLTPANNYLYLFGVGIFHSGIEVHGMEYGFGAHEYSTSGVFEVEPRSCPGFIFRRSVLLGTTDMSHSEFRSFMERLSAKYHGDTYHLIAKNCNHFTDEVCQQLTGKPIPAWVNRLARVGSFCNCLLPEGLQVAAVRHLPEHIPYSDDEGSESDASASDDSEEEHSNHHLLTPTNGDIAFIHEKPVTLARDLL >OIW08987 pep chromosome:LupAngTanjil_v1.0:LG06:31992418:31992948:-1 gene:TanjilG_05963 transcript:OIW08987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCKELGLVDRIPRLVCAQAANANPLYLYFKAGWKDFKAVKAGTTFASAIQIGDPVSIDRAVYALQNSNGIVEEATEEELMDAMAQADSTGMFICPHTGVALSALFKLRNSGVIKPTDKTVVVSTAHGLKFTQSKTDYHSKDIKDMACRFSNPPVQVNADFGSVMDVLKKYLLSKAH >OIW11113 pep chromosome:LupAngTanjil_v1.0:LG06:534406:540483:1 gene:TanjilG_22920 transcript:OIW11113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEKRYIIEVEKAKKDREGKPSMGPVYRSLFAEHGFPAPIQGLDSCWDVFRLSVEKYPTNPMLGRREIVDGKPGKYKWQSYKEVYEQVVKVGNSIRSCGYHQGVKCGIYGANSAEWIISMEACNAHGLHSVPLYDTLGAGAVEFIISHAEVSITFAEEKKIPELLKTFPHAAKYLRTLVSFGKVTPEQKQEVEKYGLAIYSWDEFLLVGQGQSFDLPVKKRSDICTIMYTSGTTGDPKGVLISNDSIIALLAGVKRLLESVNEQLSVNDVYLSYLPLAHIFDRVIEEAFIWHGASIGFWRGDVKFLIEDIGELKPTIFCAVPRVLDRVYSGLTQKISAGGFLKKKLFNFAYSWKLKNMKKGHKHAEACPFFDKLVFDKVKKGLGDKVRLILSGAAPLSTHVERYLRVVTCAHVLQGYGLTETCAGTFVSLPNELGMLGTVGPPVPNVDVCLESVPEMGYDALATTPRGEICVKGNTLFSGYYKREDLTKELSKIAKENKLKGFEVIKAVHLDPVPFDMERDLTTPTYKKKRPQLLKYYQNVIDNMYKSGNKPKA >OIW11052 pep chromosome:LupAngTanjil_v1.0:LG06:1632554:1633812:1 gene:TanjilG_22859 transcript:OIW11052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAQQHSPNEDGGSGKGGFMSRQSNTRWTPTTDQIRILKDLYYNNGIRSPSAEQIQRISARLRQYGKIEGKNVFYWFQNHKARERQKKRFTSDVPMQKAPTNIASAWKHEEPPIHTKYPNIASTGVSSAPSSSAGMVTMTHMGNYGYGSVPMEKSFRDCSISAGGSSGHVGGAINHNLGYYDMEQYSSAYTTCFDKIRPSGETMEEKQVEDGSTEIQTLPLFPVHCEDVHGYCNLRSNSSNYVGGWYQTEEGFMNGSRASLELSLNFYTRKSPEYS >OIW10541 pep chromosome:LupAngTanjil_v1.0:LG06:5364572:5365571:1 gene:TanjilG_15913 transcript:OIW10541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCQAVDAAVLVIQHPCGKIDRLYWPVTASEVMKTNPGHYVSLIIPLPVSQQQQQQQHKNKEQKTVRFTRVKLLRPNETLNLGHAYRLVTTQEVMKVLKAKKHAKTRKPQAETVEKPQAVKQEKQSSASETEAGGEYDRGKTYQAVRAGRNRRRAGSVNLAMLGSKSWRPSLQSISESAS >OIW09272 pep chromosome:LupAngTanjil_v1.0:LG06:28884208:28887145:1 gene:TanjilG_01243 transcript:OIW09272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTLSEAYRNHPLNLHHIIPLDFSSIRTLPDSHAWSQSNDSDNNFSYNESLSIPIIDLIDPHAMEQIGFACEKWGVFQLKNHGIPLSVIEEVEVESKKLFSLPAEEKLKALRSPGGATGYGRARISPFFPKFMWHEGFTFMGSSDDAKKIWPKDYERFCDAMENYQNQMKVLSEKLTHMILTFLGINNSSQENKWVGSTNHIGAIQLNFYPCCPEPNRAMGLAPHTDTSFLTILHQTLTNGLQIFKDGSGWVPINPNPNTLVVNIGDILHIMSNARFHCALHRVVVNKTKQRYSMAYFYGPPIDYVISPIVINCVVPRFHALTVKEYISIKAKNLGGALSLITTT >OIW09102 pep chromosome:LupAngTanjil_v1.0:LG06:31562480:31573805:1 gene:TanjilG_16329 transcript:OIW09102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWFGNKNSWGNFPDLAGAVNKLQESVKSIEKNFDNALGFEESSESSGEASGSWPIPTDTKTLFNPVMSFMGNRSEESIKETSEKVESSEQESETEKSHEKPESPDHISVAERKEGIEADNAVHVETEENTLQEENNVLKVEEDSEHTESVDGRTADSLDHGKEEHPLPEMPIELPESPIQKFENSDSVDSPQENEIFDAGTSGSPVLMQSKPSNVMDELVEGSTSEPGESHGTSDVHDNLQVDTKERNEEERVETEDSLERVSSVQHEASGDSEKRDETNDSVATEENNSNDQSYNEHLSTVTPPKESSEVISELVLHENDTTVKENERDHLANDVETDMKEQQLSSLRIVSDSDSMLELERVKREMKMMEAALQGAARQAQAKADEIAKLMNENEQLKDLIEDLKRKSNEAEVETLREEYHQRVSNLERKVYALTKERDTLRREQNKKSDAAALLKEKDEIITQVMAEGEKLSKKQATQESTIRKLRAQIRELEEEKKGLTTKLQVEENKVENVKRDKIATENLLQETIEKHQTELAAQKEYYTNALAAAKEAEALAEARVNNEARTELESRLREVEERESMLVQTLEELRQTLSRKEQQVVFKEDMHHREIEDLQKRYQASERRCEELITQVPESTRPLLRQIEAMQETNARKAEAWAAVERTLNSRLQEAEAKAATAEERERSVNERLSQTLSRINVLEAQISCLRAEQTQLSRTLEKERQRAAESRQEYLAVKEEADTQEGRVKQLEEEIRDIRQKHKQELQEAMMHRELLQQEIEKEKAARSELERTARVHSASLSDQAPTTKLTSTFENGNLFRKLSSASSLGSMEQSYYLQASLDSSDSFSERRNHGELNMSPYYMKSMTPSSFEAALRQKEGELASYMSRLASMESIRDSLAEELVQMTEQCEKLRVEATLLPGLRSELEALRRRHSAALELMGERDEELEELRADIVDLKEMYREQVNLLVNKDENGNWQTGSIATMIDIIGSLAVHSVSPMFNVSVDFSMSFYSTAKFQEEVEIEAKVVGKKEKLTSVVVDIRKKNDGEIIAIGKQWMSSTNINSNRYQTSKL >OIW08887 pep chromosome:LupAngTanjil_v1.0:LG06:32802546:32803370:1 gene:TanjilG_05862 transcript:OIW08887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLKPIIFREYIGSKDGSKHLNFPVDIINNKVKEFHFILAFAKEGYQNNKGSGIFTASWDLTAFNVESIKNLKKDHPNAKVIVSIGGPKDITLFNVDERHAWLFNATKSLTEIITHYDIDGIDINYETILSSTEDFVFCIGGLIKQLKEENIITSASIAPSEAVHPHYNLLFNAYKKYIDWVDYKFYDHFLPSKEQFKELYNKLSSDYPSVLLAGFSTNTKDEIYLSQGTFLDACQELIDTNLLPGIFVYDADYSKATGYQVEGLAQNMIVEDI >OIW10995 pep chromosome:LupAngTanjil_v1.0:LG06:1169245:1171867:-1 gene:TanjilG_22802 transcript:OIW10995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAALFIKEDLGINDLQVELLIGILNAFALIGTMAAGRTSDYLGRRYTIILASIIFLLGSILMGYGPSYPILMIGRCTTGIGAGFALIVAPIYSAEISPPSYRGFLTALPDVSINLGLLLGYMSNYFFEKLSLKLGWRMMLAVPAIPSLGLAILMFKLVESPRWLVMQGRIVEAKNVLLLISNTKEEALQRLQEIKVAAGIDEKCTQDIVHVVKNAQGGEGAIKELFYKPSPPVRRILIAAIGVHVFQQITGIEAVMIYSPRIFETAGITDKSKLLLATVGMGVSQASFIILSTFLMDKVGRKILLLISSGGMVVTLLGLAILMFKLVESPRWLVMQGRIVEAKNVLLLISNTKEEALQRLQEIKVAAGIDEKCTQDIVHVVKNAQGGEGAIKELFYKPSPPVRRILIAAIGVHVFQQITGIEAVMIYSPRIFETAGITDKSKLLLATVGMGVSQASFIILSTFLMDKVGRKILLLISSGGMVVTLLGLGFCLTIVEHSKQEITWAISFTIVVTYIFVAFVSIGIGPVTWVYSSEIFPQRLRAQGLAIGVTVNRITNVIVVTSFVSIYRKLTMGGSFFMFTGITVLAFCFFSFLPETKGRSLEDMEIIFGKKSKETKMKHWCNNA >OIW10603 pep chromosome:LupAngTanjil_v1.0:LG06:4842655:4843416:-1 gene:TanjilG_15975 transcript:OIW10603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFEDQEEPEELCFDPLGNSTRVKISGSVEPIMVPQPLRSSNKARYRECLKNHAVSLGGNALDGCGEFMPAGIEGTLDALKCAACNCHRNFHRKETDTTAIVTGADPFFLTHHHQHHQPPPAHFAAYYRSPAGYLHMGGGLHHRGAAPGGTLALPSTSGADGGCGTQSTREDQEDMSNPMSGGDGSGGSKKRHRTKFSLEQKDKMLEFAERLGWKIQKHDESLIQEFCNENGIKRHVLKVWMHNNKHTLGKKP >OIW11125 pep chromosome:LupAngTanjil_v1.0:LG06:444523:450794:-1 gene:TanjilG_22932 transcript:OIW11125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRNDSKYFSTTKKGEIPELKEELNSQYKDKRKDAVKKVIAAMTVGKDVSSLFTDVVNCMQTENLELKKLVYLYLINYAKSQPDLAILAVNTFVKDSQDPNPLIRALAVRTMGCIRVDKITEYLCDPLQRCLKDDDPYVRKTAAICVAKLYDINAELVEDRGFLESLKDLISDNNPMVVANAVAALAEIQENSTRPIFEITVPTLTKLLTALNECTEWGQVFILDALSRYKAADAREAENIVERVTPRLQHANCAVVLSAVKMILQQMELITSTDVVRNLCKKMAPPLVTLLSAEPEIQYVALRNINLIVQRRPTILAHEIKVFFCKYNDPIYVKMEKLEIMIKLASDRNIDQVLLEFKEYATEVDVDFVRKAVRAIGRCAIKLERAAERCISVLLELIKIKVNYVVQEAIIVIKDIFRRYPNTYESIIATLCENLDTLDEPEAKASMIWIIGEYAERIDNADELLESFLESFPEEPAQVQLQLLTATVKLFLKKPTEGPQQMIQVVLNNATVETDNPDLRDRAYIYWRLLSTDPEAAKDVVLAEKPVITDDSNQLEPSLLDELLANIATLSSVYHKPPEAFVTRVLSSAQRTEEEDYAEGSETGYSESSANPANGVASPPAARQSALASATAAPATPPPVAPVPDLLGDLIGMDNNSIVPLDQPATPTGPPLPILVPASTGQGLQISAQVVRRDGQIFYSLLFENSTQVPLDGFMIQFNKNTFGLAAAGPLQVPQIQPGTSARTLLPMVMFQNLSQGPPSSALQVAVKNNQQPVLYFNDKFSLHVFFSEDGRMERSNFLETWRSLPDSNEISKDFPTIVLSSAEATLDRLAASNMFFIAKRKNANQDVFYFSAKLPRGIPFLIELTTVIGNPGVKCAIKTPNPEMSALFFEAVETLLLG >OIW08909 pep chromosome:LupAngTanjil_v1.0:LG06:32637817:32641352:-1 gene:TanjilG_05885 transcript:OIW08909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRAFNHQISMQKSRSFHFRKMFEIPGKHIQGLFDRDHEEGGEPDKIYSKSFESRHTSDSTSEVQQIGYSLTNEIPRIPPKPPSEMDMMKDRFAKLLLGEDMSGAGNGVSSALALSNAITNLAASVFGEQSKLEPMTSERKARWRKEIEWLLSVTDHIVEFVPSQQLGKDGTTMEIMTTRQRSDLLMNIPALRKLDAMLIDTLDNFRDQNEFWYVSKNDEGSEGNTNTQRKSDKWWLPIVKVPPAGLSDVAGKWIQFQKDSVNQVLKAAMAINAQVLSEMEIPENYIESLPKNGRESLGELIYKNITVEYFDPGQFLLTMDLSTEHKVLDLKNRIEASIMIWKRKMNKDCKSTWGSAVSLEKRELFEERAETILLMIKHQFPGLPQSSLDISKIQFNKDVGQAILESYSRVIESLAYTVMSRIEDVLYADTMTKNPSLTVSSRMSSLDSLPAAEESSPTSSGEEKDNSCSPEAPPSMTLSDFMGWSETTTTTTKGGGDLKKTNSTGDIDDHLKEKDEKSINKSPKFSTPKKAYYLEKLEYLNALKSPIARH >OIW09143 pep chromosome:LupAngTanjil_v1.0:LG06:30376303:30382111:-1 gene:TanjilG_11281 transcript:OIW09143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSVRAIPGSFSTINTWDYSNGFGKSMDFVRVSDMKKVQSGKTKRVLVIRNSSNPAQDILQLQPASSGTPLLVPRQKYCESLNQTIRRKTRTVKVGDVSIGSEHPIRIQTMTTTDTKDVAGTVEQVMKIADRGADIVRITVQGKREADACFEIKNSLVQKNYNIPLVADIHFAPAIALRVAECFDKIRVNPGNFADRRAQFEQLEYTEDDYQKELEHIEQVFTPLVEKCKKYGRAMRIGTNHGSLSDRIMSYYGDSPRGMVESAFEFARICRKLDFHNFVFSMKASNPVIMVQAYRSLVAEMLVQGWDYPLHLGVTEAGEGEDGRMKSAIGIGTLLQDGLGDTIRVSLTEPPEEEIDPCRRLANLGMRASELQKGVAPFEEKHRHYFDFQRRSGQLPVQKEGEEVDYRGALHRDGSVLMSVSLNQLENPELLYKSLAAKLIIGMPFKDLATVDSILLRELPPVDDANARLALKRLIDISMGIITPLSEQLTKPLPNAMVLVTLNELSTGAYKLLPQGTRLVVSLRGDEPYEELEILKGVDATMILHDLSYTEENVSRVHAARRLFEYLSENSLNFAVIHHINFPTGIHRDDLVIGAGTNAGALLVDGLGDGLLLEAPDQEFEFLRNTSFNLLQGCRMRNTKTEYVSCPSCGRTLFDLQEISAEIREKTSHLPGVSIAIMGCIVNGPGEMADADFGYVGGNPGKIDLYVGKTVVKRGIAMEHATNALIDLIKEHGRWVEPNAEE >OIW10500 pep chromosome:LupAngTanjil_v1.0:LG06:6178469:6182291:-1 gene:TanjilG_00438 transcript:OIW10500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIINRYKDTLVLFSFLSVFCFHTLFTHAADSITQGEFIKDGDTLVSNGLNFEMGFFSFNDSSSRYVGIWYYNVSVPAYIWVANRGKPIEGREGLIQMKNDGNLVVLDENKNEVWSTYLSNPMNNSKVVLQDDGNLVLSKEDDGDLLWQSFDHPTDTFLPGMKIPVSDEMKDTIIFTSWRSKTDPSFGNYTVGIDSKTSSPQIVIWEGEEKMWRSGYWDGRLFTGVSNMTGYYQFGFRLNGDEKEERYFTFRKLNESDKVMFKIDWDGYERMYKWNENEKLWNVMQKEPVNKCEFYNHCGSFGVCDMSNSPICGCMKGFEPRDKDDWKNENWSGGCKRRTPLKAEIVNGSGSVGEDGFLVQKCMKFPDLAQLVNDGNGIVHDSEGCEATCLQNINCTAYAYVLGIGCMVWYGELVDVQNQDNLGNKMSIRLANSELGDGDKKTKIWIIISAVVGVLVCLGIFVWLMLRFKRKLKVSAASRSKNSNLIVSGGIKSREFSAAFSESTEAYSLEVNKLSGPELPVFNFSYIAIATDDFSEENKLGQGGFGPVYKGELPGGEQIAVKKLSKRSSQGSEEFKNEMMLIAKLQHRNLVRLIGCSIQGEEKLLVYEYMPNKSLDFFLFDPVKQAQLDWTKRFEIIEGIALGLLYLHRDSRLRIIHRDLKASNILLDEDMNPKISDFGLARIFGGNQDEASTNRVVGTYGYMAPEYAMEGLFSIKSDVYSFGVLLLEIISGRRNTSFRHTDDSSLIGYAWHLWSEERVFELVDPSIRDSSPKNNVLRCIHIGMLCVQDSAARRPNMSSVLLMLESEATTLPLPKQPLMVTSMRRYDDVEVHTECLDVSNDLTVTMVTGR >OIW08998 pep chromosome:LupAngTanjil_v1.0:LG06:31885359:31887849:1 gene:TanjilG_05974 transcript:OIW08998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKHEEELAKRVQYPLDSSSYKILDEIGAGVSAIVYKAICVPMNSLVAIKSIDLDRSRPDCDDVRREAKTLSLLYHHNILNAHCCFTVDQRLWVVMPFMAGGSLQSIISHSFSDGLTEPCIAVVLKETLNALSYLHGQGHLHRDIKAGNILVDSNGSVKLADFGVSASIYEYPNSSSSTAAPCLSSSSSLRLTDVAGTPYWMAPEVIHSHIGYSFKADIWSFGITALELAHGRPPLSHLPPSKSMILKITKRFRFSDLDKYRKGHGGNKFSKAFKDMVASCLHQDPSKRPTAEKLLKHPFFKYCKGSEFLVKNVLQGLPSVEKRYKESKTQPTTANDDDDEDEGDLMKEQYVKQRRISGWNFNEDGLELDPVFPSDHQSRENVTIVKEVRFEGETVIQDMQHGELEENVDTSSNMSKQNTNATSNVTIVENLMVSSIHGSSRVVESIGSDQGGGILNNKEAMLTTLNVLKGSLEQELGQVKVMMNLLQGEEIQVVDNQEHMIQEISKLRIELENERKKNSELVLQVEIFKLHSSAGVN >OIW08953 pep chromosome:LupAngTanjil_v1.0:LG06:32272828:32273934:1 gene:TanjilG_05929 transcript:OIW08953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGVCFIQLTTVVVLLLSLSSAVWSCPPSDRAALLAFKAALKEPYLGIFNSWTGTDCCHKWYGVSCDQETRRVADINLRGESEEPIFNKAHRTGYMTGYISPAICKLTRLSSFTIADWKGISGEIPRCISYLPFLRIIDLVGNKISGTIPPEIGRLQRLTVLNVADNLISGTIPVSLANLTSLMHLDIRNNYISGPIPRSIGRLRMLSRALLSGNRLSGVIPDSISRIYRLADLDLSRNRIYGPIPESLGKMAVLATLNLDLNKISGQIPISLLNSGISDLNLSRNSLEGNIPNAFSARSYFTALDLSYNNLKGSIPKSISSASYVGHLDLSHNHLCGQIPVGSPFDHLEASSFVYNDCLCGKPLKAC >OIW10235 pep chromosome:LupAngTanjil_v1.0:LG06:7965969:7974001:-1 gene:TanjilG_27986 transcript:OIW10235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSEEEDEFPSIESIIPQSKVDSLYQSHTEKGIRKLCCELLDLKDAVENLCGNMHSKFLAFLRISEEAVEVKHELIELQKHISAQGILVQDLMTGVCHELEEWNQSNKDVTEIEPEISELLEPLSNEINDKKTIFLENIDVLVSEHKFEQALEALDAEERNSDVLKGTGNISSDEVSSYESALLERKVVLEDQLAGIAEQPSVSYPELKKTLNGLMKLGKGPRAHQLLLKFYGSHLQKRIKALLPSSSLCPETFPFTLSKIVFSVISLTTKESALIFGDNPVYSNRIVQWAECEIEYFLRLVKENAPSSETVSAVRAASICIQASLNYCSILESQGLKMSKLLLVLLRTYVEEVLESNFRRARRVVLDMAESDEGFPLSPQFASSLSVIATSSSSMLVESGMRFMRIVEEILEQLTPLASLHFGGSILSRISQLFDKYMDALIKALPGPSDDDNLPELKEAVPFRAETDSEQLAILGIAFTILDELLPNAVLSTWTQQSESKEPISELKENVLNANASVELKEWRKHLQHCFDKLRDHFCRQYILNFIYSREGKTRLNAHIYLNDNREDLYRDSDPLPSLPFQALFAKLQQLATVAGDVLLGKEKIQKILLARLTETVVMWLSDEQEFWGVLEDISAPLQPLGLQQLILDMHFTVEIARFAGYQSRHVHQIASAIIARAIRTFSARGIDPQSALPEDEWFVEAAKLAINRLLVGVSGSETSDIDEDHIIVHDELVSDSDTVSSLSTMDSNESFASASMAELDSPSNLSDEDD >OIW10878 pep chromosome:LupAngTanjil_v1.0:LG06:2332150:2335256:1 gene:TanjilG_27824 transcript:OIW10878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITKVHLGLDKTMAQNEDDRMIEKWKKRMHVFGERVKRFPSSAWETACRVGREDPRRVTHALKVGATLCKGLNRGLGTLLAGALAFFIGFVGKRSGRVFQAVFIGGSVFLIGAVATYMRFIPYIKKNYDYGLVIFLLTFNLITVSSYRVESVLKMAHDRIYTIAIGCVICLLMSLLIFPNWSGEDLHNSTVYKLEGLAKSIEACVNEYFYGEIEASGEIKLSDDLIYKGYKTVLDSKSTDEILALHASWEPRHSRYCHRFPCQQYVKVGAVLRQFGYTVVALHGCLRTEIQTPRSVRALFKDPCIRLASEVSKVLIELSNSIKNHRHCSPEILSDHLHEALQDLNTAIKSQPRLFLGPKHKHNKIATAQVGQERHGKASGFSISSVKTDSSALFDWKTKQSKETDRKSLRTQLSKIAITSLEFSEALPFAAFASLLVETVAKLDLVIEEIEELGRLACFNEYKLDDEVVVTCEEPRVDVTQNHLPSHGGD >OIW09711 pep chromosome:LupAngTanjil_v1.0:LG06:18806262:18807045:1 gene:TanjilG_21237 transcript:OIW09711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRRGLQIGKFYRVRSEDSSDPSIRSSKRLNPSPNSNTNPSPEIFLDNQPRTKISTSYQKSGDIVNHSSPKPSSPKMGVSNGGSVSKSNIHVDGNSDPNFLSPEMRKKHLGRCIGIGISRLEGWLPGKELLEVV >OIW10281 pep chromosome:LupAngTanjil_v1.0:LG06:8370221:8374359:1 gene:TanjilG_28032 transcript:OIW10281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFGKEFASQMVPEWKEAYMDYAYLKSLLKQIQNFKQKTNKPSTQPEVLKRKLTLYRAFSGLIQRHYQPLNHPLEQDIENNNQPILVNSFKQDGSEKYETIFLMSAEEGGEYELMYFRRLDDELNKVDKFYKSKVDEVMEEAVMLNKQMDALIAFRVKVENPTSSFDKSVEMTRLASDVAVSAAALSASTPRRVCTMKEIKEDSSTHYRQFDDSGDENSENNQTSNQRVERQERRMNVSGIKPASLEILNRVQLNNSNETTRSTIKGFINYPGQKELQFTSENLSKVEEKLKHAFIEFYYKLRLLKNYSFLNTLAFSKIMKKYNKITSRDASKAYMKMVDNSYLGSSDEVIKLMERVESVFVKHFSNSNRNKGMSILRPKTKRERHRITFSMGFFAGCTASLTLALAMIIHTRKIMDHSGTTQYMDIMFPLYSLFGFVVLHMLMYAANIYFWRRYRVNQQFIFGLKQGTDLGYHQVLLLSFSLAALALASVLANLDMEMDPETKDYEALTELLPLILVLVVIAILLCPLNILYRSSRTFFLTCLFHCICAPLYKVTLPDFFLADQFTSQGQALRSFVFYICYYGWGNFKHRQSTCQSSRIFITFSFVVPVIPYWLRFLQCLRRLFEEKDKMQAYNALKYFLTIVAVCLRTAYSFDNGMSWKVLAWVFSISTAIFSTYWDLVIDWGLLQRRSKNRWLRDKLIISHKSVYFAAMVLNVLLRFAWLQTVLNFNVSFLHKQAMISIIASLEIIRRGMWNFFRVENEHLNNVGKYRAFKSVPLPFNYDESEDKDE >OIW10913 pep chromosome:LupAngTanjil_v1.0:LG06:2063071:2064561:1 gene:TanjilG_27859 transcript:OIW10913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTLFRLVSFQYQHQQQTDNQSLNSTTSRTTTSSSSRSSRQQQHHQNYHFQQDQDEECFNFFMDDEDLSSSSSKHYYPYQPHHHPPSTTTTLTPTPDNFSFSPSRTDFNFEFSGKWANDILLETARAIAENNSARVQQLMWMLNELSSPYGDTDQKLASYFLQALFSRMTEAGDRTYRTLTSASERTCSFESTRKTVLKFQEVSPWTTFGHVACNGAILEALEGEPKLHIIDISNTYCTQWPTLFEALATRNDDTPYLRLTTVVTTRLGGSIAAVQRVMKEIGTRMEKFARLMGVPFKFNVIHHAGDLSTLDLSDLNIKDDEALAINCVNALHSITGVGDARDVLISSLRRLQPRIITVVEEEADLDVGFDGFEFVKGFQECLRWFRVYFEALDESFPRTSNERLMLEREAGRAVFDLVACSPSESVERRETAARWSQRLHGGALNTVPFSDEVCDDVRALLRRYKEGWSMTQCSDAGIFLSWKEQVVVWASTWRP >OIW09664 pep chromosome:LupAngTanjil_v1.0:LG06:21544393:21546995:1 gene:TanjilG_15370 transcript:OIW09664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLKLSLPMPSPLLSFSQNFIPFSHPFKPLLTFNNSVKCRHSQPTSQAATPTPHSGAFKVSKEGHVLLQFAPAVGLRQYDWERKQIFSLSVGEMGTIISLGARDSCEFFHDPSKGKSSNLVVVVFEGVQNKLVNVDENIYIPVTKAEIAVLSSIFNFIIPYLIGWNTFTNSIKPDESIGVNSNSNPRYGGDYEWNR >OIW10089 pep chromosome:LupAngTanjil_v1.0:LG06:9965961:9967289:1 gene:TanjilG_21926 transcript:OIW10089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTVTWGVIQGRKEKLVSRVIVFDYLKGLGIIPDELQDLELPSTVEVMRERVEFLMKLGLTVDDINEYPLMLGCSVRKNIIPVLGYLEKIGIPRSKLGEFINSYPQVLHASVIVELVPVVRFLRGLDVEKDDIGYVLQKYPELLGFKLEGTMSTSVAYLVSVGVNPRDIGPMVTQYPYLLGMRVGTVIKPMVDYLVSLGLLKKILARMLEKRAYILGYDLEETVKPNVDCLISFGVRRECLASVIAQYPQIIGLPLKAKLSSQQYFFSLKLKIDPEGFARVMEKMPQVVSLHQNVIMKPVEFLIGRGIPASDVASMVIKCPQLVAVRVELMKISYYFFKSEMGRPMNELVEFPEYFTYSLESRIKPRYQRLKSKGIRCSLNWMLNCSDQRFEERLQGNYIETESVGPSFNMGGKLDLPGNAIVSDDEEESDDEVLYRRTVSL >OIW09352 pep chromosome:LupAngTanjil_v1.0:LG06:29691785:29693441:1 gene:TanjilG_01323 transcript:OIW09352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPPSPIITLHPDIINSHILSRLDAESLSSAASSSSYLRRLSTENNHLWHTLTTNTWPSLNDPLTSSLISTFPAAHFSIFSDSFPSPSIHPHRPIPIPSELISAVDIYYEGKAVFSRVLRTDTNKGWFHFSPLWIDLLKHDEVVPTQLKLPPNDDVQWLNHLQHNLTLSWIIIDPILKRAANLSSQTAVSARWQWLTEELEVVYAVVFEEEEVMCTVKVTCCGKTMGEMEVREVSMKMEDMDGRHVIGKDSMVIMQRAMESVKRKRVDGKERES >OIW10757 pep chromosome:LupAngTanjil_v1.0:LG06:3314969:3318268:1 gene:TanjilG_27703 transcript:OIW10757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCSSSSGSEEDDEGFDSYRKGGYHAVRVADQFAGGRYIAQRKLGWGQFSTVWLAYDTHSSSYVALKIQKSAAEFVQAALHEINVLSSIGDGGPANSKCVVQLIEHFKHTGPNGQHLCMVLEFLGDSLLRLIKYNRYKGLPLNKVKEICKCILIGLDYLHRELGIIHTDLKPENILLFSTIDHSKDPCRSGLSPIIERPEGNINGGVTSLIEKRLKRRARRAVAKISLRDSLGGAGEAPKSARNIDGIDVRCKIVDFGNACWADKPLAEEIQTRQYRAPEVILKAGYSFSVDMWSFACIAFELATGDMLFTPKGGGQGFCEDEDHLALMMELLGKMPRKIAIAGAQSKDFFDRHGDLKRIRRLKFWPLDKLLIDRYKFSEKDAREFSEFLLPLFDFAPEKRPTAEQCLQHPWLNCLE >OIW09009 pep chromosome:LupAngTanjil_v1.0:LG06:31802567:31806704:1 gene:TanjilG_05985 transcript:OIW09009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSREQQKRGKQEKGSNGAEKVIVAVKASKEVPKTALVWSLTHVVQPGDCITLLVVVPSQSSGRRLWGFPRFAGDCASGHKKSHTTGTSSEQKTDITDSFSQMILQLHDVYDPNKINVKIKIVSGSPCGAVAAEAKKIQANWVVLDKHLKHEEKWCMEELQCNIVVMKRSQPIVLRLNLVGSLKKDIEEAGPLPSEQDEMAAKQTKKKNNSLNSIKGPVATPTSSPELGTSFTTTEVGTSSVSSSDLGTSPFFISEMNCESKKEETIEENQELDDTNSDTDNETLSTSSASLRFQPWIADLLLHQQSSQCIAERSERSRNTPQSSTTRALLEKFSRLNREAELEISTYRTTELDFSGNVREAVALSRNAPPGPPPLCSICQHKAPVFGKPPRLFSYTELELATGGFSQANFLAEGGFGSVHRGVLPDGQVIAVKQHKLASSQGDVEFCSEVEVLSCAQHRNVVLLIGFCIEDKRRLLVYEYICNGSLDTHLYGRQRESLEWSARQKIAVGAARGLRYLHEECRVGCIIHRDMRPNNILITHDFEPLVGDFGLARWQPDGDTGVDTRVIGTFGYLAPEYAQSGQITEKADVYSFGVVLVELVTGRKAVDLNRPKGQQCLTEWARPLLEEYAIEELIDPSLGSHYSEHEVYCMLHAASLCIRRDPCSRPRMSQVLRILEGDVVMDPNYISSPGYDAGNRNR >OIW10379 pep chromosome:LupAngTanjil_v1.0:LG06:6988907:6992274:1 gene:TanjilG_05527 transcript:OIW10379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNNFSVKKESPTFPNHSSNLTLSTMQEKIEMQRIMERESKMTATPEWTDEKHSMYLKSIEASFVNQMYDSKHTLASNNPANTSAQFKVLRGGCWKTINFERENPQLSRTNPRHKNLTANPWIQHYRSSSKQPNVAAPSLLESITSTSAVFVDLEHQRNGFPSSSSGQLHLCETHVSHDDMLYSDTEMSDQNFADEDVEDKEENIRSNVKRLKETFDN >OIW10702 pep chromosome:LupAngTanjil_v1.0:LG06:3867713:3873017:-1 gene:TanjilG_16074 transcript:OIW10702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRHHDPNPFDEEEVNPFSNRATAPGSKSRNPPLAPEVQLGFGQRHDATVDIPLDTTNDSKKKGQELAAWEADLKRREMEIKRREESVTRAGVTVDDKNWPPFFPIIHHDIANEIPVHAQRLQYLAFASWLGIVLCLVFNLVAVIVCWIRGGGVKIFFLAVIYTLLGVPLSYVLWYRPLYRAMRTDSALKFTWFFLFYLLHIAFCIFAAIAPPVVFHGKSLTGILAAIDVFSDHVLVGIFYLVGFGLFCLESLLSLWVLQRGSNGKEQSAA >OIW10926 pep chromosome:LupAngTanjil_v1.0:LG06:1932598:1938843:1 gene:TanjilG_27872 transcript:OIW10926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCEQKISGNNCKNGCSGLGVCNRELGECRCFHGYTGSLNEPFGKEVPSICPTNNCDKTRAMCFCGEGTKYPNRPLTWSCGFQFIDGEKEVDWTKVDHDVFTTNGSIPGWCNVDPVEAYAGKVKVKEGCECNYDGYEGQFCEIPVESVCINQCSGHGHCRGGFCQCEDGWYGVDCSVPSVISSTREWPSWLRPARVDVPNNFHDSGKNINLNVVVTKKRPLIYVYDLPPEFNSLLFEGRHYNIDCVNRLYGSNNETIWTDQLYGAQMAIYESMLASPHRTLNGDETDFFFVPVLDSCIIVRADDSPHLSTKEPDNLRSYLTLEYYTNAYNHIVEKYPYWNRLSGRDHIWFFSWDEGACYAPKEIWNSMMLVHWGNTNTKHDHSTTAYWGDDWDTIPSNRRGVHPCFDPNKDLVLPAWKEPDVDVLSSKLWAWPHEKRKTLFYFNGNLGPAYDDGRGEDWYSMGVREKVAEEFGSSPNKEGKLGKQYANDVIVTPVRTDKYHEEIANSIFCGVFPGDGWSGRMEDSILQGCIPVIIQDGIFLPYENVFNYDSFAVRIPEVEIPNLIKILRGFNDTEIKFKLANIQKIWQRFFYRDSILLEAERQKAVYGRVDNWAVEISKLTEDDVFTTLMQVLHYKLHNDPWRIQVHFNKTFGLPNQCLASTPN >OIW10704 pep chromosome:LupAngTanjil_v1.0:LG06:3849837:3857146:-1 gene:TanjilG_16076 transcript:OIW10704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLADIGVSAAINILTAFAFLLAFAFLRIQPINDRIYFPKWYITGGRTSPKKNTENFVGKFVNLNFRTYLAFLDWMPQAIRMSEAEIINHAGLDSAVFLRIYIIGLKIFVPVTVVTLLVLIPVNAWSGILFFLKKDLVVSDIDKLSISNVPPESIRFFVHIALEYLITLWVCFLLYKEYDNISTMRLHFFASQERRVEQFTVVVRNVPHTDGCSVADSVDNFFQTNHPDHYIGHQAVYDANKFTGLMRKRNRLQNGLEYYQLEYEKHPDKKPTIKTGLCGLWGHKVDAIECYRHMIAELDEIMISERQKLIKDPKCILPVAFLSFNSHWGASVCAQTHQSKNPTLWVTSWAPEPRDVYWENLSIPFVSLSIRKLIVLSLVFALIFFYMIPIAFVQSLANLEGLERVVPFLRPVIELKFIKSFLQGFLPGLALKLFLYIVPAILMIMSKTEGCIALSTLEQKAASKYYYFMLVNVFLGSIVTGAAFEQLNSFLRQPPIPRTIGIAIPMKATFFMTFIMVDGWAGIASEILRLKAMVLYHLKNMTIVKTERDRGKAMDPGGVDYKQTIPNLQLYFLLGIVYAVVSPVLLPFILIFFAFAYLVYRHQIINVYNQQYESAAAFWPHVHNRIIASLIISHFLLAGLLSTKKAAKSTPLLAILPILTYAFHKYCQRRFEPAFRQYPLEEAMAKDLLEKNTEPDLNIKAYLADAYLHPIFQSFEKEEQELVEVRVDKQQTQLGSSTLSMHIEDVEDILDVISEVGYE >OIW10265 pep chromosome:LupAngTanjil_v1.0:LG06:8262132:8264318:-1 gene:TanjilG_28016 transcript:OIW10265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKNNSTGEEVQNKQVILKDYVTGSPKESDMYIKISTLKFQVPQGSNAILVKNIYLSCDPTMQFQIRKAHKHHSDYYTPGSPIDGFGVAKVLDSGNPNFSASMLGITAYAAIYEIGSLKKGECVFISAATGAVGQLVGQFAKLIGCYVVGSAGSKEKVDLLKNKLGFDDAFNYKEEPDLDAALKRCFPDGIDLYFEQVGGKILDAVLLNMKFHGRIVVCGMISQYNLSEPEPLKNIMQIAFKRLSIKGFTHRDYHHLYPKLLDTVLPYIREKKIVYVEDIVEGLENGPAALVGLFSGRNFGKQIVKLLHQ >OIW10898 pep chromosome:LupAngTanjil_v1.0:LG06:2163618:2164931:1 gene:TanjilG_27844 transcript:OIW10898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSSYRNNKSSLSLSDVCLFLGGAVSALLLVWGFYSIPPNFDTTLTQNDAVSLSSKTGSLPVPDFRSDPPKTTFYDDPEIGYTMDNTVRDWDQKRKEWLLHHPSFAAGADERIVMVTGSQPSPCQNPIGDHFLLRFFKNKVDYCRLHGYDIFYNDALLDPKMFGYWAKYPVVRATMIAHPEAEWIWWVDSDALFTDMEFKLPLHRYKNHNLIVHGWAHLIHEKRSWTGLNAGVFLIRNCQWSLDFMDTWASMGPQTPNYEKWGQTLRSTFKDKFFPESDDQTGLAYLIAFEKDKWADKIYLEGEYYFEGYWQEIVGTFENISNKYNEIENRVPRLRKRQAEKVSESYGLMREEYLVDAGNGRGSWRRPFVTHFTGCQPCSGKHNAMYSGDACWNGMHMALNFADNQVLRKYGYMHPQLLYNNVSQIPFDYPVSSQS >OIW09034 pep chromosome:LupAngTanjil_v1.0:LG06:31007346:31020237:-1 gene:TanjilG_16261 transcript:OIW09034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAILFIYAAAVVVLTVAVVSGSPVTLTLERAFASNHGVELSHLKARDMFRHRRILQSSNGDLVLKDKIIVYDLAGQRVGWAKYDFQEELLVCQNNEGRATVSFLDPDDKNPGFGLSGDRGPKPSEVYGFVGSITTVSAIVIFLVWAYIPESWLQPTGVSYYPSRYWALVVPTYLMMTIVLAVVFYISLNFISMLPPASKYTVFDEFDADIFSDTDGLSRDRSSPDFSLDRDEKPIEPISDIGKILESNAVLDSIVPITHHENFNYPLPSHHLSRFTSKRFLDIYQFANKAAIQKERARIHDEMNRGLVTEMAELKQHGGKIAEANKVLIPAISATKFPDLEVNFSNGRTMKLPIRISDVVDVDKSSVPKASLVCLSFRANSQEMINSWSVPFVETFSKSEGVHLYQDLPYSIVTAVEFNHQVRDGLVSLIDSWLLSRYPLKRFLLWTMKKPNHDETKDTLQRQMVYSFGDHYYFRKELRILNTLTGYIFLLDNFGRVRWQGFGLATKDEVSSLISCTSLLMNE >OIW08893 pep chromosome:LupAngTanjil_v1.0:LG06:32769149:32770569:-1 gene:TanjilG_05868 transcript:OIW08893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKNRKVEFDEVPPDNIDPEHPYKDPVAYLEMREHIVREKWIQIEKAKIIREKLRWCYRIEGVNHLQKCRHLVTQYLESTRGIGWGKDGRHPSLHGPKVEAVESE >OIW10444 pep chromosome:LupAngTanjil_v1.0:LG06:5809425:5815901:-1 gene:TanjilG_00382 transcript:OIW10444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIFRSIATSKGKLERRTSYQHVACARGAELSPTSFENVGDDISKGTLIWRAIKLPIYSVALVPLTVGSAAAYLQTGMFSARCYFVLLASSILVITWLNLSRTGTFIASYLCLAFGFIGLTWIAVEAGNIRSILFLASAIICGYIYQCPPFRLSYQGLGEPLCFAAFGPFATCAFYLLQGGASVMNHFPLTGTVLSASILVGFTTSLILFCSHFHQVRLGTKRGAEVVKVAVMLLYALLIAFGLSKALPLTCIDKNKIFMAKYFCVRLHTLFGAALAFGLVVARMVSTHTDENMENDDVDMVGEDNTVVELDEMKKRLKEMEDEAAALREMQAKVEKEMGSVQGDSANAAPSQVNKEEVDSRSVFVGNVDYACTPEEVQQHFQSCGTVNRVTIRTDKFGQPKGYAYVEFLEVEAVQEAILLNESELHGRQLKVTAKRTNVPGMKQFRARRPNPYMGFRGRAPYAPPFAYAPYGYGKVPRFRMGMRYNPYY >OIW09172 pep chromosome:LupAngTanjil_v1.0:LG06:30614531:30614980:1 gene:TanjilG_11310 transcript:OIW09172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKAEKKIAEKKPAEEKKSTVAEKAPPAEKKPKAGKKLPKEGGSAAGDKKKKRTKKSVETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAQESSRLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSA >OIW09775 pep chromosome:LupAngTanjil_v1.0:LG06:15709457:15709948:1 gene:TanjilG_32213 transcript:OIW09775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKNQKVNLDDDQIAELREIFRSFDRNNDGSLTQLELSSLLRSLGLKPSPEQLEIFIQKADRNNNGLVEFSEFVALVAPELLPAKSPYTEEQLRELFRMFDRDGNGYITAAELAHSMAKLGHALTAEELTGMIKEADTDGDGRISFQEFSQAITSAAFDNSWA >OIW09332 pep chromosome:LupAngTanjil_v1.0:LG06:29569276:29573087:1 gene:TanjilG_01303 transcript:OIW09332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANASGFFAPSQPSMGIHQFQRFSTQLSCLAATQGAHKNASPSQSRLPRLVSQGCKLVGCGSAVPSLQISNDDLSKFVETSDEWISTRTGIRRRRVLSGKDSLTNLAAEAARKALEMANVDPDDLDLILMCTSTPEDLFGSAPQIQKQLGCKTNPLAYDITAACSGFVLGLISAASHIRGSGFQNVLVVGADALSRYVDWTDRGSCILFGDAAGAVLVQACNSEEDGLFGFDVHSDGSGQRHLNAAIKENNSDNTLDSSNGSVLDFPPRKSSYSCIQMNGKEVFRFAVRCVPQSIVSALEKASLPASSVDWLLLHQANQRIIEAVATRLEVPPERVISNLANYGNTSAASIPLALDEAVRSGKVKEGQIIAVSGFGAGLSWGSAIIRWG >OIW10863 pep chromosome:LupAngTanjil_v1.0:LG06:2478407:2479660:-1 gene:TanjilG_27809 transcript:OIW10863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMSVQAQYPSNVFLLSSGKSVQEGHDYSLQPQSGLNQSHMLFNNGATSSSRKRGREEAATTGVSQTVPNPLFSVQLQSQTPQLIDLTQIHNHNHNHQQNAVSTGLGLSFGDQQKQLHNQQQLSLFSEGLNSQIKQQRDEVEQFLQVQGEQLRQTLADMRERHYRSLLNAVDESVARRLREKQTDMEKATRRNAELEARAAQLIVEAQVWQAKVKAQESTAASLQAQLHHAIMMSHEKRVVEDGGVLSCAVGHAEDAESAHVDPGRVTVSGPSCSGCDLRVASVVVLPCRHLCVCTECDMHFRACPVCLTVKNSSIQVCLS >OIW10120 pep chromosome:LupAngTanjil_v1.0:LG06:9661983:9667184:1 gene:TanjilG_21957 transcript:OIW10120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGGTLVEWIRRFFNRQQRSSSSSSSATTTTNSRSDEYVSNKNNNAILVKDLRSQLASIPNHDTDLTPEEDSDHSALKHIKVPTATLFVPHASSMDSHKKGAPEAEFFTEYGEASQYEILEVVGKGSYGVVGSAVDIHTGEKVAIKKINDVFEHVSDATRILREIKLLRLLRHPDIVEIKHIMLPPSRREFRDIYVVFELMESDLHQVIKANDDLTPEHHQFFLYQLLRGLKYIHTANVFHRDLKPKNILVNADCKLKICDFGLARVSFNDAPSAIFWTDYVATRWYRAPELCGSFFSKYTPAVDIWSIGCIFAEMLIGKPLFRGKNVVHQLDLMTDLLGTPPAESIARIRNEKAKRYLSNMRKKQPVPLSQKFPKADPLALSLLERLLAFNPKDRPTAEEALADPYFNGLSNIDCEPSTQPISKLEFEFERRRLTKDDVRELIYREILEYHPQMLQEYLNGGDLTSFMYPSGVDLFKRQFAHLEEHYGKGQRSSPLQRQHASLPRERVVSSKVENNQNNCEKPSGSNLQSSPESDVTDSGNAQNGSSKTNNSTHSLLKSSSISGSKCIDVKQSKDSKTAPITEVNEAVHELTKNLASLHA >OIW08952 pep chromosome:LupAngTanjil_v1.0:LG06:32276886:32277404:-1 gene:TanjilG_05928 transcript:OIW08952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHDNSGCQAPPEGPVLCINNCGFFGSAATMNMCSKCHKDMMMKQEQAKLAASSIGNIMNGSSSSTESEPIVAANVDISFNLIEPKIVCAQPSLASGSEENREAKPKDGPKRCNSCNKRVGLTGFNCRCGSLFCAVHRYSDKHNCPFDYRTAAQDAIAKANPVVKAEKLDKI >OIW09459 pep chromosome:LupAngTanjil_v1.0:LG06:26317454:26318408:1 gene:TanjilG_22733 transcript:OIW09459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCGVARGRLAEERKSWRKNHPYGFVAKPETKPDGSVDFLSWQCIIPGKAGTCYEGGFYPVNLKFNDDYPHKPPICKFPQGFLHPNVYPSGMVCLSILSESGDWKPSITVRQILIGIQDLLDKPNPVSAANHEFNQLFVKDPAEYKRRVQEQATQYLSVD >OIW09642 pep chromosome:LupAngTanjil_v1.0:LG06:21718866:21720071:-1 gene:TanjilG_28241 transcript:OIW09642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQHQVVQSPARLGLTNPNSPSIPNPTPPKLPPSQTHHNQPHQDRHSTTPSSALLSLLPPLPRAQALLQQMASLASKLFEVSSNRSLWITAFRGSLPTFLSSQGQAHFSTQLETSPSSTKEILSLFTVFQTQIFEAVAELQEILDLQDAKQKIDHEIRSKDSALLAFANKLKDAERGLDILVDDYSDYRRTKRTKSDGSEDDSLISSTISSQLKLADILSYAHRISYTTFAPPEFGAGLAPLRGALPPAPQDEQMRASQLYHFADLDIGLPKVETKEKTIEAIIVPPPPQPVDKNPLADLSSIQGLLPPNFMVPPGWKPGMPVQLPMDIPIKPPPGWKPGDPVPLPPVDSLPVPRFEELPHPQIPQPKQPEVIQVQHVNLDLGGTDSSDYSSDDASTDDED >OIW09539 pep chromosome:LupAngTanjil_v1.0:LG06:23195833:23198277:1 gene:TanjilG_30858 transcript:OIW09539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSSTITKTTKKVSIILVFFFTTILIITTAVNAFSSASTIAVTYGTATLCAIVAGKPTQYIQCYQNGKLIPVEPKVSFQAISGGRSFFCGLRSGGFSLQCWDTNALNTVFQPKRLFHNDVVQLTDISVGDTHVCARELHSGVARCWRGSSTDEFPSPGAAIRFRRITSGFGFSCGILEENRTVWCWGDRGNGYGVEIQRKFGNLTMSSLVAGESHVCGLTLSGVLVCKGNNGSGQLNVPLSSPSEFSSGLVLGADFTCAIRKRNGLVVCWGGSNRFGFDTHVIQNVSFESIVAGLDFVCGLTTKKLSLICWGHGWSNNLNLPIDVPLGMVLPGPCVKDSCSSCGTFPNSDELCHGSGSICYSCMVEVPLAVPLFQSPSQVPKKQFPSAKEKGMKELLAFLIVGSVGAISGLCTIVYFFWIGVRRFLRRKVDNSVKPTSAESDTYADIVPMSNLDHDFSSLRSFSSKRLGSRKMRRNRSGSSSKHVDKTESFSLFALATATNNFSVSNKIGAGSFGSVYRGKLEDGRDMAVKRGDFCTKKRKFQDKEMAFDSELALLSRLHHKHLVKLIGFCEEKYERLLVYEYMSNGSIHDHLHDKSNIHKSSSILNSWKMRIKIALGAARGIEYMHNYAVPPIIHRDIKSSNILLDSNWNARVSDFGLSLIWPENEQEFVSTITNAVGTVGYIDPEYYILNVLTTKSDVYGLGVVLLELLTGKRAVFKTEDGSSPIGVVEYAVPNIGSGELWNVLDDRVGEPEVNEIESLEIMAYTAMHCVNLVGRERPEMSDIVANLERALAFVEGSPSSFSITSYSAPLE >OIW09024 pep chromosome:LupAngTanjil_v1.0:LG06:31680456:31680986:1 gene:TanjilG_06000 transcript:OIW09024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSISMSKPPKLPSEHNIQRTISDISFELTKESIDLNTTLTPITEVEDAKCECCGMCEECTPEYIKHIRDKFLGKFVCGLCSEAVKEELEKNGGNKEEAISTHMNACVRFNKFGRAFPVLFQAEAMKEMLKKSKMNGRGAKSFNPRDKVGEKKGGISRSSSCIAAITRDMNDLKTSK >OIW09802 pep chromosome:LupAngTanjil_v1.0:LG06:14738323:14746466:-1 gene:TanjilG_32240 transcript:OIW09802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINWDMSFDSAKENALVGDSLVNEWKQDIGNSDDPESSSYKSNEESDPTRADKVGHSHCRTGIPTVTQMEKLYNTKYFIIKSLNNQNFSLSVEKGIWATQVKNEPILEEAFHNSGRVILIFSVNMSGSFQGYAQMMSSIGRRGRDNIWSDGSGRSNPWGRSFKVKWLRLCDLPFHKTIHLKNPLNDYKPVKISRDCQELSPEIGLALCELLDGKTDLDDPVSSSSRDTYSLKGQSAEIPSSMGDEACDFLPQHRSWSMPLPYPSAPYHIHAEVNGFPSANHGFSGSNFTRMLPSKHSHFNGNIPKLQVERDMASQFGISGLAAESSIASTLTEDDFLDMATKPYQTQSGPSRSNSHDDDRIVYKPVRDRSRRIHQ >OIW09869 pep chromosome:LupAngTanjil_v1.0:LG06:13194505:13204548:1 gene:TanjilG_20495 transcript:OIW09869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVISRAALSPHCFRDEITTRRKFSVSNVVVNVRKTSMGFSNRVVVGGGCRRGKSVIVGASPPIEDTVVVTEPLTKKDLVDYLASGCKTKDKWRIGTEHEKFGFEFESLRPMKYEQIAELLNGIAERFDWNKVMEGDKIIGLTQGKQSISLEPGGQFELSGAPVETLHQTCAEVNSHLYQVKAVAEEMGIGFLGIGFQPKWAIKDIPIMPKGRYEIMRNYMPKVGSLGLDMMFRTCTVQVNLDFSSEADMIRKFRAGLALQPIATALFANSPFKEGKPNGFVSLRSHIWTDTDKDRSGMLPFVFDDSFGFEQYVDYALDVPMYFVYRNKKYVDCTGMTFRDFLAGKLPSIPGELPTLNDWENHLTTIFPEVRLKRYLEMRGADGGPWRRLCALPAFWVGLLYDEVSLQNVLDITADWTSEEREMLRNKVPVTGLKTPFRDGLLKHVAEDVLKLAKDGLERRGYKESGFLNEVAEVVRTGVTPAEKLLELYNGKWEQSVDRVYEELLY >OIW10562 pep chromosome:LupAngTanjil_v1.0:LG06:5206247:5208558:1 gene:TanjilG_15934 transcript:OIW10562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLVPGILLKLLDGLKTGVKPTSERRNSLLQVTDIVPADLDEKSLFPKQGFYIKVSDSSHSIYVTLPSDQDDFVLSNKMQLGQFIYVDRLEPGSPVPVIKGAKPLPGRHPFVGTPEPLMGLREKGVRSEKKCASFSVPRRGSWETSGGLGQNGNNNNNNKNNNNDGDGDGGVYFKPVNLDFDQCSTPVNKERGGCSPLIRRKIGLRDGISCTPVSGLRCSVGGGLLAKMTDAKGDSPAALFRKSCVVSSSAKKFPRSRSVSERQPRIPVIPFNLAEKKSTTPPPRLRNAKVENLAGDAQNQESIVASHSQTQSQSTNNSAFDDTNNLSLPMNLPGKLSSLGKEAVQQREVAQKIALQALRHASATETVVRSLKMFSNLCKSARADAPGACFERFLEFHNDIVQVVNDMMSIQAATSAKEFAPKPNDKHGEEESHVLHNSTNSESNLSKRRCALYKSIASIPERYDHKTSTGKLLRSSTNQKENMEKRGSSTPVAKTPLEPIGENDENKKKAAAPLSSLGTAIKLGKQIETEAGNWFMEFIEKALETGLKKTKGESDGDIKKVPQSLLLKLMNWVEVEQFDSNKRPSHPKATQIARKLRIKVKNP >OIW10236 pep chromosome:LupAngTanjil_v1.0:LG06:7977758:7981054:1 gene:TanjilG_27987 transcript:OIW10236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGENENQKSLIYAFVSRGTVILAEYTEFSGNFNSIAFQCLQKLPSTNNKFTYNCDAHTFNYLVDNGFNESVGRQVPMAFLERVKDDFVVKYGGGKAATAAPNTLNKEFGPKLKEHMQYCVNNPEEVSKLAKVKAQVSEVKGVMMENIEKVLDRGEKIELLVDKSENLHHQAQDFRNSGTQIRRKMWLQNMKMKLIVLAVVIILILIIVLSVCHGFNCGK >OIW09962 pep chromosome:LupAngTanjil_v1.0:LG06:11780928:11787905:1 gene:TanjilG_18269 transcript:OIW09962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHNNTCVYDIEMGENTVVIFDFDHTIIDDDSDRWVVSELGLTHLFNQLRSTLPSISLMDRMMKELHSQGITTNHIADCLKRAYLHPSILSAIKSSHTLGCDLKLISDANTFYIKTIMEHHGAWDCFSEVNTNPAYVDEEGCLRITPFHDSTLSPHNCHICPPNMCKGLIIDRIRGSLPENRRFIYIGDGIGDYCPTLNLKGCDFVMPRKDYPLWDQISSDPKLVNAEVHEWSNGEELENTLLNLINKLGIA >OIW09782 pep chromosome:LupAngTanjil_v1.0:LG06:15575959:15579357:-1 gene:TanjilG_32220 transcript:OIW09782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRLTNAASRILGGNGVVSRSVASSLRLRSGMGLPVGKHIVPDKPLPASDELVWDNGTPFPEPCIDRIADTVGKYEALAWLCGGLSFFASLGLLAVWNDKASKIPFAPKVYPYDNLRVELGGEPEV >OIW10481 pep chromosome:LupAngTanjil_v1.0:LG06:6031223:6031954:-1 gene:TanjilG_00419 transcript:OIW10481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNPIWYHCWVQQRQQLRNLKLKDSFKIEVKALLRYYLPINDPNSHSPIIDTISLFQTSITLPCKHIFEINHKDFLRKYLGNSSYATLLTYGSPHQIENQSCEIIAQLRELYISHASSHGFTKSSNLRSKSFGCDVNISIDVPIDTSDEELGAQEQLSMQEGVKMVPASHKDVQSLKTYKLPQQCQICLEKFYGEKEDDDDVEITAMPCGHVFHHHCIIQWLQTSHMCPLCRSPLSTDNKRKR >OIW09859 pep chromosome:LupAngTanjil_v1.0:LG06:13313512:13315800:1 gene:TanjilG_15341 transcript:OIW09859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQGTLEVFLVSANGLENTDFLCKGSDPEWNENFIFNLSEGVSELTLKIMDSDTGTAHDLVGEVSIPLEPLFIEGNLPPTVYNVVKDGQYCGEIKVGLSFRPEDQRSRGLEENFGGWKESAY >OIW09531 pep chromosome:LupAngTanjil_v1.0:LG06:23833446:23836960:-1 gene:TanjilG_13755 transcript:OIW09531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVLPFQLLPPPYNASISASPSSVEGTTINYAVSKSLHNNVSRITGKKFPRRLILQILCLNHVICYASPALAAPVIPDINEPEVIRAICDLYFFLCEAFRTFKLPSGVRIQGEGPEAHSGDLVEFNYVCRRANGYFVYSTVDQFSGESKPVVLPLDENQMIVGLKEVLTGMKLGGKRRALIPPSAGYVNENLKPIPEEFGPRRSLFSHAQEPLVFEVQLLKIWVMTLEPPSVFN >OIW10768 pep chromosome:LupAngTanjil_v1.0:LG06:3246046:3250913:-1 gene:TanjilG_27714 transcript:OIW10768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVLTIKRYVGEIVKELLKKPRDQEINNCGLSSGRKTAIHALKKSGPELHEFLAQFSAGIALAATVGMFSAATTHLAITPLDVLKVNMQVHPIKYYSISSSFTTLMKEQGPSALWRGWTGKFFGYGAQGGCRFGLYEYFKGAYSNILVDQNRNLVYLLSSASAEVFANVALCPFEAIKVKVQAQPCFAKGLFDGFPKLYASEGIQGFYRGLVPLLGRNIPFSMVMFSTFEHSVDLLYRNVVKRKKEDCSKVQQLGVTCLAGYAAGSVGSFISNPADNVVASLYNRKADSLVLAIKKIGLANLFTRSLPIRMLVVGPSITLQWFFYDTIKILGGLPTSGEVASDLRGDEAG >OIW08938 pep chromosome:LupAngTanjil_v1.0:LG06:32415375:32415857:1 gene:TanjilG_05914 transcript:OIW08938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESDELDEPISEGRSKVMMTRSYECNFCKRGFSNAQALGGHMNIHRKHKAKLKQTSTSSNQFSLENNPQKVPPLLPWNMSLKDGVTSRDETHVVQIKQLPLFDDSQKPQSEALREINDENETRVLPCSQGSSSSELDLELRLGLDHEDSSATIMGTRKFF >OIW10009 pep chromosome:LupAngTanjil_v1.0:LG06:10878814:10881525:-1 gene:TanjilG_32749 transcript:OIW10009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METDPPPKSWSIHTRPEIIAKYKVLERVGSGAYADVYRGRRLSDDLTVALKEIHDYQSAFREIDALQILQGSPNVVVLHEYFWREDEDAVLVLEFLRTDLATVISDAAKERTLSVGEIKRWMIQILCGVDTCHRNMIVHRDLKPANLLISDDGVLKLADFGQARILMEPGFDASEENLQQYEHGASNLESLLQHPEALPQKNQELGPVGHAEYFEALEKLRMKSSTEDIPDGNTSCLATCTTSDIDDDILKTNFSVEAMEGEEGKELGCLTSCVGTRWFRAPELLYGSTNYGLEIDLWSLGCIFAELLTLQPLFPGTADIDQLSRIINVLGNLDDKIWPGCSTLPDYGIISFSKVENPRGLENCLPNCSPDEVSLVKKLVCYDPARRATAMELLRDKYFDEEPLPVPVSDLRVPLTRTGEDKGSPGGWNEYNGMDSDSDLDDFGSVNITRTGTGFSMQFP >OIW09347 pep chromosome:LupAngTanjil_v1.0:LG06:29665318:29669721:1 gene:TanjilG_01318 transcript:OIW09347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPITLRPPPSPTAARGTADIFESGVHTLLRRAVLIGNGFPSSENHSLGLVRALGLSDNHLFYVMHLPSQNGVSGLSTILEADVKHIVNLARESYDKEGPLLVVASGRDTISIASSIKRLASDKVFVVQIQHPRLHLNRFDMVITPRHDYYPLTAEAQKQVPKLLRRWITPREPPDSHVILTMGALHQIDFASLRSAAITWHDVFADVPRPLLVVNIGGPTRNCRYGEDLAKQLAASLLSVLVSCGSVRISFSEKTPQKVSNIIVKELENNPKVHIWDGQEPNPRMGHLAWADAFVVTADSVSMISEACSTGKPVYVIGAERCKWKFTEFHKTLRERGVVRPFTGSEDISESWSYPPLNDTADAAKRVHETLAARGWKLKT >OIW10421 pep chromosome:LupAngTanjil_v1.0:LG06:6275943:6276527:-1 gene:TanjilG_24981 transcript:OIW10421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHMDFDTIMSRIRNRKIEFSIELFRDLQIFKEFTQGFSSSVTNANNVSVTLPVNDHPLKHVRPGNSKIVEKAADGGSTSAFEVSHEAKKPLKGNSPLSEESLPIKKCIGRSKKVGRETTGGQRPLTPMKRKRRVRTKSDNAEDLVPTKLQPIGLKGYLCTKISHF >OIW10183 pep chromosome:LupAngTanjil_v1.0:LG06:7392771:7399314:-1 gene:TanjilG_27934 transcript:OIW10183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSIWSNDDKPDAWHYSIAIYFAFACFGARFFLDRFVFRRLAIRMLTRGRTATRITKEMQVKIGKCSESMWKLTYYATAEFFILKTIYHEPWFTDTTLYFKDWPNHELKSPLKIYYMCECGFYIYSIAAILTWETRRKDFGVMFSHHVITVFLIGISYLTRIGSIILALHDASDVFLEAAKVFKYSGREFGASVCFGFFAVSWLLLRLIFFPFWVIRATSIDLQKCLNLSETFPMFLYYTFNTLLIMLLIFHIYWWMLICAMINRQLKNRGTVGEDIRSDSDDD >OIW10075 pep chromosome:LupAngTanjil_v1.0:LG06:10179596:10181132:-1 gene:TanjilG_32815 transcript:OIW10075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYQQVSGQPKQEPENQSAATGFSTSYSSSAPYSADNYSYQQPPLSLPKPPVEWSTGLCGCFSDFKNCCITCWCPCITFGQVAEIVDKGSTSCGASGALYTLICCVNCCGCFYSCFYRSKMRQQHNLMGNNCLDCLTHCFCEICALCQEYRELENRGFDMVIGWHGNVEQRSRGVAMSVTAPTVEEGMSR >OIW09392 pep chromosome:LupAngTanjil_v1.0:LG06:28391381:28391722:1 gene:TanjilG_20989 transcript:OIW09392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNHSTSRQMQLHSTTTRNESGTTNPQGRYEGGNGITLVGGMLIALAGTLTLMAVTTPIFILSSPVIVSTTIVIGLYMTEFLTYRACGVTALTLFSWVTAERNFFLDCIPFKP >OIW10883 pep chromosome:LupAngTanjil_v1.0:LG06:2300042:2300671:-1 gene:TanjilG_27829 transcript:OIW10883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWNPREHPEDDDSWEVRAFAEDTKNIMGTTWPPRSYTCTFCRREFRSAQALGGHMNVHRRDRARLHQAPSSTGSINPSSSHIAPAFINIPHQDLDSNAGLRFLYHFPSPNATFTTAPNHSSNRSASGKSPSTLLSISSYSQNNLKLQTCSSTLNFPAAQATASGINNNVSSYCYNSTSKVEEPPTSSNDNGQHEELDLELRLGNKPKPT >OIW10681 pep chromosome:LupAngTanjil_v1.0:LG06:4039763:4042471:1 gene:TanjilG_16053 transcript:OIW10681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFQDIEAGRPFASRRSLINGKQDPTQAVASGIFQINTAVSTFQRLVNTLGTPKDTPELREKLHKTRLHIGQLVKDTSAKLKEASEIDHHSNVNANKKIADAKLAKDFQAVLKEFQKAQRLSAERETAYTPFVPQSTLSSSEVDVTSDKPPEQRALLMESKRQEVLFLDNEIAFNEAIIDEREQGIQEIQQQIGEVNEIFKDLAVLVHEQGTMIDDIGSNIENSHVATAQAKSQLAKASKTQRSNSSLACLLLVIFGIVLLIVIIVLAA >OIW10422 pep chromosome:LupAngTanjil_v1.0:LG06:6283923:6284351:-1 gene:TanjilG_24982 transcript:OIW10422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVENNNYNNGVWSPTGSPLNIKEQQHHWNNFDSSVNAVSFGFVATAILIFMFLVMGIFERLFGHSSQPALPSSGRRNISDVESQMGFNGKISHPSPKIVVLHLSLKWFHIVTM >OIW08929 pep chromosome:LupAngTanjil_v1.0:LG06:32477564:32479627:-1 gene:TanjilG_05905 transcript:OIW08929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFFYLLSFLFITYAKSQSQSQSLIYDQEQEVLLKIKQYFQNPSFLSHWNSSNYSHCSWPEIKCTNDSVTTLALINTNITQTIPPFICDLKNLTYIDFQFNYFPGEFPRSLYNCSKLEHLDLSQNYFVGKIPDDIDTLANLSFLSLGANDFSGDIPASIGKLKKLTNLQLYQCSFNGTIPDEIGNLSNLETLYLFSTNMLPPTKLPSSFTKLNKLKVFDMHDSNLVGEIPETIGEMVALENLDLSGNGLSGHIPNGLLKLKNLSIIYLYKNKLSGDIPEVVESFNLIELDLSQNNLSGKIPEDIGKLKKLTTINLQINQLSGDVPESIGFLPNLIDFLVFLNNLSGNLPPNFGQFSKLETFQIASNSFTGRLPQNLCYNGKFVGLTTYDNNLSGEFPETLGNCSSLQFLRIENNNFSGNIPSGLWTLENVSTIKVNQNNFTGELPERISLSISDLGISDNHFSGRIPTEVSSWKNLVVFNASNNFLNGSIPHDLTSLAKLTTLLLDQNQLTGPLPSDIISWKSLTSLNVSHNQVSGEIPGALGKLPALNILDLSENNISGQIPPELGLLRPTNLNLSSNHLTGKVPKAFENGAFSRSFLNNSGLCADTKVLNLPLCSSGPQRVNNDSPVSQSTKGQPLITQVYNQKYSKNASPRQPVIHEQMQEARDATTQTAPGSRIYVTRTGSDS >OIW09403 pep chromosome:LupAngTanjil_v1.0:LG06:28545077:28548714:-1 gene:TanjilG_21000 transcript:OIW09403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIPSDMRDLWVSRRKEGFLIASPSEEAKILRSKKCTNEGVRAGFKAASIACVASAVPTLTAVRVIPWAKANLNYTAQALIISAASIAAYFITADKTILECARKNSHLEDSLRHNN >OIW09294 pep chromosome:LupAngTanjil_v1.0:LG06:29250191:29251479:1 gene:TanjilG_01265 transcript:OIW09294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQKVSTLEVELQHVKEENNALRLMLEDQRRKYKNLQSYLLEIKNNVHNLDTNKRTRLKEFPTAKKPLQVYVRTHPNDDSLIIKDGYEWRKYGQKVTKDNASPRAYFRCSMAPNCSAKKKVQRSVYDRSIIVATYDGEHNHDDESFLPSSSTPKDSFLPLTIIQNYKEALNIDHALSTNKKPCKDIMDYGNNNKNNMKIEEYVSSLMNDPEFTVTIAEAVAHSIIAQPKQQCLNLNLGLPQ >OIW08896 pep chromosome:LupAngTanjil_v1.0:LG06:32751310:32758336:-1 gene:TanjilG_05871 transcript:OIW08896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMVDTPLYPIAVLIDELKNEDIQLRLNSIRRLSTIARALGEERTRKELIPFLVENNDDDDEVLLAMAEELGVFVPYIGGIEHANVLLQPLETLCTVEETVVRDKSVESLCRIGAQMREQDLVEHFIPLVKRLAAGEWFTARVSACGLFHIAYPIASEVTKTELRTIYGQLCQDDMPMVRRSAATNLGKFAATVEAAHLKTDIMSVFEELTQDDQDSVRLLAVEGCAALGKLLEPQDCVAHILPVIVNFSQDKSWRVRYMVANQLYELCEAVGPDPTRSELVPAYVRLLRDNEAEVRIAAAGKVTKFSHILNPELAIQHILPCVKELSTDSSQHVRSALASVIMGMAPVLGKDVTIEQLLPIFLSLLKDEFPDVRLNIISKLDQVNQVIGIDLLSQSLLPAIVELAEDRHWRVRLAIIEYVPLLASQLGVGFFDDKLGALCMQWLKDKVYSIRDAAANNVKRLAEEFGPEWAMQHIIPQVLDMINDPHYLYRMTILHAISLLAPVLGSEITSSKLLPLVINASKDRVPNIKFNVAKVLQSLIPIVDASAVENTIRPCLVELGEDADVDVRFYASQALQSCDQLKISS >OIW09887 pep chromosome:LupAngTanjil_v1.0:LG06:11958337:11961811:-1 gene:TanjilG_32036 transcript:OIW09887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSKLLNPSTLHSSPLSSTLSLSIVKLHHLLQGAHATLNSELLLKFKDKLQSNNNGLSSWNESTTPCNSDHGGNNWAGVICNGGKIWGLQLENMGLNGVFDVESLKDLPFLRTLSFMNNDLNGTMPEINKVVGLKAIYLSNNKFSGEIPDQTFEGMKWLKKIHLSNNQFSGAIPNSLTKLSRLMELKLDGNKFSGYIPPFQQNTLKLFTVANNQLQGDIPATLSKIPESAFSGNEGLCGTPLGACITVVHYPKKPSTISTIVVAVVVGLAVICVIGAILFILLRKRKSSNSSENEPSGLNKKGVKDAGDESHRSSRSNQSRRGENTMKLCFIKDDAERFDLHELLRASAEILGSGCFSSSYKASLVSGGKIVVKRFKQMNNVGKEEFHEHMRRIGRLNHPNLLPLVAYYYRKEEKLLVSNYVQNGSLAVRLHGHQALGEPSLDWPTRLKIVKGIATGLEYLYKDMPSLIAPHGNLKSCNVLLTQSFEPLLCDYGLVPVINQDLAQDIMVIYKSPEYLQHGRITKKTDIWCLGILILEILSGKFPSNFLQQGKGSELSLANWVLSVDPEDWINEVFDKDMGGTRNSDYEMVKLLKVALECCEGDVDKRLDLKEALEKIQDVKEKDHDDDFYSSYASEADMRSSRGLSGEIIF >OIW08995 pep chromosome:LupAngTanjil_v1.0:LG06:31902062:31904945:1 gene:TanjilG_05971 transcript:OIW08995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASGRWFKSLLSHRKTSMTTDQEKGGGDISKNSKKWKLWRTSSEGSSSMPMKKGGVNGVYDKSYESSSYNAAMAAVVRAPPKDFMIIKQEWAAIRIQAVFRSFLARRALRALRAVVRLQAIFRGRLVRKQAAVTLRCMQALVRVQAHVRASNVRKSPEEKAAQKLLDEHHNQSDYVTETEQRWCEIPGTVDDVKAKLRMRQEGAIKRDRAMAYSLSKQQSRMCASPNSRSSKAVTPLKHHSLDNKSLGCTLLERWMATKPWESPISRRSEDLVPTFQSRMNGMTKRISVKSLNQSTPSSSAISSECVYDDSPVSTSCTSGSPPSLRSTNMVEATEQKHAHKLSYMNLTESTKAKLKGCRSSSQNSKRLAMEDCMSNSSKTTTFPNVDARSSSGSDPSVNLWKDLSATPLRASYQKRYAGR >OIW09412 pep chromosome:LupAngTanjil_v1.0:LG06:27867372:27869705:1 gene:TanjilG_14563 transcript:OIW09412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDPATPHSPTTTVEQCQHMIQKSLQTPMVRFLREQLEKAGCGVADNFIKAVNCEQRLAGGYMRGEGIVVCSNNIEMQDEVDQVLIHELIHAFDDCRAANLEWTNCAHHACSEIRAGHLSGDCHYKRELLRGFLKIRGHEQDCIRRRVLKSLSANPHCSGATVAKDSMEAVWDVCYNDTEPFRRVP >OIW09397 pep chromosome:LupAngTanjil_v1.0:LG06:28466942:28473738:1 gene:TanjilG_20994 transcript:OIW09397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLSDIGVAAAINILSAFLFFVAFAILRLQPLNDRVYFPKWYLKGLRTDPVHGGVFMSKFVNLDWRSYLGFLNWMPEAIRMPEPELIDHAGLDSVVYLRIYLLGLKIFFPIACLAWFVLVPVNWTSTGLEGAKITNITSSDIDKLSVSNVHSRSERFWGHIIMAYTFTFWTCYVLLREYEKVASMRLQFLAEEKRRPDQYTVLVRNIPPDADESVGELVEHFFMVNHPDHYLTHQVVYDANKLAKLVKKKKKLQNWLVYYQNKLERTSKRPEMKTGFLGLWGKKVDGIDYHTTEIDKLSKEIVLERENVTNDPKSIMPAAFVSFKTRWAAAVCAQTQQTRNPTRWLTQWAPEPRDVYWQNLPIPYVSLTIRRLIIAVAFFFLTFFFMIPIAFVQTLASLDGIQKHAPWLTPLVKVPFVKSFVQGFLPGIVLKLFLIFLPTILMTMSKFEGFESISSLERRSASRYYIFCFVNIFLGNILTGTAFQQLDSFIHQPANQYPITIGTAIPLKASFFITYIMVDGWASIAAEVLMLKPLIFYHLKNFFLVKTEKDREEAMNPGSIGFNSGEPRIQLYFLLGLVYAAVTPSVLPFIIIFFGLAYIVFRHQIINVYNQEYESAAAFWPDIHFRIVFALIVSQIVLMGLLTTKKAASSTPFLIVLPILTIWFHRYCKGRFESAFVKYPLEEAMMKDTLERATEPNLNLKGYLKYAYVHPVFKDIIDYHDDDEEILSQKWETESATVPTKRQSRRSTPLPSRISGASSPKSLPDIIPNHPEP >OIW10414 pep chromosome:LupAngTanjil_v1.0:LG06:6557900:6562026:1 gene:TanjilG_05562 transcript:OIW10414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSSGFIAMHAALTSGQINICLIPEDLLQKNNATNASGNNILGDIGVYIQQEFTFRFDTTLDNAVPTVIMKRRVKGLAALKVRESRFVFEAKSIQRMELLVLSTLKWMNPVTSNSFFEHFVIRFGRIGSFIGDLKEFFSLLLQEQVNESYKLILKLLLCHEGIHNLGQKRKCLSGPTNSGGGVMDASFSYDSSNDSWTCGIISLS >OIW10436 pep chromosome:LupAngTanjil_v1.0:LG06:6448724:6450640:1 gene:TanjilG_24996 transcript:OIW10436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSFDAFSVDDLNTVDDDTYSGYASYSAFSGVDHTSTAASPEIFGFTDPDPDPSYSQSPFDPVHVSENGNGNSYGDGDDVFISDGPVLPPPGDMEPEEGYALREWRRQNAIQLEEKEKREKELRVKIFEEAEEYKLAFYEKRNVNVETNRIQNREREKLYLANQEKFHKEADKNYWKAISDIIPREVPNIEKKRGKKDQEKQPSIKVIQGPKPGKPTDLSRLRHILLKLKHTPPAHMIPPPPAPAKEDSKDGKDGKETAPKANVPAPDGAPESQPKDAANNSIGEVAQKDAPSIEV >OIW09396 pep chromosome:LupAngTanjil_v1.0:LG06:28434808:28463114:-1 gene:TanjilG_20993 transcript:OIW09396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDDEQKRRRLKLEEALEIQSLRRIITAYLNYPDASEEDVRRYERSYRKLPPVHKDLLSHYPLKFQRLRRCISMNTHFIFSMLQAFEPPLDMSQDLDLSGDSHAECAQKDHLASQGINACSCESAPVRIPCCQQDGVEGSNDTCRSPVLLHANKEVDMESNHQSNAGSHPLSSIPTKQSEEYSGSAMADSNDNVSPSGQHWLGPSFQFNVPLVDVDKVRCIIRNIVRDWAAEGKNERDQCYMPILEELNTLFPNRSKESPPACLVPGAGLGRLALEISRLGFVSQGNEFSYYMMICSSFILNHSETAGEWTIYPWIHSNCNSLSDSDQLRPVSIPDIHPASAGITEGFSMCGGDFVEVYNDPSQVGTWDAVVTCFFIDTAHNIVEYIEIISKILKDGGVWINFGPLLYHFADMYGQDEMSIELSLEDVKKVALHYGFEFEKERTIETTYTTNPRSMMQNRYFAAFWTMRKKSAAVQVPLE >OIW10779 pep chromosome:LupAngTanjil_v1.0:LG06:3166091:3168618:1 gene:TanjilG_27725 transcript:OIW10779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFGKIAEAISNNNNIRISSSVQDLPSYRKLDLEHTYDLTNASVAVPTHLKQPLYASAPKGSILSSRKNWVRLIKLALCLILSVSLIYAIFTLVSSYWNQGSGRYYVVLDCGSTGSRVYVYHAFIEHKKHSTLPIAIKSLNDGVIKKRGSMRGRAYNRMETEPGLDKLVHNVAGLKGALKPLVRWAEKQIPKHAHRSTALFLYATAGVRRLPGNDSKWLLDNAWSVLKESPFVCRKDWVKIISGTEEAYFGWISLNYDNGILGVRPRKATYGALDLGGSSLQVTFESDQQLNSETSLYIRIGSVNHHLTAYSLSGYGLNEAFDKSVVHLFKKEFGPANISNKKVVLKHPCLQSGYKNQYMCSHCSSNNKEGRSHVINGKQLGNKGNSGTPVVLVGAPNWQECSALAKVAVNLSESSNFSQGLDCQVHPCALRDNFPRPYGHFYMISGFYVVYRFFNLTSEATLDDVLEKGRGFCEKRWDVAKKSVPPQPFIEQYCFRAPYIASLLREGLHITDNQITVGSGSITWTLGVALLEAGKAYSTTFGLHSFELFQTKINPLILISILLLSLILVLCALSCVGNWMPRFFRRQYLPMFRHKSVSSTSGLNIPSPFRFQRWSPMNSGDGRTKTPHSPTIAGSQGNPFALGHGLGNNGSAIQLTESSLYPSASSASHSYSSNNLGQMQFDNSTLGSFWSPHRSQMRLQSRRSQSREDLNSSVAEAHLVKV >OIW10686 pep chromosome:LupAngTanjil_v1.0:LG06:3966172:3967484:-1 gene:TanjilG_16058 transcript:OIW10686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKEGDLWDDSALINAFDHAISTYKKMHSIKNKDCLADPERVIDSSTGQDDSNVEFPNIHTARDVDEKNNIPATDPTDSGENSCVSKLEENHVAESQQLDQPYLDSTSGQDIHNAHNGYVYAQGSDDYNQLVAQYYELEEKRLKVLEQLNQYGGSNYQYQYAADASGSGVPYSNSQDYSMSTYQVSDPNVVCTCCPCYSESLPATCTSVPSCSLGGSGVGRPHDHHFMEMDHKMLFPSDDDKIRKMAMGAAEKALSNIKATVSGDSNVNEGKLGYLRADRFMSILT >OIW10664 pep chromosome:LupAngTanjil_v1.0:LG06:4178923:4180442:-1 gene:TanjilG_16036 transcript:OIW10664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGGPDDDDNRWPPWLKPLLKETFFVQCKQHADSHKSECNMYCLDCMNGALCSLCLTHHKDHRAIQIRRSSYHDVIRVNEIQKVLDITGVQTYIINSARVVFLNERPQPRPGKGVTNTCEVCERSLLDSYRFCSLGCKIVGTSKNFQKKKHTSAMASDSEDSYCSSSSHGRHMNSKFQSFTPSTPPPTSVNYRTAKRRKGIPHRAPMGGLIIEY >OIW09353 pep chromosome:LupAngTanjil_v1.0:LG06:29698322:29699620:1 gene:TanjilG_01324 transcript:OIW09353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQAGNQPSNKKNCSKCMVGVNNRLKEAISGISTSKKLLKVLNQMCLQEQNSSITPLIFTMSNELDWVRTQIEQLIQEQTSNHNDIESLLKQFTEEKIAWKRREREKIRYAITCIAEELEVEKKLRRQSERLNKNIAKEMENVKASYLKASKELEREKRAKEILQQVCDEIVKGIGEDSKQVEELKKESAKVREEVEMEREMLQFADVLREERVRMKLSEAKYQFEEKNAVLEKVKSELGSFLRTKEEENGGDVDQVFRKLKDLESCLNKTCYGFQNVEKENDLDLEDDDSGESDLQSIGIELNILDNDNKSYKWSYACENVAQDEPKRVSIDKDIGRKSFSERIQWGSICFNKKRDFGLNIKESSDQRAIEFHLGAQVQDIKEEGENYRPMMSLLDCISCSNPPQRSGQSLTLQYIDGEAEENSLPTQRKC >OIW10509 pep chromosome:LupAngTanjil_v1.0:LG06:5683329:5684106:1 gene:TanjilG_15881 transcript:OIW10509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFFVKTKTIKLHSHLDKYLIADDDRETVRQSRNGSSIRAKWTVEIAEDVVNNNNHRVRLKSCHGKYLTATETPFLLGMTGNRVLQTNFEPGLEWKFDWEPVRDGFQVRLRSWCGKYLRANGGTPPWRNSVTHDDPSSSATQDWVLWEVRSVELKEEAVESVVSPFAYFDSEAVSPMSVFSTESLPSLPRNFKGLTNICQVNHIANDLSCSILDH >OIW09735 pep chromosome:LupAngTanjil_v1.0:LG06:17290185:17294257:1 gene:TanjilG_23875 transcript:OIW09735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFKRIIRQRLLSLLNPWLLEEPQLDLQLGFLNSLAVLTNLRFDVSVLNNLFDSPSRLFLTDLTVQRLTVKFSTWYVPAFTVEVHGVRAVLSLRNVEEEGCRTRRLRAQKYDYSDYLRKCLSVLDPEGCSVHHILEKILFAVPERKDFTASFMNLILKNFHLEVDYINVEVQFPILNKKFKYFGEIKEFSARSKYLDKKCLLRGFLSTIFIPMKESSFILDGIGFRIGLSGENHTNHVLLSSDVHSIIELRDLKVADCSLCFPELVCSFSPDDISVCLVFDKLLSDNYNQNRSGRELWRIAASRIGHVIVTPRMSLQRLVGVIGYWIHYVNAYESIFLLTGYSTGNILKRSISEMSKNKLILNSARYQWDLISDIEKKLPAEGIALARRISRHRAALKVQSDCDEECVSQCNFFCISLYILTHMWKVISKIMCYILSIFFGKKVVQDPDTDGYLGSHTKDPCQKYCLVLNFGKIRMTVTKINEIQQSVYEKLQSHTGIAYSDFLSICFCIDALLLVSVKYIFEQRVTVSCGQMKVEPAPWTVSSEESTTNMLSAGKGIGKESINDLESILWVEPAKIFLHSETNGSKAEDSCHYNIESFMTKLSLSWKGTCSNFNENDIKYSENPCFLCKVEISSTYPDDKNLDFGFCECSLMLGKLNLVLTHSSVSSMSLLLSQMQHAIFWEDRRETSMDSNFVDKTEVAWADKYEFYSKRMITALLQKLPEKHIHFGVFVDGPCVRFSHRSKADLGGQGIIDIASQDSFDLTLDFHEIELALGPPSLLGMAPLTCQLRLGDAKAECTKLEPHVIEIPKPNNDKYASFGKISVGSYLHVNGINACLEKSTEKHQIQLFVLKPVTVHILSFR >OIW11126 pep chromosome:LupAngTanjil_v1.0:LG06:441870:442409:1 gene:TanjilG_22933 transcript:OIW11126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSWSSSTCSSLHQVTNTKKKLNGVRRRKWGKWVSEIRVPGTRQRLWLGTYATAEAAAVAHDVAVYCLRKPSSSSLLLEKLNFPQTLSSYGFQNRNDDISPKSIQKVASDVGMDVDARNIAGKTSTVVVEQSKYEKKNDDDDDDCDLLWWENLGDDSQGSEEDGCREGKALNISVEDYL >OIW09957 pep chromosome:LupAngTanjil_v1.0:LG06:11733737:11736433:-1 gene:TanjilG_18264 transcript:OIW09957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDTKSRKEEVVTREYTINLHKRLHGCTFKKKAPKAIKEIRKFAQKAMGTNDVRVDVKLNKYVWSQGIRSVPRRIRVRIARKRNDDEDAKEELYSLVTVVEIPKDELKGLGTKVIDDED >OIW09178 pep chromosome:LupAngTanjil_v1.0:LG06:30654439:30659557:1 gene:TanjilG_11316 transcript:OIW09178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLNPYHAPSLSQFTFQASSTSQLPLQTMEEDDEQCSQMVHVALGKSLVKASNLLQWAFTHFKNTKICILHVYQPSSTIPTPLGKLPASKANPEMVSAFRREEREQTRNLLDKYLSICRAAKVKASIIMTEAGQVQKGIVDLVMRHHIRKLVIGAVPENCMKVKRNYGKANYTANNAPPFCEIWVIYKRKHIWTRDASEIPSSLSSCAQPDIENEIIETEAISSSKSSSCKSCSYQNSDGGSLDIDSEVTKERINSQLTETQREADAATNEASTGLLKCKRLEIEAMEAIKKVNLFESAHARELKLRKEVEDTLRATVKEQQKLMYESEQIAIELQRTMRNIALLDSRAQEANRRRDEAADELSLIQASISILWHERQQIRRRKMEALQWLERWKSRGQVGEAYCNGVIGIPEELPELAEFSLSDLQNATCNFSESFKICQGGYGCIYKGEMLGRTVAIKKFHPCNVQGPAEFHQEVQVLGSLQHPHLLTLLGVCPEAWSIVYEYLPNGTLQDYLFRKGNNSPLTWNTRARIIAEIASALCFLHSSKPETIIHGDLKPEAILLDSSLSCKICDFGFCRLVTEESLGRPSFHLSTEPKGALTYTDPEFQRTGILTPKSDIFSFGLIILQLLTGRSPVGLAFIARNSVSCGKLSSILDFSAGEWPSDVATQLVELGLQCCQKNSRDRPDLTPTLVRELDHLHRSEERPVPSFFLCPILQEIMHDPQVAADGFTYEGDAIREWFISALFTLVP >OIW10170 pep chromosome:LupAngTanjil_v1.0:LG06:7291136:7294169:1 gene:TanjilG_27921 transcript:OIW10170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRTRCFLDISIGEELEGRIVVELFDDVVPKTAHNFRLLCTGEKGIGPNTGVPLHFKGSCFHRVIKGFMIQGGDISAGDGTGGESIYGLKFEDENFESKHERKGMLSMANSGPDTNGSQFFITTTRTSHLDGKHVVFGKVIKGMGVVRSIEHVTIGDDDRPTLDVKIVDCGEIPEGEDGGISNFFKDGDTYPDWPADLDESPNELDWWMNTVDSIKAFGNESFKKQDYKMALRKYRKALRYLDICWEKEGIDEEKSSALRKTKSQIFTNSSACKLKLGDIKGALLDTEFAMREGENNAKALFRQGQAYMVLHDIDSAEESFKNALALEPNDATIKRELAGVRRKIADRRDLEKKAYSKMFQKII >OIW10357 pep chromosome:LupAngTanjil_v1.0:LG06:9110463:9111176:1 gene:TanjilG_28108 transcript:OIW10357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMEEAILLDTEVQPTTSFSHTIMASTTSSSTQGATNIVKKIQHEKLGSDIVNKKRKNKVVNSNSKHPTYRGVRMRQWGKWVSEIREPRKKSRIWLGTFPTADMAARAHDVAALAIKGSSAYLNFPELVGELPRPASKSPKDIQAAAGKAAMINYHHDRSNEVELENETHLSQIKSSSYQMNSSSNGEDDMFLDLPDLSLNLNRCHVDEFHYSSAWLVTGAEHLDSGFQEPFIWEPY >OIW09727 pep chromosome:LupAngTanjil_v1.0:LG06:17961619:17962743:-1 gene:TanjilG_09400 transcript:OIW09727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLNLNTWARPRFMLKQATRSRSMNFHLFHSLTKLHISSPTTTKQKKSQLSSFYSSFSVSAVLTKDDTLKGEEEKEKSAFNFQSYMVEKACSVNKALDDAVLLREPQKIHEAMRYSLLAGGKRVRPVLCVAACELVGGREAMAMPAACSIEMIHTMSLIHDDLPCMDNDDLRRGKPTNHKVFGEDVALLAGDALLAFAFEHIAVSTADVSPGRIVRAIGELAKSIGAEGLVAGQVVDINSEGLSDVELEILEFIHIHKTAALLEGSVVLGAILGGGTDEEVEKLRMFARYIGLMFQVVDDILDVTKSSEELGKTAGKDLVADKVTYPKLLGIEKSREFAQKLNRDAQEQLSGFDQDKAAPLIALANYIAYRQN >OIW09100 pep chromosome:LupAngTanjil_v1.0:LG06:31559237:31559392:-1 gene:TanjilG_16327 transcript:OIW09100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGVVKHSSNGMGMMMMVVIKERNQNGVVVKYSDDGIVVWKMSDRRSEVEK >OIW11019 pep chromosome:LupAngTanjil_v1.0:LG06:1363414:1364930:1 gene:TanjilG_22826 transcript:OIW11019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELDFDPEKLGMMEGGFSQTMQIDPKRGRFPCSIVWSPLPVISWFIPFIGHIGICREDGVILDFAGPNFVCVDNFAFGSATRYLPVSKEKQCYIPLSQTAYNGEEHDIRGENGGDLRTWDDALRKSTQEFQHLAYNLLTCNCHSFVANNLNRLGFLSGGWNVVNLALFILLNGRWVSTPSMLRSILPFVVVFFLGVTFGGFTFLKFWFIFTSILIGWFLIGTYCFNNLIQL >OIW09106 pep chromosome:LupAngTanjil_v1.0:LG06:31600991:31607912:-1 gene:TanjilG_16333 transcript:OIW09106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDMDTPTQSLVSKGESPTKKNSKRPKYSKFSQQELPAWKPILTPGWVICTFTVIGIIFIPVGLASLYSSESVEEHVIRYDQNCLPESHAKNALEFIKTDSTNKTCTQTWTVEHKMQAPIYIYYQLDNYYQNHRRYVKNRNDKQLRSKSAEGVTTNCDPEAETKGHQPIVPCGLIAWSLFNDTYRFSMKDKDLAVNKKDISWKSDRSSKFGSDVYPKNFQGGFMVGGAKLNESKPLNEQEDLIVWMRTAALPTFRKLYGKIETNLEVNDMIEIVIENNYNTYEFGGTKTLVLSTTTWIGGKNPFLGRAYLFIGGLSLILAVGFILLYVIRPRSTTQNNNNTNNFNFLRSHSTKETAPFSNLLVSPQHLSCPTLSRSLQNSPLHPIFSSSPTLSTSKLSDSDPQTTYHCASSVLRNDGQIISLALSSNGLVYTGSDSNVVRVWKLPEFSECGQLRTKACRVVALEVCNDMVYAAYGDGKIRVWRRTWDKMKHKGLITSMAINTAEDILYTASLDKTVKVWRITDLKCIETIKAHHEPINAIIVADDGVLYTASDDATVRVWRRNFCSHDQPHSLTVTLHAKHSPVKTLTLNPDGGILYGGCTDGYIHYWLKGWFAGQLQYGGSIQGHTHAVLCLASVAKYVVSGSADSTSRVWVREQDGQHTCVAVLVGHRGPIRCVTTFIGGRFVEENEDSCTVCSGSLDGVLKVWRVTHSNNVNNHCLSQAEPKYKGLQ >OIW11086 pep chromosome:LupAngTanjil_v1.0:LG06:1834547:1839966:1 gene:TanjilG_22893 transcript:OIW11086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGDGAVQATDSAANGEGVGLEADHTIHLVRGFAPSNTTGGTNTGSANTIVNNTSGASANEGDGLGGPGVGASLFPGLGINGLGGSGLFGEGFPDLEQMQQPFLSNPNTVGEIMNTPAMQNLINNPEIVRNLIMNNPQMQELVDRNPELAHILNDPNTLRQTLEATRNPEIMREMMRNTDRAMSNIESSPEGFNMLRRMYENVQEPFLNATTMGGNTGSGTTSILGTQGGQVRNQSTNPSTTSSEATSPVPNTNPLPNPWSSTGTGVAQNNTIRPTTGGDTRQQTPTGLGGLGLPNLEGMFGGMPDAALMTQLMQNPAISQMMQSMLSNPQTMNQILGANAGQRGMPDMNSLREVMQNPEFLRLFSSPETLQQLMSFQQTFLSQLGQQQSTREPGQTGAGTGPLNNMGLEMLSSMFGGLGTGNLAVPNRSNEPPEQLYATQLSQLQEMGFFDTQENIRALIATSGNVHAAVERLLGNSGGGQ >OIW10241 pep chromosome:LupAngTanjil_v1.0:LG06:8043873:8052560:1 gene:TanjilG_27992 transcript:OIW10241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLRTEIIILISHLLALFLCFTFSYSSSVPSNQFLGISPQDEKYYKSSDIIKCKDGSRKFTIQQLNDNFCDCLDGTDEPGTSACPGGKFYCRNAGHVPVYLFSSRVNDGICDCCDGTDEYDGKVNCPNTCWESGKVAREKLKKKIATYQEGVKLRKQEIEQAKLAQEKDQSELSKLKKEESTLKGLVKQLKEHKEQIEKAEEKERLQKEEEEKQKKEAEEKANGGNFKSGDEDTGHRNEADKHLDVEENDVTSNHDESGTLHDSSADQVEAGDKLADAHDNYDGASDSPGSEGSLLNEEIGTEAEGEPDVKSDTDIKVEKKESPDETINKGDDVSENTEGLSKEELGRLVASRWTGENTDKQSAEADAPSDKEDQEDIPNETNNEQHDGYASETDDDSSKDEDDDFREDEHDDPSSSYKSETDAEPDLSDDTTDNPSWLEKIQKSVRNIFHAVNLFQTPVNQTDAARIRKEYDESSTKLSKIQSRISSLTQKLKQDFGPAKEFYSFYDRCFESKQNKYTYKVCPYKQASQDEGHSSTRLGSWDKFEDSYKVMVFANGDNCWNGPDRSLKVKLRCGLKNEVADVDEPSRCEYVAILSTPALCQEEKLKELQHKLELLNSEQSEKHDEL >OIW09933 pep chromosome:LupAngTanjil_v1.0:LG06:12597796:12598734:-1 gene:TanjilG_32082 transcript:OIW09933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDPYPYPRVIRIRVTDVDATDSSGDDEEEALVSFTRRRVKNFVNEITITETCSIENKGSKVRKRSRAKSRISGEAPVKCRRKVETFPGEKKFRGVRQRPWGKWAAEIRDPLRRRRLWLGTYDTAEEAAMVYDNAAIQLRGADALTNFITLPGNKNSDYNSGEESPNNNNNKDSFSPTSVLQCSSSSEEVNSVVSVTTRNDVVAEQACETQSRDENEYSCMSGNLLSEEVSGDTLFKSESIFPSNEMFDFDNMFPEPSEMFDSNMFNDDDFSCGFLTSSDNLDYGFTSCWNRDYDNFQDIGDLFVTDTLLAR >OIW09692 pep chromosome:LupAngTanjil_v1.0:LG06:19721148:19743494:1 gene:TanjilG_06498 transcript:OIW09692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACNGAPTMPQQGYLRHADTFFHGVVCNWTYRGCIKCLDSSSRTNSDFLMHKSFLSRHSEATSVICSARKDVLLGEAFRDTTVARRGTSPIVWKCDVVGFACFQILVVSCVTQAKMCGVWVRASLNCGLMMMWICDPFCTKGFRDAFTEVLEWPITEKQQGAFAQQFPVWFVICSGWEIYVGCLVAPFSTWRSKCLFSDLNSRAIRNRQSGLADVLLDEALRGIVVARRGTSPIIRKCVVVGFGCFEILVVSCVAQAKMCGVWARALLNYGPMMIWIGDPFCTKGLGWLAMGLPPTLQHAYPSMLVQFSMAMWVIRPSHLPCRILLSDSKFLMRPSELSRFRFGDSVTGWVVAGGGRAEYSSVTLFARKDVVLGATACDASNNVPWVGFSLGNVLAFEMLDCSHGAELVVTASHGGHVSGNWDVCAVWLHDHGHRTFSTQPFPCSPCVISASVFNYGSCVSYLLSGIHAPLKTCSIQTPSRGCWRIRK >OIW09185 pep chromosome:LupAngTanjil_v1.0:LG06:30705888:30708229:-1 gene:TanjilG_11323 transcript:OIW09185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRDPSPPGSPEVEVKIHPRRVASTVHPGRGTPPPLSPPPPLNPTHERERDRERDRDFSLFRRWFPWLVPSFVVANITIFIVTMYVNDCPNHSFPSSSCVAPFLGRFSFQPLRENPLFGPSSITLGKMGALEVDKVVQKHQAWRLLSCIWLHGGVVHVLANMLSLVFIGIRLEQEFGFVRIGFLYVISGFGGSLLSALFIQSGISVGASGALFGLLGGMLSELLMNWTIYANKCAALLTLIVIVVINLAVGILPHVDNFAHIGGFVSGFLLGCVFLIRPQFKWVSQQNSPHGHAVHPVKYKHKPYQYVLWVISFILLLAGLITGLVLLLRGVNLNERCSWCHYLSCVPTSKWSCKTEQLYCETIQMGNQLNITCLSNGRSDIFPLSDTGSLKAQQLCSKLCNS >OIW09900 pep chromosome:LupAngTanjil_v1.0:LG06:12075259:12078742:-1 gene:TanjilG_32049 transcript:OIW09900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHSWARGSLIVLAIISFGCLFAISIAKEEATKLGTVIGIDLGTTYSCVGVYKNGHVEIIANDQGNRITPSWVGFTDGERLIGEAAKNQAAVNPERTIFDVKRLIGRKFEDKEVQKDMKLVPYKIVNKDGKPYIQVKIKDGETKVFSPEEISAVILTKMKETAEAFLGKKINDAVVTVPAYFNDAQRQATKDAGVIAGLNVARIINEPTAAAIAYGLDKKGGEKNILVFDLGGGTFDVSILTIDNGVFEVLSTNGDTHLGGEDFDHRIMDYFIKLIKKKHGKDISKDNRALGKLRRESERAKRALSSQHQVRVEIESLFDGVDFSEPLTRARFEELNNDLFRKTMGPVKKAMDDAGLQKSQIDEIVLVGGSTRIPKVQQLLKDYFEGKEPNKGVNPDEAVAYGAAVQGGILSGEGGDETKDILLLDVAPLTLGIETVGGVMTKLIPRNTVIPTKKSQVFTTYQDQQTTVSIQVFEGERSLTKDCRLLGKFDLSGIPPAPRGTPQIEVTFEVDANGILNVKAEDKGTGKAEKITITNEKGRLSQEEIERMVREAEEFAEEDKKVKERIDARNALETYIYNMKNQIGDKDKLADKLESDEKEKIETAVKEALEWLDDNQSVEKEEFEEKLKEVEAVCNPIVTAVYQRSGGAPGGGADASAEDDDDSHDEL >OIW09643 pep chromosome:LupAngTanjil_v1.0:LG06:21710312:21715355:1 gene:TanjilG_28242 transcript:OIW09643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIVTYNVNGLRQRIAQFGSLRNLLNSFDADIICFQETKLRRQEVTADLVMAEGYESFFSSTRTTQKGRTGYSGVITFCRVKSAFSSNEVALPLSAEEGFTGLVGNSQTSKEKLPFLSEDLEEFSKDELLSVDSEGRCIITDHSHFVLFNVYGPRAVSDDTERIQFKQMFYRILQRRWESLLRQGRRILVVGDLNIAPSAVDRCDAGPDFDNNEFRRWFKSMLLENGGQFSDVFRAKHPDKEAYTCWPQNTGAEVFNFGSRIDHILFAGSCLHESNDLQCHSFLSCHVKECDILMHYKRCKPESTQSAQRWKGGRSIKLEGSDHAPVFMTLNEIPEVSLHSTPSLSARYVPMVHGLQQTLVSVLMKRQVSEEMKSCKMSHEDIAMGSSCERVEPVSITSPTDCYFPPSQDSEDSILESNELSGGSSQEAISLSGREYEKSISMQNKTKKKARNNRYSQLSLTSFFQRSTNIGNGVNESCIDNSNIQAEPSQPGPNLNETPTVFHHSGSTKLETDACDQDLAKLNDNSTKEERNNVASLDWQRIQQMMQNSIPLCKGHKEPCIARVVRKRGPNFGRRFYVCARAEGPSSNPEANCGYFKWAASKSRNK >OIW09059 pep chromosome:LupAngTanjil_v1.0:LG06:31222067:31228384:1 gene:TanjilG_16286 transcript:OIW09059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTSLSSIQNNQQPNNVQQSQSMLPQHSQVTRQQQQHTSVIHKQQTSVTQQSILPQQQQQQLMGSQANATSMQHSPMLGSQNNGGDMQQPQRLLAQQNNLSNLQQQQLINQQNNLTNMHQQLGNNVSGLQPQQVLGAQAGNSGMQTSQHSAQVPQQTKVPMQQQNTSNLLASQAQQPQAPQQLLMPQIQSHPAQLQQQLGLQQQPNSLQRDMQQKLHASASLDSTAQTGQPNGDDWQEEVYQKIKTMKENYLPELNEMYQKVATKLQQHDSLSHQPKTDQLERLKVFKMMLERIITFLQVPKSNILPNFKEKMGSYEKQIINFINTNRPRKAMSSLQPGHLPPSHVHSMSQAQPQVTQSQSHENQMNSQLQTNMQGSVGTMQQNNSMASMQRNSMSGVSTTQQNMMNSMQPGTNIDSGQGNAMNSMQQVLVSSLQQNPVSAPQQPNINSLSSQGGVNVIQPNLNPIQPGSSMLQHMQLKQQQEQNMLQSQQFKQQYHQRQLIQRQQQLNQPTKQQLPAQLQTHQIQQLNQMNDVNDTKMRQEIGVKPGALQQHIISNHRSTYPHQPMKQENLFPVSSPQLLQTASPQMPQHSSPQVDQQNNLPYLTKAATPLQSANSPFVVPIPSPPLAPSMPRDSEKSFSGVSLLSNAANVGHQQTGGAAVPAQSLAIGTPGISASPILAEFSGPDIAHGNNALAATSGKSTVTEQPIERLIKVVQSMSSKALSAAVNDIGAVVSTNDRIAGSAPGNGSRVAVGEDLVAVTNCRLQARNFITQDGGSGTRKMKRCINATPLNVISSAGSMTDSIKLLTAFEASELESLMSTSIKKPRVEACHALLEEIKKVNQRLIDTVVDISDEDIDQTAAVIGAEGAEGTVVTCSYTAVALSPSLKSQYASSQMSPIQPLRVLVPTSYPNCSPIFLDKFPVETSKENEDLSMKARSRFSISLRGLSQPMSLGVIAKTWDTCARAVICEHAQKSGGGSFSSKHGSWEDCLTT >OIW09228 pep chromosome:LupAngTanjil_v1.0:LG06:30980581:30982975:-1 gene:TanjilG_11366 transcript:OIW09228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMMFGFFVGLILLGLASSAKFDELFQPSWANDHFIHEGELLKLKLDNYSGAGFGSKSKYMFGKVSIQLKLVEGDSAGTVTAVYMSSEGPNHNEFDFEFLGNTTGEPYSVQTNVFVNGLGNREQRLNLWFDPTKDFHNYSIFWNQRQVVFLVDETPIRVHTNLEHKGIPFPKDQAMGVYSSIWNADDWATQGGRVKTDWSHAPFIATFKDFEINACECPVPVEAVDNAKKCTSSEDKKYWWDEPTLSELNFHQSHQLMWVRANHMVYDYCFDSTRFPVTPAECAHHRH >OIW10533 pep chromosome:LupAngTanjil_v1.0:LG06:5441521:5445399:-1 gene:TanjilG_15905 transcript:OIW10533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECAAKGSGTRCTGLATRPCARCGAVSYCSLSHQIAHWSQHKHECDRLEQQMESVHLLNDFPFTFSREATLQVCVKQESRCSFLSKRGLHQVGMWMNECSCWTSYDSFECSRLNNGWDLPRPESLLSEQLHSWRDYYKWRCIPLHSPVALLLHWPLTIFHAAQLLGITTLNPEVSDKLYIHYLGPEKELLQLAIFGELLALFPGVHIHIELVGPAIPPQRDGEKIRISKYACCNKDECACKLASENVNLGTQTSITSALTLKLWRGFYHDRYRDIVKDSSPHLVIAPNGGIAAYSSWLPSIELIEKINLPAVFTDYCEEACHLAASCIKTITGRSLRMPVQINPFRQPLAVEDSVLLLPCYSNCFLFGM >OIW10254 pep chromosome:LupAngTanjil_v1.0:LG06:8195815:8199042:1 gene:TanjilG_28005 transcript:OIW10254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSCVKPLMQDKAENPVVLLHGFDSSCLEWRYTYPLLEEAGFEAWAFDILGWGFSDLGKLPSCDVVSKRDHLYQFWKSHIKRAMILVGPSLGSAVAIDFAINYPEAVEKLVLIDASVYAEGTGNLSTLPRAVAYAGVSVLKSIPLRLYANYLTFSNISFSISLDWTNIGRLHCLLPWWEDATVDFMTSGGYNVASQIKKVRQKTLIIWGENDRIISNKLAVQLHCDLSDAIIRQIPDCGHLPHVERPDSVVKFIVEFVKRDQGSK >OIW10079 pep chromosome:LupAngTanjil_v1.0:LG06:10132991:10136748:1 gene:TanjilG_32819 transcript:OIW10079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDVKDKVKGFMKKVNNPFSSSSSSPKFKGQGRVLGSSSSSSPTNSIPNSKSSSAPTNHKLTSSPTNPKPLPQKNIKSDQNGIQKGEKSDLDRKPRNGFDPFDSLVTTGKRSQNGYSLNVYECPICGKSFRSEEEVSEHVDSCLSNPIESDDGNVVVSEKKNEIEWNNGELEVCVGTYISGNPSEGSVDVVLKLLRNIVREPDNAKFRRIRMSNPKIKESVGEVMGGVELLGFVGFEIGEENGETWAVMEVPKEEQIKLIKKSIVLLESQLVQEPAKKENLVHAASAEIDAKADELEQVDRQVKVFFSVPESVAAKIELPDSFYKLGAEEVRREAELRRKRLEESQLLIPKSLKEKQAKAARKRYTRTIIRIQFPDGVVLQGVFSPLEPTTALYEFVSSALKELALEFELIHPVLIKRRVIPHFPKPGENAKTIEEEDLVPSALVKFKPLETDSVVFTGLKNELLEISEPLVNG >OIW09847 pep chromosome:LupAngTanjil_v1.0:LG06:13471895:13481236:1 gene:TanjilG_15329 transcript:OIW09847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCNFSKYIACCWSTEKQQDRPVPEVQDDENEDNNEGSDLLPFHEFTFGQLKNATSGFAVENIVSEHGEKAPNVVYKGKLENQMRIAVKRFNRSAWPDVLQFLTEARSVGQLRNQRLANLLGCCCEGEERLLVAEYMPNDTLAKHLFHWETQPMKWAMRLRVALHLAQALEYCTNKGRALYHDLNAYRVLFDDEYNPRLSCFGLMKNSRDGKSYSTNLAFTPPEYLRTGRVTPESVTYSFGTLLLDLLSGKHIPPSHALELIRNRNLPMLTDSCLEGQFTNDEGTELLRLASRCLQSEPRERPNPKSLVTALTPLMKDSEVPSHVLMDIPDVSAAAPLSSLGEACQRMDLTVLHEVVEKLGYKDDDGTETELSFQMWTNQMQDTLNSKKKGDAAFRQKDFKTAIHRYTQFIEVGTMVSPTIYARRSLSYLINNMPEEALTDALQAQIICPVWHIASYLQAVSLIALGRDREARMALKEDNSHRTHAGFSMFGYIAWDRVVYTCA >OIW09025 pep chromosome:LupAngTanjil_v1.0:LG06:31671151:31677342:1 gene:TanjilG_06001 transcript:OIW09025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMSCKDGKQLQRDLDNGKYVRYTPEQVEALERLYHDCPKPSSIRRQQLIRECPILSHIEPKQIKVWFQNRRCREKQRKEASRLQSVNRKLSAMNKLLMEENDRLQKQVSQLVYENGFFRQHAPNTKLTTKDTSCESVVTSGQHNLTTQHPPRDASPAGLLSIAEETLAEFLSKATGTAVEWVQMPGMKPGPDSIGIVAISHGCTGVAARACGLVGLEPTRVAEILKDRPSWFRDCRAVDVLNVLPTANGGTIELLYMQLYAPTTLAPARDFWLLRYTSVLEDGSLVICERSLKNTQNGPAMPPVQHFVRAELLPSGYLIRPCEGGGSIIHIVDHMDLEPWSVPEVLRPLYESSTMLAQKTTMAALRQLRQISHEVSQSNVTGCGRRPAALRALGQRLSRGFNEALNGFTDEGWSTIANDGVDDVTVLVNSSPDKLMGLNLSFAGGFPVVSNAVLCAKASMLLQNVPPVVLLRFLREHRSEWADNNMDAYSAAAIKVGPSSFSGSRVGNYGGQVILPLAHTIEHEEFLEVIKLEGVAHSPEDAIMPREMFLLQLCTGMDENAVGTSAELIFAPIDVSFADDAPLLPSGFRIIPLDSGKEASNPNRTLDLASALDIGPTGNKASNDYSGNSGCMRSVMTIAFEFAFESHMQEHVASMARQYVRSIISSVQRVALALSPSHLNSQAGLRTPLGTPEAQTLARWICNSYRCYLGVELLKSNNEGNESLLKSLWHHSDAILCCTMKVSPVFTFANQAGLDMLETTLVALQDITLEKIFDDHGRKILCSEFPQIIQQGFACLQGGICLSSMGRPISYERVVAWKVLNEEENAHCICFMFMNWSFV >OIW10911 pep chromosome:LupAngTanjil_v1.0:LG06:2082356:2084116:1 gene:TanjilG_27857 transcript:OIW10911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSLIGLQNAGKTSLVNVVATGGYSEDMIPTVGFNMRKVTKGNVTIKLWDLGGQPRFRSMWERYCRAVSAIVYVVDAADPDNLSISRSELHDLLSKPSLNGIPLLVLGNKIDKPGALSKQALTDQMDLKSITDREVCCFMISCKNSTNIDSVIDWLVKHSKSKS >OIW09739 pep chromosome:LupAngTanjil_v1.0:LG06:17463825:17468169:1 gene:TanjilG_23879 transcript:OIW09739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARWQFYNDVLPFIAIVAIESIIVGVNVLYKAATLRGLSYYVFIVYSFSVASIVLLFPLSFVLKRSSGLPPFKASIFFKIFLLAATGFVAELCGVKGIQYTSPTLSSALSILVPAFTFVLAVSFRMEKLALRSKSTHIKIFGTILSILGALIVVLYKGRTILSNSNPLQSPAIISLVSSSSQRNWVIGGSLLVVDYLLVPVMFILQASIMNQYPVEIVMVFTFCVCMTFISAPICLLLEPNWSAWKITPDIRLAAILCWGLLITCLSSLVYAWGLHLKGPVYISIFKPASIVIAATLSVIFLGDALHLGTIVGAVILSVGFYAVIWGKAQEQELSDEFGEGRTEALPNSKTPLLQGSNV >OIW09310 pep chromosome:LupAngTanjil_v1.0:LG06:29398406:29399326:1 gene:TanjilG_01281 transcript:OIW09310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMEGGAKFPGILIDLNKHHNDYEFSEGGGFYHKLDLNDGTNMSIDSLQTTNGGGSVAMSVDNSSVGSNDSHTRILNHQGLKRRPNDNYSIAHSVNRRGRVTHALSHDALAQALIDTNSPTEGLDNFHEWTIDLRNLSMGEPFAQGAFGKLYRGTYNGENVAIKLLERPENDPPKVQFMEQQFQQEVMMLATLKHPNIVRFIGACRKPMVWCIVTEYAKGGSVRQFLMKRQNRSVPLKLAVKQALDVARGMAYVHGLGLIHRDLKSDNLLIFGDKSIKIADFGVARIEVQTEGMTPETGTYRWMAP >OIW09772 pep chromosome:LupAngTanjil_v1.0:LG06:15812427:15813671:1 gene:TanjilG_06163 transcript:OIW09772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSTQIDGNPKFNVGLDEQFLKLKNEVLKNGLSILGFTGLGGSWKTTLATKLCWDEQVENDTCSSYWSNIQAAEAEVLILTLHTNQYSNPEIINQISKLIVLIVTNYGFHPFQLENFNLDQISISSFTALKNLKRLSLYMCNMSQVFETNTIPISEALPNLVELSIDYCKDMVQLPTGLCNITSLVNLTISNCHKLSSLPKEIGNLENLEHLRLSSCTDLEWLPDSIGRLSNLRLLDISKCISLRSLPEDIGNLSNLRSLYMINCAGCELPYSVINFENLKVICDEETAISWEDLNTNIKIEIPQADVNLNWLHPI >OIW10126 pep chromosome:LupAngTanjil_v1.0:LG06:9597741:9598268:1 gene:TanjilG_21963 transcript:OIW10126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETNPIAPITTESNSKHDAQSLFLQDMDVEELKRVFSSFDANGDGKISITELDTVLRSLGSGVSGAELQRVMEDLDTDHDGFINFSEFGAFCRSDGGASELRDAFDLYDQDKNGLISASELHLVLNRLGMKCSVEECHSMIKSVDSDGDGNVNFDEFKKMMSSNNNVNGNGSAS >OIW09654 pep chromosome:LupAngTanjil_v1.0:LG06:21585688:21591589:1 gene:TanjilG_28253 transcript:OIW09654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPGTTKSQQWQWENAVAGSAAGFATVAVMHPLDVVRTRFQVNDGRVSHLPSYRNTAHAVFTIARSEGLRGLYAGFLPGVLGSTISWGLYFFFYDKAKQRYALNREEKLSPGLHLVSAAEAGGLWWSRTLALAPIADAVRTIMKEEGFSALYRGIVPGLFLQVSHGAIQFTAYEELRNVLVDLKNKRSKIHHQNPDKLLNSVDYAVLGATSKIAAILITYPFQVIRARLQQRPSGGVQRYTDSWHVLRETARFEGVRGFYKGITANLLKNAPASSITFIVYENVLKLLKLTRKND >OIW09375 pep chromosome:LupAngTanjil_v1.0:LG06:29871121:29874983:-1 gene:TanjilG_01346 transcript:OIW09375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLKSRGDLHVRSVVSQKWMILLCIGSFCAGMFFTNRMWIIPEPKALARTTAMEAEKLNLVSEDCHSRILQEKEVKPETKIIYREVSNTHNAIQTLDKTISNLEMELAAAKSAQESIRSGGPVSQDIKMSESSSTKRRYLMVIGINTAFSSRKRRDSIRATWMPQGEKRKKLEEEKGIIIRFVIGHGATSGGILDRAIEAENAKHGDILRLDHVEGYLELSAKTKIYFATAVNLWDADFYIKVDDDVHVNIATLGATLVRHRSKPRVYIGCMKSGPVLNQKGVRYHEPEYWKFGETGNKYFRHATGQLYAISKDLATYISTNQHVLHKYANEDVSLGAWFIGLDVNHIDDRRLCCGTPPDCEWKAQAGNVCVASFDWTCSGICRSAERIKEVHKRCGEGEKALWSVSF >OIW09836 pep chromosome:LupAngTanjil_v1.0:LG06:13690755:13696607:1 gene:TanjilG_20543 transcript:OIW09836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADIWYTLLSWSWKLFFMLSLHSATGIKLNRWDSVIQLPTESVDADTDGVGTRWAVLVAGSSGYGNYRHQADVCHAYQLLIKGGLKEENIVVFMYDDIAMNEMNPRLGVIINHPHGPDVYAGVPKDYTGENVTTENLYGVILGDKNKVKGGSGKVINSKPEDRIFIYYSDHGGPGVLGMPNMPYLYAMDFIEVLKKKHASGGYKEMVIYVEACESGSVFEGIMPKNLNVYVTTASNAQESSWGTYCPGMEPSPPSEYITCLGDLYSVAWMEDSETHNLRKETVKQQYQSVKLRTSNFNNYASGSHVMEYGDTHITAEKLYLYQGFDPATVNFPPNNDRLEAQMEVVNQRDAEIFFMWQMYKRSDNQQEKKTDILKQITETVKHRNHLDGSVELIGVLLFGPGKSSSVLESIRVPGLPLVDDWACLKSMVRVFETHCGSLTQYGMKHMRAFANICNNGISKASMEEACMAACDGYDAGLLHPSNKGYSA >OIW09156 pep chromosome:LupAngTanjil_v1.0:LG06:30486161:30488680:-1 gene:TanjilG_11294 transcript:OIW09156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMRTSNHLIGLLNFLTFLLSIPILGSGIWLSTRANNTDCLNFLQWPLIIIGVSIMVISLAGFAGACYRNTLLMRLYLIIMFLIIAVLIGFIIFAYVVTDKGSGRFVPNRSYLDYYIQDYSGWLEERVASDEYWGKIRSCVRDSRVCSKLRRIVGGVPESADMFYIRKLTPIQSGCCKPPTECDYIYENETIWKPGMGLIGTNADCTRWSNDQESLCYACDSCKAGVLASLKKSWRKVSVINIVVMIILIIVYIIAYAAYRNNRRMDNDEPFGGARMTKARPSRIHL >OIW10420 pep chromosome:LupAngTanjil_v1.0:LG06:6267069:6271447:-1 gene:TanjilG_24980 transcript:OIW10420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMVRWSSWSELLLGGAVVRHGTQDWPIIAAELRTRTVSPDTFTPEVCKAKYQELLKRYSGSKAWFEELKKEGMAELKRALEQSEKSIGSLKLKLEFLKTEKNETKSDCHVDNVPAGPELHVPSQNLERVESSNKETSKDGLSAGSFTHETGTNWSPEGTRSAEHEKVLNVIKLEDPIGEGQVGGLKKLRGKRRRKDSDSNINKRSVRESDVLHSVDVSGYKESSTSNSDEIAKFCSKDKKNYNLRKDGMKDIMEILDYILQSKGASSFHRKHDSQKRGRYRSMIRQHMDLDTIRLRISNRTIKSVMELFRDLYLLINNALVFYSKSTRQYKNAQLLKDIVNETLKECIKDFRSNVTNADVSITLPVDEPPLKLRSVCPGNRKVVAKEAGGGSKSASEISQGAKVPSKVNLPLSEESLPIKKSFGRSKKVGRETTGSQRPATPMKRRRTVKTKDDNAEDLVPTQAYPLGL >OIW09603 pep chromosome:LupAngTanjil_v1.0:LG06:22320360:22322867:1 gene:TanjilG_28202 transcript:OIW09603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFTKLSTTKPPLIFTRPLTWVTSTALRHQRHHLPPPPQPQPQPQPQPQSQSRELNNNDPLTLLPSILSKTFLDSSKCKSLLLHLSPHHFDRLFFSLSSSNFNAKTTLDFFRFASRNLKFRFTVRSYCVLIRLLLASNFLPRAREVLTRLIDGEVLVMDLVENRVREISVSMLELNEGFDRRYGELDLLLHVILSRFKNLGFYYGFDIFIMFSKKGIFPSLKSCNFLLSSLVKGNELQKSYEVFDVICQGGVSPDVYTFTTAINAFCKGGRVEDAVSLFVRMEEVGVSPNVVTYNNLIDGLCKNGRLDEAFRFKEKMVKSKVNPTLITYSVLINGLMKMEKFDEVGGVLLEMCNMGFAPNEFVYNALIDGYCRKGNMSEALKIRDDMLLTGVKPNSITFNTILQGFCRSNQMEQALQVLGYLLSSGLSVNQDACSYVINWLCNESKFDSALNIVGTLLLRNIKTSDSLLTPLVCGLCKCGKHSEATELWFSLADKGLAANTVTSNALLHGLCERGNMKEVVTILKQMLERGLVLDQISYNTLIFGCCKSGKIEEAFKLKEEMVKQEFQPDMYTYNFLMKGFAERGKIDDINKLLHEVKEHGLVPNVYTYALMLEGYCKADRTEDAVNIFKKLVHQKVELSHVIFNILIAAYCRVGNVKQAFEIRDYMKSRGIHPTCATYSSLIHGLCYIGRVDEAKGIFDEMRNEGVLPNVYSYTALIGGYCKLGQMDEVRSIIVEMSSNSILPNKITYTVMIDGYCKLGNVNEATKLLNEMVANGIEPDTITYKAFQNQNIKERNQQDPFQCDHMCNTGVPLDEITYTTLVNRLHPHTSVNNQE >OIW09645 pep chromosome:LupAngTanjil_v1.0:LG06:21673190:21678051:-1 gene:TanjilG_28244 transcript:OIW09645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSNRHGYGGGGGGGDEVRASHILIKHEGSRRKASWKDPEGRVIKNTTRENAVSQLKALQDDIVSGKVKFEDVAARYSDCSSAKRGGDLGPFGRGQMQKPFEEAAFGLKVGEISDIVDTDSGVHIIKRTN >OIW09857 pep chromosome:LupAngTanjil_v1.0:LG06:13355174:13362284:-1 gene:TanjilG_15339 transcript:OIW09857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTGSPNHRTVDADIDPTPLLSSSGGSSDESNSSRRRQGLRQAARFLRQASGQRMMREPSMVVRETAAEQLEERQSDWAYSKPVVVLDILWNFAFVVAVATVLVLSVIETPIMPLRLWLVGYALQCVVHVVCVCVEFRRRRRNQREGSNNAAAVVQDGVGGSDLSSGSIEGSGSGQYGLLSQLDEEGTSMAKHLESANTMFSFIWWVIGFYWVSAGGQGLAQDSPLLYWMGHQKKTLSSYQNSNSEELKMVRNLLVIPKDLLEE >OIW10679 pep chromosome:LupAngTanjil_v1.0:LG06:4046052:4046231:-1 gene:TanjilG_16051 transcript:OIW10679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFPSISDCDQNNLMHATGFQSNSSALLFQAVTTLHASQLVIGISTNATQILYVIVMTT >OIW09974 pep chromosome:LupAngTanjil_v1.0:LG06:11640032:11644738:-1 gene:TanjilG_32714 transcript:OIW09974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMISNLSCVSSSNLIDTKLEEHQLCGSKQCPGCGHKFQGKPDWLGLPAGVKFDPTDQELIEHLEAKVVAKNMKSHPLIDVFIPTIEGDDGICYTHPEKLPGITKDGLSRHFFHRPSKAYTTGTRKRRKIQNECENLHGGGETRWHKTGKTRPVMVNGKQKGCKKILVLYTNFGKNRKPEKTNWVMHQYHLGMHEEEKEGELVVSKIFYQTQPRQCNWSHDRIGATTITTVGEFGSGEQPSYNNGRRDSGSGSCSSKEIVTFRDEMSDVVCVPPNSSFNDVMDIQHLKSDHFSFIPFRKGFQEVGIGESSTRECNELHDHQLQQHQQAAFHMNMPSHPISTLISPTPLHQTSITLQDNSYHVSTIMLQNANFQHQQQHKLGGRSMSGLEELIMGCTSTDTREFPLQESSITNPQIEAEWLKYSSYWHVDPDNPNHHG >OIW11104 pep chromosome:LupAngTanjil_v1.0:LG06:598100:601583:-1 gene:TanjilG_22911 transcript:OIW11104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSGEGKAEKEENLEEKLRAVLGKSKGTCTPLPYWKTLWEINKDQQQQQQLLPSTVSARNLAAALWEFHQHFQMHHHRGVGGGGGGGDPRLHRHHYNLHKDKAIVAPEISNFLGDASLSSPDHHTDSASSLKRHVAASLMQHHLTIERNNHALQPVSPASYGSSMEMTPYNPAATPTSSVELKGRIRESRYGLKTSTELLKVLNRIWSLEEQHASNISLIKALKAELDHARIRVKELLRDRQADRHEIDDLMKQVAEDKLVRKSKEQDRLHAAVQSVRDELEDERKLRKRSESIHRKLARELSEVKSSFANALKELEQERARRKLTEDLCDEFARGINEYEQEVHVLKQKSDKDWDQGTDHDRLILHISESWLDERMQMQLEAAQNGIVDKKSIVDKLSLEIETFLKAKQNSKNTENLVGRNRRNSLESVRLNDAVSAPRDVGDDDSVGSDSNCFELNKPSNKESKLLEEEPLDNNLDEALKSNHVKKKPITQEGLKYRNLSNLQVKFEEQMAWAMSSKPNKKSQSIDAEEGRTTETKPAEQLCEIRENNPSELHSSSKNHIVDNLIRGQVLTSDSGNIHAENNYGEASCSNAGWRNQASPLKQWMTKLKSQEVDISETSKVHAGSRENTLKAKLLEARSKGQRSRLKALKGSF >OIW09581 pep chromosome:LupAngTanjil_v1.0:LG06:22610895:22611065:1 gene:TanjilG_28180 transcript:OIW09581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPTMMVEARTCESQSHRFKGPCLSDTNCGSVCHNEGFTGGNCRGFRRRCFCTKHC >OIW09065 pep chromosome:LupAngTanjil_v1.0:LG06:31255276:31255464:1 gene:TanjilG_16292 transcript:OIW09065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EEDLWYVTKLGMGSFVGAAAIKYGSVVFPQITTPNIVLALTIISTPMIVAVLLLINQSRLNS >OIW10781 pep chromosome:LupAngTanjil_v1.0:LG06:3146736:3147612:1 gene:TanjilG_27727 transcript:OIW10781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGTAFGSFAGRALMAAAKKTTTTTAKSMVASSGRPSNNAGIQKVVPVSSELGKFIGATEVSRTGAVKKVWEYIKLQNLQNPENKREIFCDAKLKAIFDGKDKVGFTEIARLLSSHFSKSA >OIW09970 pep chromosome:LupAngTanjil_v1.0:LG06:11876575:11877036:1 gene:TanjilG_18277 transcript:OIW09970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAMKKVDKIRQMAYLKDFLIRWKRISLRRRTLNDAVSSPRAPSGSLFVYVGTERKRFVIPTRFLNLPIFACLLKETEEEFGFTCNGGLVLPCEVGFFNDVVKCLRKDEKKYGKFSVQDFVSMNSDAGFDYCKEKMMPFTPLLQNTRGLTIL >OIW10958 pep chromosome:LupAngTanjil_v1.0:LG06:879702:883059:1 gene:TanjilG_22765 transcript:OIW10958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLRTAVLMFLIVCSVFIFSVCLKQISTHARTKFLELNVVEKPSQSREKLIDIPYLHYPIPVSFSRNECAHNSVLFFAILSNQRSGSGWFETLLNSHINVSSNGEVFSVLQRRKNASSILQILDKVYNLDWLNSASKNECSAAIGLKWMLNQGLMEHHKEIAEYFNRRRVSVIFLFRRNLLRRIVSLLANSYDSYAKLLNGTHKSHVHSAEEADILSKYKPTINSTSLLDDLKDMEIRAAKALEYFNSTRHMILYYEDLVRNPNMLKDVQEFLGLPPMELTSRQVKIHRGPLSDHIQNWDDVRKTLNGTDYESFLEADY >OIW09255 pep chromosome:LupAngTanjil_v1.0:LG06:28708007:28713565:1 gene:TanjilG_01226 transcript:OIW09255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKELAWPQFITNFLIMVVGSRNRSQLKRPTWIILFIFIVCIFLIAVYIYPPQSTSMCSLFSSQGCGSSSISTTFDLLTPDFSRPLNEQEIQSRIVINEILKFYPVQSKIPKIAFLFLTPGSLPFEKLWHMFFQGHEGKFSVYVHASKEKPVHVSHYFAGRDIHSEPVEWGRISMVEAERRLLASALLDSDNEHFVLLSESCIPVRRFDFVYNYLLLTNVSFIDSYVDPGPHGNGRYIEHMLPEVEKKDFRKGSQWLTMKRQHAIIIMADSLYFRKFKYYCRPNMEGNRNCYADEHYLPTIFTMLDPGGIANWSVTYVDWSERKWHPKSFRASDITYQLIKKIAYIDESLHFISDAKRSLEITPCALNGFKRSCYLFARKFYPETQDKLMKLFSNSTIF >OIW08924 pep chromosome:LupAngTanjil_v1.0:LG06:32516859:32524566:-1 gene:TanjilG_05900 transcript:OIW08924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASWLKAAEDLFEVVDRRAKSVVNDLADEQSDSKSPASNGQGSQGKRTKSKSKAQKGLSKPSTIISDATDTTKEKIGTPVAPVDVSTLTEKVDLVAENDGSTSISTIQPNKEQQLTDSASPLLGTSLSNMLAEDVGKHEKIDVEVLATDSGVEVATVSENGEPAKENTSDIREEDSLSVSEGIKGLSEEPVNTGQIISSGDLEANQNMDQDKSESVVTDIAPNNDAIPKYSDVKPESIVNKTSQEDHKTDISPKKVEDQLDEAQGLLKTAKSTGQSKEARLARVCAGLSSRLQEYKSENSQLEELLTAERALSKSCEARIKQLQKDLSESKREVTRVESNMAEALTAKNAEIETLLSSMEAVKRQAALSEGNLASMQASMESMMRNRELSETRMMQALREELASAERRAEEERAAHNATKMAAMGREVELEQRAVQSSTALARIQRIADERTAKATELEQKVALLEVECSSLNQELQDMEARVRREQKKPPEEANQVIQMQAWQEEMERARQGQREAENKLSSLEAEMQKMRVEMAAMKRDAEHYSRQYYKQTQLETMASEKAAAEFQMEKEIKRLQETQAEAERSRFSRRASTSWEEESDIRSLESLPLHHRHMAGASIQLQKAVKLLDSGAVRATRFLWRYPKARVGLFFYLVFVHLFLMYLLHRLQAQAEFLASREVAESMGLSNHSLP >OIW09061 pep chromosome:LupAngTanjil_v1.0:LG06:31235063:31238680:-1 gene:TanjilG_16288 transcript:OIW09061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLARLAGNRFNQIRHVFRQPSRTFSTAFNYHLDSPDNKPELPWEFNDANKSKVKEILSHYPSNYKQSAAIPLLDLAQQQHGGWLPVSAMNAVAKVVGVAPIRIYEVATFYSMFNRAKVGKYHLLVCGTTPCMIRGSRGIEEALLKHLGVKRNEVTQDGLFSVGEMECMGCCVNAPMITVADYSNGSEGYTYNYYEDITPEKVIDIVEKLKRGEKPPHGTQNPNRIRSGPEGGNTTLLGEPKPPPCRDLDAC >OIW10918 pep chromosome:LupAngTanjil_v1.0:LG06:2011346:2018619:1 gene:TanjilG_27864 transcript:OIW10918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRLSNYAASSAEVLPEFLQVEAFSKLSNPIGKAGKTLSARKWHAAFSPEGYLDIGKTLSRIHRGGIHPSFRGEVWEFLLGCFAPKSMLKYAALKEECCHSFPLVGSGKFITAPVITEDGIPIQYPLVLLETDADNGVVAPAQDNNAGSTMGPSSTGANVERKENLSKLWDILAVYARKDTDVGYGQEYDPDLFNIYEDSEEEKSEESKGRLKSIRHSGMFERENMENGAKNGEEAPLPISVFLVASVLKEKSAILLQQARGLDDVCQDIHLQLLKMVYQGNNAVDNNGVVAPTQDNYAGSTMGPSSTGANVERVTDKKIIQWMLTLHQIGLDMDCTDRTLVFYEKKENLSKLWDILAVYARIDTDVGYGQVHGIIACNKFLLVMIMNWPPKEERQYP >OIW10951 pep chromosome:LupAngTanjil_v1.0:LG06:825702:833995:1 gene:TanjilG_22758 transcript:OIW10951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIPPSPASSSRSEPLIDPSTRKVDDASPTVKDVLLVIDSLKKHVAAERVVSVKKRMEENRQKLIGVTNHLYNMSMDKRTYSVTDSHRSLDLLTKRQKEAIDMHNGVHESPGDRESNGYLEDNHGSTAVLLGSNVAVKNAVRPIKLPAVTRLPPYTTWIFLDRNQRMTEDQSVVGRRRIYYDQNGGEALICSDSEEEVMEDEEEKREFVESEDYILRMTIKEIGLSDIVLESLAQCFSRTASEIKARYETFSNEDNAGGGSKNGNTEDNSQHGNSFLEKDLEVALDSFDNLFCRRCLVFDCRLHGCSQDLVSPADKLPMWILPDTETAPCGPNCFQLVLKSERFVKASSSGHAGAEEKCSGGSSSRKKSSARRQMKCSQSEGASSNAKNISESSDSENGPGRDPVSVSHLPLPKSKPVGRGGITKRNSKRVAERVLVCMQKRQKKTVASDSGSIGEAIDRPSNDMVNTDSPVMSSEDNMRKEGLVDENICKQEYTDNKSWRALEKGLLEKGMEIFGRNSCLIARNLLNGVKTCWDVFQHINCEDGKMSGPGGDAANSFVEGYSKGNNEVRRRSRFLRRRGRVRRLKYTWKSAAYHSIRKRITDKKDQPCRQYNPCGCLTACGKQCPCLLNGTCCEKYCGLARIDFVAVIVLRVNAEAVNVHALRQIENVIQMSVGIVGLADQECRDDLNESCGDGTLGIPSQRGDNYECRNMKLLLKQQQRVLLGRSDVSGWGAFLKNSVAKHEYLGEYTGELISHREADKRGKIYDRENSSFLFNLNDQASLYYYVFWVSYTCIKFRMSLNYKILCMQFVLDAYRKGDKLKFANHSPDPNCYAKVIMVAGDHRVGIFAKERIGAGEELFYDYRYEPDRAPAWARKPEASGSKKDDGAPSNGRAKKLA >OIW10745 pep chromosome:LupAngTanjil_v1.0:LG06:3501641:3510554:-1 gene:TanjilG_27691 transcript:OIW10745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGDSWGQTGTKEKECASGRGTCLALKHKGLSLLRWQYLKRCQVTVSPLVKSKVMYIYHPQTLLVLSNPEKTPLHTFICNYDLSDMPAGTKAYVDSVLDLASHFIACFWRYASFSGTLATHTVSSGTRRNNCNVVTSPTPSPATSQGLLLLQFDKCHSVILIVFLIMQAYVDSVLDLASHFIACFWRYASFSGTLATHTVSSGTRRNNCNVVTSPTPSPATSQELPCNSQVANRISDTNIHKPQPNIPAPGKVEDIAKPTFSCG >OIW10274 pep chromosome:LupAngTanjil_v1.0:LG06:8314706:8315707:-1 gene:TanjilG_28025 transcript:OIW10274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTPPPTLNFCTVLSESKRIINAHSRHFLALSVIFLLPLSFSLIVSPTLFHLLSPSSDSHIHILLRTPTLPLFHHHNHHRHLNTLLFSLPFSLSLILISLFSLSSITHSVFHGFFGRPVKLPSAIQSILPSFFPLLLTTLLSQLTLFSIALFSFLFVHSLYHFAPSLSSTFSILLFLLLFLPPFLYLQLSWTLSSVISVVESTYGFEPLNRSSKLMKGMKGIGLSSLLFFGFMEVVLVWSNSVFASNSDGDGGNGTSGLFKDWASVVQIVLASTSLMLLMLYQTAANTVLYMYCKAVHGELAVEIVEEFAREYVTLPFDEGKIPHLVSVVRV >OIW09566 pep chromosome:LupAngTanjil_v1.0:LG06:22776022:22779429:1 gene:TanjilG_28165 transcript:OIW09566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMILKVLCLFFSFFLFLCSEYCIVEVHGRILSITSKPDPDDASATARWLVSLNSWGVLNTISNDLGGAPFGNVVSFSDGLPNEGIGIPYFYLTTLDPTARNALQDERASLTVGEYALGTCGQKDPENPTCSKITLTGKLKMLDEKSSNEGKFARNALFSKHPEMKGWPKGHNFQVFKLEIEDIFLIDWYGGPKPLTVEQYLQQKM >OIW09176 pep chromosome:LupAngTanjil_v1.0:LG06:30647734:30648768:-1 gene:TanjilG_11314 transcript:OIW09176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVTKESETKTNEVQDLELGECLDHILVKSFGEESVESELIRLHNLAGPPRFLFTIKEETKEDLEAEDEEKCSRTKSLSDIMLSIDNSFLTSLDPLDSYNHQGFNPLFESSSVESDIYMLRSSSPPPKFKFMKDAEEKLYRKLIEETRRNDIKNHGVEDSSNESLATNKRVGSLVRVIQNKEGKEVQQYLPQFPSSSLSQMLFCPFPMLHA >OIW10425 pep chromosome:LupAngTanjil_v1.0:LG06:6316676:6321250:-1 gene:TanjilG_24985 transcript:OIW10425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVGFCGLKPMLFRRKMNATSSIEVLKRSPSSKKSSKSQNKESGESMELSFVGADQLILMVEIHKKIFAFRDIMDLAPCNSSASLREVVLKTLEDLHRLDPEIVPKDEVSKMKDKSIDQAMAYFCEALKSLGESWTMNNDWMEKLNIVFPSCKDKSNMRETMLVTLDCLIKLTSERFDSMEEDDLKKDFSPKASSFGKFIMRTSSFTDSNYSFGSSPNTPKSVLPELMKYSDSPRSSSGSSLLYSLRVQAVEKLNPIDVKRLSFHMSPTHIGHQNSKIEEEPTRQMEIDDDNMVRNTPARDTSEDLVFYLDTMEESDCTITHDDVKKTPKLQGIGEVEIPPLSPRPLQPQSPKLAQKPSPMQEESVPDPPPMIQTNTVPQPPPPPPKLSIMILTKTVPQPPPPPPKPQPITPFSQPNLAVSLPPPPSALNLQKSAIAVRTPPPPPPPPMSMGPGSSAVATPPPPPPPPMPMGPGSSAVATPPPPPPPSSMPIRSGAAVAAPPPPPPPGPLKGGSIPAPPPPVPRGIGGAGPPPPPPGAGRSLRPKATTKLKRSTQLGNLYRTLKGKLEGSNLKGKSSAAGRKGAVGGANTGGKGMADALAEMTKRSSYFLQIEEDVQKYTKQIIELRTAITNFKTKDMAELSKFHKDVESVLENLTDESQVLSRFEGFPTKKLEAIRMAAALYNKLNSIFTELQNWKVVTPVGQLLDKVERYFNKIKTELDALERTKDEETKKFKGHNIEFDFHILIKIKEAMVDVSSGCMELAIKEKRDDAAKKSDGPKKECAKLLWRAFQFAFRVYTFSGGHDDRADNLTRELAQEIQSDPNHP >OIW09085 pep chromosome:LupAngTanjil_v1.0:LG06:31440809:31459129:-1 gene:TanjilG_16312 transcript:OIW09085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASLPPKEANLFKLIVKSYETKQYKKGLKAADAILKKFPDHGDDRSLIFVLVQNDLKSHVCWHVFGLLYRSDREYREAIKCYRNALRIDPDNLEILRDLSLLQISLLEECGFLERALEELHKKELEIVDKLEYKEQEVSLLVKLGRFEEGEKLYRSLLSMNPDNYRYYEGLQKCVGLYSENGHFSPDEIDRLDALYKALREQFKWSSAVKRIPLDFLQDDRFKEAADSYIRTFLTKADILERLILELENSIRTTGQYPGRYYEGLQKCVGLYSENGHFSPDEIDRLDALYKALREQFKWSSAVKRIPLDFLQDDRFKEAADSYIRTFLTKADILERLILELENSIRTTGQYPGRVEKEPPSTLMWTLFLLAQSRILKHAGDLAAAAALADEARCMDLADRYVNSECVKRMLQADQVDLAEKTAVLFTKEGDQHTNLHDMQCMWYELASAESYYRQGDLGLALKKFLAVEKHYADISEDQFDFHSYCLRKMTLRTYVEMLKFQDRLHSHTYFRKAAAGAIRCYIKLHDSPPKSTTEEDDEASKLLPSQKRKLRQKQRKAEARAKKEAEEKNEEFSASGPSKSGKRLAKPVDPDPRGETLLQVKDPLSEATKYLKLLQKHSPDSLETHLLSFELYMRKQKVLLAFQAVKQLLRLDAEHPDSHRCLIKFFHKVGSINAPVTDTEKLIWGVLEAEHSLTHRAAFGEMLYILDPNRRSEAVQLIEGSRNNVVQRNGAPGQISEWKLKDCIAVHKLLGTVLVDQDAALRWKVCCAEIFSYSTYFEGSNSSASPNSVLNQICKSTENGSANHLVVDHKEESVISNGKLEAFKDLTISQN >OIW10117 pep chromosome:LupAngTanjil_v1.0:LG06:9683689:9685480:1 gene:TanjilG_21954 transcript:OIW10117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSVDPLIIGRVIGDVVDMFVPSVNMSVYFGTKHVTNGCHIKPSMAVDPPRITLTGNIDNLYTLVMTDPDAPSPSEPIHRELIHWPEYLFCYIEQNHDFKLWQIVGCNIVVGGNEILPYGGPRPPLGIHRYILVLFEQKGPLDLVEQPPSRASFNTRYFARQLNLGLPVATVYFNSQKEPAYRRRT >OIW09496 pep chromosome:LupAngTanjil_v1.0:LG06:24979807:24980774:1 gene:TanjilG_14126 transcript:OIW09496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIWFYGAVTCASLHVGEIRPGKLRGNHRHHDCNETFVIWGAATKFRLENNEVADNGYAEVTIGKDEVAVAASPSHKAHALINVDPIRSTFIIGCQDSVISYNASSSDFNVWKDL >OIW10295 pep chromosome:LupAngTanjil_v1.0:LG06:8473229:8474497:-1 gene:TanjilG_28046 transcript:OIW10295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSTAKSSLHKLQNLNSEKKHHLHESIFSPYLNSNNEDTFIEKLGKPNTKLSHHEINKKSPLHYGEIGIFGAKKYFNEREVNYIPRGSNKGAKKYRHQRDQQIPLETMNYKVQYETPSIRSESTTCNRQSALLQRKSQRNMKNKVPAKSFLAGLCLKCSYSDKDSVDINSNSSSEISFNQTDTYGVAHGKTTPKKISNVGLDANHSVKMNKPHADILMPKKSLEVFGSPIFPSRFEEIDFNYAGSDSSSDLFEIESFREKPNSFFLDRQASDIASSPKSCYTSSEASIEWSVGTASAAVMSDCEEDQIIEETIRSPIRISFSSSNGKGTKGKRDMQQRQQFSNILLGGCKSHLAVSVAGDASFITYEKPSSTSKVEHHRTNISQLPRFPAERKLGNFGARHGQKHPHASIVHSPNASKVLHI >OIW10092 pep chromosome:LupAngTanjil_v1.0:LG06:9948705:9953458:1 gene:TanjilG_21929 transcript:OIW10092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTIFRTFQVQPFLLYPTSLLKRRNGVLFFTTTQKNQNPQRQTKKVSASATATSTQSKKLDKIPKNYEPIIGIETHVQLSTLTKAFCSCPYNYGSYPNTTICPICMGLPGALPVLNSKVIDFAVKLGLALNCKLAFNSKFDRKQYFYPDLPKGYQISQFDVPIASGGYLDVDIPVEFGGGHKRFGITRVHMEEDAGKLLHTENGNYSQVDLNRAGVPLLEIVSEPDMRNGIEASEYAAELQRLVRYLGVSNGNMQEGSLRCDVNVSVRPIGQSKFGTKVEVKNLNSFASMGRAIDFEISRQVQLHSQGQEDQIVQETRLWDEGTQASTIITITMRKKEGLADYRYFPEPDLPAVIISQEYVDDIQSSLPELPEIKRRRYEKMGLSMQDVLFLANDKNIADYFDATIAKGADAKLVANWIMSDIAAFMKNEKLTINEIKLVPEELSELIASIKGGTISSKIGKEILFEILAKGGTVKGLIEEKDLVQIVDPLEIEKIVDKVIADNPKQLEQYRGGKTKLQGYFAGQLGRDNATLLTWFITSADLFIMVV >OIW08997 pep chromosome:LupAngTanjil_v1.0:LG06:31889667:31890248:-1 gene:TanjilG_05973 transcript:OIW08997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSHYNNTKKSQACAACKYQRRKCPSNCIFAPYFPHDRQKQFLNAHKLFGVGKINNLIKPLDAVQRDIAMSTIIYESNMRANDPVGGCVGCIHRICSQIARYEAELRIVLQHLVFFRAQYQPITESVQYDPYIADNIINVNDDVIPFHESDIHFQEQLKLLSRENAIKDGIAVENVNACDVQNSNSGLYLEIL >OIW10010 pep chromosome:LupAngTanjil_v1.0:LG06:10868977:10874993:-1 gene:TanjilG_32750 transcript:OIW10010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEGEGVDYPPKNVSSSDDADAAPALDVPAKKLARQLDFTGSSGAVPLPEQLQPQSQPQSQLQQLQLVVAHSQLPLQPRPPLPQTLAQSVISTQLLLPQAPHPSVRVGKPDSPKAKSRPNFEIKDCTPKKQKQCNCKHSKCLKLYCECFASGIYCDGCNCVNCYNNVENEAARREAVEATLERNPNAFRPKIASSPHGARGRREEAGEVLILGKHNKGCHCKKSGCLKKYCECFQANILCSENCKCMDCKNFEGSEERQALFHGDQNNNIVYIQQAANAAITGAIGSSGFSSPPLSKKRKGQELYFGPTMKDPSVGRLGQQANHIRSPAPSSSLSPIPGARVGTSTVGPSKVMYRSLLADIIQPQHLKELCSVLVLVSGQAAKTLTDQKNLKDKHTEDLPETSHASSTQEQLPSQKEADDCSSANQIDKISPDNSSSDGADAPKGRPMSPGTLALMCDEQDPMFMATASPIGSIAHACNTSSQLPYGQGMTDVYAEQERIVLTKFRDFLNRVITMGEINETKCSSIARSELESQNELIINGAGNASSETTQQQGDTSVVPPMTTSMSTSSTSLVPNNVVPENGEAKLEVEKDI >OIW09002 pep chromosome:LupAngTanjil_v1.0:LG06:31859777:31860523:-1 gene:TanjilG_05978 transcript:OIW09002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILTKQYRCIHSASCHCTKGHLTEEVLFLLFHHLNWNPKLIATLSCVCKWFDDFAKRVLWKEFCRTRAPKMMLDLQSSGSHSVDGNWRALGKLLIYCSGWKKGGLFNTNHVPGHFVDQTRFSRTSGKSFLLPQCRTDVLYVSDPCEHLDQGEEGDVGFFRGFFKSFATSKVRKMLINKGAKLHPTELCPYCKAKLWSMLQAKMIPQSASCRLGSYEDRVEYFVCLNGHMVGICTLLPLSDSEEPSELQ >OIW11030 pep chromosome:LupAngTanjil_v1.0:LG06:1475315:1475533:-1 gene:TanjilG_22837 transcript:OIW11030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHLRALYGRFSPDSFGLYFRLSFQSRTECLTTREIVVNHLVKKNLYKAQQRMKAQIDKNKGGRTFVVTEWV >OIW09681 pep chromosome:LupAngTanjil_v1.0:LG06:20135638:20136036:1 gene:TanjilG_06487 transcript:OIW09681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARHCGSLSRSLFSAARTIPTRSSSQPISPPSLRSHLLHSRRPLNSLPSSIGPLGCTYSMLPLHSAVASVRLTSRITVESRAFCELSQGMCYFMVLLVC >OIW09562 pep chromosome:LupAngTanjil_v1.0:LG06:22825517:22831910:1 gene:TanjilG_28161 transcript:OIW09562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYDNKLILAPMVRVGTLPFRLLAAQYGAHITYGEEIIDHKIIKCYRQFNERIGCTDFVEKGTENVVFRTCDEEKDRVVFQIGTSDAVRALNAAQLVCGDVAAIDINMGCPKSFSVSGGMGAALLSKPELIHDILTTLRRNLSTPVTCKIRLLKSPYDTVELARRIEKTGVSALAVHGRQVSDRPKDPANWSGIADVVSALSIPVIANGDVFECDDIQHIKSTTGASSVMVARGALWNASIFSPEGKISYEDVKREYIRKCFLWDNDIKNTKYTLRDMIGHYSSLELAEGKAVTKSESNADLA >OIW08957 pep chromosome:LupAngTanjil_v1.0:LG06:32225492:32225902:-1 gene:TanjilG_05933 transcript:OIW08957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVSALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >OIW08927 pep chromosome:LupAngTanjil_v1.0:LG06:32491243:32491863:-1 gene:TanjilG_05903 transcript:OIW08927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTPETSREPCPDRILDDIGGAFGMGAVGGSAFHFLKGLYNSPKGERLIGGSQGVRLNAPRVGGSFAVWGGLFSAFDCTMVYARQKEDPWNSIIAGAATGGFLSMRQGVGASARSAAFGGVLLALIEGAGIMLNKVLSAQQQVQMIMDEPLPPPGYSEAPADSGATEKPFFGGWFGGGKKEESSGGSETKILESFDAPSVPNFEYK >OIW10225 pep chromosome:LupAngTanjil_v1.0:LG06:7852239:7852454:1 gene:TanjilG_27976 transcript:OIW10225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNFQVRGVSSIALMFILLMSRVISRCISMGRRHVRCHDDCHKEHNSTRTHHILVFCNSHRNNHQIVVKLY >OIW10504 pep chromosome:LupAngTanjil_v1.0:LG06:6205917:6209279:-1 gene:TanjilG_00442 transcript:OIW10504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAVGGIGVRNSGKEYPGNLTPFVTVTCIVAAMGGLIFGYDIGISGGVTSMDPFLMKFFPEVYRKKKGVETTNKYCQYDSETLTIFTSSLYLAALLSSLVASTVTRKFGRKLSMLFGGLLFLVGALINGFAVKIWMLILGRILLGFGIGFANQSVPLYLSEMAPYRYRGALNIGFQMSITIGILVANILNYFFAKIKGGWGWRLSLGGAMVPALIITIGSLVLPDTPNSMIERGDRDAAKLQLQRVRGVDDVDEEFNDLVAASEASMLVEHPWSNLLQRKYRPHLTMAILIPFFQQFTGINVIMFYAPVLFSSIGFKDDASLMSAVITGIVNVLATTVSIYGVDKWGRRALFLEGGVQMFICQAVVAAAIGAKFGIDGNPGELPKWYAIVVVLFICLYVSGFAWSWGPLGWLVPSEIFPLEIRSAAQSINVSVNMLFTFIVAQVFLIMLCHMKFGLFIFFAFFVFVMSLFIHFFLPETKGIPIEEMGRVWREHPYWSRFVEHEDYDKGIEMAREATKNV >OIW09689 pep chromosome:LupAngTanjil_v1.0:LG06:19779682:19796535:-1 gene:TanjilG_06495 transcript:OIW09689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METTTLENCSVKVALHIRPLIDNERQQGCRECVSVTPGKPQVQVGSHSFTFDHVYGNGGSPSANIFEECVAPLVDGLFQGYNATVLAYGQTGSGKTYTMGTGCSDNCQTKLIPQVMNALFNKIEALKHQTEFQLHVSFIEILKEEVRDLLDTESLGKTETSNGHSGKVPGRSPIQIRETSNGAITLAGSTEVAVSTLQEMAACLEHGSLSRATGSTNMNKQSSRSHAIFTITLEQMRKLHSISSSNYTSEEDMGEEYISGKLHLVDLAGSERAKRTGSDGLRLQEGIHINKGLLALGNVISALGDEKKRKEGAHVPYRDSKLTRLLQDSLGGNSKTVMIACISPADINAEESLNTLKYANRARNIQNKPVANRDFISNEMQQMRQQLKYLQDELCARGGAPSDELRVLRQRIAWLEATNEDLCRKLHEYRSRCAFVGRSEIDELDGHIYHEKTDGLERQLQSSDSSDLPMAESISGEDSRETGEAAKELEHVLLQNRMDKEMNELNKCLEQKESEMNLIGVDIEALKQHFGKKIMELEEEKRTVQKERDCLLHEVEKLAANCDGVQKTQDVRVQKLKALEAQVQLQHKMKREAEQFRQWKTYREKELLQLKKEGRRNEYEMHKLEALNQRQKMVLQRKTEEATMATKRLKELLDARKYSLHENSVYANGHLQPGKVNEKSLHRWLDQELEVMVHVHEVRAEFDKQKQFQAALEEELALLKQVDQFSYGQSISTHRSRYSRLLSMSPGVKVARISSLENMLGMSSVALKSMASQLTGAEERERTLNNRERWNQLRSMGDAKNVLQYLFNATAETRCQLWEKHVELKDLKEQLSELVTLLQQSEAQRKELMTEQKTCEQAAIVALNTPTELEKSRSLKHLADEMSGPLSPMSLPAPKQLKFSPGVVNGSARESLTFVDDAQKMIPLGELSSKRLVAIGQAGKLWRWKRSHHQWLLQFKWKWQKPWKLSEWIKHSDETIMRSRPRAQASINMI >OIW10062 pep chromosome:LupAngTanjil_v1.0:LG06:10315677:10316369:-1 gene:TanjilG_32802 transcript:OIW10062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSETTPLCPSFSYDLSEQVTKHNVPRKDTFFMDSNPEFEFSTSISLGFESSSADELFYNGVILPIQVQQESTNTSKHTRYGESPYAKLPPRPCVPRVDKMKKKESTREVIDEKKIQSKSFWGFSRSRSLNCDTNKNLVTSIPLARSKSIGSALNPKRMSSNRHISASSSQSSIINLYPLQKSSSGKSYTNGLRISPVLNVPTPCISKGGASLFMLGSFLRVGKVKKSKI >OIW09325 pep chromosome:LupAngTanjil_v1.0:LG06:29535821:29538166:-1 gene:TanjilG_01296 transcript:OIW09325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENEGEEHKDSVNHLNSAPFNLNMLTANSHITILPSINTTSCVVDSDVAATITSQRTTALSSMKFAATQISRSISFVDRDSLPPAGNHGQSQVVGSIQQSNSPIRPYSTYDLRIQTGKGNMASQVSRPSIASSLKRSASQPPPSTVQGQRRKTVPTHPFIQPSIPTQTRLAPEVLNTPQAIPPLIHAAQSLTPHHNYSSGSPIFPTTQVQSALSALNISQTVHPLVQTSPFVAPHDNRIPSLHPSSHTPPLPNQQLLALSQVQQRLRAQIISSASNISLLHIKYTDETPEPIGHKCFLCKRDLSYRPEGPIFQPAALPAAAVLPCGHTFHEQCLERITPDDHCNDPPCIPCALGN >OIW10331 pep chromosome:LupAngTanjil_v1.0:LG06:8863903:8867504:-1 gene:TanjilG_28082 transcript:OIW10331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGMYRERVVGSISKSEDRKRINEVLDKQLERSSPSTSRPINPKDRDIRSSLNSSNISKNSNVSAAEESETDSEESDVSGSDGDDTSWISWFCNLRGNEFFCEVDDDYIQDDFNLCGLSSQVPYYDYALDLILDVESSHGDMFTEEQNELIESAAEMLYGLIHARYVLTSKGMAAMLDKYKNYDFGRCPRVYCSGQPCLPVGQSDIPRSSTVKIYCPRCEDLYYPRSKYQGNIDGAYFGTTFPHLFLMTYGQLKPQKPSQSYVPRVFGFKIHKP >OIW10239 pep chromosome:LupAngTanjil_v1.0:LG06:8017625:8019994:1 gene:TanjilG_27990 transcript:OIW10239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNTTSKHCPLLLIILMIICFVLNSATAETLTYNVVNFGAQPNSKMDSTKAFLSVWSKACASANPAAIYVPKGQFLLASSIIFKGPCANKAISIAIDGTLVAPSDYRVIGNAGNWLHFEYVNGISIRGGVLDGQGTALWDCKNSGKSNCPTGATTLAFSNSQNIVINGLTSMNSQMFHIVFNGCQNVKAQGVKVIADGNSPNTDGIHVQMSSHVTILYSNIRTGDDCVSIGPGTTNLWIENVACGPGHGISIGSLGKDMNEPGVQNVTVKTVTFTGTQNGVRIKSWGRPSNGFVRDILFQNAIMVNVQNPIVIDQNYCPDNKGCPGQASGVKISDVTYDNIHGTSATQVAVKFDCSSSYPCSGIRLKDVKLTYKNQVAQASCNHAGGAALGSVQPESCF >OIW10901 pep chromosome:LupAngTanjil_v1.0:LG06:2148920:2151955:1 gene:TanjilG_27847 transcript:OIW10901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWLARTIANSLRLDEDEQELHNIGDNLKSPQNKSESEPVQSDPQSPSSFASTPTARGVKEDLSEITKSISRQLWGVASFLAPPPDSDPVPLKPSASDRNPTSEPSDSNAIDEDIISGIRSDFEEISGRFRSGISKLSGNKTVSDFTKFASSFLQIGSDEAHGLDGVVGLTEEVLAFAGNIAMHPEIWLDFPHFVDPDSDDFDLSDPQQEHALAVERLVPSLAALRMELCPGYISDGCFWKIYFVLLHPRLNKSDADILSTPQIVEARAISSQALDKRSEENKISDFFPGANVPSNEEEERLSVPSSAQFESAPLQTSAVEAAPSMVVSNVEMKKHPVQCTGTHIIDTSVVKAAPVNPTVEQSSSGSANRFLDGSHETYEDDADDWLKEDTSEMVGSGGTSVPICNDEDVSFSDLEEDDNDVPAVHKKTTSGSDSSTKDSRDWVQLSRSTSKDVNSVESRHADSEHSSARNSYTKDSNDWLNVDDIDAM >OIW10964 pep chromosome:LupAngTanjil_v1.0:LG06:931253:937591:-1 gene:TanjilG_22771 transcript:OIW10964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKELVTIQVGDFANFVGSHFWNFQDELLGLASDPNADSVFKNQDLNMDVLYRSGETHQGIPTYTPRLVSINLRGSLGCMSSHGTLYKEDAPTPSDILTWTGGVSTQAHTPHNKNLFLQRLYEEENLSTVNENNGSNNGSHCEYQDKDIIESLENDVQFWTDYSKVHYHQRSLYELSGVWTDVDEFDNYGNGTNSFSWSSQGEEISDSLRFFVEECDHIQGFQFLVDDSGGFSAVAAEFLENIADEYKNTPVLLYTVRGPRPRSSPQSRKRTILGDLHDAVSFSRLSSYCKLIVPVGLPSLSKASKFLRIEDEKHYHSSAVYAAALHSISLPFRMLPVGPTTGACSVSGAVDVHGVIQMLSGQGRQNIVSVLDVAMPAHALNGGPNQLSLLENLQPLTPQVAEDVEDMQAVEYMTVHGALAPGGHHASVSEVKDKVDAAFQRANTRPLFRHLSVARSPLPIPLPFPSIFGNHVGQHGEFIGSEMTNSSSKGSIDVYSIPMAARLRSSSAVLPLLESKLQNLHQFGIARGAAGAEILRSWGFGKEELEEMEEMLSKMVSTLCPPQFSSDSD >OIW10224 pep chromosome:LupAngTanjil_v1.0:LG06:7837524:7841727:1 gene:TanjilG_27975 transcript:OIW10224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQTSNPPHDHENQALVLKSATISRLIVLTLTIIYRILVSPYDTSAPLNPPCLTPNNINNNNNNNNNNTLPISPRVASAIENGVVWDSVYFVRIAQCGYEYEQIYAFLPLLPISISFFSRTVFAVFVPFIGHRAVLALSGYVINNLAFVFAALYFYKLSTIILKDHGIALQATILFCFNPASIFYSSIYSESLYSLLSFGGMYYFVSGGSNLSVIFLALSGCARSNGVLNAGYLCFQTMHQAYDAMFQRKRVILALQIVIAGAIRSAFIFAPFIAFQAYGYYNMCVGRSPVELRPWCKAKVPLLYNYIQSHYWDVGFLRYFQVKQLPNFLLATPILSLALCSVVHYAKLRPQIFFSLGFQTSTEEKSCGVVFLSDDLSRSKVAGTVEKSSVRVEENFHLTRRKKLMKGDKVEIPIESEPAARPGYLSASVLPFVLHLGFMAGTAFFVMHVQVATRFLSASPPIYWFASYMMRHHAKCFRWGYMIWAYSALYIFLGSLLFSNFYPFT >OIW10080 pep chromosome:LupAngTanjil_v1.0:LG06:10128501:10130673:1 gene:TanjilG_32820 transcript:OIW10080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWICSLKFLFKYYGSVYAVPRSFRLLEELERGEKGIGDGTVSYGMDDADDVYMQSWTGTIIGPPGTVHEGRIYQLKLFCGQDYPNNPPSVRFQTRINMTCVNQETGMVDPHLFPMLANWKRECTMEDILMQLKKEMTSPQNRKLAQPLEGNEEGRIDQKGLVLRCCIV >OIW10845 pep chromosome:LupAngTanjil_v1.0:LG06:2589138:2595029:1 gene:TanjilG_27791 transcript:OIW10845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDLLLLMAVLENFKVSCFYTYISDSFLVPGATVATLLMLGALHARRMYQDKKTEELRDKGVEFEFQPDVKASFLRLLPLRSISRCWGYLTSMEIPVWLRPHVYRAWGRAFHSNLEEAALSLDKYASLKDFFVRTLKEGSRPIDADPQCLVSPVDGTVLRFGELNGAGAMIEQVKGFSYSVFSLLGASPSLPTTTDDNVQEEHSGSITTTEKSKKSWWRVSLASPKVWDPTLSRPQRGLFYCVVYLKPGDYHRVHSPADWNILVRRHFSGRLYPLNERATRTIRNLYIENERVVLEGLWQEGFMALAAIGATNIGSIELFIEPELQTNKPRKLLHSEPPEERVYECEGVGRMLKKGDELAAFNMGSTVVLVFQAPISKEFNGGDSSQEFKFCVKPGDRIRYGEALGRWHSSRHS >OIW10025 pep chromosome:LupAngTanjil_v1.0:LG06:10686648:10686809:1 gene:TanjilG_32765 transcript:OIW10025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNSSGFTMTLPTLDGKNYERWKVKMRVMFGYQKVLEIVQNEYQSVGEDATEA >OIW08903 pep chromosome:LupAngTanjil_v1.0:LG06:32688043:32690501:-1 gene:TanjilG_05879 transcript:OIW08903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPENSLLTAMNAKTIGYGTETIVFAHGYGTDQTIWDKITPFFAENYHVVLFDWSFSGAVKDKSLYDPMKYLSFEAFADDLITLLVQMELKDVTFVGHSMSGMIGCLASIKSPHLFKRLILLGASPRYINSDDYEGGFTSSDIEQLIMNIESNYENWVSAFSLLVVDPNDALSVKKFQECLKRMGVEVALSLAKTVFYCDYRDILEKVETPCTIIHTSHDIVVPNSVALYIEKNIGGKVTSKVIDAYGHFPQLTSHHKLVEVLKGVLGL >OIW09238 pep chromosome:LupAngTanjil_v1.0:LG06:30033880:30039369:1 gene:TanjilG_26451 transcript:OIW09238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPPPICSIDSLCSNQISSLLSPPSSLLLDQYYHTLLSSTHSSAINVQQDPNFGKGVYAAMPFNEGDLVLNDRIFVGVQHCQNKIDCFVCSFCFRFIGSIELQIGRMLYLQEVNASENHGCNEMEMDSSDEEESSQQCGSGSSSKTKIPLPEGVVESLMNGQLILPYSKEFPLPSPVPCHGGCGEVYYCSASCAEADWESSHSLLCTGERSDPARREALLKFVKHANETNDIFLLAAKAISTTILRYRKLKANNFDEQVKRDKSYDSNNHNFSLLLEAWRPISMGYKRRWWDCVALPEDIDSSDEASFRMQIKELAFKSLQLLKTAIFDKEYCNNLYNDPEFIFVSDLVVASPVEDYFLYIDDLTDPNKEEAEKITQPILDALGEDYSICCQGTAFFALQSCMNHSCCPNAKAFKRDEDRDGQATIIALRSISKGEEITISYVDEDLPYDERQASLADYGFRCRCPKCIEEEP >OIW11187 pep chromosome:LupAngTanjil_v1.0:LG06:36454:43564:-1 gene:TanjilG_22994 transcript:OIW11187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDEDEQVVSLSSSSSSDSGYDEYSVEDDKERKSQNVDALLSGKLIVKRQSLVPQVLSVPLCRKPFKPPCSSSNYNEHLSRRLSLRKRFVPWRQQQDSVLLETTNIHFNNTDLVQKQEQVENLCLPPGIDPLILWDPPQGHDHQPISVHPLLVRFLRPHQREGVQFMFDCVSGLHSSANIHGCILADDMGLGKTLQSITLLYTLLSQGFDGNPMVRRAIIVTPTSLVTNWEAEINKWLGSRIHLVALCESTREDVISGIQNFTSTRTILQVLIVSYETFRMHSSMFSSTDSCDLLICDEAHRLKNDQTITNRALAALPCKRRILLSGTPLQNDLAEFFAMVNFSNPGILGDIAHFRRYYEAPIICGREPTATAEEKKLGVGRSTELSIKVNQFILRRTNALLSNHLPPKVKRAITEEVKQSKILAYITALKKLCNHPKLIYDTIRSGSPGTSGFEDCIRFFPPEMLSGRSGSWTGGNGAWVELSGKMHVLGRLLAHLRQRTNDRIVLVSNYTQTLDLFAQLCREQRYPHLRLDGTTSISKRQKLVNCFNDPSKDEFVFLLSSKAGGCGLNLIGGNRLVLFDPDWNPANDKQVYQRQMSKEGLQKVIQQQQTDSIAAQGNLLSTEDLRDLFTFHESIKSEIHEKMRCTRCPTYDGPESTDEKYAASQSTIMNCEPDEETCDIGGFAGIAGCLEKLKRSEKQVGCPLEEDLGSWGHHFVPTSVPDAILQASAGDEVTFIFTNQINGKLVPIESTITPELQQKEPNNEILLKSKLNVRHSLHNKLPLRVRGVATTSTTSSFLKPSSIKEALHSVRITKNISASPVINSLPRKRSCPTNISNDDDYLD >OIW09326 pep chromosome:LupAngTanjil_v1.0:LG06:29544766:29548625:1 gene:TanjilG_01297 transcript:OIW09326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLKERLLPPKPASALNLREPANRPSASGRQPFQGVDVLGLKKRGQGLRSWIRVDTSGNSQAIEVDKFTMMRRCDLPARDLRLLDPLFVYPSTILGREKAIVVNLEQIRCIITADEVLLLNSLDNYVLHYVMELQRRLTTTGVGEVWQTDSSDMNRRRGSRSFENAFNNTSPDYLPFEFRALEIALEAACTFLDSQAAELEIEAYPLLDELTSKISTLNLERVRRLKSRLVALTRRVQKVRDEIEQLMDDDGDMAEMYLTEKKRRMELSFNGDQSFAGYRSVDGASISAPVSPVASPPEFRRLEKTLSIARSRHESMRSVDSATENIEELEMLLEAYFVVIDSTLNKLTSLKEYIDDTEDFINIQLDNVRNQLIQFELLLTTATFVVAIFGVVAGIFGMNFEIPLFNEPYAFNWVLIITGITGVFIFCAFVWFFKYRRLMPL >OIW10640 pep chromosome:LupAngTanjil_v1.0:LG06:4529462:4530280:1 gene:TanjilG_16012 transcript:OIW10640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTNDFKRCAAMEDASSNVSKRRKTTSSSPENSVDSTGTVVSCEFRSDRSPLSSCSSTVYSGEDVKDHNTTPLDPEVQSKGLEIIDSTHLHFESFSLSNEFYGDSEETMTCSSKMAPKEEIEEFLAMAEKYEQKQFVEKYNFNIATDTPLKGRYQWVRLN >OIW09812 pep chromosome:LupAngTanjil_v1.0:LG06:14572025:14574753:1 gene:TanjilG_32250 transcript:OIW09812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTRTLLKEMLHLSYTLPFSSLHLRPLSLPFLASQFPLSRSSPSIRCASSDSGANKVSSRLSQVQHLLHQAEERALSADTGPTPKITLDHVTVSFARSGGPGGQNVNKVNTKVDMRFNVQNAYWLSDRIRDKIVQMEKNRINKDGELVISSTKTRTQKGNIDDALAKLQEIIDAASYVPPPPSEEQKKKIAKMAAIGEHKRLKSKKVLSDKKAFRRSKSSWD >OIW09625 pep chromosome:LupAngTanjil_v1.0:LG06:21946222:21951725:-1 gene:TanjilG_28224 transcript:OIW09625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMVNEEIEHYHHQSSLAAPLIFLIVLSFQFASHWIDHFKKSGSDNEKETQLRGEIKQLLKEASSLTQPSTFAQAAKLRRLAAAKERELAKGQNSHHKDTALYSKVLLISKVLTYLVLLIWFWSVPVATISQQLVQPFGRLLSWRTRGVQDNNIMVGIIPWLIVSSRVSRFVCKLKPIENIANRFS >OIW10282 pep chromosome:LupAngTanjil_v1.0:LG06:8375765:8376629:1 gene:TanjilG_28033 transcript:OIW10282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEQTNTSFFNLGILDSLTKDSIHQIIDSYNGFCSTTQSLVSGDGDLSVGADFVSHIHALCKFGLESLVRDHFFHVLEETFERNGASRFWRHFDPYSHVAALNKNDDLNIDEDEIQSVLYTALEEISSEKQYQEKCLLMLVHAFQSYKDQVSGERHDLGERNYLTSKYQWIVSSVLMATLPQNFPGI >OIW09538 pep chromosome:LupAngTanjil_v1.0:LG06:23155313:23157298:-1 gene:TanjilG_30857 transcript:OIW09538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCTGSNGLHHRISALLEFSAADDVKGFKEAVEEEGHDVNEVGLWYGRRVGSKEVGYEERTPLMIAAMFGSKGVLSFILESGVVDVNKACGSDRATALHCAVVGGSTASPEVIRLLLHASADVSAVDANGNRCYDLIGIVSNSIFNSRKRILEAVLEGREGVDEAGLTFEEADGQMVRQQQLYIDSPRVSKDGTEKKDYHVDLSLPDIKDGMYSTDEFRMYTFKVKPCSRAYSHDWTECPFVHPCENARRRDPVKYQYSCVPCPEFRKGLCSKGDACEYAHGIFECWLHPAQYRTRLCKDESGCMRRVCFFAHKLEELRPLYASTGSALPSPQSYPASASSLDIGLDSRLSLGSPSVLTPPASAPPLIPSGASSTAGGAMWQSPTLQLARSRLKTALNARDFDLDIELFGHENRQQMLLLDEMAGFSPPSKWKSTMAKSPSFPVSLGDDAGELNRLAGVHTNLEDIFGSQLQSPTGIQAHQNVNKQLRGYSSSLSPSNVIGSPSFMVDLSGSASTLSLNSRNAALSKQSQNFIERCVVNHNSELSSPVEPSIFSGWGSPDGKLDWGIRGEELNKMRKSASFGFRATNSPLTLAATKAPPNVDEPDVSWVHSLVKDGPPIESGQYSVEEQRQQPQRYLNNGTDVVPAWLEQLYMEQEQEQMVA >OIW10437 pep chromosome:LupAngTanjil_v1.0:LG06:6454137:6462100:-1 gene:TanjilG_24997 transcript:OIW10437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGKAVIAVVHCTSGFTQNYGVPTIIPKTYVKALTALKASVLDLASHFIARFAALCKFLRTLATHTVNSGTKIQDCSLPVLVEYKMAEKLVMHVKADILQGDAMGDLLQKNSDLLLIAQWYL >OIW09877 pep chromosome:LupAngTanjil_v1.0:LG06:12953792:12956393:1 gene:TanjilG_24532 transcript:OIW09877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGRGVNGEYGRGVNNTMDTINAAAFVIESSQDRVSQPTLQKKKWGGWLNISGCFGYHKNRKRIGHAILVPEPTPPGSHSAAAVSSTQAPTITLPFVAPPSSPASLFQSEPPSVAQSPVGILSLTSVSASMYSPSGPASIFAIGPYAHETQLVSPPVFSAFTTEPSTAPFTPPPESVHLTTPSSPEVPFAQLLDPNNKHGDTFQRFQISHYDFQSYQFHPGSPLGQLISPRSCISASGTSSPLPDCEFTTVDTTKLLNLDKLSAYENQKSNQGSGSITPDAVRSTTQAGFHQNHWISEIKVSPHARNNHPNETSINHGVSFELSAQKVLRFVDSKPASSSWTNVLSKVKNGTATSGREENSRENVCHDKQLVTEIRNDVTQQATSGGDEATVHEKFQSLTLSSSKEFNFDNADGVDSHGPGIVTDWWANEKVAGKERGSSNNWSFFSMIQPGVN >OIW10355 pep chromosome:LupAngTanjil_v1.0:LG06:9090959:9095647:1 gene:TanjilG_28106 transcript:OIW10355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRRCSHCSHNGHNSRTCPNRGVKLFGVRLTDGSIRKSASMGNLSHYAGSSVSGGPLLGGAGGETPDQPSAGVVADGYASEDFVPGSSSSSRERKKGVPWTEEEHRMFLLGLQKLGKGDWRGIARNYVKTRTPTQVASHAQKYFIRQSNMSRRKRRSSLFDIVADENLLIFSMILQAAEAPMVEQDFLSANQFEADTEGNNPLPAPPTLDEECESMDSTNSNDGEPAGPAPLNPDINSVSSYPVMYPAYYSPFFPFPLPYWSGYSPAEPVKKEEMHEVLKPTAVHSKSPLNVDELVGMSKLSLGETIGDSGPSTLKQKLQEEGPSRQSAFHATPATTACGSSSMNGNVIHAV >OIW08993 pep chromosome:LupAngTanjil_v1.0:LG06:31923707:31926347:-1 gene:TanjilG_05969 transcript:OIW08993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPPGLVSNLQEALLNRKGVKPQHEEQESKDNAEPSEPVEAEIDPLKPIILVINSDGVDSPGLTNIVEALVREGLYNVHVCVPQSDKSVSGHSVTLRETVEASSANVSGATAFEISGTPVDCVSLALSGALFSWSKPTMVISGINRGSSCGHHMFYSGVVAGAREALLSGVPSLSISLNWKKDESQETDFKDAATVCLPLINAAIRDIEKGAFLKSCFLNIEIPTSPLSNKGFKLTKQSIWRSTPNWLAVSTNRYPAGHFMANQQGGLGIQFAQLGRDASAAGAARRLTTQKKNLEVIESIGAAGKSDSKKVKKYFRLEFLEKQQEDTDDDDLDYRALESGYVAVTPQSISPHIETDIQMAASDWISTMLHGEQ >OIW10661 pep chromosome:LupAngTanjil_v1.0:LG06:4213248:4217930:-1 gene:TanjilG_16033 transcript:OIW10661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSDPFTDKNTVFRKLKSKSENKICFDCNAKNPTWASVTYGIFLCIDCSAVHRSLGVHISFVRSTNLDSWSPEQLKTMSFGGNNRAQVFFKQHGWNDGGKIEAKYTSRAAELYKQILSKEVAKSMADEASLSSSVASQSPQVANGLPEVRTNELPKENSLGKPETPESTSSPRASHTIVSSTVKKSIVAKKSGKTGGLGARKLTKKPSESLYEQKPEEPPAPVSSATNKNLPTVPPQPSRFGYVENVQSSDLNSRAGDTDVHGHVSVPKSLSFFADFGMDSGFPKKSVLNSSKVQIQESDEARKKFSNAKSISSSQFFGDQNKAEDLAAQATLSKFSGKTAISSADLFGDSDSSIDLAASDLINRISFQAQEDISSLRNIAGETGKRLSSLANILITDLQDRIL >OIW09320 pep chromosome:LupAngTanjil_v1.0:LG06:29510280:29517993:1 gene:TanjilG_01291 transcript:OIW09320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEMMTSTSRGSTSSSNGLLTVTIADSTTKDNDNAIDDDDDLLVDPDDPFDITQTKNVPPESLKRWRQASFVLNASRRFRYTLDLKKEEEKEEKKSMIRAHAQVIRAALLFRLAGERELVTSSSVTAPTPVGNYGVGLEQLVSMSKDQSVKGLSNLVKSTPDKGISGDDADLIERKNEFGTNTYPRKKGRSFWRFLWESWQDLTLIILIIAAAVSLVLGIKTEGLEEGWYDGGSIAFAVLLVIVVTAVSDYRQSLQFQNLNAEKQNIQLEAIRGGRTIKISIFDIVVGEVVPLKIGDQVPADGVLITGHSLAIDESSMTGESKIVHKDHKSPFLMSGCKVADGVGSMLVTGVGINTEWGLLMASISEDTGEETPLQVRLNGVATFIGIVGLTVAVLVLAVLLGRYFSSHTKDLDGNVQFVAGKTSISDAVDGVIKIFTIAVTIVVVAVPEGLPLAVTLTLAYSMRKMMADKALAITQVRRLSACETMGSATTICSDKTGTLTLNQMTVVEAYVGRKKLNPPDDSSTMHPEVLSLTNEGIAQNTTGNIFVPQDGGETEVTGSPTEKAILSWAMKLGMKFDVIRKTSTILHVFPFNSEKKRGGVAVKVFFKDAIDDMAAQSLRCVAIAYRPCELDEVPSKEEDLEKWSLPENDLILLAIVGIKDPCRPGVKEAVRICTDAGVKVRMVTGDNLQTAKAIALECGILNSTEEAVEPTIIEGKTFRELSETEREQVAKKITVMGRSSPNDKLLLVQALRKGGEVVAVTGDGTNDAPALHEADIGLSMGISGTEVAKESSDIIILDDNFASVVKVVRWGRSVYANIQKFIQFQLTVNVAALVINVVAAISSGDVPLNAVQLLWVNLIMDTLGALALATEPPTDNLMHRSPVGRREPLITNIMWRNLLVQALYQVAILLVLNFGGESILSNHHSKAQTIQVKNTLIFNTFVLCQIFNEFNARKPEEMNVFRGVTKNRLFVGIVGVTFVLQIIIIEFLGKFTTTVRLDWKLWLASLCIGIVSWPLAMIGKLIPVPKTPLSRSLKKQLMRFKRSRGGGAAAP >OIW09364 pep chromosome:LupAngTanjil_v1.0:LG06:29773517:29777323:-1 gene:TanjilG_01335 transcript:OIW09364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNDFGTWQIVHIPEKEPHDLHQQPTENVVCSVIDPKNANQIVRRLNQIAPLENHRHVKRIHKKNLEGGKIELSVILCLASEGDNQLDGLPPYIHEMISSYQLSPFITKVCKYAATSKEEWQEQCKFWPTSYHPLTYKIDGITGFSEEDSKSVFKFMQSAIELAKSDGLVVNAAVIVDPSAKQIISNARDQVFAWNTCEVDSDIDSSCIRKPGLFNSRSISTGSAPRESFHLNGSSNQLKQPFTSVSCLYPWQWAEQQSQSQGSYYCHPLRHAAIVAIESSAARDRCLFPSEVNIEERYLEFDNESAPAKKQKTICANVEDYDKLNSHSCTSNQPFERPYLCTGYDIYLVWEPCTMCAMALVHQRIRRIFYAFPNPNAGALGSVHRLQGEKSLNHHYAVFRVLLPEEGQHTLRKCCTEVAETEEINIC >OIW09641 pep chromosome:LupAngTanjil_v1.0:LG06:21724674:21732756:-1 gene:TanjilG_28240 transcript:OIW09641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENRKKEKASSLRWKILSNALLRHRSPSNQDENSEMGIKQISRRTTHGFNLIPSHIVDDDECDSNKCDVCVCYTLPIPCTLQLFLKQRVDNHADLSDFEICNRYNIDNTGLVCNWPSEDVLAHYCISHSDMFRSKKVIELGSGYGLAGFVIAAVTEASEVVISDGNPQVVNYTQRNIETNRGAFGDTIVKSMMLHWNQEEVFNDADTFDIIVASDCTFFKDFHRGLAGIVKKLLSKSGSSEAIFLSPKRGDSLDLFLEVVRENGLHFSVTENYDTEVLKRHDGFLNGEDKDSWPSYEKEIKCKSIPPTQDMS >OIW08867 pep chromosome:LupAngTanjil_v1.0:LG06:32928402:32935618:-1 gene:TanjilG_05842 transcript:OIW08867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMADHDMETGTLYQDRPRTFPDMRTKPYTPLIFRILLGINVRILFLLLLLGFGAIFYMGARTSPIIVFVISVCILSFLLAIYLINWVLAKDEGPPEMVQISDAIRDGAEGFFRTQYGSISKMAMLLALLILFIYLFRTTTPQQESSGLGRSISAYITVAAFLLGALCSGFAGYVGMWVSVRANVRVSSAARRSSREALQVATRAGGLSSLIVVGMAVIGIAVLYATFYVWLGVDLPGSVKVTDLPLLLVGYGFGASFVALFAQLGGGIYTKAADVGADLVGKVEQGIPEDDPRNPAVIADLVGDNVGDCAARGADLFESIAAEIVSAMILGGTMAQRYPSGFILFPLVVHSFDLIVSSVGIFSIRGARESGLMAPTEDPMAILQKGYSITIVLAVLAFGVSTRWLLYTEQAPSAWFNFALCGLVGIITAYIFVMITKYYTDYKHEPVRTLALSSSTGHGTNIIAGVSLGLESTALPVLVISVSIISAFWLGQTAGLVDETGNPTGGLFGTAVATMGMLSTAAYILTMDMFGPIADNAGGIVEMSQQPESVREITDILDAVGNTTKATTKGFAIGSAALASFLLFSAYMDEVSTFAREPFKQVDIAIPEIFVGGLLGSMLIFVFSAWACSAVGRTAQEVVNEVRRQFVERPASASLREMIKPGALAIISPISVGFVFRILGYYTGHPLLGAKVVAAFLMFATVSGILMALFLNTAGGAWDNAKKYIETGALGGKGSEAHKAAVTGDTVGDPFKDTAGPSLHVLIKMLSTITLVMAPIFL >OIW09113 pep chromosome:LupAngTanjil_v1.0:LG06:30095390:30101647:1 gene:TanjilG_11251 transcript:OIW09113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGTDSDEFLLLFRARVGLKREFQFAMKAQSEICGGASLGRTRSNKTSTDRVPVQHSPCPKRFRKTGSFKDLEEHDDVVSEEDAKSDVLDVTSDDEPKNHVGELKSEGGDGMLEEPKNDVIDEGLLKNEALKEGVVCEISRSVFDDGVKEEESGVDGDIMVVSVNGDGDKGREMELEKPLRRLTRSVLRQNCDELKVFSDEENGGTEAVGVDDNVIMETTAMFICEEEPKSDIVGSVIINGGQTNEESRVVSLKEGVVDEIAKLVSENEIKEEHMVLQGLEKGVTDTPEVNASNDTTPILVSGFSDQVKEMAVEKAVRRFTRSALKPSCDETKAITYEENVIDIAVEMDNNLRREAENVTIVTTPTLTKTPRSSAFKKFPSKLKDLLTIGILEGLSVKYIRGSKARKPGEKGLLGVISGSGILCHCETCKGVVTPSMFELHAGSANKRPPEYIYLDNGNTLRDVMNACLGPLDTLDVAVQKVLGGFTMKKSTNCLNCRVRISEAGKGLSKLLCDSCLELKDSQRISFQTPAKNNTSFSVAVQTPAISNTSVSLAVQTPAIHNGSVPVPVQTPAISNGSVPVPVQTPAISIASVPVAVQTPAPAISNISVPVAVLSRSPEPAVVPKSLKNGMKHTTSCGKSQGKLTRKDLRLHKLVFEENVLEDGIELSYHAHGKPVLLGYKRGYGIHCSCCKKEISASQFEAHAGWASRRKPYLHIYTPEGVSLHDLSITLLKERRFSIRENDDLCSICQDGGDLLCCDGCPRAFHIDCVPLPCIPSGTWYCKYCQNNFQMDKHGERNGSAVDRRCIRVFKSVEVDHGGCALCRGHFFSRSFGPRTVIICDQCEKEYHVGCLKDHNMQNLEELPEGNWFCGTSCNQIHYTLMNLVVGEKNLPVPDSLLNLIKKKHEEKGKETEVGLDIKWRVLNWKLAASDETRKLLSKAVAIFHERFDPIVDSSSGRDFIPAMLYGRNIKGQDFGGMYCAVLSVNEVVVSAGVFRIFGPDVAELPLVATIGECQGQGYFQCLFSCIEGLLGSSNVRQLVLPAAEEAESIWTNKFGFTKLSQEEINSLRKFYQIMVFQGTSLLQKPVPAAL >OIW11070 pep chromosome:LupAngTanjil_v1.0:LG06:1751549:1756108:-1 gene:TanjilG_22877 transcript:OIW11070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEAKEIYSAPITPKTGLSPPVSCPPSQFHSPSLTRSPLLQSENGDAHSHPKSKTPKTPRTPRMSLTPRFITPLGSPVRKVLRFTKLDPQDAWLPITESRNGNKYYAAFHTLSSGIGIQALVLPVAFTILGWTWGIISLAIAFIWQLYTLWLLVHLHESVEQGIRYSRYLQLICATFGEKIGKFVAMFPILYLSAGTCTTLIIIGGTTARTFYQVVCGDACATAKPLTTTEWYLVFTCAAVVLSQLPNLNSIAGISLVGAVTAVGYCTSIWAVSVAQGALPGVSYDPIRKGSSAQSAFSILNALGIIAFAFRGHNLILEIQATMPSSEKHPSHVPMWKGVKVSYTIIAACLFPLAIGGYWAYGQLIPSNGGMLTALYAYHSHDVSSFVLGFTSIFVVVNGLCSFQIYGMPAFDDMESSYTTRMKKPCPWWLRSIFRIFFGFFCFFIGVAIPFLSSLAGLIGGVSLPVTLAYPCFMWLKIKKPKKHSVMWCLNWFLGTFGVSLSAILVVASIYIIVDTGINVSFFNPQ >OIW09159 pep chromosome:LupAngTanjil_v1.0:LG06:30498395:30501467:1 gene:TanjilG_11297 transcript:OIW09159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRMENFHKPTTRTNPHSFTDLFRREFGFSNPTAFPRRFSASQVIVKNLNLYGKLNGHEGCVNAVEFNSSGDMLVSGSDDKQVMLWNWASKTKLLAYPSGHLDNIFQTKIMPFTDDSKIVTSAGDGQVRLGLLREDGKVDTTVLGKHRGCVYKLAVEPGSPHILYSCGEDGFIHHYDLRSSSATRLFSCSSLEGNNKHPPKKIRLNSIVIDSRNPCYFAVGGSDEYARVYDIRTCQWDASEDSDRPVSTFCPQHLIESYDVHITALAYSSSSELLVSYNDELIYLFEKNASFGSTSSSSAASEDLKNHQEAQVYSGHRNAQTIKGVNFFGPNDEYVLSGSDCGHIFIWKKKGAELVRLMVGDQHVVNQLEPHPHIPILATCGIENNVKIWAPLASDIPPLPVNVKEITEANRKSREDRSRVTLTPDLIMHVLRLQRRQTLAYVERRYNRADNVNSEDAEGSALGLSDGDASSEEDYGGNSRDCNIS >OIW10244 pep chromosome:LupAngTanjil_v1.0:LG06:8069908:8073781:-1 gene:TanjilG_27995 transcript:OIW10244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCASYLTDQLLFFTHDYDSLQSFAVILIYIQIGCALIGSLGALYNGVCLVNVGIALFALVAIESSSQSLGRTYAFLLFSAIFLDISWFIFFTHEIWNISSKDYSALFIFSVKLTLAMQIVGFMVRLSSSLLWIQIYRLGASYVDTASRSADFDLRNGFLSPAPVTPTVARQTSGSSEMLGGSVYDPLYYSSMFEDGQENKHSYGMCNHDSVANDSTSVTEASHKSYVGRSFQAVGEENGPIKMELV >OIW09007 pep chromosome:LupAngTanjil_v1.0:LG06:31814849:31815445:1 gene:TanjilG_05983 transcript:OIW09007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQSRSTINQRINFFFIHEQIIGPPQHGVHHHQHRPDQHRHQYIRGSASQQQQPYRNHRHDAEHGAIVDGASEYDERLVAEEVEEEPRGDEDDEDDERDRVPEEAEEENEEENDGVVHLEEGEVGWNTGNGVGEAVGEGEGVEVEHGVPWAARGEAVFDALFGACDELEVGGGGGRIRGWDCAVVCHCYCDFNGKKEK >OIW11024 pep chromosome:LupAngTanjil_v1.0:LG06:1396226:1397699:1 gene:TanjilG_22831 transcript:OIW11024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKIEIKLIENPTNRQVTYSKRRNGIFKKAHELSVLCDSKVSLIMFSKNNKMHEYISPGFTTKKVLDQYQKTLGDIDLWHSHYEKMLENLKKLKDINHKLRRQIRHRIGEGMDDDLNFQQLRSLEEDMVSSIGKIRERKIKGTKEAKFHVIKTRTDTCRKKVRSLEQMNGNLLLELKEKCVIHPQFLLHDEGDPESAVAQANGASNLYAFCQHHTHLNLQHHGDDPGFKIDDLRLA >OIW10063 pep chromosome:LupAngTanjil_v1.0:LG06:10312064:10314013:1 gene:TanjilG_32803 transcript:OIW10063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLNSSEFAVMDDTKENLSPFPSKPLSIDAELWETAEERVQEILNVIQPNVVSESIRKEIIDYVQWLIRSYIGAEVLPFGSVPLKTYLPDGDIDFTVLSHENSDQDLAQSVCNILQSEKVKDIKHIHAQVQIVKCTVKNIAVDISFNQMSGLYALRFFEQVDEMVGRDHLLKRSIILIKAWLYYEGRMLGSHNGLLSTYAVEILVLYIINRFHKSLFGPLEVLYLFLDYYSKFEWETNYVSVDGPKPLSSLPEIVERAECDCDELLLSKEFLSSYRDIASKTTTRGFPPKYLNIMDPLRSDNNLGRSVNIGSLRRIKLALGYGARKLKDIFELPGERMGAAIEVFFKSTLDRNGKGERPDVAVPVPAFGIGKSLESDLNGDCDSYFGDLQNVQAYHVYAMPLTAHTSSSPSSPSQVDVKALSSTQQNWNMFYPMSTNVYVPGQTPYYPNVLSRGTGTYIPDMSHNSYRDTHSKVTIRPRKSPPANHNVLPKSPRKKQQVEVHSETETEGKPRSPFELVNEEFPLLPTIRKTSSSEPQESVHFTKEANIEPPEKEFPLLRRIHKISTPQGEESVNLTEQARNSSPSLLNIEFGTFCMAQSLTKLSLTTKDKFEDSGVSSSGGTMLAVPRVAMDRKEQSSESDKEGWIDSI >OIW10159 pep chromosome:LupAngTanjil_v1.0:LG06:7186615:7195429:1 gene:TanjilG_27910 transcript:OIW10159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEMMNVTIDSALVFLVPSFWEIKVAVAASLFVIFAYWFFTYRTGDLAADLSLNHNSDASDDKDKMGLLKGDSQTSSGYLIKLELLAAKNLGGANLNGTSDPYAIIMCGNEKRFSSMVPGSRNPMWGEEFNFSVDELPVQINVTIYDWDIIWKSAILGSVTVPVESEGQTGATWHTLDSPSGQVCLHIKTTKLSANSSRVNGYGGANTRRRMPLEKQGPTVVHQKPGPLQTIFDLHPDEVVDHSYSCALERSFLYHGRMYVSAWHICFHSNVFSKQMKVVIPFEDIDEIRRSQHALINPAITIILRMGAGGHGVPPLGSPDGRVRYKFASFWNRNHAFRNLQRTANNFHEMLEVEKKENAESELRAHSSSVRGGKITDKLPEESMPKTGKLQHFIKEEALVGIYNDDFPCTAEQFFNFLLNDDSNFTNKYRAVRKDTNLVMGQWHTAEEYDGQVREITFRSLCNSPMCPPDTAMTEWQHFVLSPNKKKLVFETVQQAHDVPFGSYFEVHCRWTLETNTESSCTLDIKVGAHFKKWCVMQSKIKSGAVNEYKKEVEVMLDVARSYVKSPTPDDETDKASSQPAVVLEN >OIW09760 pep chromosome:LupAngTanjil_v1.0:LG06:15942564:15948059:-1 gene:TanjilG_18675 transcript:OIW09760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHNQNAAVQARAKVQNRANVLQLKLIGQNHTTGLTSSLLRLFEPRPPLEYKPPPEKRKCPPLTGMAQFVSKFAEPGDDEYSPPVPVAETPAEKKARIHKLLVEKGAAKAAEELEKYDPHKDPNISGDPYKTLFVAKLSYESTESRIKREFESYGAIKRVRLVTDSVTNKPKGYAFIEYLHTRDMKAAYKQADGRKIEGRRVLVCVEHGRTVPNWRPRRLGGGLGTTRVGGEEVNQWHFGREILTCHSYSESNNNQDLLILKNLECERTDMVIDNFKDGEKSRERGKDRDQEQERSLERSSDRAKDRDYREDKHHRDRDRNRDRDRERERDHGHDRHRARDRDRGRDCNHDRDRVRDRDREHDRHHERDRDYEVEENDRGHFDRKTDYNHVESNHEKDRHADRHNDYELEDDLGYQPVHRHRHADSDHDD >OIW10744 pep chromosome:LupAngTanjil_v1.0:LG06:3527594:3528139:1 gene:TanjilG_27690 transcript:OIW10744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTNYLFVLILEQVNQCYKLLLKQLFCHEGVHNLGQKRICLSGPTDSGGGVMDASFSYDSSNDSWTVASSVSVEPVFKRSNGQDHWTRTCECLP >OIW09732 pep chromosome:LupAngTanjil_v1.0:LG06:18309272:18311872:-1 gene:TanjilG_09405 transcript:OIW09732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLGSTKRKGKEQKEEEEKSARSLAGSGTPPFRTYLRAVKSGPSAMELNADYSSDDEPSPNISNNSSSHPRPIPRAGIYGTFLAASVNLPLQGNALRQGNILFSGRKLLQEQEIHSTFGQWLGWLMAAIYMGGRIPQIWLNIKRGSVEGLNPLMFVFALIANVTYVGSIVVRTTEWESIKANMPWLLDAVVCVALDFFYIYYRYVRRRAESDEGDYHQEARKNVVS >OIW10483 pep chromosome:LupAngTanjil_v1.0:LG06:6054770:6064398:-1 gene:TanjilG_00421 transcript:OIW10483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSITQNLTATSISSSSSSFFAPSTFNSRLACTRFLEYSGLCVGRVAVVVQSWKKACNGNNIFSLRFEAYKTKVSRNGNIAKLQAGYLFPEIARRRNAHLLKFPDAKIISLGIGDTTEPIPEVITSAMSKRSYALSTLEGYSGYGAEQGEKPLRSAIASTFYNDLGIDDDDIFVSDGAKCDISRLQIVFGSNVKMAVQDPSYPAYVDSSVIMGQTGLYQKDVEKFANIEYMRCTPENGFFPDLSSISRPDIIFFCSPNNPTGAAATREQLTQLVQFAKDNGSIIVYDSAYAMYITGDNPRSIFEIPGAKEVALETSSFSKYAGFTGVRLGWTVVPKQLLFSDGFPVAKDFNRIVSTCFNGASNISQAGGLACLSPDGLKAMRDVIGFYKENTDIIVETFDSLGFKVYGGENAPYVWVYFPGRSSWDVFGEILEKTHVVTTPGSGFGPGGEGFVRAYVDSSVIMGQTGLYQKDVEKFANIEYMRCTPENGFFPDLSSISRPDIIFFCSPNNPTGAAATREQLTQLVQFAKDNGSIIVYDSAYAMYITGDNPRSIFEIPGAKEVALETSSFSKYAGFTGVRLGWTVVPKQLLFSDGFPVAKDFNRIVSTCFNGASNISQAGGLACLSPDGLKAMRDVIGFYKENTDIIVETFDSLGFKVYGGENAPYVWVYFPGRSSWDVFGEILEKTHVVTTPGSGFGPGGEGFVRVSAFGHRENVLEACRRFRQLYK >OIW10454 pep chromosome:LupAngTanjil_v1.0:LG06:5867603:5867923:-1 gene:TanjilG_00392 transcript:OIW10454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYYSCTMLVFFIVVSFSMMNTGLGARNLLQTTTSPGSSLPPIPTLPQGSAPPLPTTNPSLPSFPTFPTIPQFTLPPLPSFPSIPNIPFTFPSFPFFSPPPSTTSP >OIW10068 pep chromosome:LupAngTanjil_v1.0:LG06:10235068:10238076:-1 gene:TanjilG_32808 transcript:OIW10068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKRFFDDSNQDPNKPNDKRMRPTRPSFASVIGEVVMVKNLQGLFSGLEPLLRRVVNEEVEQAMRRCYLPSMTRSPSLRIQASEHPSSFQLIFNKKLSLPIFTGSRILDIDGNPIHVVLVDKTSNQQMVPTSLPQAIKLELVVLDGDFPHSDNESWMSEEFNNHIVKERTGKRPLLTGELNLTMRDGIAPIEDLEFTDNSSWIRSRKFRVAVRVASESNQGVRIREGMTEAFVVKDHRGELYKKHHPPMLKDEVWRLEKIGKDGAFHKKLSLHGINTVQDFLKLSVVDPNKLRKILGIGMSEKMWEVTVKHAKTCIMGNKYYIFRGPHFTIVLNPICQMIRAEINGQVFPGRELNNITNKSYIQKLVREAYATWNNLEELDGVLNDNVALLNQRETVEQLPNNHQAQVVAYDQNDYFGEKSTMEVDNYEWPVNTTFATTPSVNQLGMAFNFSETHSEDAITPGPGSVFNGNPRWH >OIW09839 pep chromosome:LupAngTanjil_v1.0:LG06:13786882:13804166:-1 gene:TanjilG_20546 transcript:OIW09839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRGKTQMKRIENETSRQVTFSKRRNGLLKKAFELSVLCDAEVALMVFSTRGKLYEFSSSSINKTVERYQKKVKDLSHNTNGIQENTQHVMEGDISMAKKIEHLEVSKRMLLGEGLGTCCINDLQQLEKQLERSLNKIRAKKSQLFKEKIEKLREEEKCLLDENRRLQEQCKIEKQQSLSKQDLELVGEIRSEEEVETELFIGPPERRS >OIW08862 pep chromosome:LupAngTanjil_v1.0:LG06:33047477:33060602:-1 gene:TanjilG_25105 transcript:OIW08862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRVLEFQCFDGCGVEGRGSRVQGPRTRVYGLRSRVQGRGSRVQGPRSTVHGPGSTVHGIGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRVQGPGSRNY >OIW09254 pep chromosome:LupAngTanjil_v1.0:LG06:28703253:28705394:-1 gene:TanjilG_01225 transcript:OIW09254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTSIYISATFNSNSAQGKKCKYVGQSVRAVPIRIVSVGKKRSQGLQLIVDDYVEKLKYYCAVEDVQIRSNPRNARDQRAQVDDEDSAVMNLIRSDDWVVMLDEHGQDIGSEQMAELVGDAGNTGASRLYFCIGGPYGHGRKLRERANLSIKLSSLVLNHQIALLVLMEQLYR >OIW09130 pep chromosome:LupAngTanjil_v1.0:LG06:30227238:30228560:-1 gene:TanjilG_11268 transcript:OIW09130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNASSPTPRTRSQPSTQTKKPSTQPNRLPATEPPTSSSEPSTSYSYNTGSTGYRLTSDTSISSRTSLSSLRHTLPENPHIYDFSEISSATNNFLSKRHSSSSATPSWRCILRGADVIVFQRKFRHKLQTDELRQRLSVICRSHHVSIIKLLGASISGDHIYLVYEFVNGANLADCLRNTKNVHFTVLSTWLSRMQVATDLAHALDYVHNKTGLNINIVHNHIKSSGIIVTEPSFNARVCHFGAAQLCGDIEPENETAARKLEEIVEEKSDSSSPARSKELRRSESRRLQFQGVRGYMSPEFQAAGVATQKSDVYAFGVVLLELLTGEEPLKFRFDKNSGDFVKTSVIDTARYAVDGGGEGSVEGRLRKWVDRRLKDSFPVEVAEKVTRVALDCVHVDPDKRPNMGRVAGKISKLYLESTIWSESIKMPTGISVSLAAR >OIW09173 pep chromosome:LupAngTanjil_v1.0:LG06:30622402:30626198:-1 gene:TanjilG_11311 transcript:OIW09173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNSSNGNHQTTTKQPPMPSPLRFSKFFQPNMRILVTGGAGFIGSHLVDRLMENEKNEVIVADNYFTGSKDNLKKWIGHPRFELIRHDVTEPLFVEVDQIYHLACPASPIFYKYNPVKTIKTNVIGTLNMLGLAKRVGARILLTSTSEVYGDPLVHPQPESYWGNVNPIGVRSCYDEGKRVAETLMFDYHRQHGLEIRIARIFNTYGPRMNIDDGRVVSNFIAQALRDEPLTVQSPGTQTRSFCYVSDLVDGLIRLMGGSNTGPINLGNPGEFTMTELAETVKELINPNLHIKTVENTPDDPQQRKPDITKAKELLGWEPKVKLRNGLPLMEEDFRLRLGVEKIKSS >OIW09482 pep chromosome:LupAngTanjil_v1.0:LG06:25425384:25428486:1 gene:TanjilG_23765 transcript:OIW09482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISRDSMHKRRATGGKKKAWRKKRKYELGRQPANTKLSSNKTVRRIRVRGGNVKWRALRLDTGNFSWGSEAVTRKTRLLDVVYNASNNELVRTQTLVKGAIVQVDAAPFKQWYLQHYGIEIGRKKKSTTSAKKEGEVAETTTEEAKKSNHVLRKLEKRTQNRVLDPHIEEQFGGGRLLASISSRPGQCGRADGYILEGKELEFYIKKLQRKKGKGAAA >OIW09965 pep chromosome:LupAngTanjil_v1.0:LG06:11817419:11820464:1 gene:TanjilG_18272 transcript:OIW09965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSNNITSVLNFIAILTSIPIIASGIWLSSKPDNICIHNFRWPLVILGLLILLVSLSGFIGAYWNKQGLLALYLFSMALLIVIVLVLLVFAFVVTRPDGSYVVPGRGYEEYKLDRFSKWLRNYVTDSGSWEKIKTCLADSDVCVKLTQNYITSDQFFASHHISPLQSGCCKPPTSCGFTYVSPILWTNPVNAIADPDCYLWNNDQSQLCYNCNACKAGLLGNLRKEWRKANIILIVAVVVLIWVYVIACSAFKNAQTEDLFQRYKQGWV >OIW10559 pep chromosome:LupAngTanjil_v1.0:LG06:5217218:5219293:-1 gene:TanjilG_15931 transcript:OIW10559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRNINGSDRRVLTFPAVHPCEDISLSTLLSSLITLSHTISNFKCKFFSCNKRNARKIIYLVQLLQPFFQEILENESGLISGSGSATLCLSELHITFQKLLFLLEDLTREGARLRMLMESSRVANQFRVLSRSVATALDVISFGSVEVEMKEQVELLMNQARKGKFEVEPNDKRAIMVVMEALTRFENRFDPDEGDVRWVLDHIGVRTWSECNKEVKFLDSEYGFEGFNEGSRKVGFLCSLMALMSYCRCLFMEVVEEGMKKCDKVGESSIESEIGMVLSCINSDDFRCPISLELMSDPVTIETGHTYDRCSILKWFTSGNLICPKTGKRLGNIELVPNLVLRRLIQQYCYANSIPVADLGRRNRDIIRIVQPGSLAQEEAMKMVAGSLCGMLENGVVAEKNRAAFEMRLLSKTSIFNRSCLVEAGSVPLLLKLLSSRDSLAQENAIAALLNLSKYPKSRAMIVENWGLESIVAVLKKGLKIEARQHAAAVLFYLASNDRYRKVIGEEPDAIPSLIRLIKDGSDRAKKNGLVALFGLLTHQPENQKRVLEADAVSLLINIIKECEKEELVTDSLAVLAIVAEKGEGAAAILHCGALPVALEILRSSSSRVGKEHCVTLLLSLSKNGGPDVVAYLVKSSSLMGSLYSQLSEGTSRASKKASALIRILHDFYERRSSGFKVSVIPREQFIDVW >OIW08874 pep chromosome:LupAngTanjil_v1.0:LG06:32898798:32899862:1 gene:TanjilG_05849 transcript:OIW08874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMRPIQPPPSSTSSPSSAPPHRPPHRRRKDLTLPLPHRDTNLAVPLPLPPSSAPSSAPSSASVHHHIPFSELERLNRIGSGSGGTVYKAVHRPTNRLYALKVIYGHHEESVRRQIHREIQILRDVDDQNVVKCHDMYDNNAEIQVLLEFMDGGSLEGKHIPNEQNLADLSRQILRGLSYLHRRHIVHRDIKPSNLLFNSRKQVKIADFGVGRILNQTMDPCNSSVGTIAYMSPERINTDINDGQYDAYAGDIWSFGVSILEFYMGRFPFAVGRQGDWASLMCAICMAPPPEAPVTASPEFRDFVSRCLQREPSRRWSASRLLGHPFIAQGIVNHGQVHHNLHQLLPPPKPLSS >OIW10133 pep chromosome:LupAngTanjil_v1.0:LG06:9531834:9535784:-1 gene:TanjilG_21970 transcript:OIW10133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITLIDFYHVMTAMVPLYVAMILAYGSVKWWKIFSPSQCSGINRFVALFAVPLLSFHFIASNNPYKMNLRFIAADTLQKVIVLLVLFIWSNLSKRGCLEWTITLFSISTLPNTLVMGIPLLKGMYGEFSGSLMVQIVVLQCIIWYTLMLFMFEYRGAKMLISEQFPDTAGTIVSIHVDSDVMSLDGRQVLETEAEIKQDGKLHVTVRKSNASRSDIFSRRSQGHPSTTPRHSNLTNAEIYSLQSSRNPTPRGSSFNHTDFYSMMAGGRNSNFGANDASRGPTPRTSSFDEEGGGGNNNNKTRYHYPSGTGHYPTPNPGMFSPTTASKNIPSNVNAKSTNGQAQQNKTEDGNKDLHMFVWSSSASPVSDVFGAHEYTNVHDHKDVKLNVSPRKVEDNRDSTQEEYLGKDGLSFGNKGMEHEGGKVGNENPKTMPPTSVMTRLILIMVWRKLIRNPNTYSSLLGLIWSLISFRWNVEMPAIIAKSISILSDAGLGMAMFSLGLFMALQPRIIACGNSKAAFSMAMRFLTGPAVMAASSIAVGLRGTLLHVAIVQAALPQGIVPFVFAKEYNVHPDILSTGSV >OIW09209 pep chromosome:LupAngTanjil_v1.0:LG06:30863924:30867629:-1 gene:TanjilG_11347 transcript:OIW09209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANFIGLRFQPRLQICSSSSVTNHDTLSKLTLTKNWSNRGGGVKAPRRVVLGFGASFLSQFMSMAGVVIGSNNSFIASARIKGSSSVDQILKNVEWPEQFPFKEEDFQRYDESPDSVFYDAPRFVTHIDDPAIASLTKYYSTVFPPSNTAGVSILDMCSSWVSHFPSGYKQERVVGLGLNEEELKRNPVLTEFVVQDLNVNPTLPFEDNSFDIITNVVSVDYLKKPLDVFKEMRRTLRPGGLAIMSFSNRCFWTKAISIWTSTGDADHVMIVGSYFHYAGGFEPPQGVDISPNPGRSDPMYIVYSRKLSTA >OIW10099 pep chromosome:LupAngTanjil_v1.0:LG06:9865400:9866276:1 gene:TanjilG_21936 transcript:OIW10099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEDVVTKNEEEEFNTGPLSVLMMSVKNNTQVLINCRNNKKLLGRVRAFDRHCNMVLENVREMWTEVPKTGKGKKKAQPVNKDRFISKMFLRGDSVIIVLRNPK >OIW09873 pep chromosome:LupAngTanjil_v1.0:LG06:13039223:13041833:1 gene:TanjilG_24528 transcript:OIW09873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALDPKAVKSDLVLILDYGSQYTHLITRRIRSLNVFSLCISGTSPLSAITDLKPSVVILSGGPHSVHTPDSPSFPEGFLHWAESNGVFVLGICYGLQLLVQRLGGVVCIGNKQEYGRMDVNVLRESALFGAEKVGRSQVVWMSHGDEAVKLPEGFDVVARSEQGAVAAIENPSRKFFGLQYHPEVTHTPEGMDTLRHFLFDVCGVSAGWTMEDVLDEEIKVINNTVAADDHVICALSGGVDSTVAATLVHKAIGDRLHCVFVDNGLLRYKERERVMETFEKNLHLPVTCVDASNQFLTELKGVTDPEKKRKIIGKEFISIFDAFAQELEQKLGKKPSYLVQGTLYPDVIESCPPPGSGRTHSHTIKSHHNVGGLPKDMKLKLIEPLKLLFKDEVRQLGRILDVPGSFLKRHPFPGPGLAVRVLGDVTEGNALEILREVDEIFIQSIKDAGLYDSIWQAFAVFLPVRSVGVQGDQRTHSHVVALRAVTSQDGMTADWYYFEHKFLDDVSRKICNGVRGVNRVVQDITSKPPSTIEWE >OIW09216 pep chromosome:LupAngTanjil_v1.0:LG06:30913785:30917368:-1 gene:TanjilG_11354 transcript:OIW09216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLFKTGSQTDTKLNSPDKATTLNPNAAEFVPFSLRSSPSGTTGSVDATARLTTPEPLVKAVLDRSESSVSNNSDDEAHQYWRCQLPDDITPDFKVMGDESQDLNNLSFAGLSIHDDGDDSSSFPSSKGSRFILNEQQHLNGNTIADDKFRFSNSTYTVEPSSASVLRPLAKPWDRQIGNTNQHVSGGPEALTYIDNSRHGFLNDILSDGGIVDEASLNPLEFLASLFPGFASESLGEVYFANGCDLHLTIDMLTQLELQVEDSFNQQTSKALSAPNLTAMDFPALTSPNGQISSAKYATDNAQQSGNPYLSSGKDMLMFRTSSSIPSRGAIDFASAVRKLASQDSGIWKYNRNGSGDASTGSSRSLNVLASGCNGVQGKANFGDRSQNRGSARTAPVWLETGDAVANMYSELREEARDHARLRNAYFEQARQAYLIGNKALAKELSAKGQAHNTHMKAAHGKAQESIYRQRNPVGPQMVQVNGRGHERMIDLHGLHVSEALHVLKHELSVLRRTARAAEQRLQVYICIGTGHHTRGSRTPARLPIAVQRYLLEEEGLDFTEPQPGLLHVVIY >OIW09920 pep chromosome:LupAngTanjil_v1.0:LG06:12374769:12379828:-1 gene:TanjilG_32069 transcript:OIW09920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMKKENESELVRLCIEAACQSKETVDKWRLQRRTLDRLPSPLADALLRRLLTRRLLHPSLLEVFKHSAEEIDLRGYTGVDAEWIAYLGGFRHLQYMNIADSHRMSSLKELDLSRCSKVNDAAINHIISIANLEKLHISETSVTAKGVKLLASLKNLSLLDLGGLPIDDMSLTSLQVLKKLQYLDLWGSEISNQGAAVLNTFPKLTYLNLAWTSVTKLPNLSSLECLNMSNCTIDTILEDDKAPLVKLILSGATFLNEDEALLYANTNFLSFLDAAHSNLCRFSFLSKMEVIEHLNLSSCMMGDDLVEMVACVGGKLKSLNLSGTKVSSVGLGILAGHVPNLESLSLSQTQVDDTTILFISMMPSLKVVDLSTTNIKGILHQGRNNLDLVFSLSALQNLKQLERLNLEHTQVMDTCLCPLGSFQELRYLSLKNPSLADITFDYLSSIPKLTNLSICDAVLTNSGLHMFKPPETLKVLDLSGCWLISEDAILAFCRVHPQIEVRHELLTSFPYDQSGLNYSSPSRLTSSPIQATKKKEHMSVSPHFIDQRLKYSRDDLLALQFMSLPFASSNDRSTSVFEKKLE >OIW11028 pep chromosome:LupAngTanjil_v1.0:LG06:1422655:1423903:-1 gene:TanjilG_22835 transcript:OIW11028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTLCDACESAAAIVFCAADEAALCRACDEKVHMCNKLASRHVRVGLASPSAVPRCDICENAPAFFYCDTDGSSLCLQCDMLVHVGGKRTHGRYLLFRQRVEFPGDKPSLAGNSHSQTVEPGETKRGQNSLPRLKMGDKQQNHMMLSSPEPGADGQAKMETEMFDLNMNPNKIHEHLSNNQVRAQWK >OIW10611 pep chromosome:LupAngTanjil_v1.0:LG06:4775554:4780745:1 gene:TanjilG_15983 transcript:OIW10611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSISCTKLTLFTIGAIIIQFIGLSIFVFGFFPVKPTLSGHRFNDFLSMLVVPRVSNHQPATTMALQIKATLLFLPTALSCSSEIPPSYDRLIIMVIDGLPAEFVLGKKGQPPGKAFMEAMPYTQSLLAKCVGVGYHAIAAAPTVTMPRLKAMVSGAIGGFLDVAFNFNTQAYLDDNLLAQFFRSGQKMVMHGDDTWLKLFPGLFHRHDGVNSFFVKDTVQVDQNVSRHLNGELSRDDWDFLILHYLGLDHVGHTGGRNSVLMASKLSEMDEVVKMIHMNTLQNLQNGQGQTLLVIVSDHGMTENGNHGGSSYEETDSLALFISPKNHACNHALSNHNTVFQVDIAPTLALLFGVPIPKNNVGVLIPQMIDSMTDVQKLRALQLNSWQLFRLLQAQLPGLSCRNFPCDAFVTSSGHTISECKGSMEKLFCFLYLNAATAHDAWKAEVVTRSNSTEGYNNIVAAYHEFLSKASEWLSHKATDKPINLLLFGVAALITSCLILLRLVFVIHKDVSAGGTQDLGNYMKPWKSDEVFILFSIMILVISMGSSSMIEEEHYIWHFLTSTISLLFLRKAVQSFECDKAHGFLSSIKTTNNISGCQMGLLFLILCAGRILRGWHQGGVNWTNLPDISKWLEQADSHYINLIQIASCVMIIILGISVLYLMGSKTKVKMMIGSGLLMSGFLVLHHFMKHQDMSSSYNKDAIISIQIFYAILGITTVTAVLVLPWIMHIKTPETCSRQNFYISTSAPLVLKDSLYVVGCVYITSWCLLQLLLQQPINAMPVLLLFVQVLASMLTFSFSGSLHKQWVEVSCKML >OIW10608 pep chromosome:LupAngTanjil_v1.0:LG06:4799598:4805185:-1 gene:TanjilG_15980 transcript:OIW10608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLTGILGFHSLFFNAFLLIAFVFIRYVWKNAQEKKEEHVQNAAMSATASVDLAQYYEFSEEVSVPVTSSGQHQCAVCYSPTTMRCSHCKAIRYCSGKCQIIHWRQGHKDECYPPISTMWLEEENPPHRVAVSETQFGFHVRSFAEIKGTCAGLEDFNNSSLVAASKLFDDDCNSILAEDVCNYTAGDTSAASAHPVKAVSSPLVPVESKKSVKTEVKNSSASKRNKTKSSNNANETGLKSKFPKTMSEISYVMEPNLSSHEPRRKIAMVEKSVTGTCKGKIMPSLNSACTESADNVEEAPRSKFKEGPRSSSSGDQLSSTNKGDLVSFTNSSKSDNYHRLPAKVSDNQNLAQNVQSGFKTSVQKVVQHLRMSKESKSTENEISFPYELFMKLYCYDKVKLLPFGLINCGNRHLHFLFVLKHVTFLLMKYFSCSCYANADLLANWISTSFSLGQKKGWCFICEFEHLIQKAKEGGSPLSPIRILSKIQKIGSHLGHGREEDSHDFFRCVIDTMQSICLKNAGKSSPLAEETTLVRYTFGGYLRSKIKCLRCTGKSERYERIMDLTVEIDGDIGTLEEALGQFTSPETLDIDNKYNCSRCKSSEKARKKLTILEAPNILTIVLKRFQPGNYKKLVQFPEVLNMSPYMSGTKDKSPLYSLYAVVVHLDTMAAAFSGHYVCYVKNMQGEWFKIDDSRVEPVELSTVLSERAYMLLYARQCPKPLGLVNSHAISSAGKLKRRSFEAVPAASKTRSHSVAAVADSPSLQPKQCRYPHWNAVNDSVNNGFAVYPEQWRFNYGGRNTLMYSSSESSSLFSSSDASSCGTASIKESASPADFSDYIFGESGPTWYSHCGT >OIW09367 pep chromosome:LupAngTanjil_v1.0:LG06:29800190:29802273:1 gene:TanjilG_01338 transcript:OIW09367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVTAPEKKQRVPSAYNRFIKEEIQRIKASNPDISHREAFSTAAKNWAHFPHINYGLKLDANKQTNLPGEGTTKSNGFY >OIW09995 pep chromosome:LupAngTanjil_v1.0:LG06:11088161:11090988:-1 gene:TanjilG_32735 transcript:OIW09995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENQRMDLNDEAEQEEDPSSSTFLDLTSYQLHDLDSVELPLTLTELDLTSNRLSTLDPRIQHLSLLNKLSLRQNLITDDAVSPLSSWTSLSQLQELVLRDNHLKKIPDVTIFKKLLVFDVSFNEITSLHGLSSVSDTLKELYVSKNEVPKIEEIDHFHQLNILELGSNKLRVMENLQNLTNLQELWLGRNRIKVINLCGLNCIKKISLQSNRLTSMTGLEGCIALEELYLSHNGISKMEGLSSLVNLRVLDVSSNKLTSIDDIQNLTQLEDLWLNDNQIESLEGISEAVAGSKEKLTTIYLEKNPCAKSPNYTAVLREIFLNIQQIDSDVFS >OIW09112 pep chromosome:LupAngTanjil_v1.0:LG06:30082383:30086636:-1 gene:TanjilG_11250 transcript:OIW09112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIAGVHSVAVVDSTFLRDSPSQSPGRRGDGERGSSQPSSILQIWREIEDEHLVSQVQGGSGEALLQERSDGLVPELSQESMPDIRRREGHLIGDAVLGENGSEACSQLQSQSESHDEHVELNNSSSENSSNLGEVERGRVRQIFREWMISGDRDHASNIYRGNSGSRGEWLEEVEQERVSVTRDLVQTSGQQSAVSSGDNKEERPSEIGTQVESVRDGFVVNPNEGQPEHTRRGIRKICGRQVLLDMLKKAEMERQREVQELLDHQAVSHFPHRNRIQALLRGRFLRNDRSVDNNRSISIAESELGLLRKKQSVSGLREGFFSRKDNSGCSQATSNLSDTSSVSDIDVNTIEKTGASSLHMVPTIHSEQSDAGNRGSDDRPGISGVQNCSLGIACENLDWQDSNANGETREWGSSSSIGVTRSDSSEQNIDMMPTEGTANDLAQQSLQIEDTRHSNMQELTGVHTEQPEVIDIMDDESNLSNHNIHVEGNIVEWRNSTVESVDGNQLSSISNEWPQNTLGDGVNSRLQEAPEPEMWQEDGDFQEAVEIWLGAPSDHEVAQDGRVHGFYFPDDNNVYSVELRELLSRRSVSNLLHSSFRESLDQLIQSYVERQGHAPVQWELQEPISPPSTEQDLEQQSRNRITRPEDTINSPLDLPLPPPPPPPPRPQWDRHSRHDNWSQNDINNHRLGIDWDIIGDMRIDMVRLQQRMNNMQRMMETCMDMQLELQRSIKQEVSAALNRSTTSSGIDDHDSQNGQSNWECVRKGVCCMCCESNIDSLLYRCGHMCTCSKCANDLLQSRRKCPMCYAPVVEVIRAYSI >OIW10404 pep chromosome:LupAngTanjil_v1.0:LG06:6641297:6642238:1 gene:TanjilG_05552 transcript:OIW10404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEREVEQGRGGGEIGGDRNQQPQPPPPPQQQQQQCPRCESLNTKFCYYNNYSLSQPRFFCKACKRYWTQGGILRNIPIGGAARKRKRSKGSSSSSSTAMSSSSGQQPQLQPQPATQEVVQQLQNQPNMTTLVRPIPPRMVQSTNPYYQGGSIGSGYLSSLAAVHSLNPQPQPMNQSLNVGVGDVVASTNLGLLSSFHDRASLGLQHQHPDQIHAPRFYQIGNNRMVEPLLRQEHGFNVPPNMASNATAYGTDHWLQRFINNTNHRAPDTSLWSTVSTTFSISSNTRNNTRGGGSSSLMPNHWSNFPGNGSPYQ >OIW09628 pep chromosome:LupAngTanjil_v1.0:LG06:21895310:21900650:1 gene:TanjilG_28227 transcript:OIW09628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPRYSRIAPRKSSSSSPYTLILTLLVIFTFLVLILLALGILSIPNTSGNRGGLHKPNDLSSLARNVVNSDSDDGDGEKWVELVSWEPRAFVYHNFLTKEECEYLISIAKPSMHKSTVVDSETGKSKDSRVRTSSGTFLARGRDKIVRNIEKRIADFTFIPIEHGEGLQVLHYEVGQKYEPHYDYFMDDFNTQNGGQRIATVLMYLTDVEEGGETVFPDAKGNFSSVPWWNELSECGKKGLSIKPKQGDALLFWSMKPDATLDPSSLHGGCPVIKGNKWSSTKWMRVNEYKV >OIW10630 pep chromosome:LupAngTanjil_v1.0:LG06:4635202:4635360:-1 gene:TanjilG_16002 transcript:OIW10630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLILGDLANQLMHDLELDDVTFIGIVLECNNAGLLEEDCQIFASIGTIKILS >OIW09616 pep chromosome:LupAngTanjil_v1.0:LG06:22133678:22141709:-1 gene:TanjilG_28215 transcript:OIW09616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVIWSFPTGSPIYNSYKAPINNDNGKENASAALINGFVECGKDWSLYMHDKHFGKMRISETIAEYVARTPTFSEDGAVTLGSKRSTLFEVDAKTGRIIQMHAMSDFDNSSIPLSDDKQIVPNTIHRQNKEFSDPVKRNSPEMLLKIFRTDYSLKSVGPDSGIVLWTMTVAEFEAVLLCQHTSFDAEDEYVSDRGLNFAMPCQEMQQVFRLQKNFLLEPSITERLPTDYHENDMLPMPTPDLMLPSQPSTDRFLNGHDGNMMLPVPLPISLPSPQPEFSNYDNNNNNVDVLSQPPVEFTTPEKVYLNSMNKWSLPLLSVLFAVVSLLIYYAPVVKNQDILKGQNNEFELKNSPSKRKKTRKSGKNNGTVGKKEKNMSSENEDALIQKNNDKEACLFNQVDGRMVGKLFVSNKEIAKGSNGTIVFEGMYEEGRAVAVKRLVRAHHDVASKEIQNLILSDRHPNIVRWHGVEYDQDFVYLALERCTYSLDNLIFKCSLKTKMDTNKDNMQDLWKANGYPSPLLLKLMRDVISGIVHLHELGIIHRDLKPQNVLIIEGRSLSAKLSDMGISKRLLENMSSLANNVTGGGSSGWQAPEQLVQGRQTRAVDLFSLGCVLFFCLTGGRHPFGEQLERDVNIVRNKMDLFLVEFIPEAEDLISRLLNPDPDLRPKAIEVLHHPFFWSSEMRLSFLRDTSDRVELEDRETDSDILKALESTAAVALGVRWNEKVESAFLSNIGRFRRYKFDSVRDLLRVMRNKLNHYRELPHEIQELVGPIPEGFNDYFASRFPKLLIEVYKVICKYCKEEECFQRYFRNVD >OIW09334 pep chromosome:LupAngTanjil_v1.0:LG06:29579190:29580684:-1 gene:TanjilG_01305 transcript:OIW09334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFSSWINTSLDLNINPPRVYEEVIKKEVETNFFSLGMRMSSTKEECSSSALVEELKRVSAENKKLTEMLTDMCDSYNTLRSNLVDYMSKNSDKDHLNLSCKKRKPESSNPILVNNGNSQSCSTDEESCKKQREETIKSKISRVYVKTEGSDTSLIVKDGYQWRKYGQKVTRDNPSPRAYFKCSFAPTCPVKKKVQRSVEDQSILVATYEGEHNHPHPCQMEATSGSSRCMTLNSASCNSSAPMDTLELTKSKSNNGSKNVNPKLVTPKEPQTLVEQMANSLTKDPNFRAALIAAISGRALHKN >OIW11100 pep chromosome:LupAngTanjil_v1.0:LG06:628350:632901:1 gene:TanjilG_22907 transcript:OIW11100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIISEKNRKEISKYLFQEGVLYAKKDFNLAKHPEIDVPNLQVIKLMQSFKSKEYVRETFAWMHYYWFLTNDGIEFLRTYLNLPSEIVPATLKKQAKPAGRPFGGPPGDRPRGPPRFEGERRFGGDRDGYRGGPRAPGGEFGGEKGGAPADYRPSFGGPGGRSGFGRGAETGLKLLKYMRGIGALLLPKYRLKLSYQEKASAGRVCSLARASGRANLAYACASDRARVLVNERARVACASASGARPVPKAVLASQIWNHVSCHWHCILATLTPKKQENNEFSEMGLATKEIVDPVVAFSKPPPLPPVLGPLVALSLLETWWKGSADDDGK >OIW09091 pep chromosome:LupAngTanjil_v1.0:LG06:31500853:31502389:-1 gene:TanjilG_16318 transcript:OIW09091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSSSNFSLPCNTSSPRQKSFSFFHTSGSRIGVGAKVLPDSESVWSPTSPLDCKLFSNLINPFSVKSPRPPSQTAHKKQLDCSKVGLGIVSSLANETKHNDEILGKFHRKNVIFGPQFKTGILNFSTNNHETLAPYLKSCSLPKNYVISLPSEAKNPNSEVVSIDDVSRKKSFIVQSKPFISSMISLPDSSRPSSSLINSNQTSNSEVNDLCVENTSAITSLPPMTSRSLQLDYSLKTRSSSLPISIDFSNGYIGSLSARDIELSEDYTCIISHGPNPKRTHIFGDCILECKKSVFSESVKKEESAFGSSEVSMFSEGSAPYPSDKVSSSCDSCNMKSEKGKDIYIIRGEKAFCSFNCRSEEFSAEEELEKTYANSAESSPDSSYHDLFLSGLPNNMLCSLSHETISKL >OIW10645 pep chromosome:LupAngTanjil_v1.0:LG06:4445066:4447318:1 gene:TanjilG_16017 transcript:OIW10645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELFHFIALILMFCCNIHFAQASELPPITETSNSKVYIIHVTRPEGNLFAQPEDLEGWYHSFMPSTTMSSTEKPRMIHSYQHVLSGFAARLTLEELRAVEKKNGFISAHPERMLRRQTTHSPQFLGLQQSKGLWKESNFGKGVIIGVIDSGITPNHPSFSGEGIPPPPSKWKGRCELNRKACNNKLIGARFINIAGNATKVDPPIDQVDDGHGTHTASTAAGAFVKHANVFGNAEGTASGIAPYAHLSIYKVCLGEDCGESNLLAAIDAAIEDGVDIISISLGLSKPSPFFGDSIAIGAFAAIKKGILVSCAAGNSGPFNGTVINAAPWILTVGASTIDRTFVVTAKLGDGQEFEGESLFQPSNFSRKLLPLAYAGKDGKHGSAFCANGSLSDIELRGKVVLCERGGGIGRIAKGREVKRVGAAAMILMNDEVNGFSLLANVDVLPATHVSYVAGQKIKAYINSTATPTTTFLFKGTILGNSLAPAVASFSSRGPNLLSPGILKPDIIGPGVDILAAAPFLVGNSKPEYNIMSGTSMACPHLSGVAALLKSSHPEWSPAAIKSAIMTSSDILNLGHKSIIDETLGLANLFATGSGHVNPTRANDPGLVYDIKPDDYIPYLCGLGYSDNHVGIIANRAVKCTKKSSIHEGELNYPSFSVVLGSSQRFTRTVTNVGEAYSSYVVIITAPEGVKVKVQPKKLSFSKVNQKVRFSVTFIKHIGSGNKTKEYAQGFLKWVSAKYSVRSPISVKFV >OIW09481 pep chromosome:LupAngTanjil_v1.0:LG06:25847454:25850156:-1 gene:TanjilG_23060 transcript:OIW09481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFLSTALLPLLVPLLFLYLYLDTRTRNHHKKGFKVYPILGSLPEFLKNRHRYLQWTTQVLRESPNNTAVLSLPGTVCGVITANPENVEHILKTRFDNYPKGERVTTILLDFLGRGIFNTDGELWKVQRKTASYEFNTKSLRNFVLENVTVELQTRLLPIFSRASETDKILDLQDLLERFTFDNICKLAFNVDPGCLGGDGTSGAEFMAAIEDAMVLISGRFMTILPMIWKIKKLLNVGTERRLGECIARIRKFADEIVQFRLEAKDRTHNEDLLSRFIGVDDNNSTDFLRDIIISFILAGRDTTSSALSWFFWILSSRPEVQKKIINEIESVRSQSGEKTVFRYEDLKEMHYLHAAISESMRLYPPVAADSKECLNDDVLPDGTVIKKYWFITYHTYAMGRSESLWGKDWAEFKPERWLENGVYRTENPFRFPVFHAGPRICLGKDMAYTQMKSIVASVIERFEIHAVDKENHPKYNLSFTLRMKGGFPVKLRARARDCI >OIW09170 pep chromosome:LupAngTanjil_v1.0:LG06:30597004:30597275:1 gene:TanjilG_11308 transcript:OIW09170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKFDPWPVFFKREWNRTWPFLVGFAVTGTIITKLSLGLTEEDAKNSKFVQEHKR >OIW09150 pep chromosome:LupAngTanjil_v1.0:LG06:30445558:30449491:1 gene:TanjilG_11288 transcript:OIW09150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMQEFLIISTSLTLLLTFFSTTTTTSAQLLSPLKSPSSSSPPPLPVTAPAAAPGLNTIPLVPTPKNGSPTPSITKTPTIDITLILKKAKRFSILTRLLKTTQLINQLNSQLITSGSGGLTIFAPEDSAFSKLKEGFLNSLNDRQKVELLQFHTLSSFISISNFDTLTNPVQTQAGDDAKRLQLNVTTYGGSQVSMTSGAVNATVIGTVYADNKLGIYEVNKVLLPLDIVLPKPKALAPSPAKGVSPKTKSPEDERQDGSKSNNDDDVVPVHTSSAGTVYADNKLGIYEVNKVLLPLDIVLPKPKALAPSPAKGVSPKTKSPEDERQDGSKSNNDDDVVPVHTSSAGSFSFNIIWIPLVLGAMI >OIW10394 pep chromosome:LupAngTanjil_v1.0:LG06:6736546:6737445:-1 gene:TanjilG_05542 transcript:OIW10394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVSALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >OIW10039 pep chromosome:LupAngTanjil_v1.0:LG06:10531655:10536188:-1 gene:TanjilG_32779 transcript:OIW10039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSVLVFGGAQRFRNCSLTFSFSSLSKSKPSFFSFRPFAAMAESEQFVKGTVHPNGVAVITLDRSKALNAMNLDMDLKYKSYLHEWESDPAVKCVLVDSSSPRAFCAGMDIKGVVAEIQKDKSTSLVPKVFTAEYSLVCKISEYKKPYISLMDGITMGFGIGLSGHGRYRIITERTVLAMPENGIGLFPDVGFSYIAAQSPGEGSVGAYLGLTGKRINTPSDAIYVGLGTHYVPSGKLASFKEALLETNFSQDPHQDIKVLLARHESNPESEPQLKLLLPQIISSFGANKSIIQTIEELKQHQSSTDPKVVEWANEALQGLGKGAPFSLFLTKKYFSDVASAVEKNDNELSTLTGVMKTEYRIALRSSLRPDFVEGVRAVLVDKDQNPKWKPSKLEEVDPSEVEALFKPLRPEVGELAV >OIW09947 pep chromosome:LupAngTanjil_v1.0:LG06:12853782:12855444:1 gene:TanjilG_32096 transcript:OIW09947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCSFKSLSRAVYEEHQEHVHKNVEEISKYLITKGNLCNKSQSLTVWRKSLVFSCKGFTVIDSCGNLVYRVDNYTLHPDEVILMDASGNSVFTMRRRTKFGLIESWYVYEGEMENQCKRRSNIDKSRKNPVCCVKKSVNILTSNPKVQACVYRVTSDSYKQHAMFTIEGSYEHRTCKVLDEFKRVVVEIKMKEANSKNVTFGIEIFQLIVHPGFDPDFAMAIVLLLDQMFS >OIW10739 pep chromosome:LupAngTanjil_v1.0:LG06:3592833:3593192:-1 gene:TanjilG_27685 transcript:OIW10739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHLVSEDGMRKREREKFCSLSNHQSYGYQRLERHSAIQPEDNSKEHNIGSEKSANKRRGRQQAQMLHTFGFSYLASSFLYIVTKVKAFYNGFLGDAASESIEAQMTETYFSLPVIPN >OIW09985 pep chromosome:LupAngTanjil_v1.0:LG06:11236250:11240504:-1 gene:TanjilG_32725 transcript:OIW09985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKVAIGAAVVTAAAVSAAVALVVRHRMRCSAKWARVIAILKEFEGKCETPIAKLRQVGDAMDVEMHAGLASEGGSKLKMLISYVDNLPTGDEEGLYYALDLGGTNFRALRVHLGGKDKGVIGQEFEEVSIPPHLMIGTADGLFDFIASALAKFVASEPDEYHLPNGRQRELGFTFSFPVRQTSISSGSLIRWTKGFNIEDLVGEDVVGELTKSMEKIGLDMRVAALVNDTIGTLAGGKFHNQDVVAAVILGTGTNAAYVEHSHAIPKWQGLHPKSGDMVINTEWGNFGSTHLPLTEYDQALDAESLNPGEQIFEKLISGMYLGDIVRRVLLKMAEEADFFGDTVPPKLRVPFILRTPDMSAMHHDTSPDLKVVGNKLKDILEISNTSVKVRKVVVEICDIVAYRGARLAAGGILGILKKIGRDTVKAGENSKSVVALDGGLFEHYSKFRTCLESTIHELLGDEAAETIAIEHSNDGSGIGAALLAASHSQYLGIES >OIW09598 pep chromosome:LupAngTanjil_v1.0:LG06:22385976:22387280:-1 gene:TanjilG_28197 transcript:OIW09598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKNGIKPNKLTFPFVFKCCAVEKALFEGKQVHGDAVKCGLDTDVYVGNNLVNFYGCCKKTLDAKKVFDGMPERSVVSWNSIITASVESLWFKDGIWYFLMMRDYGFEPDETTMVLLLNVCAELGYLSLGRWVHSQIVLRGMVLSVQLGTALVDMYSKSGSVGYGKLVFGRMEKRNVWTWSAMILGLAQHGFADEALELFATMSHNNSKGMVRLPAKDPRYGSNPSLDSVDSRSFVAPAFSMENNSNICPNYVTYLGVLCACSHAGMVDEGYRYFHDMEHVHGIKPMMIHYGAMVDILGRANLLSEAYDFVLSMPIEPDPIIWRTLLSACTVHDAHDHTEIGDKVRKKLLLLEPRRGGNLVIVANMYAEVGMWEEAANVRRDMRDGGMKKVAGESCVDLGGSMYRFFAGCDSHRDLFPVYHLLDGLNLHLKMVH >OIW10339 pep chromosome:LupAngTanjil_v1.0:LG06:8936773:8940455:-1 gene:TanjilG_28090 transcript:OIW10339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLPLSKNKEVKLLKRKVGQTDYAVSGGFALNYNQGFRKVVDEDTSNRSSSGSAVSNSESCAQFGGTDASDLTGPAQSVVWDSTVPAKKRACGGRPKPSSVEKLTKDLCTILHEQQSYFSASSEEDLLFESETPMVSVEIGHGSILIRHPSTVAREEESEASSHSVDNKQCLMSEAYSCSGGIPVSSDWSAKNFSSQGVGKTIQHEELKRHVSDKSQLERLQVLGNHDSPLCLIDLNDIVNYEEFTKNLTNEEQQQLLKYLPVADTREVPDSLTIMFNSSQFKENLTYFQQLLGEGIFDISLLGTKPEDCKTLKGLALSNFSKSKWVEHYTFLKSCNDRAGISIAMGSPGIASSNVEHVNILRNSQNHNFPELKKTMRSPKSVIIKAGCEGKEVAEYGSSFSEKCLFALPPDRSSHMMDSLNFHYESSDQDLLLEVPSNNSFPLAELLHPTTSFGAQASAGSSSIYSHVSHH >OIW10741 pep chromosome:LupAngTanjil_v1.0:LG06:3575450:3577350:1 gene:TanjilG_27687 transcript:OIW10741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMPRFVRAKNNDESSSNIYVANCGPAVGISHDDIASVFSDFGEVNGVYAADDSGTRVIVSYSHHSSAQSAFLALNGHTCPQLGGRSLHIRYSVQNPIPKDKVSDLVPVSITASDLAISGIYLVHDFISAEEEEELLQAVDCRPWNCLSKRRVQHYGYEFCYDTRNVNTRHCLGELPSFVSPILERISSCPTFKNVENTVLDQVTVNEYPSGVGLSPHIDTHSAFEDLIFSLSLSGPCIMEFRRYESGDQLPRIASSSVAKTEGPEDDSNFIRRAIHLPPRSLLLMSGEARYAWHHYIPHHKVMFWKL >OIW10352 pep chromosome:LupAngTanjil_v1.0:LG06:9069131:9073319:1 gene:TanjilG_28103 transcript:OIW10352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSPPNAFHVPKKYDVFISFRGKDTRDNFVSHLLSSLERQKRTHVFIDYKLQLGSEISEELFKAIEDSEISIIVFSENYVSSRWCLDELVKIMECKKENGHDVIPVFYHVLPSQVRNQRGSYKIAFQKHAGKPENNTAMLAKWREAMVEAANLSGKHSCKENENEAKLIEEIVKDVLCKLRSRESSGDHDQLLHDLRGKLVSKLLDEGRKTEVDTYFIERELLRRKVMVVLDDGTNAVKGMRLDMSAIRDLDLKFCPKKLGILLMPESRLRKLWDEVKDLQNLKIIDLNGSRQLKELPDFSLALNLEEIVLAGCERLCRVHSSIFSLHSLVVLNLDGCKKLKRLGGENLSEVLNLDLPSLERLSLSRSNIESLPASIKQCTKLIDLGLEDCKKLQYLPELPQSIQDLYLGRSNIERLPASIKQLTKLTKLFLTDCEKLRSLPPELPQFIKELALSGSNIESLPPSLTQLEKLTNLYLQDCNKLKSLPELPKSIELLDLSGTNNESLPPNLTQLEKLTNLYLKDCNKLKSLPELPKSIEILVLSGTDIESLPPSFTQLEKLTNLYLRDCNKLKSLPELPQSIKYLESNNCTLLVTAVTPEVFAPGGAPRGVPMINFVNCVKLDKQARCSILEKAYSSIRQIHHIDSVYEDSEEFLYACIFHICMPGSRIPKWFKHKTKTDSITIRVDQPLQIVACAYCVVLSPFPPNQGKETFFTIQCGKSISCWTLNVGRESNSCHVVLWAETFTRSTCTTSDLSFKFVVDGEINMERCGIFPIYANQGKPLDVPMDRKRKRMREE >OIW10358 pep chromosome:LupAngTanjil_v1.0:LG06:9120298:9125299:1 gene:TanjilG_28109 transcript:OIW10358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHQPYTLDSITKSNQLSSSILSSDTPPQITSTCNSILSFIRSTTPDHSRHFFSLTFPTLISKFFGFNNPNSTNGWIHTLAASNDTALAATLFSLFSPNGALAAAISAVDRLSLVKYVFPSERLPEWTQAYSNSSSSLSNLIPSLFKGKINEDSTNKSSGFQIQLNVFEYFFFWFAYYPVCRGKSESLNEQVSVKRTKKFTLENWTCSIPGFSNPKRGSVENKTECDLYSRLLYAYLRAYVPNYDLSAHQPYRSSILHYGSGYDASVVAKAEFVVNALTHFWLVDNDFSPLPVNLCKSAGASFPLRGEIPPAPGLGEVVKLFVRYLNLSTVIVFQGGDNGGDGEYCESPRWRGVKDLGYNSVRPGYWNPWVQRPLYRFLLRSFLFCPVAASVKNVSQVFSVWISYMEPWTISGDDFAELDAMNDGPNEQKENSVSGNGGFTPHWQDYLLSNYLYYSSLVMHFIGFAHKFLHSDVEIIVQMVLKVLDTLTSSKELIDLLKNVDTVFHSKQAGSGKSTSNNLYRYVPIIREQLQDWEDGLCETDADGSFLHDNWNKDLQLFADGENGGQQLIQLFILRAEAELQSTSGDNLTPTLQCIDSLKAKLACLFDGQTIKSSSPTSELMRHQQSRDDIFKPRRVGNHAFTDVKYKGDWIRRPISNDEIAWLAKVLIRLSDWLNESLKLNQPASTEVSSKCSYVEVPTDVTHICGPTEPLKVFFCAISSWFLFLGAATLSFMRKYGLRVNLRILASKKVVMVLVFYSVFCILKKLVRAFHII >OIW10994 pep chromosome:LupAngTanjil_v1.0:LG06:1156543:1158802:-1 gene:TanjilG_22801 transcript:OIW10994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLDLDNVSTVSGEASVSSSGNQTVPSKTKKKRNLPGMPDPDAEVIALSPKTLMATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLRQRSSKEVRKRVYVCPEPTCVHHDPSRALGDLTGIKKHFCRKHGEKKWKCEKCSKKYAVQSDWKAHSKICGTREYKCDCGTVFSRRDSFITHRAFCDALAEESARSQPQSQTTQTQSAIKANSDSDSKAVTGDDSSPMEVPPLPPPSPPAPPAIPQSNSAALSDLKVQNPELPENTPQSLEELQAKNALNGSCSTSTNTTSNGVSVFASLFASSTTSENLQSQTPAFTDLIRAMGRPDHSVDIPGPSFSDPISLCLGSSMFATGGQERRQYAPPPQPAMSATALLQKAAQMGAAATNASLLRGLGIVSSSASTAPTQQDSLQWGQRQVEPDSASISPGLGLPCDSGSGLKELMLGAPSLFGPKQTTLDFLGLGMVAGGTPGGGLSALITSIGGSLDVTAAATSFGNGEFSGEDIGRNS >OIW09303 pep chromosome:LupAngTanjil_v1.0:LG06:29315040:29317982:-1 gene:TanjilG_01274 transcript:OIW09303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQRNPFSSNPEPCQHLSDYKLNHGFNAYKSIQNLLVTSPIAKKTSIKKPHTTAIPRCSICNRFQGRMYLCLICSSFSCLDHTLLHPQSQIGHALFVDIQRAELYCALCHDQLYDPDFDQLVMSKYSMLLMSKGSTLNESIGQRLIKRRRFESSFDLRTSKYRVSNSIRDLRAKSCYPLGLRGLNNLGSTCFMNSVLQALLHAPPFRDYFLNGGHCMEACHKRTANTDQRLCLLCDINAIFSAVFSGDRNPYSPAQFLYSWWQHSANLASYEQQDAHEFFISMLDAIHEKEGKSRNVCRGNGDCQCVVHRVFSGLLRSDVTCMACGFTSTTYDPYVDISLNLDSNVSLAEKGKKLSKQKEDGSSMSTLFGCLDLFTRPEKLGSDQKLYCQNCQERQDSLKQMSIRKLPLVLSLHVKRFEHSFVRKMSRKIDRYVHFPFSFDMTPYLSSSILRARYRNRIFNFGGDDSDVFSEFKIFAVVTHSGTLESGHYVTFLRLRKQWYRCDDAWITEVDEAAVRASQCYMIFYVQKALYNKANGDIFLPIAGCC >OIW10460 pep chromosome:LupAngTanjil_v1.0:LG06:5882139:5883575:-1 gene:TanjilG_00398 transcript:OIW10460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGGSRAEAERWLYTANKLLSARDFHGARSFAVRARESDPTFDASELLLTVIDTVLAGEIRINDHHCDWYGILQILRYTTNIDHIAAQYRRLALLLDPHHNPFAFSGHAFSLVHDAWSVLSNPVKKSMYDNNLRLLTSQPPPPQQLQPPPPPTQPQPQQKKNPRSKNLSTEPAPSTQTVTEPNESTTTESEEASFWTSCPYCYVMYEYPKVYEECTLRCQSCRRGFHAVVIRSPPLNGLDESFCSWGFLPLGFSSDSKDINGFGSNWNPFSPPFPCSSKGNSKKKKDWVYYDDEAAAAFINISDSSEHDSDGDWRGGKRRMSKRTRSSRRKFTDVRREPVQRSKRGSHAGAAENENAGGEDGGSAGGGAVDGVAPAVPGGTNATSGVSTRSEPGKRGAVGGTRKRGAGNLGKLDLNVEFSNDAEERQEPTRAGVREGHPTGHAEDNIEGIGFFEGLDEFLSSLPILNVVGDDKVKGH >OIW10072 pep chromosome:LupAngTanjil_v1.0:LG06:10201412:10201657:-1 gene:TanjilG_32812 transcript:OIW10072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMHLGAATFDPCALIKVRTKSTVSIAYVLAKLAFLSHSGIRLGLALLSQSGVLYGLALSRSGMLCGLALSRSGIDVWLVS >OIW09349 pep chromosome:LupAngTanjil_v1.0:LG06:29673800:29678503:1 gene:TanjilG_01320 transcript:OIW09349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQNGKVMPNLDQQSTKLLNLTVLQRIDPFVEEILITAAHVTFYEFNVDLSQWSRKDVEGSLFVVKRNIQPRFQFIVMNRRNTENLVENLLGDFEYEVQVPYLLYRNAAQEVNGIWFYNARECEEVANLFSRILNAYAKVPPKSKVSSTKSEFEELEAVPTMAVMDGPLEPSSSTASYVADVPDDPSFVNFFSAAMAIGNTSNAPITGQPYQSSAAISPSVPIHAATPIVPTLQIPSLSASTPLLPQLDAPESSSNSNRSTKLLVKPSSFFVPTPSSAMVIPPSVSSSTPTAPPLHPAVNVQRPYGAPLLQPFPPPTPPPSLTPSPVPNYGPVISREKVRDALIVLVQDNQFIDMVYRALLNAHQS >OIW10653 pep chromosome:LupAngTanjil_v1.0:LG06:4307555:4309770:-1 gene:TanjilG_16025 transcript:OIW10653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNGWRRAFCTSIPKDNNREPKVLSDKQQQQHCDTTTTTNKDTNHSPKTSSKFRFFSNPSTPKSQSNTVTGPNLHCRTSVTTSTCSVPNSPKLQCSNPKKQNNSPRLFQFSSPKSLSPSSFSLLRANLRLSKSRCGICLQNVKSGPWTAIFTAECSHTFHFPCIATHAKKNPIVTCPVCSTCWKELPVLSIHSEKKISADIKTRSLKVYNDDEPLVSPTSVARFNPIPESEDEDDENTEFQGFNVFTSSPVNTRNLQLSLLPEAAIVAGNRSYESYVLAMKLKAPPVQTAANAARRAPIDLVMVLDVGGPMNRSKLRLMKHMMRMIILLLGSADRLSIVAFSAGSKRLLPLRRMTSSGQRSARRIVDALAAIDQPRDGSPVKNDAVKKAAKVLEDRRDKNSVASIIVLSDIQESRAAVITRSNPKPYQVSSTRLSQLEIPVHSVSFPIEGDCAHALSNETFTKLMNNLLSVVAQDVKIQLSVVSRARSVEIAAVYSLSVRPEALDSGSIKIGDLYAEEERELLLELKVPAISAGSHHILTVLSSYVDPLTQELINPIEQAMLVPRPHAVRSSSVKIEMLRNLHVTARAVAESSRLAEHADFSGARHLLSSAQALLLRSGEPGEEYLRWLEVELAELQQRRQNQVQTQRQRTNQRVDEKLEPLTPTSAWRAAERLAKVAIMKKSMNRVSDLHGFENARF >OIW09840 pep chromosome:LupAngTanjil_v1.0:LG06:13809367:13809724:1 gene:TanjilG_20547 transcript:OIW09840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTDAEFSAGAEFPISVESPPRVAFFVGVMYFAGHDADAAGVVDATLVSDVLITSVHLVHLIVRYHWCMLWLAPLMLS >OIW10456 pep chromosome:LupAngTanjil_v1.0:LG06:5870793:5871149:-1 gene:TanjilG_00394 transcript:OIW10456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACSNSLIMTLLLALVFSSMSLSLEARHLLQTTTQPNFPSTLPTLPNPTTLLPPLPSFPTLPQGNNVPQLPTIPSLPQLNTLPPLPSIPTNIPSIPTTIPTTIPSIPFFSPPPSTTSP >OIW09653 pep chromosome:LupAngTanjil_v1.0:LG06:21592484:21595573:-1 gene:TanjilG_28252 transcript:OIW09653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHIGITSEVNHQRIKSNGIWIHIAEQGTGPIVLLLHGFPEIWYSWRHQIKYLAKNGYHVVAPDLRGYGDSDSPMSPNSYTCHHLVGDLIGLLDHFGEKQAFVVGCDWGATIGWYLSLLRPDRVKGFIGLSVPYYPRSSTLKPLQTITNLYGDHTHVSQFQNPGRAERSFARYDCLTVMKKFVLTTWPHFLVAPHGMEIVDFLPTPSVIPSWITEEELMFFADKFQESGFTGAFNYYRAMDLNWELLAPWQGSKITVPTKFIVGDKDFGFESGGVRDYVEGDLFKSLVPNLEVVIIDGHHFIHQERAQQVSDQILSFIHKLSLD >OIW09742 pep chromosome:LupAngTanjil_v1.0:LG06:16654826:16654996:1 gene:TanjilG_14265 transcript:OIW09742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTELSTKKTKPSKETRRHEASSSPHREAPKVMDMRDMEYEATINSQGATAAPIRA >OIW10751 pep chromosome:LupAngTanjil_v1.0:LG06:3410566:3410799:1 gene:TanjilG_27697 transcript:OIW10751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNKLESLIWDQKELQERFQMVVKEHKMMELLVTELEEEHDMAIAKIEKLQTKVKYFVFVCIPCTIFLYIYLTIKMI >OIW09778 pep chromosome:LupAngTanjil_v1.0:LG06:15642060:15644528:-1 gene:TanjilG_32216 transcript:OIW09778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSYFKQEHDLEKRRAEAARIREKYPDRIPVIVEKAERSDIPSIDKKKYLVPADLTVGQFVYVIRKRIKLSAEKAIFIFVDNVLPPTGAIMSAIYEEKKDEDGFLYVTYSGENTFGDLISH >OIW10216 pep chromosome:LupAngTanjil_v1.0:LG06:7760991:7766354:-1 gene:TanjilG_27967 transcript:OIW10216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPHLVDITTEVPEAHPVAPPPKQSTLQRLKIWTKETFFSDDPLRQFRGQPLQRKLILGAQYVFPVLEWAPKYNLKLLKSDLISGITIASLAIPQGISYAKLANLPPILGLYSSFVPPLVYVVLGSSKDLGVGPVSIASLVMGSMLQDEVSPIEDPDLFLKLALTSTFFAGLFQAALGILRLGFIIDFLSKAILLGFMAGSAVIVSLQQLKSLLGIKHFTKKMAIIPVMKSVFHKTDESIKKPKLFWISAGAPLVCVIISTILAFAIKAQHHGISIIGKLPKGINPPSTDKLIFNGSHLGLVIKTGFITGILSLTEGIAVGRTFAALRNYKVDGNKEMMAIGLMNVVGCTTSCYITTGSFSRSAVNNSAGAKTAMSNVIMSLAVLVTLLFLMPLFHYTPNVILGAIIITAVIGLIDIPAAYLLWKIDKFDFLVMLVAFFGVIFISVQYGLAMAVGLSILKVLLQITRPKTVVLGKIPGTDIYRDLHHYKEAARVPGFLILRIEAPINFANITYLHERILRWIEEVEENNLMKDFSLQFVVLEMSAVSTVDSSGVSLFKDLNIALGHKGVELALVNPLAEVLVKLKKADEGHNKIRDDYLFVTVGEAVASLSSTLKTPTVGEEVQETVVEC >OIW10140 pep chromosome:LupAngTanjil_v1.0:LG06:9471714:9481213:-1 gene:TanjilG_21977 transcript:OIW10140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIVTGDRYLDKLVDFVEEQAGPLIEGALVLKLNPAGLHYVQSRLEALYELESLLAGAPVDYLRAYVSDLGDHRALEQLRRILRLLTSLKVVSVLPTPIRDPTPLSFLPFARLKVLELRGCDLSTSAARGLLDLRHTLEKIVCHNSTDALRHVFASRITEIKDSPQWNRLSFVSCACNGLVLMDESLQLLPTVETLDLSRNKFARVDNLNKCMKLKHLDLGFNHIRTLSPFSQVSCHIVKLVLRNNAISTLRGIENLKSLEELDVSYNIISNFSELEFLASLIDLQSLWLEGNPLCCARWYRAQVFSFFSYPEKASSYCLHLLYPFCSMFSYEGVIVHVFACLSACQCFIFRYHLISEVIIIFCLKLDEKEINAIDFWKRLIIIATMQKQPASFGIYVPAKDEAIVEGSNNRRRCRLACIESEADITSICSDEESVSCANEIQNREDHDLSDDEAEIEDLINKVEHMKKERSILWLQGFKDWMDITSDKSVETNKEDRALLHHLKENYIRSKTNQEQHGEVSRYASDSVLASGDDSSMNILESDSSFVDMSASFHRQQYFDFRALLGNAGGASHSYSGGAERLKGLSLERISSSLSQSKSFHSDTNTIHGSHRIAENIDTSPLTTIRDISGSQSSSACHTSPPHFEEDLLHRRQHLVEEILQLSANSFSMASSDSNTSCSEVDYTEFEPPVPEGDNAPCRNYVNGIVHGYISQNQLKDKFNNPSQGIPHAIENGISLFSSTSDQTSQHGSSDIVAGADNGERAFFVSQDADLSAKIKSKRKAKKRVISILEENLDCNACDYQQEQISQGEISVNSKRELDVDEFSWGNCSTQENDDFIVTYFNMHIADSEASEICSDCIRCDCVLQREAIYREREVAILLSSHKKLYVLLIGVASDGSGSCLNLLNCHKLEEVCEVLVGMGLQVLRVKFESGEAYLFVTKSIEKSRELLCRIQMFDSCGGNGRCSIRSLEQVQVELFDNQICGGSNLSIYQYAMVLVCYNDGSDQSWFSRSLFVIDGYVLLCIEDVKQMYSFSTDTSFSPYFRVDSCFSITDITEMVIEVGDNSCCVTLVITSLMAEFYPSTITKLEAVDHGNMAPGSIKLKLHWYSKDNLLKFVSLLKAIHAEKALSPLVVRCIS >OIW10642 pep chromosome:LupAngTanjil_v1.0:LG06:4498422:4504110:1 gene:TanjilG_16014 transcript:OIW10642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSTIIPFPSPSPSTPSPFTSSHSRHVSRLINSNHYTSPSRTIYSDRFIPTRSASNFALFDINSSPETREDASTAYSALLRTALFGPDAAGGVSPDKRNSLAMSIPSRNIFRYKTETRRSMHSLEPFMSDETVPGVNYSPVKAPRKVSRSPYKVLDAPALQDDFYLNLVDWSSHNVLAVGLGNCVYLWNACSSKVTKLCDLGIDDCVCSVGWAQRGTHLAVGTSNGKVQIWDASRCKKIRTMEGHRLRVGALSWSSSLLSSGGRDKNIYQRDIRAQEDFVSKLSGHKSEVCGLKWSYDNRELASGGNDNRLFVWNQHSTQPVLKYCEHTAAVKAIAWSPHLHGLLASGGGTADRCIRFWNTTTNSHLSCMDTGSQVCNLVWSKNVNELVSTHGYSQNQIIVWRYPTMTKLATLTGHTYRVLYLAISPDGQTIVTGAGDETLRFWNVFPSPKSQNSDTEIGASSLGRTTIR >OIW10203 pep chromosome:LupAngTanjil_v1.0:LG06:7575233:7575865:1 gene:TanjilG_27954 transcript:OIW10203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFEPSSSVLEALLSGCRTHKNINMGIEIADKLLSLDYLNSGIYIMLSNIYAEARRWDSVNYVRGLMRIRGLKKTPAYSLIEVGNQVYTFFAGDDSHPKWDDINQLLENMRLEVEACGYVPDTSCILRNVNEPMKVKLLWGHSERLAIAFGLLSTPYGSSIKITKNLRVCVDCHTVTKYISKIVKREIIVRDANRFHHFVNGECSCNDYW >OIW10449 pep chromosome:LupAngTanjil_v1.0:LG06:5844802:5847000:1 gene:TanjilG_00387 transcript:OIW10449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTTAKVSQESKKNISFTSVKSLAESPELTSIPSSYTFTTNPDDEIVVDFDDVNDPIPIIDYSLLVTATPSQRAKTIHDINKACEHWGFFMLINHSISKSLMEKMVDQVFAFFNLKEEEKQEYAGKDVMDPLRYGTSFNASVDKVLFWRDFLRILVHPEFHSPDKPVGFRDTSAEYCKRSRKVGRELLKGISENLGLEPDYIDRTMNLDSGLQILTANLYPPCPQPEFAMGMPPHSDHGLLNLLMQNGVSGLQVFHNNKWINVSSTPNCLLVLVSDHLEVLSNGKYKSVLHRAVVSNKATRMSLATVIGPSLDTVVEPASELLDNQTNPPAYFGIKQRDFMELQRSNQFYGKSVLNKVKI >OIW11146 pep chromosome:LupAngTanjil_v1.0:LG06:306773:308133:1 gene:TanjilG_22953 transcript:OIW11146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLFESYFISYGFLKKYKSLHLENLRYLAIVIESEDAHQTSKVVKLLRWLDSIGVKNVCLYDMNGVLKKSKEAIFQKLKNAKSIEETNEAVTKHVPDHMTLEIVSYVDGKEAVAKAANLIFVDKLKQHNLGGELSETHLNEALQIVGFKGPEPDLLLVYGPVRCHLGFPAWRMRYTEIV >OIW11084 pep chromosome:LupAngTanjil_v1.0:LG06:1821091:1822365:-1 gene:TanjilG_22891 transcript:OIW11084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMSMASSRFLHQHSTNLFNNNYHFTNKPSSIRITTPSFKPISIKCAATTTQIQTPPPPTAQDRVFNFAAGPATLPENVLLRAQSELYNWRGSGMSVMEMSHRGKEFLSIIQKAESDLRTLLDIPSEYSVLFLQGGATTQFAAIPLNLCTAEDTVDFVVTGSWGDKAFKEAQKFSKPKLIWSGKSEKYTKIPSFDDLDQSPDARYLHICANETIHGVEFKDYPTPKNGSGILVADMSSNFCSKPVDVSKFGVIYAGAQKNVGPSGVTIVIIRKDLIGNAQGITPVMLDYKIHDENNSLYNTPPCYGIYMCGLVFEDLLEQGGLKEVEKKNKNKGEILYNAIDESNGFYRCPVEKSVRSLMNVPFTLEKSELEAEFIKEAAKEKMVQLKGHRSVGGMRASIYNAMPLAGVEKLVAFMKDFQARHA >OIW10982 pep chromosome:LupAngTanjil_v1.0:LG06:1063667:1067734:1 gene:TanjilG_22789 transcript:OIW10982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLEGSEDNKMLGLRFTSWHKRSMSCPDKKIVEEDNPDNHLQASEQVKLDIGYLTEFAKARKKQIPSSKVHSTLKQEIVQLERRLQDQLKVRCTLEKALGYKPQSLVNSDDTIMPKPATELIKEIAVLELEVVYLEQHLLSLYRKAFDQQLSSVSPSTKMETVKSAIKTPRPQFIEVSKPEVLTKRGCSTVQPDSHELETLQKEHNEYELETIGKEYSGSRTEEKQLDSLVYRCHSSLSQSSAFARAYPPAEPFTKPLRACYSQPLSMMKYAQSTSSNIISLAEHLGTRISDHVPDIPNKLSEEMVKCISAIYCKLADPPMTHPGLLSPSSSLSSTSAFSNVDQGDIWSPNFRNNSSFDVRLDNPFHVEGLKEFSGPYSTMVEVSWIYRDNQKSKDTDKLLQNFRSLIYQLEQIDPTKLKLEEKLAFWINIHNALVMHAFLAYGIPQNSVKRVFLLLKASYNIGGHTVSADTIQTTILGTRIACPGQWLRLFFSPRTKFRAGDGRQAYAIQHPEPLSHFALCAGNHSDPAVRVYTPKRVFQELETAKVEYIRANFGIRKDRKILLPKLVESFTKNSGLCPGGIMKMIQESLPESLRKSVKKCQLAKSKKNIEWVPHNFTFRYLISKELVK >OIW10014 pep chromosome:LupAngTanjil_v1.0:LG06:10832923:10834170:-1 gene:TanjilG_32754 transcript:OIW10014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTRKRQHSIIKPPCQNVAPPSVLSPLLVEELIVDIMSMLPVKSLNQFTYVAKQWNIFLSSSYFIRLSDPLLSIIDNPSPYPTLFGRILSPYLRYPSGVDSYNLFGFGYNHIHDSYKTVAINSDPKGDIIDKKALVKVYELGVPTTWRKIQSFPYVHKKYGFSNEPCGVFIKGTLNWLCTRPNDSLVVVSVDLVNDTCKEVLLPGFVDTNRYGAVVRPNLWVLEGCLCFSYENHRTHFVLWQMKVYGDASSWNVLFSISYKVIGIHRNIPNYPKPLLMLNNGDFLIQITESGGFLLYNPMHNSFKYFEFQSGMSLFESIVHIDNLVSPCPTRLHRIRGLPCLYTFT >OIW10222 pep chromosome:LupAngTanjil_v1.0:LG06:7814619:7817913:1 gene:TanjilG_27973 transcript:OIW10222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGVSYARMPRVKIRELKDDYAKFELRDTDASIANALRRVMIAEVPTIAIDLVEIETNSSVLNDEFLAHRLGLIPLTSERAMSMRFSRDCDACDGDGQCEFCSVEFHLRVKCMTDQTLDVTSKDLISSDHTVTPVDFNDSSLIESSDVNTNRGIIIVKLRRGQELKLRAIARKGIGKDHAKWSPAATVTFMYEPEIHINEDLMESLTLEEKKEWVESSPTRVFDIDPVTQEVLVVDPEAYTYDDEVIKKAEAMGKPGLVEINAKQDSFIFTVESTGAVKASQLLLNAIEILKTKLDAVRLSEDTVEADDQFGELGAHLRGG >OIW10223 pep chromosome:LupAngTanjil_v1.0:LG06:7819734:7821836:1 gene:TanjilG_27974 transcript:OIW10223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAPKWAQKTITLPPHKRGCHLVTSKIVREIEHDLSGFNCGLAHLFLQHTSASLTINENYDSDVRDDTETFLNRVVPEGSSAPWKHTLEGPDDMPAHIKSSMLGCALTIPITNGKLNMGTWQGIWLCEHRDHPSSRRVVVTLNGI >OIW09062 pep chromosome:LupAngTanjil_v1.0:LG06:31240135:31244304:1 gene:TanjilG_16289 transcript:OIW09062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPELPEVEAARKAVEENCTGKKIIKCIVADDSKVIDIVSRSDFEASVIGKTIIAARRKGKNMWLQLDSPPFPSFQFGMAGAIYIKGVAVTKYKRSAVNDEDEWPSKYSKFFIELDDGLELSFTDKRRFAKVRLLKDPTSVPPISELGPDALFEPMTLEEFTQSLHKKKTEIKALLLDQSFISGIGNWVADEVLYQARIHPRQGASSLSEESCSALHKCIKEVIEKATEVGADSSQFPISWIFHSREKKPGKAFVDGKTIEFITAGGRTTAYVPELQKLSGSQEVKETAKPRKQALKKKSVDDDNNDIEKPTNGEAESKKGKKAGVKGKKPSKKKKPEESDDNDGGAGAGADEDGDNDQVEKMKLKKRVQNSQNSRSTKRKAK >OIW10543 pep chromosome:LupAngTanjil_v1.0:LG06:5349684:5354382:1 gene:TanjilG_15915 transcript:OIW10543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGAAAPPKVEELKPHPVKDQLPNVSYCITSPPPWPEAILLGFQHYLVMLGTTVLIPTYLVPHMGGGNEEKAKMIQTLLFVAGINTLFQTLFGTRLPAVMGASYTFVPTTISIILAGRYSDIQNPKEKFERIMRGTQGAMIVGSILQIVLGFSGLWRNVVRFLSPLSAVPLVALSGFGLYELGFPVLADCVEIGLPEILILVVFSQYLPHLLKGQKVIYSRLAVIFSIVIVWIYAHLLTLSGAYKHASPVTQNTCRTDHAGIVSGAVWIRIPYPFQWGAPTFAVGEAFAMMVASFVALVESSGTFIAVSRYASATPLPPSVLSRGVGWQGVGSLLCGMFGTGNGASVSVENAGLLAMTLVGSRRVIQISAGFMIFFSILGKFGAVFASIPGPIVAAVHCILFAYVGSSGLSLLQFCNLNSFRTLFILGFSVFIGFSMPQYFNEYTALKSYGPVHTHARWFNDIINVPLSSQAFVGGTVALLLDLTLQNNDNQSHKDKGMHWWDKFSSFKKDTRSEEFYSLPFNLNKFFPSV >OIW09217 pep chromosome:LupAngTanjil_v1.0:LG06:30925175:30929642:-1 gene:TanjilG_11355 transcript:OIW09217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATSAAVAATLTAHDRHILMAVSAGASSLSLAGSSFIVMCYLLFKELRKFSFKLVFYLALSDMFCSFFSIVGAIAHISFASRLSYGLQQLLLPSIAVHFVTFYMPLWGAILYNGFTYLQVIRMLNNATRMAVGISGRAYVSDAGDNMRALNRWGYYPLILIGSYACGTINRIHDFFEPSHKIFWLSFLDVGTAALMGLFNSIAYGLNSSVRRAICERLDKFWPERLHRWFPNNFKYKNLQQESELVLSKTEDQ >OIW10719 pep chromosome:LupAngTanjil_v1.0:LG06:3734577:3739923:-1 gene:TanjilG_27665 transcript:OIW10719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIRGLISLVFLISGFIEFDVVYSYTRPPPRNTVFTPQSDDDSSAQQVHIYQVGQDGMGISWITQSSTPATVQYGLTPSDNSNTATGVTNSYRYLLYQSGKIHNVVIGPLKSNTVYYYRLSNSPKVYSLKTAPSQFPIKFAVSGDLGQTEWTQSTLDHINKSNYDMLLLPGDLAYADCVQNLWDSFGRLVDPLASQRPWMVTQGNHEVEKIPLLHSEPFTAYNARWKMPYEESGSDSNLYYSFDVAGVHVIMLGSYTDFDRNSKQYKWLEGDLKKVKRKKSPWLVVLVHAPWYNSNTAHHGEKESIKMKASMEDLLYQARVDIIFEGHVHAYERFTRVYKNKGDKCGPIYINIGDGGNREGLATKYIDPKPDISLFREANFGHGTLEIFNATHVLWNWHKNDNDEAVVSDSIWLTNLSLETSCKV >OIW09511 pep chromosome:LupAngTanjil_v1.0:LG06:24287796:24288233:1 gene:TanjilG_11633 transcript:OIW09511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPTKFLLVVAIALWLSLSNFPLEAFGDVSPTTCFNCSKCEYPCQQSSPTIPESPSVQNPPPPFGYSIYQAPPPPPPPPPQDKGHNKCPPGAAGVQCCTPPAPYIYAPPNPYTYVPYGEGQGSASTLVPVLVPLVLLFPYFIVLL >OIW10416 pep chromosome:LupAngTanjil_v1.0:LG06:6532865:6546563:1 gene:TanjilG_05564 transcript:OIW10416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTPVNIIVGSQVWVEDPEIAWIHGEVAEINGKKAKILTIDGKSVVKEISSIYPKDTEAPPAGVDDMTKLAYLHEPGVLYNLACRFSLNEIYTYTGNILIAVNPFRRLPHLYDIHMMEQYKGAAFGELSPHLFAVADTCYRAMINENGSQSILVSGESGAGKTETTKMLMRYLAFMGGRSNTEGRTVEQQVLESNPVLEAFGNAKTVKNNNSSRFGKFVEIQFDKNGKISGAAIRTYLLERSRVCQVSDPERNYHCFYMLCAAPPEDLKKYKLGDPRQFHYLNQSNCYKVSNVNDAKEYLETKNAMDIVGINQDEQDAIFRVVAAILHLGNINFVKGKEFDSSKLKDDKSLIHLRIVAELLMCDEKLLQDSLCQRVIVTPDGKITKPLDPEAAALSRDALAKTVYSKLFDWIVDKINSSIGQDSNAVSIIGVLDIYGFESFKINSFEQLCINLTNEKLQQHFNQARLQLHVFKMEQEEYTKEEINWSYVEFVDNQDVLDLIEKKPGGIIALLDEACMFPKSTHETFAQKMYQTYKAHKRFKKPKLARTDFTINHYAGDVTYQADYFLDKNKDYVVAEHQALLWASKCNFVANLFPPLPEETSKQSKFSSIGAQFKQQLQSLMETLSTTEPHYIRCVKPNTVLQPGIFENFNVLNQLRCGGVLEAIRISCAGYPTKRTFEEFLDRFGMLAPSVLDGSDEKTASIAICDEMGLKGYQMGKTKVFLRAGQMAELDARRAEILAKAARRIQRKIRTHLTRKEFITLRKATIYIQKTWRAKLARKIYKDMRREAASIRIQKHVRTYRARMYYTSLQASTIVIQSGLRALAARNEYSHRRKTKASIKVQTQWRRALALFDYKQISCAGYPTKRTFEEFLDRFGMLAPSVLDGSDEKTASIAICDEMGLKGYQMGKTKVFLRAGQMAELDARRAEILAKAARRIQRKIRTHLTRKEFITLRKATIYIQKTWRAKLARKIYKDMRREAASIRIQKHVRTYRARMYYTSLQASTIVIQSGLRALAARNEYSHRRKTKASIKVQTQWRRALALFDYKQKKKATITLQCLWRAKVAKKELRMLRMAARDTGALKEAKDKLEKRVEELTWRLDIEKHMRVDLEEAKGQEIAKLQNVLQEMQAQLDEAHAAIIHEKEAAILAIEQAPPVIKEVPVVDNTKLELLTNKNEELESEVEELMKKIKEFEVRFLEIENENQARLKESEEAQLKAIQLQETIERLESSLSNLESENQVLCQKALELEESKNEEISEEIKVLKDQIANLESKNEFLRSQTAAATAAASVEQKVHPEKIATDQVQEVAPLEQIQQRVISDNMTAQIKNLDNGNQTQEELHARKEPRTPVSFLAKQRSLTDRQQESYDILLKCLTEDKRFEKNRPAVACIVYKALLHWRSFEAEKTQIFDKIIHTIRLSIESQEGINNLAYWLSTTSTLLFYLQCTLKASNSTRAVSRNRNSPATLFGKMAQGLRSSSTGTGFSSGYSGIVDKPNEKSKVDAKYPAILFKQHLTAYVEKIYGMIHDSLKKEISPFLNLCIQAPRSIRTRSIRGSSRNIHSNIVAKQQALHMHWKSIVNKLDHALSILSDNYVPSIITRKIFSQVFSFMNVQIFNSLLLRRECCSFSNGEYLKAGLHELELWCLKATDQFAGTSWVDLKHIRQAVSFLVLHQKAQKSLEEITNELCPVLSIPQIYRIGTMFWDDKYGAQGLSQEVIGRMRVLMTEDSINILSNSFLLEVDSSIPFLMEEIFRSMSDICLSDMDVDPPSILRQRPDFQFLLQQIDSDSQ >OIW10522 pep chromosome:LupAngTanjil_v1.0:LG06:5544942:5546057:1 gene:TanjilG_15894 transcript:OIW10522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRTCSQCGNNGHNSRTCTDATASGGGESPRENSIMLFGVRVTEGNSNPFRKSASMVNLSQYEHQTPNEPNAVDAGYVSDDVVHASGRSRERKRGVPWTEEEHKLFLLGLHKVGKGDWRGISRNFVKTRTPTQVASHAQKYFLRRHNHTRRRRRSSLFDITTDTVMEPSTIMEEEQAFQQEMVVPLPPISAGYPPPHYGGFPGPAYPMALAPVVLPVVAGGRLAKPIRPTPILPVPPSSKMASLNLKENTSPPHLPLIEPLPLSLKLPLPPSKDQSSANSSHSSTSSSSASAFQAMSAEKFNNGGDSIISVA >OIW09256 pep chromosome:LupAngTanjil_v1.0:LG06:28727495:28729588:1 gene:TanjilG_01227 transcript:OIW09256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPIKRKQPHPSTLLPPRITRAAAKRATTATAAEASEPAPKKTKATKQKKEKEKEEEKDDNASSKTTIIIEHCKQCNSFKTRANQVKEGLEKSASGVTVILNPKNNMKRPFTPMKNLDMEKVISDIVDEISSRG >OIW09276 pep chromosome:LupAngTanjil_v1.0:LG06:28977393:28982702:1 gene:TanjilG_01247 transcript:OIW09276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCQICGDTIGLASTGDVFVACNQCAFPICRPCYEYERKDVNQCCPQCKTRYKWQKGSPRVEGDDDDDDNDDNGYEMINARKQWEQESEQPIPLLIDEQPISGEISCATSDTQSVRTTSGPLGSSENDQYVDPNQPDQVRIVDPSKDLSSDGLRNIEWKERIHSWKLKQERNVMHIAGRYSEGKGDIEGTGSNGEELQMVDDARLPLSRVVPISSSKLTPYRVVIILRLIILGFFLQYRITHPVKDAYPLWSTSVICEIWFALSWILDQFPKWSPINRQTYLNRLALRYELEGEPSQLLPIDIFVSTVDPLKEPPLVTANTVLSILAVDYPVDKVSCYVSDDGSAMLTFEALSETAEFAKKWVPFCKRYNIEPRAPEFYFLQKIDYLKDKIQPSFVKERRAMKREYEEFKVRINALVAKAQKIPEEGWTMQAGTPWPGNNHRDHPGMIQVFLGHSGGLDMDGNELPRLVYVSREKRPGFQHHKKAGAMNALIRVSAVLTNGAYILNVDCDHYFNNSKALKEAMCFMMDPVLGKKTCYVQFPQRFDGIDSHDRYANRNIVFFDINLKGLDGIQGPVYVGTGCCFNRNALYGYDPVLTEEDLEPNIIVKSCWGSGKKSRGRNKNYIDNNMTPKRTESSVSIISREDTEEGVEDYDDERSLLMSEESLEKRFGHSPVLIAATFMDQGGIPPSTNPSILLKEAIHVISCAYEDKTEWGKEIGWIYGSVTEDILTGFKMHARGWISIYCMPYRPAFKGSAPINLSDRLNQVLRWALGSIEIFLSRHCPLWYGYNGRLKPLQRLAYINTIVYPLTSIPLIAYCTLPAFCLITNRFIIPEISNFASMWFILLFTSIIGTSLLELWWSGVSLESWWRNEQFWVIGGTSAHLFAVFQGFLKVLAGIDTNFTVTSKASDEDGDFSELYVFKWTSLLIPPTTVLIVNLVGIVAGVSIAINTGYQSWGPLFGKLFFAIWVISHLYPFLKGLLGRQNRTPTIVIVWSSLFASIFSLLWVRIDPFINGSSKASHGQCGINC >OIW09975 pep chromosome:LupAngTanjil_v1.0:LG06:11632389:11634189:1 gene:TanjilG_32715 transcript:OIW09975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIEELDGTECSGVEEEKHEKQIGRVDAKRALVGAGARILFYPTLLYNVLRNKIETEFRWWDQVDEFLLLGAVPFPKDVPLLKQLGVGGVITLNEPYETLVPSSLYHAHGIDHLVIPTRDYLFAPSFADISRAVQFIHQNACCGKTTYVHCKAGRGRSTTIVLCYLVEYKHMTPVAALEYVRSQRPRVLLAPSQWKAVQGYHKSRPCSLTRSPSGDAVLITKADLEGYHSTCDAGKELAIVRKVPKTKPMIARLSCLFASLKASGSLPMTRRMPVSESRAC >OIW09649 pep chromosome:LupAngTanjil_v1.0:LG06:21651027:21651761:1 gene:TanjilG_28248 transcript:OIW09649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRRLNLDIPQNNTFLLPRDILTAADRLIGMKFGMGTLDDMNHVKNKRIRSVANLLQDQFGLALVRLENMVRGTICGAIRHKLIPTPRNLVASTPLTTTYESFFGLQPLSQVLDRTNPLTQIVHGRKLSYLGPGGLTGRTASFRIRDIHPSHYGRICPIDTSEGINVGLIGSLAIHGRIGHWGSIESPFFEISERSKRIRMLFLSPSRDEYYMVATGNSLALNRGIREEQIVPARYRQEFLIRI >OIW09614 pep chromosome:LupAngTanjil_v1.0:LG06:22161243:22167190:1 gene:TanjilG_28213 transcript:OIW09614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMSMYKKVSFCVVFWLCYGILGMGSSSESSNSSRELDQTPTWAVACVCTVFILISITMEKSLHKVGLWLQQRQKKALLEALEKVKAELMILGFISLLLTFGQSYIVRICIGANIAEKLLPCPYNSEGIGNESSSKDEHRRKLLSYEHRYLSEDTTSYHCKEGHVPLLSANGLHQLHILIFFLAIFHVLYSAVTMLLGRLKIRGWKAWEEETSSHGFEFATDPSRFRLTHETSFVRAHATFWTRYSIFFYVGCFFRQFYRSVRKADYQTLRNGFIAVHLAPGSKFNFQKYIKRSLEDDFKIVVGVSPVLWASVVVFLLLNVNGWHAMIWASLIPVVIILVVGTILQATLANMAIEITERHAVVQGIPLVQGSDKYFWFGRPQLVLHLIHFALFQYSFGLKNCFHADYELAIIKVALGYITLPLYALVTQMGSRMKKSIFDEQTAKAIKKWHMAAKMKHGLKLGKSSVRTADGSTTVGSTIHSSGPTLHRYKTTGHSTRTLSSYHDQDDYHSDIEMSPVSRTSRLYVRMDDGEQEAEENEHLHVGETNHGDDGLTNVKPAPL >OIW08930 pep chromosome:LupAngTanjil_v1.0:LG06:32471257:32475030:-1 gene:TanjilG_05906 transcript:OIW08930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKVVLSCLKMTLFYLLSFLFLIYAHSQSQSLLYDQEHVVLLRIKQYLQNPPFLSHWTTTNSSHCYWPEIKCTNGSVTTLVMINTNITQTLPPFICNLKNLTYIDFQFNYIPGEFPRSLYNCPKLEHLDLSQNYFVGKIPDDIDTLANLSFLSLGGNNFSGDIPVSIGKLKKLTNLQLYQCPFNGTIPDEIGNLSNLETFYMFYNNMLPPTKLPSRLTMLSKLKVFDMHDSNLVGEIPETIGEMVALEKLDISGNGLSGQIPNGLLKLKNLSILYLYKNSLSGDIPAVVESFNLIELDLSQNNLTGKIPEDFGKLKKLTSMNLEMNQLSGEVPESIGFLPDLIDFLVFLNNLSGNLPPNFGRFSKLETFQVASNSFSGELPQNLCYNGRFVGLTTYDNNLSGELPETLGNCSSLQFLSIENNKFSGNIPSGLWTLKNLSTIKVNQNKFTGELPERISLSISDLGISYNHFSGRLPSEVSSWKNLVVFSASNNFFNGSIPHDLTSLPQLTTLLLDQNQLTGPLPSDIISWKSLTSLNVSHNQLSGEIPDAISRLPALNILDLSENKISGQIPPQLALMRPTNLNLSSNQLTGKIPSDFENLAYFRSFLNNSGLCADTTVLNLTLCSSAPQRVSNGSSVSQSHALLITVMVLASLVALLSSLFVIRFYRKRKQELRKTWKLTSFQRLRFTKSSIVSSMTEHNIIGSGGYGEVYRVAVDVLGSYVAVKKISRSRRLDKKLEDSFLAEVEILSNIRHNNIVKLLCCISSEDSLLLVYEYHANHSLDRWLQNKNKSSSAVSALVHYSILDWPRRLHIAIGAAQGLCYMHHDCSPPVIHRDVKTSNILLDSEFNAKVADFGLARMLIKPEELATMSAVAGTFGYIAPEYAQTTRVNEKIDVYSFGVVLLELTTGKEANHGDEHSSLAEWAWRYIEVESNIEDVLDEEIKEACYIDEMCRIFKLGVMCTATLPTSRPSMKEVLKILQCCNDPFANGDKNVGFYDHVPLLKR >OIW08904 pep chromosome:LupAngTanjil_v1.0:LG06:32675907:32686514:1 gene:TanjilG_05880 transcript:OIW08904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAPQGGSGSERDKSNTSSSPISVVTAFWKDFELEKEKSILDEQGLRIAENQENSQKNRRKLAENTRDFKKASPEDKLSLFNSLLKGYQEEVDNLTKRAKFGENAFLNIYQKLYEAPDPYPALASIAEQDLKLSELESENRKMKVELEEFRTEATHLKNQQATIRRLEERNRQLEQQMEEKVKEIVEIKQRSLAEENQKTLEVLKEREQTLQDQLRHAKDSVSNMQKLHELAQNQLFELRAQSDEERAAKQSEVSLLMDEVERAQTMLLSLEREKGVLRSQLQTNEETGTKTSDTLDSNTVLENFLNAKEKLISELNMELHNIETTLSNEREQHINEVKKLNAMLNEKEAALEVMKKELQARPTEKMVDDLRKKVKILQAVGYNSIEAEDWEVATSGEEMSKMESLLLDKNRKVEHELTQLKVKLSEKTSVMEKAEKKITELTAKVNEQQKLIQKLEDDISKGYSSNPKDRKGTFLDDWDLSEAAGGETSEHTDQRQASLDQDQSSMLKVICNQRDRFRTRLRETEEEIRVLKEKIGVLTAELEKTKADNVKLYGKIRYVQDYNNEKVVSRGSKKYADLESGFTSDVESKYKKIYEDDINPFAAFSKKERDQRYKELGFRDRITLSSGRFLLGNKYARTFAFFYTIGLHILVFTCLYRMSALSYLSNGPEEFLIGEKNVDLPRAP >OIW10960 pep chromosome:LupAngTanjil_v1.0:LG06:904025:904312:1 gene:TanjilG_22767 transcript:OIW10960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANTAINLKLACFLLLFLILQQQDLYVQGRHLRSHRLCRKCSKTHGKLIGGDVHGSNANDHVMHQESNRREEYEVDDFRPTTPGHSPGVGHSINN >OIW09033 pep chromosome:LupAngTanjil_v1.0:LG06:31002917:31003189:-1 gene:TanjilG_16260 transcript:OIW09033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIGSIEKQGAEESEGDMKHEAIEECKTPTRSSNQIPAILTCPPAPRKKKREKLSAFELSFFEDINAEEIDVFFKSMYEFTRANKKCKSI >OIW08868 pep chromosome:LupAngTanjil_v1.0:LG06:32927510:32928028:1 gene:TanjilG_05843 transcript:OIW08868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEDSNKSNKIREIVRLQQILKKWKKVANSNSTNNNNNNNNNNNNNSVSSATITTGGSGSGSKSIKFLKRTLSFSDVSSSNHDLVPKGFLAVCVGKELKRFTIPTEYLRHQAFQILLREAEEEFGFQQEGVLKIPCQVSVFQEILKMVQNNKESLNIGCDVTTPTHHAQMCR >OIW09605 pep chromosome:LupAngTanjil_v1.0:LG06:22293397:22297684:-1 gene:TanjilG_28204 transcript:OIW09605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEEVEVVVEDEVKKLFCSYIGLSFSIFLSSLPKHSLPLLHTLQSQLSQSQHQLLQLRSRRQEDSKANARVVDIFATHRNAWQAEEKRLLRQIDAAAEEIARLRARVEELESGEGESNAKVEELEKEVGERDEMIRFMSRKVEEEGLGGWRCRDYGVGDEVKGREWFQDEVDSEFLASASKFWDEKASIWQDVQYESLETMYDTKHFVTRRESPWKVDGDSAGVSPKLKLLEQELLNLEKIGKSDLSKVPSLMRKQAKRYQTLSGKIDDLCRRIQENNPCEPTLSTEFRTQRQTEFLLEAFRLQQRASETGQKLTLLQTEIGKNHHMDEFGGGQAALATRRCFDSIRNNLKEIQRNLEIWLARIIGDLEGILARDGASRVREYYISRYPFIQ >OIW09355 pep chromosome:LupAngTanjil_v1.0:LG06:29710451:29711296:1 gene:TanjilG_01326 transcript:OIW09355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVLKDEPNLNPIVIREVWASNLQSEFNLIRDVIDRYPFISMDTEFPGVIFRPPLGSSFSHLHPSDHYSLLKSNVDSLNLIQVGLTLSDADGNLPDLGTAANRFIWEFNFRDFDVARDPHAPDSIDLLRRQGIDFCRNVDDGVDSAEFAELMMSSGLVCNDEVSWVTFHSAYDFAYLMKVLTRRALPSGLKEFLKMMKVFFGNNIYDIKHMTRFCENTLYGGLDRVAKTLNVNRAVGKSHQAGSDSLLTWHSFQKMRDMYFLNDGAQKHAGVLFGLEVSLM >OIW09846 pep chromosome:LupAngTanjil_v1.0:LG06:13519567:13523377:-1 gene:TanjilG_26689 transcript:OIW09846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLYTSLLLLGLVSSFSFVASNIVASNEVLALKAFKEAVFEDPHQLLSNWNSLVSDPCDWYGLLCTPAQDHVIKINIPGSSLGGFLAPELGRITYLQELVLHGNNLLGTIPKELGMLKYLKVLDLGMNQLSGSIPPEIGHLTQIMKINLNSNGLTGRLPTELGNLRYLQELRLDRNKLQGPVPAGGSFASNIANPNDSNVTSTGLCRLSQLKVADFSYNFISGSIPKCLEHLPRSSFQGNCLRRKDVKQRTSALCAAKSHPVANTMHRSSESKHQGPSKPAWLLAVEIVTGTMICSLFVIAILTALRRGNNKASIIIPWKKSTSEKDHILVHIDTQLLKDVTRCSRQELEVACEDFSNIIGSSSDSLVYKGTIEGGPEIAVISLCIKEEHWTGYLELYFQREVADLARLNHENTGKLLGYCRESNPFTRMLVFDYASNGTLYEHLHCYEDRCQLTWRRRMIIIIGIARGLKYLHTEIEPPFTISELNSNAVYLTEDFCPKLVDFENWKTILERSERNSGSIGSQGSVSALSTTFEARHLDTKGNVFAFAVLLLEIISGRPPYCKDKGYLVDWARDYIEKPEKMSHLVDPELEHFRDDDLKVICEVITFCISSDPTVHASMQEICSMLESRIDTSISLDFKSSSSLAWAELALSS >OIW10245 pep chromosome:LupAngTanjil_v1.0:LG06:8092880:8093119:1 gene:TanjilG_27996 transcript:OIW10245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTVLLSRNPVLHSRTKHIELDLFWVRERVISKQLLVEHVPSEHQVADILTKPLSTSRFTLLRDKLHISDLYTAQQRSP >OIW09810 pep chromosome:LupAngTanjil_v1.0:LG06:14599158:14602642:-1 gene:TanjilG_32248 transcript:OIW09810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLKSSLDPEGKLLGSWTNDGDPCSGSFEGVVCNEHHKVANISLPGRGLIGQVSSAVAELRCLSGEIPREISNLNELVDLYLNMNNLSGTIPSEIGNMASLQVLQLGYNQLVGNIPKEMGSLKQLNALALQNNKLTGQIPLSLGNLEKLRRLNLSFNNFNGIIPATLADIPHLAVLDIQNNSLSGTVPSALRRLGEGFQGENNPGLCGVGFSTLRACNKDKDLYDNSQIDASNVSVNNNPPKHFPEPANIELHCNHTHCSKSRFPQAVIAASVVTISITFIGIGFFTFFRCRRRKQRVRNTSDSSESQLSPEQPKDFNRRSPSPLVNIEYSYKAWDALADGQNASGSSHEHLNNFRFNVDEVQSATQYLSEANLLSKSKFSAVYKGVLRDGSLVAIRSINVTCCKTEEAEFVKGLSLLTSLRHENIVKMRGFCCSRSRGECFLIYDYATKGNLSQYLDLEDGNDHVLEWSNRVSIIKGIAKGIGYLHSNEETKPTIVHQNISVENIVLDNKFNPLIMDAGLPKLLADDVVFSALKVSAAMGYLAPEYITTGRFTEKSDIYAFGVIVLQLLSGNTTIGGSIKIALESFKFDDFVDTNLKGMYSKSEATILSKLAMLCTHEVPDERPTMMEVIQEMTMVPAHSA >OIW09337 pep chromosome:LupAngTanjil_v1.0:LG06:29618726:29624667:1 gene:TanjilG_01308 transcript:OIW09337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSSSTFASVPVAVITVKKCRTLSHVRQIHAHVIKTNLLTHPLSVAKLMNAFSESSNPNEAISLYAQLLNSCFQDLRVIQFSVPYVLKACANSLAFEEGKQILGFVYKTHLWFDPFVTNSVVRMCMEVGQVALARSVFDKMPVRDLISWNSLIKGYVRFGELEIAREVFDKMPHRDLVSCNAMIDGYGKHGMCELAEEVFKNMSQKDVVSFTSMISGFILDHRPGKGLSLFREMLSLGIRPDAPAIVNVLSAIADLGFVEEGKWIHSYISMNKIHHNSSFVGSALINMYAKCGQIENAYHVFRSISQRRNVGDWNSMLSGFALHGLGREAIKVFQDMEREDIKPDDITFLGLLSACNHGGLMEEGQFHFETMQLKYKIVPKIQHYGCIIDLLARAGRLEEALGIIHDMPLEPDLLIWKGILSASMTHNNFVTGQTAALQAIKLAPQDSSCYVLLSNIYAKAVMWDEVAKVRSVMKKRRVRKIPGCSSIFVDGKIHEFLVGKAMDVRYNQSAVSKLEEVVQKLKLEGYEPDLNQVLLDIEDHEKVNQVTLHSEKMALAFGLLNIPQGIPIQIVKNLRICCDCHTFMQLERLSRNIVSPNRSDINTSEDDGFGVISPRIKLRDGRCLAYIERGVSKDIAKYKIIIVHGFGSSKEMNFLAPQELIDELGIYILQYDRAGYGESDPNPKRSLKSEALDIEELADQLEIGQQFYVIGVSMGSYATWSCLKYLPHRLAGLALIAPVINYRWPSLPRSLIREDYRRRLIHWAMLLAKYCPRMLHWWVTQKWLPSTSVIEKNPAFFNKSDIDILKTIPGFPMLTKDSLREQVVFDSLRHDWRVAFGKWDFDPLNLSNPFPHNTSSVHIWHGYEDKVVPSQLQRYVSGKLPWINYHEVSDGGHLIVYYKGLCETILRSLLLGQENLAYRPKPPSLFALPPDDDKCFEP >OIW10631 pep chromosome:LupAngTanjil_v1.0:LG06:4610296:4632045:1 gene:TanjilG_16003 transcript:OIW10631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENNFKVYIYEDGDPKTFYQTPRKLTGKYASEGYFFQNIRESRFRTLDPDQAHLFFIPISCHKMRGKGTSYDNMTIIVQSYVEGLISKYPYWNRTLGADHFFVTCHDVGVRATEGLPLLVKNSIRAVCSPSYDVGFIPHKDIALPQVLQPFALPAGGNDIENRTVLGFWAGHRNSKIRVILARVWENDTELDISNNRISRAEGHLVYQKRFYRIILSNYYDLPFNDILDWRKFAVVLKESDVYQLKEILKRIPHAEFVALHNNLVKLCVRASSTNSHSEEDGERTIIKKEKDGWNINFSSQKPATPLLDTINYPLHMKNLSIQDLEQLAAELRADIVHTVSNIGGHLSSNLGVVELSVALHHVFSTPEDKIIWDVGHQAYPHKILTGRRSRMHTIRKTSGLAGFPKRDESVHDAFGAGHSSTSISAGLGMAVARDLLGKNNSVISVIGDGAMTAGQAYEAMNNAGFLDANMIIILNDNKQVSLPTATLDGPATPVGALSRNLSKIQASTKFRKLREAAKTITKQIGGQTHQVAAKVDEYARGMVSGSGSTFFEELGLYYIGPVDGHNIEDLVQIFENVKAMPAPGPILIHIVTEKGKGYPPAEAASDKMHGVVKFDPPSGQQFKQKSSTLTYTQYFAESLIKEAEIDNKIVAIHAAMGGGTGLNYFQKQFPDRCFDTGIAEQHAVTFAAGLAAEGLKPFCAIYSSFLQRGYDQVVHDVDLQKLPVRFALDRAGLVGADGPTHCGAFDITYMACLPNMVVMAPSDETELMHMGRIMLEGSRVAILGYGSVVQQCLQAAQMLKAQDISVTVADARFCKPLDTDLIKLLAKEHEFLITVEEGSIGGFGSHVSQYLSITGILDGPLKWRAMMLPDRYIEHGAPQDQAEEAGLSSKHIVATILSLLDRPKEAFLFK >OIW10527 pep chromosome:LupAngTanjil_v1.0:LG06:5488539:5501510:-1 gene:TanjilG_15899 transcript:OIW10527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTQVILSHTVPPRLPSSFSLLPSTKPSTLSFFSFSLLRNHSLHFPLSFSFNSPTSTFRTVHRDGDDDDDEDVAADEYEDEEEDEHEGEEDDDDDGDDVAADDYDEGEATDGDDGDTFDAPLSDGAFKWQRVEKLCNEVREFGANIIDADELASVYDFRIDKFQRLAIQAFLRGSSVVVSAPTSSGKTLIAEAAAVATVARGRRIFYTTPLKALSNQKFREFRETFGDTNVGLLTGDSAINKEAQVLIMTTEILRNMLYQSVGNVSSGGGLFHVDAIVLDEVHYLSDISRGTVWEEIVIYCPKEVQLICLSATVANPDELAGWIGQIHGKTELVTSSRRPVPLTWHFSMKNSLLPLLDEKGTRMNRKLSLNYLQLQAAGAKPYKDDWPRKRNSRRRGTHTNYDSDGSMFEQRSLSKNNINAIRRSQVPQVVDTLWHLQSRDMLPAVWFIFSRKGCDAAVQYLEDCRLLDDCETSEVELALKRFRLQYPDAIRETAVRGLLQGVAAHHAGCLPLWKAFIEELFQRGLVKVVFATETLAAGINMPARTAVISSLSKRSDSGHVYLSSNELLQMAGRAGRRGIDERGHVVLIQTPNDGAEECCKVLFAGLEPLVSQFTASYGMVLNLLSGVKAIRSNESDEVRPSSGRTLEEARKLVEQSFGNYVSSNVMLAAKEEIKKIEKEIELLMSEITDEAIDRKSRRALSQWEYNEITELQENLRAEKRVRSELRKQMEAKRIYALKPILEDPEREHLPFLCLQYRDSEGVQHSIPAAYLGKVDSLNASKLKIMISSDDSFALNLVDAEPTSVTDSSLNKNLELSYHVALGSDNSWYLFTENWIKAVYGTGFPNVPLAQGDALPREIMSTLLDKEEMKWDKVAQSEHGGLWFVDGSLETWSWSLNVPVLSSLSENDELLLKSQVYQDAVELYKNQRTKVARLKKKIARTGGYKEYNKIIDAVKFTEEKIKRLKTRSKRLTNRIEQIEPAGWKEFMHVSNVIHETRALDINTHAIFPLGQTAAAIRGENELWLAMVLRNKSLLELKPAQLAAVCASLVSEGIKVRPWKNNSYIYEPSATVVNFIRLLDEQRKVLLSIQDKHDVTISCCLDSQFCGMVEAWASGLTWREMMMDCAMDDGDLARLLRRTIDLLAQIPKLTDVDPLLQRNAKVAYDFMDRPPISELAG >OIW09919 pep chromosome:LupAngTanjil_v1.0:LG06:12366403:12369413:1 gene:TanjilG_32068 transcript:OIW09919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSSKVSKLARSILSASKASPSRFYSEGRRAIAAAASVSLTRKAPVFASNYGRAGSESGFRTWIAGALALPAAAYMLQDQEVHAAELERTFIAVKPDGVQRGLISEIISRFERKGYKLVGIKVVVPSKEFAGKHYHALKEKPFFNGLSEFLSSGPVVAMVWEGEGVITYGRKLIGATDPQKSEPGTIRGDLAVVVGRNIIHGSDGPETAKDEINLWFKPEELVSFTSNAEKWIYGVN >OIW10817 pep chromosome:LupAngTanjil_v1.0:LG06:2790153:2790596:-1 gene:TanjilG_27763 transcript:OIW10817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKDLSDDQISSMKEAFTLFDTDGDGKIAPSELGILMRSLGGNPTQAQLKAIAAEENLTAPFDFPRFLDLMAKHMKPEPFDRQLRDAFKVLDKDSTGFVSVTELRHILTSIGEKLEPAEFDEWIREVDVGSDGKIRYEDFIARMVAK >OIW09456 pep chromosome:LupAngTanjil_v1.0:LG06:26264092:26265327:1 gene:TanjilG_22730 transcript:OIW09456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTTSIFMVSTLYILLLSLPDHMSAHYIIGHSGQRSKGGGGGGDGKKSPHILAAPASPLNTETLVFKDQRLQVVYPVIQKFKSIITSDPLGVTKSWIGSDICSYKGFYCDTPPDNSSAIALASIDFNGFQLGASTLDGFIDNLPDIALFHANSNNFTGTISSQIAKLPYLYEFDVSNNQLSGPFPMSVLGMETLSFLDIRFNLFSGGVPPQIFTQNLEVLFINNNIFTQTLPDNLGNTHILLLTLANNKFNGPIPRTLPKALSTLTEVLLLNNQLTGCLPYEIGFLEEAKVFDAGNNELTGPLPFSLSCLEKVEFLNLAGNLLYGMVPEVVCAGLVNLVNFSLSDNYFTHVGPFCRILIQRGVLDVRNNCIPDLPFQRSVIECATFFARPRICPFMWSYGFIPCKLPSIP >OIW09724 pep chromosome:LupAngTanjil_v1.0:LG06:17753918:17766052:1 gene:TanjilG_09397 transcript:OIW09724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRSSFSTIASAALKHKKWDALIIGGGHNGLTAAAYLARGGLSVVVLERRHIIGGAAVTEDIIPGFKFSRCSYLQSLLRPSIIKELDLSKHGLKLLKRSPSSFTPCLDGRYLLLGPDKELNHSEISKFSRKDAEAYPRYESKLENYCKFMDLVLDSPPPESLQRKSSLNEQLKNKIQNSVFWASCLRQASSLGQKDMVDFMDLLLSPASKVLNNWFESDVLKATLATDAVIGSTASVHTAGSGYVLLHHVMGETDGDRGIWSYAIGNAAMEAGAQVITNAEVSQLLIDNSSTVCGVILADGTEVHSSVVLSNATPYRTFVELVPDNVLPVDFVRAIKNSDYSSATTKINVAVDKLPQFQSCKLDHPHAGPQHVDTIHIGSESMEEIHSASQDAVNGVPSRRPIMEMTIPSVLDKTISPPGKHVINLFVQYTPYKPLDGDWQDHDYRESFAQKCFTLIDEYAPGFSTSVIGYDMLTPPDLEREIGLKGQTTRLRFEDYIYVEVEHILGAELWGLLDEMPPN >OIW09525 pep chromosome:LupAngTanjil_v1.0:LG06:23669144:23673412:-1 gene:TanjilG_13749 transcript:OIW09525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRKKVCNKKQVGKVPKWVTHYCSDHKILLVDDVTKKYKHAKSNLDDLQKLGACLLHGVDATKMKFHYYLEALRFDRIIFNFPHAGFHGKEVNSTMIEMHKNLVLGFFQNASCLLQFNGEVHVNHKTNAPFSHWNLEELAIQSFLTLVECAEFRKEDYPGYNNKRGDGNRCDEPFPLGECSTFKFIYNPRALGKRKRINDVSYIQQRNIPFKEIREAVENLPTSVDFSFYPQTNQFSRLNEAVPSTFGLTNGYTPTSGSYLNNAALVHERVAPFAHGMSLGSQRSLQPLQPRHSFGGDSNYWQEARCRTVENAGYSFERVRPDFERYISEVTMITLSGARNEGYGPLGGRSNYLQESPRRMAENSGYSFDMVRSDFERHIPEVHMKTSSGARNEGYHVLGGSSNYLQEPPDRTAENAGYSFDRVRCKFERHIPDIPRRTSSGARNEGYHALGGSPNYLQEVPGRISVIAGYSFDRVRSGFERHIAEVHRKTLSGARNEGYHALGGSSNYLQEVPDRTAENVGYSFDRVRSDFESHIPDIPRRPLGGARNEGYYSLGGSSNYLQEALGRAPENAGYSFDRVRPNFERYDVEVPRTSYDDIYVQSELHRMNFSKH >OIW09084 pep chromosome:LupAngTanjil_v1.0:LG06:31426713:31427108:1 gene:TanjilG_16311 transcript:OIW09084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKELGLGLEITELRLGLPGGEPMCVDKNYKKRVFSEIEGGGDENSSSDDRKMPTKNQMVGWPPVCSYRKKNSVNEASRPYVKVSMDGAPFLRKIDLGMHKGYSELALALEKLFGCNGIGELHYVFLFFSV >OIW10688 pep chromosome:LupAngTanjil_v1.0:LG06:3949634:3952563:-1 gene:TanjilG_16060 transcript:OIW10688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCMVSQLASKFAFFPPSPPTYHLQKREDGKLTVVSTVSPVVPIQKAVEDNSLDILVVDTKHGNKIVAFYLKNPYARLTLLYSHGNAADLGQLYDLFVQLRVNLRVNLMGYDYSGYGASTGKPSESSTYADIEAIYECLETEYGVSQEDVILYGQSVGSGPTLHLAAKLPRLRGVVLHSGILSGLRVLCHVNFTFCFDIYKNINKIKKVKCPVLVIHVST >OIW10155 pep chromosome:LupAngTanjil_v1.0:LG06:7149919:7152659:-1 gene:TanjilG_27906 transcript:OIW10155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRMNPLQTQISGFALPQMASLRSPKFIMASTLRTTSKEVENLKKPFSPPREVHVQVSHSMPPQKIEIFKSLEDWADQNILVHLKPVEKCWQPQDFLPDASADGFEEQVKELRERAKELPDDYFVVLVGDMITEEALPTYQTMLNTLDGVRDETGASLTSWAVWTRAWTAEENRHGDLLNKYLYLSGRVDMRQIEKTIQYLIGSGMDPRTENSPYLGFIYTSFQERATFISHGNTARLAKEHGDIKLAQICGLIAADEKRHETAYTKIVEKLFEVDPDGTVMAFADMMRKKIAMPAHLMYDGRDDNLFDNYSAVAQRIGVYTAKDYADILEFLVGRWKVEKLTGLSGEGRKAQEYVCGLPPRIRRLEERAQGRLKELPKLKFSWIHDREVQL >OIW09685 pep chromosome:LupAngTanjil_v1.0:LG06:19926478:19926822:-1 gene:TanjilG_06491 transcript:OIW09685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNTNTILKIQSFLVMLILVNSIFMVLEARPLSIIETRNSATREEVVELFDWLSLAAIKQSGPSPGVGHKFTNIDTLGGIKDSGPSSGGEGHKFTNSETLGGIKDSGPSPGQGH >OIW10577 pep chromosome:LupAngTanjil_v1.0:LG06:5107055:5108714:1 gene:TanjilG_15949 transcript:OIW10577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLNHLFDLPEQICYVQCGFCTTILMVSVPCSSMSMVVTVRCGHCTSLLSVNMMKASFVPLHLLASLSHLEVIADLSNFMPKESSPEEDINKTLNSYNASMMTYSDCEEEDVIPINNVVNKPPEKRQRTPSAYNRFIKDEIKRLKAENPDMTHKEAFSTAAKNWANFPPTQCKGDDENCSQTEPLLDLDSHVDPNDAEVNEEGQGFRGRKIPRNSILGRMPFE >OIW10246 pep chromosome:LupAngTanjil_v1.0:LG06:8106125:8117534:1 gene:TanjilG_27997 transcript:OIW10246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLSKQSLMMTKPAINKNEDDHDIVSTSEIKTYPEVSAVPKLVAHDNFAILIHLKAPPSGRQQNGGGSNIEEPTLDQISYALVDLVILLGGEFCLLSRHQSTDLHAKSLAIIMRVPSLTKDSALEKYHIAILEFNYLIKTTLQVIELIFDLGKLTSTHKTNEVPALILALEQIPVYVYWAVGCHHVAAIVTQIDSLTIENFLDAEDMNLTWKQQVGRAPVTSNPLMKPTLPPAVKSNAIVEYTAPVFKEEEEDLEIKLRHIIDVRVSRNTLGSSAGSGSGDFLQVHLIFSVFSLE >OIW10136 pep chromosome:LupAngTanjil_v1.0:LG06:9506620:9511505:1 gene:TanjilG_21973 transcript:OIW10136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRRSPTTKFTLSLLIFSLLPFSLLARPFVLVFSQEDFKEVPSDEASAVGPDSAEWDEFGESDALKSEDELDPGSWRPIFEPDSTFSATAAEPSSELETLYYSGVGKVMSAVASADVRLMEEACGEVEAAAESGYPAAQSILGFLWRMGILRERSKAKAFLYHHFAAEGGNMQSKMALAYTYKRQDMFEKSVKLYAELAEVAVNSFLITKDSPVTEPVRLHNGPEENKEALSKSKGEEDEDFQILEYQAHKGNADAMYKIGLFYYFGLRGLRHDHSKALSWFLKAVEKGEPQSMELLGEIYARGAGVERDYTKALEWLTLASKQQLYSAYNGLGYLYVKGYGVEKKNYTKAKEYFEKAASNDEVGGHYNLGVLYLKGIGVKRDVKLACKFFIVAANHGQPKAFYQLAKIFHIGVGFKKNIPLATALYKLVAERGPWSSLSRWALESYLKGDVGKAFMLYSRMAEMGYEVAQSNAAWILDKYGEHSICMGESGVCTDAERHQCAHSLWWQASEQGNEHAALLIGDAYYYGRGTIRDYERAAEAYMHAKSQSNAQAMFNLGYMHEHGQGLPLDLHLAKRYYDEALNHDPAAKLPVTLALTSLWVRMNYDGSFLVHVIDSLPEVYPKLESWVEDVLLEEGNATILTLFVCLLTVLYLRERQRRQPAAPVEVAQPNHPNELGVPAPI >OIW10697 pep chromosome:LupAngTanjil_v1.0:LG06:3897355:3899788:-1 gene:TanjilG_16069 transcript:OIW10697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTRKDELHFLPDVAEYFLSRAVEISSNEYEPSERDILYAEGVTQGNGLVFMEFSLDGHCPKSETFTDNLDAQQPQIKYQLIRVNATGMNEGCKWVEMFEDVRAVFFCVSLSDYDQLWLAPDSSGSGALLPNKMIQSKELFETMVRHPCFKDTPFVLILNKYDIFEEKISRFHDFCPVWAHHNNQSLAHQAYFYVAMKFKELYASITGRKLFVSQAQARDHVTVDEAFQYIKEVLKWDEEKNYYGPPEDSFYSTDISSSPYYVRQD >OIW10106 pep chromosome:LupAngTanjil_v1.0:LG06:9794916:9796188:-1 gene:TanjilG_21943 transcript:OIW10106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCARIPYFSYCLIWEGVLSLQKPSRFWTPIRTFLQPESFGPAAAYYRRIQDPSSDGFAELILHFLIVAAVTLKNLLQGSVLMAGRLNSHDQFRGWRLDIDNMTYEQLLDLGERIGFANTGLKDDEIGCNIRNTKFQFSDDASKHQVDKKCTICQEEYEADDELGKLNCKHSYHFQCIKQWVGQKNFCPVCKQQVVDRQLVSQS >OIW09577 pep chromosome:LupAngTanjil_v1.0:LG06:22642125:22650006:-1 gene:TanjilG_28176 transcript:OIW09577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILLESHSRFLLQTLFNRLQNLQKCVELDHNWVEFDDVRYHLQVSMKNPHILLLSVSLPTPSSETIFVRGLPFGAIEAIKAAYGVLVQILDPPRDGFNLTLKINLAKLPANQEQKHAFLVKVASVREVVLGAPLRVVLKNLASRTVARDMDPLVALVHRPKESFFLVPQANKVTVVYPMRFNDSIDIVLATSFLQEFVEARRTTGLNNSPPCSWSYTPPPELKGVSADALSANAGFVTFVIFPRHVEGQKLDRTVWNLSTFHAYVSYHVKCSEGFMHTRMRRRVESLIQALDRAKPDVENAKKTTSQNRSFKRLSLKDSRVDSFS >OIW10093 pep chromosome:LupAngTanjil_v1.0:LG06:9942551:9945022:1 gene:TanjilG_21930 transcript:OIW10093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASETSKSIYDFTVKDIKGNDVNLSQYHGKVLLIVNVASECGLTQTNYKELSALYEKYKSQDFEILAFPCNQFGSQEPGTNEEIEQTVCTRFKAEFPVFDKCTVVSISAVEVNGKNAAPIYQFLKNQKGGIFGDGIKWNFTKFLVNKEGKVVDRFAPTTSPLKIEKDLLKLLQSS >OIW10778 pep chromosome:LupAngTanjil_v1.0:LG06:3170233:3172824:1 gene:TanjilG_27724 transcript:OIW10778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEIEELWREVRELSLGNNGNIERLESPPSSLDLLRNFINPNKPCIISNAINHWPALSSWSHHSYLSHSLSSSSVSLHLTPTGLADSLTTAPPSSPSPLCFASAHVQHHLPFPQALHLITSSSSSSAFVAYAQQQNDCFTTEYSALANDCDSHIPWASHAIGAMPEAVNLWIGNEHSQTSFHKDHYENFYAVVTGEKHFLLLPPTDVHRLYIRQYPAATYTYSSDTGEFDLKLDEPTRYVPWCSVDPYPSPENKDEEISKFPLYFNGPKPFQCTVKAGEILYLPSMWFHHVRQSPDDGGLTIAVNYWYDMQFDIKYAYFNFLQSLHYRSTLSPILHEKVTEETSDSGALASSQ >OIW10475 pep chromosome:LupAngTanjil_v1.0:LG06:5985809:5987632:1 gene:TanjilG_00413 transcript:OIW10475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRSSGGRSARPAPRAAPARPAPQPVNHAPPPATVQSGGGSILGGIGSTIAQGMAFGTGSAVAHRAVDAVMGPRTVQHEAAGTVDAAPAPTANTFGGDACNIHSKAFQDCITNYGNEISKCQFYMDMLAECRKNSGTSLNA >OIW10837 pep chromosome:LupAngTanjil_v1.0:LG06:2633536:2636207:1 gene:TanjilG_27783 transcript:OIW10837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRVMEDVAIIGGLIGVQFIYAGNAMLMSYAMSLGLTSLTIVIYTSLATFFLLFPLAFYFERTNWPNKCSIKFLTQLLLLSFGGVVFQSFFLKGINLTSPAMGTAMPNIAPGLIFIIAWTFGLEKVNMGNVYSKVKMLGTLLCVLGAFTMSIMQSISAPATENGTTVLSSSTPSDFVFDKQKIIGCFYLMAAVFILSSSIVLQAFALGGFPAPMSLSAITSLFGAFMTAIVQLIEDHKVTTGWPLVSFGDLIGYSILAGTVSGICLSFNGWALKKRGPVFVSMFSPIGTVCSVIFSVVTLGDTINFGSLAGMFLMFTGLYLVLWSKGKESYTNGDGLESEWFFGPMVLWSSINGQNLETSTPVFVIKSISKSALRKGRSVLHLGLNLEGIKRDTRDLFISFIRCK >OIW10052 pep chromosome:LupAngTanjil_v1.0:LG06:10392104:10393705:-1 gene:TanjilG_32792 transcript:OIW10052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITDMKAASALAAKTARACDSCLRRRARWFCAADDAFLCHGCDSLVHSANQLANRHERVKLQSASNKVTTTAQSWHSGFTRKARTPRNNKHLALQQRLKHKVFFNNTNVLPIVPELGGEEEQVFDDEESEEQMLCRVPVLEPFDAAAEFCHVYNNGLSVETDAANEAASDLDSFSEFLASDMDLSEFAADVQSLLGSSEQVFDCKEECEIDGRGANGANAVMKVKDEGLDEDTACHFESVFDMTNEAFDWNIDSVLSSAPTAPPQEEKAVVSVAAPESKMETKRDIILRLKLNYEEVITAWASQGSPWTTENPPRFNFDECWPDFLDSSSGGDVQFSSYGELRSLRGHLVDEGREARVSRYREKRRTRLFAKKIRYEVRKLNAEKRPRMKGRFVKRTCFVGGANNAFH >OIW10632 pep chromosome:LupAngTanjil_v1.0:LG06:4603113:4609283:-1 gene:TanjilG_16004 transcript:OIW10632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSNDSLLDSMVCDSSSRLIPSGFTRPDNSADGEYFMFVNAGGEAFNEADGEITFLGDTCFDGGNVFRTDEQIVGAGDYLFMYQSARLGNFCYRFENIPPGNYVIDLHFVEIINTNGPKGMRVFNVYIQEEKVLSELDTFAVVGANKPVQFIDSRVHVKDDGVILIRFESINGSPLVSGICVTKTTKVSVPQVTSDYIKCNYCATEIEIPSSQKKIMQTKYTSKYENKIRELTMQCELKAKECYEAWMSLTTTNEQLEATQMELDKVTFKLLTIDQTLEKQAEDLRSISSRYELDKKKWAEAISSLQEKVKLMKSDYCQLSFEAHECVDSIPELNKMVFAVQGLVKQCEDLKVKYNEEMTQRKKLFNEVQEAKGNIRVFCRCRPLNKVEISAGCSTVVDFDAAQDGCLGILTSGSTKKSFRFDRVCTPKDDQVDIFADVSSMAISVLDGYNCCIFAYGQTGSGKTFTMEGIEHNRGVNYRTLELLFKISKERSETFAYDISVSVLEVYNEQIRDLLATGPTSKRLEIKQASEGSHPVPGVIDAKVDNISEVWNVLQAGSNARAVRSNNINEHSSRSHCILCIMVRAKNLMSGECTKSKLWLVDLAGSEKLARTDVQGERLKEAQNINRSLSALGDVISALAAKSSHIPYRNSKLTHLLQDSLVGDSKTLMFVQISPLEQDVSETLSSLNFATRVRGIELGPVKKQINTGELQKVKAMLEKAWSECRIKDESIRKLEENLKSVESKAKGKDNIHKNVHEMINELEGQIELKTAMQNQHILLMKVKDLEKSLNEQLQSETANYQQKVLKLEKKLKDQLQGSESQPAILKQKVKELERKLKEQDQSSELFFYRQQVKELERKLKEQDQSSEMSFYREQVKDLEKKLKEQEQSSELSLLRQRVKELEDRCREQQSHGFVEAAKATPDIGKGCKTSEKCLSEIEPHILKSSNSSNCHINQDSTHQIRIKRDLRSNEIENNYVMSSSPLHKRKVTRKSGPPMVGRGVKPTTKSVITTQPSLSHKRASTSRDIQGIEERDSKNFFWNR >OIW09308 pep chromosome:LupAngTanjil_v1.0:LG06:29382614:29386181:-1 gene:TanjilG_01279 transcript:OIW09308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMEMLKMNSAVKIGSGRTVAVAIENNKTSQYAAKWAVDNLVTKDQSLFLIHVRTKLSDSKSSGGNQDFNQDGPKPDKESKDLFDSFRIFFNRKSVQCKELLLEDTDICKALIESMHTYSIELMVLGAPSRSGIVRRFRTSDVPSNVTKVAPPFCTIYIISKGKVSSVKTSTAPLLSAKTTPSPAPTPTTIHNGYQFHPQLASQSVGDRIIDSQSMRNYPPRSGALALTEKPSYGTRHVPDEDDFISPFTRAGRSYESSLAPDSDISFVSNGRPSVDRLFPSLHDDMDGGRLSYTSDFDVRSSFGSAMSGGGLKSIDMCSPMPMREFPFTSQSNTTVSSLRLSNPTDEVEAEMRRLKLELKQTMEMYSTACKEALTANQKAMELQRWKMEEQKKLEEARIAEEAALGLVEMEKEKCRAALKAAEQSQRIAELEGQKRLNAEMKAQTERKKGGDSFAPGSARYRRYTIEEIEEATKCFSDTLKIGEGGYGPVYRTELDHTPVAIKVLKPDAVQGRAQFQQEVEVLSCIRHPNMVLLLGACPEYGCLVYEYMANGSLDDCLFRRGKSPPLPWQLRFQIAAEIATGLLFLHQTKPEPLVHRDLKPGNILLDRNYVSKISDVGLARLVPPSVADTVTQYRMTSTAGTFCYIDPEYQQTGMLGIKSDIYSLGIMLLQLVTAKPPMGLTHHVARSIEKGTFGEMLDPAIDDWPLEQALHFAKLCLQCAEMRRKDRPDLGKVVLPEINKLRAFAEENMPVFMYGGSTGFAPRTSNASMYSSSTHQNESHQSQSQSLSGMSGYESRSSTSSSSQGRM >OIW09244 pep chromosome:LupAngTanjil_v1.0:LG06:30065271:30065762:-1 gene:TanjilG_26457 transcript:OIW09244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCYVGKATKIFFFLLTVFIVLGLVLGFRLLRHRHHRTKCSSDDYSSCTTTVTYSPPPPPPTVTTTFVPSPIFNLPTTSPTPPSSDNTIAFSPPPSTSTNNPTPPTPLANPNPTPLPPPPPPLVYPPPPETSPPSVAAPPMDTPTPGSALVSPGPVHAIAVIGF >OIW09914 pep chromosome:LupAngTanjil_v1.0:LG06:12254909:12256831:-1 gene:TanjilG_32063 transcript:OIW09914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTWTDQNQNPSVMDTFITSSDLSSLWLPPPHSVPSNTTPDPTRAPPPPPPPQTLFNQETLQHRLQSLIEGAKESWTYAIFWQYDYSPGSSLLAWGDGYYKGEEHKRNLKIVSRDEQNHRKKVLRELNSLISGPSGSIDDAIDEEVTDTEWFFLMSMTQSYINSDSVPGKAFFNSSPVWVAGADRLSGSGCERSRQGQVFGLQTLVCIPTPNGVVELGSTELILQNPDLMNKVQVLFNFNKNVYACSLLPLNANVADKGLNNPCSSVWLSDPSGRIGIEDSVSSEGKVNISTGKSMALQFGTPGSSSLNENMSAIDVSNNAQRRQQQNQDQNLFSRELNFSSSLKMESGEILRFGERKKSSYDSVANGNLFSGQAESVFGEENKRSSNVSRSNIDDGMLSFTSGMILAASNVRSGGEGGGDSDHSDLEASVVKEADSRVVEPEKRPRKRGRKPANGREEPLNHVEAERQRREKLNQRFYALRAVVPNVSKMDKASLLGDAISYINDLKSKVQRVESEKGELEKQLDHAKKELELASKNPGAPPPRPVKESNQIGSKLIDLDIDVKIVGWDVMIRIQCNKKNHPAARLMAALKELDLDVHHASVSVVNDLMIQQATVKMGGRFYTQEQLLLALSTKVGYAK >OIW08890 pep chromosome:LupAngTanjil_v1.0:LG06:32785731:32786087:-1 gene:TanjilG_05865 transcript:OIW08890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVRFVIWFLFLSCTHAISEQKDLNISKSSMQNEQSAEHYRSIEEAIKLHKKDEEKHELCCDTITKAQRGKGVSGGINVNHRHRRPTNSATTPLLSWISTVCVSLTLTLVFSFHVRLV >OIW10428 pep chromosome:LupAngTanjil_v1.0:LG06:6361982:6363462:-1 gene:TanjilG_24988 transcript:OIW10428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMELMVKMDEQHAQRLDQIDAKMGTISPIIANGGPNSGHSAQPFQVLKRWSP >OIW10650 pep chromosome:LupAngTanjil_v1.0:LG06:4359558:4361983:1 gene:TanjilG_16022 transcript:OIW10650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSRDSIHHNHPVTPRRSQRLILIQQQQHKPSNPPTPRTPFNPKLSKSYDSTKKHSNQKSSSKSHTNSQNGTTGLRRSARLNNGDGGIPSLRRSPRLSDLKLPVNEENGKKPHIEENVSGGSGSSISRSRISTRVKAGHQVGDADEVKKNENCVVEEGKVKNGDMVEVGVKRKRKRPREKETAIGWTKEQELTLQRAYLAAKPSPHFWKNVSKLVPGKSQQECFDRIHYDHITPRQSQPRSRAKAITLSPIHQFSLSASKLLRPFDKKVKRTNVLKPKNYNTQKSVAMLLQRHLNVDRDHRGDIFSVLEPNTDLSTNDAFQPSEALSTPKQQKENQGFLKNCTEASSSSHKKTLSRFSGSCITDLASPPVLKQVKNRVLHEKYVHQLRCREAKRKAASARIQVPTTGKISEAISIQKRDVVKAAKVALVSEARDAISKFQHSQANFNNTCSSEEEEDNNDGIEVEYDSQ >OIW09115 pep chromosome:LupAngTanjil_v1.0:LG06:30104074:30105173:1 gene:TanjilG_11253 transcript:OIW09115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIMNSFINDIFEKLAHESSRLARYNKKPTITSREIQTAVRLVLPLPGELAKHAVSEGTKAVTNTSREKTSGGEKTTSGEEASESVSTDKKKKKSKKSIETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAHESSRLARYNKKPTITSREIQTAVRLVLPLPGELAKHAVSEGTKAVTKFTSS >OIW09551 pep chromosome:LupAngTanjil_v1.0:LG06:23606852:23613696:1 gene:TanjilG_30870 transcript:OIW09551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLPSFSLTPKPPSTSSSTITLSRRNHLNHSTSSFSSLLFPSHNSHTRRSYRVFRFTARKGGGKLWADVKSEDEDVVVIEKSRDVLDNVDATTSAWWEVFPKRWVIVILCFSAFLLCNMDRVNMSIAILPMSAEYNWNPSTVGLIQSSFFWGYLLTQIAGGIWADTVGGKQVLGFAVVWWSIATILTPVAAKLGLPFLLVARALMGIGEGVAMPAMNNILSKWVPVAERSRSLALVYSGMYLGSVTGLAFSPFLIHQYGWPSVFYSFGSLGTVWFSLWLSKAHSSPLEDPELRPEERKLLANNSYSKEPVKAIPWRLILSKPPVWALIVSHFCHNWGTFILLTWMPTYYNQVLKFNLTESGLFCVLPWFTMALSANLGGWIADTLVSKGVSVTTVRKIMQTIGFLGPAFFLTQLSHINSPVMAVLCMTCSQGTDAFSQSGLYSNHQDIAPRYSGILLGLSNTAGVLAGVLGTAATGYILQHGSWDDVFKVSVGLYLVGTVVWNLFSTGEKIIE >OIW10795 pep chromosome:LupAngTanjil_v1.0:LG06:3022171:3022574:-1 gene:TanjilG_27741 transcript:OIW10795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCATLAVESHGKRWQSDVLQFSPLCKVSWSVSKTDYDNINVQGNMVIKQRFCISWTFHLVRSPNNV >OIW10097 pep chromosome:LupAngTanjil_v1.0:LG06:9890037:9893480:1 gene:TanjilG_21934 transcript:OIW10097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAENVITIEPEKRSLLNKHTEKHFTAGDVVRDIIIGVSDGLTVPFALAAGLSGANATSAIVLTAGIAEVAAGAISMGLGGYLAAKSEADHYDRELRREQEEIVAVPDTEAAEVAEILAEYGIEPHEYGPVVNALRNRPQAWLDFMMKFELGLEKPDPRRALYSALTIATAYILGGVVPLLPYAFIPNASEAVVFSVVVTLMALLLFGYAKGYFTGNKPFRSALETVLIGAIASAAAFGLAKAFHS >OIW10315 pep chromosome:LupAngTanjil_v1.0:LG06:8681712:8686473:1 gene:TanjilG_28066 transcript:OIW10315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLLRPHSPSSLFTSTSHYSTLRTLPPSIPHSPTTRIFSYNNSVKCGLLEPSNIVNGKPIIPVMNGTTLPKFIEPERAERTVNKNGNRLKLFSGTANTVLSQEIARYMALELGKITIKHFADGEIYVQLQESVRGCNVYLIQPTSAPANENLMELKIMIDACRRASAKNITAVIPYFGYARADRKASKLVANLITKAGADRVLACDLHSGQSMGYFDIPVDHVHCQPVILDYLASKSINANDLVVVSPDVGGVARARTFAKKLSDAPLAIVDKRRHGHNVAEVMNLIGDVKGKVAVMVDDMIDTAGTISKGAALLHAEGAREVYACCTHAVFSPPAIERLSSGLFQEVIVTNTIPVADKNYFPQLTVLTVANLLGETIWRIHDDTSVSSIFE >OIW09595 pep chromosome:LupAngTanjil_v1.0:LG06:22429184:22430632:-1 gene:TanjilG_28194 transcript:OIW09595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTVSENPNKPQKIKQPTIPKPNEFPSHLTTPNVSPTARALCDLLTRTSPLEIETALQSSNVHPSADCVIEVIKLSYNYPHSAVKFFRWAGRLSRHSAYSWNLMVDLLGKNQLFEPMWDAIRSMKQECVLSLPTFVSVFESYCTAGRFSEAIMSFDVMDRYGIKEDVVAVNSLISAMCREENQTCAALDFFERIKGKIAPDGDTFAILLEGWEKEGNAAKAKSTLGEMVVRVGWSQMNRSGYDAFLMTLVRALQIDEAVKFLKVMKDHNCFPGLKFFTNALDILIKRNDVVNAISMWDVMAGSGLVPNLIMYNALIGLLCNNAEIDYAFRLLDEMVLQGTFPDSLTYNMIFECLVRNKKVRETERFFVEMIKNELPPTLSNCTAAIKMLFDCDDPETAYEIWTYMVENHVKPLDESANALLVGLCKLGRLSETRRTAEDMMDRRIKIYESTMERLKYAFHKSRSGRDKYDSLSRRWKAQFKS >OIW09340 pep chromosome:LupAngTanjil_v1.0:LG06:29633791:29635948:1 gene:TanjilG_01311 transcript:OIW09340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKLSSDAVREAISGIVADSKEKNRKFVETIELQIGLKNYDPQKDKRFSGSVKLPHIPRPKMKICMLGDAQHVEEAEKIGLDYMDVEALKKLNKNKKLVKKLAKKYHAFLASEAIIKQIPRLLGPGLNKAGMWFGKFPTLVSHQESLESKVNETKAMVKFQLKKVLCMGVAVGNVSMEEKQIFQNVQLSVNFLVSLLKKNWQNVRCLYLKSTMGKSYRVF >OIW10524 pep chromosome:LupAngTanjil_v1.0:LG06:5532967:5533215:1 gene:TanjilG_15896 transcript:OIW10524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKYNADSVMGKDTLSNIIVAHAKCHVAKGHCPKLGMFSGRCSPLHQNYLPQLNNSRHNGPPPHDGVKFSSSLSRVACDGVT >OIW10585 pep chromosome:LupAngTanjil_v1.0:LG06:5024221:5035558:-1 gene:TanjilG_15957 transcript:OIW10585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEMYGVPSSSMADYADKPIMTPENLIFPADYHSFLMSSSSARIPMFGSDNLLSSAGIQRQQEQQQDQYEVNTSTVMKARIASHPHYPRLLQAYIDCQKVGAPPEIAGLLEEIQRENDLCCKRNVVSTCFGADPELDHFMETYCDMLVKYKSDLARPFDEATSFLNSIEMQLSHLCTGANYVPTLNSDDGGVSSDEYFSTGDGDVQDGQSRGEDNDLKDKLLHDGGVSSDEYFSTGDGDVQDGQSRGEDNDLKDKLLRKFGSHIGTLKLEFSKKKKKGKLPKEARQTLLQWWNLHYKWPYPTEADKIDLAKTTGLDPKQINNWFINQRKRHWKPSENMQFSMMENLTGRFQADE >OIW11080 pep chromosome:LupAngTanjil_v1.0:LG06:1792543:1793166:-1 gene:TanjilG_22887 transcript:OIW11080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIEEMENDVAVMMDVAELREALEERETMVEFLEREVKGMKQVNAEVDMKVRDLERKVGVLEVKEIEERSKRIRIEEELKDKVDDKKREIVGFKEKIKELEKKILLGKKSEMEKWMNEKMKLEEALRESEEKARSMESIIDRWLREEAGKPERVKRTMKEKVFGAFIRAVYGIHEEVKGVELQWPMVAAGSAAAIAVVIYMCFRKQR >OIW10872 pep chromosome:LupAngTanjil_v1.0:LG06:2379718:2383396:-1 gene:TanjilG_27818 transcript:OIW10872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACCCKGFWECLLKLLNFILTLTGLAMVGYGIYLLVEFTKASDDTPAIPPISDDGDLIQLGRPMLMVVSLSDSIVDKLPKAWFIYLFIGIGVVLFVISCFGCIGAVTRSGCCLSCYSVLVVLLILVELGCAAFIFFDKSWQKEIPTDKTGSFDMIFGFLRENWNLVKWVALGIVIFEALLFFLAIIVRAANRPADYDSDDEYINPRQQAWQPLLHKPEGPATGVPVAGTLDQRPSRNDAWSTRMREKYGLDTSEFTYNPSESQRFQQVNSQPTEERSRCIIM >OIW09137 pep chromosome:LupAngTanjil_v1.0:LG06:30313991:30319121:1 gene:TanjilG_11275 transcript:OIW09137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRSALKRSNGGTKIIITTIMGIAFGFFIGVSISSTHLNKISLLSKVGNTFDVPTAEIERFSAEVDRSPAVIDESSETKPLEALGSIRLPKINVPTNPNGTESLPPGIVVSESDLYLRRLWGEPSEDLKKKPKYLVTFTVGYDQRQNINAAVKKFSDDFAILLFHYDGRTSEWDQFEWSKKAIHVSARKQTKWWYAKRFLHPDIVSAYEYIFIWDEDLGVEHFNADKYINLVKKHGLEISQPGLEPNNGLTWEMTKRRGDSEVHKVTEERPGWCSDPHLPPCAAFVEIMAPVFSREAWRCVWHMIQNDLVHGWGLDFALKRCVEPAHEKIGVVDSQWIVHQVIPSLGRQGEADNGKEPGDAVKARCRSEWAMFQARLVNADKAYLEALKRAKG >OIW10182 pep chromosome:LupAngTanjil_v1.0:LG06:7390140:7390592:-1 gene:TanjilG_27933 transcript:OIW10182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYSSPRLTSEQELSVIVAALTNVITGSTTSTSVATTSNFITQLLSSPTSATAGTTFDNPIVPTPNIETCRECNIAGSLGCNYFKEEKNQKKYRGVRQRPWGKWAAEIRDPRRATRVWLGTFKTAEEAARAYDKAAIEFRGHERNSTFHG >OIW09896 pep chromosome:LupAngTanjil_v1.0:LG06:12042453:12045957:1 gene:TanjilG_32045 transcript:OIW09896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMEDGADQFYDTCEELCSASDVDSDSSEANESSDANGYVTRYQVWTNNLESVHLRRLNFVRWMGLEAGSDLNLMMGSEELVDPSCGVDRITASNGAVLRTSGSVDRSSRTVSNSLSNEATIIENRGVGENLACMIDNLDDGTQFVIDKLGQDGKLSTPRVAASNQFISLEEFQRTIAPTPLVQRHLQRDEENSNFFGVVKKKMKWDWLKKLSLVPCFVHDQGLDEMDGKDFDSVNRSRIQRVTVHSYMKRSKELTSLHIEQEFKAHKGVILTMKFSLDGKYLASGGEDGIVRVWKVVEDERSAKLDILDNNPSTTYFKMNSFSCLAPIDVDKEKLVNTDKFKRSSGSTCVIIPPKTFHISEKPLHEFHGHNGDILDLAWSKRGFILSSSVDKTVRLWQVGIDRCLRVFSHNNYVTCVNFNPVNDNFFVSGSIDGKVRIWEVLCCRVVDYINIREIVTAVCFRPDGKGTIVGTMTGNCRFYDIKDNHLQSDAQLSLGGKKKTPGKRITSLQFSPNDPSKLLVASADSHVCILSGVDVICKFKGLRSVGQMHASFTNDGKHIVSVSEDSNVCIWNYTGQDRSTSKAKKIWSSESFLSHKAAIAIPWCGIESIPSLKHDFNQRLTLSSPDCFFLNCGFLSELVPKVSPTWPEETRLDSRQSSVTPTMCKSDYKFLRSACKGMSNSHMWGQVIVTAGWDGHIRVYQNYGLPVRV >OIW09323 pep chromosome:LupAngTanjil_v1.0:LG06:29526658:29529988:1 gene:TanjilG_01294 transcript:OIW09323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLTVKTLKGTHFEIRIMAVKKNIEDVQGKDNYPCGQQLLIHNGKVLKDETTLAHNNVSEDAFLVVMLSKSKTLGSAGTSSTQACSLFLPASNPPTTVSTSNSTPQLQTEASNNHAPPATDAATTNLSTDTYGHAASNLVAGGNLEQTIQEIMDMGGGNWDRDTVSRALRAAYNNPERAVDYLYSGIPEAAEVAVPAPHFPSSQTTETGGVTAGAVPGVPNSSPLNMFPQEAISGGGAGIGSLDFLRNNPQFQALRSMVQSNPQILQPVLQELGKQNPSLLRLIQEHHAEFLQLINEPVEGSEGDIFDQPEQDMPHAINVTPAEQEAIARLEAMGFDRDSVIEAFLACDRDEQLAANYLLENAGDFED >OIW09040 pep chromosome:LupAngTanjil_v1.0:LG06:31076297:31077032:-1 gene:TanjilG_16267 transcript:OIW09040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFWGTEVKVGETVKIDPEEIEAYVHLSQAALGESKKDKANEPVFLHLKVGEQKLVLGTLSRDKIPQASLDVVLDTEFELSHSSKSSSVFFTGYKALIPDEE >OIW09996 pep chromosome:LupAngTanjil_v1.0:LG06:11050620:11051372:1 gene:TanjilG_32736 transcript:OIW09996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERQGSEINTNTNPSSASSSPLSLTFTITEPKASLSEPQIPDPDKKKPKKRPRDSSNSKHPVFRGVRMRAWGKWVSEIREPRKKNRIWLGTFATPEMAARAHDVAAITIKGSSAILNFPELAGSLPRPDSNSPRDVQAAAAKAAAMEVFEPQTTSHLSSSSESSTNSCCEESNEEELGKIVELPHLGTSYESPDPINNELVFFDPVDGWPNYYNIYDGGYYVGDHISMQDYYYSESVMLSGFEGSLWQH >OIW11138 pep chromosome:LupAngTanjil_v1.0:LG06:357386:370430:1 gene:TanjilG_22945 transcript:OIW11138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEKLKDLTQPIDVPLLDATVAAFYGTGSKQQRSAADQILRELQNNPDMWLQVMHILQNTQNLNTKFFALQVLEGVIKYKWNALPTEQRNGMKNFISDVIVQLSSNEASFRTERLYVNKLNIILVQILKHEWPARWQSFVPDLVSAAKASESICENCMAILKLLSEEVFDFSRGEMTQQKIKELKQSLNSEFQLIHELCLYVLSASQRTELLRATLSTLHAFLTWIPLGYIFESPLLETLLKFFPLPEYRNLILQCLTEVAALQFGNFYDAQYVKMYSVFMGQLQSILPPTTNIPEAYAHGSNEEQAFIQNLALFFTSFYKAGFTFESWNPLKRIYLLCYWVLNILSTSHMWMILRCPFFTFPDICLFHSVSLTVCLDYWNVLVSELFDPNRSLENPAAAAGNMLGFQMPMMLPLPGMVDGIGSQLLQRRQLYASPMSKLRMLMISRMAKPEEVLIVEDENGNIVRETMKDNDVLVQYKIMRETLIYLSHLDHDDTEKQMLQKLSKQLSGEDWTWNNLNTLCWAIGSISGSMMEEQENRFLVMVIRDLLNLCEITKGKDNKAVIASNIMYVVGQYPRFLRAHWKFLKTVVNKLFEFMHETHPGVQDMACDTFLKIVQKCRRKFVITQVGENEPFVSELLTGLPTTIADLEPHQIHAFYESVGNMVQAESDAQKRDGYLQRLMELPNQKWLEIIGQACQNVDFLNKQDVIRIVLNILQTNTSVASSLGTYFLPQISLIFLDMLNVYRMYSELISKSITEGGPFASRTSFVKLLRSVKRETLKLIETFLDKAEDQPQIGKQFVPPMFGPVLGDYARNVTDARESEVLSLFATIVNKYKATMVEDVPRIFEAVFQCTLEQLKLVMDSIIWAFRHTERNIAETGLNLLLEMLKKFEVSEFCNQFYQSYFLTIEREIFAVLTDTFHKPGFKLHVLVLQHLFCLAETGALTQPLWDVATNPYPYPNNGAFVREFTIKLLSTSFPNMTAAEVTQFVNGLFQSTNDLSTFKTHIRDFLVQSKEFSAQDNKDLYAEEAAAQRERERQRMLSIPGLIAPSELQDEMVDS >OIW10450 pep chromosome:LupAngTanjil_v1.0:LG06:5848687:5849769:-1 gene:TanjilG_00388 transcript:OIW10450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKSDSSWPRPQHVAWQSSYLNPSSMLAEPSLLGLPAYAKSTAGIFPAVSAFPGFTAPAIPSLKTELTNEVHQGFVQSPNPEPSLKETHTGRALQNANHASLQNKLLIFDHSGNMTRLVYGPVVPLVHSTIVTATKFAQGYGVNVEGKARNKDQKFIANYSLPEVPDKDRTAHEESEMHEDTEEINALLYSDDDDEYDDDYDNDDDNNEVTSTGRSPLETKRTHLMEEQFEDTNEDIASSDWPNKRLKSIDGGYNISLSPVDCASSLRLNEMPECVSDAESKLSSGCAHCVEKTVADNSMVDDIQLKTDKIRESLKVLESLIPGTKGKEPLLVIDGTIQYLKSLMSQTGANGVKYDLQVG >OIW09099 pep chromosome:LupAngTanjil_v1.0:LG06:31548851:31553964:1 gene:TanjilG_16326 transcript:OIW09099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRVGEQDQEGYRSKMLNFKGMFEIPGRNTKSMSVESANILDPISIEDVASSSKSHGSNNVPKARVISKEEIAAKERLLQEMEQMKERFAKLLLGEDMSGGGKGVSSALALSNAFTNLAASIFGEQKRLEPMAAERKSRWRKEIDWLLSVTDYIVEMVPSQQKSKDGSQMEIMTTRQRIDLHINIPALRKLDAMLIECLDNFKDQNEFNYIAKDSEDSDKDSGNRKNGDKWWLPTPKVPAEGLSDMTRKFLQYQKESVNQVLKAAMAINAQVLTEMEIPESYIESLPKNGRASLGDSIYRSITVEFFDPDQFLSTMELSSEHKILDLKNRIEASIVIWKRKMNQKDSKSAWGSGVSLEKRELFEERAETILLILKLRFPGIPQSALDISKIQFNRDVGQAVLESYSRILESLAFTVISRIDDVLQADYQTRNPVGRKSSIKSLVSKRSHKEESIDMGSRETHGSMTLSDFMGWGSDQVDTELIKNNSFALSDDIYKELESKQQKLPNVVTNKKVSYLENLGIMTTRQRIDLHINIPALRKLDAMLIECLDNFKDQNEFNYIAKDSEDSDKDSGNRKNGDKWWLPTPKVPAEGLSDMTRKFLQYQKESVNQVLKAAMAINAQVLTEMEIPESYIESLPKNGRASLGDSIYRSITVEFFDPDQFLSTMELSSEHKILDLKNRIEASIVIWKRKMNQKDSKSAWGSGVSLEKRELFEERAETILLILKLRFPGIPQSALDISKIQFNRDVGQAVLESYSRILESLAFTVISRIDDVLQADYQTRNPVGRKSSIKSLVSKRSHKEESIDMGSRETHGSMTLSDFMGWGSDQVDTELIKNNSFALSDDIYKELESKQQKLPNVVTNKKVSYLENLGVMRSPTSRH >OIW10463 pep chromosome:LupAngTanjil_v1.0:LG06:5909051:5910071:-1 gene:TanjilG_00401 transcript:OIW10463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLPALAEDRGQIPAPANMATTRKRSNRLHNFTLPSLRWGSQRYLRCANSSSAATTEDDASGSRDRRSPASGSDDSTANWMMEFKTERNARSSRIPKPRICGGNGDNYDGIDAVRKKLVLDLKTAAEKMKDEILRKKVKPDEKEEERESSPPPPAMESRPWNLRTRRRDEIKPPVSSVGNGKRLKIEEKKPNSSSPVKTNGNCDSNDGAVRLPRLRSNSEKTKQRKKFCVQLSKKEIEEDFEAMVGRRPPRRPMKRPKYVQKQMDTLFPGLWLTEVTADWYKVSESPEKVRKGKGKMHFSESDDEES >OIW08899 pep chromosome:LupAngTanjil_v1.0:LG06:32738081:32739124:1 gene:TanjilG_05874 transcript:OIW08899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDMEDDARYPPNSFSLNRQNPSHRQNLYHHHRPIPTRYVEESDDDEGHDEFDNYDAEEDEFEEGQNGYGGNFENDNGFGRNPSKKRKVRVGSSVSNYELAPRLKMSYNRSSADDWTEHATFVLLEVWGDKFLQLGRNSLRMEDWNDVAEKVSEELKVERNVFQCRNMLDKLKSRYKKEKGRINEMCLSISKWAYFKKMDMLMASSSRQEYGLACGVDSGEYVFMNTRVYLNKSNGFDEMRDSPSESETDEDNDDSKTRICGRDDDDDDETSYRVLSDSIQKFGKIYEKIENSKRKQMMELEKMRLDFNRELELQNKQILERAQTEIAKIRDVHEVERETSAENLSE >OIW10917 pep chromosome:LupAngTanjil_v1.0:LG06:2034883:2039008:1 gene:TanjilG_27863 transcript:OIW10917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAFTFFIIILITITTSSLSFAKGVNTIQFQFHSLNSNNSSNESHSRRILHQPLFPESSVPPPSTTESPPTETETPPSPDGNIPFFYENPPGPPPPQLEPITSNATLSNSNPTQPNKGTKKVAIAISVGIVTLGMLTALAFFLYKHKAKHQGETQKLVARDPNPNLEDTRAPPSSSILYIGTVEPTRTESNRSPYQKLNSVKRSERYRPSPELHPLPPLRKPHSDGNYPPAASPSSSDEESHATTAFHSPQNSSVSYDEGYYTPVSRQSSLVKSSPTAAAAAPPPPPPVPFSKRTSPKSRVSVSSPDIRHIIIPSIKQAPLPPSPAPAPPDKQVNSGSSRRPKFSAPPPAPNLTHLRSPINKATKVSRTVLNAPPPPPPPPPPPPPPPPPPPRKKESPIVSVSSTRESRNEKSFSPSEGGDSSVKKGPPSENVDNKSVNGGVRFSEERVEENEKPKLKALHWDKVRATSDRDTVWDHLKSSTFPLNEGMMESLFGCNATTSVPKEPPRKSVIPSIDKEKRVLDPKKSQNIAILIRALNVTRDEVSEALLDGNPEGLGAELLETLVKMAPTKEEEIKLKNYDGDLSKLGSAERFLKAVLDIPFAFKRVEAMLYRANFDAEVNYLRKSFQTLEAASEELRNSRLFFKLLEAVLMTGNRMNIGTNRGDAKAFKLDTLLKLVDIKGADGKTTLLHFVVQEIIRSEGAGGESENGNVKGQMSSNFNEDEFKKQGLQVVAGLGRDLSNVKKAAAMDSDVLSSYLSKLETGLDKVRLVLQYEKPDVQGNFFKSTKLFLRDAEDEIVRIKADERNTLFLVKEVTQYFHGDTTKEEAHPFRIFMIVRDFLNILDQVCKEVGKMQMHDRIVGGSSRSFRIAASASLPVLNRYNPRQDRSSDEESSSP >OIW10569 pep chromosome:LupAngTanjil_v1.0:LG06:5161282:5166353:-1 gene:TanjilG_15941 transcript:OIW10569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANNNSSNESPSSNSGNASTPPSPPSDDISEPPQSSPPSKSHPPPSQPPPSPTNYSPPPSPSQSPPPSPPKSLPPSLPPQPESSPPPPPAPKSSPPPPNNSQPPKNAQPPTTSPPPPSSPPPTSPSTTPPPPSTPSTPPSPSNSGSPSPSPAQQSPPPQTQIPPPPPKNTPSPPTLPAPGSNVTPARPPPHGSVPNPPPVSPSGHHPKASDTPSSSPSRPNSNGSGENNTGEIVGLTLGVVAVLVILGLLLFLIFRRNKNRSNLYAIPPPNKFHHRNGGADVCYYVEEQAVRNGPQDGFYNTQLTSPGTNPSDPASVPMGQMLFNYDTISEITDGFSSQNVIGEGGFGCVYKAFMPDGRIGAVKLLNTGGGQGEREFRAEVDIISRIHHRHLVSLIGYCISEQQRVLIYEFVPNGNLSHHMHGSGVPVLSWEKRIKIAFGSARGLAYLHEGCNPKIIHRDIKSANILLDDAFEAQVADFGLARLTDDVNTHVSTRVMGTFGYMAPEYATSGKLTDRSDVFSFGVVLLELITGRKPVDPMQPVGEESLVEWARPLLLHAIQTGDFSELVDPRLEGQYVNSEMSRMIEAAAACVRHSAPKRPRMVQLARALEAGDQSDLSNGVKYGQSTVYDSGQYNKDIMRFRRMANGSFGESDLDMYSKDYSSEEMPGPQYQWRRNISSTDSEAKAYYSLNNSAAENRS >OIW10415 pep chromosome:LupAngTanjil_v1.0:LG06:6556438:6556650:-1 gene:TanjilG_05563 transcript:OIW10415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEIEIERKERWIFSCRRFKIAKREERRVSCIRLKLEREKRWFLLQNIEKANQLSLSLSHSGSFMQKIEN >OIW09510 pep chromosome:LupAngTanjil_v1.0:LG06:24223123:24234799:-1 gene:TanjilG_11632 transcript:OIW09510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLGYAEKLSYIEDVGNVGMAEHFDPSHVLREKIEQLAVMIKKSKHLVVFTGAGISTSCGIPDFRGPKGIWTLQRALLIRFSVLLQREGKALPEISLPFHRAVPSLTHMALVELEKAGILKFVISQNVDGLHLRSGIPREKLAELHGNSFMETCLSCGAEYFRDFEVETIGLKETSRRCSDAKCGAKLKDTVLDWEDALPSKEMDPAEKHCKQADIVLCLGTSLQITPACNLPLKALRGGGKVVIVNLQKTPKDKKASLVIHGLADKVIAGVMDQLNLQIPPFVRIDLFQIILTHALSIDKKYVNWTLQVASAHGQKAALPFIKSVEVSFLDKEDYKEAILDRQPFRLKRRTQHNKAFEMVLKLNFGDGCSCSSLEFDVPVDFTASTDCFNFDKDVIFEKLRDKAILESKSGQNAVIERTTVSTRRCDVTAYAIVTNVVQYSKTRSESLSNGDLKKRKTSVTGTGSSRKCSKRSKRIGVHDTVDASGIGGTSDTIDMDELSKTNGSLSRGRGSS >OIW08895 pep chromosome:LupAngTanjil_v1.0:LG06:32759694:32760104:1 gene:TanjilG_05870 transcript:OIW08895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQYEGGYGEQGGKTTDEYGNPVGQTDKYGTTGHTGGGYGASGGTGYGTTGGDQAGFGGTDGYGMTGVETGEYGTTGGIGGGYITSGGMGTGFATTTGGIGTGIGYAEREEHRHEKKGNIEKIKEYLPGSGGGHDH >OIW09929 pep chromosome:LupAngTanjil_v1.0:LG06:12483693:12489523:-1 gene:TanjilG_32078 transcript:OIW09929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKHHRRESIKSLFGSHIDPDKDEQLRGAKTEIDDKVKRMLKLIKDDNLEEDGTLAGLPKKEPLVELVEDFHNEYQSLYAQYDHLTDLLRKGFNGKQENESSSSSSDLDSSSQDEGSKNGQLENESHKIIDDLKQELEMAHKEFAELKQTLTSTDEEKENLSSKYLEALRKIEEAEKINMELKTDAEALSIQQSKNLVENEELKQQLDIAGKIEADLSQKLDALKTEKDSLTVEKDTAVQQIDEEKKNADSLRTMVDQLKDEKLAIGEELQAFAAEHSILKQQLELAEQQLTNISHAVKLAEEENESLKLKISQASDEVQLAHNRIQELEAESSELKEKLDDRNKEVSTLTQVHEGYQNESLSKIKELEAQGAKLELELESLQNQKKDMEEQIKSSTIEARELGEHNLGIQNQISELETKSKEREEELSALLKKLEDNENDSLSKVADLTSQINKLLSDNSTLHAQKIELEEHIIFKSDEASAQVNSIADEVKRLQQEVDSLQHQKSDLEVQFVEKVQENSEYAIQMQTLKEEADQKALEQERLTGDRDNLTIQIRNLELEISTIKNQKSEDEEQIKANRHEISHLRHEMLELQDKIAELEKISAERDSEFSALHDQLKKVEEEGSAQIVAFTEQIKANNDEISNLRQEKLELQDRIAELDKRLAERDSEFSVLQDQLKKAEEEGSAQIAAFTEQIKAKSDEIGHASQEKLELQDKIAELEKRLAERDSEFSVLQDQLKKVEEEGSAQIASFTEQIKAKSDEIGHASQEKLELQDKIAELDKRLAERDSVFSVLQDQLKKVEEDGSAQIAAFTEQITAKSDEINHASQEKLELQDKIAELEKRLAERDSEFFVLQDQLKKVGEEGSTQIAAFTEQITAKGHEISHLSQEKLELHDKIAELEKRLAERDSEFSVLRDQLKKVEEEGSAQIAAFTEQIKSKSDEIGHASQEKLELQDKIAELEKRLAERDSEFFALQDQLKKVEEEGSTQIAALTEQITAKGYEISHLSQEKLELHDKIPELEKRLTERDSEFSVLQDLLKKAEEEGSAQIAAFTEQIKSKSDEISHVSQEKLELQDEIAELEKRLAERDSEFSVLQDQLKKVEEEGSTQIAAFTEQITAKSHEISHVSQEKLVLQDKIAEVEKRLAERDSEFSILQDQLKKKVEEEGSTQIAAFTEQITAKSHEISHVSQEKLVLQDKIAEVEKRLAERDSEFSILQDQLKKVEEEGSTQIAAFIDQINNLQHDLVSLQNEKQDLAQQCESLKLEVDSIYGQKTEVEEQTKAKEHENSDLREEILVFLGTITALEKTLAEKEVEISNLEEKLHEKENEASEKIISFTAQVNNLQEELISLQKRLAERDFEFSALQDQLKKVEDEGSAQIAAFTEQINNLQHDLVSLQNEKQDLAQQCESLKLEVDSICSQKSEVEEQTKAKELEKNDLREEILGLLGTITALEKTLAEKEVEISNLQEKLHEKEDEASEKIIAFTAQVNNLQEELITLQKTKEELEHHCEKVREGHAQSLVAVENEKNEIASRSVEHQRTLEEQQDAYQKLNEEYKQLDSWFKECKANLQVAEKRIEEMKEEFHIGSESKDKIVVNLEHTVEELKRDLEEKGYEISTLLEKVRMLEVKLRLSNQKLRVTEQVLTEKEESFTKTEEKFQQEQRALEDRIATLSATIAANTKAFLEIISNVKETVNSVLSGIETVSFKFSDDFKNYETSISNISHELHVAKTRVSEINKGKEELKKEKQHLLEQLKDKHEQQLALREVVEKLEAKTSKEELEKTNLTATVVQLKKTVGELEKTVKEKDDGMTDLVEEKREAIRQLCLWTDYHLGRYDYLKDILSKTRTGQRAA >OIW09148 pep chromosome:LupAngTanjil_v1.0:LG06:30432210:30441639:1 gene:TanjilG_11286 transcript:OIW09148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYTSIDSSHYPDLNENDDVSFSDPPQPPCSQGHRSSFNLETHHGGSICLHCFSNLISNPLSPTLHVSYALSQLSRSLSHSSFLQSLFTFHPHFLVSPLVSALSCFDDEPIAVQVVDLVRVLSDSALNDSVCHEFVDRVSALISSADLAWSSRQLHMIHCLGVLLNCDKGDLYAHIKDMYSLISILVTGLQLPSEEIRGEILFVLYKVSILQSISAEGDGSDILIPFCPKLLYLLGDVLMKTQNDDVRSNCIALLTMLARRHLLREACEYDAYMLSSGGVHSQEFEDGTKGLLVNLLAEAIKGPLLSSESEVQIGALDFLFHYLSSEGTSDSHIRVMVEENIADYVFEIVRLSGYKDPAVKMCLQVLDLLSIAEEAFRLRLVVGFSTLISVLRYVAEVPFHPVQCETLKLIYECVSKCPGTVSTSQLEELVLVLTRMLRKYSDGEMGMLPETFIIACSIIAALIRSPSCNGALDLSKSIEEVMKHATSACLYASERNINQVLQCLYLLKEAYVYSHDGNSTDSSKLELRSCILDICRTHLLPWLVTGINEMEEEIVLGLLETFHSILLLQSSTNFAETLISSHWFSFSYGCLGLFTGDRMKYRIYLLLSSLMDSLLGKDSGKSIRDAALHLPTDPGDLLFVLGQRSPNSLDLLPCQSSVLLIMYTSSLYDERLADEKLVLASRDFIGLISTKTTTFPSRIHHNHRVLKATVLLSTSKHITVVQSASTVSPTSSQTLSLQLFTFLTLFLNSLDLSHTLHSFNLSLHFILIFSFLLSSQRSRASMMNLLRCRVSALISSADLAWSSRQLHMIHCLGVLLNCDKGDLYAHIKDMYSLISILVTGLQLPSEEIRGEILFVLYKVSILQSISAEGDGSDILIPFCPKLLYLLGDVLMKTQNDDVRSNCIALLTMLARRHLLREACEYDAYMLSSGGVHSQEFEDGTKGLLVNLLAEAIKGPLLSSESEVQIGALDFLFHYLSSEGTSDSHIRVMVEENIADYVFEIVRLSGYKDPAVKMCLQVLDLLSIAEEAFRLRLVVGFSTLISVLRYVAEVPFHPVQCETLKLIYECVSKCPGTVSTSQLEELVLVLTRMLRKYSDGEMGMLPETFIIACSIIAALIRSPSCNGALDLSKSIEEVMKHATSACLYASERNINQVLQCLYLLKEAYVYSHDGNSTDSSKLELRSCILDICRTHLLPWLVTGINEMEEEIVLGLLETFHSILLLQSSTNFAETLISSHWFSFSYGCLGLFTGDRMKYRIYLLLSSLMDSLLGKDSGKSIRDAALHLPTDPGDLLFVLGQRSPNSLDLLPCQSSVLLIMYTSSLYDERLADEKLVLASLEQYILLNSSDFQYQTTDTLKVTQLVNLYSLLRGLGQMDYQFHYSREAEEIIFHLINNDEWDLLSARIHTVSLKWLFQQETITNSLCHQMLKFCRSSNLEGTDITLGNNFQTVNDRTLAELVSSEDNYGARIFVCLLEQLFKNEDQEHDTISVLNHMAMMVSICPAASEQLCLHGIATAIRTWCYSSNPFSKMNFMSILVLVFNILSSVHPETLSADQSWVTVTMKMMDYSISHKNMDNSSHEFLFVIGILSLILHLSISTALEEASKQILFNASLVSVVNTTVCAVTSAGPVLVDHDEGTNTAKPLIFVLLLDYFAIKSLHAILPGFVDWQNFLVSKNPAEPLAFISISCDDLCRLLHFGSPVIKLIASYSLLELFNRISYQINSKHEELKCTVRYLRSIMSVLEGLVFYSDLRVATNCALCLSILIGWEKVAKETNLIGRSSWCRLIMEEMTVSLATPTLASQSFINSQRPAIHVAIALLKLHKIPQWMRSVFTHSCISGILHNVAATDLSSEILVLFRELLKSDFLSSEQIATINVMLQECRKCIYTNNAQEGLRNDPIKKVHTTPYDLGEICSYLIDLISSETNLDKDTATKKRLLEEIEAFFSTLTVEDGS >OIW10455 pep chromosome:LupAngTanjil_v1.0:LG06:5869196:5869801:1 gene:TanjilG_00393 transcript:OIW10455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLFAAQLEPYRCQVSRLYQPLLNVNDEHQKCPKLEPTEGFIFYTKVLSRTIDSNSHAMLTTLLHYSQEIGCKSFFQKEGLDFLHSHLSHPNLPSKLREEIVQEVIHKVRHMFEFDDSFDFDHGCGIGQLSDLRSLEYCLVLEIVVDTQEDVHN >OIW09051 pep chromosome:LupAngTanjil_v1.0:LG06:31147598:31150026:-1 gene:TanjilG_16278 transcript:OIW09051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLSILKGLLQDYLRSLFNEGIVNDQFNEILSLENTRGLDYVVTLINTYIADVEMILSDISRHIENSKVDFSHMASLAHAIEDKSASIGAEHMKLACSDLIKACDEKHKRK >OIW10799 pep chromosome:LupAngTanjil_v1.0:LG06:2955916:2957445:-1 gene:TanjilG_27745 transcript:OIW10799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGSRSWIGGLFNRTNTRRSSSGKFVDYPLSPVECLCQEEKLQRLQEQLQVPYDETSSDHQEALRTLWHCSFPNVCLSGLISDQWKDIGWQGPNPSTDFRLMLKKDGNQATYGYSSTVAGINISF >OIW10627 pep chromosome:LupAngTanjil_v1.0:LG06:4649707:4649994:-1 gene:TanjilG_15999 transcript:OIW10627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVELCPVPKVSYIKIFSVLLYCMISTQNCYSSSLSHHQIWFLKSKEAIELYSGKLMGSVLPDTFSVIFKVFSLGFICHDQRAHELAMAGLFVCI >OIW08951 pep chromosome:LupAngTanjil_v1.0:LG06:32280669:32280884:1 gene:TanjilG_05927 transcript:OIW08951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHVNRNISRIVFFIVFIVGFVLAAEARSQGSEFSEAPAPSPDVGAGFLVTYSGAFLCSSLFLSLILLVSH >OIW09907 pep chromosome:LupAngTanjil_v1.0:LG06:12187454:12188554:-1 gene:TanjilG_32056 transcript:OIW09907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCGSSKQSAEDTVATAYRPPPTSFAVFDINSIEEPWLKHRNKTSLDLPQHENKSTLTHVPAPILQKLNMLDSTEVAPQSWDEVSKTLDELKSAVKPSSALRQQELQPQSQPQPQVQVHIKKNVSFHTLEELDAKLLKPKPELKKNKSYGSKVNESQPLLPSSPLLQGGGLTIKPVKENIFVMRDRLEREKEEKVLIFEKIRRDPLTQYPERCPPDGSDSVVIYTTSLGGVRKTFDDCNRARDMLEGHRVIFDERDVSLHGKFLKEVKELLEGVEEGGVVLPKVFVKGRYVGGLNELMELNETGRLGRILNATRVERGVGRQACGGCGGVRFVPCLDCAGSCKIGAHKERCPKCNENGLVHCPVCI >OIW09808 pep chromosome:LupAngTanjil_v1.0:LG06:14638138:14645250:-1 gene:TanjilG_32246 transcript:OIW09808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGVFSAPGDYIHFKSQVPLHKIPVGTKQWRYYDFGPKSVPPLICLPGTAGTADVYYKQIMSLSLKGYRVISVDIPRVWNHTEWIQAFEKFLDAIDVHHKGNLGATVEVVDRGSASCKCMIHLYGTSLGGFLAQLFAHKRPRRVRSLVLSNSFLDTQSFSAAMPWAPIVSWTPSFLLKRYVLTGIRDGPLEPFIADSVDFVVSQVETLSKDDLASRLKLTTDDASVGPLLLSDSLITIMDTNDLCAIPQELKDQLSERYPEARRAYLKTGGDFPFLSRPDEVNLHLQLHLRRVGVEPRLDLVHNIPKGDIGGSPSKENDDDSDKSDKDNTGDSENPSSESEIQPAPESSGSHNLDNQPLRTSECSDLDQEVTLHVFAGDLTKKNQILPPETYVHLMWGYIVVSYLRYISSQYILWNYSLEFRQVV >OIW10836 pep chromosome:LupAngTanjil_v1.0:LG06:2644307:2645239:1 gene:TanjilG_27782 transcript:OIW10836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERHKCKLCSRTFPNGRALGGHMKGHFAILPLPPKPQSVTTHTHSASLSSFDDDDDEEEKCMIYELRENPKKSFRLADPKFSFPSDTSSVVQDRESETESKNPTRKRSKRNRKWNQNLEQNKKGKLSLMELSPVSSVSETWPEEDVAMCLMMLSRDTCKKKNTIVEEQEEQEQEVEEEKVKPKGLNLEKNNMELKRVSGKHNNKCDKCGKTFRSSRALGSHKTICSRDDDDKNKIFECPFCYKVFGSGQALGGHKRSHLIQSSNASNHSTTTTTTTTRFKDTFIDLNLPAQTEEDNLSVVSDADFIHSSA >OIW09072 pep chromosome:LupAngTanjil_v1.0:LG06:31304828:31305991:1 gene:TanjilG_16299 transcript:OIW09072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLRPSRRFRHFSAASTAPPPPPPPPPALHQLKSERDPDKLFHLFKSNATNRLIVENRFVFDDTVSRLAGAKRFDYIENLLEQQKALPQGRREGFMVRIIMLYGKAGMINHAVKTFRDLHLFRCRRTVKSFNAALNVLSKTRDFDSIVRFLGEAGRFDIRIDVCSANIAVKAFCESGKLQEAYLFMLESENNGITPDVVTYTTLISVFYKNRRWEIGNGLWNRMVLKGCMPNLATFNVRIQFLVSARRAWDANTLMDLMQRVGVAPDEVTFNLVIKGFCQAGFIDMAKRVYSALHGRGYKPNLKIYQTMIHYLCKSRDFGFACTMCKDSMQKNWFPNVDTIYLLLEGLKRNGQIHKAQMIVTLAEKRNPPFSSGHLAAMQSILSKS >OIW11016 pep chromosome:LupAngTanjil_v1.0:LG06:1339702:1341755:1 gene:TanjilG_22823 transcript:OIW11016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVEQVLHMNGGSGKASYANNSLLQQKVISLTKPMREEAIASMFLRILPTRLAIADLGCSSGPNTFLVVSEVIKLVEKLCQELKHESPEYQVFMNDLPGNDFNNIFKSISCFKEKLSNEIEGGMGPCYLTGVPGSFYERIFPIRSLHFVHSSYSLQWLSQVPEGIENNKRNIYMAKTSPINILKAYYAQFQRDFSLFLKCRAEEVIEKGNMVLTFLGRRNEDPSSKECCYIWELLAQALNDMVSEGMIKEEEMETFNIPQYTPSASEVKSEVIKEGSFSINRVEISEVHWNAYNMNSNYFDSKCAFLKSLSDGGYNVTNTMRAVAEPLLVSHFGEAFIDDIFYRYRKNITEAMSKEKTEFVNVSISLTRRA >OIW09800 pep chromosome:LupAngTanjil_v1.0:LG06:14826837:14830980:1 gene:TanjilG_32238 transcript:OIW09800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSSTLSLDHLSHSEQLCYVHCNICDTVLAVSVPCTSLFKTVTVRCGHCTNLLPVNMRGLLLPSPNQFHLGYSFISPSHNLLEEIPNPSPNLFINQNANMAHDFSMPPRTAIDELPRPPITNRPPEKRQRVPSAYNRFIKDEIQRIKAVNPDITHREAFSAAAKNWAHFPHIHFGLMPTEQTVMKKTNVCQQEGEEVLIKDGFYGSANVGVSPY >OIW09687 pep chromosome:LupAngTanjil_v1.0:LG06:19903237:19904079:1 gene:TanjilG_06493 transcript:OIW09687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMTRKEMDRVKGPWSPEEDECLQKLVEKHGARNWSLISKSIPGRSGKSCRLRWCNQLSPQVEHRAFTNEEDDTIIKAHARFGNKWATIARLLSGRTDNAIKNHWNSTLKRKCGLMSFHETEPFNCFAVEPPRKRSLNPGAVVPVCTGVASPGSPCGSVSDMSECSVPYAVIRNLVETVTTSCCGDDGPATSLSLSLSLPGVEQCSFDAVTENVVARKEQRQENGVSFGGFGFSNELMAVMQEMVKKEVRNYMAGMCLEDKSEGFRNVSVNRIGINRVDS >OIW11067 pep chromosome:LupAngTanjil_v1.0:LG06:1731768:1734639:1 gene:TanjilG_22874 transcript:OIW11067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLSFSLSFNRCSTPIPYQHQNPNLISILRSSPRLVSLKCSSYGSEPEPETEPETAILATSTGSDWTYKLAVGISGIGFFETSYLNFIKLTGSDAFCPVGGGSCTDILNSDYAVVFGVPLPLIGLAAYGFAATIGFQLATNKFSFGINKSNAQLLLLATTTSMAAASSYFLYILTTAFPSSSCTYCLLSVILSFTLFFITVKDLGLQETYKQLGLQLFISGLVILTLNTSYSSSKSASSSLAGIELPYYATEISASSTPFAQSLARHLHSIGARMYGAFWCSHCLEQKEMFGREAAKELDYVECFPEGYRTGTKMLKACIDAKIEGFPTWIINGQVLSGEQELLELAQVSGYSESDQPS >OIW11145 pep chromosome:LupAngTanjil_v1.0:LG06:312824:317429:1 gene:TanjilG_22952 transcript:OIW11145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKSRSSLSETPNKATPATPNKALTATAIKASPATANKAPSPATPNKASTTTPRVSKLSKGVSKSESESPSPLQNLRLSVEKSPRSLNSKPTELSRSLNSKPTELSRSLNSKPTESPQSLNSKPTERSPWSLTSKPTVERKSPRPTSTPPDKQPPRAAKGSELQAQLNLAQDDLKKAKEQLIQAEEEKAKAIDELKEAQKVADEVNERLKEALVAQKRAEEDSEIEKFRAVELEQAGIEAAQKKEEEWKKELESVRGQHALDVSSLLSATQELQRIKQELAMTCDAKNQALSHADDVTKVAEIQAEKAEVLSSEVMRLKALLDSKLEMEASENNNVLELQRRIEALKQELEKAQGFEKKLTEKEIYIEQLNVELEAAKMAESYAHSVLEEWKKKVDELEVRVEEANKLERSASASLESVMKQLEGNSELLHDAESEISSIKEKAGLLEMTIRTQRGDLEDSERRLLVAKEESVEMSKKVESLQYELETVTEEKAQALSNEKLAASSVQTLLEEKNKLINELEVSRDEEEKSKKAMESLASALHEVSAEARDAKEKLLANHAEHESYEAQIEDLKLVLKATNEKYESMLDEARQEIYLLTSGIENSKGVIENSKEEWEQRELHLVSCLKETEEVNSSLGKDVNRLISLLKETEEEASAKREEESQLKENLKEVEAEVLHLREAVEEAKAESMKLKESLFDKENEIQNIFEENELLQSRELASIKKVEELSKLLEEATTRNQTSEENGDLTDSEKDYDLLPKVVEFSEQNGHGGEDISEVKLSVFANEEELKQSSQEEMEESVVLNDDKPEKVESPKPENVTVNEVDRSKQKDDKVEVEFKMWEKKEFSSEREPKEEVESKNVDGGGGEGFGKVNDDGASVTEKENVDDGVLSSPSKQQEQQSKKKKKPLLSKFGSLLKKKSGSHNHK >OIW10111 pep chromosome:LupAngTanjil_v1.0:LG06:9745433:9748548:-1 gene:TanjilG_21948 transcript:OIW10111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATDNSTKTNPNAQILQELEALSETLYKTHTSARRTASLVLPRTSIPSIPFPEDETETVKTVDDSTFNKPRPRRMSLSPWKPRPKLEVEDVKAPLTTQSQNKKIDEKSTSSGDKKGVWNWKPIRALSHIGMQKLSCLFSVEVVTAQGLPSSMNGLRLSVCVRKKETKDGAVKTMPSRVAQGAADFEETLFVRCHVYTSNSGGKYVKFEPRPFWIYLFAVDAKELEFGRNSVDLSELISESIEKNQQGTRVRQWDTSYSLFGKAKGGELVLKLGFQIMEKDGKVDIYNSEVENSKSSRLKNLASSLARKQSKSSFSMASPRITSRNDAWSPSKSGIGGDDIQGMDDLNLDDPNPVQDPSSSTNKLDETKEQVEDFDLPDFEVVDKGVEVLEKKEEKEEDEAESEKSEEVKSASSEVVKEIVHDHLHLNRLSELDSIAQQIKALETMMGEDSMRLEDEDTDSQRLDADEETVTMEFLQLLEGQESTEYLFNQTEIPPLQLEGKSDYSSEDKGSKVYLADLGKGLGCVVQTKDGGYLASMNPLDIAVDRNETPKLAMQISKPFVLALNQPLSGFELFQKLASIGLDELSSQVSSLMPIDEIIGKTAEQVAFEGIASAIIQGRNKEGASSSAARIVSTLKSMANVMSSGRKERISTGLWNVDEDPLTAENLLAFTMQKIESMTVEALKVQVDMAEEEAPFDVSALNSKNGDNVKDLLASAISLEDWIKDHSHNNKQVRMILVVQLRDPVRRYEAVGGPVMVLIHATSVDTNEETRFKVISMHVGGFKVRNGTKKHAWDNEKQRLTAMQWLVAYGLGKAEKKRKQTLVKGQDLLWSISSRIVAEMWLKTIRNPNVKIVM >OIW09414 pep chromosome:LupAngTanjil_v1.0:LG06:27902156:27904230:1 gene:TanjilG_14565 transcript:OIW09414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPIMQINLQPMYTPSIKTKTTSLKILSTLNEKNNQTNQNSLVGKVKQLVLTKENRTKLNTYQDREFYTYPRLVTHVDNGFISILTNLYRDRLRPNIEILDLMSSWVSHLPSDVKYKRVVGHGLNAQELSKNSRLDYFCVKDLNQDHKFEFESCSFDAVLCTVSVQYLQQPEKVFAEVFRVLKPGGIFIVSFSNRMFYEKAINAWREGSAYSRVRLVVQYFQCVEGFTEPEVVQKVPSTIDAKENNNSLFDWIMRLFGLMSKSDPFYAVIAYRNFRIIHDD >OIW10438 pep chromosome:LupAngTanjil_v1.0:LG06:6467887:6469388:-1 gene:TanjilG_24998 transcript:OIW10438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSYGPDEGPSSTGWRVHHWVSMQQAVLHPIFLKLLLVLVEPFGWSFLVGAPRGAAQDSIVLIADFHSLLSSQLRQQLKHTGRWKDEMPMRLGRQFWICKVGCYWEGVESTLIHSSALVPDPGKHP >OIW10290 pep chromosome:LupAngTanjil_v1.0:LG06:8433698:8434738:1 gene:TanjilG_28041 transcript:OIW10290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLTPTTGTDTQTPPPTQPIKLNPSKSLSFTNGTLKPHITAVPPPSQPPYMVVSYKECLKNHAASIGGHALDGCGEFMPSSTTNPTDPRSLKCAACGCHRNFHRRDPQEQQQQPPQPNFLTCFYSTNPSSVTPTAPPPQPPLPQLPHRAMSQSTSPSLSSSPSHSHSPMSSTPSSPPPLSHVPPSYSAPHMLLSLGNNNNAYSIDHQNRNFHSSSLVMKTETINLSGKKRYRTKFSQEQKEKMFSFSEKLGWRMQKSDDGSVQEFCNDIGVPRGVFKVWMHNNKNTLRKKSEVGNDGNTPPQIEKTNANGDDINNSFNINSSSNNDIHKNEDNCVDVHVSFNGLPS >OIW11101 pep chromosome:LupAngTanjil_v1.0:LG06:623709:626262:1 gene:TanjilG_22908 transcript:OIW11101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISSLSASSTLLPPFSLSNSSTSNFASFFFLSRSNGNNAFKSLHSSSTRLYAAPEVLNSSESVNPPSQTLDGESDTTTVQVGDSETRSASSVSIAADADTMAPKQKIRIKLRSYWVPLIEDSCKQILDAARTTNAKTMGPVPLPTKKRIYCVLKSPHVHKDARFHFEIRTHQRIIDILYPTAQTIDSLMQLDIPAGVDVEVKL >OIW10588 pep chromosome:LupAngTanjil_v1.0:LG06:4995176:4999096:1 gene:TanjilG_15960 transcript:OIW10588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAASALFLLDIKGHILIWRDYRGDVSAVDAERFFTKLIDKEVDALSQDPVVFDNGVTYMFVQHSNVYLMIAARQNCNAASLLFFLHRIVDVFKHYFEELEEESLRDNFVVVYELLDEIMDFGYPQYTEAKILSEFIKTDAYRMEVTQRPPMAVTNAVSWRSEGINYKKNEVFLDVVESVNILVNSNGQIIRSDVVGALKMRTYLSGMPECKLGLNDRVLLEAQGRTTKGKAIDLEDIKFHQCVRLARFENDRTISFIPPDGAFDLMTYRLSTQVKPLIWVEAQVEKHSKSRIEIMVKARSQFKERSTATSVEIELPVPVDATNPNVRTSMGSASYAPEKDALVWKIRSFPGGKEYMLRAEFRLPSITDEEATPERKAPIRVKFEIPYFTVSGIQVRYLKIIEKSGYQALPWVRYITMAGEYELRLV >OIW08977 pep chromosome:LupAngTanjil_v1.0:LG06:32072778:32075452:1 gene:TanjilG_05953 transcript:OIW08977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSGFRVWPNVRQFSFKKGLLYGFMHFFSTPLKSLRSTASRSLRVGRFCSVVNMSSSLQIELVPCLRDNYAYLLHDVDTGTVGVVDPSEAVPIIDALSRKNRNLTYILNTHHHHDHTGGNSELKARYGAKVIGSGSDKERIPGIDIYLNDGDKWMFAGHEVHILDTPGHTRGHISFYFPGSGKIFTGDTLFSLSCGKLFEGSPKQVDLSCISFRFVYGFEMLSSLRKIASLPDDTSIYCGHEYTLSNSKFALSIEPGNKELQSYASHVAYLRSKGLPTIPTTLKMEKACNPFLRTSSAEIRQSLNIADTADDAKALGVIRQAKDDF >OIW10256 pep chromosome:LupAngTanjil_v1.0:LG06:8205350:8206422:1 gene:TanjilG_28007 transcript:OIW10256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLVFFILFLALYICHSSASYCLCKDGVDEDELQKALDYACGFGADCSPIQPSGPCYEPNTVKDHCDYAVNSYYQNMQSAGATCDFAGAATTSPTPPSKISSGCVYPSSPGGSGTGTTPPSIGTPTPPSTTIPDSSPSTPNPNNIPDMFGTTPPTTRKASSAIKISNADLLVLLYLAISWLVLRA >OIW09530 pep chromosome:LupAngTanjil_v1.0:LG06:23821627:23822555:-1 gene:TanjilG_13754 transcript:OIW09530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGWFSGQNTDNNDDMLKPSSSLLADWNSYSDAQSSQDSSNFPLPFDIESAVRSANDTVSGTFSVAIWLSQLGCRGHIR >OIW09097 pep chromosome:LupAngTanjil_v1.0:LG06:31540003:31540601:1 gene:TanjilG_16324 transcript:OIW09097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGTGSFGKRRNKTHTLCVRCGRRSFHLQKSRCSACAYPAARKRTYNWSVKAIRRKTTGTGRMRYLRHVPRRFKSGFREGTEAAPRKKGAAASA >OIW10210 pep chromosome:LupAngTanjil_v1.0:LG06:7638725:7642303:-1 gene:TanjilG_27961 transcript:OIW10210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISWKDLYNVLTAVVPLYVAMILAYGSVRWWKIFSPDQCSGINRFVAIFAVPLLSFHFISSNNPYTMNFRFIAADTLQKIIMLFALAIWTKFTANGSLEWMITIFSLSTLPNTLVMGIPLLIAMYGDYSGSLMVQVVVLQCIIWYTLLLFLFEYRGAKLLIMEQFPETAASIVSFKVDSDVVSLDGRDFLETDAEVGDDGKLHVTVRKSNASRRSFMMTPRPSNLTGAEIYSLSSSRNHTPRGSNFNHADFYSMMGYQARHSNFGAADSYSVQSASRGPTPRPSNFEENCATMGQNMSSPRFGFYPAQTVPPAYPAPNPEFSSSLSKSVKNSQIQLQQPQPQSQSQQVQNSTKSSHDAKELHMFVWSSSASPVSESTGVHVLNGVDLGASDQSGRSDQGAKEIRMLVADEHPQTEASKVITEAEFGPEDLKFPMKEVEHAEELEEEKEGPNGLNKLGSSSTAELHPKAAAAAGTGMVKHMPPGSVMTRLILIMVWRKLIRNPNTYSSLIGLIWSLVAFRWHVHMPKLIEKSIAILSDAGLGMAMFSLGLFMALQPKMIACGNSVATFAMAVRFLTGPAVMAAASIAVGLRGNLLRIAIVQAALPQGIVPFVFAKEYNVHPAILSTAVIFGMLIALPITLVYYILLGL >OIW10554 pep chromosome:LupAngTanjil_v1.0:LG06:5266595:5267695:-1 gene:TanjilG_15926 transcript:OIW10554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHWVKVHSQIHQSNGAITSPPILSPSYSSSISSSAGNKISPAILFMIVILAVVFFILGALHLLVRFLTKHRSSSSSISQSNRYNHMSESDAYQRQLQQLFHLHDSGLDQAFIDALPVFLYKEIIGLKEPFDCAVCLCEFLEKDNLRLLPTCNHAFHIHCIDTWLLSNSTCPLCRGTLYAPGYSFENPIFDFEGLNEEDGGSGSGGGGTAFVNKPAENHIMSGKRVFSVRLGKFRSSNNGDVVERVDGESSSNIGNLDVRRCYSMGSFQYVVADSDLRVALCPHRGDGGSSSSMRHIKGRAGTIGNFSNDKDIEDKKINIARKGESFSVSKIWQWSRKDKLTSSSETNFNNSTVTATLPWMNKARGT >OIW09776 pep chromosome:LupAngTanjil_v1.0:LG06:15696050:15697228:1 gene:TanjilG_32214 transcript:OIW09776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKVQRFLSSDILFDVLSRIQAKPLLGLKCISRDWNQIISSPSFIKAQLRKTEIVLTGFILQEKFKWCKDDIRTVSYIPIETTENGAKVHHDVFNFLPEDVVVLASCQGLVCGRSCFPSSEPAIYICNPANRQWIKLEWPDYDRNESIGLAFDFDPSKDSIEMHTNFKLVRVKQVENNDEEEELELHLEFELYSSELRTWMKLDEICYCGSNLVKNKSIYISGVLHWLTDGDQVLTFDVEKELSWLISVPVPTFVFYSIPEACIGESEGKLHYVLISEEGLHIWYLEDYYDFQWTLKHCKTLDEIEGEFPDFFFNLKNRVSMRVSVDSSPWMNPLDFKDGILLMKVCVNLYLYDINSNKIVQACTVHDLNSESMSCPTVLPHSLSLVPLNHA >OIW10270 pep chromosome:LupAngTanjil_v1.0:LG06:8288625:8290587:-1 gene:TanjilG_28021 transcript:OIW10270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSYRGETECSDISAKQKSMFFGLSRDDLLNTHHGTDSWARNNGYLESGSGKGLILSGLEAGQTKSKLKPIPQVFKQEQSLLSCHKMQDALSRAHEPTSGYPTNQSKADLLWEKTFTSSLEMKTSSLNQKLASAQTPPWLNASGALGRSSQSHQNNRILGDIWPQHINSKSHLGFHCEVPVQNGFNPGSSSGCKEQSADISSISYDYLNQNNDDHKRIPVHRSNGSAKYYESLNSNCNNKQSGKVINFNVLLSNGSSNMLVTQSGLGIMDGKQKHKEQLAILPWLRAKTAGKNEVQNAARDLTTVELSFSHVTSLSGKDEIGLGSSGKVMHNVTSGFCFNDIEPRRAKISEASAVPVRERGADPEEKQIETPLLSPIGTQYTVEQPQIELMTHAAEAIVAMSCLCCNQVDDVPDSPSESPVGDPLNWFVDVVSSCADNLERKFHNSRERDGEDNEESYSQGLDYFEAMTLNLPETKEEDYMPKPLVSENFRVEETGISLPTRTRNRPVRRGRQWRDFQRDILPGLTSLSRHEVTEDLQAFDSLMRATGHSWHSW >OIW10552 pep chromosome:LupAngTanjil_v1.0:LG06:5283485:5285434:-1 gene:TanjilG_15924 transcript:OIW10552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEHLTPLAVTHLLQHTLRSLCIHENSQWVYAVFWRILPRNYPPPKWEGQGAYDRSRGNRRNWILVWEDGFCNFTASGVAPEINTTTGDCPTSSVYGNCDQFQPYQGLQPELFFKMSHEIYNYGEGLIGKVAADHSHKWIYKEPSDQEINFLSAWHNSADSHPRTWEAQFQSGIKTIALIAVREGVVQLGAVHKVIEDLSYVVLLRKKFSYLESIPGVLLPHPSSSAYPYKVEGYTVPEQWHFQGSVAAPPVELYDHFNMQPLKITPSMSSLEALLSKLPSVVPPPQSTQPHVLPQCQRPLELMGMEKVAKEELDEEGYRPEMDMGESSSSMPGYHHQHHFHQ >OIW09712 pep chromosome:LupAngTanjil_v1.0:LG06:18865630:18867660:-1 gene:TanjilG_21238 transcript:OIW09712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSMLAKSDSELSSLSHSSPSRSPRRPVYFVQSPSRDSSNDGEKTTNSFNSSPLQSPLGSPPHSHSNSSLGPHSRESSSTRFSGARKSNGSNRRGWRPWKEQFETIEEEGLLDGHDDARRFQRRCYFLAFVVGFFVLFSVFSLILWGASRPQKPAITIKSIVFDQFVIQAGADMSGVATSLVSMNSSVKLTFRNTATFFGVHVTSTPLDLTYYQLTLATGIIPKFYQSRKSQRSIRVIVKGSHIPLYGGGASLSSINGAPIDPVTMKLSLMVRSRAFVLGTLVKPKFYKKTICTVVMDPKKMGVAISLKDKCTYQ >OIW09620 pep chromosome:LupAngTanjil_v1.0:LG06:22020097:22022680:-1 gene:TanjilG_28219 transcript:OIW09620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPILILPSSSSSSYPPLHPHPLPHPIFVVILSSSSSSSYPHPLPHPIFVVILSSSSSSSYPHPLPHPIFVVILSSSSSSSYPHPLPHPIFVVILSSSSSSSYPHPLPHPIFVVILSSSSSSSYPHPLPHPIFVVILSSSSSSSYPHPLPHPIFVVILSSSSSSSYPHPLPHPIFVVILSSSSSSSYPHPLPHPIFVVILSSSSSSSYPHPLPHPIFVVILSSSSSSSYPHPLPHPIFVVILSSSSSSSYPHPLPHPIFVVILSSSSSSSYPHPLPHPIFVVILSSSSSSSYPHPLPHPIFVVILSSSSSSSYPHPLPHPIFVVILSSSSSSSYPHPLPHPIFVVILSSSSSSSYPHPLPHPIFVVILSSSSSSSYPHPLPHPIFVVILSSSSSSSYPHPLPHPIFVLILILSILNLS >OIW09458 pep chromosome:LupAngTanjil_v1.0:LG06:26301079:26307381:1 gene:TanjilG_22732 transcript:OIW09458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSAIVLDFVNTTLDWVKIALGAPSARAVVFGFHIGGHLFVEVLLLVVILFLLSQKSYKPPKRPLTNKEIDELCDEWVPEPLIPSLNEEMQYEPPVLESAAGPHAMIDGKEVVNFASANYLGLIGHQKLLDSCSSTLEKYGVGSCGPRGFYGTIDVHLDCEARIANFLGTPDSILYSYGLSTMFSAIPAFSKKGDIIVADEGVHWGIQNGLYLSRSTVVYFKHNDMDSLRNTLQNITSKNKRIKKLRRYIVVEAVYQNSGQIAPLDEIIKLKEKYRFRVLLDESNSFGVLGISGRGLTEHYGVPAEKLDIITAAMGHALASEGGFCTGSARVIDHQRLSSSGYVFSASLPPYLASAAITAIDILEENPYLITKLKNNIAALWRGLSNLTGLTITSNPESPIVYLRLEKSTGSTKDDLRLLENIAERVLKEDSVFVVVSKRSTLDNCHLPLGIRLFVSAGHSESDLHKASESLKRVAALVLGGHN >OIW09972 pep chromosome:LupAngTanjil_v1.0:LG06:11661155:11672931:-1 gene:TanjilG_32712 transcript:OIW09972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHTLHKPYSYITTTLFTLYLSFAFTFFAFATEHEFSILDSDVDTFYNDYTPPSPPPPPPLPHPPSLSCEEGLNGTGSLATMCDLNSSLVFDKNVYIEGNGSLHILPGVNLTCPFLGCVILINVSGEFTLQSGAVIIAATFSVVAQNASLIEGSVVNVTGLAGEPPAQTSGTPSGTQGAGGGHGGRGASCVSDNTKLPDDVWGGDAYSWSSLAKPWSYGSKGGTTSKDERYGGEGGGRIWFQIIDSIEVSGDLLANGGDGGIKGGGGSGGSIFVKAHRMTGSGTISATGGGGFAGGGGGRVSINVFSKHDTTKFFIHGGVSLGCSGNAGAAGTYYDAVPRSLTICNHNLSTLTDTLLLDFPKALLWTNVYIQNQAKALFPLYWSRVQVGGVLRLTFGAGLSFGLAHYGSSEFELMAEELLMSDSVIKANGNGDSLVATSVLETSNLVVLKDSSIIHSNANLGVHGQGFLNLSGPGNVIEAQHLILSLFYSINLGPGSVLRGPLVATGDDNGSTPQLYCEVGNCPIELLHPPEDCNVNSSLPFTLQICRVEDVFVEGTITGSVVHFHWVRNVDVQYSGVVSVSGLGCTGGLGRGRYIENGIGGGGGHGGYGGDGYYNGNFIEGGSTYGDVDLPCELGSGSGNNSLASATAGGGIIVMGSLEHSLSTLTLSGSLRADGESFGEGSRGQDGGTTSNIGPGGGSGGTVLLFVQTLALGDSSIISTAGGKGSPSGGGGGGGGRIHFHWSDILAGDEYIPLASVEGNIITGGGSGGGQGLSGKNGSISGKACPRGLYGIFCEECPVGTYKNISGSDRALCHDCPSHELPRRGIYISVRGGVAETPCPYKCVSDRYHMPNCYTTFEELVYTFGGPWLFGVILLGLLILLALVLSVARMKYVAGDELPALVPARNDNRLSNSFPFLESLNEIIETNRSEESQSHVHRLHFQGPNTFSEPWHLSHCPPEQVKDIVYALLSIKYSDYHLVYEDAFNRFVDEINSLATYHWWEGSIYSIVCIIAYPLAWSWLQRCRRKKLQQLREFVRSEYDHACLRSCRSRALYEGLKVAASSDLMLAYLDFFLGGDEKRPDLAPPLHQRFPMSIIFGGDGSYMTPFSISNDNILTSIMSQAVPPTIWYRLVAGLNAQLRLVRRGHLKITFGPVINWLDVYANTALATYGVRVDLGWFQPTASGYCQFGLVVHATGSESSSPSAEGYDDSRITEKQPFFLRSCRNRSHHMTGNEHLLMPRRMSGGILHAKSLRTLKEKKTIYFPFAFIIYNTKPVGHQDIVGLVISILLLGDFILVLLTLLQMYSLSLVCFFLVLFVLPLGVLFPFPSGISALFSQGPRKSAGLARLYALWNLTSLVNVVVAFFCGFIHYIVYSNNKVSSFQSWNFSMDESEWWMLPCGLALCKIIQARLVDCHVANQEIQDPSLYSSDSNIFWSS >OIW08982 pep chromosome:LupAngTanjil_v1.0:LG06:32028795:32031952:-1 gene:TanjilG_05958 transcript:OIW08982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQPSASPPPKPWEQAGSSSGPAPFKPPSAGTTSDVVEASGTARPGEIVSSADRDPATANRNALGRPVPTRPWENSYGNSSYGGGYGSTMNYNSGTGMYGSSYGGLGGSSYGGLGGGMYGGGMYGNSMYRGGGYGGGLYGSSGMYGGGGMYNSGGMGGPMGGYGMGGGMGGPYGDQDPNNPYGAPPSPPGFWISALHVLQGVVNFFGRISILIDQNTQAFHLFMTALLQLFDRSGVLYGELARFALRLLGIKTKQRKVNPEGPNGHPLGPNGQPLPLPHNPSGNMNYIEGPKAAPSGAWDNVWGNDPSQ >OIW09536 pep chromosome:LupAngTanjil_v1.0:LG06:23055623:23070197:1 gene:TanjilG_30855 transcript:OIW09536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNKWWNPWGDCVFYAGGGVTFGFSGVGDGYVLAVCRVCNGDIGSGKSQLKDLSSNTNVDKPFTKHTNSDSGLEPAKPNEKPRVVVLGSGWAGCRSVAEPIGRIQPAISREPGSYFFLANCIGIDAHKHMVHCETVTDEVETIDPWRFTVSYDKLVIALGSQPSTFGIQGVKQHASFLREVHHAQEIRRKLLLNLMLSDVPGISVEEKKRLLHCVVVGGGPTGVEFSGELSDFIMKDVRLRYSHVKDYIRVTLIEANEILSSFDDRLRRYATKQLTKSGVRLVRGIVKDVKAQKIVLDDGTEVPYGLLVWSTGVGPSPIIQSLDLPKAPGGRIGVDEWLRVPSVQDVFSIGDCSGFVESTGRQTLPALAQVAERQGKYLAGVLNKIGKAGAGHANSEKEVEFGEPFVYKHLGSMATIGRYKALVDLRQSKDVLVHHRISIKVSLVQLHASVKFGLRSQCLSVKVVLVHQPLSIKVGLVRQQLSVKVGLLSSVKFGLMFLFLDDLW >OIW10910 pep chromosome:LupAngTanjil_v1.0:LG06:2085256:2099618:-1 gene:TanjilG_27856 transcript:OIW10910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVPMAASLSNSLISLPNPPNNLRCHSCHRFYFHSQSQSTLKLKSLSPNPLSLAIDRKKGFSTKCNVFSEGSVDEESYNSVEDKQFVRWFREAWPYLWAYRGGTFVVIISGEVVASPSLDPILKACPKKDIAFLHHLGIRFVLVPGTHVQIDKLVNERGSQPKYVGRYRITDDESLAAAMEAAGGIRLMIEAKLSPGPSTCNMRRHGDNSRWHEVGVSVASGNFLAAKRRGVVNGIDFGSTGEVKKVDASRMRERLDGGCVVILSNLGYSSSGEVLNCNTYEVATACALAIGADKLICIIDGPILDESGRLIRFLPLQEADTLIRKRAAQSEIAANYVKAVDEEVLNSHEHNHFNGTVQSPSNGKPSSEWNNVTFHNGIGFDNGNGLGSGEQGFAIGGQERLSRMNGYLSELAAAAFVCRGGVQRVHLLDGTISGVLLLELFKRDGMGTMVASDLYEGTRTAQVKDISGIKQLMQPLEAYGILVKRTDEELLKSLDNFLVVEREGQVIACAALFPFFEEKCGEVAAIAVSPDCRGQGQGDKLLDYIENKASSLGLNMLFLLTTRTADWLDTLILESIIKLKEPKGSDRVGIAAYIEVFSPDRHNTRNIIFLYVITCTIASKVILKLFTSLGSILLSTEPRKVTINKTEAYGGKWKINEAQEVAAAAAIAVSVAEAAIAMAEAAAAREAEAEAEAAQVFAKALKAFKCKKKFSLVSFLN >OIW09650 pep chromosome:LupAngTanjil_v1.0:LG06:21642752:21649862:1 gene:TanjilG_28249 transcript:OIW09650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKTTKTQEWVTMFMFLCFPLVSYGIRQPRTLLNYLSESKRLNTQELWFNQTLDHFSPYDHRQFPQRYYEFLDYYRIPDGPIFLVIGGEGTLTGIANDYIAVLAKKFGAALVSLEHRYYGKSTPFKSLETENLKYLSSKQALFDLAVFRQYYQDSLNTKINRTKIENPWFVFGGSYSGALSAWFRLKFPHLTCGSLASSAVVLAVYNYTEFDQQIGESAGPECKAILQETTQLIEHKLATNGRALKASFNAADLEIDGDFFYLLADAAAIADAYAKYVKDYYLGTFGVNIQTYDQKYLKNTAVSDDSSTRLWWFQVCTEVAYFQVAPSNDSVRSSKVDTRYHLDLCKNVFGEGTFPAVDATNLYYGGTKIAGSKIIFTNGSQDPWRHASKQNSSPDMPSYLITCNNCGHCSDLRGCPQSPFIIGGDAKNCSSPDAVHKVRQQIADHMDLWLSQCQDTGRSFI >OIW10424 pep chromosome:LupAngTanjil_v1.0:LG06:6311783:6315800:1 gene:TanjilG_24984 transcript:OIW10424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNNIVLKLTSLLCTLAFLLFISFKPAISSRHRQLSGGRKLNSGDNGDLVTNLPNQPHVNFQHYAGYVMVNETNGRALFYWFYEAMTSPEEKPLVLWLNGGPGCSSVGYGATQEIGPFLVDGDGQGLTFNNFSWNKEANMLFLESPVGVGFSYSNTTSDYEQLGDDLTANDAYSFLHNWFLKFPSYRTRTFYIAGESYAGKYVPELAELILDRNNDPSLQIDLKGILLGNPETSDVEDWIGLVDYAWSHAVISDETHKTIRRSCDFNSSDPWINEDCSQGVGEVLKQYREIDIYSLYTSVCFASTASSNDQPMQRRALKMVCYKMPRIMGGYDPCLDGYAKAFYNRPDVQKALHASDGDNLKNWTICNNKIFDEWADSKSTVIPIYKKLISEKLRIWVYSGDTDGRVPVLSTRYSLNTLALPVTKPWSPWYHENEVSGWYEEYEGLTFATFRGAGHAVPCFKPSNSLAFFSSFLRGESPPSTK >OIW10088 pep chromosome:LupAngTanjil_v1.0:LG06:9968893:9973151:-1 gene:TanjilG_21925 transcript:OIW10088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEFSGGGGAEEITVTPLTVSFKEGKSSMRRRAMRPSLDADEFMNLLHGSDPVKVELNRLENEVRDKDRELSEAHAEIKALKFYERLREKAVEELTEELSKVDEKLKLSESLLDSKNLEIKKINDEKKASMAAQFAAEATLRRVHAAQKDDDMPPIEAILAPLEAELKLARQEIAKLQDDNKALDRLTKSKEAALLEAERTVQIALAKASMVDDLQNKNQELIKQIEICQEENKILDRMHRQKVAEVEKLTQTVRELEEAVLAGGAAANAVRDYQRKVQEMNEERKTLDRELARTKVTANRVAVVVANEWKDASDKVMPVKQWLEERRFLQGEMQQLRDKLAIAERTAKSEAQLKEKYHLRLKVLQESLKETSNSISRGTQEGRSVTNGPSRRQSLGGADNISKLTPNGFSYKRMSSFQMRSLSSSTVLKSAKGTSKSFDGGTRSLERSKILLNGKPPSYSFNQSSEGTNNKEEKDNWKADSDDKPNEFLVVDTEDSVPGVLYDLLQKEVLALRKAGHEKDQSLKDKDDAIEMLAKKIDTLTKAMEVEAKKMRREVASMEKEVAAMRVEKEQDSRSKRLSGVKGPINSARHQLVSGRNVRRGGLTRSTE >OIW09599 pep chromosome:LupAngTanjil_v1.0:LG06:22383306:22384196:-1 gene:TanjilG_28198 transcript:OIW09599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFILVGSATNLILCPQVELDLSCQYEVEAFFASEKPEFVILAMAKAGGIHAYNTHPADFIAINLKIQTNIIDSTYHSGIKNTDKLLMFYLLCGLEHLNVGSGKEITIKELLS >OIW08948 pep chromosome:LupAngTanjil_v1.0:LG06:32303836:32304915:1 gene:TanjilG_05924 transcript:OIW08948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTSLGPKHRPRIFYAVAFCLVTISVAADYNTPNYGSEPKHAQYQPHHPNSHSQDQEHPSYKIPIYAYISPPPPHSPPPPSPPPPYVYQSPPPPSPSPPPPYLYKSPPPPSPSPPPPYVYQSPPPPSPSPPPPYVYSSEHPLKNWPHIPYVYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYVYQSPPPPSPSPPPPYVYKSPPPPSPSPPPPYVYQSPPPPSPSPPPPYVYNSEHPLKNWPHIPYFYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYVYKSPPPPPHHDHLPQYHFPYFYQSPPPPTTLY >OIW10409 pep chromosome:LupAngTanjil_v1.0:LG06:6611627:6614084:1 gene:TanjilG_05557 transcript:OIW10409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSATKSKKRGSETDRTKSDKKNRSGTENFDFDFDLSNDIKGIVSALQLIKDKAQKDGQKKNQETISSVSSEIKAMIEEMRSKFEKDRQSFAKALSKSSKEYENSLKNETAKFQALHEKFSKEKATSLQALKDVISKFEEEKGKLFSRYEQLRKKERSIISDQEKTCKEKIAQLEESLKRKKQDDKTFSILRKTLGSFLESASDEDFPPDD >OIW11041 pep chromosome:LupAngTanjil_v1.0:LG06:1552384:1555028:-1 gene:TanjilG_22848 transcript:OIW11041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHHQCHILFFMLLSFSLRSESWGWFSSSKETHSSDSSSPNKNNFRGSAAEFSIEGFNDGRGMKLIENAKKKLVASNSCWQNAYQHLFSGCSEILADNAKRSRLAWHLSDCFQRDSGRPPFAHCDPKSSMATCLTTLDDLAHKVYLEFYLETNSICHQLQAYAFKHETQRLVTELKRSAQYVEDKLDSMDEKSEQLLQNSKQIHDSLTSIDIHTQQVAQTAQNVENHVHIVLRHSESVHEQTKKIAVSQSQLEEGQGVMKKNLEDGMAMLKDSYNYLGQEIDKLKDEAIEIEKEVTKVGDAMSIKMTSLHDKAEDIGNMAGISLDKQQQVLDGQFMALKGLNSLSEIQSKAIEESSKTLQHFTEYGHRQHEELLQKQTQIQGLHDHLMESSKSILAAQESFESKQANMFDALDKLFALHNAMLLESRMMKAFFIYSILIFVIHMLTSTKQTYNVRPWLYIGLCATLFIEVAIIRFTNDNIEQQTWIINKLRLLYMVAAAVQLLYAICMDYEILNHRMLLTLMDRVNNMQMQKELLDVDSDDENWSQWIDADLSDDVNCLDDPSYVIPEEVEEKSCTSSSSIRYNLRQRNSCG >OIW10446 pep chromosome:LupAngTanjil_v1.0:LG06:5835415:5836201:1 gene:TanjilG_00384 transcript:OIW10446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTVILMLVAALLVSATVARSPLPSPAAAPTTPKTPSPAASPTANSPAVSPPTPVVSGPSPSPSAVVNSPPSPPPATSNSPASTPSAATPSSISDTPSSTPGEAPSQNGAAFPPPSPPPATSNSPASTPSAATPSSISDTPSSTPGEAPSQNGAASNRFAFAGSVAVVGFAVALMI >OIW10386 pep chromosome:LupAngTanjil_v1.0:LG06:6866627:6870414:1 gene:TanjilG_05534 transcript:OIW10386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRELVEPHSQRATLPPGCRFYPSEDLLLRYYLTNKNTPNNDTGSRHDLFYGSDLIRELDLYGYDPLELPETACFSYGYGGRKSHWYCYTVRVLKERRRRKVKSGFWVKNGRVRDIFGNGGEDAVLGTRTRFVFYVGNSMRNATRTDWMLYEYALVNHLLATFVLCRVFKKPHRKNGLSEIGFSCAEESKSAVRHIGIQHDGNVRSDGVEAKVCDDSSIGRMNGISVHPLRCSSGLEDHELMTAPVSVANFQHHVGPEGNQQERLSGLPSGNEMFIEAVTSQQLLLSILEEDFIELNDLV >OIW10279 pep chromosome:LupAngTanjil_v1.0:LG06:8355897:8358078:-1 gene:TanjilG_28030 transcript:OIW10279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVGKYSVVNHNEGSPLPDSHRFSVRVTSPFGTNYHNRDRVQSGQFGFLALESGDYITCFTAADHDPKATLTIDFDWKSGVAAKDWSTIAKRGQVDVMELEIRKLFETASDIHEEMNFLRQREEEMQEYNRTTNDRMFWFSFLSLIVCLSVAGLQLWHLKTFFEKKKLI >OIW10013 pep chromosome:LupAngTanjil_v1.0:LG06:10839780:10842677:1 gene:TanjilG_32753 transcript:OIW10013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSRQKGALMRSKSVEDISVMELQQNKKNQIKTNSSPEETLNQSGCLICTGKNSCQIVHLRTKLMNTLIGKGKPHEAQAIFNSLTDEGHRPTLITYTTLVTALTRQKRFKSIPSLLCKVEENGMKPDSILFNAMINAFSDSGKVDEAMKIFQKMKEYGCKPTTSTFNTLIKGFGVAGRPYESMKLLEMMGQEKVKPNERTYNILIQAFCTTKKLEEAWSVLHKMVASGLRPDVVTYNTLARAYAQNGETEKAERLILKMQYNKLKPNERTCGIIISGYCKERNMTEALRFLYKMKELGVHPNPVVFNSLIKGFLDTTDTDGVDEALTLMEEFGIKPDVVTFSTIMNAWSSAGLMGNCEEIFNDMVKGGIEPDIHTYSILAKGYVRSGQTRKAEELITSMSKYGVQPNVVIFTTIISGWCTEGKMDRAIILHKKMHEMGISPNLKTYQTLIWGYGEAKQPWKAEEILVAMEEKGVSPEMTTMQLVADAWRSVGLFTEASRIINDSQEESELDQNFENDNTQVQSLEMIYKKQKLSASHPKLLQTPEEVVAHPERTTSGNIRSQKIIKTSEIMRNSTTSMAFVRTSSYRVQPRIIGVNTLLRA >OIW10665 pep chromosome:LupAngTanjil_v1.0:LG06:4161532:4163276:1 gene:TanjilG_16037 transcript:OIW10665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSNNVIGAINFIAVLVSIPIIGAGIWLTNGAADSCVKFLQWPLIILGVLILIVALAGFIGAFWRIQCLLIFYLIAMLVLIILLVCLVVFVYMVTIRGHGTIEPNRAYLEYHMDDFSGFLRRRVRSSFKWDGIRSCLSQTNMCAELNQSFRMAQDFFNAHLTPMQSGCCKPPTQCGYTFVNPTYWISPINIAEDMDCLQWNNDQTQLCYACDSCKAGLLANLRKEWKRANVILIITVIVLIAVYIIGCCAFRNAKTEDLFRKYKQGYT >OIW08880 pep chromosome:LupAngTanjil_v1.0:LG06:32858898:32862444:-1 gene:TanjilG_05855 transcript:OIW08880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVDLRQVVAGILTITMFVMLIHMIKRDHFDAVVQDKFPGATNDADLENSKFEGTNVGKTVGLWKGDAADKLKPCWAKPSGDDVEQTEGFVIFSLTNGPEYHMSQIADAVIVARSLGATLVIPDIRGSQPGDKRNFEDIYDVDVFTKSMGGVVRVVKDLPDNISKNKIAAVKVPNRVTEDYIMTHVEPIYRSKGNIRLATYFPSVNMRKAGKNGNTDSIACLAMYGSLELQPEIKDLVDSMVERLRTLSRKSDGQFIAVDLRVEMLDKKGCQGSEDGEKEKSCYNAQEIAMFLRKIGFQKDTTIYVTESRWDGSLDSLKDMFPKTYTKEAIIPADKKTKFQDSQDSELEKVIDFYISSESDVFVPAISGLLYANVAGKRIGNGKTQIFVPADIPDSSAPASNFLSPYISKKNHFAYSCYC >OIW09133 pep chromosome:LupAngTanjil_v1.0:LG06:30276591:30280642:1 gene:TanjilG_11271 transcript:OIW09133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKWKKLKLAIGMNMCVFTPKTMDHSTSTSSINSATTFSGDISPSGDASGHQLTTPKPSPSGPRLSKSGSKSSKGMCAICLTMMKPGHGHAIFTAECSHTFHFHCITSNVKHGNRICPVCRAKWKEVPFQNPASSNASNDMSRINNRVSSRRGDSLATMPRRTPSQPAHVPEPAIFDDDESLDQHTATTQINNNAETDRSLINTMEIKTNPEVSAVSKSASHDNFAVLIHLKAPKRNITESSSPTSVQNSRAPIDLVTVLDVSGSMAGTKLALLKRAMSFVIQNLSSSDRLSVVAFSSTARRIFPLQRMTDTGRQQALQAVNSLSPSGGTNIAEGLRKGAKVFVDRRFKNPVGSIILLSDGQDTYTISRRPHIGTDYGALVPNSIQRNNGDGLHLPVHAFGFGIDHDATAMHSISEVSGGTFSFIEAEDVIQDAFAQCIGGLLSVVVQELQVEVSCIHPKLQFSSVKAGSYQTNLTANARTATIKVGDLYAEEERDFLATLNIPVDKSSNEMSLLIVKGVYRDSMTKEILGSEETSEVTIQRPSVARGQVVSIEVDRQRNRLRAAEAMAESRVKAELGNLSGAVSILENCQKALAETVSAKAGDRLCVALAAELKEMQERMANQRMYEQSGRAYVLSGLSSHSWQRATARGDSTESTSIVQAYQTPSMVDMVTRSQTFILGAPQLGLSAAKSFNYRKKEK >OIW09215 pep chromosome:LupAngTanjil_v1.0:LG06:30904279:30912811:1 gene:TanjilG_11353 transcript:OIW09215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESENLVKQELEELQKQLGKKQQFENAVSSLKSLLQRSYQSASPSLRKSFYTVICRVSTVLKTRYTAPGFWNAGLGLFEQAHMLVSESSEKQHLKACIAQAREHLHLEDNPSQPLQPRQSHTNGGYLFEGHLTVDPEPPQPQWLVQENLLSTAATLFAAETSQGSGANDTTPENTANVLQELLTRLEEVVPLMVDDGPVAPRVPPASKEVVAKLPVITLTEEILAKLGKDAECAICREELVLNDKMQELPCKHTFHPPCLKPWLDEHNSCPICRYELQTDDHAYESWKEREKEAEEERKGAENAIRVIAPTLNRVKPRAIIKFLGGAFIGAVPQASYGYLIELLAKEGFVVVVVPYNVTFDHTQAAKQVYERFNTCLDSLLTYGLPQANLSPSQLGDLPLFSVGHSNGALLQLLTGSYFSEKIPKANAIISYNNRPATEAVPFFEQLGPAVSQLMPVVEATPIYSMARNASGDAWKMLLDSVGSIIQESEQEVLNSITKFVDQLPLVMNEVTQGVSEFKPTPSENQDCFRSSYNVEHTLLVKFNSDTIDETDIVEETLKPRVESFGGTLEKVHLSGNHITPCIQEPRWQVGQLYTPADAVAQLLKSLSLTETRILAKTVSEWFSRFED >OIW09389 pep chromosome:LupAngTanjil_v1.0:LG06:28338640:28342575:-1 gene:TanjilG_20986 transcript:OIW09389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALALWNIGVGSSSFKQQTVSFEVSCRKRDRDSIHPYKVVEITPPPKSLGIRCFPPNLQCGESVTIEGQAYTISAVTHRYQLRKGKYEPSEKRLDVLSTGRYLVNLYLEDLLEHS >OIW10297 pep chromosome:LupAngTanjil_v1.0:LG06:8491953:8493048:1 gene:TanjilG_28048 transcript:OIW10297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNQKKRNFQIEAFKHRVVMDPKYADKTWKILEHAIHEIYNHNASGLSFEELYRCNCGHSGHFLQAAAVFGY >OIW10922 pep chromosome:LupAngTanjil_v1.0:LG06:1968401:1972587:-1 gene:TanjilG_27868 transcript:OIW10922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFMKLGSKPDAFQTDGDNIRYVATELASDVVVNVGDAKFYLHKFPLLSKSARLQKLVTDTNEENNDEVHIHDIPGGPVAFEMCAKFCYGMVVTLNAYNVLAARCAAEYLEMYETVEKGNLVYKIEVFLNSSIFRSWKDSIIVLQTTKSLLPWSEELKVVSHCLDSIATKATMDTSMVEWSYTYNRKKLPSENGNDPHWNGVRKQQMVPKDWWVEDLCELQLDLYKRVLSSIITKGNVSGPVIGEALSAYASRRLPGFNKGVIQGGDITKNRLLLETIVHLLPAEMGSVSCSFLLKLLRVAILSECEVLERTELMRRIGQCLEDATVGETTMFDVNTVQRVVEEFVAHEQHVLNESLLEEELQEIRSPKMVSDLSKKIKVAKVVDGYLAEISSDPNLPLSDLVDLAELEHSGISKSERKRICRLMDCRKLSVEACMHAVQNERLPLRVVVQVLFFEQLRAASSGGNNTPDLHGSIRAMLPGGSHESSRSTTEEEWEAVGTAEDTQALKGELATLKLTNGGPQGSDQNSNDSRKGNTEKVAAGKTKGFHMSKKLFSKIWSSKERDGEITSSDTSGSPASTVVEETKSTPSRSRRQSIS >OIW09260 pep chromosome:LupAngTanjil_v1.0:LG06:28774872:28785316:1 gene:TanjilG_01231 transcript:OIW09260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ERIPIEEVFEQLKCSRAGLTSEEGENRLQVFGPNKLEEKRESKFLKFLGFMWNPLSWVMEAAAIMAIALANGSGRPPDWQDFVGIIALLVINSTISFIEENNAGNAAAALMAGLAPKTKVLRDGRWSEQDAAILVPGDIISIKLGDIIPADARLLEGDPLSVDQSALTGESLPATKHSSDEVFSGSTVKKGEIEAVVIATGVHTFFGKAAHLVDSTNQVGHFQKVLTAIGNFCICSIAVGIVIELVVMYPIQRRKYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLSVDRNLIEVFAKGVEKEYVILLAARASRTENQDAIDAAIVGMLADPKEARAGIREVHFLPFNPVDKRTALTYIDSNGNWHRASKGAPEQIIELCNCKEDVRKRVHSVIDKFAERGLRSLGVARQEVPEKTKDSPGAPWQFVGLLPLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSSLLGQDKDSSISALPVDELIEKADGFAGVFPEHKYEIVKRLQERKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVFGFMFIALIWKFDFAPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLREIFATGVVLGTYMALMTVVFFWAMKDTNFFPDMFGVRTIRYNPDEMMAALYLQVSIISQALIFVTRSRSWSFAERPGLLLLGAFFIAQLVATFIAVYANWGFARIKGMGWGWAGVIWLYSLVTYVPLDLLKFAIRYILSGKAWDNLLENKTAFTTKKDYGKEEREAQWAAAQRTLHGLQPPETSNLFNEKNSYRELSEIAEQAKRRAEVARLRELHTLKGHVESVVKLKGLDIDTIQQHYTGVEKEYVILLAARASRTENQDAIDAAIVGMLADPKEARAGIREVHFLPFNPVDKRTALTYIDSNGNWHRASKGAPEQIIELCNCKEDVRKRVHSVIDKFAERGLRSLGVARQEVPEKTKDSPGAPWQFVGLLPLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSSLLGQDKDSSISALPVDELIEKADGFAGVFPEHKYEIVKRLQERKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVFGFMFIALIWKFDFAPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLREIFATGVVLGTYMALMTVVFFWAMKDTNFFPDMFGVRTIRYNPDEMMAALYLQVSIISQALIFVTRSRSWSFAERPGLLLLGAFFIAQLVATFIAVYANWGFARIKGMGWGWAGVIWLYSLVTYVPLDLLKFAIRYILSGKAWDNLLENKTAFTTKKDYGKEEREAQWAAAQRTLHGLQPPETSNLFNEKNSYRELSEIAEQAKRRAEVARLRELHTLKGHVESVVKLKGLDIDTIQQHYTV >OIW09895 pep chromosome:LupAngTanjil_v1.0:LG06:12034111:12040060:1 gene:TanjilG_32044 transcript:OIW09895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGVSKFHGMIDLNKHNNYYDVSEGFYHKLGEGTNMSLDSIGSLQTGNDGGSVAMSVDNSSVGSNDSHTRMLDHQGLKRRANDNYSVAHSVNHRGGVIHALSDDALARALMDNSSPTEGLDNFHEWTIDLKKLNMGEAFAQGAFGKLYRGTYNNEDVAIKILERPENDPSKAQLMEQQFQAEVMMLATLKHPNIVRFIGACHKPMVWCIVTEYAKGGSVRQFLRKRQNRSVPLKLAVKQALDVARGMAYVHGLGLIHRDLKSDNLLIFGDKSIKIADFGVARIEVQTEGMTPETGTYRWMAPEMIQHRPYTQKVDIYSFGIVLWELITGMLPFQNMTAVQAAFAVVNRNVRPIVPNDCLPILGEIMTRCWDPNPDVRPPFPQIVGMLESAETEILTTVRKARFRCCIAQPMTAD >OIW09169 pep chromosome:LupAngTanjil_v1.0:LG06:30586812:30587540:-1 gene:TanjilG_11307 transcript:OIW09169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKVKTELLGLLNMAYKERNEAREQLQKLMTNPMLIPLTSNQFKKSSFQVHQPESLVMFHATTKANSSITESNSLSHVSSPIDSSPHDFSNMTHNNNNVVVDSHNFGGYVKNHQLLVHNGCIEKPCFDVANDVIDFLSKGKVLPQQGKLLDAVMDAGPLLQTLLLAGPIPTWKNPPPIQAIKVPPLKVVKDFVTPEIELNAFANTWNSFRKPTFVSSPSMLKFADNISGSFNNTCLLQQRDQ >OIW10927 pep chromosome:LupAngTanjil_v1.0:LG06:1925980:1928211:1 gene:TanjilG_27873 transcript:OIW10927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQLSLIFVWLIFLSLCFNSCPTSAQLSRHHYAQICPNVENIVREAVKKKFQQTFVTVPATLRLFFHDCFVQGCDASVLVQSTANNRAEKDHPDNLSLAGDGFDTVNKAKAAVDAVPICQNKVSCADILAMATRDVIALAGGPFYEVELGRFDGLRSKDSDVSGRLPQPDFNLNQLNSLFKANGLTQTEMIALSGAHTVGFSHCSKFTNRVYNFKSPSRVDPTLDLQYATELKSMCPRDVDPRIAVNMDPITPRAFDNVYYKNLQKGKGLFTSDQVLFTDPRSKAAVNAFATNSKTFHANFVAAMTKLGRVGIKNAQNGNIRSDCSVI >OIW10601 pep chromosome:LupAngTanjil_v1.0:LG06:4868460:4869150:-1 gene:TanjilG_15973 transcript:OIW10601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDQNQKKPRILCLHGFRTSGEILKNLVLRRWPETVTEKLDLVFLDGLFPAQGKSDVEGIYDPPYYEWFQANKDYTEYSNFEECIAYIENYMLKNGPFDGFLGFSQGAVIAAALPGMQAQGVALGKVNKIKFVIIISGSKFGGKMFGMPKLASNAFSKPIECFSIHFIGNFK >OIW10514 pep chromosome:LupAngTanjil_v1.0:LG06:5610033:5630403:-1 gene:TanjilG_15886 transcript:OIW10514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLFILILSSSSSYPHPPPPPDPMLLFILILSSSSSYPHPPPPPDPMLLFILILSSSSSYPHPPPPPDPMLLFILILSSSSSYPHPPPPPDPMLLFILILSSSSSYPHPPPPPDPMLLFILILSSSSSYPHPPPPPDPMLLFILILSSSSSYPHPPPPPDPMLLFILILSSSSSYPHPPPPPDPMLLFILILSSSSSYPHPPPPPDPMLLFILILSSSSSYPHPPPPPDPMLLFILILSSSSSYPHPPPPPDPMLLFILILSSSSSYPHPPPPPDPMLLFILILSSSSSYPHPPPPPDPMLLFILILSSSSSYPHPPPPPDPMLLFILILSSSSSYPHPPPPPDPMLLFILILSSSSSYPHPPPPPDPMLLFILILSSSSSYPHPPPPPDPMLLFILILSSSSSYPHPPPPPDPMLLFILILSSSSSYPHPPPPPDPMLLFILILSSSSSYPHPPPPPDPMLLFILILSSSSSYPHPPPPPDPMLLFILILSSSSSYPHPPPPPDPMLLFILILSSSSSYPHPPPPPDPMLLFILILSSSSSYPHPPPPPDPMLLFILILSSSSSYPHPPPPPDPMLLFILILSSSSSYPHPPPPPDPMLLFILILSSSSSYPHPPPPPDPMLLFILILSSSSSYPHPPPPPDPMLLFILILSSSSSYPHPPPPPDPMLLFILILSSSSSYPHPPPPPDPMLLFILILSSSSSYPHPPPPPDPMLLFILILSSSSSYPHPPPPPDPMLLFILILSSSSSYPHPPPPPDPMLLFILILSSSSSYPHPPPPPDPMLLFILILSSSSSYPHPPPPPDPMLLFILILSSSSSYPHPPPPPDPMLLFILILSSSSSYPHPPPPPDPMLLYTETTNADVWFETSEVRKILGTVAYDDVHGDLYAEEERDFLVTVNVPVDSSSDEMTLLIVRGLYRDPITREMVDLEETSEVKIQRPNIGRTELFVSIEVDRQRNRLCAAEALAEARVAAECGNLSAAVSVLESCHKALSETVSSQAGDRLCIALSAELKEMQ >OIW10819 pep chromosome:LupAngTanjil_v1.0:LG06:2783251:2784885:1 gene:TanjilG_27765 transcript:OIW10819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRQPCCDKLGVKKGPWTGEEDKKLINFILSNGQCCWRAVPKLAGLKRCGKSCRLRWTNYLRPDLKRGLLTEAEEQLVIDLHARLGNRWSKIAARLPGRTDNEIKNHWNTHIKKKLLKMGIDPVTHEPLNKHVSSPEEKAGNDVVLNSEDNSNSSTSQAENTSCGESLLLDSICRDESLINSLWLDETLLDDALWDIAPKADNVYNNTDKGLPPWEDNYAWLLDCQDFGIHDFGINCFNEIESNALHQTMGVEENGH >OIW10691 pep chromosome:LupAngTanjil_v1.0:LG06:3927149:3930985:1 gene:TanjilG_16063 transcript:OIW10691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHNLRCFGTTPKTHNLFSLIFFFFFFSFSNAAFDLATIPFSDGYSLLFGGSNVVRTADDNGVKLLLDRYTGSGFISSNMYRYGFFSANIKLPSNYTAGVCVAFYTSNGDVFQKSHDELDFEFLGNVAGKPWQFQTNLYGNGSTNRGREERYRLWFDPTKEYHRYSILWTAKNVIFYIDDVPIREVLRSVEMGGDYPSKPMSLYATIWDASNWATSGGRYKANYKYAPFIAEFKDLVLKGCPVNPIQEVSDDKACADQHIDLEAQEYATMTPMRRRAMHKFRKHFMYYSYCYDTLRYPTLMPECNIIPAEQERFMETGRLRSSGSRRRQARRKSRTKTLVDVTNKGYM >OIW10209 pep chromosome:LupAngTanjil_v1.0:LG06:7626283:7628556:-1 gene:TanjilG_27960 transcript:OIW10209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGGSRESGFCGSPEVVQIIQKVIAEVIGTYFLVFAGCSVVVLNKLEGSKGTITFPGICVVWGLTVMILVYALGHISGAHFNPAVTVPLYLIAQVLGSILASGTLYLLYDDLDENSYFGTVPVGAHLKSFILEILTSFLLMFVVSAVSTDNRAIGELAGIAVGMTVLIDVFIAGPVSGASMNPARSLGPAVVMHIFDGFWLYIVGPFLGAILGASAYNLIRFTEKPLREIGASSTILKSMSRVNTFRR >OIW11144 pep chromosome:LupAngTanjil_v1.0:LG06:319684:320782:-1 gene:TanjilG_22951 transcript:OIW11144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGRTQRCSDESGLKKGPWSPEEDEILVEYIERHGHGSWSALPKVAGLNRCGKSCRLRWNNYLRPDIKRGKFSQQEEQLIINLHALLGNKWAAIAGHLPGRTDNEIKNFWNTHLKKKLIQMGLDPITHIPRSDHQNLLTNLQQILANASIFSTLSNTCDNTNNALRLLPSDATQLAKLHLLHNIVQYNPFEVLGLNNDSNLQSFCNGTSIGFPSQNQLPNLHNFETLQHLNGSTSVAHTPSSNSVLPNQVSASPEECYYSTVNFDCMDVETSDVYWKDLIE >OIW11151 pep chromosome:LupAngTanjil_v1.0:LG06:279178:281039:-1 gene:TanjilG_22958 transcript:OIW11151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPLSALRDFTTRGELDKIVRVNGEFRFGDEFSFPCSVETAYRSTKGNRYTLETLVYYINNHHLKHTEYFQNTFSLGIPSVTLPDRKPILLYLQGHLASTDSIEYTVPNPNPIHRHASPNPFDSNSIETVPVPVAPKLDFISMIREIEKPLKDREALLECKNRDFYGVLVAATKREDERQRMESGQRKDGLVAKNRLMGADERGLGFGDELGYDSTPKPKMHLKGSKVGEGVPIILVPSAFQTLITIYNVKEFLEDGIYIPTDVKVKQMNGARPDCVTVQKKLSRDRVVTAYEVRDKPSSLKPEDWDRVVAVFVLGKEWQFKEWPFKDHVEIFNKITGFFMRFEDDSLESAKNVKQWNVKIISISKNKRHQDRAAALEVWDRLEEFVRSRSHS >OIW09561 pep chromosome:LupAngTanjil_v1.0:LG06:22838496:22844493:-1 gene:TanjilG_28160 transcript:OIW09561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEGGFSDKNTVFRKLKAKSENKICFDCNAKNPTWASVTYGIFLCIDCSAVHRSLGVHISFVRSTNLDSWSPEQLKTMSFGGNNRAQVFFKQHGWTDGGKIEGKYTSRAAELYRQILLKEVAKSMDEEAVVPSSPFASQFSQGTNELPEVKTNEPPKQNTLEKNGKLESTSSPRVSYTSVSSTIKKPIGAKKPGKSGGLGARKLTRKPSESLYEQKPEEAPTPVTSSANNNLPAAPSLTSRFEYLENVQSSDFNAEGSHATGHVSAPKSTSFFSDFGMDNSFQKKSGPSSSKVQGSSSISSADLFGNSRDNSSIDITASELLSRLSFQAQHDISSLKDIAGETGKKLSSLASSFMTDLQDNIL >OIW08947 pep chromosome:LupAngTanjil_v1.0:LG06:32313806:32314485:-1 gene:TanjilG_05923 transcript:OIW08947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESDIVEGGHDEEEVEEIYKHMVEEVMEMVEVETCTHMEEVVKEMVEVETCTHMEEVVMEVVETCRHMVEEVKEMEEGETYRHMVYEANFLEGVQNCRHMVGEVKEMEEAETDRHMGVVVMVMEVVETYRDMAEEVKGMEEVEIDRHMEVVEMAGEVNGVVVEKCMHIWVFCKMGVLDLVNVN >OIW09989 pep chromosome:LupAngTanjil_v1.0:LG06:11197435:11204572:1 gene:TanjilG_32729 transcript:OIW09989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPSQDDQHERVDSEFTSTSSGSGISSILSIDDLRNMTITSSEVSSISSGGSGEIPPMTREKCVGRKNKGVSWGQSSVIGRRKEMEDAVAVIPGFMSRMCDHVGGCTAPGSRSSDEISPVHFFGVYDGHGGSQVAKFCAKRMHLVIAEECDKENAGGTEWQRRWEAVFAKGFERTDTEVLLDAVAPEMVGSTASVVVLSGCQIITSNCGDSRVVLCRRTQTIPLTVDQKPDRQDELLRIEGTGGKVINWNGARVFGVLAMSRAIGDRYLRPWIIPVPEITFTARTEEDECLVLASDGLWDVMTNDEVGEVARHILRRRRRLSLSTEEISPAQVVADSLTEIAYGRNSEDNISIIVVDLKSKRRRQLRPPLVS >OIW09090 pep chromosome:LupAngTanjil_v1.0:LG06:31488341:31496324:-1 gene:TanjilG_16317 transcript:OIW09090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSFEVTELPVRSAKFIARKQWVVAGADDLFIRVYNYNTMDKVKVFEAHTDYIRCVAVHPTLPYVLSSSDDMLIKLWDWEKGWVCTQIFEGHSHYVMQVIFNPKDTNTFASASLDRTIKIWNLGSPDPNFTLDAHQKGVNCVDYFTGGDKPYLITGSDDHTAKVWDYQTKSCVQTLEGHTHNVSAVCFHPELPIIITGSEDGTVRIWHSTTYRLENTLNYALERVWAIGYLKGSRRVVIGYDEGTIMVKLGREVPVASMDNSGKIIWAKHNEIQTVNIKTVGADVEVADGERLPLAVKELGTCDLYPQNLKHNPNGRFVVVCGDGEYIIYTALAWRNRSFGSALEFVWSSDGEYAIRESTSKIKIFSKNFQEKRSVRPTFSAERIFGGTLLAMCSNDFICFYDWAECRLIYRIDVNVKNLYWADSGDLVTIASDTSFYILKYNRDVVASHVDSGRPVDEEGVEDAFELLHEMNERVRTGIWVGDCFIYNNSSWRLNYCVGGEVTTMFHLDRPMYLLGYLANQSRVYLIDKEFNVIGYTLLLSLIEYKTLVMRGDLERANDLLPSIPKEHHNSVARFLESRGMVEEALEVATDPDYRFDLAIQLARLDVAKIIAVEVQSESKWKQLGELAMSSGKLAMAEECLERAKDFSGLLLLYSSLGDAEGISKLATLAKEQGKNNVSFLCLFMLGKLEDCLQLLVESNRIPEAALMARSYLPSKVSEIVAIWRKDLNKVNPKAAESLADPEEYPNLFEDWQVALAVESKAAESRYVYPPAEQYINHADKSHATLVEVFRNMQIEEGEEPLDIGDSNYELTEENGEEDYTEAQEEPNGEEGSLEEAVVVDSDSTEGAVLVNSNEADEEWGTNNEGAPSTLWDMKRFCGLVVSVSWYCGFVVP >OIW10375 pep chromosome:LupAngTanjil_v1.0:LG06:9340554:9348653:-1 gene:TanjilG_28126 transcript:OIW10375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISGAPASGKGTQCELIVQKFGLVHISTGDLLRAEVAAGTEIGSKAKEFMNAGRLVPDEIVTNMVSARLAREDVKQRGWLLDGYPRSLAQAESLEKMQIRPDLYIVLDVPDEILIDRCVGRRLDPVEGKIYHLKFFPPETEEIKARLITRPDDTEEKVKSRLQIYKQNAEAVSSAYSNITNKIDGSHSKEVVFKEIETLLSQLQQQKVKIIKSGEKPMLDTKKGQASLSQDQWRGIPTRLNNIPHSREIRKYFYNDVLQATQRAIIDGKTRLKVDINIPELNPEMDVYRIGTLMELVRALALSFADDGKRVKVCVQGSMGEGALAGMPLQLAGTRKILEFMDWGDYGAKGNFISIGSIGAAEVDEQDDMYILVAPQNAVGNCIIDDLRAMTNAAEHRPVILINPRLKDLPASSGIMQTMGRDKRLQYAASFESCYFFRLLYYAGTQYPIMGAIRMSYPYCYELYKRVDEPSGKEKYVILSSFPHRPSIDETNDAFEGKSRFKLDITAFD >OIW09512 pep chromosome:LupAngTanjil_v1.0:LG06:24294326:24295612:-1 gene:TanjilG_11634 transcript:OIW09512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHLRPESLATIAAMLLLFAAATTTTTHAHNITHILAKYPEYSTFNHYLTLTHLAQEINQRTTITVCAVDNAAMSDLLSKHPSIYTVKNILSLHVLLDYFGAKKLHQITNGTALAATMFQATGTAPGSSGFVNITDLRGGKVGFGADSNNNADNDGAPLTAFFVKSVEEIPYNISVLQISKVLPSAVAEAPTPAPSQQNLTSIMSKHGCKVFADTLLTSSEAISTFSDNVEGGLTVFCPIDDAFKAFLPKFKNLTVTGKASLLEYHGIPVYQSLAMLKSNNGILNTLATDGASKYDMTVQNDGEQVTLRTKIDTAKITGTLIDEQPLAIYTVDKVLLPLELFKAAAPVPAPAPAPEPASADAPAAPKKHKKKKAADAPADSDSDSPADSPDDAADQSADDNSGGFRFGGERYINVAIVVALVFGFQMV >OIW09855 pep chromosome:LupAngTanjil_v1.0:LG06:13382347:13387645:1 gene:TanjilG_15337 transcript:OIW09855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRPNQEAIETFINITGVSEGIALQKLQEHGGNLTEAVDAHFSEGDRNFMTSTHETSTTVPQDDFMDTDDQLDAEIHTHPSPFARNLNPFSLLDPTIGRSIFETHLGSTNQNQAPFVTHAIETREIPIEVRDGNQSTPQAGHIPTIEDVTGTVDVHDPDIHGTIIINDEDDDDTSPANTAHQNEQRHNILVDTSLDSSVRTSAPEFENLPDHNNDIEEEMIRAAIEASKREAEENYRNHQLGRQMDFSELGELASNKRRNCGRSRLQEGSSSFQDEDVEEQPLVRNRTRHMPSESTGLARDDEVIEAGTLPSVGQESSNSPQHNENSFHTDEWGGISSEEHDEAVMLEAAMFGGIPEGSYRHAYAPHEFMQGRGFNPRPTYRPPSPSLEAQRLIREQQDDEYLASLQADREKELKAIEEAEAAREEERQRAEESRRKLQEEQELETQLAAKEVSLPPEPSLDDNNAVTLLVRMPDGNRRGRRFRRSDNLQALFNFIDIGRVVKPGSYRLVRPYPRRAFGDGESAATLHELGLTNKQEALFLELI >OIW11021 pep chromosome:LupAngTanjil_v1.0:LG06:1373032:1374299:1 gene:TanjilG_22828 transcript:OIW11021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRVFGATRRHVVGFISRTIRSVRKIHGDSEENIRFYKSRGQHILINPRILNDIVRSSAINPTDTVLEIGPGTGNLTVKLLQAAHKVIAVELDHRMVDVLDKRVLHHGLSSKLKVIRGDALRTKFPHFDTVVANIPYGISSPLVIKLVYGAIRFRSATLMLQKEFATRLLANPGDSEFNRLALNVKLLADVEFVMDVSKRDFLPCPKVDSSVVIIRPKDQVPDVDLREWRAFTRTCFNTKNKTLGATFKHKRKVMELLKLSNADEDKGKCQSFKEKIVGVLKTGGFEDKRPSKLSVEDLLHLLSLFNEAGIYFNESGKLRSEEDRLGIDNDDNN >OIW09746 pep chromosome:LupAngTanjil_v1.0:LG06:16751237:16753768:-1 gene:TanjilG_14269 transcript:OIW09746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVPGAFGSSASFALRLGQTVFSTSSLLFMSLDVDFYGYSAFCYLVTVMGLVIPWSITLLVVDAYSIFIKCLPYQHRLILIILLGDMILSYLTLAAACSTASVTDLLLDADGSYCPSKLCDRYQLSAAMAFLSWFLSSASFLFNFWLFPSL >OIW10065 pep chromosome:LupAngTanjil_v1.0:LG06:10285200:10296230:1 gene:TanjilG_32805 transcript:OIW10065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPFTGHLDGGGGLAVLSNAVNKVDSSSSVLNGGADLKKCAQLDSPILIFLFFHKAVRNELDALHRLAMAFATGNRSDIDALRERYHFLSSIYRHHSNAEDEVIFPALDIRVKNVAQTYSLEHKGESNLFDHLFELLNSSTDIGDSFPRELASCTGALQTSVSQHMAKEEEQASEVEVRSLNLDKEVMKADIAWSNCLGTRRLLRVFPLLIEKFSVEEQASLVWQFLCSIPVNMMAEFLPWLSTSIPPDESQDLRNCLIKIVPEEKLLQKVIFSWMEGRGSVNSVENHVDHSQVRSNSNSLTHQVEKVICSCESTTTGKRKYCGSRLDVSDTAGTHPIDEILLWHNAIKKELSEIAVETRKIQHSGDFTNLSAFNERLQFIAEVCIFHSIAEDKVIFPAIDGEFSFFQEHAEEESQFNDFRSLIEGIQSEGATSNSEVEFYSKLCSIADHIMETIQRHFHSEEVQVLPLARKHFSFRRQRELLYQSLCMMPLKLIERVLPWLIGSLTEDEAKMFLRNMQLAAPATDSALVTLFCGWACKARNEGLCLSSSASGCCPAQRLPDIEENIVQPSCSCAASPGRDCSESSESDGNKRSVKRNILKLDKSGDLPETSETETIQKQCCSARPCCVPGLGVSSNNFGLSSLSTAKSLRSLSFSSSALSLNSSLFAWETESISFDAGSAQRPIDTIFKFHKAIRKDLEYLDVESGKLSDGDDTTLRQFSGRFRLLWGLYRAHSNAEDDIVFPALESKEALHNVSHSYMLDHKQEEQLFEDISRVLSELSALHEALHTHMSEGLGEGSLGTSDTNGSDIIKNYNELATKLQGMCKSIRVSLDQHIFREESELWPLFGRHFTVEEQDKIVGRIIGTTGAEVLQSMLPWVTSALTQDEQNKMMDTWKQAAKNTMFNEWLSECWKESPVPTSQTETSDHGTTQRGAEHQESLDPNDQMFKPGWKDIFRMNQNELESEIRKVYRDSTLDPRRKAYLVQNLMTSRWIAAQQKLPRNLSEESSKGEKIEGHSPSFRDPGKHVFGCEHYKRNCKLLAACCGKLFTCRFCHDNVSDHSMDRKATSDMMCMRCLNIQPVGPICMTPSCNGLSMAKYYCNICKFFDDERNVYHCPFCNLCRVGQGLGIDYFHCMKCNCCLGIKSATHKCLEKGLEMNCPICCDDLFTSSATVRALPCGHYMHSACFQAYTCSHYTCPICSKSLGDMAVYFGMLDALLAAEELPEEYRDHNQDILCHDCDRKGISCFHWLYHKCGFCGSYNTRVIKSETSNSSCT >OIW10005 pep chromosome:LupAngTanjil_v1.0:LG06:10939263:10939670:1 gene:TanjilG_32745 transcript:OIW10005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMKVMYPKDAIYMSTHYGRGEAALALSNFAIPYLFTISDNLSFSSYKVIHKLSIMRLKVHVQAAPRAIAGKPSARFGQPSLGCPNNHESGLYALRGLQP >OIW10195 pep chromosome:LupAngTanjil_v1.0:LG06:7524355:7529142:-1 gene:TanjilG_27946 transcript:OIW10195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQVQVQPQNAMQGGPNGAANGGNQFVPTSLYVGDLDPNVTDSQLYDLFNQLGQVVSVRVCRDLTTGRSLGYGYVNYSNPQDAARSLDVLNFTPLNNKPIRIMYSHRDPSVRKSGAGNIFIKNLDRAIDHKALHDTFSSFGSILSCKVSTDSSGNSKGYGFVQFDNAESAEKAIEKLNGMLLNDKQVFVGPFLRKQEREGSADKAKFNNVFVKNLSESTTDDELKKVFGEFGPITSAVVMRDEDGKSKCFGFVNFENTDDAARAVEALNGKKFDDKEWYVGKAQKKSEREHELKLKFEQNMKEAADKYQGANLYVKNLDDSIGDEKLKELFSPFGTITSCKVMRDPSGISRGSGFVAFSTPEEASRALLEMNGKMVVSKPLYVTLAQRKEDRRARLQAQFAQMRPLTMSPSVAPRVPMYPPGGPGIGQQIFYGQGPPAMIHSQPGFGYQQQLVPGMRPAGAPVPNFFMPMVQQGQQGQRPGGRRAGGVQQSQQTVPLMPQQMLPRGRMYRYPPPGRGIPEVPMPGVAGGMFPVPYDVAGIPMRDAGLSQQIPIGALASALANASAEQQRTMLGENLYPLVEQLEADNAAKVTGMLLEMDQTEVLHLLESPDALKAKVAEAIDVLRNVAQQQQAGSAADQLSSLSINDNLVS >OIW10798 pep chromosome:LupAngTanjil_v1.0:LG06:2961375:2967087:-1 gene:TanjilG_27744 transcript:OIW10798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMNNTCPYYSAYVDSVLDLASHFIACLRRYASFCRTLATHIVNSGTGSNNCNVVTSPSCSPATSQARTEAAENEHFQMVVKEHKMMELLVTELDEEHDMAIAKIEKLETKAGKNLLNALLYPGIKTNLQKNSVVAIFHISGNAGGSGDNDSVVASTFLIRMKTGEDRNKLASIIQEYAPVKFSDVVNWLKANATKGENLVADVGASFAGKKILSEVTNKENNSLGEKNWVYAEQSKPFYIWLGDNYMLETRVHGTYVMLALEWHRNQSSTSSNHDASDDVDVGEDLKPFPVAISLQCIY >OIW10154 pep chromosome:LupAngTanjil_v1.0:LG06:7140192:7141703:1 gene:TanjilG_27905 transcript:OIW10154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYMDPEPYFPPPSPSLTRWWSKETTAVVTGGNKGIGFAVVKCLAELGLNVVLTARDTQKGETALEALKRQDLAGHVHFLLLDVSDPISITTFASSFHAKFGPTLDILVNNAGVSFNGLEENSVEHAETVIKTNFYGPKMLIEALLPLFRCSSSSITRILNVSSRLGSLNKMRNAEMRAMLEREDLMEEEMDGMVNMFLKDVKNGTWESKGWPSYWTDYAVSKLALNAYSMVLAKRYSYNGSGLSVNCFCPGFTQTTMTNGKGTHTADHAASFVASLALLPPHQLPTGKFFSIGKKTKPLLHTSSKL >OIW09647 pep chromosome:LupAngTanjil_v1.0:LG06:21665818:21666366:1 gene:TanjilG_28246 transcript:OIW09647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTISLSSNSSSFIILTKPSLSSSKHNQIFLHQHPFSLRFNPISCTSNTNTNTNTKDNDNDNDATNSVTAPLLPGDVEVRFRRRSRRKSRQERENGSVSSSVKPLKEKAAPKKWDDMSLSEKAIELYVGEKGALFWLNKFAYASIFIMIGAWIVFRFVGPALNLYQLDAPPLSPTDVLKGSS >OIW10700 pep chromosome:LupAngTanjil_v1.0:LG06:3885302:3887513:1 gene:TanjilG_16072 transcript:OIW10700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGIFSRFSVGRNIHRRTQSALDEREVIPQNPEAVVAATGVTATSHGIEVAIEFKPVEHPIEPLDNDRPIQCPLPEPSILNDGRIWKERVSATVRRRGDLPVVKEEGSFESEGAVTRPRTSQPNRMILPSVSAPEHNILKLLEECNASGF >OIW10738 pep chromosome:LupAngTanjil_v1.0:LG06:3599067:3600536:-1 gene:TanjilG_27684 transcript:OIW10738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFNNKSKKYSSPSHIKLYITLFFIVLFTLPALFLLHTPTTNSICTNLSNKPWSGDLRLAEFAWNRLSFFEDRPSPISLKIAVFSRKWPIGTTPGGMERHAHTLHTALARRGHQVHVFTSPPQDESSSALASETSNHQAGAPSSPYIHWHEGEAGKWRYNKAWEQFQEENQRERFDVVHSESVALPHGLARKLPNLAVSWHGIALESLQSSIFQDLARGPDEPLSPDFNKSLHGVIPKVLNEIRFFRNYKHHVAISDSCGEMLRDVYQIPSKRVHVIINGVDEDDFREDVKLGKEFRTKIGIPSNASLVLGVAGRLVKDKGHPLLHEAFSRLITKHPNVYLIVAGSGPWENRYKDLGSQVLVLGSMNPSMLRAFYNAIDIFVNPTLRPQGLDLTLMEAMMSGKPLLASRFPSIKGSIVVNDEFGFMFSPNVQSLLEALEVVVNEGQERLASRGQACREYANSMFTARKMALAYERLFLCIKKDTFCNYP >OIW09879 pep chromosome:LupAngTanjil_v1.0:LG06:12913398:12916503:1 gene:TanjilG_24534 transcript:OIW09879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLVLPSKSLAFSRKSLLGLISNAPAIFRKKCCSLYSSLPVPRVKQVSRRLICMVATEDLPKQVEESKMAAPKEIFLKDYKRPDYYFDTVHLKFSLGEEKTIVTSKITVFPHTEGSSPPLVLDGQDLSLVSIQINGKALKEEDYHLDARHLTIQSPPSGKYDLEIITEIQPQNNTSLEGLYKSSGNFCTQCEAEGFRKITFFQDRPDIMAKYTVHIEADKSLYPVLLSNGNLVEQGDLQDGKHYAVWEDPFKKPSYLFALVAGQLQSRDDTFVTRSGRKVSLRIWTPADDLPKTAHAMYSLKAAMKWDEDIFGLEYDLDLFNIVAVPDFNMGAMENKSLNIFNSKLVLASPETATDADYAAILGVIGHEYFHNWTGNR >OIW08962 pep chromosome:LupAngTanjil_v1.0:LG06:32175775:32194590:-1 gene:TanjilG_05938 transcript:OIW08962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLNRVNSISIDLNNSTENTDRGKKCQHFSLRGYVSNMRNKDWKKCWPFHVLESEKRPSFPPMDVPKFRWWTCDICQQAAAAEGNDNKDDDQTDFSNYGDAAPSSGIQQAPMPESVVVRRDIDLNIPIDLSSGNDFLPIDPEIGLENNLNLQVSSIPSPEVCPDTAQETHKRKRVHEGDEVSDVEPVASNVNIEHPMRPLHPESVACTDAVPTGNTGNIVEDNIQDHCPLKSIRKKRRKMRLITDLLSVNQEPRSEPIAEHGSTSHPPSDVHPPSNIILMPDKVNVHEGMTLRKKGHSRKRKFLPDDESKKPADMCFQRIENDVQNPDGDDRTVDTVPDDSSEDVLVGMSLQDGMKDHQQKPELQISRTMGKKDVVEKEDASTEKGMDSFVLQASRIENECNFSKGKGKMLHANEELDSLSSWRNRKLVENTFAHTRATFLPNMHASVPIPSAQGAPNGEGLKEGLNLSLNCYSSAEACNIRGICQTNNGLSFSLPEGSSNPQLIREESEPDIFGAPSHITKAISGKGKGVHLKEIDGARNKAKSVQFYDLTVEEPEEEACDDMPMEVVELMARNQYIRSLPDVENRSSLLDNSTQMANHRVDERTNGADIREGNMLPAKRNSANFFYPYGGNQFGLNSLCKTQPHFGVQVLQSKNKPPTGLYFSPMDTRKFGPHGTSQFNRIFAERGSSDAALQARGGSNMWNSIKQQECEASRPWPTLTRCNASLGFDVAPRNIVSQPTSSSNIDTTFLQSGSVHTIPAMNLHNLMGAGRQSTSFNAGVRAQMLQRSFYPSSYSNNLKIGSSISDRNSIMSGKGESSKSGMQSGVSKQFSQPNIERELKELVSRMNAHSTHVTSGPSSTINENLCMINRNPADFTVSEERNAYMINSEDLHFEKSVPEERYGLPAHGCKLKRNQKGKMKEHEKD >OIW09567 pep chromosome:LupAngTanjil_v1.0:LG06:22765230:22772710:-1 gene:TanjilG_28166 transcript:OIW09567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWVTLTYLVIDYFFLTYLILLNPQALEGYAEVREPNFRVGGSYDESRFIDERYPRDGTYQRNNFHRDILDGESYLPPGSAAGHWSQSKRRGYDEDYPLDKESRRFQRPYHESYNQVNAFRDREIETYPPYDKFRDGYGSIDNYGDQAYDKPDRFAGPDRNDYEYDDHGYKSRLSHHRREDSHERDYNHGRHSYDSDYEGGSRRDNNRRRHESRDRERGSRDISRERDLSPRRRHERSRSRSRSQSNSRSRSLSRSHSQSRGYDYEDHPRSKSPRGRSHSRSYREDSYVDSRYDRSERRRDREDKHQREHYSVAPSPTVVVKGLSQKTTEEDLYQILAESGPLRHVRIIRERNSGISRGFAFIDFPSVGAAQGMMEKLGDDGLVVDGRKLFFEYSSKPTGGPGPDGAIKSGHNHKMITVPSDWMCTICGYINFARRSSCYQCNEPRTEDAPAADISSSNSTFLGRKGLEAGPTHVLVVRGLDENADEEMLRYEFSKHAPIKDLRLVRDKFTHVSRGFAFVHFHSVEDATKALGATNGTTLEKNGQILRVAYAKSILGPGSGASSQSSSLAAAAIEAATFAQQYDTVGWAPKEYNPDDKQFAGPEQTGTEVGAPQSGFVWDEASGYYYDAASGFYYDGNTGLYYDGNNGIWYSYDNQTQQYMPCTDQNQNKTDNNLSEPSKASDGSSSKKVVISAPVITVASVEKPASLADAVQAAAAAAFAAEKKEKEKLKEIKLASKSSILANKKKMNNVLTMWKQRSHEGQTTRVALEDNQLSISADDKHSSGHSVKNKLKSETLARESNASTMGVHRSVNQAIALDPQPQPRPVSNSLGGSVMGVIRGSGRGVVKSDTSYSGSAAATSSMSSSFSANVDAQTISTPFKTDVSALSSHTPSVASVSGRRRFSEMPYSASNNKEQFQTTYRDRAAERRSLYGSSSSVGNDLADLEIGDSNREFASRKGDPMPFPPGVGGGRVVGDVNLDTYEVITADKAIDESNVGNRMLRNMGWQEGLGLGKDGSGMVEPVQALGMENRAGLGSQHKNLDPSLEVQAGDSYKMLIHKKALARFRDM >OIW11010 pep chromosome:LupAngTanjil_v1.0:LG06:1290702:1296034:-1 gene:TanjilG_22817 transcript:OIW11010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPMVVPNLSPPNTYNDVVNGGTFDRLHDGHRLFLTASAELARHRIVIGVCDGPMLAKKQFAELIQPIEERIRNVETFIKSIKPELEVLAVPITDPYGPSIIDENLEAVVVSKETLPGGLAVNRRRAERGLLQLKIEVVDLLCVESGEDQSLRALTLPKQLQSYERKGIAAGVNLYQQPLQHLHQQVLHLPASTFILIQPAPRGNLLAPYEVFLDMAILVETQGEKVDNIKDNVENAGNYIMVEPIVCTMPIR >OIW10310 pep chromosome:LupAngTanjil_v1.0:LG06:8627671:8630172:-1 gene:TanjilG_28061 transcript:OIW10310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASGIIEQATEVCSSNGICPKLDVYVWDMDETLILLNSLLKSSYAEAFNGRKDVQKGIEIGRAWENLILQICDDYFFYEKIENYNQPFLDAVVQYDDGRDLSGYDFSQDELGPPHDDANKRKLAYRHRVIAQKYIQGLHSILDHETIKLWDDLYDKTDAYTDRWLSSARAFVMECCGENKDAVSSIASANTSTDITNAKYQHVNVLVTSGSLIPSLVKCLLFRLDKLITHGNVYSSWDVGKHQCFRWIKERFNHPNVRFCVIGDGWEECQAAEIMKWPFVKIDPRPDTAHRFPGLTRSTVRHYFSVVYGSQNNEDDEE >OIW10116 pep chromosome:LupAngTanjil_v1.0:LG06:9702426:9706927:1 gene:TanjilG_21953 transcript:OIW10116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHELAETYACMPTTERGRGILISGDSKSNSILYTNSRSVVIMNLQNPLQVSVYGDHAYPVTVARFSPNGEWIASADVSGTVRIWGTRNDFVLKKEFRVLSGRIDDLQWSPDGLRIVACGDGKGKSLVRAFMWDSGTNVGEFDGHSRRVLSCAFKPTRPFRIVTCGEDFLVNFYEGPPFRFKLSHRDHSNFVNCVRYSPDGSKFISVSSDKKGIIFDGKTAEKIGELSSEGAHTGSIYAVSWSPDGKQILTVSADKSAKVWDISEDNNGKVKKTLTSPASGGVEDMLVGCLWLNDYLVTVSLGGTISIFLASDLDKAPTTFSGHMKNVSSLTILRSNPRVLLSSSYDGLIVKWIQGIGYSGKLQRKDNSQIKCLTAAEEEIVTSGFDNKIWRVSLHGDQCGDAEAIDIGNQPKDLSVSLLSPELAIVSIDSGVVVLRGTKVVSTINLGFTVSASAVSPDGSEAIIGGQDGKLHIYSISGDTFVDEAVLEKHRGAITAIHYSPDVSMFASGDANREVIVWDRASREVKLKNMLYHTARVNSLAWSPDSRRISTGSLDTCVIIYEIDQPVSSRITIKGAHLGGVYGLTFTDEYTLVSSGEDAFIRVWKITPP >OIW08950 pep chromosome:LupAngTanjil_v1.0:LG06:32286252:32288514:-1 gene:TanjilG_05926 transcript:OIW08950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISFTHHHHKSCHHDPSSPPPREALPLLNLSPRKGEDLETSFSSMEVENNNKESIISLDHEDASVTVALHLGLPSTTSTTSVDLASNILSTTEISSEKDNEKVTVASTEYPSSRINRGQYWIPTPSQILIGPTQFSCPLCFKTFNRYNNMQMHMWGHGSQYRKGPESLRGTQPTAMLRLPCFCCAPGCKNNIDHPRAKPLKDFRTLQTHYKRKHGIKPFMCRKCCKAFAVRGDWRTHEKNCGKLWYCSCGSDFKHKRSLKDHIKAFGNGHKAYGIDCLDQDDEGGSEIEHESESFHRS >OIW10669 pep chromosome:LupAngTanjil_v1.0:LG06:4139566:4140465:1 gene:TanjilG_16041 transcript:OIW10669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSAKPDDSESSPSSSYSSPPTIIAFALALVVVCFAGFSIVYFCRCYLLSNFNTWVFQRSTSLGGSIVNNVTSLSRGLDPLVLQTFPTFPYSTVKDLRKEKYSLECAICLLEFEDDSMLRFLTICSHVFHQECIDLWLCSNKTCPVCRKDLDSAIGEARNSHERAEDNVNVEQERRGEVSIDVKESEDHRRHSGGNNEESIPMSTSMQTHGEHMFLRSHSTGHSIVMIRGEDEGNNNDKYTLKLPEHVAVLNIVGGGRHNHSKSCTSYKDVIRPVAPCSNCGYVETVSGCSSIRANENV >OIW09866 pep chromosome:LupAngTanjil_v1.0:LG06:13150809:13154139:1 gene:TanjilG_20492 transcript:OIW09866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHATTTTSSSSLSLSSLSNNRTFSLQPITRHVSTLPIPSSSSNYNRVLVQHHSSKASSFVVASESKSDKNLNFRLKGLSNSNPDLLAIPGVGPRNFRKLVQKGIAGVAELKQLYKDKFCGKSSDKMVEYLQSSVGIIHKNHAESITTFIKKSVDEELEDNSSSVQPQQKKRLTFCVEGNISVGKSTFLQRIANETIELRDLVEVVPEPVGKWQDVGPDHFNILDAFYAEPQRYAYTFQNYVFVTRLMQERESSGGIKPLRLMERSVFSDRMVFVRAVHEAKWMNEMEISIYDSWFDPVVSSLPGLIPDAFIYLRASPDTCHMRMKLRKRVEEGGVSLDYLRDLHEKHESWLFPSQSGNNGILSVNKLPQHVDNSLHPDIRDRVFYLEGDHMHSSIQKVPALVLDCEPNIDFSKDVEAKREYARQVAEFFEFVKKKNEISSRAVEDGKNIQTQPPVLLPREGCLWLPDGKPFPQSALKPLDFRRPISFMSG >OIW09580 pep chromosome:LupAngTanjil_v1.0:LG06:22616258:22617205:1 gene:TanjilG_28179 transcript:OIW09580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARYAPFGSIIFFFLLLLVANGPTMVVEARKCESQSHRFKGPCVSKTNCGSVCHNEGFTGGHCRGFRRRCFCTKHC >OIW10641 pep chromosome:LupAngTanjil_v1.0:LG06:4505621:4505872:1 gene:TanjilG_16013 transcript:OIW10641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSTGERSFADIITSIRYWIIHSITIPSLFIAGWLFVSTGLAYDVFGSPRPNEYFTESRQGIPLITGRFDPLEQLDEFSRSF >OIW09545 pep chromosome:LupAngTanjil_v1.0:LG06:23382670:23386732:-1 gene:TanjilG_30864 transcript:OIW09545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLRQLSISPFFFLFLLISPLTTTADDFSGNLNRNKHKINGPIKTIVVIIMENRSFDHVLGWLKSTRPEIDGLTGTESNPTSVKNPSSPSVFVSNDAVFIDSDPGHSFQAIREQIFGSNESSANPAPMNGFAQQAESMIPGFSKTVMSGFKPELLPVYTELANEFGVFDKWFASVPASTQPNRFYVHSATSHGAMSNVRKDLIHGFPQKTIFDSLNENGLSFGIYYQNIPATLFFRSLRKIKNVVKFHSYGLKFKNHARRGKLPNYVVVEQRYFDVKISPANDDHPSHDVAAGQRFVKEVYEVLRKSPQWKEMAILITYDEHGGFYDHVPTPVEGVPNPDGIIGRYPYYFHFDRLGVRVPTFLISPWIDKGTVIHGPDGPTPYSQYEHSSIPATVKKLFNLKSNFLTKRDAWAGTFEKYFSIRDTPRDDCPETLPEVNTDLRPWGPREDTSLSEFQMELMQLASQLNGDYVLNSYPNIGRSMTVGEANKYAEDAVKRFIEAGKAALKAGANESVVVTMRPSLTSRVPVGDSLKHLESY >OIW10555 pep chromosome:LupAngTanjil_v1.0:LG06:5251030:5262977:1 gene:TanjilG_15927 transcript:OIW10555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSSIMNTFSSGTRLRDMIRAIRACKTAAEERAVVRKECAAIRASINENDQDYMHRNLAKLMFIHMLGYPTHFGQMECLKLIASPGFPEKRIGYLGLMLLLDERQEVLMLVTNSLKQDLNHINQYIVGLALCALGNICSAEMARDLAPEVERLLQFRDPNIRKKAALCSIRIIKKVPDLAENFINPAIALLREKHHGVLITGVQLCTDLCKISTEALELVRKKCTDGLVRTLRDLANSPYSPEYDIAGITDPFLHIRLLKLLRVLGEGDADASDSMNDILAQVATKTESNKVAGNAILYECVQTIMSIEDNGGLRVLAINILGRFLSNRDNNIRYVALNMLMKAVTFDAQAVQRHRATILECVKDSDASIRKRALELVYVLVNETNVKPLAKELIDYLKVSDHDFRGDLTAKICSIVAKFSPEKIWYIDQMLKVISEAGNFVKDEVWHALIVVISNASELHGYTVRALYRAFQTSAEQEILVRVTVWCIGEYGDMLVNNVGMLDIEDSITVTESDAVDVVEIAIKRHASDLTTKAMALVALLKLSSRFLSCTERIREIIVPFKGNFVLELQQRSIEFNSIVARHQNIRSTLVERMPVLDEATFVGRRAGSLPGAASTTTATSVSLPNGVAKPVAPLVDLLDLSSDDAPAPSSSGGDLLHDLLGVDLSLPSQQSGAGQSSNSGTDVLLDLLSIGTPSAPSSSSTVNILSSNASIEAPVSPLDDLSSLSISSRTTSNAGAAPVMDLLDGFSLSPPTENNGPVHPSITAFESSHVRLTFDFSKEPGNPQTTIVQATFINLSSETYTDFVFQAAVPKFLQLHLDPASSNTLPAAGNGSITQNLKVTNSQHGKKSLIMRVRIAYKINGKDSLEEGQISNFPRGL >OIW10716 pep chromosome:LupAngTanjil_v1.0:LG06:3780331:3781404:1 gene:TanjilG_16088 transcript:OIW10716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSSSSLLRLDHIAGKGKGLVAAQSLKAGQVILRESPLIIYSSSPLISTSSPSSLFPYCDHCFRTLPTNTIPCPSCSYHHFCSHKCFSTAFNTFHSSLVCHALSHLKDSESLQQQPYERQVQARFVVAAYNLAIISPSGIHAFLSLHGTPDDTIVEAAKFLHSLISPLFPPSVNISVDLTAQLLAKDRINSFCLMNPYSPDGPQRSIKAYAIYPKASMFNHDCIPNACRFDYVDSADLDDEHNNTDMVIRMIQDLPEGREVCISYFRISRDYCTRKRILMDDYGFSCECDRCKIEANWPHDCQNYVEEYSDLPHVRFIAKYVCDRKNCNGTLAPKDDAHTNVLECNFCGNLKSDTA >OIW10726 pep chromosome:LupAngTanjil_v1.0:LG06:3701549:3708051:-1 gene:TanjilG_27672 transcript:OIW10726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMEGRGFSGLYNNTSEDLFLKSVMDNPIGMPVPTMEMFGLKAVSQGFRADSEELFKRWLTNGEARCYFITLDHVTLFVVSVMICAKYKGYNSPIIGINSRLSKRLSTELINVSNQQNVGVVSEGRNNDKSYIQNNPSTNDVSGDFSFPIRDPVDKEQQSGNLFLAKAWFLSDQRMTRSRSSELRRRYTDMQNAQAMQDTMESMCMAPQHAADNIRQELANLNGFDYLAMCELTSQKGTFITSSNSSSSAFNTNQLRDADKVSSCVSMLKGTLQRKRLSNQVEKEVAEDSLNELFGFQEPLLHRSFNEGQENWSVQKPMNVQAASTCQVQDPGVLQTLGSMNFTMDGFANQTNQIYVGTASQEPSQSESSAAAPVVSSGLDACEGPISSNQNLCESSWKQVGVSISSEHAQNRVKGLREQIIDNLKEDRKRSLDRYGSITSAVTEGKVDTTKKRRVERSRKMAEAKERNLTPSIPSDMQAVLKRCENLEKEVRSLKLNLSFMNRMAEAKERNLTPSIPSDMQAVLKRCENLEKEVRSLKLNLSFMNR >OIW09540 pep chromosome:LupAngTanjil_v1.0:LG06:23208797:23211226:1 gene:TanjilG_30859 transcript:OIW09540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKTTPFLLCAILLIGTLALVQAKKSKEDLKEVTNKVYFDVEINGKSAGRIVIGLYGKTVPKTAENFRALCTGEKGTGKSGKPLHYKGSSFHRIIPSFMLQGGDFTHGNGMGGESIYGEKFPDENFKLKHTGPGLLSMANAGSDTNGSQFFITTVTTSWLDGRHVVFGKVLSGMDVVYKIEAEGNQSGTPKSKVVIVDSGELPL >OIW10085 pep chromosome:LupAngTanjil_v1.0:LG06:9995984:10001251:-1 gene:TanjilG_21922 transcript:OIW10085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFNGYPYHGTSFEQTYRCYPASFIEKPQLESGDKIIMPPSALDRLASLHIDYPMLFELRNGASERVSHCGVLEFIAEEGMIYMPYWMMENMLLQEGDIVRVKNVTLPKGTYVKLQPHTMDFLDISNPKAILETTLRNFSCLTTGDSIMVAYNNKKYYIDIIESKPANAISIIETDCEVDFAPPLDYKEPQKAVPISGGKKAQEAVETPAEPEPKFNPFTGTGRRLDGKPLSYQPPPVSNSGSKDKKPDAQNVNSQSSAASSSQSNAQQSQGKLVFGSNANRTKETGKAKESKPEPPKEEKEEPKFQPFTGKKYSLRG >OIW10727 pep chromosome:LupAngTanjil_v1.0:LG06:3691808:3693204:-1 gene:TanjilG_27673 transcript:OIW10727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFFFKAMNGDGSECSFDIKDIQRCPFLRNINEPTNFSFSSTNVSIPVNGAKGPIFEDGPNFSMAFKLFHGKDGVVPLLERSDFHKGGPEVNSLPAFNPLGGKAATISLSAFGLGGPFSFGNFSENWKKPKAESSNKNDNSSQKGDSSKHEALGNGWLKNGNCPIAKSYRAVSHVLPLVATAFRPPPGLKLKCPPAVVAARAALARTAFVKNLRPQPLPAKMLAIAALGMAVNVPLGMWKEHTKKFSLSWFAAVHAAVPFIAMLRKSVVMPKSAMVLTIAASILGQVIGSRAERIRLKALTEMGKVTASTMAGYNPVQLGNIGAGHCSAEGMVVNSVPVKDAGSTSSANVCY >OIW11154 pep chromosome:LupAngTanjil_v1.0:LG06:273469:273639:-1 gene:TanjilG_22961 transcript:OIW11154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQVPPLSLRSTLHLRGAAWRWEQGHHHSVEMQMQMQQQQHLQQTPTLSYSFKYLL >OIW08130 pep chromosome:LupAngTanjil_v1.0:LG07:6096143:6099031:-1 gene:TanjilG_06673 transcript:OIW08130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAKDSVNNISGGSQNPAYDKVDSAEKPSNVKASVAENPVNDKDVVENPVKNNIDSVENFVDKKVDCLPIEAEEGLKSLAGKWENVLDVNALQIIPLKGAMTNEVFQIKWQTIAGESSRKVLARIYGKGTDIFFDRDVEFRTFECISKNGQGPRLLGRFANGRIEEFINARTLSASDLRDPSISALIAAKMKEFHDLDMPGPKSVYLWDRLRNWLSEAKRLSSPEEVETFHLDTMDKEISILEKELSGTHLKIGFCHNDLQYGNIMLQEKTNSVTIIDYEYASYNPVAYDIANHFSEMAANYHTETPHILDFSKYPDLKERRRFVSTYLSSSGKQPSESEVEQLLNDVEKYTLATHLLWGVWGIISEHVNKIDFDYKEYAKQRFQEYWSRKPYLLKSDDGSSKHNVTHGNAT >OIW08245 pep chromosome:LupAngTanjil_v1.0:LG07:4674481:4676851:-1 gene:TanjilG_15206 transcript:OIW08245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGKEILHKMKEKVLGSSDPDSGKGKSKIDKHITHGFHLVKGKSRHPMEDYVVAQFKQVDNHELGLFAIFDGHSGHNVPDYLQSHLFDNILKEPDFWTEPVNAVKRAYTMTDSTILEKSGELGKGGSTAVTAILVNCQLLIVANIGDSRAVICQNGVAKPLSVDHEPTTEYEDIKNRGGFVSNFPGDVPRVDGQLAVSRAFGDKSLKKHLSSEPFVTLEPIDDDAEFVILASDGLWKVMSNQEAVDAIREIKDARSAAKHLTEEALNRESSDDISCVVVRFH >OIW07822 pep chromosome:LupAngTanjil_v1.0:LG07:10010504:10015426:1 gene:TanjilG_32678 transcript:OIW07822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDGFWNQRHHQPPQQPPPLLPHSAMLKRPRSDYGTESPTIMPPPYGSSPSLTNPLSSATKSSGTKYTTTLSLTGNEMHNYIPQDGDRTGQRMLKDTQTTGPAYDFYLQSGQLSSYTSGEASTITGLGLGRGAGGFPGHSFADPSVMGRHGGGGPDLIPNGRGVNYGGPLPVDAVSRPRPETIPLPPDASSTLYVEGLPSDCTKREVAHIFRPFVGYREVRLVSKESKHRGGDPLILCFVDFENPPCAATAMSALQGYKVDEFHPESSHLRLQFSRYPGPRSGGGPRSGGGPRSGSRPRSRGKR >OIW07748 pep chromosome:LupAngTanjil_v1.0:LG07:12396398:12397135:-1 gene:TanjilG_11906 transcript:OIW07748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKRQRTIDPGSSFYGSPGSSFMYNSSPYGYVNQPPPPPPFPVVRLRGLPFDCTEIDVAEFFHGLDIVDVLFVHKGGKFSGEAFCVLGYILQVDYALQRNRHNIGRRYVEVFRSKRQEYYKAIANEVSDARGGSPRQSASRAKLYHEGKDSAEHTGVLRLRGLPFSASKDDIMDFFKDFVLPEDSIHIIMNLECRPSGEAYVEFENAEESKAAMAKDRMTLGSRYIELFPSSHSEMEDAISRGQ >OIW08379 pep chromosome:LupAngTanjil_v1.0:LG07:3486212:3486792:-1 gene:TanjilG_03055 transcript:OIW08379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAVSPQGEAVEELDSTLLKNDDPLVADLYPFEASRTITQTQPLQEHEGGTIQTSEFDHVLKNLSFSSSQVKSVLPSMPQGVPAFVVLPLYLVLVTNLTVVFAKLLSTKNIRRYAISSGGDQGDAQLVRALEFGLVLQNVVDAFFMDCSVYAIVVVCGLSLVQR >OIW08849 pep chromosome:LupAngTanjil_v1.0:LG07:537351:540365:1 gene:TanjilG_16430 transcript:OIW08849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRVKVSLSVLLLALVWSLVNPIHTCSDFNIIEATIQDIQASFTRNDLSSKQLVDFYLHQIHSLNPTLRAVLEINPHARDQADEADNERRQLQSLGMLHGIPVLLKDSIGTKDKMNTTAGSYALVGSKVARDAHVVKKLRDAGAVILGKASLTEWYGSRSSLMPDAWCARGGFAVNPYVEWGNPCGSSYGSAISVATNMVAVSLGTETDGSIICPADHNSVVGIKPTVGLTSRAGVIPISPRQDTIGPICRTVADAVHVLDVIVGVDPRDYEATASAAKFIPPGGYKQFLNTEGLKGKKVGVVRKPFLNPYNKSIAISIFDHHLNVLRERGATVLDNLEIENISTILDPFQSGEAIVLLAEFKLSINNYLQELLFSPVRSLAEIIEFNFKYPDLEMTNEYGQDYLIASEMTSGLGHEENEAVEMMEQISQNGFEKLMKENQLDALVTLGSDVSMMLAIGGYPAITVPAGYDSQGMPFGICFGGLKGTEPKLIEIAYDFEQATRARKPPTFYLPEEI >OIW08716 pep chromosome:LupAngTanjil_v1.0:LG07:917027:917731:1 gene:TanjilG_03392 transcript:OIW08716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEAANIKKLPEYSYSTFDLRAKTVIEDSQVVPLISLEEVKNRLQLYQVWV >OIW08482 pep chromosome:LupAngTanjil_v1.0:LG07:2582941:2590287:-1 gene:TanjilG_03158 transcript:OIW08482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMAPRKPRPHRDMLMDSVFAIVFVLVACVELCDAATVVDVYRLIQYDMSGVPFGSRLASLNHHAASLHFSPNSDLSRSVLLIPLRELNISFVKEYISESKPLGGLIFLLPQMFSFEKKGGVGSNHQDESKELLKNVLAELEQILIHANIPYPVYFAFEDDTIDAVLTDIKKNDASGQPATATTGGYKFVVSAPEPKKVVSPPISNIQGWLAGLKTDDSAQQLPTIAIVASYDTFGAAPALSVGSDSNGSGAVALLEVARLFSLLYSNPKTRGQYNLLFGLTSGGPYNYNGTRKWLRSLDQRLRESIDYAICLNSIGSWENDLWVHVSKPPENAYVKQIMEDFSGVAEELGFKVNLKHKKINISNPRVAWEHEQFSRLRVTAATLSELPTAPELLESTGGLVDSRHFVNEKAVIRSVKLIAESLARHIYGHQGKNIQIFADNSSLAVNPSYVRSWLDLFSQTSRVAPFFSKDDPFVASLKKELEEHTDEVNVHRDVLDGVFTFYDSTRAKLNIYQVASVTFDLLLLLVLGSYLIVLFSFLVITTRGLDDLISIFRRPPSRKVKTA >OIW08132 pep chromosome:LupAngTanjil_v1.0:LG07:6116590:6125391:1 gene:TanjilG_06675 transcript:OIW08132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSGTCLLPLLSFFFFFFFVALFSFRGSLGNCSEFCDEPMVGGIVNSEGFQNSLEIQDLGHFAVNEHNKKQNALLEFTRVVKAQEQLVAGTLHYLTIEAIDAGQKKIYDAKVWVKPWLNFKELTEFEPAAAASSFTSADLGVKKDVHKPGWQSVPTQDPQVQDAADYALKSIQQRSNSLVPYELHEIVDANAEVIDDSAKFNLLLKVKRGDKEEKFKVEVHKNNEGGFNLNHLEQDHS >OIW08033 pep chromosome:LupAngTanjil_v1.0:LG07:9254284:9264332:-1 gene:TanjilG_20134 transcript:OIW08033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTSVQVTPLCGVYNENPLSYLVSIDGFNFLIDCGWNDHFDPSLLQPLSKVAPTIDAVLLSHPDTLHLGALPYAMKQLGLSAPVYSTEPVYRLGLLTMYDQFLSRKQVSEFDLFTLDDIDSAFQSVTRLTYSQNHHLTGKGEGIVIAPHVAGHLLGGTIWKITKDGEDVIYAVDFNHRKERHLNGTVLGSFVRPAVLITDAYNSLNNQPYRRQKDKEFGDILKKTLRAGGNVLLPVDTAGRVLELILMLESYWADENLVHPIYFVTYVAPSTIDYVKSFLEWMSDSIAKSFEQTRENIFLLKYVTLLTNKTELDNAPDGPKVVIASMASLEAGFSHDIFVEWANDVKNLVLFTERGQFATLARMLQADPPPKAVKVTVSKRVPLVGEELIAYEEEQNRKKKEEALKASLIKEEELKASHGADNNTSDPMVVDTGNNHVSPEVAGPRNGGYRDIFIDGFVPPSSSVAPMFPCYENTSEWDDFGEVINPDDYVIKDEDMDQATMHVGDLNGKLDEGTASLILDTKPSKVVSDERTVQVRCSLVYMDFEGRSDGRSIKNILSHVAPLKLVLVHGSAEATEHLKQHCLKNVCPHVYAPQIEETIDVTSDLCAYKVQLSEKLMSNVLLKKARDYEVAWVDAEVGKTENDMLSLLPVSAAPPPHKSVLVGDLKLADFKQFLSSKGVQVEFAGGALRCGEYVTLRKVGDASQKGGGSGTQQIVIEGPLCEDYYKIRDYLYSQFYLL >OIW07614 pep chromosome:LupAngTanjil_v1.0:LG07:17035933:17039209:-1 gene:TanjilG_16595 transcript:OIW07614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEICTIILLHQKVTHLRTQWFYGLMVDQVALALMASYMSMNKTDYDTGDFRTASDAHVFLLEWFKLYPEFLSNPLFISGESFAGIYVPTLAHEIAKGIDTGVKPKLNFKGYLIGNAVTDEIFDGNALVPFTHGMGLISDQIFEAVTTQCKGKFYGEHKDNIGCKNLIGRVNQAIAKLNIYDILEPCYHSSEKLGNATNIPHSFRKLGETKKPLPVRKRMFGRAWPLGAPVKDGIVPSWPQLSTRIQVPCTDDEVATEWLNNDAVRKAIHTVEKSVVSEWDLCTNAITYDHDSGSMIKFHRDLTSRGYRALIFSGDHDMCVPYTGSQAWTRAMGYNIVDEWRPWFVDDQVAGYFYYSFILMPYC >OIW08815 pep chromosome:LupAngTanjil_v1.0:LG07:321025:322841:-1 gene:TanjilG_16396 transcript:OIW08815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLLCFFIFFFASSATATACDTCVHQTKATHFSKASALSSGACGYGSLALGLSNGQLAAAVPSLFKDGAGCGACFQIRCKNPSLCTKAGTRVVVSDLNHNNQTDFVLSSRAFTAMAQKGKGQQILKLGIVDIEYKRIPCDYKNQKLAVRVEESSQKPNYLAIQFLYQGGQTEIVAVDVARVGSSNWSFLSRKHGVVWDTNRVPEGPLQFRMVVTAGYDGKWIWAQKVLPADWKTGVVYDSGVQITDIAQEGCSPCHEQNWS >OIW08295 pep chromosome:LupAngTanjil_v1.0:LG07:4185205:4187038:1 gene:TanjilG_02971 transcript:OIW08295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTVWFSLKKSLQCKSEPSDVHDPKSRKHLSTILTKRGGRSGCSRSIANLKDVIHGSKRHIEKPPDCSPRSIGSSEFLNPITHEVILSNSRCELKITGYGGFQEGVSNGDGSNSNNANGSSSGSTFVGTLRPGTPGPGGHPTMHYFNPSFRTSSTPPRKSPFLLSEGSGFHHSAGLHSSNRMSLETDSNGSSTVTCHKCGEQFNKWEAAEAHHLSKHAVTELVEGDSSRKIVEIICRTSWLKSENHCGRIERVLKVHNMQKTLARFEEYREMVKIKASKLQKKHPRCLADGNELLRFYGTTVACSLGHNGSSSLCLSEKCCVCRIIRNGFSAKKELRDGIGVFTTSTSGRAFESIEIIDDDPSLRKALIVCRVIAGRVHRPLENIQEMAAQTGFDSLAGKVGLYSNIEELYLLNPRALLPCFVVICKP >OIW07806 pep chromosome:LupAngTanjil_v1.0:LG07:10842385:10842807:1 gene:TanjilG_31998 transcript:OIW07806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVVTHSSLRNFCNFVAFVSQIEPKTIDEVIEDVHWGIAMQEELNQFERNNVWNLVPKPKDHPVIGTRWVFRNKLDESGLIIRNKAHLVAKSYNQEEGIDYDETHTPVARLEAIRMFLSFASIMNFKLFQMDVKSAFLKG >OIW08697 pep chromosome:LupAngTanjil_v1.0:LG07:1044530:1045760:1 gene:TanjilG_03373 transcript:OIW08697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREGEMEEEGIGLVLARATELRLKISNCIHTATTPDNDEDYDDATERLLNICDALEALETQLSSLQVLQQQQRYEREIALTEIENSRKMLIDKLKEYKGKELEVILEASTFASETVEPNKDLLLPPYPSRPPYSMSLDKEYHSHIPSVNKSGRNGLITHDTMIEAKKSAVEKEQNHVDDEVKNPRKGLGLFITRAAKTVFTIVGVVSILSLSGFGANLGKFGFRFNVQGLHHRTENEKERPATENESGRPITQCPPGRVLVLENGEARCLVKERVEIPFSVVATIPDINYGCG >OIW07760 pep chromosome:LupAngTanjil_v1.0:LG07:11992262:12000306:1 gene:TanjilG_10679 transcript:OIW07760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAIRKQLDVLMGANRNGDVREVNRKYYDRDVCRLYLVGLCPHELFQLTKMDMGACPKVHSLQLRKEYVEAKAKGVDNYDRELEDVIDRLIAECERKIGRALKRLEDDDAKAAIAISVTEVTQTPEVLELSKEIKEKLKEADQYDLEGNTDLKIRAMEIVEELRTKRADNQATLLLDAFNKDRASLPQPLPNPPPLAPFPVVPRDPRTQEMINEKFKKAEELGEQGMVDEAQKALEEAEALKKLPPRQEPPLDPSKYTAADVRITDQKLRVCDICGAFLSVYDSDRRLADHFGGKLHLGYMQIREKLAELQEERNKSRKIDWQDDKKSKELSRDRDRESSKDREGGETRERGRDYDHRSRDRDRHNGRDRGYDRDRDRDSDRSRHYDSRSRRRSRSRSRERSRDYDRHSFHHMSNSSWDWFQISNQYSLMPGVMIDTSDCCGSYGYDKIFDAGG >OIW08459 pep chromosome:LupAngTanjil_v1.0:LG07:2772003:2783005:-1 gene:TanjilG_03135 transcript:OIW08459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVDSSMTPDSDHDPAVLNQADSEDRESQGESPSGGGSPATPQLQQQPPVLQQQTGPGAQVQQNPVAGPRLAPTYSVVDVILEKKEDGPGPRCGHTLTAVAAVGEEGTPGYIGPRLILFGGATALEGNSAASGTPSSAGNAGIRLAGATADVHCYDVLSNKWSRITPFGEPPTPRAAHVATAVGTMVVIQGGIGPAGLSAEDLHVLDLTQQRPRWHRVGVPGPGPGPRYGHVMALVGQRYLMAIGGNDGKRPLADVWALDTAAKPYEWRKLEPEGEGPPPCMYATASARSDGLLLLCGGRDANSVPLASAYGLAKHRDGRWEWAIAPGVSPSSRYQHAAVFVNARLHVSGGALGGGRMVEDSSSIAVLDTAAGVWCDTKSVVTSPRTGRYSADAPGGDAAVELTRRCRHAAAAVGDLIFIYGGLRGGVLLDDLLVAEDLAAAETTAAASHAAAAAAASNVQVGRLPGQYGFVDDTTRQTIPEADADGAVVLGNPVAPPVNGDMYTDISTENAMLQGSRRTSKGVEYLVEASAAEAEAISATLAAAKARQENGEVELPDRDCGAEATPSGKQISSLIKPDSSGPNNITPGGVRLHHRAVVVAAETGGALGGMVRQLSIDQFENEGRRVSYGTPENATAARKLLDRQISISSVPKKIIAHLLKPRGWKPPVRRQFFLDCNEIADLCDTAERIFSGEPSVIQLRAPIKIFGDLHGQFGDLMRLFDEYGAPSTAGDIAYIDYLFLGDYVDRGQHSLETISLLLALKVEYPNNVHLIRGNHEAADINALFGFRIECIERMGERDGIWAWHRINRLFNWLPLAALIEKKIICMHGGIGRSINHVEQIENIQRPITMEAGSIVLMDLLWSDPTENDSVEGLRPNARGPGLVTFGPDRVMEFCNNNDLQLIVRAHECVMDGFERFAQGHLITLFSATNYCGTANNAGAILVLGRDLVVVPKLIHPLPPAISSPEMSPDHHIEDTWMQELNANRPATPTRGRPQVPNDRGSLAWI >OIW08071 pep chromosome:LupAngTanjil_v1.0:LG07:9764097:9765494:1 gene:TanjilG_20172 transcript:OIW08071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNTIVLYPALGRGHLLSMIELGKLILTHNSSFSIKILIPTPPNTTSIDTTAFGCDSSITFHHITTVSSSSTTTLPPHLLAFDTCRRTNQNFHHALQSISKTTNLKAIVMDFMNYSAKEVTNTLDIPTYFYYTSGASTLCVMLNCPSVPKNSKKSLIQIPGLPTISSEDMPEAALDSESVFHNIFRDLATSMRDSDGIIINTFDAIERKAINALKEGLCYQEKGMIPPVFCIGPVTSAPCVEEDKNGCLSWLDSQPSQSVVLLSFGSLGRFSKTQLKEIAFGLEKSEQRFLWVVRSDSDEESLEELLPQGFLERTKDKGMVVKNWAPQAAILSHDSVGGFVTHCGWNSVLEAVCEGVPLVAWPLYAEQKLNRVVLVEEMKVALALKESKDGLVSATELGNRVKELMESEKGKEIRERVFNMKMSAVEARARGGTSCVALNGLAELWKEEDHLMLYSPNTPLLHIQ >OIW08543 pep chromosome:LupAngTanjil_v1.0:LG07:2130706:2130915:-1 gene:TanjilG_03219 transcript:OIW08543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTESSSQIIVPGDEHMTKPRSYVTVLGNKQLTEPGNYMIVPDMEQMTEIAGNVTPMNEQIVLQDLEPCN >OIW07423 pep chromosome:LupAngTanjil_v1.0:LG07:19578497:19578793:1 gene:TanjilG_19264 transcript:OIW07423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTLVVSNPSPHVETPPTASVSAIPNEDHQTPATGGTGGGVTGIMRRWKRDDMLKKVTLALRGAAMVFSLISFIAMTSNKYGDSKEFDRYEEYRYKIW >OIW08731 pep chromosome:LupAngTanjil_v1.0:LG07:816737:818665:-1 gene:TanjilG_03407 transcript:OIW08731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDGSPNYMKSTSSSEAKKELFQASLQNTQSGSYGKRASSVSSKKHEKTLSRSSSLNAQERTTCSSTLKDSKFPSYLMLNSEGASVMKVCSYKYCSLNDHLQQPSLPSLKSSISARRHLLKTQKSMRREARSPQILKGPCETKKDKNIEQENVFDERPAYDEANMVIPIITPLAQETDMHFFIEVHVKENEGDTEDRQQMNFAIKENGLAVEEDGVKQITPSMNNVVLKSEIDLEEVLKNCFTDVTIEANTNNTFYQEQNAEDADESQQPTWFHEEVSIGSCISNVSYDEENEENIELDVSDSHFIDLEWEEEHCCASSHEEDIDSSVSSEETDSKSESFLKSFPDVSAMNFQDLASSHIMDILVEEAVQEANEEEYTCSETESQGINSFLEGTSESIETRGTDYSSNGISCDQSSSTEEVFQHLTDAEDNNRENEKHVGDEANCATKILDHEMVENSEGHNMIEACATDENLEYSDSSQENSDESISKEKQTIIVVQDLQLLEGDQVKANKLESSSFIGDGKKNARTNWQWTNRRQRRVQDDDEEMRNIKPQKPNFLSLAPDQEPEKVKLKHQMMDERKNADEWMLDFALRQTITKLAPDRNTKVALLVEAFETVMSIPKRETHVRNSSPFAHARPIQACI >OIW08666 pep chromosome:LupAngTanjil_v1.0:LG07:1215628:1219590:1 gene:TanjilG_03342 transcript:OIW08666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALHDLNVLMVLATLVLILISSPISIESVSPILDVSYLNRTSFPPGFIFGAASSAYQYEGAAREGGRGPSIWDTFTHKYPQKIKDRSNGDVADDSYHRYKEDIGIMKDMNFDAYRFSISWSRVLPEGKLSGGVNKEGINYYNNLIDELMANGLQPYVTIFHWDVPQALEDEYGGFFSLRIVDDFKDYAELCFKEFGDRVRHWITLNEPRSVSKNGYATGRFAPGRCSEWLKLNCTSGDSGTEPYLTSHYQLLAHAAAANLYKTKYQASQKGLIGITLNSDWYIPVSEEKADHDAARRAVDFMFGWYMKPLTKGKYPKSMRSMVGNRLPKFSRKEVKQLKGSFDFLGLNYYSSFYAAYAPHLRGARPTLQTDALVNVTNQHDGKPLGPMAASTWLCIYPKGFLELLLFIKKEYNNPVIYITENGYDEYNDPTLSLEEALIDTYRVDYFYRHLYYLQIAIRYGVNVKGYFAWSLLDNMEWDSGYTVRFGLIFVDFKDGLKRYLKLSAHWFKNFLNKS >OIW08281 pep chromosome:LupAngTanjil_v1.0:LG07:4345784:4346257:1 gene:TanjilG_21747 transcript:OIW08281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEQCELCEKKAMVHCDSDQANLCWGCDAIVHSANFLVEKHMRILLCRICNSHTQWKACGSKLIPSASLCHRCMVDDDGGNSSDDVESDSEDDDSEKEDEEENQVVPLYSPLSLDSAASALNTQPVRSLSIKSHLRLFLFYIIFFLPSIGINAYQCP >OIW08223 pep chromosome:LupAngTanjil_v1.0:LG07:4844803:4850486:-1 gene:TanjilG_15184 transcript:OIW08223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINHSMLRSLHSAVSCRSSQVARRGYATEPVPERKVAILGAAGGIGQPLSLLMKLNPLVSSLSLYDIGGTPGVAADVSHINTRSQVVGYQGEEQLGKALEGADVVIIPAGVPRKPGMTRDDLFNINAGIVKSLAAAIAKCSPHALVNMISNPVNSTVPIAAEVFKKAGTYDEKRLFGVTTLDVVRAKTFYAGKAKVPVAEVNVPVVGGHAGITILPLFSQATPQANLDDDVIQALTKRTQDGGTEVVEAKAGKGSATLSMAYAGALFADACLKGLNGVPDVVECSFVQSNVTELPFFASKVRLGRNGVEEVFGLGALSDYEKQGLENLKAELKSSIEKGIKFANQNVHIILNGIDDTKFVHDPELGMQFRRKYGLPGNVSLVMGVAGRMVQDKGHPLLFEAFSVISKRFPGVFLLVAGSGPWERRYAELGSNVKVLGALEPSELSGFYNALDVFVNPTLRPQGLDLTLIEAMHCGKPLIAPNYPSIVGNVIVNEDYGHTFSPNLESLIHSLEAAIRDGPKILHKKGMACKELASSMFTATKMALAYERFFLCMKDTRYCQYPLPHDC >OIW08234 pep chromosome:LupAngTanjil_v1.0:LG07:4748586:4750534:1 gene:TanjilG_15195 transcript:OIW08234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAASGIYTRPRTFKQEVAEEEIEKVSEEEEVEEEPEVGVLSLMERRTEPSRREREELEKQKAHERYMRLQEQGKTEQAKKDLERLALIRQQRVEASKKREAEKAGKILSG >OIW07840 pep chromosome:LupAngTanjil_v1.0:LG07:10587424:10588038:1 gene:TanjilG_32696 transcript:OIW07840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLACCLVLISLCLCLVLEPAFAAKKGHKTLKVKSTKHIAPASAPFPSAIQTEQALIQQLCQDTRKSKLCRKIVQGERVALEPVAEAKIAIDIATSMASRVGAYMSTQLKTNRVKILSRGFVKVCKFNYDNAIVDLNLSYINFESNTKKAIESLKQAEIKIGFCVNSLKSASKNAEIPPVHEANKVIQSLIKAAESVAKKQTH >OIW08670 pep chromosome:LupAngTanjil_v1.0:LG07:1186150:1187387:-1 gene:TanjilG_03346 transcript:OIW08670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQVHENAYLSDFHHCFSLPSNSSGTDTNLPEARLNGINVVVGRQGEACDSACKSRGQSCVPNILSVLNNCDIIQKHMSCKGACLASVGPDKPAEVVHNAPKHMVISVLCLKL >OIW08773 pep chromosome:LupAngTanjil_v1.0:LG07:78443:82294:-1 gene:TanjilG_16354 transcript:OIW08773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKAICFVLLSFHIILSLFVAEICGNKQVGALDNLHKSKLRGGSQIDRSEFKVEEFVHDDDDDDAVIVHSQKGLKEKDKIERLPGQPQVKFSQYGGYVTIDKVAGSAFYYYFVEAQRSKQSLPLLLWLNGGPGCSSLAYGAMQELGPFRVNSDGKTLYRNNYSWNYAANVLFLESPVGVGFSYSNRSSDYSSNGDKKTAVDNYLFLVNWLERFPEYKNREFYISGESYAGHYVPQLAHTILQFNKKARKTIINLKGILIGNAVINDETDSKGMYDFLASHAIISEKAAYDINKSCDFSSSNQTSECNAASDEIDKDISFIDLYNIYAPVCKNSNLTAHPNKISIVIDPCSENYVYAYLNRGDVQEALHANVTHLKYDWEPCSDVITKWVDSSSTVLPLITQFLSNGLRVWIFSGDIDGRVPVTSTKYSIDKLNLPIQTVWHPWFAYGEVGGYTEVYKGNLTFATVRGAGHQVPSYQPARALSLIKHFLQGTPLPQTKTIA >OIW07411 pep chromosome:LupAngTanjil_v1.0:LG07:19316179:19316721:1 gene:TanjilG_19252 transcript:OIW07411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIHHYNPFHIPDTVARHHTHAVSPNQCNSSVVQEIAAPLSLVWPVVRRFDNPQAYKHFVKSCHVILGDGDVGTLREVHVVSGLPAAVSTERLELLDDDRHVISFSMVGGDHRLANYRSVTTLHPSCSSGTVVVESYVVDVPPGNTTEDTCVFVDTILRCNLQSLARFVENLATTKPHQR >OIW08688 pep chromosome:LupAngTanjil_v1.0:LG07:1089949:1094781:1 gene:TanjilG_03364 transcript:OIW08688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLLKKLRPVVSSSSVHDDSSDYSVEYSFAEEYKGPPLSHSIPQVHPFKLDQIPIANIASFRHGHFSVPVIQPFSKKTNTTLSVHDDKQQHNTGFHDENEMNPISTNSNTTESGSGSGSGSSSLSVSCEIFPCREEDNEIENNISSSPRHENRHSIVTFRDPESNCAIHDEGIVDYEGGSGSNSGSSTPDHVRVKPHAVRNGQKGSCYRCLKGYRLTEREVCIVCSAKYCRNCVLRAMGSMPQGRKCVTCIGYRIDENKRKSLGKFSRMLKHLLSSLEVKQIMNAEMVCEANQIPPEKILVNADPLDWDQLMLLLRCANPPKGLKPGCYWYDRTSGFWGKEGQRPSQIISPHLDIGGRLLINASGGKTNVIVNGRLVSKEELLILKWAGVPCEGNPDFWMTADGSYMEVGQKNIKGRIWDKVGTKLACAILSLPVPSNSATPSGEEENTNKVRPDNLPMKMLYKFLLVGSVKSGTSTIFKQGKLLYNVPFSESEHQDIKLVIQTNLFTYIAILLEERENFEEESLLENRKRQHLNESTSSAGNEGEIDTTIYSISSRLKGLSDWLVKCMVAGNLAAIFPAATREYAPLVEELWKDPAIQATYNRRNELKMLPRSASYFLDRAVEISKIDYQPSNMDILYAGGITLSNSLASMEFSFPVSRAEDSLDAEFKHDPSLRYQIIRVHPTSLGENCKWLDMFEDTDTVLFSVALTDYDEYTIDSNGVATNKMLAAKNLFEKIITHKAFNNKKFLLILTKFDLLEEKVETIPLTRCEWFSDFNPVISHNEKTSSSSSSRSSIVNNTNSPPLAQRAFQYIAMKFKRLFRSVTERKLFVSLVNGLEPDTVDEAVRYAREVSVWEKWDPSFKNEKSEITTTTIDPEGSSS >OIW08322 pep chromosome:LupAngTanjil_v1.0:LG07:3862843:3863271:1 gene:TanjilG_02998 transcript:OIW08322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPPLKKIDFFQGDKVEVCSNEPGFLGSYYEANIVSRLDNGLYVVCYKNLLEDDESKPLTETIYPKELRPVPPKIHTTQFLPYEKVDAFDNDGWWVGEITGRNGSHYYVYFTSSNEEIAYHYSKIRVHHDWVNGEWVLSNKS >OIW07611 pep chromosome:LupAngTanjil_v1.0:LG07:17104379:17107033:1 gene:TanjilG_31170 transcript:OIW07611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMVTILYGSNYCNCNWSHKLFNSNNKILHPSPLIVSASSSSNNNDNDAVSTKSGTSARGRRLLKVREEKQQREYDRVHKYPSWAKVLEDACKDDNELRAVLGDSIGDPELMRKRVEERVRKKGRDFSKSKTGSVLAFKVTFRDFNPLDSYIWFELFGSPSDRDVNLIGSVIQSWYLMGRLGAFNSSNLQLGSSSMEHPLYDADKGFKVMPSSFHDISDVEFQDNWGRIWVDLGTADYFAIDVLLNCLTALSSEYLGIQQVVFGGRGMGDWEEGMTSPDFGYKYFKI >OIW08376 pep chromosome:LupAngTanjil_v1.0:LG07:3498468:3500546:1 gene:TanjilG_03052 transcript:OIW08376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSFESFGNEGEDDDSFMGYGAQNSHYQDYESSTFVSSDNYAAAGDRPNLTVDPSFGGENPYSPPVYGFGISTPDPNHVTPFEPEPAGDGYATPAAADDDDGIFTSDGGGGGPLLPDPIQMQEEGFARREWRRQNSIHLEEKEKREKELRNQIIQEAEDYKQAFYEKRKVNCETNKANNREREKINLANQDKFHKEADKHYWKAIAEMIPREVPNIEKRRGKKEAENKPSVHVIQGPKPGKPTELARMRQMILKLKQNPPRHMMPPPPKEDKDGKGSKDAKEGKDDKSGKSSTPTAVDSAAGNKPVSPLKEGAAGNKPVSPSKEGAAGNKPASPSKEGAANSAPEAPAVVEDEQAPSSEPSADQ >OIW08563 pep chromosome:LupAngTanjil_v1.0:LG07:1939979:1943338:1 gene:TanjilG_03239 transcript:OIW08563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKNQLQELAQRSCFNLPSYTCIREGPDHAPRFKATVNFNGEIFESPHYCTTLRQAEHSAAEVALNNLSHRGPSHSLAARILDETGVYKNLLQEIAQRVGAPLPQYTTFRSGLGHLPVFTGIVELARITFTGEPAKNKKQAEKNAAMAAWSSLKQLAKETVSSSTEPENNEELEQITIARALLNYRLKEKIAMSNPNAPIPFPKRFQIHNSRPTSPQPRPAATSKILPLICPKIVPRNRHSLTTSNESTQSRHPVAAAAAGDNPMKSPFSCTLESRGTHRPKFPAVGAAPYVPIRQIRPFCQGIAPPVTIRTAVPVFSAPPAMMSHQVMRAPPVQVAPSVNIRQAVPVYAAPPSVQKVEPVPIQKDDPTAATTVPSQEDKLPAISPEDKLPATSEEVKQPTTSQEDALPAKNQKTYTKTENVPPEPETVQSLEQLKI >OIW08319 pep chromosome:LupAngTanjil_v1.0:LG07:3884971:3885912:-1 gene:TanjilG_02995 transcript:OIW08319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMDSQLGAPSPTTPAPPRHPSSTPFPVREDCWTEDSTFTLINSWGNHYLDLNRGSLRQKHWQEVADAVNHRHSVTTGNGNIKKNASRTHVQCKNRIDTLKKKYKIEKARVSDFAGGYESHWPFFSRLDALIGDTYPIKKLSPPITTRSNRSTVTKPPPPAWITSVPVGPRSGTQKRPAIRDEFIFRRNFSAFAAAAAAAAEADSDDSDSLKWSPGTRGRGVREDSEKEGEYGCKELAIAIERFGEIYERVESAKQRQMVELEKQRMQFAKDLEYQRMQLFMEMQLQFHKVKRTKHSSGEAIFQLLNPCNMLF >OIW07443 pep chromosome:LupAngTanjil_v1.0:LG07:19733435:19736384:1 gene:TanjilG_19284 transcript:OIW07443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSTSLFSSPNSHYFNKPFSPKLSPFKPLSASLNGGGRRRRDYYNDTLYNQLQFDDTKFQTSGLDNKNSHAHEDGSLNGRRTSNTILFNDTKFQTLGLETNGSDEMIEGRRRRRRSREGEDLIRIQQKGDDDDDGVELEKDEKSKGKVVGIRKVKQIIRRSNLLAKQVISIRSALSLGFVSQLWVDTTSWMVLFAEVRPNLLSGDSEKFLLEDIKQVGDVILVQDESVTENEFKMIGLETLVGYKVVTPSQRNIGKVRGYTFSINSGAVEELELDSFGLSIIPSTLVSTYSLVVEDVLEVVSDAVVVSEAAASRIQRLSKGFLGNQNVGTSMDDFEDYDYEEAMISDNVSRRRNGSFGRKKQNERDWDNEDNWELPMDYL >OIW08481 pep chromosome:LupAngTanjil_v1.0:LG07:2591798:2595125:-1 gene:TanjilG_03157 transcript:OIW08481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTLSRFQFLPTISPFSRFPTSAFSTTTPLVSVLRASPPSSVSDVDEEVLQGFFKEREVTGDFISRASDLLWRRNFRNSDISKLSNNISQQAEQIIETNSDGGFLKLTSTQEWVLGDSSAPINKKMTAKMLQDNSARRKKLNMLQYESLKREILLLSVGIGVACSGYCLIAFSIQAAISYAVGVLFSCLYLQLLYLHADNLSTETVPQIFTKKKTKKIGIRSEDLQDSLEKTIKGSSISLSSPRLVIPAAIYGLWVLSHQYFTNDLFDFQLVPAMFGLFVYKAAALVQVYRDNEDLQFVFPDNNDSSSD >OIW07859 pep chromosome:LupAngTanjil_v1.0:LG07:6844725:6846671:-1 gene:TanjilG_19960 transcript:OIW07859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSRQLLSSPPQHHHHNHHLLTPILATTASFFSLILFLFLFFFFYLRAKKTTTPPPDSKPPHRFSYPLLRRATNSFKTHLGHGGFGTVYSGTLQTGKPIAVKLMNSSSFQGEREFHNELFFASNLSSHHVVTALGFSSDAKRRRFLLVYDLMQKGNLQDALLHRKCPELMDWKKRFNIVLNIARGVQYLHTCDPPVIHGDIKPSNILLDDEFSAKIGDFGLARLKSEPNYEVLVGDGDGEEKKKEEEEGVACEGGIGVDVCGGSVIEESESVNTGFYEEGGCLGLEQSPDDFVRVTILETSPETMASMETGLDKVSIKSEKGDVKNSKGVRSNSMRDWKVKDHVMEWIGKEVNKEKPKSEWFGEEKMAKSEKKKKKRKDQLEWWESMEEEKFNRDLEKEKRRSVREWWKEEYSEELARKKKKKKKQQKRNGVTSDNDNCDDWWVSDGALNDNKKKSKRRSPNNRGSMDWWLDGLSGELWRARRNSYDSAASGEIPKSGGVSSTPSMRGTVCYAAPEYGYGGDVSEKCDVYSFGVLLLVVISGRRPLQVNGSPMSEFQRANLLSWARHCARKGRLIELVDQSIESLDKEQALLCITVALLCLLKSPARRPSMKEVVGMLTGELEPPQLPFEYSPSTPSRFPFKSRKKGW >OIW08711 pep chromosome:LupAngTanjil_v1.0:LG07:943828:946889:1 gene:TanjilG_03387 transcript:OIW08711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTASGGGDLPEMSTNTSFFGIKLFIFIAILFAIAIVIVLIFIFLCFRRSSKKHGRVIKKHSSGTIPLVSKDINVVKHKPTDLTEKSQFAEIEDGDSKKNEILEMKVEIGMRGKKSEGECSDVSCGGRSETSSLSVEDPNIGWGRWYSMKEVEFATRGFAQENVIGEGGYGIVYRGVLQDGNVVAVKNLLNNKGQAEKEFKVEVEAIGKVRHKNLVRLVGYCAEGAKRMLVYEYIDNGNLEQWLHGDVGPMSPLTWDIRMRIAINTAKGLAYLHEGLEPKVVHRDIKSSNILLDKNWNAKVSDFGLAKLLGSEKTHVTTRVMGTFGYVSPEYASTGMLNERSDVYSFGVLLMEIITGRSPIDYSKPPGEMNLVDWFKGMVASRRGDELVDPLIEVQPSPRSLKRALLVCLRCIDLDVIKRPKMGQIVHMLEADDFPFRSELRTLREKDPVPSHADIYSKVPYPLKHAEPVEKSRWR >OIW07898 pep chromosome:LupAngTanjil_v1.0:LG07:7201895:7210481:1 gene:TanjilG_19999 transcript:OIW07898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKWTLPSVLLLLSLLLLFSDQGQKLKANAEADSEELVDLPKVEDKIGAVPHGLSTDSDVVKREAESISKNRSLRSNAEKFEFQAEVSRLMDIIINSLYSNKDIFLRELISNASDALDKIRFLSLTDKEVLGEGDNAKLEIQIKLDKEKKILSIRDRGIGMTKEDLIKNLGTIAKSGTSAFVEKMQTSGDLNLIGQFGVGFYSVYLVADYVEVISKHNEDKQYVWESKADGAFAISEDTWNEPLGRGTEIRLHLKDEAGEYLEESKLKELVKRYSEFINFPIYIWASKEVDVEVPSDEDDSNDEDESSESISSDEETEEDADKSEDEEKKPKTKKDLSDEKPLSWSHFIAEGDVEFKAVLFVPPKAPHDLYESYYNSNKSNLKLYVRRVFISDEFDELLPKYLNFLKGLVDSDTLPLNVSREMLQQHSSLKTIKKKLIRKALDMIRRIAEEDPDESSDKEKKEELSSGNDEKRGQYAKFWNEFGKSIKLGIIEDATNRNRLAKLLRFESTKSDGKLASLDQYISRMKAGQKDIFYITGTNKEQLEKSPFLERLKKKNFEVIYFTDPVDEYLMQYLMDYEDKKFQNVSKEGLKLGKDAKDKGLKESFKDLTKWWKNTLASENVDDALDKIRFLSLTDKEVLGEGDNAKLEIQIKLDKEKKILSIRDRGIGMTKEDLIKNLGTIAKSGTSAFVEKMQTSGDLNLIGQFGVGFYSVYLVADYVEVISKHNEDKQYVWESKADGAFAISEDTWNEPLGRGTEIRLHLKDEAGEYLEESKLKELVKRYSEFINFPIYIWASKEVDVEVPSDEDDSNDEDESSESISSDEETEEDADKSEDEEKKPKTKKDLSDEKPLSWSHFIAEGDVEFKAVLFVPPKAPHDLYESYYNSNKSNLKLYVRRVFISDEFDELLPKYLNFLKGLVDSDTLPLNVSREMLQQHSSLKTIKKKLIRKALDMIRRIAEEDPDESSDKEKKEELSSGNDEKRGQYAKFWNEFGKSIKLGIIEDATNRNRLAKLLRFESTKSDGKLASLDQYISRMKAGQKDIFYITGTNKEQLEKSPFLERLKKKNFEVIYFTDPVDEYLMQYLMDYEDKKFQNVSKEGLKLGKDAKDKGLKESFKDLTKWWKNTLASENVDDVKISNRLDNTPCVVVTSKYGWSANMERIMQSQTLSDASKQAYMRGKRVLEINPRHPIIKELRERVVKNPEDESVKHTAELMYQTALFESGFLLDDPKDFASRVYDSVKSSLDISPDAAVEEEDDTQEVEAESDAKEDASTSKAEAEAVNDENGDVKDEL >OIW07477 pep chromosome:LupAngTanjil_v1.0:LG07:18197038:18199846:1 gene:TanjilG_14423 transcript:OIW07477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGACWSNRIKSVSSSNTGFTSRSVSRNGYDTSSNSRNSSASVPATPRSEGEILQSSNLKSFSFSNVRAATRNFRPDSVLGEGGFGSVFKGWIDEQSLAATKPGIGMIVAVKRLNQDGFQGHKEWLAEINYLGQLQHPNLVKLIGFCFEDEHRLLVYEFMPKGSVENHLFRRGSFFQPLSWSLRLQIALGAARGLAFLHSTEPKVIYRDFKTSNILLDTKYNAKLSDFGLARDGPTGDKSYVSTRVMGTHGYAAPEYLATGHLTAKSDVYSFGVVLLEMISGRRAIDKNQPSGEHNLVEWAKPYLSNKRRVFRIMDPRLEGQYSHNRAQLAATLSMQCLAVEPKCRPNMDEVVKALEQLQDSKDTQKKRADQKQRRVPNSGFGPSNGNPTSGKGSADALRKASAYPRPSTSLLRG >OIW08757 pep chromosome:LupAngTanjil_v1.0:LG07:6432:9246:1 gene:TanjilG_16338 transcript:OIW08757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIVGVKGSNWSENGYANVNHNSNMFDGPQGESDNWTDLETDLYYWTKTLRPVQWFPGHIAKAERELKEQFKLMDVVIEMDLWLGNRKRILVLNKEDMITTADRNAWATYYARQGTKVVFSNGQHGMGVMKLGRLAKELAADVNVKRRAKGLLPRAVRAGIVGYPNVGKSSLVNRLLKRRMCPSAPRPGVTRELRWVHFGKDLELLDSPGILPMRINDQSAAVKLAICDDIGERSYDVDDVAAILVQMLTKLPTVGGDVLRKRYKIDVDSQCGKMFTKKLALQLFNGDVNQAAFRILADFRKGKFGWTSLERPPR >OIW08359 pep chromosome:LupAngTanjil_v1.0:LG07:3623436:3624689:-1 gene:TanjilG_03035 transcript:OIW08359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSSSFITLVSFLFFLHLSCLFNNLNAKHILTKNHNHQHQHTKNPSSNPRLYRAFLALQAWKRVIYSDPNNFTTNWIGPSVCTYHGVYCAPSIDDPEVTIVAGIDLNFGDIAGFLPNELGLLSDLALLHLNSNRFCGIVPITFNNLTLLYELDLSNNRFVGPFPFVALSLPSLKYLDIRYNEFEGPLPPQLFNKSFDAIFVNNNRFSGSIPSNLGQTKVSVLVFANNKLGGCLPESIVNFADTLEELVLINTSISGCLPQQVGSLYKLRVFDVSFNQIVGPIPYSLAGLSHLEQLNLAHNEMSGIVPSAVCELPSLANFTFSYNFFCEEEGICQNLTSKRIAFDDRRNCLPEKPLQRSTKECNAILEHPVDCFELCCPEDGGFGTNVTAGSVAIPTASIPAAMPVSAPFLAPSYP >OIW08196 pep chromosome:LupAngTanjil_v1.0:LG07:5280844:5281452:-1 gene:TanjilG_26485 transcript:OIW08196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALSNFEDRLKFSTQGAILLLACASVALVCVCIVIILKRKACNNKIVHQEGDIDDNETITTMTTTTTTSTTTTTTTEWSGPSCGWISVKRVLWSRASKLEDNIGWQKKRGSPLLGLQRHGVDESGWQSHNSASAVWQRPILRGEKCELPSFSGLILYDEKGRLLRDSETETHCKDTSEQVINYYLGAHLHRILKFIHAECR >OIW07564 pep chromosome:LupAngTanjil_v1.0:LG07:17713623:17716724:-1 gene:TanjilG_08451 transcript:OIW07564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSKDVSSKAWKWGVGLFYIFLVATIWIAASFVVQSVVDAGVSPFLVTYICNSLFVVLIPIVEIGRYFEDYHGGLWFRRNENKIDHNLEGLRESEQAVLLENNDVGIKAGESSSAIMEEIDDDVGRKRIGLSELLLTGKVVDGLSDQVNSIGNVGNQLDEKGRWTRWRVAKVSLLVCPFWFFAQLTFNLSLKYTTVTSNTILSSASSLFTFLVSLAFLGESFTWLKLFGVLLCMGGSIIVSLGDSENGLSTVASNPLLGDIFALVSAGLYAIYITLIRKNLPEDDGKSGEASMAQFLGYLGLFNILIFLPVALILHFTTVEPFHTLTWRQFGLIIGKGLLDNVLSDYLWAKAVILTSTTVATAGLTIQVPLAAIVDTVTGNAPRLMDYLGAAAVMVGFAGINIPYDTFNRSTEATMQLENENLSIRNEETTLPITLDSSA >OIW08044 pep chromosome:LupAngTanjil_v1.0:LG07:9432091:9432761:-1 gene:TanjilG_20145 transcript:OIW08044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDSNGIHDKCESRLYIGNLDLKITEATLLKMFSPFGKIVSEDFLWHTRGPKRGAPRGFAFIQYDTREEAELAKEKMHGRLACGRPLVVRLASEKYMLETAENSMKASGEGHKMHLTGGAMGQTSRSAKIAAIKNKLKSLEEDNSRSKKQKKTDDTS >OIW07450 pep chromosome:LupAngTanjil_v1.0:LG07:19222892:19227592:1 gene:TanjilG_24312 transcript:OIW07450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDDERDEKELDLSSDEVVTKYKTAAEIVNKALKLVISESKPKAKIVDICEKGDSYIREQTGNVYKNVKRKIERGVAFPTCLSVNNTVCHFSPLASDEAVLEKGDILKIDMACHIDGFIAAVAHTHVLQSGPVTGRAADVLAAANTAAEVALRLVRPGKKNKDVTEAIQKVAAAYDCKIVEGVLSHQLKQFVIDGNKVVLSVSNPDTRVDEAEFEENEVYAIDIVTSTGDGKPKLLDEKQTTIYKRAVDRSYHLKMKASRFIFSEISQKFPIMPFSARALEEKRARLGLVECVNHELLQPYPVLHEKPGDYVAHIKFTVLLMPNGSDRLSSFPLQELQPTKTVDDPEIKAWLALGIKTKKKGGGKKKKGKKEDKADEPAEAAKPIDTTNGATPQV >OIW08127 pep chromosome:LupAngTanjil_v1.0:LG07:6069177:6071395:1 gene:TanjilG_06670 transcript:OIW08127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIILVFLWVYLATNNYSTVDGRITSISRDHEDLELERQLKLINKPPLKTIQEDGYIVDCIDINKQPAFDNPLLKNHKIQLKPSFEVTNTNSTRLSSIGFEEDLCPKGTIPIRRTTKDDLIRAKQLSYINVGILNKDIPGRHYAGLNLNIDDKRRYFAISGIINTYNLPVRHPDQITSAYIYLSNGGSVHDKNVIMAGWEVHPKVFGDGKTYFFTRWADKSRNKGCTNFFCPGFVQVEPSFHIGTPVVDTSTYNGKQFEMMVDISHDPKTNNWWVRLNNKNLGYYPGILFSNLAFANLGGWTGMTSTPAGIPSPPMGSGHFPDNNIYRSCFFRTMNFQTDTRTNIGPKNHKYAVASSDSPKCFGVQYEGWNEDMQGYVMLFGDLVEIVVDNI >OIW07788 pep chromosome:LupAngTanjil_v1.0:LG07:11194514:11197050:-1 gene:TanjilG_31980 transcript:OIW07788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSVERVSEKCLDSQLWHACAGSMVQMPHINSKVFYFPQGHAEHALGKVDFGNTPIPPLIPCKVDAMKFMADLGTDEVYVKVRLTPLRENEFDLEDDCFKGIRGVVESQEKTSSFSKTLTQSDANNGGGFSVPRYCAETIFPKLDYSADPPVQTIIAKDVHGQCWKFRHIYRGTPRRHLLTTGWSNFVNQKKLVAGDSIVFLRADNGDLCVGIRRAKKGIGSEFMSSGWNNNQLAPLLFGGANENKMLMRRKYGAELMGKVAAESVVEAVTCAVNGRPFEVVYYPRGSTPEYCVKASAVRAALQIQWCSGMRFKMPFETEDSSRISWFMGTISSVHVVDPIRWPNSPWRLLQVVWDEPDLLQNVKCVNPWLVELVSNIPNFNLSPFSPPRKKPRFPQTPDFHHINQLPMPSFYNNRLNHTNSVCNIRGNSSAGIQGARHAQFGLTPSDFPFNKQQTDALFPGALPWLNRSSQPIRPPHDAYKTENNVDISCLLTMGNPGQSLKEPVKAKSPHILLFGQLIQTEQHDSNIMSTSTNENSSTNATSRKTSNASDSLSSDLLQNSPMEKNSSDEGSPQYKDQQKSDLRTENVNRLCLAM >OIW07857 pep chromosome:LupAngTanjil_v1.0:LG07:6827425:6828821:-1 gene:TanjilG_19958 transcript:OIW07857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAKSEMEFAVEVEVLGRVRHKNLLGLMGFYAGGDERLIVYDYMPNHSLFTHLHGSLAKECKLDWPRRMSIAIGAAEGLAYLHHEATPHIIHRDIKASNVLLDHDFQAKVADFGFAKLVPDGVSHLTTRVKGTLGYLAPEYAMWGKVSENCDVYSFGILLLEIISAKRPIEKRPGGVKRDIVQWATPYVSKGNFNHIVDPNLKGEFDLEQLKNVITIAMSCTDSCPEKRPSMNEVVERLKSGVGVERIRKEIPILCNKNGRNQDENIK >OIW07451 pep chromosome:LupAngTanjil_v1.0:LG07:19215562:19220191:1 gene:TanjilG_24313 transcript:OIW07451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDDERDEKELDLSSHEVVTKYKTAAEIVNKALKLVISECKPKAKIVDICEKGDSYIKEQTGNVYKNVKRKIERGVAFPTSLSVNNTICHFSPLASDETVLEEGDILKIDMACHIDGFIAAVAHTHVLQDGPVTGRAADVIAAANTAAEVALRLVRPGKNNKDVTEAIQKVAAAYDCKIVEGVLSHQLKQFVIDGNKVVLSATNPETRVDDAEFEENEVYAIDIVTSTGEGKPKLLDEKQTTIYKRAVDRSYHLKMKASRFIFSEISQKFPIMPFSARALEEKRARLGLVECMNHELLQPYPVLHEKTGDYVAHIKFTVLLMPNGSDRITSYPLQELQSTKTIDDPEIKAWLALGTKSKKKGGGKKKKGKKGDKADESAESESVNTNGATPQQ >OIW08791 pep chromosome:LupAngTanjil_v1.0:LG07:183740:189814:1 gene:TanjilG_16372 transcript:OIW08791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNKATPIVVKKSYDDSNDDGDMPSSAKLFRNSNAQASCGNYDDSDVKKPISKVQKERQNGSSASNKHEKPSTLLAKRPIDNNNSMHTSVKKLKVSDPAALIKTKQVSMKCEPKVDDDDDDIPISQRIKKSLTSADKSSSINRKSSMSADKSSSIKNSLTKVTKVNNTGKTSFKKQPKKLKKSGKGSDYSKSTKILPSSGDGQKKWTTLVHNGVIFPPPYQPHGVKILYKGKPVDLTPEQEEVATMYAVMRDTEYMQKDKFKENFWNDWRKLLGRNHVIHNLKDCDFTPIYDWYQSEKEKKKQMTTEEKKAQKEEKTKQEEKYMWAIVDGVKEKVGNFRVEPPGLFRGRGEHPKMGKLKRRIRPSDIVINIGKDAPIPECPILGERWKEIRHDNTVTWLAYWSDPINPKLFKYVFLAASSSLKGQSDKEKYEKARMLKDYIANIRAAYTKDFTNKEIAKQQIAVATYLIDKLALRAGNEKDDDEADTVGCCTLKVENVTREAPNKLKFNFLGKDSIKYENTVEVELPVYNAILKFQKEKRPGDDLFDMLDTSKLNAHLKELMPGLTAKVFRTFNASITVDDMLNKGTKGGDVGEKIVVYQSANKQVAIICNHQRSVSKSHSTQMSRLTEKIDELKAVLKELKTDLDRAKKGKSPLKSSDGKAKRNLNPEALEKKISQTNAKIEKMQRDMKTKDDLKTVALGTSKINYLDPRITVSWCKRHEVPIEKIFNKSLLAKFSWAMDVDPDFRF >OIW07780 pep chromosome:LupAngTanjil_v1.0:LG07:11443245:11444984:1 gene:TanjilG_03567 transcript:OIW07780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLSEQHSWGKNKVFTFQILRGRWFMVFSSFMIMSVSGASYMFALYSREIKLVLGYDQSTLNLLSFFKDLGSNIGILSGLINEVTPPCVVLTIGGVLNFFGYFMIWLVVTKKIAMPQVWNMCLYIFIGANSHCSTNTGALVTSVKNFPGSRGIVIGILNGYLGLSAAIITQIYYAFYGNDSKSLILLMAWLPTAITFVFLPFIRHHRSIQKPSDSKAFYNFLYLTLVLAGFLMIIIIVEKCVTFTQNQYYVTVSVMLLLLILPLAIVIVEEHKIWKRKQENIYGDDPPKPLNVTTEKTNLVISTKKQVSLWKNMFKPPSIGEDHTILQALFSTDMVILFLATICGLGGTLTVVNNLGQIGTSLGYPPHSITTFVSLMAIWIYLGKIVQGVVSEIIITKFKVPRPLMLTLVLLLSCVGHLLIAFNVPNGLYVASIIIGFCFGANWPILYSIISELFGLKYYSTLYNVGSIASPIGSYLLNVRVAGNLYDKEARKQMAALGLKRKLGEELNCNGGECYRMAFIIITSVSFFGALVSLILVLRTREFYRNDIYKKFREEARTAENERTVTQNRTGEPAANEG >OIW07562 pep chromosome:LupAngTanjil_v1.0:LG07:17683414:17701294:-1 gene:TanjilG_08449 transcript:OIW07562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSGTKGPSGVPRPPSRRFTRAPTRNVELLNEEGGVDSEIVPSSLAVIVPILRAAVEIEEENRRVAYLCRFHAFEKAHTMDPTSSGRGVRQFKTYLLHKLEKEGELTEKLTDKSDVRELQTYYQSFYEQRIRDGEFTKKPEEMAKNLQIATVLYEVLKAMVPKNIDEKIEKYAADVENKRGQYEHYNILPLYDIGVKPAIMELPEIKAALNALRKVDNLPMPIIRSSLGTSNGGSATPKERVKNVNDILDWLSSVFGFQLPTFTSIGSCFGYNVENLLDEETVEKLMAIIFKNYDSWCHYVRCKSYLRFPEERNKQQLQLIYIALYLLIWGEASNIRFMPECLCYIFHNMCNDVYGILSSNAHSVSGDTYQTVALDDEYFLREIITPLYDVLAKEAKRNNKGKASHSNWRNYDDLNEYFWSDRCFKIDWPMNLEADFFRHSDDTQTSNQGRSQASSGAGKKKPKTNFAEVRTFLHLYRSFDRMWIFFILALQAMIIIAWSSSGPLAVFFDGEVFRNVTTIFITYAILNFLQVTLDIILTWNALKNMKFTQLFRYLAKFVVAAVWVVVLPVCYSSSLQNPSGLIKFVTSWAGDWGNQSLYTYVVLIYLLPNILGAILFFLPPLRRRLERSNMRIITLLTWWAQPKLYVGRGMHENLFSLLKYTLFWIMLLISKFAFSYYVEISPIVGPTKVIMRVHIEKYQWPELFPENETHNICVVIAIWAPIILVYFMDTQIWYAIYATIFGGIVGAFSHLGEIRTLGMLRSRFRFVPKAFSDCFLPGTDGKTKPEDLDDSHVRRNVAYFSQVWNEFIDSMRKEDLISNRDRDLLIVPYSSSDVSVIQWPPFLLASKIPIALDMAKDFKKEDDADLFKKIMSDEYMHSAVVECYETLKDIIQELLLDDEDKRIVTQIYNKVEGSIHDHAFVKEFKMSGLPSLSEKLEKFLTHLRTYCFHWEHFHREKTEIVRVKISKLMKSLEPQIVNVLQDIVEIITQDVMVDGHLILETPQHYVVEKGQRFVNIDTSFPLDKSVKEKIIRLHLLLTVKESAINVPQNLDARRRITFFANSLFMNMPKAPKVRDMLSFSVLTPYYKEDVLYADDELNKENEDGISILFYLKKIYPEEWNNFHERVKEIKNLYPDEDEAELTRQWASYRGQTLYRTVRGMMYYRKALKLQCFLEYAGDNAIFGGYRKLGLYEKDKRMHFDRAQALADLKFTYVVSCQLYGSQKKSQNLQERSRYTNILNLMLMHKSLRVAYIDEKEETTGGKSHKFYYSVLVKGGDKYDEEIYRIRLPGNPTEIGEGKPENQNHAIIFTRGEALQTIDMNQDNYYEEAFKMRNVLEEFRKDHSGRRKPTIVGLREHIFTGSVSSLAWFMSNQETSFVTIGQRILANPLRVRFHYGHPDIFDRIFHITRGGISKASKVINLSEDIFAGYNSTLRRGFITHHEYIQVGKGRDVGMNQISLFEAKVANGNGEQTLSRDVYRLGRRFDFFRMLSFYFTTIGFYFSSMITVLTVYVFLYGRLYMVLSGVERAILENPNLQQSKALEQALATQSVVQLGLLLVLPMVMEIGLEKGFRTALGDFIIMQLQLASVFFTFQLGTKAHYYGRTLLHGGSKYRPTGRGFVVFHAKFADNYRMYSRSHFVKGLEILILLIIYEVYGNSYRSSNLYLFITFSMWFLAVSWLFAPFLFNPSGFDWQKTVDDWADWKRWMGNRGGIGIPSNKSWESWWDEENEHLKYSNIRGKVLEILLAFRFFIYQYGIVYHLDIAHTSKSLMVFGLSWAVLVIVLIVLKMVSMGRRRFGTDFQLMFRILKALLFLGFMSVMTVLFVVCGLSVSDLFAAIIAFMPSGWALILIAQACRGCLKGAKLWDSVKELARAYEYVMGLVIFMPVAVLSWFPFVSEFQTRLLFNQAFSRGLQISMILAGKRETDKSD >OIW08450 pep chromosome:LupAngTanjil_v1.0:LG07:2851675:2855199:1 gene:TanjilG_03126 transcript:OIW08450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLLPLAIIFLLFALYCGLDPFQHSPIANFPHFDAKKVDMPPWSQIPTEQDKDNLLQNSEIKFLNQVHGPESLVFDPLGRGPYTGVADGRILFWNGNSWLDFAFTSPNRSELCNPIASATPFSFVKTEHICGRPLGLRFDKKTGDLYIADAYFGLLKVGPEGGLATSLVTEAEGVPLRFTNDVDVDSEGNVYFTESSANYQRRNFIQLVFSGDDSGRVLKYNPSTKETTVLVRNIQFPNGISLSKDGSFFVFCEGVIGRLSKYWLKGDKAGTSEIFAILPGFPDNVRVNEKGEFWVALHCRRYMYAYLHGLYPKIRKAILKLPIPTKIQYLLQIGGRLHAAVVKYSPEGKLVQILEDSQGKVVRAVSEVEEKDGKLWIGSVLMPFVAVYNLA >OIW07650 pep chromosome:LupAngTanjil_v1.0:LG07:15710282:15715501:-1 gene:TanjilG_17665 transcript:OIW07650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLVSMDSWMKSSYVVFAFFCAFFFGAIKGLVVGPIASLILIFGNVAVILVLLPSHVAWTVYTLFKMQVFDAALKVAILIALPALFGLWLGLGIAGSILVGVGYGFFTPWVSTFEAFRNDNESKIFVHSIVDGTWGTIRGSCTVVRDFADMCYHSYPTYLKELRECSDECKRLRFIHIPGCIIAGIMGLIVEIPLFAAIAIVKSPYLLFKGWFRLLHDLISREGPFLETACVPIAGLTIFVWPLVVIASILMAIFSSIFVGLYASIIVYQERSFRRGLAYVIAMVAEFDEYTNDWLYLREGTFFPKPQYRKKKVSQSSVKGNSVAGSKVDPPVMFITGLAPSRSVRETIHEVKMVQIWGNMMKNCEIKGKELLHANVLTRDDLCEWLRGKNSNEAAIIGVGLPCYSLLQTLLFSIKANSSGLLLLEDFEVTYFNRPKDKLLDWFFNPVMVLKEQIRAINLEESEVRYLEKVVLFGSNKERLEAWDNGSFVLPDGLKAAQIEGFCRRMIGMTRSVSKLPTYRRKFRHVVKALVTYSLEKDVSGKALAIHFQEKDVCERALVTHFLEKDASGRSVGSIVSVPSDDNV >OIW07963 pep chromosome:LupAngTanjil_v1.0:LG07:7976637:7979345:-1 gene:TanjilG_20064 transcript:OIW07963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAGTDDDLPPTHQNRIPRGGRLAGNGRSAVGSLPYPPRMYGEIDMETQIHQLEQEAYSSVLRAFKAQADAITWEKESLITELRKELRLSNEEHRELLGRVNADDVIKRIREWRQAVGHQPGMLSTGQALHDSNPSPTVSASRKKQKITPSVPSRSFGGPSPFPPQTVTTPHQPSSSAGKRGSVPGSKGKKQKPGQIAPSVSSMKQYPSSGPGGRNQVPNRAEGVSFDSLIGRRVRTKWPDDNNFYEAVITDYNPADDRHNLVYDMGSTEETWEWVNLSEISPEDIQWVGEDPGINQRGAFGGSGHGMNRPVGRDSVPGAGRGRGAPKGQSRKDFLSSQNGIGKKAPGDIQILHTDTLIKEVERVFSTNHPDPLEVEKAKKVLKDHEQALIDAIAKLTDLSDGESGTYCFLPLCITS >OIW08358 pep chromosome:LupAngTanjil_v1.0:LG07:3626679:3629211:-1 gene:TanjilG_03034 transcript:OIW08358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDALQHPSVVQKLAGHSYLVSRLNPNFNSRNYSTSATCFNGGVSAAVSMTAPPPIERVKLFIQNQDELIKSGRLSEPYKGISDCFARTMNDEGIALWRGNTANVLRYFPTQALNFAFKDYFKRLFNYKKDKDGLMMQRLQRRVERGIYRGLYFGMYDSLKPVVLVGGMQDSFFASFLLGWGITIGAGLASYPIDTVRRRMMITSGDAVKYKSSLHAFQTMLANEGAKSLFKGAGVLAGYDKLQLIMVGKKYGSGGG >OIW08540 pep chromosome:LupAngTanjil_v1.0:LG07:2158588:2163328:1 gene:TanjilG_03216 transcript:OIW08540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLRPELHVAQQLRRDKLRIHETSSSQHQHLQQEFPNNLEQYLSLHPEFNLDLLQARDINNNVRNANILDEAPLYSSEVIPPHQYASFPHSSSTIIHSSPKQQCGNWMVNHGSTSSSVANQSNNLNSILPFELNNNMSTHQKHYDHKPSCNTNIVVTDAQSSSPSLYQNSLQDIFKSSSISGNTSEMASLQENQGILGGNASESVLYHQSYANQANMWTNQNSFNDKMINGQQQQQQKHGRNVFSDSKNPQGLSLSLSSNSLSNPCSQFEFDTQSLSGVSKHVKSFQESIPMGIPSNNTTHRNIGPLGPFTGYATILKCSRFLKPCQELLDQLCSRCRGLSASTSADVDENWVAEKRGISGASSFTLFYNSNEDTAADGGALRSFCISSRPECQMNKANLLFMQEENSMADTNPSYDLCKCFALVHNKVTRRYRQYHQQMQMVVSSFESVAGLSSATPYISLALKSVSRHFKCLKNGISNQVKLVCEVLGEDSSIPTTSTTTSSGKFDTNIARLRCMDQSSQKNKSGGSNMDIVESQQHLWRPQRGLPDRAVTILKAWLFEHFLHPYPSDTDKHMLASQTGLSRNQVSNWFINARVRVWKPMVEEIHNLETKGTTTGANNNPSKNEGTSCVSEGSSSRKFGERGYSNDEHGNLEKRSKFEMASSMEGTLMGFTPYRHGGGPYRHGGGGLGSVSLTLGLMHDVEGVQHQQQQLQQEQEQQLRGHFGGHMIHDFVG >OIW08384 pep chromosome:LupAngTanjil_v1.0:LG07:3442281:3448838:-1 gene:TanjilG_03060 transcript:OIW08384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRSSVYTLSVATAFLLLLYVPMIISARHISHSHSGHGRDFKLANTKEGSKVGKAKFYLEGRHATKHVDEDEIYWRRRKEDEELEWSHNSTRLISQLAQCFTNAMVGSRSWIGGLFNRTNTRRSSSEKFVDYPLSPIEEEKLQRLQEQLQVPYDETRSDHQEALRTLWHCSFPNVSLSGLISDQWKDMGWQGPNPSTDFRLMLKKDGNRATYEYPFAVAGINISFMLIQMLDLCSGKPRCIPGINFVKLLGDNEEAFNILYCIAFEMMDAQWLAMNASYMNFNEVLQATRMQLERELSLEDINTMQDLPAYNLLYK >OIW07820 pep chromosome:LupAngTanjil_v1.0:LG07:9977896:9985059:1 gene:TanjilG_32676 transcript:OIW07820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLVSHGNADRDIEQALIALKRGAQLLKYGRKGKPKFCPFKLSSDESSLIWISSSGERSLKLSSVSKIIPGQRTAVFKRYLRPEKDYLSFSLIYNNGKQSLDLICKDKAEAEAWTAGLKALISSGQGGRSKIDGWSDGALNLDDNRDLSPSESPARASRDASSPDISVSLPNTSLKSFKPDTTINLERSHAPSDPTNMQVKGSGSDALRVSVSSAPSTSSHGSAPDDYDALGVVYIWGEVICENVVKVGANKSVNFSSPRADVLLPRQLESDVVLDVYHIACGVRHASLVTRQGEVFTWGEESGGRLGHGFRKNVVQPRLVEALASTSVDFVACGEFHSCAVTTAGDLYTWGDGTHNAGLLGHGTDISHWIPKRIAGPLEGLQVAFVSCGPWHTALITSNGQLFTFGDGTFGALGHGDRENVSYPREVESLLGLRTIAAACGVWHTAAVVEIIVTQSSASVSSGKLFTWGDGDKGRLGHGDKEARLKPTCVSALIDYNFHKVASGHSLTVSLTTSGRVFTMGSTVYGQLGNPHSDGKLPCLVEDKIAGEYIEEIACGAYHVGVLTSRNEVYTWGKGANGRLGHGDVEDRKTPTLVEALKDKHVKYIACVSNYSAAICLHKWASGTEQSQCSACRQAFGFTRKKHNCYNCGLVHCHPCSSRKALRAALAPNPGKPYRVCDSCYAKLNKVAEASNNNRRNALPRLSGENKDRLDKSEVRFSKSVVPSNVDLIKQLDIKAAKQGKKADTFSLVRTSQAPSLLQLKDLVLSTAIDMKRTVPRPVVAPSGISSRSVSPFSRGTSPPRSVTPFPTTSGLSFSKSIADNLIKTNELLNQEVQTLRAQVERLKQRCEQQELELQRSSKKTQQAMALAADESAKCNAAKEIMKPLTSQLKDLAEKLPPGVYDAENMRPAYLPNGFESNGIHYPDSNGEQHSRAESISSSSSASIGVESTLLNRTEGNLPGTYGTNWGLDNQSDNYPDVKLPNVGGVLQASSSSMSDTVDGRDSGHFHDNGSSLRSTNTAQAGNSDQVEAEWIEQYELGVYITLVALHDGTRDLKRVRFSRRRFGEHQAESWWSENREKVYEKYNVHSTDKSSGRLSDT >OIW07693 pep chromosome:LupAngTanjil_v1.0:LG07:13668860:13673096:-1 gene:TanjilG_30770 transcript:OIW07693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLENSASWDDFLHFIDPNPSSPTDFLLSNPSVSTEIDFSGGAVACQESSRKRFCDLSSVLETGRPVKTDKPAILDDAIRVLTQLKTEAQELKETHEKLLEEIKCLKAEKKELREEKLVLKADKEKIEKHLKGFSIPHAGFMPPPPHVAAYQGGMNKMAVYPNYGYIPMWQYLPLSTRDTSHDHELRPPAA >OIW08631 pep chromosome:LupAngTanjil_v1.0:LG07:1462472:1474292:1 gene:TanjilG_03307 transcript:OIW08631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVNDCPKHSIPDSCFARFLGRFSFQSLKQNPLLGPSSLTLEKMGALQVDNVVHRHQIWRLFSCIWLHGGVVHVLANMFGLVLIGIRLQQEFGFGISVGASGALLGLLGAMLSELLTNWTIYANKFAAILILIVIIIINLAAGILPHMDNFAHIGGFISGFFLGVVFLIRPQYKWVSQTHSGFVAPPVNSKHKPYQYVCAGVCVSKEMGMASVVFYIASIMFTLMCMHVEARIPGVYSGGAWQSAHATFYGGSDASGTMGGACGYGNLYSQGYGVNTAALSTALFNNGLSCGACFEIKCANDREWCHSGSPSIFITATNFCPPNFALPNDNGGWCNPPRPHFDLAMPMFLKIAEYRAGIVPVAYRRVACRKQGGMRFTVNGFRYFNLVLITNVAGAGDITRAYVKGSRTGWMPLSRNWGQNWQSNAVLVGQALSFRVTGSDRRTSTSFNIAPHNWQFGQTFTGKNFRV >OIW08299 pep chromosome:LupAngTanjil_v1.0:LG07:4157846:4166140:1 gene:TanjilG_02975 transcript:OIW08299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFCCGKRKQKKPVETASCVDSEDSSVGWTEFSYFRLELQIPNGGKEQEFRHFFTGKNMEELEFKKKGTVLIVDGKSEDCIETEFANRFGEDIIKRQAFNEVKRMKTHVTKGVRKTVRKTLLFLSPLILGMSGNNDGQKQHHLLHGGVGGGARTNNNNSSFVPSSFRVLSSYLKVVSSVARSAAASAASVVSSIVDRDDDADHDQVIWAGFDKLEDEGEVIRQVLLLGYRSGFQVWDVDDSNNACELVSRHDGPVSFMQMVPRPIVSMRHEDKFADRHPLLVVCTDGFFSGGGNVQDGLTTPSGGGTSVYQEQVNGNYLPTNVHFSSMRTHSYVHVLKFRSVVYSVRCSSRIVAVSQSTQIHCFDTTTLETKYTLSTNPIVLSYCGSGGMGYGLLAVGPRWLAYSGSLVTASTSRCVSPQHLTPSASFPGFSSNGSLLAHHCKESSKQFAAGIATLGDMGTNGVIDSHSLDVDNIGMVIVKDIISEDVVCQFRAHRSPISVLCFDPSGTILVTASVQGHNINVFKIMPGCESLSTSDAAPFHVHLYRLKRGFTNALIQDISFSVDSKWVMISSSRGTNHLFAINPHGGPVNIQPYDDSFTANNDGLGVMTDQAVHRPLQNFKQQNLCAAGHPITLSVVSRIRTGSDCWRGTVTSTAAAAAATGRTSSLSGAVASSFHNFEGSSALYVEGNNSKDFFYLLVFSRGSLIQYALHMQTFNGLDLPVVTGFAPAYESAPQTDPRVVVGAIQKWIIRQRRSRRERADNIDMYGDNGISDSNKIYPEEVKEKHHLYISEAELQMHQVDTPLWAKAEICIHSMGKEAVMMMDEKAASGGEIEIERTLAADNKLDDPLLYQNSGLFEMGSTSPSIIFGSPEYMIKHDGAIAEFKSGFEGNEWNNHFDSSRNKGLYK >OIW08001 pep chromosome:LupAngTanjil_v1.0:LG07:8672226:8684370:-1 gene:TanjilG_20102 transcript:OIW08001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGISSTAIENNVETSLGDIPESCISFLLMNLEPQEICKLAIVNKNFHKSSTADFIWESKLPPSYKYLINKVLGDQENIGTMTKKEIYAKLCQPNFFDGGTKEVWLDRCSGQVCLSISSKSFKITGIDDRRYWNHIPTEESRFKSVAYLQQMWWVEVLGELEFEFPKGNYTLFFKLQLGRASKRLGRRVCNLDQVHGWDIKPVRFQLSTSDGQNSLSQCYLHAPGEWAYYHVGDFVVDKPNRPTTIKFSLAQIDCTHTKGGLCIDGAVIYPTELAERFEHF >OIW07480 pep chromosome:LupAngTanjil_v1.0:LG07:18248891:18249613:-1 gene:TanjilG_14426 transcript:OIW07480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHNFFRIGGVAADLPHGWIDKCLDFCDYFLTRVVEYQQLITRNPIFLERVEGVGIVCGEEVINWGLSGPMLRASGIQWDLRKVDNYECYGEFDWEVQWQKEGDSLARYLVRIGEMIESIKIIQQALEGIPGGPYENLETRYFDREKEPEWNDFEYRFISKKPSPTFELPKQELYVRIEAPKGELGIFLMGDQNGFPWRWKIRPPGFINLQILPQLVKRMKLADIMTILGSIDIITGDVDS >OIW08083 pep chromosome:LupAngTanjil_v1.0:LG07:6614904:6617193:1 gene:TanjilG_21063 transcript:OIW08083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFDPNSANKFPHLSGNFPENPNIKSEVPIILPPQQTSILEPSSPNNTFYNKNNFHHFQHYYDLLNGTNHHHGFLMMGPSSSITNYFSMFSNPSSGESLRNIVKIQDMHGHLNNENYLLDFSKTNPIHQCGVSSQPHVGLSLYPPVIYASTKGNYDTEIVHRITTKAQWTEDEDRILIQLVNCFGPGKWSLIAELMKGRVGKQCRDRWNNHLRPNIKKDSWTNEEDKILIEAHKEVGNKWTEIAKRMPGRTENTVKNHWNATKRRKTAKKQSHMSTYSNGTLLHNYIMQVTKGGAEKELNKTMSNITLENKNCNDVGVPSKGEFTSMDSRTMVYGQHQNYEVGWNLQHNMYTINRNGVNDDDY >OIW08104 pep chromosome:LupAngTanjil_v1.0:LG07:5783277:5788882:1 gene:TanjilG_06647 transcript:OIW08104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIVKSIVFPIVQCTAGPIWSQLSYIIFHNSNFNKLKTQLHKLEEAKQTLQQRVNAATQKGEEIFEAVRNILTEAGGAITEANNFLNNEDNERVGCLNGPLPNLWIMHQLSKNSTEKAKIISEIITKVNESINMPLISSPPRLQVAFSPSDRGYEALQSRTLIMNEIMQKLKDDNMHVIGVYGMGGVGKSTLIEEVAWQAEHGGSFNAVMVATVTRSPEIEKIQDQLADGLSLKLNKMSPRGRARELWDKIKKEEKVLVIMDDIWEKIDLKEVGVPFGDQHKGCKLLLTSRDRNVLKKEMGTQTNFRLNVLYEEEGWNLFEKATSDVVKKQYLKPTALEVAGACMGLPLLLVTVGKALKNEEDLCAWKGVLSQLTKYDHEEYSSLENKVVELSYKYLASSLHKSLFLLIGSHGENYVHIEDLFVYGWGVGLFEHVETLADARNKLDKLIYDLKASSLLLEGEREWVRMHDVIREGAAKLASRVQPTFLKQNCTNLKEWPKIDQLRNLKHIFLNWCYISMLPEILECPKLEILLLCSRDNYLKVPSHFFSRMSDLKLLDLGGMMCTPSLPSSFGLLTRLRSLGLYRCMLDDLTIISKLTSLEILSLEDTEIEELPEEIGQLTHLRRLNLNNCTRLRIIPKNIISSLKYLEELHMGSCCFKWEVEGSEQCNNASLGELRELNHLRSLHTQIQDISIIPRGLLIFGKLEKYKILIGDGWKWCWGYAGYSETLRTLKLNMRSTTMTSLDNGIKMLLNEVEDLSLAEVKGARNVLPEFNREEGFAQLNHLLIQNSGEILYIIDSTKWVIPFQSFLCLESLVILNLINLEKIYCGQVKNHIFTKLQVIKVEGCEKLKNLFSFSMAADLPQLLEIEVSDCKFMTGVIVQQRDEEFGDHDQINLPKIHSIKLESLPNLISFSSKEWTKDIQSGSNLAKHKDNLSNPLILFSDKVGMPNLETLSLSSINTLKLWDDILIPSCLQNLTHLTVDSCGGLKYLFSLSMAISLEKLRYLKISDCQMMEDIFVNLQSALNPLTQEKVKFPSLETLVVSHMDNLKAIYNDELASNPFSKLIKMEISYCQKLPSIFPSYVLNNLCNLETLVVSNCAALKVIFETQGLHNVSMELLQLQVLEIKDCGVESIVSNSEMVEEHPRFVFPQLTSLTFWLLGELQSFYPGIHTLDCPSLEILDVYYCNKLELFQSKSQNFQEVVSFHGQPLFSSLKAIPRICELGLRSKDITNICNDDDLYAVKYLCLQCFHNELERFPIGFLQRFTNLDNLTVACSYFTEIFSSEDVGPIMNLKTLSLHILPELEHLCKGKSEIHPILQNIETLRLTLCSRLTIVISSSTLQQFQNLDELCVGKCSGLVNIITLVAERTFLKLRKLVIYNCEKVEEIVAREDDSDGSEIVFMKLEHLELKNLPALTSFCKENFIFKFPLLQTLHVMGCPRMETFTHGNLITTKLTKVRVTEAADDAWRWEECFSMLNGYMMLQPTCDQQKEKTKKLPKGIHWFCGFGTCEFPSLLLGREGMWLHFGE >OIW08585 pep chromosome:LupAngTanjil_v1.0:LG07:1793707:1809903:1 gene:TanjilG_03261 transcript:OIW08585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRNQQRSGQRWKEKPKADDNLSATRDAESAAATATENVRNRLAVLHTADNSGTKARWKPKSYGTSSGAATPAETENKSATKVTGDNLPVHADVASTKKSSNTGLSKLFQSELLENFTVDNSTYSRAQIRATFYPKFENEKSDQETRTRMIEVVSKGLATLEVSLKHSGSLFMYSGHEGGAYAKNSFGNIYTAVGVFVLGRMFREAWGIEASKKQAEFNDFLEKNHMCISMELVTAVLGDHGQRPREDYAVVTAVTELGNGKPIFYSTPEIIAFCRKWRLPTNHVWLFSTRKSASSFFAAYDALCEEGTATSVCKTLDEIANISVLGSLDHIKAQGEILEGLVARLVSHESSNHMEKVLKEFPIPPADGAALDLGPSLRDICAANRSDEKQQIKALLESVGGSFCPDYSDWFGSDGADIHSRNADRSVVSKFLQTHPTDYSTKKLQEIVRLMREKRFPAAFKCYHNFHKVDAISSDNLFCKMVIHVHSDSTFRRYQKEMRSTFNLICFLIIQLHYFPLVCIIHFFDNLSYNLLAFSGTDQDCGHYIEANKERSTEISKSNGISESGGNSSEKDELADEDANLMVKLKFLTYKLRTFLIRNGLSVLFKEGPGAYKAYYLRQMKIWGTSPGKQRELSKMLDEWAVYIRRKCGNKQLSSSIYLSEAEPFLEQFAKRSPQNQTLIGSAGNLVRTEDFLAIVNGGEDEEGDLATEREIAPPGPSVSVKDTVPKNEGLIVFFPGIPGCAKSALCKELLKAEGGLGDDRPVHSLMGDLVKGRYWQKVAEERRKKPNSIMLADKNAPNEEVWRQIEDMCHRTKAYAVPVVPESEGTDSNPFALDALAVFIFRVLQRVNHPGNLDKASPNAGYVLLMFYHLYENKSRKDFEGELIERFGSLIKMPLLKSDRSPLPEAVQSILEEGVDLYMLHTKRHGRLESTKGSYAKEWIKWEKQLRDILCGNAEYFNSIQVPFEFAVKHVLEQLRSISKGDYSPPDTEKRKLGTIVFAAVSLPVPEILDVLNNLAEKNPKIGAFLKDKHLEKLSRAHLTLAHKRSHGIKAVADYGLFLHKTVPVELTALLFSDKTAAFEAFPGSVDGEKIISKNAWPHITVWTSEGVAAKEANMLQQLFSEGKAHRIDFNPPISVSGTIEFF >OIW08582 pep chromosome:LupAngTanjil_v1.0:LG07:1818590:1826482:-1 gene:TanjilG_03258 transcript:OIW08582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVAETQRTLYPYVTGSSVVAIKYKDGILMAADMGGSYGSTLRYKSIDRLKPIGKHSLLGASGEISDFQEILRYLDELILYDNMWDDGNSLGPKEVHNYLTQVMYNRRNKFNPLWNSLVLGGVKNGQKYLGTVNMIGINYEDNHVATGLGNHLARPILRDEWHENLTFEDAVKLLEKCMRVLLYRDRSAVNKIQISKITEEGATLFPPFSLKTNWEFSAFRNPTAGAEASLSIWANISDPLPVPGSLSSTPICYTNALFFVILTLTSVAAKKGHWIIRKLPRLSAPSRSYRRRVRNRLLKSRKPTLDQAQFQLAISQIPPRFTPEELFNVLTHQNDPLVCLELFHWASQQPRFRHDVSTYHVTIKKLGAAKMYQEMDDIVNQVLAVPSVGSEPMYNTIIYYFTEVRKLTRAVNIFKHMKSSRSLNCKPSIRTYHILFSALLSRGNNTYINYVYMGPIRSLFRQMVNDGIEPDIFSLNLMIKGYVLSLHVNDALRIFHQMGGVYDCKPNTFTYDYLIHGLCAQGRTNNAKELCHEMKTKGFIPSSKSYNSLVSALALGGEVDEAVNYLWKMIEKQRSTDFITYRTVLDEICRRGRVQEAMKLLQELQEKDLVEGHDYRKLCYVLEDDYGNSVSRTGSGSLVVIHNFCFEDSLASKPGYFMFELILMNRDDQIY >OIW08544 pep chromosome:LupAngTanjil_v1.0:LG07:2120779:2121190:-1 gene:TanjilG_03220 transcript:OIW08544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSHAENLEEPTVKGFEVPNSPDSSYNNVYIGNEDEARDPPMVPLHLQHTLLSYPANSDNSGSLPLPQNVILDHLYIENRESSRSVVALGHTHRFRSKYVTVVLYKPVQRS >OIW08098 pep chromosome:LupAngTanjil_v1.0:LG07:5745124:5750815:1 gene:TanjilG_06641 transcript:OIW08098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQVPTLLMKSLPLRCSMPQVSPSIIQQIASNQPKPKSKGGVAKVVLKKGKTQLFKDGNPMVYSGAVDRIIGKPPPKTGDIVVVADGTEKPIGWGMYNSVSMFCVRLMQLQDEATSDSLNMEKLLETRIEAAVELRRSLGLPSVDTNVYRLINSEGDRLSGLIIDVFGDVAVVASSAAWVEKYKPEIEACIRKIDYINHINWRPSVEILKEDGVNVSDLKEMHSNSTTCPERTKIMENGIAYTISLKGQKTGFYADQRENRQFISRISGGQKVLDICCYSGGFALNAVRGGALNVTGVDSSLPALELAKENVALNNMDPGRISFLKEDATEFMKGALLRDESWDIVIIDPPKLAPSKKVLHGASGMYRNLNSLAMQLTKRGGLLMTCSCSGAVTQSGIFLRILQGAASMAGRKITVLRQAGAACDHPIDPSYPEGAYLSNVLLRVS >OIW07637 pep chromosome:LupAngTanjil_v1.0:LG07:16173404:16175559:-1 gene:TanjilG_03745 transcript:OIW07637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKPHIAVVPSAGFTHLVPILEFSKHFVNLHQDFQVTCIIPSVGTIPTSSKSYLQTLPPTIHSIFLPPISTEEVQQDTNLAVQIELSVSISLPYIRQELKSLCSRTHVVALVVDVFAHDALDLAKELNLFSYIYLPQAALMLSVYINSSKLDEILSSEGRDPNEPFEIPGCVPLHIKDLPLPFQFRSSTGYMKFLQRAKKFHLPDGVLMNTFFELEPDTVRALQELIRGKPMIYPVGPITQSGSIGQENGLEYLTWLDKQQPKSVLYVSFGSGGTLSQDQLNELALGLEQSGQNFLWVVRAPSNIATAAYFEDGIEDPLKFLPNGFLERTKERGLVVPSWVPQVQVLAHTSTGGFLSHCGWNSVLESVVQGVPIIAWPLFAEQSMNAAMISRGLKVALRPKANKDGLVEREEIAKVVRGLMEGEEGKIIGKRMEQLKNAADNAISESGSSIKTLSEVAANWSDIWQNMKC >OIW08069 pep chromosome:LupAngTanjil_v1.0:LG07:9669062:9674743:1 gene:TanjilG_20170 transcript:OIW08069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAFGKKLKDRQIQEWQGYYINYKLMKRQVKQYAQQIELGTQDRRHVLKDFSRMLDNQIEKIVLFLLEQQGLLASRIKKLGEQHDALQEEPEIHKITELREAYRAVGQDLLKLLFFVEINAIGLRKILKKFDKRFGYRFTDYYVKTRSNHPYSQLQQVFKHVGLGAVVGALSRNLHELQDRQGSSYLSIYDLPTLPLQDPVIDSIKAAVDRLTHSTNTLNFLGQHAVIMQEELPTPSEEHVDDDRYHFISLLLNLANTFLYMVNTYIVVPTADDYSMSLGAAPTVCGIVIGAMAVAQVFSSVYFSAWSNKSYFRPLVFSSIVLFLGNILYALAYDLNSIWILLIGRLLCGFGSARAVNRRYISDCVPLKTRMQASASFVSASALGMACGPALAGLLQTEFKIWKLTFDKDTLPGWVMAVAWLIYLIWVCIAFKEPSRDTEENHMPQQSNDEVNRALEKGLNQPLLITSEDKVDEDADQDYDDSEEASEDSRQPAHSIRSAYRLLTPSVKAQLLIYFMLKYVMEILLSESSVITTYYFNWSTSTVSIFLACLGLTVLPVNILVGSYISNMFEDRQILLASELMVCLGVLLSFHIIPYSEPQYICSGLLLFVSAEVLEGVNLSLLSRVMSSRLSRGTYNGGLLSTEAGTIARVIADATITLAGYLGESRLLNVTLIPSLFIAISSIIATCYTYNSLF >OIW08794 pep chromosome:LupAngTanjil_v1.0:LG07:201167:202577:1 gene:TanjilG_16375 transcript:OIW08794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFKNANVPVGRISALIKVSIIGGLVVYGAGNALYNVEGGHRAIVFNRLTGVKDKVYPEGTHIIIPWFERPVIYDVRARPHLVDSTSGSRDLQMVKIGLRVLTRPVPDQLPTIYRTLGENYNERVLPSIIHETLKAVNVSREIRKILTQRASQFNIALDDVSITNLTFGREFTAAIEAKQVAAQEAERAKFVVEKAEQDKRSAVIRAQGEAKSAQLIGQAIASNPAFTTLRKIEAAREIAQTISNSANKVYLNSDDLLLNLQELNLEPSGKR >OIW08287 pep chromosome:LupAngTanjil_v1.0:LG07:4286618:4288743:1 gene:TanjilG_21753 transcript:OIW08287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEAPRDYNLLENNNKTLDFIEDVTSNADHVQKKVLQEILSLNANVEYLQRHGLNGQTDRDTFKKLLPVVSYEDLQPDINRIANGDTSPILCSKPISEFLTSSGTSGGERKLMPTIEDELERRSLLYSLLNPVMSQFVPGLEKGKGMYLLFIKSEAKTPGGIVARPVLTSYYKSSSFRNRPYDPYTNYTSPNETVLCPDSYQSMYSQLLCGLFQNKEVLRVGAVFASGFIRAIRFLEKHWTLLSNDIRTGTINSLITDTKVRESVMNILKPDPKLADFIQSECSKSSWQGIITKLWPNTKYVDVIVTGTMSQYIPILDYYSNGLPLVCTMYASSECYFGVNLNPLCKPSDVSYTLIPTMCYFEFLPVNRTNGVSDHSLQTPRSLNEKEQKKLVELVDVKLGQEYELVVTTYAGLYRYRVGDVLKVAGFKNKAPQFNFICRKNVVLSIDSDKTDEVELQNAMKNAVTHLVPFDASVSEYTSYADTNTIPGHYVLYWELTLNSSTLIPPCVYEDCCLTIEESLNSVYRQCRVSDKSIGPLEIKIVEQGTFDKLMDYAISLGASINQYKTPRCVKFAPVLELLNSKVTSSYFSPKCPKWFPGHKQWINQN >OIW08403 pep chromosome:LupAngTanjil_v1.0:LG07:3296074:3297309:1 gene:TanjilG_03079 transcript:OIW08403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRTPSCDKSGMRKGTWTTEEDKKLIAYVTRYGCWNWRQLPKFAGLQRCGKSCRLRWLNYLRPNIKRGNFTKEEEEIIIRLHNKLGNRWSIIATHLLGRTDNEIKNYWHTSLKKISQQTKVTNENSKASSKPKDNESNLGSYSLPNNVSLQVTPPTTTSQISDSITSSLSPLSSSSEFSSISWGDTNCTTKLVIEDDFPFLDDAFWTKSDIVDISYTPPSEIVQGDSNDAFESLYTTQNLAALSPQYQSSSESMVLESGSFLDADTEVKMDNFWTQPFVSDTCQVPNQLLTPFETQSQYFSSVHGADFWNQDDNDCYLYDGVMDLV >OIW08224 pep chromosome:LupAngTanjil_v1.0:LG07:4839980:4840144:1 gene:TanjilG_15185 transcript:OIW08224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEKINREVRLRELINNVRNNKSYGKRSMLGARLDRVSPEGPDSQHHKPIAMSN >OIW07627 pep chromosome:LupAngTanjil_v1.0:LG07:16853792:16856178:1 gene:TanjilG_16608 transcript:OIW07627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPPREGGQSHHLCPLLSPGGSEHDRWRTPPWWGAASHHSILPGPQNYGAKSLSLSRTSQTRPVVVGMQPLPYNIRKGELRVDTPRPPHKVKSPKLDDNGPGFPPRDDDGNGGNGGGGGGNWSGGLALLGILGGLDILKDIEIEWLKKGKKRDPFVTAN >OIW08561 pep chromosome:LupAngTanjil_v1.0:LG07:1958974:1961558:-1 gene:TanjilG_03237 transcript:OIW08561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVSHASVHPVVEAANNEQNGVVPIPRVRMKDIQGMPGTVGGLSLRVSQFIFAAAAISIMVSTNDFPSVTAFRYLVAAAGLQTLWSFLSAIIDIYALLVRRSLQNSRVVRLFAIGDGVTSTLTFAAACASAGITVLIDNDLESCGQNHCVQFETATGMAFLCWFTTLPSFLLNFWSLASP >OIW07610 pep chromosome:LupAngTanjil_v1.0:LG07:17073328:17076999:-1 gene:TanjilG_31169 transcript:OIW07610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENTNTLLYLCLILLSISLHFVLTQSAPENSLITQLPGFNGTLPSKHYAGYVTVEKSHEKNLYYYFVASEGNPSKDPVVLWLNGGPGCSSFDGFVYEHGPFNFEKPKTKGTLPKLHLNPYSWSKVSNIIYLDSPAGVGFSYSKNKTDYNTGDFQTAFDTHAFLLEWFKLYPEFLPNPLFISGESFAGIYVPTLAHEIAKGIDSGVTPKLNFKGYLIGNAVTDKIFDGNALVPFAHGMGLISDQLFETVTAQCKGKFYGLPDTQECKSVLGRVYLNIGYLNIYDILEPCYHDSSDSEKLANATNIPHSFRQLGETEKPMPVRKRMFGRAWPLGAPVTDGIVPNWPQLSVGAHVQCTVTNPCLRQDRIFMTKTTELGLRYDRVILTNETEPSLRHDRCDQAKLEACPCNSD >OIW07472 pep chromosome:LupAngTanjil_v1.0:LG07:18148373:18149304:1 gene:TanjilG_14418 transcript:OIW07472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSCCGGNCGCGSGCKCGNGCGGCKMYPDLSYTESTTTETLVMGFAPVKAHFEGSEMGFGAENDGCKCGANCTCDPCTCK >OIW08493 pep chromosome:LupAngTanjil_v1.0:LG07:2522583:2523932:1 gene:TanjilG_03169 transcript:OIW08493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAEGIFRINADNTHDEYIREQLNRGLVPDNIDVHSLAGLIKAWFRELPTGVLDSLSPEHVMQCQTEENCAELARQLPHTEASLLDWAINLMADVVQHEHLNKMNARNIAMVFAPNMTRMADPMTALMYAVQVMNFLKTLILRTLRQRKDFVVEPSPGFCSEPFDDNEDHSDLFYSFQQDAATENEEGGETFVSEKAVSECSPESLQNINSTEGECGSLVSSYEDLLWNEDLHCEFPLKGKVEKSKTGQPGSSSTIKGLQKTKGQQPVTHGTMAVEKGISNLSLIDSRSERIEAWR >OIW08114 pep chromosome:LupAngTanjil_v1.0:LG07:5867277:5868248:1 gene:TanjilG_06657 transcript:OIW08114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPKIRTVRTLVSKLSSVSERIRTDALSELRQLSKHDPETRPMISDSGAIPYIAETLYSSSHLSQDNAAATLLNLSISVKEPLMATRSVLDGIAHILSHHSTTSSAAAVQSSAATIHSLLATVEGFRSVVGSKREIVYALVDILRSHNSCPPRTIKDALKALFAISLYPLNRATVVHLGAVPALFSLVVKDGRVGIVEDATAVVAQVAGCEESAEAFRKVSGIEVLADLLDLATCASMRTKENAVSALLNLVRFCGESVVNDVREVLVDVVTALNGISDVAGHGSSKGRSKASELLKILFDESDGFSNSSVLSTETKFDSLNE >OIW08784 pep chromosome:LupAngTanjil_v1.0:LG07:155448:157316:1 gene:TanjilG_16365 transcript:OIW08784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCIISNLISCVIALVVSSLVVCSEARFLLVNAPGDGFVQSKGTEFVLNGSPFLFNGFNSYWMMNVAADPNQRSKVSNVFREASSVGLTVCRTWAFSDGSNQYLQISPGLYNENMFQALDFVVAEAKKYGVRLILSLVNNYNDFGGRPQYVQWAKSSGIPVNNDDDFYTNPVIKGYYKNHVKRVLTRVNTITGIAYMNEPTIMVWELINEPRCQVDYSGKTINAWVQEMAPYVKSIDNKHLLEVGLEGFYGDSIPDRKQYNPGFQVVQMAFTGSWMSSHLQDSRTILKKPLVLTEFGKSKKDPGYSIEGRDSFMNNMYSSIYNLAQNGGTFGGGLVWQLLDEGMDQCEDGYEIVLSQDISTASIISRQSSKMVALAHSRQGSKG >OIW08584 pep chromosome:LupAngTanjil_v1.0:LG07:1810621:1813612:-1 gene:TanjilG_03260 transcript:OIW08584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETSSDLNEHNLNVDIPIEIVSDQEMALIEAAFAFASSSSSSSSSLPLRSSPPPSPPLILQNNSFSIKSITIVSKRRLSNGSGSHHPLDIEDCPSKLVTCAHNKKTKVPHSFLHRFRTRRALSVTDLTSTEWCEKQMEFSLQIGKRKVTQAMKAGIARHAKLEQEVITRVEVKIKSREDGWALKFLNFINGVNQLLFEGLTRELPVVGIVEGVWMVGVIDEIRMPLTENDHNPVLIDTKTRSQYKLPSEPQQRNGRHAYSTTYIILLGVELLQLMCYKCLWDNSVADDFPSMKFFTFFGLNPQYNLCEDLKIMSADSGFSASTLDDVVRYYSNTCKMLAPAHDQLLLRYEYQKDHSLLGEDKFAYDSVWLKNQICCCLEFWHGEREASYASEDERWKCGFCQFANVCPLYTDDSKNTSNDSNITNA >OIW08557 pep chromosome:LupAngTanjil_v1.0:LG07:2004805:2008822:-1 gene:TanjilG_03233 transcript:OIW08557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLELKFVFAFAISLLWIQHSFCDKPSNTSVLSQWKCRCSSFPENESYSLANCSKSCDCHSDAEESTSIWTCICDPDGLPQVASDGHSSKCFTACNCTSGTVSASLGSKKHISGRTVVLILLTCVLCITIVFLASLIFYVYRRDRCSIQSPIFSPDKETSGSTTNLISHRTEVSSVPETKFTTNSPICHITGCFPKASFIFGSQKETFHGNIIQFSFDELVNATESFSASNLIGLGGSSYVYHGRLKDGSDVAVKRLKDQGGLDADSKFFKEIELFSRLHHCHLVPLLGYCAELKGRVVQRLLVFQYIINGNLRDCLDGVSGQNLDWSTRVAIAIGAARGLEYLHEAAAPKILHRDVKSSNILLDENWQAKITDLGMAKSLRSDDLPSCSNSPARMQGTFGYFAPEYAIVGRASLESDVFSFGVVLLELISGRHPIHKSTGKEESLVIWAVPRLRDSRRVITELVDKKLNGNFQEEEVQIMAYLAKECLLLDPDTRPTMSEVVQVLSSISPGKSWSRRKISANLFQEHDDTEKQRQCQSSLTLDINHKNKEAVEHEENFLFSKTECWHASEEEIVDLTEPRFESFCMTNIHSP >OIW08478 pep chromosome:LupAngTanjil_v1.0:LG07:2606501:2607142:1 gene:TanjilG_03154 transcript:OIW08478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANYSPLTTHHNQPKCCCRKCCGCGCILCTILVIIIIILIAFFTVPMAVLKLPHFQIGSLSLTNFAYTQSVTGTWQINLLIHNPNDKFPLNYDTFRTVLYYKNATLSESHVAPFMQNIKSDTTLNVTISAANVNVPQSYSLLMNKEITERGSVEFNVEIVTSIKFGTVKRLFKEKRIMKRDIFVECSRVQVRIMSNATSGELASAMDCQSYDN >OIW07919 pep chromosome:LupAngTanjil_v1.0:LG07:7563222:7568279:-1 gene:TanjilG_20020 transcript:OIW07919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFITPDSSSNHHSPISGPPSQAVSVDSSPSFPPRTLLSPWAQVVRGSDAVSSTPIPQSTPMSSSPSSSSALAPDQAMSSDSVENSNSNTVVGGSSPLSGANDGNGGRSKKPVWNKLSNGVVLEAGPVMGAESWPALSESTKVSGKLQPESLSSKIASEGSSLSSSQAPLASHSSQKQATSNAKLNSSTSYNMPNRQRSMKRGGVSNTGSGPAESSFSNPPPPPPLPPPFPVYQRHPSSYGIPDHSPRDHYRNNNWDTRPPTGGFVPAMNGHRGSSRRGNFGPHPRGDGSYHNNYGSRRDKDRGNYANSRDAHVHQPRIPPPRGLLRHPSPNTAGFVAPQPIGGPFPNPAGFPEYYYFPTPLFDHFKGMPFITHGPPPALFFPAVESPLTNMIVSQIDYYFSEANLVKDEFLRSNMDEHGWVPVTVIADFPRVRSLTNNIQVILDSMRASTVVEVQGDKLRRHNEWEKWVPSAKLQADSASVSPVGSRHNLAADFQTIALENATTEEGTAESFNQSQLPNGDAARNTN >OIW07471 pep chromosome:LupAngTanjil_v1.0:LG07:18137741:18138512:1 gene:TanjilG_14417 transcript:OIW07471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLSGKLQQVHGIHIKKEDEDNNIFGNYESASVYQHNRSIDNNQPPQIEDQDQGHLSIGHEINFEVKSTSEESKEIHVVDRMLNEHGSGLGDDLKLKISPQCPTSCISSLSSTPFNKTCASSMLGSNENLSDASKDEEISFTLVGCTRCYMYMLVSKDNPRCIICGNGMLIDKFD >OIW08380 pep chromosome:LupAngTanjil_v1.0:LG07:3484021:3485740:1 gene:TanjilG_03056 transcript:OIW08380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKIKHSHIEVKGLKLHVAEIGSGPKAVVFLHGFPEIWYTWRHQLIAVANSGYRAIAFDFRGYGLSEHPADPQKETLYDLVDEIIGLLDALSISKAFLVGKDFGAIPGYLAAAVHPERVDAIITLGIPFILPGPDSLQIHQHLPKGFYITRWREPGRAEADFGRFDVKSVIRNIYTLFSGSEVPVAADDQEIMDLYDPSAPLPPWFSEEDLETYASLYEKSGFKYALQVPYRSFDTEGGLSDPKVTVPALLIMGEKDYVLKFPGIEDNIRSGAVKNIVPNLEIIYIPEGSHFVHEQFPEKVNQLIIEFLDKQSI >OIW08190 pep chromosome:LupAngTanjil_v1.0:LG07:5315578:5320309:-1 gene:TanjilG_26479 transcript:OIW08190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMEPAWATIAAIVVTVILSLVVAWKVLNSIWLRPKRLERLLRKQGLQGNPYRVLVGDVKEMLKMEKEAKSKPMTLSDDIVPRIFSFLHQTLTKHGKNAFIWHGPKPRVIIIDPELIKDVFNNIHDFQKPIVNSNIKLLAPDLLMCEGEKWHKHRRILNPAFKLEKLKSDIISRAAFGSNYEEGERIFQLLKEHAQLTTRVVKLNYIPGWRFVSTSNRRRMKEIDGDIHASFKGMINKREEAMNAGEATNNDLLDLLLESNHVSIQEDGDNKNVGMTLEEVIEECKLFYLAGSETTSALFVWTMVLLSRYPHWQTIAREEVLQVFGNRSPDFDGLNQFKIVTMILYEVLRLYPPAVMLTRTVNKDMKLGKLSLPAGMHVSFPIVLVHHDCELWGDDAKEFNPERFSEGIVKATNGQGSFIPFGGGPRICIGQNFVMLEAKMALSIILQHFSFELSPAYTHAPTSGISLQPQYGAQIILHYYEI >OIW07730 pep chromosome:LupAngTanjil_v1.0:LG07:12945541:12955601:-1 gene:TanjilG_11857 transcript:OIW07730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENPKATAHKEFPLGLDEWTEEEYAVQSKLLQQFTNISNIDKAWIFNSDNGVSQGMFSISQPDVLANKRRKFILSSTISKESNGSVRFQWAPFPIAMSGVSTMVSSPSGSKLLVVRNPESEGPCRFEIWSQSHVEKEFHVAQSVHGSVYTDGWFEGISWNSDETSIAYVAEEPTPAKPAFNGLGYKKGGSTDNDTGSWKGQGDWEEEWGETYAGKRQPALFVINITSGEVQAVKGIDKSLSVGQVVWAPSTKGLEQYLVFVGWSFVIRKLGIKYCYNRPCALYAVKAPHHNESQANKTDIHSTEDVQALNLTQTINSAFFPRFSPDGKFLVFLSARSSVDSGAHSATNSLHRIDWSSDMKMSQSRKVYDVLAVVMCAEDGCFPGLYCFSILSSPWLSDGYTMIISSIWNSSQVLLSVNVLSGEVFCITPTDSNFSWNLLSLDGNNILAVSSSPVDVPQVKYGVIVEKENDNNEWSWSDVSDPIFKCSDEVRSLTSSLKFSVMKISVKDASVSPMKGAHKPYETIFVSSKAKKSDTCDPLIVILHGGPHTTSLTSFSKSLAFLSALGYSLLIVNYRGSLGFGEEALQSLLGKIGSQDVKDVLNAIDHVINLGLASPSKIAVLGGSHGGFLTTHLIGQAPEKFVAAAARNPVCNLALMVGTSDIPDWCYAETYGTMGSERFTEAPSAEDLTQFYSKSPISHISKVKTPTLLLLGAQDLRVPVTNGLQYARALREKGVQHKVIVFPNDVHGIERPQSDFESFLNIGVWFKKYCK >OIW07577 pep chromosome:LupAngTanjil_v1.0:LG07:17823005:17824720:-1 gene:TanjilG_08464 transcript:OIW07577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVKRRLCSDSDIHAFHKELDEVSCPICMDHPHNAVLLHCSSHEKGCRSYICDTSYRHSNCLDRFKKLRDNSKDSPNLVNTNNSGNTFDISVNIESDMNDVNELYENEIDTVLSVGIPQGSTEGDAQVPSRHLDPHDEGILETADSETLQDRAVLEVLDANSSSESKLSLKCPLCRGTVQGWEVNEEARNHLNMKKRSCSVDSCSFVGATLNFHASSHIS >OIW08628 pep chromosome:LupAngTanjil_v1.0:LG07:1485304:1486193:-1 gene:TanjilG_03304 transcript:OIW08628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKSMLGDLDSLPEADKQRMSTMIEQLQMRDSLRMYNSLVERCFTDCVDTFKHKSLTKQEETCIRRCAEKFLKHSMRVGMRFAELNQGAATQD >OIW08677 pep chromosome:LupAngTanjil_v1.0:LG07:1153426:1156793:-1 gene:TanjilG_03353 transcript:OIW08677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLPATGNGLIVSFGEMLIDFVPTTSGVSLAQAPGFLKAPGGAPANVAIAVTRLGGKAAFVGKLGDDEFGHMLAGILKENNVNADGITFDQGARTALAFVTLRADGEREFMFYRNPSADMLLKPEDLNLELIRSAKVFHYGSISLIVEPCRSAHLKALEVAKEAGSLLSYDPNLRLPLWPSPEEARQQMLSIWDKADIIKVSDVELEFLTGKVDDASALSLWHPNLKLLLVTLGEQGSKYYTKNFHGSVDAFHVNTVDTTGAGDSFVGALLSKIVDDQSILEDEPRLREVLKFANASGAITTTKKGAIPALPTESDVLNLIKGA >OIW08839 pep chromosome:LupAngTanjil_v1.0:LG07:481927:484072:-1 gene:TanjilG_16420 transcript:OIW08839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDLEAPLRPKRKKIWVDYFVNFRWIIVIFIVLPISFTLYFLTYLGDLRSEWKSYKTRQREHDENVKKVVKRLKQRNPSKDGLVCTARKPWIAVGMRNVDYKRARHFEVDLSAFRNVLEIDKERMIARCEPLVNMGQITRVTVPMNLALAVVAELDDLTVGGLINGYGIEGSSHKYGLFSDTVVAYEIVLADGTLVRATRDNDYSDLFHAIPWSQGTLGLLVAAEIKLIPIKEYMKLTYEPVVGNLKELAQGYIDSFAPRDGDQDNDDKVPDFVETMIYNPTEAVCMTGRYASKEEAKLKGNKINSVGWWFKPWFYQHAQTALKKGKFIEYIPTREYYHRHTRCLYWEGKLILPFADQCWFRFLFGWLMPPKVSLLKATQGEAIRNYYHEMHVIQDMLVPLYKVGDALEWVHREMEVYPIWLCPHKLFKLPVKTMIYPEPGFELQRRQGDTQTAQMYTDIGVYYAPGPVLRGEVFDGAEAVRKLESWMIENHGFQPQYAVSELSEKNFWRMFDAGLYEQSRKKYGAVGTFMSVYYKSKKGRKTEKEVQEAEQAHLETAYAEVDQPVD >OIW08479 pep chromosome:LupAngTanjil_v1.0:LG07:2600824:2604646:-1 gene:TanjilG_03155 transcript:OIW08479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKLLHVFKSTLIFLLFINEVISTPPEDPIKCLSKNTNCTITNANGIFPDRSTCKVGEVKYPTSEEELISVVASATKNKIKMKVATRFSHSIPKLVCPDGQKGLLISTNNLNKILKIDKEAKTMVVESGVTLREIISEAGKVGLALPYAPYWWGITIGGLMATGAHGSSLWGKGSAVHEYVVEIRIVSPSNPLDGYVKVRTLDEFDQDHLNAAKVSLGVLGVISQVTLKLESIFKRSITYLSKNDSDLGDELISFGRKHEFGDVTWYPSQRKAVYRIDDRVPINSNGDGLYDSIPFRPTLSAELAIVRTAEDIQEVKRDAKGKCDGAKLITSTLATFGYGLTNNGVFFGYPIVGFNNRMQSSGTCLDSLNDGLITACAWDSRIKGQFYHQTTFSIPLSVVKYFIEDVQKLVQLEPNSLCGLELNNGILMRYVTASSAYLGKTEDAVDFDITYYRSKDPLRPRLFEDILEEIEQIGLFKYKGLPHWGKNRNLGFVGVIKKYPNAEKFLKVKAEYDSVGLFSSEWTDQVLGLKEGVTILKDGCALEGLCICSQDSHCAPKYGYFCKPGRIFKDARVCAFHDVKKTEGQNVVKDEL >OIW07454 pep chromosome:LupAngTanjil_v1.0:LG07:19180989:19184618:1 gene:TanjilG_24316 transcript:OIW07454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSNQQQLQEPWILENGGNNIKGLSRELRHGRTAHNMSSSSLRKKSSLTLVQKIPFGWLRNFFANFQEVILGTKLSILFIAVPLAIFAELYGLGRPWIFLFSLIGLTPLAERVSFLTEQIAYYTGPTVGGLLNATCGNVTELIIAIFALTSGQVAVVKYSLLGSIISNLLLVLGTSLFFGGIANINVEQKYDRRQADVNSLMLLLALLCHLLPLLFRYTGAPIAITDASSLNLSRAASIIMLLAYFAYLVFQLFTHRQLFEAEEVEGGENDSEEEAAIGLWSGVSWLIVMTLIIALLSEYVVGTIEAASNSWGLSVSFLSIILLPIVGNAAEHAGAIIFAFKNKLDISLGVALGSSTQIALFAVPVCVIVAWIADIQMDLNFKILETGSLAVAIIATTFTLQDGTSNYLKGLILLLCYFVIAACFFVQTAPSLNHAGGTNINLQSATSAVLSAQ >OIW08365 pep chromosome:LupAngTanjil_v1.0:LG07:3572882:3573403:-1 gene:TanjilG_03041 transcript:OIW08365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKVGEEEPPTSPMNNPPSSYILLLNIMSKRRTWACLFLLVYGTLLASSWNFLNSMLSWYNLQAQQSSTASGWPAIYASVILGAVFGLLSMVAALIVMIPAVLVTWITIVVLLAFFGKPRRTLVVEGRKITREIFVFVVKILLKEGNVVAAVCAVLGYFALVRRNGTQGVIVE >OIW08340 pep chromosome:LupAngTanjil_v1.0:LG07:3756077:3757558:-1 gene:TanjilG_03016 transcript:OIW08340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTRVVGSNSTSIERIVLTPSSSQYKQALDLLIQNPRWLNSSRKPSLIITPNHEPEILAAIACSSKLGLQIRVRSGGHDYEGLSYLGNTTFVMLDLINVRSVAINLTEETAWVQAGTTLGELYYRISQVSNVHGFPAGLCPGIGLGGHISGGGFGTMLRKYGIAADHVLDARLIDKYGTVCDRKTMGEDLFWAIRGGSATSFGVILEWKIRLVKVPAIVTAFTIQRTLEEGATKLIHRWQQIADKLHPDLFIRVVAQNSGANSKTVTAIFNSFFLGGIDRLIPIMNVSFPELGLQAKDCIEMSWIQSVLHFGGHNKEDPPEVLLQRTKSNSLFKAKSDFVKVPIPENGLEGIWKMLLSGDTLALMIMDPFGGRMNEISELDIPFPHRKGNLYNIQYMVIWEENSIEVSNKHVKWMRMLYEYMTPYVSKSPRAAYLNYRDLDLGTNKLDNTSYTEASVWGEKYFKGNFRRLAEIKTKSDPVNFFRSEQSIPLLK >OIW08579 pep chromosome:LupAngTanjil_v1.0:LG07:1846110:1850879:-1 gene:TanjilG_03255 transcript:OIW08579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIDLNHAVTEVEKNGFCNEECDEKGACVCYLSSSNSTCSSSTTSSHHVSSSYLELWHACAGPLTSLPKKGNVVVYFPQGHLEQVASFTPMEMPTYDLQPQIFCRVVNVQLLANKENDEVYTQVTLLPQAELEGMHLKGKELEELGSDEEGIGGTPKRLTPHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLDYKQVRPSQELVAKDLHGVEWKFRHIYRGQPRRHLLTTGWSIFVSRKNLVSGDAVLFLRGENGELRLGIRRSVRLRNGLPESVVGNQNCYPNFLSSVANAISTKSIFRIFYSPRASHSDFVVPYRKYVKSIKNPVTTGTRFKMRFEMDESKERRCNSGMVIGTSDFDPYRWPKSKWRCLMVRWDENIETNHQDHVSPWEIDPSASLPPLSIQSSPRLKKPRTGHHAASPGHFISGLAAGGRGLNDFEESVRSPKVLQGQENSGFVSHYYGRDAVTNRSDFVMNSPSHPNLASTGGRKGVSAELMSVHPLSYAAFVETNRFPRVLQGQEICPVNSLTGKFDYKVGAWGNPDVSCTTFNSHRATKHNFQSLGPRVLQSPYFQYGDINKDGKASIFCSKPTNLQREYSPYNRTSSQARIMRNEVGLSDLSSEHKLHDSISVAASLEASNMRIQNENNVKGKVIGCKLFGFPLSGETTTQNLQNSAKRSCTKVHKQGSLVGRAIDLARLSCYNDLLSELERLFGMEGLLGDPDKGWRILYTDSENDIMVVGDDPWHEFCNVASKIHIYTHEEVENMTLGMTSDDTNSCLDQAPVIMEASKSSSVGQPDSPTVVRV >OIW08335 pep chromosome:LupAngTanjil_v1.0:LG07:3789672:3791012:-1 gene:TanjilG_03011 transcript:OIW08335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRTTALTYVIYILLMIGCNLWMGSGECPETHKNVTSDPNVMRKKFDNWLKHHGRRYKDQEEWEVRFGIYQANLEFIECINSQNHSYKLTDNKFSDLTNNEFSLTYLGFETKSHSKTGFRYLEHGDLPESRDWRKEGAVTDIKDQGQCGSCWAFSAVAAVEGINKIKTRNLVSLSEQELIDCDVNNGNQGCEGGLMETAFTFIKKNGGLTTEEDYPYQGADGTCSMEKAEHHNVTISGYESVPVSNETMLKAAAANQPVSVAIDAGGYAFQLYSEGVFSALCGKQLNHGVTIVGYGEEDNGNYWIVKNSWGADWGESGYIRMKRDALDKDGICGIAMQASYPVKN >OIW07723 pep chromosome:LupAngTanjil_v1.0:LG07:12767100:12769168:-1 gene:TanjilG_11850 transcript:OIW07723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEVLSEEQILEIKEAFGLFDKDGDGCITVEELATVIRSLDQNPTEEELQDMINEVDADGNGTIEFVEFLNLMAKKMKETDAEEDLKEAFKVFDKDQNGYISASELRHVMINLGEKLTDDEVEQMIKEADLDGDGQVNYDEFVKLMMNIG >OIW08411 pep chromosome:LupAngTanjil_v1.0:LG07:3212721:3213698:1 gene:TanjilG_03087 transcript:OIW08411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARVAGIFLCLLIVMMDVAAGILGFEAEIAQNKVKHLRLWIFECREPSHEAFMLGLGAAVFLGLAHALANLLGGCSCICSQQEFQKASSNRQISLIFLILTWVVLAIGMATLVIGTMSNNKSDRSCGFTHHHFLSIGGILCFVHGLLSVAYYVSATASVD >OIW07789 pep chromosome:LupAngTanjil_v1.0:LG07:11189713:11190614:1 gene:TanjilG_31981 transcript:OIW07789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAGCCRASQVVDYFPTMSPEIIVREAKLEDYWEVAEIHCSSFFPEFSFPLDFLLRIDRLLLAMLAAILTGLARPRYCKRIYLVAVIGSSHDETFLLESEDSKMGGFVGKFSHKKGYIAGILTVDNFAEFLPRKGPGRRRRTGIAYIANVAVRENFRGKGIAKKLIANAESKARSWGCSAIALHCDLNNPMATKLYQGQGFKCIKVPEGAKWPQPKTSPDIKFNFMMKLLNNSVACN >OIW07798 pep chromosome:LupAngTanjil_v1.0:LG07:10929260:10931211:-1 gene:TanjilG_31990 transcript:OIW07798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHGSTYHSYEHFGVCESSGFSSLPWLAWEFGTGRWCTLYRLHQHNRVFCSCWSCFSLEPVCSQAFGSKNWDLLSLSLQRMVLILLMAIMPISLLWINLEKIMLFMGQNIDITRMASIYCFYSLPDLLTNTFLQSLRVFLRSQKVTKPMMYCSLVAVAFHLPLNYLLVVVMGLGVRGVAMASVITNLNMLVLMLGYVSLWRKNEMVLKWVCWGDGGMVCGGLGQLMKLVVPSCLMICLEWWWYEIVTLMAGYLPNPTMAVAATGILIQTTSMMYTVPMALAASVFARVRNELGAYKPYKAKLASMVALGCAFGLGFINVTWTMILGHSWAGLFTTDEAVKALVTSVMPIMGLCELGNYPQTTGCGILRGTAQPVIGAHINMGSFYIVGTPVAMGLDFWSKAGFYGLWFGFLSAQLGCALSILYVVMARTDWEGEALKAEKLTRAEMSSCNEHRSIGNEKDEEIKRLLVNGNFNKYDIV >OIW08699 pep chromosome:LupAngTanjil_v1.0:LG07:1023902:1028115:1 gene:TanjilG_03375 transcript:OIW08699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAFSVQSLTPSIIPSSLLRGGGASSHVKLFKYSHFMKYPSTTTPTKHKVFTTRVQASGSTKSSSGTAEAVAEKKDSKDDNLVFVAGATGKVGSRTVRELIKLGFRVRAGVRNRQRARSLVQSVEKLEVDAASASGGSQSVEKLEIVECDLEKPDQIGPALGNASTVICTIGASEKEVFDITGPYRIDYLATRNLVDAATVAKVNHFILVTSLGTNKVGFPAAILNLFWGVLVWKRKAEEALLASGLPYTIVRPGGMERPTDAYKETHNVTLSTEDTLFGGQVSNLQVAELMAAMAKNRDLACCKIVEVIAETTATLTPSEELLAKIPSQRPCNFSPKAQDIAAVSDSVPSLSANIVVDVPSIAIQKETAQPKPVANQPLSPYIVYDDLKPPSSPSPVQPSGGKQIKISDPVPQPSASDIPSSEPKPVIDDISQTPSLSKGLESLSPYSAYEDLKPPTSPSPTPSSSNDKPLTVSTTLESASSPSTESNVAEEYPSQDSIFFHSPYHVYPDFKPPTSPSPSAPTVSLSKSAVNAAAEIDIVSSNGPAQLSIANEPNEEQNLPEPKSKPLSPYTMYEDLKPPASPSPSFRSS >OIW08292 pep chromosome:LupAngTanjil_v1.0:LG07:4206869:4209383:-1 gene:TanjilG_02968 transcript:OIW08292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMRAAVAAAPRGFRRFFCTNPPSSSTFPFVPPPAAGATSARQMADPNTNLFVSGLSKRTTTERLREEFAKFGEVVHARVVTDRVSGYSKGFGFVKYATIEEAGKGIEGMDGKFLDGWVIFAEYARPRPPPGQPIQNPTPYYGGQ >OIW07983 pep chromosome:LupAngTanjil_v1.0:LG07:8229184:8229672:-1 gene:TanjilG_20084 transcript:OIW07983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEENYLGMIEEICTNMDQNCLSMIEEICMDLTEAHNSLTEGMCIRMIEAHRKLTEAMCIKMTDAHMKLADAMYIRMIEAHKILTEENNTSLIEAHDTMTMPG >OIW07608 pep chromosome:LupAngTanjil_v1.0:LG07:17197957:17199709:1 gene:TanjilG_29981 transcript:OIW07608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSILFFLLLSFFILKTSSQSCTSQKLTLPKTNILYSNCIDLPYLTSFLHYTHNPSNSSLSIAFIASPPSPSGWVSWGLNPTGTGMVGTQAIAAYTQDGKITIKTLDIQSYKVLVPGKLSYEVWNLSAEQSDGVIRIFATLKVKEVNEVNQVWQVGPSVSNGRLDIHGFQPQNLNSKGILKLSGGQNFSSVGTMVDSKTRNRNIHGILNAVSWGVLFPLGVIIARYMRTFPSADPAWFYLHVGCQLSAYVIGVAGWATGLKLGSNSVGITYSLHRNIGIALFALATLQIFALFLRPKKDHKYRYFWNIYHHSIGYTIIILGLINIFKGFDILNPEKIWKTTYIGVIAALGVIAVLLEVVTWIVVLKRKANKSTKTFDGYNNNGQ >OIW08774 pep chromosome:LupAngTanjil_v1.0:LG07:86060:96039:1 gene:TanjilG_16355 transcript:OIW08774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACIKGVNRSASVALAPDSPYLAAGTMAGAVDLSFSSSANLEIFSLDFQSDNHELPLLAEFPTSERFNRLSWGKNASATDEFALGLIAGGLVDGNIDIWNPLSLIRSEAKESALVGHLVRHKGPVRGLEFNAIAPNLLASGAEDGEICIWDLANLSEPTHFPPLKGSGSASQGEISFLSWNSKVQHILASTSYNGTTVVWDLKKQKPVISFADSPRRRCSVLQWNPDIATQLVVASDDDVSPSLRLWDMRNTMTPVKEFVGHNRGVIAMSWCPNDSSYLLSCGKDSRTICWDTISGEIACELPAGTNWNFDVHWYPKIPGVISASSFDGKVGIYNIKACSQYGAGENDFSAVSLRAPKWYKRPAGVSFGFGGKLVSFHPKPSTAGSPAGASEVYVHNMVTEGSLVSRSSEFEAAVQNGERSLLRVLCDKKSQESESEEERETWGFLKVMFEDDGTARTKLLTHLGFNVPSDANETVSDELSQELNAIGLEDTTAENVGQVPANETAIFSYDNGEDFFNNLPSPKADTPLSTSVGNFVVADSANESEKIQDDVEIEESSDPSFDDSVQHALVLGDYKGAVAQCISANKWADALVIAHVGNASLWESTRDQYLKMMRSPYLKIVSAMVSNDLLSLVNTRPLKFWKETLALLCSFAQRDEWTMLCDTLASKLIGAGNTLAATLCYICAGNIDKTVEIWSRSLSTEHEGKSYVDRLQDLMEKTIVLALATGQKQFSASLFKLVEKYAEILASQGLLTTAMEYLKLLGSDELSPELVILKDRIALSTEPEKDLKTAAFENSQSQSSLYGATDNSNYNRNYYQDPVSTQVQHGVSGNQYPENYQQQFDPRYGRGYGAPTPHQQPQQPNLFVPPQATQVPQAPQMNFSNTVVPPPPLRTFDPQTPPVLRNVEQYQQPTLGSQLYNTTANPPYQPAPPPPSQVGFGHNQNLSQVVAPTPSPVGFMPVSSSGIQRPGVGSVQPPSPPQVQPVQPPASPAAPPPSVQTADTSKVPVHQAPIVATLTRLFNETSEALGGSRANPAKKREIEDNSKRLGGLFSKLNSGDISKNAADKLLQLCQALDNGDFGTALQIQVLLTTTEWDECQSWLGSLKRMIKTRQSARLS >OIW07579 pep chromosome:LupAngTanjil_v1.0:LG07:17838862:17839410:-1 gene:TanjilG_08466 transcript:OIW07579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANTTTEKETQSQIKLRIILHSRSSSMPRKEFVLYVPRSHNQKREPSHLSDISDTEYSSVTKTETTRVIAEKDSRKDVPKMWKSGGNADKCGGLVKEKWRVSKKKKPLDKRDITKDSRSVYEEKCERKGVNNSCSKKMKMSNVITHEVEEEEEERGEKGKGNGSLQEDAVLGDIEAFDDRKI >OIW07936 pep chromosome:LupAngTanjil_v1.0:LG07:7745048:7749943:-1 gene:TanjilG_20037 transcript:OIW07936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQRRRHHHCHKRFRLMAPVISGIAAALLVLFSLLSILAPSPNDTVYLHSHSSSSGGKSDRHVWSSKNAEHFHGCSDANNKFLKAEAITKPNRYLGIATSGGLNQQRTGITDAVVAARILNATLVIPKLDQKSFWKDSSNFSEIFDVDWFISYLSKDVKIIKQLPRKGGKTLSAYSMRVPRKCNERCYINRILPVLMKKHAVQLNKFDYRLANRLDTEYQKLRCRVNYHALRFTNPILAMGKKLVRRMRMNSKHYIALHLRFEPDMLAFSGCDYGGGEKEQKELGAIRRRWKTLHRSNPDKARRQGKCPLTPEEVGLMLRALGYGSDVHIYVASGEVYGGEETLAPLKAFFPNFHSKDTIATKEELEPFSKFSSRMAALDFIVCDESDVFVTNNNGNMAKILAGRRKYFGHKPTIRPNAKKLYRLFLSRSNLTWEVFASNVRTFQRGFMGEPKEVRPGRSGFHENPSTCICEDSVAKVERSPLRRKYGKDIATKKNVTNDGPDVDDESEWPDMDDDDDLSDEKDMSNETILDYDTLNFEDTELEEFLSD >OIW08736 pep chromosome:LupAngTanjil_v1.0:LG07:761311:762415:-1 gene:TanjilG_03412 transcript:OIW08736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVAKALKPTTLQREFIFQQASCMEILCFNVVNHNVVVGEDFSVDDLFDFSNGKGEEKEEKEEEDEEKDNASSSLESPDRTEDDSNSKSTTTAGCDSIFTSELVVPDDDLAELEWVSHFVDDSRPELSLMYQVPSKQPQVWVEPKTEPGSSLKLTFLPSEIPTKPRTTKSRKPNTRLWFFNSVLSGEPPAKKQKRKAEPEANRVQFQRQCSHCQVQKTPQWRTGPLGPKTLCNACGVRFKSGRLFPEYRPACSPTFSGHIHSNSHRKVLEIRRNKEVDEPETYLDRVQMVPNC >OIW07759 pep chromosome:LupAngTanjil_v1.0:LG07:11968271:11973395:-1 gene:TanjilG_10678 transcript:OIW07759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLFLQSILLLSLSLFFLTFSLSLAFQSDELLLDDEEFGLEGGNPNSRASKTTTVDSTPSSSPTISRKRFSDSSSTDSKIQFSLQHAFGDSDFSEAGNFSARVKTWSHGAQTLTKLRFFRNPFSEVEQKQFQNLLQEDDFYRIRLPSNVLTPPGRDYIISSVKARCLPGDGLEEHFVIHTEGVNILAVNYGAPGACAYPRQLKRPTRWSFKSHTILKNTEQAPRAPVFAEDVFGGEEGESEIVKPIERSFWAKYWMYVIPLGLIVMNAVTQAMNMPEEQAGGQAGAPTQQQPGAVQRGTNSAVRRR >OIW07599 pep chromosome:LupAngTanjil_v1.0:LG07:17303306:17306115:1 gene:TanjilG_08330 transcript:OIW07599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYITEWDKFAERSIELFRADPESCLKYKTDQAQEARKMEKLNNIFFTLMARGPDVDLSEVTGKDQMEAQPTKKGRGRKQ >OIW08225 pep chromosome:LupAngTanjil_v1.0:LG07:4798659:4799867:-1 gene:TanjilG_15186 transcript:OIW08225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNTTRTRVGEIVEVEGGHIVRSTGRKDRHSKVCTAKGPRDRRVRLSAHTAIQFYDVQDRLGYDRPSKAVDWLINKAKSAIDKLAELPAWNPTTTTNYEQINGILHRQPPAAEDGIIGCGGGRISEEFQQQQQQQEEDFAEENNNSNRYNSVGSGFLPLSMDTDIVDTIKSFFPMVAAAETTASFHNISTPSSAAANHNHQEDLRLSLQSFQDPILLHHQQQQQIQQMLFTGTNTLGFDGGGSSGWLEQHHGEEQEQVHDHGRFHRMVAWNSAVTDAGNNGHGGGFVFNSSPPSFVAPASMFGHHGQHFSQRGPLQSNNTPSIRAWIDPNSFAAAATVAAASHPHYQYLSPAMHQASVYGGIGFASPSGGFSGFHIPARIQGEEERDGISDKPSSASSDSRH >OIW08520 pep chromosome:LupAngTanjil_v1.0:LG07:2345952:2349720:-1 gene:TanjilG_03196 transcript:OIW08520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPTSIAAHETSEKSNESQQLHYQKDVDAGALFVLKSKGSWIHCGYHLTTSIVAPALLSLPYAFTFLGWTAGIFCLVIGAFVTFYSYNLISLVLEHHAQMGNRQLRFRDMARDILGPRWGRYFVGPIQFAVCYGAVVGSTLLGGQCMKAIYLLSTPNGTMALYEFVIIFGCLMLILAQIPSFHSLRHINLVSLVLCLAYSTCATAGSIYIGKSSKGPEKDYSLKGNTENRVFGIFNAVAIIATTYGNGIIPEIQATLAPPVKGKMFKGLVVCYTILTVTFFSVAISGYWAFGNASEGLILSNFVDNGNPLVPKWLIYMTNIFTIAQLSAVGVVYLQPTNEVMEQTFGDPKSPEFSNRNVIPRVISRSLAVSIATTIAAMLPFFGDINSLIGAFGFLPLDFVLPVVFFNLTFKPSKRSPIFWLNVTIAVAFSALGAIALVAAVRQIVLDAKHYQLFANV >OIW07744 pep chromosome:LupAngTanjil_v1.0:LG07:12287592:12293564:-1 gene:TanjilG_11902 transcript:OIW07744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNSPPDWPEPIIRVQSLSQTCIDSIPERYIKTPNDRPSINSSIDDANIPIIDLSDLYGGDKDAQLSILKQISEACNEWGFFQIVNHGVSPDLMDKARETWRQFFHLPMEVKQKYANSPKTYEGYGSRLGIEKGAILDWSDYYFLHYLPLCLKDYNKWPDLPPSCREVFDDYGKEVVKLSGRLMKALSINLGLGEDFLQNAFGGEDIGACLRVNFYPKCPKPDLTLGLSSHSDPGGMTMLLPDDQVPGLQVRKCDHWITVKPHRYAFIVNIGDQIQVLSNAIYKSVEHRVIVNSDKERVSLAFFYNPKSDIPIEPAKELVTLDKPALYPAMTFDQYRLFIRMRGPRGKSQVESLKSRT >OIW08541 pep chromosome:LupAngTanjil_v1.0:LG07:2147867:2152449:1 gene:TanjilG_03217 transcript:OIW08541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSHSQQQQRRLILSECLKKNQLHESSFSSSESHKTSIATTSTSTRQNHSFFGPKLLSSSSISSSSHQHYLEQDEPHSPPFHCCFNVSSTRWGVSSMATQVEFSSLHSPSHIIRKKKVTGQQQKREELEREVSMLQKLLDQEEKMHEILEMMHNRPNGSTISIPNFLPPKMRELLSELVVVEDEIARLESQINQLQAGLKHEQKVNKESKSKAWNQGDLSYSNNHLSTATIPSPSPIHRNAHEKMAFETKALHFISKAIKGDYNLNEFSMNNKTGFLTNSAEQKEDNFNFQDVKFQERLKRKNGIMKPLSPMCDPRNPSPKLRERNPEMYLDLPTRSLLDPLLLEENDLKWQPNKLSESIMKCLNFIYVRLFRTSRAMELEKSGPVSRSMHSSLSSRSFRVDTVSNPKLSLVMQRESSRQQDPYGIFDTEESIPRDIGPYKNLVIFTSSSMDPKFISSPSSIPLLRKLRILTSNLQTVDLKSLTYQQKLAFWINLYNACIMHGFIQYGVPSTPEKLLTLLNKATLNVGGHMINAQEIEHFILRKRVTYMKEVVHKKGEFDEKESVVRELYGLESINPNVTFALCCGTRSSPAVRIYTSDGVIAELEKSKLDYLQASILATSTKRVAFPELLLRNMYDFAMDTDSLVEWIFNQLPASGTLRKSMVDCFRGYSNVKASTIVEKIPYDYDFQYLLAM >OIW08721 pep chromosome:LupAngTanjil_v1.0:LG07:883794:887939:1 gene:TanjilG_03397 transcript:OIW08721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLFPLTKRAATPAVLAATQTPGEAGKNWFQGTADAVRQFTWVFEDAKHTNVENVLILAGDHLYRMDYMDLVQSHVDRNADITVSCAAVGDSFISNCMLFSRASDYGLVNQVDTSLFGLSPQDALKSPYIASMGVYVFKTEILLNLLKWRYPTSNDFGSEIIPAAVGEHNVQAYFFRDYWEDIGTIKSFYDANLALTEENPMFKFYDPKTPIFTSPRFLPPTKIDKCRIVDAIISHGCFLRECTVQHSIVGERSRLDYGVELQDTVMMGADYYQTEPEIASLLAEGKVPIGIGRNTKIKNSIIDKNAKIGKDVIIMNKDGVQEADRPDDGFYIRSGITIIMEKATIEDGTVI >OIW08650 pep chromosome:LupAngTanjil_v1.0:LG07:1330728:1337373:-1 gene:TanjilG_03326 transcript:OIW08650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLYPLHALTPISYPLSKTLNPFHATLPFLPSLISRSTFSLSHRNSFFAPRRNLFHSVSRLSADQFSGSVDDVEEEEEEEILEELDEVEYYSDSDNEDDLDVIALEEEAKDAVEAYSTSLSRILEIEDGKIDRKETRKRNKGTRTNNIPDKFLPKVAILGRPNVGKSALFNRLVGGNWAIVVDEPGVTRDRLYGRSHWGEHEFMVVDTGGVLTVSKSQDTVMKELDITTTIGMDGIPLATREAAVARMPSMIERQAMSAVEESSVIIFLVDGQTGLLAADVEIANWLRKHYSDKCIILAVNKCESPRKGAMQAYEFWSLGFEPIPISAISGTGTGELLELICSGLQKVEGPKNLEEGEDYVPAISIVGRPNVGKSSILNALVGEDRTIVSPISGTTRDAIDTEFTGADGQKFKLIDTAGIRKRSAIISGGSTTESLSVNRAFRAIRRSDVVALVIEAMACITEQDYKIAERIEQEGKGCVIVVNKWDTIPNKNQQTAAYYEQDVREKLRKLNWAPIVYSTAIVGQSVENLANEVPLWFISNNIVRLSPAALSQDLSSLASTCVHKDSVSANTWHQLATFSTSVVVWFWFTQFGQVGPSFAEILVACATLHGVFRYRFDVGRIYPQLIAYCCFEQRIIVAASEVEKERSRRLGTSILNQVVQEAVTFKPPPRTRGGRRGRVYYCTQAAIRPPTFVFFVNDAKLFPETYRRYMEKQLRTDAGFPGTPIRLLWRSRRTMGRDDGKAVTRTQVKPTSYDRKLVSATAL >OIW08418 pep chromosome:LupAngTanjil_v1.0:LG07:3140822:3143656:1 gene:TanjilG_03094 transcript:OIW08418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMPPSHSSSSLRNSTTSFFSFTLSFKSFVFILSLLLNLYLLLLLWAPSFVPLNPITTPTTTRLSSFTPTTRRHILFAIASSSLSWPRRHPYLRLWYSPNNTRAVAFLDSPPLNNTSFSSLPPVIISGDTSGFPYTFRGGLRSAIRVARVVKEAVDRNESDVRWFVFGDDDTVFFVENVVKVLSKYDHDQWLYVGRNSESYDQNVKYSFEMAFGGGGFAISHSLGRVLSRVLDSCLKRYGHLYGSDSRIYSCVAELGVGLTHEPGFHQLDMRGNLFGLLAAHPLSPLLSLHHLDVVEPLFPNMNKTRALEYLMAAANVDPARILQQTVCYDQSNSLTFSVSWGFAIQLYQGNELLPDLLSLQKTFVPWKRGSKVDANFMFNTRDYHRDPCKRPSVFFFKSVTSDERGIWSSYARHDVENCLESYPLKQLKRIIVFSTKLEPNIQQMKAPRRQCCNVLPSSNESISIHIRQCGMNELIAMRL >OIW08596 pep chromosome:LupAngTanjil_v1.0:LG07:1727752:1730124:-1 gene:TanjilG_03272 transcript:OIW08596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASLNSRHVSAMIKQGFIPDQTFTFSPSRTFPSPPPSRTFPSPPPSRTFPFPQSHPQTLFQMMSQEHDRDSKLSVENRRKMQDRLSKLLEEPPFGGDVKLTVIARDGFRVSMNVHKSVLAEKSRYFAEKLRGENGISHSVEISDCDDVEVYVEAVVLMYCEDLKRRFRFMNEGVSAAIMFDLGVSSCIEYLEAIPWTEDEQKDVIAQLDDLQLHDSASEVLLRVSSDPSTTARADDIFMILISGVLQAKDEKARREMKELLSRLFKEDSSNNNSRIDVSKDTLYILCHKCISSLVLCLFEATAMEERPDRRALMSEITREADNIQWIVAILIGKKMGDEFVKIWADQRELARLHSKVPTIYRHEISRITAQLCIGIGRGHILVQKEIRFSLLSTWLEALYDDFGWMKRASRTIDTKLVEDGLSQTILTLPLLQQQAVLLNWFDRFLNKGDDCPNIQKAFEIWWRRAFIRHYSPEPDNSHLQITL >OIW07855 pep chromosome:LupAngTanjil_v1.0:LG07:6802860:6810106:-1 gene:TanjilG_19956 transcript:OIW07855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSFHFTADIKVSKVLMVGAGGIGCELLKTLALSGFSDIHIIDMDTIEVSNLNRQFLFRQSHVGQSKAKVARDAVLKFRPNISITAYHANVKDPDFNVDFFKQFNVVLNGLDNLDARRHVNRLCLAADVPLVESGTTGFLGQVTVHVKGKTECYECQPKPAPKTYPVCTITSTPSKFVHCIVWAKDLLFAKLLGDKNQENDLNVRSSDAASSSKNVEDVFERRKGEEIEQYGRKIFDHVFGYNIELALSNEETWKNRNRPKPIYSKDVLPEELALQNGNMDNNHASDDGLSVSAMASLGMKNPQDIWSVKENSRIFLEALKLFFTKREKEIGNLSFDKDDQLAVEFVTAAANIRAASFGIPLHNLFEAKGIAGNIVHAVATTNAVIAGLIVIEAIKVLRNDIKSYRMTYCLEHPSRNMLLMPVEPFEPNKSCYTPLSLEINTNRSKLKDLVEKIVKAKLGMNLPLIMNASSLLYEAGDVEDDMIAIYEANLEKVLAELPSPVTGGTMLTVEDYLQELKCNINIKHRMTYCLEHPSRNMLLMPVEPFEPNKSCYTPLSLEINTNRSKLKDLVEKIVKAKLGMNLPLIMNASSLLYEAGDVEDDMIAIYEANLEKVLAELPSPVTGGTMLTVEDYLQELKCNINIKHREEFDEEKEPDGMVLLGWTQPVPAAENKNKSVGNGASTSDATMAAAESEKDDEMGIVSPLKKRKLPDDSDISKAAADTKNHKQLQVIDDEDDLLVLEGNLDGFKKRRL >OIW08024 pep chromosome:LupAngTanjil_v1.0:LG07:9111504:9113867:1 gene:TanjilG_20125 transcript:OIW08024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSSLLLCLYFINLRNLTSPLLFYFSNLTSGFFGERNKLRVSSAPTPRVGFTRKVTECKESRIGKQPVVVPSNVTINLEGQDIKVKGPLGELGLTYPREVLVERVEDGILRVRKAVETRRANQMHGLFRTLTDNLVVGVSKGFDKKLQLVGVGYRAMVEGKELVLNLGFSHPVKMAIPEGLTVKVEENTRITVSGSDKSEIGQFAASIRRWRPPEPYKGKGIKYADEIVRRKEGKAGKKK >OIW08763 pep chromosome:LupAngTanjil_v1.0:LG07:30668:33375:-1 gene:TanjilG_16344 transcript:OIW08763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTTTLRWQPLIPFPPTNKKNSNLRHGQMLTVKAFNRREMDSFAQRMASGEAWKDAWRTANDGFERLLFEAKKTAERIDRRYSVSHRLSSVARSAAVRAREIDRDFEIGLRFRSFQIDFSRNWPMYRMQLNKFLNSPLGRSFATIFFIWFALSGWLFRVLIFATWVLPFAGPLLIGTLANTLVIKGTCPACQMQFAGYKNQIIRCTGCGNIVWQPKNDFFSRGAKSKSNSKSDPEIIDVDFEEK >OIW08414 pep chromosome:LupAngTanjil_v1.0:LG07:3166764:3169736:-1 gene:TanjilG_03090 transcript:OIW08414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPYCNLEVDVNGEETFFVDKTIIAQYSGKFDKLFGKSSGARGKLKVIFNDFPGGAEGFELMLRFCYNNGTTDITPSNLLLTRCAAEFMEMKESVAGVSNLFEQTEKTLQEINFWTWSGLLIALKQCQNVSSVTDSSVVLEKCLDTLVGRLVLASEASPCPSSCSTDSSGIRFSCDSKSSESIKTNSSRTTWWFEDLLFLSPLLVEMLVKSMLSRKLDHVVISKFLLYYQKAKFSTATTDEKCKIIEMVLDMHYNLDHGSISCKNLFGILRVTLSLNISKCSRNKLENMIGSHLDQATLDNLLVPSPYGIRYLYDVNLVLRILKAFLHGGTGLVTPIRMRKVAGLIDLYIAEIAPDPCLKTSKFLALATALPDSARDSYDELYHAVDMYLEVHSQLSQEDRVKMCCGLNYEKLSPQAYLHLSQNTKFPPKSAVQALISRPAKLNNLLRPTPSTTPYNNSPCSSGKEDKTSEQDVLYDENFDVSADNEKLRTHLQGMQCRVMELEKICKKMQSQMEKITKSKVSGQSNARSLPKLCS >OIW08740 pep chromosome:LupAngTanjil_v1.0:LG07:733191:736359:-1 gene:TanjilG_03416 transcript:OIW08740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIISITGATDNHSVHVLTRSKSKAEEIFPVKDFPGIKIAGEPEWKDSIQGSTGVVNLAGLPISTRWSSEIKKEIKESRIRVTSKVVELINSSTDDTRPKVFVSATAVGYYGSSETQVFDEQSPSGKDYLAEVCREWESTALRVNGDARVALIRIGVVLGKDGGALAKMVPLFMMFAGGPLGSGKQWFSWIHLDDIVNLIYEALLNPSYKGVINGTAPNPVRLSELCEQLGNVLGRPSWLPVPDFALKAVLGEGASVVLEGQRVLPTQAKKLGFPFKYSYVKDALKAILS >OIW07677 pep chromosome:LupAngTanjil_v1.0:LG07:14945232:14946536:1 gene:TanjilG_07719 transcript:OIW07677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASKKHRLRDSALRRLLYVLCVTLCGALLLIFIFGSNSISRIEIIRNLDIGDDGSSAYHYDLKVRERVKLPKQNELSFRLEKLNRVPPRNSDLYPNLAKDRIVVVLYVHNRPQYLRVVVDSLSNVVGINETLLIVSHDGYFEDMNKIIDGIRFCQVKQIYAPYSPHVFSNSFPGVSPGDCKDKDDARGKRCKGNPDQYGNHRSPKIVSLKHHWWWMMNTVWDGLRETREHSGHILFIEEDHFIFPNAYQNLQILTSLKHKKCPDCYAANLAPSDVNSRGEEWASLIAERMGNVGYSFNRTVWRKIHNKAREFCFFDDYNWDITMWATVYPSFGRPVYTLRGPRTSAVHFGKCGLHQGQGENKACIDSGMMNIRVDDPDKVSNIESDWVVHVYKNQPGYKAGFKGWGGWGDDRDRRLCLSFATMYHSRGTTSPL >OIW07501 pep chromosome:LupAngTanjil_v1.0:LG07:18458882:18461729:1 gene:TanjilG_14447 transcript:OIW07501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGCSSNFGVAHVFNVRKEAFLRNRGNQCSSLNRLSFRNVLKENAFPVFCGNKCGRYLSMQKKGTFFINCISQPPDESQSAVTTIKTEGGGDDILKKDHKIWDSDGGDNNGALFDGSGGNGSFGSGGVGDGSGGDGDDDGGSEEDEFGPILKYDEVMRETEARGATLPSDMLEAAKSVGIRKVLLLRYLDLQGSFWPLGFAMKSCAIIRNRMLADPAFLFKIGSEIVIDSCCATFAEVQKRGDDFWAEFELYVADLLVGTVVNVALVGMLAPYARIGKPSVSSGFLGRMQKAYAALPSSVFEAERPGCSFSVQQRLGTYFYKGIMYGAVGFTCGIIGQGIANFIMTTKRSISKSEEDIPVPPLLKSAALWGVFLAVSSNTRYQIVNGLERLVEASPVAKQVPPVALAFTVGVRFANNVYGGMQFVDWARWSGVQ >OIW08853 pep chromosome:LupAngTanjil_v1.0:LG07:553946:556926:-1 gene:TanjilG_16434 transcript:OIW08853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSEDKPVETVMVGNYVEMEAEEGNPKDIKTRLSSFLWHGGSAYDAWFSCASNQVAQVLLTLPYSFSQLGMLSGILFQLLYGLLGSWTAYLISILYVEYRTRKEREKVNFRDHVIQWFEVLDGLLGKYWRNVGLAFNCTFLLFGSVIQLIACARIWSFLGLVMTTYTAWYLTVASLLHGQVEGVKHSGPAKLVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKAIYLLATLYVLTLTLPSAVAVYWAFGDMLLNHSNAFALFPQSPFRDMAFITFGFACTPLYFVWEKAIGMHECKSLCKRALVRLPVVIPIWFLAIIFPFFGPINSTVGSLLVSFTVYIIPALAHIFTFKSASARQNAVEQPPKFVGRWVGSFIINIFVVVWVLIVGFGFGGWASMVNFIHQIDTFGLFTKCYQCPPPMLNATAPSPMHHPHGH >OIW08057 pep chromosome:LupAngTanjil_v1.0:LG07:9563134:9564275:1 gene:TanjilG_20158 transcript:OIW08057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKVVLSVDLYDDRIKQKAMKTVSGISGVESVSVNMKDKKLTLIGDVDPVHVVGKLRKWCHTEIFSVGPAKEEKKEESNKSDGKKDSTKVAEHVKLYEAYPLNYHTRTLQYNNQYHYVTSVEEDPNGCVIC >OIW07600 pep chromosome:LupAngTanjil_v1.0:LG07:17296633:17299900:-1 gene:TanjilG_08331 transcript:OIW07600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIKDSSMEIYEISTETEGSDTDSDWEGSEEEPDFEETNDKFSNLSLKNKEKSRVVENKGLGTETELEVLKMSSPSLADENFEKVQKMIEGGTPEKLKVDECKLYLRNHGLRLTGNKETLIQRIKEHLEIYKKRNVRYAGDACTGDVVLFEQNVYEMFNLASRSASGPPCGIRIVAGRIVKESYGAAKQQHTFTKWEDERERQRILSEKHSRGTVARGNRETRMQEKERRKKLKENRVSDKNSVRNQSQSHSQYQPQKVDSLINPKKPAIPSLHTGLSSADSRKATYATVNKPAAITQQYGKPFDSTREAMRFTDSGMRQFPPHYMHNQQARFPLSDARGSTFVSDQIGRANYNFPDFHTRGKTAIIEENHHPYHRMPLGSANHYIPLMHGRERWEQKQLCRHYARGRCYYGDNCKYLHDLR >OIW08160 pep chromosome:LupAngTanjil_v1.0:LG07:6435889:6438314:-1 gene:TanjilG_06703 transcript:OIW08160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIIIFLYLYLATNNYYIVDARITSISQDQEDLDLERQLKLINKPPRKTIQANGYIVDCIDINKQPAFDNPLLKNHKIQLKPSFEVTSIESTRLSSIGIEEDLCPAGTVPIRRTTKDDLIRAKQLPDKNISILNNDIPGRHYAGLKLNKDGSHYFAISGIIDTYNLPVQNPDQITSAYIYLSNGGSENDKNVIMTGWEDPETKNWWVMLQNKSLGYYPEVLFSNLAFANLGGWTGMTSTPTGIPSPPMGSGHLPDNNLLRSCYIRQMHFQIDTSKNLGPIKDVYAVPFKDSSCYGVQYEGWNDEIQGFAMLFGGPGGNCGE >OIW08174 pep chromosome:LupAngTanjil_v1.0:LG07:5345996:5347768:1 gene:TanjilG_24369 transcript:OIW08174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESASLPPSTTVKRSYWRWSKQDFFPEPSFENSKSYGNALSNTGHRLKDRLLNRSTDSNELLVLPYASENRMRRCLTAWDLAWLSFGSVVGSGIFVITGQEARLNAGPAIVLSYAASGFSALLSALCYTEFAVDIPVAGGSFSYLRIELGDFVAFIAAGNILLEAIVGAAGLGRSWSSYFASMVKNDPDFFRIHVSSLKPDFSMLDPIAVVILFIANGIAMSGTRKTSILNWLSSIVTTLVIVFVIVVGFIHAKTENLVPFFPFGVKGVFTSAAVVYWSYTGFDMVATMAEETKNPSRDIPIGLIGSMTVISVIYCLMALALVMMQKYTQIDKDAAYAVAFDSIGMHWAKYLVSICALKGMTTSMLVGSLGQARYMTQIARSHMIPPFFALVHPKTGTPINATLLITICSSIVALFSSLDVLSSVFSVSTLFIFMLMAVALLVRRYYVRESTSKRDLLKVVMCLLVIVCASTVGAVLWGSERFGWIGYTVAAGVWFLATLVLSFLPKQRAPKVWGVPLVPWLPALSIGTNLFLIGSLGREAFWRFLMCTSVMLLYYFFVGVHATYDAEHQNSQDSKLAGGVEDTNQEVL >OIW07549 pep chromosome:LupAngTanjil_v1.0:LG07:17557626:17565445:-1 gene:TanjilG_08436 transcript:OIW07549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIMGLGEEVLVQGNERGGSFEPKISEDEWRKSRSRSVRRKAMTASTRLTYSLSLRKRNNRVADCQAASIFIEDVRDANEEEVVKSFHETLVTKDLLPASHDDYHTMLRFLKARKFDIDKTVQMWADMLLWRKENGVDSILQDFVYDEYDEVQRYYPHGFHGVDKEGRPVYIERLGKVEPSKLMNVTTVDRFLRYHVQGFEKTFKEKFPACSIAAKRHIHRTTTILDVHGVNWMSIRKFAQDLVMRMQKIDGDNYPEVLGNKFLGKLLEVIDSSFDTMTIMGLGEEVLVQGNERGGSFEPKISEDEWRKSRSRSVRRKAMTASTRLTYSLSLRKRNNRVADCQAASIFIEDVRDANEEEVVKSFHETLVTKDLLPASHDDYHTMLRFLKARKFDIDKTVQMWADMLLWRKENGVDSILQDFVYDEYDEVQRYYPHGFHGVDKEGRPVYIERLGKVEPSKLMNVTTVDRFLRYHVQGFEKTFKEKFPACSIAAKRHIHRTTTILDVHGVNWMSIRKFAQDLVMRMQKIDGDNYPEVLGNKFLGKLLEVIDSSQLPDFLGGCCSCPNDGGCLRSDKGPWNDPDILKVTASEISETGSALDARSSPSTFTQLVPPSDKRKMRYRAPIYNVVDPSNAIREVEDVDSKVFAALGNCFVVRSAIIQSESHRQTQLAVSSSQEQLITQEVQEPLLQRLQNLEAVVTEMTNKPRTIPPEKEDILQESLSRIKSIEYDLQKTKKALTASASRHVELAEYIDILKAGRFAACSLSEIFTLLLSMVWFAERDLHSFAEHGLVR >OIW07455 pep chromosome:LupAngTanjil_v1.0:LG07:19156039:19161516:-1 gene:TanjilG_24317 transcript:OIW07455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDFNLALVIVAVVVCIIVFLFNVYLLINYQHPDDVNQAYFPKFVVVLGLSVAAISILMLPADVANRQACRHAVYNGACSLTLPMKTLWLVIYIVDAVLVFFVIPFAMFYYEGDQDKSIGKRIRSALCWVVTTAIVIALILGILYGVVGKVDFSVRHLSSSTASFPGSWGGFTSSQQCIGGSGVHQCSAYSASPSSERTWTMRATFPEYVVALATIVGSVLFSIFGGVGIACLPLGLIFSFIRRPKAVITRSQYIKEATELGKKAKELKKAAQSLHQEERSGSKGRKFRKNVKEVEKELFQLEEDVNLLEEMYPQGEKAETSWALTVIGYLAKLVLGILGLIVSIAWVAHIIIYLLIDPPISPFLNVVFIKLDDVWGLLGTAAFAFFCFYLLLAVIAGAMMVGLRLVFVTIHPMKWGGTLMNSFLFNVGLILLSSISVIQFCSTAFAYYAQATAAQEIFGHTLESLRGIKYLYKYNVFQIAFVAFAGLTFVYYAAFGWRRKKPSGRFQLST >OIW08843 pep chromosome:LupAngTanjil_v1.0:LG07:500751:506173:-1 gene:TanjilG_16424 transcript:OIW08843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKLCNLQINMNGQHIFLVNEKIISRYCGRVKKILNHEERRKKFEIRINDFPGGPRGFELVSRFCYNKGKITITVANVPLLHCCAIYLGMNEDLFTNNLLQQTETFLKDIYYWKWNDILVSLMSCELLYTYADCYGLLDKIICALLAKIAQNSDANLFTSSPSSSSSYSSPDSNSIKRFSSSTKTTPEKVNSTLQSKAWWFDDLTSLYPKIIEKLFQCAGAYKTDNNNLVLTRFLLHYLKTASQTRAVNCRNSNEYAALAETAVYGVILVGKKIFSCRGLFWVLRIVSGFGLSRDCRTELEKMIGGMLEQATLDDLLVPGHDMGVYYDVDLVIRLVRLFVDINGFDGVSLQKMKRVGRLVDKYLREISPDHNLKISKFLRVAECLPDSARDCFDGVYRAIDIYLESHPKITFEERSRLCRCLNYSKLSFEASKDIAKSPRIPPRIAMQALISQQTKIPTSDFGTKSPRMNHSQVVLYNEANARSLKDKIENQERMQMNGQVSKFDTMNIMSTQQCSLPLRIQDHSEKASVNKVPFSGYPGPYSPSRGLDILNVGYLIAYKSRHSARKCRPIFSSIVSDSMDPYDSDDIDNNKSPKDEIGGVNSEKLRDNLEKIVGIDDSKFNGFDLATLIRKKYGRSYDVQFIKKEFMGRNLLAMNVMWKYMEQRSFPLTEEEYILRLDDVANTLKCWGAVSHIRNSLAKSKERPRIGKAVSIFIDMDESGARANEWIYK >OIW08674 pep chromosome:LupAngTanjil_v1.0:LG07:1170818:1171270:-1 gene:TanjilG_03350 transcript:OIW08674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSELKRVFQMFDRDGDGRISKKELKDSMEKLGMMIPDKELTQMIEKIDVNGDGWVDMEEFGELYESIMEERDEEEDMREAFNVFDHNHDGFITVEELRTVLSSLGLKQGRTVEECKKMIMKVDVDGDGMVNYKEFKQMMKGGGFSALG >OIW07516 pep chromosome:LupAngTanjil_v1.0:LG07:18637882:18640646:1 gene:TanjilG_14462 transcript:OIW07516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVENLDDGEFWLPSQFLSDDDDETFESSKTKSNVNNNGVSSVEETLFSSEFGYGGLYVVPSYRSSPFSGSSETESDEDEQHQIAEFNRLNARSNIESDFSNTKKSKGNLFSGSPQSTLCGFGSGCGKCCKGSSQGSPNSVCNNMSSARTTWDLLHAAAGEVERMRLNQQETSYPFHNRKPLVGGLFTQQSLSHQQLQMAQFDMMRKQQMYAQQKNNQQNNGSSSLWGVYQHRQSHKNLMVAPDRGGRTNNKPHDLSSTAWPSLQHAKQIQNQNHQQQFGSNGNGMRAIFLGGGRRESTGTGVFLPRPATESRKKPACSTALVPERVVQALNKKKMGDIVGCQQQNLHHFNATSNMENAGPRYRSNYAIFQQKKNLRPQPAMNHEISLLPQEWTY >OIW08560 pep chromosome:LupAngTanjil_v1.0:LG07:1964280:1973974:1 gene:TanjilG_03236 transcript:OIW08560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFWKLASLSASSPVEAILDNENFTLEELLDEEEIIQECKALNGRLINFLRDRAQVEQLLRYIIEEPPEDAEHKRVFKFPFISCEIFTCEIDVILKTLVDEEELMNLLFSFLEPDRSHSSLLAGYFSKVVVCLLMRKTVPLMNYVQVHQYVFRQLVDLIGITSIMEVLVRLVGADDHVYPNFIDVMQWLAESNLLEMIVDKLSPSSHPEVHANVAETLCTITRVASSTLAIKLSSPSFVAKILGYALEDSHSKSTLVNSLSVCISMLDPKRSAVSSSFLHSYRSQNMYEPPIPVNPDTIGAMLPKLGELIVLLDVSSDEKVLPTTYGELRPPLGRHRLKIVEFIAALIKTGNEVAEKELVNSGTIRRVIDLFFEYPYNNALHHHVESILLSCLESKMDAIASHILRECDLIGKFLQADKNCLLSSESNQPTVAAARKRVPRAGNIGHITQIVNKLVHLAHNQSHILECLQENSEWNEWQATILQERNVIENVHSWACGRPTALQDRMRDSDDDDLHDRDYDVTALANNLSQAFGYKIYGNEDNEETHDSMDRDEEDVYFDDDSAEVVISSLRLSDDQGSNLFTNSNWFAFQDDDIIGDAPRDNISSPEMMDGINMNGAATGVINDNGVVVGEIEELTDKNVNGISSQSTNLLSGLTANNSMNGGGIEFESEKASASHDVGFFGFDAPDNVALFGDGPSPDWVAYGEPSNIQVDGSSLNPFLDHDESASNLSRNLKAGSPNLSSPSNGASIPSNGLPTISDSIEGDGDSSQRSVPVPSLFEEDVGFVGVELEGTEKAMEQALKEGIVGEAGPLKRNIAPKVPEKESSEDGSPGMEFNDTNYWRVDQEVAVLD >OIW08745 pep chromosome:LupAngTanjil_v1.0:LG07:707789:708208:-1 gene:TanjilG_03421 transcript:OIW08745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLSTGNSSKIRRIVRIRQMLFRWRIKARVTATHAPSDVPAGYIAVCVGPTRSRFIIRATYLNHPIFVNLLAEAEEEYGFCNHGPLAVPCDEEYFRELLRVMAQPKCSTSVQDFKKNCHVDVRSRNRESWPLLRDDPIY >OIW07545 pep chromosome:LupAngTanjil_v1.0:LG07:17515495:17519869:-1 gene:TanjilG_08432 transcript:OIW07545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIFRLAGDMTHLFSVLVLLLKIHTIKSCAGISLKTQELYALVFASRYLDIFTNYISLYNTTMKLIFLGSSFSIVWYIRYHKIVRRTYDKEHDTFRHYILILPCLLLALVFHERLTFREVMWAFSLYLEAVAILPQLVLLQRTRNIDNLTGQYVFLLGAYRALYILNWIYRYFTEPHFIHWITWISGLVQTLLYADFFYYYFQSWKNNQKLHLPA >OIW07949 pep chromosome:LupAngTanjil_v1.0:LG07:7846482:7848513:-1 gene:TanjilG_20050 transcript:OIW07949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLPVRYLIVLLTFICTSVCYIERVGFSIAYTVAADAVSVNQSTKGAILSTFYYGYACSQVPGGYVAQKIGGRKVLLLSFLLWSFTCALLPLDPNRVSILIIARLLVGVAQGFIFPSIHTVLAQWVPPHERSRSVSLTTSGMYLGAALGMLLIPTLVKFKGPQSVFVAEAGLGLVWSLLWFKYASDPKGESLLPVNKKIDIVSKDSNVVSPSNSAKSGSIPWLKILTSLPVWAIVVNNFTFHYALYVLMNWLPTYFELGLKLSLQDMGSSKMLPYLNMFVFSNIGGVVADYFITRRILSVTMTRKFLNTVGFLVASLALVVIPSFRTSGGAVFCSSVALGFLALGRAGFAVNHMDIAPRYAGIVMGVSNTAGTLAGIVGVDLTGRLLEAAKVANSDLSTPESWKAVFLIPGIRGYVMEREMIGECGYIACRCKKGSNRSRTWICKHDVGNARLLCG >OIW08448 pep chromosome:LupAngTanjil_v1.0:LG07:2867227:2867571:1 gene:TanjilG_03124 transcript:OIW08448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKAYHSLGISTKETPLKSLCAGTEWMPKRSPYPRRYQEMRMNHLNSYIPFQPNTPKDSKTVALPQNFGICTCFKTTKFCKEKSVQVHWQIHDPSKTPNNLVQRTFAAEQCKNL >OIW07920 pep chromosome:LupAngTanjil_v1.0:LG07:7591663:7595954:1 gene:TanjilG_20021 transcript:OIW07920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQTTPNHTQTVSGWAAFDSAGKIVPHTFKRRENGVNDVTIEILYCGICHTDLHHARDDWGITMYPVVPGHEITGVITKVGSDVKGFKEGDRVGVGCLAATCLECDLCKSDEENYCDKLALTYNGIFWDGSITYGGYSKMLVADYRYVVHIPDSLPLDATAPLLCAGITVFSPMKDHGLVTSPRKNVGIVGLGGLGHVAVKFAKAFGHHVTVISTSPSKESEAKDRLGADDFIVSTNPEQMQAATRTLDFILDTVSGVHSLAPLLELLKVKGTLFIVGAPEKPFELPSFPLIFGKRSVKGGIIGGIKETQEMLDFCGKHNILSDIEITTPDKINEALDRLVKNDVRYRFVIDIGGKSEN >OIW08636 pep chromosome:LupAngTanjil_v1.0:LG07:1428559:1430613:-1 gene:TanjilG_03312 transcript:OIW08636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSMDPLVENFPGSMNGLFENGPISVFTNQNSGNGFKVDDSSSPSNHSESPTDYAPSTVSTSPNGESVDSTKHSNPILRYISDILMDEEDDLERKPCMLQDCLKLQAAEKSFYAALGGGYPSLPDQIQSCYQHDSPDPDDNSGRTTSCDSNNSSHFTDNSSSYESDWVNGYGDFESSFMHSSLLDDMAIGPFRDAQAFGTWNNIIQSQIKKPHTIAATMGSREKRIHHMDDITHEEKERGSKVSAKYADDSEPPDMFDAVLLCEDGKSPAIFCASGEPSQQNAADSRASNGKAKATRTKKGGNKGTSTGTTIDLWTLLTQCAQAVTNYDQRNANEILNQIKQHSSAYGDGLQRLAHYFAIGLETRLAAGTPNYMQIHLASAADMLKAYKLYITASPFQRMSNFLANRTILKLAENQSSLHVIDFGICYGFQWPCLIQRLSERPGGPPRLRITGIDLPQPGFRPAERVEETGRRLENYCKRFKVEFEYNCLAQKWESLSLEDLKINRNEVTVVNCLYRLKNLSDETVSVDCPRDAVLRLIRKINPNIFIHGVVNGNYNAPFFLTRFKEALFHFSALFDMFEANVSRDDPHRVMFEKGLFGRDAINVIACEGTERVERPETYKQWQVRNRRAGFKQIALAPELLNRVKGMVKKEHHKDFVVDEDGKWVLQGWKGRILHALSSWVPS >OIW08389 pep chromosome:LupAngTanjil_v1.0:LG07:3397088:3399215:-1 gene:TanjilG_03065 transcript:OIW08389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQNNNMNEIAHATHVESELNPNSIQDGKCQGNGNKDEIETENEDSISEQSSSSSDSEERDEDEDEDDESVSDDGGDAEKMLTWGLVDDADGERGGEPTRSKNELQNLPPVPPVEVTLEPHHHVQPVGVIMSILSAQVIVEGVEKHEPLNEGSILWVTESQKPLGLIDDIFGPVKKPYYIVRYNSENEVPEGIGAGTLISFVPEFADYVLNNKDVNKKGYDASGANDEELSDEYEFSDDEKEAEYRRVQRATRRDKTDQNQGKRKIIRKKGPLNQNVVPSIPDAPAPPLLDHGISSPFSGNRQGNFGGTTMPIPFPIPPSNAGPNLFSNGIWTNGATVPQQPQPSPPPNAFPSDGMPWYPQNTQIPHQLPMLQFQQLLHPSQGPLSPVMFPGVLPNIFAQPMYAQGLVGPNQMPFGMNTPFGQIQSPIFAAQQGFPSGELQSQGNLNLHSNTIPSAPPQLRPSTSAGRSSHGRTFHAAGRKGSRPAR >OIW07622 pep chromosome:LupAngTanjil_v1.0:LG07:16904592:16905722:-1 gene:TanjilG_16603 transcript:OIW07622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAALCCVGKPSKQKSLDWELEGKIVEMKRYRIGQTKFKSIDSIVMLFPMFKERLKILREMFEQYDEDSNGSIEPNELKKLVEHLQLHLPEEHIKNLFHYCDIDGSKGIQFNEFIVLLCLIHLLQEPPTSDNSSKTELAQLGETFDTIVEVFLFFDKNGDGKLNKKDMVKTLNETNPLERSPAHITRNRFKEMDWDRNGQVTFREFLFGFIKWIGIDVDE >OIW07448 pep chromosome:LupAngTanjil_v1.0:LG07:19236986:19239721:1 gene:TanjilG_24310 transcript:OIW07448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPNGMFVQKREVGSVHDGPMINITVTHASSNHELYLPNQSTFWDVKKLLAHKTGLRSEEQRLFFKGKEKDNEEHLHREGVKDKSKLLLLEDAASKERKLEEIRKHNEMLKASEAIAAVRAEVDKLSDRVSALEVAVDGGTRVSDKEFLVSTELLMRQLLKLDGIDAEGEAKLQRKAEVRRVQNFVDTLDSLKARNSNPFNQSGKTVKVTTQWETFNNGMGSLNAPTSTSSSSTNNVTQDWDRVD >OIW08597 pep chromosome:LupAngTanjil_v1.0:LG07:1717812:1719859:-1 gene:TanjilG_03273 transcript:OIW08597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYTHYNTTIPRAADSISYVPSPPDATTASPMHFSTTLQQQHYQLGIGELDMVQLNSEMSYNNNNNNQNSSGSGGSSYMGSPSSMASYETQRLMQRSVSSHSLPKTNNNNNGPHHAFSAFFAELLESSHDDGPVRRVYSTGDLHRINGMQHYHHSDSPLSSESSMIIEGMNRASPYSPEEKKARIERYKSKRNQRNFNKKIKYACRKTLADSRPRIRGRFARNDEIVSNPQVQWSHIGDGEEADDEDENWDNFFNSIVPESLDHEHHCSNAFDVLY >OIW08222 pep chromosome:LupAngTanjil_v1.0:LG07:4857021:4858427:-1 gene:TanjilG_15183 transcript:OIW08222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMPMSIPIDPTKKRKLEENGFSTVDSDLVTLSSSDARKIIERFTQEQLHDILQDATVRHPDVLAAVRSVADTDQTQRKLFIRGLGWDTTTDGLRSLFSAYGDLEEAVVILDKATGKSKGYGFVTFRHVDGALLALKEPSKRIDGRVTVTQLAAAGNSGNTTNTADIAQRKIYVANVPPDLPADKLLAHFSIYGEIEEGPLGFDKQTGKSKGFALFVYKLPEGAQAALVESVKNVEGRQLNCKLAITDGKQGKRGAGGVGVQDGVQGHGNAHGHGDGMGMAPQSSVPGSYGGPVGGNISSYGGFPGQPPMGGHPLNSSVGSLGSVANQAPPSSLGAAGGYGSGGGYGSGIGGHYGGYGGGPGSAGFGGSGYGGVGGGVAGGGGAGGVGSGLGGVASGLGGVGGGLGGAGSLYKLPGSGGIPGGGYGESGHYSLSASSGYQNQHHPPSGASSVPRVPPGSMYPNVPPYY >OIW08660 pep chromosome:LupAngTanjil_v1.0:LG07:1282980:1285438:-1 gene:TanjilG_03336 transcript:OIW08660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESDLRQHPPMLLDQQQQISSGLTRYRSAPSSYFSNIIDNEFYESIFNRPSSPETERVFARFMNSLSGSGGSGGGDGAADDSVSTNIQPVQQVSVVKEEIINQQSQDMPSMNNEPLILQQQQSNINNYGSSNPQSFYHSSGRPPLPNQMKTGRGSTSSLIRHGSSPAGLFSNINIEGYAAIRGMGALGAANSTTEEANFSPAARLKNAPNYSPGLMSSRGEIGNESNKQNNAVNEAFAENQGNDFVAGLPVGLWDDSSVLADNISGVKRPRDDDVKPFSGLNAAETLNETVGQPSAPLAHQLSMPNTPLEMAAIEKFLHSSDSVPWKIRAKRGCATHPRSIAERVRRTKISERMRKLQDLVPNMDNQTNTADMLDLAVDYIKDLQKQVQKVMLSVRVHTSNINSGKVGG >OIW07667 pep chromosome:LupAngTanjil_v1.0:LG07:14650385:14655427:1 gene:TanjilG_07709 transcript:OIW07667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGQQWWRLRFSFRNATMVVCFLNIITAIFLLHAFLSSAYTRSKLSTANSNAAQLRYIKESEEIRLAMLPLDLIKRVREIEQEVYTQPETAQKKDTKQTAAVGLSKRLKDFRSVNDAASMKALEEWRKRKIERARQRQLEKNGTTSSQT >OIW08698 pep chromosome:LupAngTanjil_v1.0:LG07:1029696:1034972:1 gene:TanjilG_03374 transcript:OIW08698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDYVTSDDDYHYSDDQDYSADAYENDETDYQLVTSKGPSTQDPMINRLTMVGKTAMISRLRVECCIDHGRSGGEEDGLIGGGVARKKKNHVEELPKGRLFKAKTGGVITKESLLAAQREDLRQVMDMLSVKEQHARTLLIYHRWDVQKLFAVYVDKGIAHLFAEAGVTVNKHHCDSSVRSLMCEICTDDVPSDEATSMDCGHCFCNSCWTEHFVVKINEGQSKRIRCMAHKCYSICDEAVVRTLLSRKYPDMAEKYERFLLESYIEDNKRVKWCPSTPHCGNAIRVDDDDELCELWAKKCRDESETVNWMTAHTKPCPKCHKPVEKNGGCNLVSCICGQPFCWVCGGATGRDHTWSSITGHSCGRYKDQEKSAEQAKRDLYRYMHYHNRYKAHTDSFKLETKLKEIIQGKIDISEEKDSKVRDYSWVINGLSRLFRSRRILSYSYAFAFYMFGDELFKDELSESQREIKQNLFEDQQQQLEANIEKISKILEEPFDTFPDDKVMEIRMQIINLSAVIDKLCQKMYDCIENDLLGSLHHIHCIAPYKSKGIERASELSVWSNKANNIGGTAEVDQPSGSRSSDDHGCSSRKRARKESVGGGSGGGFFDLNLPAEFVDRN >OIW08324 pep chromosome:LupAngTanjil_v1.0:LG07:3854136:3856733:-1 gene:TanjilG_03000 transcript:OIW08324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITMDLKGMTWVGNLYHEFENICLEAEDIIYQDTVNYIENQMQAVSESVKKLYADVMQDLLPPSSCDLDENVASELHTDQDTYAGFCKKPDQGFKETPLKADANQTTKDSRINHDVDNDTIHPASYDGTCETNVVTPSSGDSVKGNNFISHSAQCVQSMDIKSDLAIDENQENKKMVATMISDEITLAEPNRCRTSQSCDLSNENQNSPVSDSKAASTEVTLLFASAADCCYEIKNASTEEIPDDPVLVESHGEKQMNTCYSSGVLFGEPDSFYMDRTIYSDDCYSTVLLSHQDTMNLDVTKIDTFEEQDHKAMQQDQLKLEETCVMVTGDELQLVHKQGGYLKTNKKWRPSFSLSKKSARKQEYKELALWHGNNEEVKGDCMENSDPTLLENQEKLLFPTMSEPEWEIL >OIW08263 pep chromosome:LupAngTanjil_v1.0:LG07:4518692:4519438:1 gene:TanjilG_21729 transcript:OIW08263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAIQIVVDEKGFYIGEYTSRTEGNGLFGTCISNTWEGIRYATKRHLLEYTSVCIA >OIW08693 pep chromosome:LupAngTanjil_v1.0:LG07:1056750:1056914:-1 gene:TanjilG_03369 transcript:OIW08693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPGMFMRKPDKAAALKQLKSHAAMFGTWVVVIRVTPFILHLLHGEKDELKLQL >OIW08500 pep chromosome:LupAngTanjil_v1.0:LG07:2481638:2485508:1 gene:TanjilG_03176 transcript:OIW08500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMAKAHSVVLFLILCTILHFSSSSIAASPPPSDHRFNVGDLIPFFVNKVGPFNNPSETYEYYDLPFCHPDPLIRKKESLGEVLNGDRLMNALYEFKFRENRIDVPLCQKKFTSDEISNFKQAISRDFYFQFYLDDLPFWGFIGKLEEERLSSDSGGPKYYLFTHIQFDVLYNENRVVQVNALGDPNRALDITKDEDIDVRFTYSVIWNATRMHFENRMDRYSRASLLPVYRQIHWFSFINSIVIIFLLVVLLAILYVRHLKSDMKKYTNSNEEDKEVGWKSIHGDVFKHPPHSSLLFAIVGMGTQLLILLCVLWFLAFIGTLYPYNRGGLLNWLVLLYAVSSVFAGYSAASFHGQFAANGWERTVGLAGILYIGPVFVAASILNIIAISYRTTTALPLGSIIVILALFVFLAIPLLAFGGVIGYRFRSELQPPSSSKRYPRETQQLAWYRRRLFQMFIGGLVPFSAIVLQLHQVYASMWGYKIYTLPSILFVTFFTAVVIIVLVNIGLTYIQLSVEDHEWWWRSVLCGGSTAIFMFGYCIYFYVRSNMNGFLQLLFFLGYNACICYAFFLIFGAISFRVSLLFVRHIYHNVKRE >OIW07525 pep chromosome:LupAngTanjil_v1.0:LG07:18775761:18782895:1 gene:TanjilG_14471 transcript:OIW07525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKASGIGEQFQLSLKLMVHQETNKVLFAEVGKDFVDVLISFLTLPLGTIARLVAKDCDMGPLKIASLSSLYESVSNLGDEYMWKDTCKKMLLQPRNPMEDYCRSLKLNVDDTDPTKYYVCKNFLECQRAPFVMCSTFKNKACSCGNLLEKQISPKNCISFDGFVKNSSCFMVTDDLCLLPMSLDAMFSIIKKMGIEDMSSLKEIVVDVTKNKLIDLLKCLLVSKTPFTDVFLRKKPCLQKPDCKIVCPSDSSEEQCTPVKVKLMYQKSDGKIVCAQGKEDFANFLLSILTFPLGAVVRLLQGNSSMGSVDGLYKSVVDLNEDLFNTKEVKAKLVDLGLAPQFKLCDQVIPISEIKAPEYYCVSKSSKSRITELYLTSGNLNNPHDLYRTFNYLDMVDPISQNESTKGFVREAIVYVATDNLVVSPISSISAISLINSMNTTLGDIEEKEFNIGLREEASGIVEKDQLSLKLMVHKETNKVLFAEVGKDFVDVLISFLTLPLGTIDRLVAKQSDMGPIRIASVSSLYESVEELYDDYMLTDSCMVMLLHPKNPMEDYCRSLKLNVDDTDPTNYYVCNNLLQCQNGGSVMCSTFKSKKCSCGKLLGNQIFLKGYDSFEGFVKENSCFLVTDDLCILPMSLDTMVSIIKKMGIEDISTLKEIEVGVTKNKV >OIW08691 pep chromosome:LupAngTanjil_v1.0:LG07:1065116:1067214:-1 gene:TanjilG_03367 transcript:OIW08691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLDPKLPLKPIPGSYGLPFFGPISDRHDYFYHQGRDKYFATRIKKYNSTVFKTNMPPGPFISQNPRVIALLDAASFPILFDNSKVEKRNLLDGTFMPSTDFFGGYRPCAFQDTTEPSHQLIKTFFINFLSSKHSIFLPLFRNALSEHFADLDDQLAGKHGKASFNSSIGTAIFNFIFRLICENKNPNETKIGSKGPTLVQTWLGAQLAPLATLNPPTIIGYLEDLVLRTVSIPAWTVKSSYKNLYEGFSVAATAALDEAERLGIKRDEACHNLVFMAAFNAFGGLSNQLPILIKWVGLGGEELHKQLAHEIRTVVKKEGGVTFAALENMTLTKSTVYEALRIEPAVPFQYAKAREDLVIQSHDASFQINKGEMLFGYQPFVTKDPKIFDNPEDFVADRFVGDGGKLLKYVLWSNGRETEDPTPDNKQCPAKNLVVLMCRLFLVELFLRYDTFTIDFKPLVLGPTVTIKSLTKASNTF >OIW08678 pep chromosome:LupAngTanjil_v1.0:LG07:1150511:1152138:1 gene:TanjilG_03354 transcript:OIW08678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIQCDVCEKAPATVICCADEAALCAKCDVEVHAANRLASKHQRLLLQCLPNKLPRCDICQDKAAFIFCVEDRALFCKDCDEPIHSAGSLSSNHQRFLATGIRVALSSNCPKGDEKSHSEPSNPSVQPAHVKTPQQVPSFTSSWAVDDILELTDFESPGKKGSLEFGELEWLADEDLFSEQFPQEALAAAEVPQIPVMHTSSVTSYKASKSYMSYKKPRIEVLDEDDNEHCTVPDLG >OIW08775 pep chromosome:LupAngTanjil_v1.0:LG07:100309:101847:-1 gene:TanjilG_16356 transcript:OIW08775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYLYLSCKAESTVSTSNSLSQSSSQSSSSSSSKLNKDKSIKIQHFHYSDLEAATNGFSERKLLGKGSHGYVYKAMLSGKPVAVKRPSRPHSLLPPRSHTISSSSSSSSHSNEITMITNELDNEIEILSKIHSPGLVNLVGFTNDSKDRLLVVEFMSNGTLYDVLHSSSNKTTPNWGRRIRFSLQIAKAIDTLHSSTPPVIHRDIKSANVLIDQNYNARLGDFGLALRGHVHDYRLRSTPPAGTMGYLDPCYVTPDNLSTKNDVFSFGILLLEIISGRKAIDVTYSPHSIVDWAIPLIKRGKLLCVYDPRIPPPKDPIVRKQLAVIAAKCVRSCRERRPSMNEIVNWLSGLCKLVPLHSWNAFNINNPCMMVETVGRPVEARNAAAQAQLSSRLQGVAEEGNILDAKLSKSAMKYSQRVYSDLGFSSNLVDLMAATEENEFVRDVDGGENSSKSGEQVCSITRFGSGRYFRKSGNINLYRPCGSDKDAFGMSKGQIIGESETSEQEVVFCGS >OIW08183 pep chromosome:LupAngTanjil_v1.0:LG07:5437376:5440300:-1 gene:TanjilG_24378 transcript:OIW08183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEIVDANSSHNLFTRLVERTLFRRPPLPSKRHDYEECCYEVMETLGEGGFGRVYKCKHMRTGEIVAIKQIDFRHDRNAVPSFLIREVSLLRELKHDNIVRLLNVSSKETYVSLVFELLDEDLGRYIRRNRGVKDPRIRKSFMQQILTGLAHCHAQKILHRDLKPTNLLIDNSKMMIKIADFGLAREFGGDMLLTRRPATRYYRAPEILLDSREYSTAVDLWSVGCIFGEMVTGRPIFGTGNCFDELEAIFRMLGTPTEDTWPQVSKFTHLQSYAKFHPMDLSTIFADLEPQGLHLLKMLLCPDPKRRPSVEGALKHAYFNDLPEHRRRKNKVKSWAGGAEQVL >OIW07642 pep chromosome:LupAngTanjil_v1.0:LG07:15230385:15231137:1 gene:TanjilG_17657 transcript:OIW07642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCPFSSKQNPYGSLVFALPLPSPSKRHHKNLRLNMLNRRRAQLKEAKQRKRMIVVKAEIERKNLKLYMENQNIIEENKKLRTQAMLLHKENKALLSELQNKISEQNNNNTYNSHFM >OIW08386 pep chromosome:LupAngTanjil_v1.0:LG07:3416198:3418506:1 gene:TanjilG_03062 transcript:OIW08386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFDKGSMHSKSNLDCLLRSTTPVVQSQFLQKHEIKNLNRLWHPWEREKVEYFTLSDLWNCFDELSAYGAGVPITLANGEMVVQYYVPYLSAIQICTSNTSFREEAESGDFETRDSYSDCFSDDSECDKAWKWDGTSSEEGGFEQDCLWHLNNRLGHIYFQYFERSTPYGRVPLMDKITELAEKYPGLMSLKSVDLSPASWMAVAWYPIYHIPMGRTIKDLSTCFLTYHTLSSSFQDMELDDDHTEGAHVKRKEGEGISLPPFGLATYKMEGNVWVSGNYGRDHERLVSLLSVADSWLKQLRVQHHDFNHFMGIRHG >OIW07615 pep chromosome:LupAngTanjil_v1.0:LG07:17028714:17029220:-1 gene:TanjilG_16596 transcript:OIW07615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSNLHRLSSQIPRLSSQIPRLSSLSFFSKSLISRTTATTTTTTGSAKVSDRIVRLSAIDYNGNIHNVIGLSGQTLLKALINTGLIDPDSHRLEDIDACSAHCEVNIAEEWFEKLTPRSYDEEYVLKRNARARVLNKHSRLGCQVVLNHDLQGMVVAVPEPKPWDTN >OIW08570 pep chromosome:LupAngTanjil_v1.0:LG07:1890933:1892496:-1 gene:TanjilG_03246 transcript:OIW08570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRKWSILFAFIIFLIVMEAAIVYGQGNGKENGKDQGNEKVIKEVKKPNEEKKPKEVKKPKEEKKPKEVKPKEEKKPKEVKPKEEKKPKEVKPKEEKKPKEVKPKEEKKPKEVKPKKEKKPKEVKPKKEKKKPKHGYDESSDYEELTPQSGFERGLCRANSSCHFKTLRCPNECPERKPKKNKKHKACFLDCSSKCESTCKVRKANCDGYGSLCYDPRFVGGDGVIFYFHGAKGGNFAIVSDDEFQINAHFIGTRPQGRTRDYTWVQALAVMFDTHTLDIAAKRVSQWDDNVDSLLVKWNGKAINIPSDGDAEWRANVDDREVVVERTDDANSVRVTVSGLLEMDIRVRPIGEKENKAHNYQLPADDSFAHLETQFRFKNLSDSVEGVLGQTYRPNYVSPVKRGVAMPMMGGEDKYQTLSLYSTPCNLCKFKRPSAVASSEGLIAQY >OIW08231 pep chromosome:LupAngTanjil_v1.0:LG07:4764682:4766078:1 gene:TanjilG_15192 transcript:OIW08231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSITTSETICHQQQLPPWVDDFLAASSPRRGSFAVLDDDQLISMFSDDSALPELMSSEYNSNDNEKVMQLDVMVPMQEHDKQPKNEAMEEEISWRNGMEVGTELLTPRRVKRILANRQSAQKSRVRKLQYVSELERNVTSLQTEVSILSPRVAFLDHQRLILNVDNSSLKQRIAALAQDKIFKDGHQEALKMEIERLRQIYQHQNLHKMGNSVNNNGHSLHSQPPPQPQPPYSTSSSNSISALGM >OIW08767 pep chromosome:LupAngTanjil_v1.0:LG07:49745:51587:1 gene:TanjilG_16348 transcript:OIW08767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHKSVLDVCLKLYDNTVFHLNRTLVGLKVRKSCSSFDAQTWLSTALTNIETCRSEALEFSVPDFIVPAPSSNVTEIISNSLAVNYGFTKEEASHYTQDNEVDGFPKWITRHERKLLKSSSIKPNLVVAKDGSGQFKTVQGAINAAARRRNKSRFVIKVKRGVYRENIEVDKSNDNIMLVGDGMRYTIITSARSVQNGFTTYSSATAGIDGLHFIARDITFKNTAGPTKGQAVALRSASDLSVFYHCSIEGYQDTLMVHAQRQFYKGCYIYGTVDFIFGNAAVVFQNCVILVRRPLNGQANMITAQGRGDPFQNTGISIHNSQIRAAPDLKPVVNKFKTFLGRPWQEYSRVVVMKSYIDTLVDPMGWSPWGDSNFAEKTLYFGEYNNIGGGSSTRKRVKWSGYHVIRTSKEASTYTVATLLAGQTWLPSTGIPFTLGL >OIW08031 pep chromosome:LupAngTanjil_v1.0:LG07:9219568:9223579:-1 gene:TanjilG_20132 transcript:OIW08031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFLALPLVAILVLFLVLKLTFSWWVSPIQKHQKLKRCGFGGPPPSFPLGNIQEMKKKKSSSGSSNLTHDIHSTVFPYFSRWQSSYGKVFIYWLGTEPFLYIADPEFLNKMSTEVMAKRWGKPSVFKNDRESMFGKGLVMVEGAEWVRHRHVIAPAFSPLNLKAMRNMMIESTKQMIDRWVTQINSGNHEIDVESEVISTAGKIIARSSFGMNDEDGSVVFEKLRALQRTLFKTTRYVGVPYGKCFNVKKSLEAKKLGNEIDKLLLSVITARKKSNKGCDQQDLLGSLLKENHQVDGKKLSTRELVDECKTFFFGGHETTALAISWTLFLLAMHQDWQIQLRDEIREVIGDNELDIKVLAKLKKMKWVMNEVLRLYPPAPNVQRQARENIQVDNLTVPNGTNMWIDVVGMHHDPKLWGKDVNEFRPERFMDDVNGGCNHKMGYLPFGFGGRMCIGRNLTFMEYKIVLTLLLSRFSFNVSPNYQHSPSIMLSLRPTYGLPLIVQPL >OIW08201 pep chromosome:LupAngTanjil_v1.0:LG07:5107892:5110026:-1 gene:TanjilG_15162 transcript:OIW08201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIQCNACEAAEAKVLCCADEAALCWECDEKVHAANKLASKHQRVPLSTSASQVPKCDICQDTVGYFFCLEDRALLCRNCDLVIHTVNAHVSAHQRFLLTGVRVGLEATKPGASSSLAKSHSEEKMSNTKSSSISGNVSPMPQSFNHNEVLPIQVGRVGEFPQPKVSYSGDSTVGNVSHWPIDEFLWLSEFGQNYNCMGGSSKDDSGKRWDSDSSLVRLNEVEMDNDDFSGHVPDSCWTVPQIPSPPTASGLYWPKDSQYTSDAMFVPDICFSDMQQPDSTRNNFISPKRRQL >OIW08061 pep chromosome:LupAngTanjil_v1.0:LG07:9604109:9604663:-1 gene:TanjilG_20162 transcript:OIW08061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTLIISTSNPTTYLSIFSSWKSRFPTFNPRTAQNLNYPLSTFKPHANAKGFSSRPNSTSLESDVTNKKKTNKGKKNEDDEIPQEVFNKMIIRILVSVLVPMGLGLAILYILGELKEQHVWDFPMWVPFSTTLLTFGASALGIAYGTLSTSLDAEREGTFLGLNEVQKNWEEMWQEEDSEASQR >OIW08320 pep chromosome:LupAngTanjil_v1.0:LG07:3868597:3875510:-1 gene:TanjilG_02996 transcript:OIW08320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRNIERTVSIDAQLRLLAPRKVSDDDKLVEYDALLLDRFLDILQDLHGEDIRETVQECYELSAEYEGQNNHQKLEELGNKLTGLDAGDSIVIAKSFSHMLNLANLAEEVQIAYRRRIKLLKKGDFVDENSAITESDIEETFKRLVNELKKTPQEVFDALKNQTVDLVLTAHPTQSVRRSLLQKHGRIRNCLTQLYAKDITPDDKQELDEALQREIQAAFRTDEIRRTPPTPQDEMRAGMSYFHETIWKGVPKFLRRVDTALKNIGINERVPYNAPLIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMAANLYFSQIEDLMFELSMWRCDDELRVRAEELHRFSKKNAKHYIEFWKQIPPNEPYRVILGDVRDKLYNTRERSRQLLANGSSEIPEETTFTNIEQFLEPLELCYRSLCACGDQSIADGSLLDFLRQVSTFGLSFVRLDIRQESDRHTDVMDAITQHLEIGSYREWSEERRQEWLLSELSGKRPLFGPDLPKTHEIADVLETFHVISELPSDNFGAYIISMATSPSDVLAVELLQRECHVKQPLRVVPLFEKLADLEAAPAALARLFSIDWYRDRINGKQEVMIGYSDSGKDAGRLSAAWALYKAQEELIKVAKEFGVKLTMFHGRGGTVGRGGGPTHLAILSQPPDTVHGSLRVTIQGEVIEQSFGEEHLCFRTLQRFTAATLEHGMHPPESPKPEWRALLDEMAVIATNEYRSIVFQEPRFVEYFRCATPELEYGRMNIGSRPSKRKPSGGIESLRAIPWIFAWTQTRFHLPVWLGFGGAFKNVIEKDPKNLQKLHDMYNQWPFFRVTLDLVEMVFAKGDPGIATLYDKLLVSGELWSFGERLRSKYEETKSLLLQVAGHRDLLEGDPYLKQRLRIRDSYITTLNVLQAYTLKRIRDSDYHVNLRPHLSKDYMESSKPAAELVKLNPKSEYAPGLEDTLILTMKGIAAGMQNTG >OIW08443 pep chromosome:LupAngTanjil_v1.0:LG07:2896174:2899488:1 gene:TanjilG_03119 transcript:OIW08443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDVTANMRPTPSSSSSSSSLPFNAPLLSAFLSLAIAQFLKIFTTWYKEKRWDSKKMLDSGGMPSSHSATVTALAMAIGLEEGTGSPAFAIAVVLSCIVMYDASGVRLHAGRQAEMLNQIVCELPPEHPLSNVRPLRDSLGHTPFQSKVNPTRWFSKATFHSLLCIVSPLLPCNYPPYMIWCQ >OIW08581 pep chromosome:LupAngTanjil_v1.0:LG07:1828915:1837805:1 gene:TanjilG_03257 transcript:OIW08581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLIPSCKEKLAYFRVKELKDVLTQLGLSKQGKKQDEVMMPVLLLLQDLVDRILVSITDEQVSKMWAKKNAVSKEQVAKLVDDTYRKMQISGGATDLASKGHGASDSSTVKIKGEIEDSFQSDTKIRCLCGSTLETEPLVKCDGPRCHVWQHISCVIIPEKPVDGIPPVPDQFYCELCRLSRADPFWVTVVHPLFPVKLTPTSIPTDGTNPVQSVDRTFQLTRADKDLVSKQEFDVQAWCMLLNDKVSFRMQWPQYTDLQVNGVPVRAINRPGSQLLGANGRDDGPIITPYIKDGINKIHLTGCDARVFCFGIRIVKRRSMQQVLNIIPKEPDGERFEDALARVCRCVGGGNADDNADSDSDLEVVSDTFTINLRCPMSGSRMKIAGRFKPCVHMGCFDLEVFVEMNQRSRKWQCPICLKNYALENIIIDPYFNRITSKMIHCGEEVTEVEVKPDSSWRVKTKSESERLEIGNLAQWHSPDGSLCISNDREKKLETLKQVKQEGVSGSPTGLKIGIRKNRNGVWEVSKPEDTNTSSGNRLKGVFGNHEQVVIPMSSSATGSGRDGDDPSVNQGGGGHIDYSTTNGLELDSLCLNNAALTYGYTAHNISAQAVAAEVIILSDSDEDNDVLISPTIAYKNNQTCATGDSYSVPPPGIVDSYTEDHSLGGNSCLGLFPNEDDFVMHSSLWSLPSGTQADPEFQFFGSNADVSDALVHLQHGPINCSSSLNGYSLAPDTALGSSTLIPDSSACRSDADLNGGLVDNPLAFAGDDPSLQIFLPTRPAESSVQHGSRDQTNVTNGICTEDWISLSLGGGASGSNGNASTQNGFNSGLQVPTRGAATNNMADTAASLLLGMNDDRSDKTSRPRSDNPFSFPRQKRSVRPRLYLSIDSESE >OIW07444 pep chromosome:LupAngTanjil_v1.0:LG07:19737714:19738829:1 gene:TanjilG_19285 transcript:OIW07444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQLRFSPHFHHTLSQHNNNNNINNNTLSLPFPSSPQRLHLHNNNNNNNNPSLFLTNQSSLSSQSFKLYSSSGGGGNNSGGNGGGGGNNGGDHNNDENLNDPSPDSSLNSGFGILGLFLEGWRSRVKADPQFPFKVLMEEIVGVTACVLGDMASRPNFGLNELDLVFSTLVVGSIINFTVMYLLAPTSSSLSTTLPSIFATCPKSHMFEPGSFSVLDRFGTLAYKGSIFAVVGLAAGLVGTLLSNGLMKMRAKFENNKNDDFEKVGPTIKSPPIVLNALTWAIHMGLSSNLRYQSLNGVELMLERGLRPLAFKSSVLVLRLVNNVVGGVSFVLLARLTGAQSVGEQDKKDVEVVVAEEKYKLLLDGDKRED >OIW08611 pep chromosome:LupAngTanjil_v1.0:LG07:1603074:1606110:1 gene:TanjilG_03287 transcript:OIW08611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKRGKGVGKSCILLRFSDGSFTTSFITTIGIDFKIRTIELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDEASFNNIRNWIRNIEQHASDNVNKILVGNKADMDESKRAVPTSKGQALADEYGIKFFETSAKTNLNVEEVFFSIARDIKQRLSDTDNKAEPTTIKINQDQGAGAGQAAQKSACCG >OIW07687 pep chromosome:LupAngTanjil_v1.0:LG07:13579246:13579548:-1 gene:TanjilG_30764 transcript:OIW07687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENAKKRKSNFETHRQQWSTIQNRAPPSLQINHNMNRKLIIPLLSPIVLSSPPPPLDMKVEEPLPPSRLSEDQEKVDFKKWQNPAEPFYYDPTKEARNFY >OIW07819 pep chromosome:LupAngTanjil_v1.0:LG07:9957395:9963675:-1 gene:TanjilG_32675 transcript:OIW07819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYQPFVDHLHGCVAAADAILHEDPDVIIKTISGLSPGPVSGGELSLKVALGVLSFPGAILLLVCVYKCEDADGGIDESLYAPLSGQLNGIDSISYETPFVNAGIFNRMSFWWLNPLMKRGQEKTLQDEDIPKLRESDRAESCYLLFVDQLRKQKQKESASQPSLFWTIILCHWREILISGVFALLKVLTLSCGPLLLNAFILVAEGNESFKYEGYALAISLFFIKIIESISQRQWYFRTRLIGMNVRSLLTAAIYKKQLRLSNAARLTHSGGEIMNYVTVDAYRIGEFPFWIHQTWTTIVQLCMALIILFNAVGLATIASLVVIVLTVLCNTPLAKLQHKFQSKLMAAQDERLKASSEALLNMKVLKLYAWETHVKNAIESLRNVELEWLSAFQLKRAYGVFLFWTSPVLVSAASFCACYFLNVPLHANNVFTFVATLRLVQDPITNIPDVIGVIIQAKVAFARIVKFLEAPELENENFRNRCFNENLRGSILFNSADFSWEDNASKSTLRNISLEVRHGQKVAICGEVGSGKSTLLAAILGEVPNTKGTIEVYGKFAYVSQTAWIQTGTIQENILFGSALDVQRYQETLHRSSLMKDLELFPHGDLTEIGERGVNLSGGQKQRIQLARALYHNADVYLLDDPFSAVDAHTAKSLFNEYVMEGLAGKTVILVTHQVEFLPVFDSILLMSDGEILQAAPYDHLLTTSRKFQDLVNAHKETVGSNQLHDLISAQRHSTASEIKQAYTVKPFKASNGNQLIKQEEREIGDAGLKPYLKYLNQMRGYIYLSVTALCHLTFVIFQILQNSWMGANVDNRRVSTLRLIVVYVLIGVISTFFMLIRSLFVVALGLQSSKNLFSQLTNSLFRAPMSFYDSTPLGRILSRVSADMSIVDLDIPFNLAYTVGGTINFYSSLSVLAVVTWPVLIVSIPLVYVAIRLQRYYFNSAKELMRMNGTTKSFVANHVAETVAGVVTIRAFESEDRFFEKNLDLIDVNARPFFHIFSSNEWLILRLETTSAVLLASAALCMVVLPPGTFSSGFIGLALSYGLTLNASLVFSIQSQCTLANYIISVERLSQYMHIPSEAKEVIEGNRSPLNWPFAGRVEINNLQIRYRPDAPLVLHGITCTFEGGDKIGIVGRTGSGKSTLIGALFRLVEPSGGKIVVDGIDISSIGLHDLRSHFGVIPQDPTLFNGTVRYNLDPLSQYSDQEIWEVLGKCQLRETVQEKKDGLESSVIEDGSNWSMGQRQLFCLGRVLLRRSRILVLDEATASIDNSTDLILQKTIRTEFADCTVITVAHRIPTVMDCTKVLSISDGKLVEYDEPMNLMKRQGSLFGQLVDEYWSHFQSAESH >OIW07503 pep chromosome:LupAngTanjil_v1.0:LG07:18474570:18475400:-1 gene:TanjilG_14449 transcript:OIW07503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHTTMHMPKPPSSLSNSFQNLQPNKPPSPPPPPMAIDTLRRFFLPCFFPTTTTTTTKPPLSLSKKHPNTSSLRHTTTTTTSPSSSTSSSSTSTTTLAPPRPSKSMVVGTIFGNRNGHVWFSIQHDRLSFKPTLLLELPISTNLLVREMQNGVVRIALESNYHSTPLRSIPVWTVFCNGKKTGFAAKRKASEHVRSVLRTMQCVTVGAGVIPSRFRSSSDSGLGLGSDELMYMRANFEHVVGNADNESFHLINPDDCPGQELSVFLLRSTLGVVDR >OIW08142 pep chromosome:LupAngTanjil_v1.0:LG07:6232490:6234945:1 gene:TanjilG_06685 transcript:OIW08142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGETIQNFRVFTATWNLGGQCPSGNLDLSEFLQIRNEHDIFVLGFQEIVPLNAGNVLVLEDNEPAAKWLSLINQSLNGPPSELASRGLKPTASFGGSLSFQKPSYKKIKKTFKKINGKRLKNCNCSLEMERKAAKDFCFRCQESNLKPDDSSTEDEDDSFPISVDLAKNEMKYSLVACKQMVGMFVCVWMRKELVQHVGHLRICCTSRGIMGCLGNKGCISVSMSFYTTSFCFICSHLASGEKEGDELRRNLDVIEILKNTQFPRICKTSRIPDKILDHDQIIWFGDLNYRISLSYDDAKRLVEKENWPALFAKDQLKMEREAGRVFKGWKEGKIYFAPTYKYPLNSDTYYLEGVKVSKNKRRTPAWIAGVIESCGMEGEFSNFPTYVKN >OIW07460 pep chromosome:LupAngTanjil_v1.0:LG07:19081322:19086330:-1 gene:TanjilG_24322 transcript:OIW07460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIQSSNNSDDDVKNVIMPSYFNLPALDISVAFPQATSASTFPPCVSDYFELDDLLTPEEKSIRNKYWEKAKFPFQIIPKIGSLNIAGATIKGYGCPGLSVTASALAAAEIARVDASCSTFFLVHSSLAMLTIALCGSEAQKQKYLPSLAKLQTIACWALTEPDYGSDASALNTTATKVEGGWILDGQKRWIGNSTFADLYIVKKDVPGLTVTKIENKIGLRIVQNGDIILRKVFVPDEDRIAELNSFQDTNKVLALSRVMVAWQPIGASMGIYDMCHRYLKERKQFGAPLAAFQINQQKLVKMLSNVQAMILVGWRLCKLYESGKMTPGHASLGKSWISLRARETAALGRELLGGNGILADFLVAKAFCDLEPIYTFEGTYDINTLVTGREVTGFASFKPASNRSRM >OIW08169 pep chromosome:LupAngTanjil_v1.0:LG07:5641356:5645954:1 gene:TanjilG_06582 transcript:OIW08169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLIQGTGTGHNNNNVDFHIPDDVLSVMPTDPYQQLDLARKITSMAIASRVSSLESDSSRLRQKLVEKDRVILDLEERVSGLSNACHEAEAMLKNALDDNAKLSKEKHQLAVTVKKLGRDLAKLESLKKLMQSLAVDTSPQSQTIDIGTCDQSAPKAYPDKDDDESDYTSQHSYGGSTDVDKTIDEDSRYARQSFSLTPYITPRLTPTDTPKVISTVGSPRGYSATTSPRRTSRPTTPTKLSYDGRSSITSWYSSSQQSSAANSPPRGQPLPGRTPKIDGKEFFRQARSRLSYEQFSAFLANIKLLNAKKQTREETLEKADEIFGSDNKDLYLSFQGLLNRNAR >OIW08085 pep chromosome:LupAngTanjil_v1.0:LG07:6639230:6640036:1 gene:TanjilG_21065 transcript:OIW08085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSTTMMSRFPFLSRSSTTVYSSTQKPSQKPSLEREGSMSKFYVDSVKSIRGKLVKKLCNLFEPKPAEPVLNSKLKSSRSFNSSDDDSLSNKLKSTKLQGFLDSSSDQIRLPGTGDRIVLYFTSLRGIRRTFEDCYAVRMIFKGFRVLVDERDISMDAAYRKELMSVLGEKNKKTVTLPQVFIRGKYFGGADMIKYLCEVGELGKILEGLPRTKPGFVCESCGDVRFVPCGNCSGSRKLFDEDEGLMKRCLECNENGIIRCPNCCF >OIW08154 pep chromosome:LupAngTanjil_v1.0:LG07:6358631:6359665:-1 gene:TanjilG_06697 transcript:OIW08154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDFLPDSVVERILLKLPHTALVRFTSVSKPWNSLISSHNFIVQHSLSNPIDPLILTFHSTRSFLSTFCIDPSLTFTPIDSPSYPFSLRFLQSVHGLVCLHHAHNVILWNPSINKHFRIPAPTLGPAAGIGFGFDSKNHDFKVIRIVHSCRVELYSLNQGCWKDIDVPLEVDLSLKFSDTPCFLNGNVHWLVYHDDIYGDEKHILMFDVEEEKFGKLEFPAKLVKALFMNLKVTVIGDNLSVLNCKSRRDWCDIWMVGYHGVWNKMYSFNIVGGRGISNVFGLSSKETVIVLRQDPEEEESERTYFSMHSFTYQSELVEFFDVEGEVSSVCEYTPGSLFLLDKA >OIW08517 pep chromosome:LupAngTanjil_v1.0:LG07:2368219:2369493:-1 gene:TanjilG_03193 transcript:OIW08517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTLSHPYSNVLNFFNHSRPSFCRVGATRISIKCGHGFESVYGFGSARSDWQSDCAILTSKVDSLKDSHVVNENSNGGADHVAAVNGHTSAVSNLNLVPIESKKQIQPKPLTISDLSPAPIHGSQLRVAYQGVPGAYSEAAAGKAYPDSQVIPCDQFEVAFQAVELWIADRAVLPVENSLGGSIHRNYDLLLRHRLHIVGEVQLPVHHCLLALPDVRKEYLTRVISHPQALAQCEHTLTKLGLNVAREAVDDTAGAAEFVATNNLRDTAAIASARAAELYGLQILADGIQDDPNNVTRFVMLAREPVIPRTDRPFKTSIVFAHDKGTSVLFKVLSAFAFRNISLTKIESRPHRNRPIRVVDDESEGTAKHFEYMFYVDFEASMAQVKAQNALAEVQEFTSFLRVLGSYPMDMTPWNPSSCRGGD >OIW08651 pep chromosome:LupAngTanjil_v1.0:LG07:1323054:1326111:-1 gene:TanjilG_03327 transcript:OIW08651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTMVTLEKDLSNMRDYYDSEITKQCSWRESQLKGLRSFLIQKEEQILKALMQDLGKHQVEAFRDEVGTVMKSLNLALKSLKYWMSAKKAKLPQLALLTSAEIVPEPLGLVLIISSWNFPFGLSLEPLIGAIAAGNTVVLKPSELSPACSSLLASGISTYLDKEAIKVVQGGALESEKLLQQRWDKIFFTGSARVGRIVMSAAVKHLTPVTLELGGKCPAVVDSLSSSFDIAVTVKRIIVGKFGTCAGQACIAIDYVIVENGYSSTLVELMKVWIKKMFGENPKNSNTITRIVNKQHFLRLKNLLTDPEVKKSVVYGGSMDEDNLFIEPTILVDPPLDAAIMADEIFGPLLPIITVEKIEDSIKFIRSRPKPLALYVFTKNQKLQRRMISETSSGSVTFNDAILQYAADSLPFGGVGESGFGKYHGKFSFDTFSHEKGIVRRSFLTDFWYRYPPWTLNKFQLLEVSYNYDYLGLLLVLLGLKRSPKRRSYLVWQ >OIW08447 pep chromosome:LupAngTanjil_v1.0:LG07:2868979:2871407:1 gene:TanjilG_03123 transcript:OIW08447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDERNNLSMSDPDLVPLLIQVIYINYRPSGAGKNDSGIKCTNLIARAAVSITDGDIVNVQIQRYQQRQVSQTSSVASSIIPKGKNMRLLDDHVHILSSRESSPSRDTIRLEYLILLFQQLTEPLRTLPKAEAVEKVVEFMNTYSINGIPPAVKSALTKAYKEQSKCRMVRAADLVTLRMVRGADQVTLPKIKKAPKKRIAAILEPADGLEQGEGDESEDENTLDESEDENTFDIEEELEYAITSYENAVSWKIPKF >OIW08315 pep chromosome:LupAngTanjil_v1.0:LG07:3932225:3932518:-1 gene:TanjilG_02991 transcript:OIW08315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRKFAAEDNFANDISISVESSEGFYLLFIIIISVLMVTMVLFACGDDGAKDQKKRVETGGADGVESGGHGGCGYVGGGCSGGGCGGGGGCGGGGCG >OIW08844 pep chromosome:LupAngTanjil_v1.0:LG07:509020:517134:1 gene:TanjilG_16425 transcript:OIW08844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSFIKLDDSPMFQKQLFSLEEAADELKDRCQQLFKGCNKFMEALGEAYNGEISFADSLEVFSSGQDDPVSLSIGGPVISKFITILRELASFKELLRSQVEHMLIDRLTEFMTVDLQDVKDSRQRFDKAVHSYDQSREKFVSLKKTTPGDIVIESEEVNSVMNIEVKKKYEFLESVSAIMDAHLRYFKLGYDLMSQMEPYIHQVLTYAHQSKEMANIEQDKLEKRILEYRTQAELENIQASHNTEPLPGADGTHVIGLNSYKNFDAGMQSAAKGEASNWPFLVQTVKQGYLLKQSLNSRGGWNRRFFVLDSRGSLYYYRVKGCKPMGSQSYHYTRPGEQNIGMFGRFRSRHNMAASLNEDILECCAVDLCTSTIKMDAEDTDLRLCFRIISLSKSYTLQAENEADRMDWVNKITGGITLLFNSQFLQPHYDGRLHFENKNSEGGASLASQSEDGNGIYSREVVSVSKILREIPGNGICAECSAPEPEWASLNHGILLCIECSGVHRNIGVHISKVRSVTLDVRVWEPTVLELFDNLGNAYSNSIWEGLLVVDDEGVAESNVPTKPCPTDAFQYKEKYIQAKYVEKSLIIREEDIPGNPSLSIRIWQAVQAINVREVYRLIVTSNSNLINTIYDDVVHQAEAKDLNLGPMNEGHQHDPGECLRIKETNETDRCFRGWSLLHLACHSDSALMIELLLQFGADVNMCDYHGRTPLHHCISSGKNPLAKFLLRRGAKPSIKDAGGLTVLERAMEMGAINDEELFIKLAECQ >OIW07870 pep chromosome:LupAngTanjil_v1.0:LG07:6928868:6930304:-1 gene:TanjilG_19971 transcript:OIW07870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALETLLIKVKTAFSHSFNPVQPLNPKKHLLKKKPKNVGVLAFEIASVISKLLHLWQSLSDANIIRLRNDAVSLEGVLKLISNDESFLLSLARAEFAESLRLVSDSISRLSVKCHDPTLRSFHRVFTEFANSGFDPLTWTLTCHKDIEARRKKLELYVSLTQTLHREMEELSVLESGLKKSLLCHNNNSNEKIEACSSSIGKDHHKICDLQHKILCQKHEVKELKEKSLWNKGFDGVVLLLVRFCFTVLARLKIVFFGIGPYLSRSLSASAAVYPSATTFISGPLKSSKLELEKREDFGSGFFESNCKVLKQGKNTLGDSALALHYSNLIIVMEKMIKSPQLVGMEARSDLYAMLPSSIRSSLRSRLKGSVGFCASDPALAGEWRDALGRILGWLSPLAHNMIKWQNERSFEHQNLVTKTNVLLLQTLFFANKDKTEAAITELLVGLNYIWRFEREMTAKALFQCVNFNGFLNLNKSS >OIW07697 pep chromosome:LupAngTanjil_v1.0:LG07:13803622:13807249:-1 gene:TanjilG_30774 transcript:OIW07697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKDPVRVLVTGAAGQIGYALVPMIARGVMLGADQPVILHLLDIPPAAEALNGVKMELVDASFPLLKGVVATTDVVEATTGVNIAVLVGGFPRKEGMERKDVMGKNVSIYKSQASALEKHAAANLKVLVVANPANTNALILKEFAPSIPERNISCLTRLDHNRALGQISERLNIQVSDVKNVIIWGNHSSTQYPDVNHATVITAAGEKPVRELVADDAWLNGEFITTVQQRGAAIIKARKLSSALSAASAACDHIRDWVLGTPEGTWVSMGVYSDGSYNVPAGLIYSFPVTAANGEWKIVQGLPIDEFSRKKLDLTAEELSEEKALAYSCLS >OIW07902 pep chromosome:LupAngTanjil_v1.0:LG07:7232087:7234575:-1 gene:TanjilG_20003 transcript:OIW07902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTQPLTQPTPKPHLPWKTRLTIAFISALGYACRRSDGTVNRRLFNFFDRKHPPNIKPVDGVYSSDVAVDLTRDLWFRFFVPFSSSDADDAKIPVVVFFHGGGFSFSSPDSVAFDAVCRLFCRSFHAVIVSVNYRLSPEYRYPCQYDDGFDVVKFLDGNGAVLPKIADLSKCFLAGDSSGGNLAHHVAVRVSQQKLQVIKIIGLVSIQTFFGGEERTESEIRLKDVPLINLEITDWEWKAFLPTGSDRDHEAANVSGPNAIDISSLDYPSTVVFTGGFDPLQDWQKRYYEWLRKSGKDAQLIEYPSMIHGFYLFPELPQSTHLIYEIKDFISKQVSNVS >OIW08370 pep chromosome:LupAngTanjil_v1.0:LG07:3541760:3542572:-1 gene:TanjilG_03046 transcript:OIW08370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPSSLTYSPTSPRIRFNPSHITQSKTNLRFNLITKPSPLRAFLPHTRKPTPTRSSTFQCLFTGIVEEIGTIKTVGVTSDGGFDLKIQAKTILDGVNLGDSIAVNGTCLTVTQFDTTVSDFTVGLSPETLRKTSLIELEPGSVVNLERAVTPATRMGGHFVQGHVDGTGEIVSKVPEGDSLWVKVKAGKELLKYIVPKGFIAVDGTSLTVVDVFDDEGCFNFMLVAYTQENVIIPLKKIGQKVNLEVDILGKYVERLLSVGFVDNIKKS >OIW08075 pep chromosome:LupAngTanjil_v1.0:LG07:6489853:6490221:1 gene:TanjilG_21055 transcript:OIW08075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GNPVFGTLKGVLGGGLDIPHSDKRFAGFDKKKELDAEVHRKYIFGGHVANYIKTLIEDEPEKYQTHFSEYIKLQRSQRSRHKALHTECNTTPICIHLQQRRDYVTQKTDQVTQKQSDIHKHT >OIW07720 pep chromosome:LupAngTanjil_v1.0:LG07:12722007:12725063:-1 gene:TanjilG_11847 transcript:OIW07720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMPLFRNVKSNDVLHNLSNTVSIPCKRWHNAFVAIYCSRALLSHFKPNILHNKYEQNLSLPCSTSYTVVDLSSSHPFLVDQATLTSIVQDKNLDSLGKLGGVKGLASALGTNVEQGIKDDNIANDIACRHEVFGSNTYPKPPSRSFFYFLVEALKDPTILILLACAALSLGFGIKENGIEEGWYDGGSIFIAVFIVIAVSCISNFRQNRQFDKLSEASNDIQIVVVRSGRRRNVSIFDIVVGDVVCLKIGDQVPADGLFVEGHSLQLDESSMTGESDNVEVNSNHHPFLFSGTKVVDGYAKMLVTSVGMNTTWGQMMSSISHDTGEETPLQTRLNKLTSSIGMVGLAVAFLVLIVLLIRYFVGKTTDENGNKMFNGSKTKFDDIVNAVVGMVAAAVTIVVVAIPEGLPLAVTLTLAYSMKKMMADQAMVRKLSACETMGSATTICTDKTGTLTLNQMKVTKFWLGQQSIEEGHYTSIAPYVLRLIQEGVALNTTGSVYKSELDSVFDFSGSPTEKAILSWAVLDLNMEMEQLTQNSVVLQVEAFNSKKKMSGVMIRRKVDNTIHAHWKGAAEMVLNMCSRYYDESGILKDLDNTNRLKLEQIIQGMAASSLRCIAFAHTSVDANEEQNKKVKEEGLTLLGLVGIKDPCRPGVKKAVEACQQAGVNIKMITGDNVFTAKAIATECGILRPNQDTVGAVVEGEEFRNYTPEERLEKIDIICVMARSSPFDKLLMVQCLKQKGHVVAVTGDGTNDAPALKEADIGLSMGIQGTEVAKESSDIIILDDNFASVATVLRWGRCVYNNIQKFIQFQLTVNVAALAINFVAAVSAGEVPLTAVQLLWVNLIMDTLGALALATEKPTIDLMNKPPVGRTEPLITNIMWRNLLAQALYQITILLTLQFNGEAIFNVTSKVKDTLIFNIFVLCQVFNEFNARKLEKMNVFKGIHHNKLFLSIIGITIVLQVVMVEFLKKFADTERLNWGQWGACIIIAAISWPIGWIVKLVPVPEKPLLSFLKIKGQ >OIW07749 pep chromosome:LupAngTanjil_v1.0:LG07:12407474:12413067:-1 gene:TanjilG_11907 transcript:OIW07749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTPSAANLPSDHILDRLSSSDSEIKLKAVREVKNQIIGNRTKKLSYIKLGAVPAVAAVLAGSESTSDLIVQSVVALGSFACGVDAGVSAVLDAGALPHLIRFLSSSDEKVVDSAARSLRMIYQSKVAPKYDFFKQEDMQFLLSLLDSEKENLSLLGIGIVIHSCETSAEQNILCYAGVLEKLTSLLGGSLSQRDGSLDSLPAIIKNNPEAVSKFVDLHCGRALSSVIQLTKDRYARTRLLACLFLICVMNSSSSHLQATGIKKKLIHVLLELLDDSGPVGDEASFAFSSLIAKKKDLQKLAFDANAIGKFHSLLQKRPLQPKRLEGVFLALADLCSKLECCRSSFLSLQVLNIVVEALTHDDASVRTAACICLRSVSHSIKNLSAGCFMNERIVFPLVRLLSDLSTSVQVAALAAISNIVVDFMPHKSTFMHCGGIKELVRLSKAMDSSLRLNAVRALRNMVFLTDKMCKEVLFVELTASSMASLICDPEPSVQEQALALVRNFVDGCVYSVEYAFAEDGIILDAVGRQLRNYSKVEIGIQGLYVLSNIASGNEFHKEAVMQLLFPQAESGSQSFFKQFLQSNENQLRTAAIWVITNLTFPESPGAFGRIVTLRSLGIISKIKKMFNDSCMDVKLRARIAIRQIMTFGDG >OIW08202 pep chromosome:LupAngTanjil_v1.0:LG07:5085560:5104705:1 gene:TanjilG_15163 transcript:OIW08202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITNVLFCLLWIFLCSAAIVQSQNTQDSQLNSCDFFSGSWVHDDTYPLYDSSLCPFIGDQFDCKKKGRPDTDYLKLKWKPTGCELPRFDGKDFLNRYKGKKILFVGDSISNDQWQSLICLLHVAVPQSEYTVSAHKFYLSEYDIEVSRLVHQYLVDLVEENTGTVLQLDSISTNCEEFKGYDILIFDSWHWWNRNDTGKLWDYIQDGTQMVKDMDCLVAYKKALTTWSKWVESNVDPSVTKVFFQGLTPSHFSGQTWNDTNADVSCEGQTEPINFSSYPEGLLPKGVAILNEVLASMSKPVTLLNVTTLSQFRKDGHPSIYGQSGAQGNDCLHCSLCPFIGDQFDCKKKGRPDTDYLKLKWKPTGCELPRFDGKDFLNRYKGKKILFVGDSISNNQWQSLICLLHVAVPQSKYEVSARKFYLPEYKIEVTRLVHQYLVDLVQEEKGKVLKLDSINENGKEFKGYDIIIFDSWRWWSRNDTGKLWDYIQDGTQMVKDMDCLVAYKKALTTWSKWVESNVDPSVTKVFFQGLTPSHFSGQTWNDTNADVSCEGQTEPINFSSYPEGLLPKGVAILNEVLASMSKPVTLLNVTTLSQFRKDGHPSIYGKYGAQGNDCLHWCVSGVPDTWNQILYAKLVAGGK >OIW07458 pep chromosome:LupAngTanjil_v1.0:LG07:19105279:19106383:1 gene:TanjilG_24320 transcript:OIW07458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGHNLHHHHHNITNVEVIDRLPQWSIQETKEFLMIRVELDQAFMETKRNKQLWDVISNKMKDKGYLRSSEQCKCKWKNLVTRYKGCETMEPEAMRHQFPFYNEVQAIFTSRMHRMLWTEASELGSKKKAMKLSSEDEEDGNEESERYHQNKGTSSNKKKKKVKIVTSGETSGSNKGLKEILEEFMRQQMEMETQWIEAFEARENERRMKEIEWKQAMETLENERIMNEQRWREREEQRRFREEARAEKRDVLITKLLNKFIREDI >OIW08703 pep chromosome:LupAngTanjil_v1.0:LG07:995866:999441:1 gene:TanjilG_03379 transcript:OIW08703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKLEILYVFLSLSFIPLLCTSFSSDTPSERRVLVLLDDFALKSSHSLFFNSLHSRGFDLDFKLADDPKIAIQRYGQYLYDALILICPTVERFGGSIDAAAILDFVDSGHDLIVAADSNASDLIRTIATESGVDFDEDPEALVVDHSGYAVSGTEGDHTLIASDDFVKSDAILGNKRIEAILFQGIGHSLNPSNSLVLKVLSASPSAYSANPKSKLTSPPSLTGSTISLVSVIQARNNARILISGSVSLFSNRFFRSSVQKAGNPTKHDKSGNEQFLTELSKWVFHERGHLKAVNVQHHKIGEADEPAMYRINDDLEYSVEIYEWSGITWEPYVADDVQVQFYMMSPYVLKTLSTDGKGRYFTSFKVPDVYGVFQFKIEYQKLGHTTLSLSKQIPVRPFRHNEYERFIPAAYPYYGAAFSMVRISLPISLDRDNI >OIW07772 pep chromosome:LupAngTanjil_v1.0:LG07:11284550:11292416:-1 gene:TanjilG_03559 transcript:OIW07772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGDDTLQKKKNKALRKRNNRSSSALSVKVSAAIAAKKRRKAGKRRLCQGMCYSLPTPEDPFNDRHGKEDFKTKGPKKKTLPMKDEMVPLIEKGATRRKGSLDVKISKKSYHLEKENESDKATDNLSESENTEETETEDDLSGAQQNHREISEFPSKFVFWCLSSIENALREGDAYTDGEGNSLFANSWGLEFSKCYATGKDVMETSGNSATNEQIAWVISGAADTFVGKELQGVSFSSPFLIFLVPSQEKATQVRKVCKPLKSIGIHTVSIHPGASLDHQIDGLKSCEPEFLVSTPERLLELVSLKAIDISGVSMLVVDGLNAICSAGHSDTLKSIKKFISGNPRLLVFNDCFSHTYIAMVQNLLTGPICRLSLNNSITSLSSCIIQSVEVCTSEDKLVKGIQAQHPFWSSHTHNSRLLYILRKDVKCDKLVKNLKSKGCSISPDSESVDSNSRVSVVYLEHILTTDIGVYDVVILPSFVPSTDSYVHILTKMARQSVNGVLHSYLTKRDTELAGPLVEILEQCGQKVPPTLKSLQHQT >OIW08179 pep chromosome:LupAngTanjil_v1.0:LG07:5407935:5411616:-1 gene:TanjilG_24374 transcript:OIW08179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVAEIANDAVAPTSTKLEDSTPKPDESEFNVQSLSDMFKKLNPLAKEFIPSSHSQHDHAHQNYNQFIPNNFVAFNMPLVNGHSPNIRRGRANFNHGRGGRRMGIRIPKVQRENSVRRTVYVSDIDQHITEERLADLFSTCGHVIDCRICGDPRSVLRFAFVEFTNEHGAWEALSLDGRVLGFSAIRVLPSKTAILPVNPTFLPRSADEREMCTRTVYCTNIDKMISQAEVKQFFESSCGEVTRLRLLGDHQHLSRIAFVEFAVAQSAIIALRCSGMLLGTQQVRVSPSKTPVRPRVGRPTEQN >OIW08121 pep chromosome:LupAngTanjil_v1.0:LG07:5945222:5947161:1 gene:TanjilG_06664 transcript:OIW08121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQITCEASLKCLQGKGFPCNFQRNGSSLEGFTELKSEHGTHPGGDIAEHNRHFCNEFLEPSNELRTISAYHRDYSTWKTCHVNSHKVQHCQMNPFESHFYPYPVNNQLQYVPINMVPQGYPPEQYQEFQYFVVIDFEATCDKDKNPHPQEIIEFPSVIVSSITGQLEACFQTYVRPTCNQLLTDFCKDLTGIQQVQVDRGVTLSEALLRHDKWLEKKGIKNCNFAVVTWSDWDCRVMLESECRFKKIRKPPYFNRWINLRVPFREVFGAVRCNLKEAVQIAGLAWQGRAHCGLDDAKNTARLLALLMHKGFKFSITKSIMWQTVADGPLMWKQSPEHASLFPQYPYKAKDVSTSLVQQYHPFCYCGVKSSRGMVRKPGPKQGSLFYGCGNWTATRGARCHYFEWASTLN >OIW08828 pep chromosome:LupAngTanjil_v1.0:LG07:389176:392716:-1 gene:TanjilG_16409 transcript:OIW08828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATLLLQFGDSSATLLLRPSFNPSSLSFFKSRTTTTLPNRKSFVPKACLLHNHFSSFSRRRFIAETAAVTLTLPQLPARSEEALSEWERVYLPIDPGVVLLDIAFVPDDPNHGFLLGTRQTLLETKDGGQTWAPRSISSAEDEDFNYRFNSISFKGKEGWIVGKPAILLYTSDAGNTWERIPLSSQLPGDMVYIKATTDKSAEMVTDEGAIYVTSNRGYNWKAAIQETVSATLNRTVSSGISGASYYTGTFNTVNRSPDGRYVAVSSRGNFYLTWEPGQPYWQPHNRAVARRIQNMGWRADGGLWLLVRGGGLYLSKGTGITEDFEEVPVQSRGFGILDVGFRSTDEAWAAGGSGVLLRTNNGGKSWIRDKAADNIAANLYSVKFVDDKKGFVLGNDGVLLRYLG >OIW08712 pep chromosome:LupAngTanjil_v1.0:LG07:940317:941746:1 gene:TanjilG_03388 transcript:OIW08712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSYKANGHGPADNGYAVARPRRSYQPSIKGSLPLLDIRVFYVRVCKCELDDSTPEVLTLNHVPLNPDTLLKVNGVRSSIYSDGMSTLLKRDRVDRKSEEVTFVSTDSIRMSGSVRFEVFDKDLLLLSGVLELFNSNGVVRESSYNEQSWSMNCESYIIPGTSFFKGKHLMLPGASLPTIEVYIAGSFSGTPIILTKTLQLSSQKKHARKGVLNSIPEQEASENGKYTSSSLTLQDPDYLGHRPEDEDYNSMYSTTAYADSEDGELSWFNAGVRVGVGIGLSVCLGVGIGVGLLVKTYQGTAGRFRRHRF >OIW08095 pep chromosome:LupAngTanjil_v1.0:LG07:5718193:5719453:1 gene:TanjilG_06638 transcript:OIW08095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSTYFNMDLDFHQTPNSSEMSRIAPQEQCYDLYQDESFFLPNTFIDPYFDPTSFLYPEIYPHLLPYDPIISLSDIFPNEENHCNLLPYPKRQKCFHEEQDHSSNNNFIVPNDPLPEEELLLPIPLPELPEQHFIDAMPEFQVPQLPPYNFGAYDAGYENEYERKSKEKRTISAQSIAARERRRKITNKTQELGKLVPGGSRMNTAEMLNAAGKYVHYLQTQVQMLQLMNTLQEDKESCPTENLHALLGSHSVQEKMYSEEKCIVPKDFIATLTNHANFQSRPSILKDLKQLIGTGIEEKAKQE >OIW08120 pep chromosome:LupAngTanjil_v1.0:LG07:5916791:5932697:-1 gene:TanjilG_06663 transcript:OIW08120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHKPGSVQDFDALRELLKPHIESFDYMSDQGLQIMFQHIAPVQVIDPFTSTNLRIWLDHPVLYAPQKERNSKLRKDTLFPFECRQAKVSYTGKFTADVCFQYGGGAIIRENVDFGQFPIMLQSKLCNLKDADPRKLASYKEEASEMGGYFILNGLERVVRLLILPKRNYPMSMVRSSFSDRREGYTDKAVVIRCVRTDQSSVSIKLYYLSNGSARLGFWMRGREYLLPVGIVLKALIDTTDREIYVNLTSCYNEKYEKGKGVVGTQLVGERAKIILDEVRKLSLFTRLQCLEYIGEHFQPMMAQSIVNDSFSVVAESVLNNYLFVHLDKNFDKFNLLIFMLQKLFSLVDQTSVSDNPDSLQNQEVLLPGHLITLYLKEKLEDWLQKGKKLLQDELQKQSKTFNFGDIIQVKKLMGKNPSRQISAAVENMLKTGRLVTQTGLDLQQRAGYTVMAERLNFLRFISHFRSVHRGASFAGLRTTTVRKLLPESWGFLCPVHTPDGEPCGLLNHMTCTCKITSFFDSQGNIKKDYSEVKKSILKVLIEVGMTQSLPKLVLPGPPQVLTVLLDGCIVGCIPSSQVEKVVAHVRAFKVSSSEEIPDDLEVGYVPLSMGGAYPGLYLFTSPSRFVRPVRNISIPSDGDKNIELIGPFEQVFMEIRCPDGGDGGRKSSFPATHEEIHPTGMLSVVANLTPWSDHNQSPRNMYQCQMAKQTMAFSSQTIQHRADQKLYHLQTPQSPIVRTSAYTKYSIDEFPTGTNAIVAVLSYTGYDMEDAMILNKSAVERGMFHGQIYQTETIDLTDQGRKSDRSSRMFKKSNVEKFACPSLDSDGLPHVGQIIRPDEPYCSIFNEVTSSTQNLKKKGSEPAYVDYVAVDVKNKKHLQKVNIRFRHPRNPVIGDKFSSRHGQKGVCSQLWPDIDMPFSGTTGMRPDLIINPHAFPSRMTIAMLLESVAAKGGSIHGEFVNATPFRNSVKKESEEAGSKSGSLVDELGLKLKEKGFNYHGLEVLYSGVYGTELTCEIFIGPVYYQRLRHMVSDKFQVRSTGTIDQVTRQPIKGRKRGGGIRFGEMERDSLLAHGAAYLLHDRLHTCSDYHIADVCSLCGSMLNTTFIQPLKRPVREIGGLPPGRAPKKVMCHACQTSKGMETVAMPYVFRYLAAELAAMNIKMTLKLSNGAGA >OIW08076 pep chromosome:LupAngTanjil_v1.0:LG07:6500375:6501757:-1 gene:TanjilG_21056 transcript:OIW08076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMLGLRDLVLIAPNPSSLNHQQGQQQQHISGEHHGNIPMNSSAALSVGLGIFPLLTIPQPQQSHINDVHQDCGGNNNNNYWNLKMCPEVMNNSARKGVMINMEENESNKNMLDGEEHGDNIYGGGEFRVCQDCGNRAKKDCSFRRCRTCCKGRGYDCSTHVKSTWVPASRRRDRDMVVVGGDDGGGGASSSGAKRPRALGSSSHNAATNSHSSTSNATTTKSFDTSSYHQDPGFKQSLPGHVCAPAVFRCHRMAAIGNGEDELAYLATVQIRGHVFRGFLYDHGVDGKNETPYVSVLQLGNNGSGKNNRECSSSAIGVPTSAYPASAC >OIW08646 pep chromosome:LupAngTanjil_v1.0:LG07:1378334:1383806:-1 gene:TanjilG_03322 transcript:OIW08646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGFFRGTSADQDTRFSNKQAKLLKSQKFPAELEHLVDMTKVNMEVMKPWITKRVTEHLGFEDEVLINFIHGLLDKKEVNGKEVQIQITGFMEKNTGKFMKELWTLLLSAQKNASGVPQQFLDAKEEELRKKKVENDRITSEIQRKKDTESKEIMEERLKKLDGGFDMKNNDTAPDPTVKPRDSGHYVHDGKESDKSNGVRTRNRVSRSPHSPAISTSPHRVSPSRSMSKSFSNSRSYSGGRHRSRSISRSPEARGRSVSSERIRHSPRRRSISPRRRSPWRSPNRRSYLRRRSRSRSNYRSPSPIRRRMHSPYRHSSPFRRRRTPSPIKRCRSPSPTRSPSPARRHRSPSPARRHRSPSPARRHRSPSPARRRRSPSPARRRRSPSPARRRRSPSPARRRRSPSPARRRRSPSPASRRRSPSPARRHRSPSPLRRHRSPSPLRRHRSPSPARRHRSPSPLRRHRSPSPLRRRRSPPPIRRRRSPSPVQRRSPVIRGRSPSPVHRPPARVWRRSESPMQSPSPIRRRYVSRSPPPLQCRSPVSAKKRSPTPSPRRSLSPDECSSQSPTRRFSPSTVRRNSPRRQRNSPVRVQERLSPQIHHPSRPLQSGQRDKDHKSSYLKSQDSMSTPEKSPIRSISPQTRSGTSSEDRRLTNERGSSPPKKLRSQKPSHDSLEKSEGVEEIYYSRDPKTSQKKSKYSSPVSKQKDSPAMFCDGDDLSPERSDGQLESKYHHYANTDQTKKSREIKGDRTPGKRDESPAQHKSPMNKEILSSKKPRESYAVDIKKTDSKDRPHSNYAKNSDRGRKSEANQDLDGKVDRVNHDGSYDSVSEESDKHRKDRRTHKRSEKKVVSSDEDYSSDSELEDWKEAKRKKKEDKKRRKEEKRQRREDRRRKRDGRRAEKLKVKGKPDYTSEDEDVEQLDRSDNKETLSEQTELEIELRNKALESLKAKGGMDN >OIW07938 pep chromosome:LupAngTanjil_v1.0:LG07:7759912:7761225:1 gene:TanjilG_20039 transcript:OIW07938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGGKSKTETKKADPKLSVNKKGSGATKPTRKPAKGKAAKDPNKPKRPASAFFVFMEEFRKQFSKENPDNKAVSAVGKAAGAKWKSLSEAEKAPYVAKAEKRKVEYEKNMRAYNNKQAAGPAAAEEEEESEKSISEVNDEDDGDDDDESDEDEDDDE >OIW08647 pep chromosome:LupAngTanjil_v1.0:LG07:1349153:1368893:-1 gene:TanjilG_03323 transcript:OIW08647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEIKHDKAPISEGRSSQEIEIPVISESLDVGPKTIESYCNSVCAFSRQQNSAAASKESAKSSKKLCGVIVLYAVVMLVEVVGGIKANSLAVISDAAHLLSDIAGFFISLFAVWASGWEATPHQSFGYNRLEVLGALLSLQLIWVISGFLIYEAVGRILHQNDEVNGMLMFAIAAFGFVLNFFMVVWLGHDHCHHHGFGVSDHNHSHHHHSCGDSDSDHGDHHYRGHSNHDHGDHHCSGNSNHDHGEHHCSGHSNHDHGKEGLPKVNDEEKFGLLSSNQSNSNVLNINLQGAYLHVMVDMIQSVGVMIAGAIIWAKPEWLVVDLMCTLIFSVISLCTTVPMLRNIYGILTERTPSEIDIINLEEGLRTIRGVQDIHDLHVWSITVGKIVLSCHAVAESGISSIDLLDDGTKNEKDPFSGFTEYTLDQLKVATSGFSPDNIVSEHGEKAPNVVYKGILEDNKLIAVKRFNKSAWPDSRQFIEEAKAVGLLRNERLANLVGFCCEGEDKLLVAEFMPNETLSKHLFHWENQPMKWAMRLRVALYLAQALEYCSNNGRALYHDLHAYRILFDQDGNPRLSCFGLMKNSRDGRSYSTNLAFTPPEYLRTGRITPESVVYSFGTLLLDLMSGKHIPPSHALEIIRAKNFQLLMDSGLEGHFSNDDGTELVRLASRCLQYEPRERPNAKSLVTALTPLQKETSVSSYVLMGITEGGVSPKETVSLTPFGEACSRRDLTAIHEMLDKVGYKDDEDVANELSFQMWTNQIQDTLNFKKHGDSAFHAMDFSTAIDCYTQFIDSGTMVSPTVYVRRSLCYLMSDMPQEALGDAMQAQSVFPTWPTAFYLQAAALFGLGMDNDAQESLKDGTMLETRKLRN >OIW07747 pep chromosome:LupAngTanjil_v1.0:LG07:12388827:12389264:-1 gene:TanjilG_11905 transcript:OIW07747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSGRTKTTPTTKPKTKTTIETRIRTRHSRRTNFKNQQKHTKCKKQEMGTISPSSCSSISSQHSSKEVDNEVCEVIDVTSSVCSTPKGHNFRIPEISTCPPAPKKPMVLSSNCSMHRSSLSFFSPPDFEYFFACKVLNCGLQKP >OIW08727 pep chromosome:LupAngTanjil_v1.0:LG07:839391:841256:-1 gene:TanjilG_03403 transcript:OIW08727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVEALDLYDKLLHYPDLKPDTFTYPSVLKACGGLSRSLLGKMVHTCLIKTGFVMDIVVGSSLVGMYAKCNAFQYAIQMFDEMPQKDVACWNTVISCYYQNGKFQEALQYYSLMRRSGFEPDSVTITTAISSCARLVDLDRGREIHMELIDSGFLMDSFVSSALVDMYGKCGHLEMAVQVFEHMPKKTVVAWNSMIAGYGLKGDSISCIQLFTRMHMEGVKPTLTTLSSVIMVCSRSAQLLEGKFVHGYIIRNRIQPDIFINSSLMDLYLKCGMVGSAESVFKLIPKTKAVSWNVMISGYVSSGKFFEALGIFSEMRESHVDPDAITFTSVLTACSQLAALDKGKEIHKLIIEKRLEDNIVVMGALLDMYAKCGDVDEAFGVFKCLPERDLVSWTSMITAYGSHGRASEALELFVEMLQSNVKPDRVTFLAILSACGHAGLVDEGCYYFNEMINVFGIIPRVEHYSCLIDLLGRAGRLHEAYEILQRNPEIRDDVGLLSTLFSACRLHKNLALGVEIARILIDKDPDDSSTYIILSNMYASAQKWDEVRMVRSKMKELGLKKNPGCSWIEIDQTIQPFFVEGNSHRHLDLVNKCLSYVTNHMEDESKPFVYHFDVEALRLY >OIW07882 pep chromosome:LupAngTanjil_v1.0:LG07:7063515:7066230:-1 gene:TanjilG_19983 transcript:OIW07882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLTASTAVGSLGRSEMLGNSINLSGATRSVSSPSKPSAFKTVAFFSNFNKKKAPPPPKQKAAAVSPATDELAKWYGPDRRIFLPDGLLDRSEIPEYLTGEVAGDYGYDPFGLGKKPEDFAKYQSYELIHARWAMLGAAGFIIPEAFNKYGANCGPEAVWFKTGALLLDGNTLNYFGKPIPINLVVAVVAEVVLLGGAEYYRITNGLELEDKLHPGGPFDPLGLAKDPDQAAILKVKEIKNGRLAMFAMLGFFLQAYVTGEGPVENLAKHLSDPFGNNLLTVIAGSAERIPTL >OIW08021 pep chromosome:LupAngTanjil_v1.0:LG07:9097417:9103076:1 gene:TanjilG_20122 transcript:OIW08021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKSWTKKNKITIVFKLQFHATQVPKMKKSTLMIALVPDDVGKPTVKLEKVAIQDGTCTWENPIFESVKLVKDSKSGKLKEKIYHFAVSTGSSKSGFLGEASLDLADFAAQIEPLTVSLPLKFANSGVTLHVSTFYLPPLNREDNGNASLRHQLSYCSIDESYNIEDSCRLLPPLRQNSMPARGTFEAIATKTHMRRRSNTDYSLGSASDGSLVDWTNSLEDNLPRLQEPSDNTTENPKKEVSSLKRQAELSELALESLQKLLEKECSRAQNMSRQIFSLREERDMLKTKYEQVKSQQNLCNETKTSKTLQSEIEDTRLQLEAIKEELVCEKELSVNLRLQLQKTQNSNSELLLAVREFEAMLEQKNKEILENTKGQDNTTELNLLKKIADQNSEIDIYHRQCEQLNDHISELTMKYELLKKENLHITLRFKQGQAQQIMLEDENSTYLATIEQLESQVERLEEKIKKQADEFSESLVCITELENQVNDLERELKLQAENIQEDLHAMECAKIEQEERAIQAEETLRKTRHDNTLTSERFRDECRRLSVEMAMKVEENEKMTIKASAESDELRKQNKLLQEKLQKCNEELRMMTNQNELKQELLDQIDSKGKTIEMMSRELENESEQIEDVQRYSNEKLMIEDYALSKGKSTEKVTKMIMQEDKNEDTILGTLLSEVETFNIQHTELKDSLHKEQVEKENMEKHISKLEGKLMQKKAKFSATEKKLKNKEGQTPATHMNLASRDNECTAPLSSTKEHYKKSKSDMHKELDGTNTTVENSGKGGTLGHSAENKVCLAPHKSEGKTCTANEAILFNYDRDCECHTSELLNELAILKERNKYKESELKEMQDRYSDISLKFAEVEGERQQLALALRNLKNGKRDIFLSGPVFGDGGCADCFSLFCSRIFLGVDLLGWYLETSNTEGLLLFSHDNNF >OIW08317 pep chromosome:LupAngTanjil_v1.0:LG07:3894629:3910972:-1 gene:TanjilG_02993 transcript:OIW08317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDTQEDAGPSEQRPSNMSLWPSNFVERLGSVSLDSRDEILNYKESSKHSNHDVMSPRKASEILWHTGMLSEPIPNGFYSVIPEKRLKKLFDSIPTMDELHALGVEGFRADIILVDAEKDRKLSMLKQLIVTLVKGLSSNPAAMIKKIAGLVADVYKRPTVESPAKSAPEETLHMFENRGVQMLGQIRHGSCRPRAILFKVLADTVGLESRLMMGFPKDGAAECIDSYKHMSVAVVLNSVELLVDLMRFPGQLLPQSTKSIFMTHIYAAGESDSAENDSCDSPLEPNSPLYGVSESVEKEENLLFQKRFEASSNVSGLSLRNMMLRSNTSLDRKMSLSHSEPNIATAFSRRGRRKVIAEQRTASSSPEHPSFQGHGRSMLSGGRTLFREFADDQAASRFSYKSDGVSSSEECRSRRRSFSITPEIGDDIVRAVRAMNETMKQNRLLRERGDESSLSHSPNADIPKNVSNLHPDGHVERSPLYSLHRDHITSQKAMSLPSSPHDYRGQASERSGPSRNGVNGEMGSTLNRVLELPMFNNRPLLPFEEWNIDFSELTVGTRVGIGFFAEVFRGIWNGTDVAIKVFLEQDLTDENMEDFCNEITILRPPRLSMVTEYMDMGSLYYLIHLSGQKKKISWRKRLKMLRDICRGLMHIHRMRIIHRDVKSANCLVDKHWTVKICDFGLSRLITDTPMTDASSAGTPEWMAPELIRSEPFTEKCDIFSLGVIMWELSTLSRPWEGIPAERVVYAVAHEGSRLEIPEGPLSRLISECWADPNERPSCEEILSRLVDIEYSI >OIW07966 pep chromosome:LupAngTanjil_v1.0:LG07:8000058:8003839:-1 gene:TanjilG_20067 transcript:OIW07966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLPVIDLSPYLAIAGDDSDHKLSPELTALCGDLSRSLRETGALLVKDPRCSVEDNDRFIDMMEKYFSSPHEFKVLQERPHLHYQVGVTPEKVEVPRSLIDEEMQEKLKAMPKEYQPHTPVGPDLKWRYFWRIGPRPSDTRFQELNAEPVIPDGFPEWKETMDSWGYKMISALKVVAEMAAIGFGLPKDAFTSLMKQGPHLLAPTGSDLQKYGQEGTILAGYHYDLNFLTIHGKSRFPGLYIWLRNGQKVEVKVPVGCLLIQTGKQIEWLTGGDCIAGMHEVVVTNKTIDAIRLAEEQKRSLWRVSSTLFAHIASDAVLKPLGQFAESPLSGKYPPIFAGEYVEQELAVINLKGKDENLHD >OIW08049 pep chromosome:LupAngTanjil_v1.0:LG07:9487988:9488980:1 gene:TanjilG_20150 transcript:OIW08049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSINLPGAANAKPPFLPSTLLPTTSTFFSSRTLFPKPKPYSPTPITALLQWNRKPELSGETPRVVVVTSGKGGVGKTTTTANMGLSLARLGFSVVAIDADVGLRNLDLLLGLENRVNYTVVEVLNGDCRLDQALVRDKRWSNFELLCISKPRSKLHLGFGGKALTWLVDALKSRAEGSPDFILIDCPAGIDAGFITAITPANEAVLITTPDITSLRDADRVTGLLECDGIRDIKMIVNRVRTDMIKGEDMMSVLDVQEMLGLPLLGVIPDDTEVIRSTNRGYPLVLNKPPTLAGLAFEQAAWRLVEQDTMQAVVVEEEPKRGFFSFFGG >OIW07773 pep chromosome:LupAngTanjil_v1.0:LG07:11326356:11329983:1 gene:TanjilG_03560 transcript:OIW07773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPTSYNSMKEEQVTSPSDNEKGFVNPSNVVELDAGALFVLKSRGSWWHSGYHLTTSIVGPVLLTLPFSFTLMGWVGGVVWLTLAALTTFYSYNLLSAVLEHHAHLGRRQLRFRDMARDILGPGWAKFYVGPLQFAICFGAVITGPLVGGLSLKYIYLLYNPHGPMKLYHFITICGGITLVLAQIPSFHSLRHINLISLFLCMTYGTCITVGSIYIGHSKNAPTTRSYSLKGPEIDQLFGLFNGISIISTAYACGIIPEIQATIAPPVKGKMLKGLCICYFVIITTFFSVSISGYWAFGNKAQGTILSNFMHEMKPLLPTWFLLMTNLFILLQAMAVTVVYLQPTNELLENAFADPKKGEFSVRNVVPRLLSRSLSVIIATVLAAMLPFFGDILAIFGAFGCIPLDFILPMVFYNLTFKPSKTSLIFWLNTFIASASSVLVGVGAIASVRQIVLDAKTYRLFADV >OIW07572 pep chromosome:LupAngTanjil_v1.0:LG07:17788247:17788420:-1 gene:TanjilG_08459 transcript:OIW07572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRYQKVEKPKPHSPINENEIRITTQGAIRNYITYATSLLQVYESAFSSISVWLRCI >OIW07875 pep chromosome:LupAngTanjil_v1.0:LG07:6986877:6990114:1 gene:TanjilG_19976 transcript:OIW07875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATIKVVKARQIFDSRGNPTVDVTLSDGTFARAAVPSGASTGVYEALELRDGGSDYLGKGVSKSVIKKKYGQDSTSVGDEGGFAPNIQENKEGLELLKTAIAKAGYTGKVVIGMDVAASEFYDNKDKTYDLNFKEENNDGSEKISGDSLKNVYKSFVTDYPIVSIEDPFDQDDWEHYSKLTTEIGQQVQIVGNDLLVTNPKRVEKAIKEKSCNALLLKVNQIGSVTESIEAVKMSKQAGRGVMASHRSGETEDTFIADLSVGLSTGQIKTGAPCRSERLAKYNQLLRIEEELGAAAVYAGSKFRAPVESY >OIW08708 pep chromosome:LupAngTanjil_v1.0:LG07:961629:964599:1 gene:TanjilG_03384 transcript:OIW08708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSRRRTLLKVIILGDSGYVNKKFSNQYKATIGADFLTKEVQFEDRLFTLQIWDTAGQERFQSLGVAFYRGADCCVLVFDVNSLKSFENLNNWREEFLIQASPSDPENFPFVVIGNKIDVDGGNSRVVSEKKVRAWCASKGNIPYFETSAKEGVNVEEAFQCIAKNALKSGEEEELYLPDTIDVGSSSQQRSTGCEC >OIW08620 pep chromosome:LupAngTanjil_v1.0:LG07:1529368:1530480:-1 gene:TanjilG_03296 transcript:OIW08620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFSSIPLYVDPPNWHQQPNQHHHHQANGNDSPQLLPPLPPQGGGGVVGSIRPGSMADRARLAKLLPPETALKCPRCNSTNTKFCYFNNYSLTQPRHYCKTCRRYWTKGGNLRSVPVGGGCRKNKKSKRSRSKSPPSSEKQTLSSSTSAIPSGENTHELIGQMTQPPNLPFMASLQNQMNRYGVANMAGLSLQGQTDHMGFQIGSGNSAGAGGVEQWRLQQFPFLNGFESTSVASYPFQNESVEANSGFIEDIGASSRVNNQQSPVKMEENRALNLLRSSMNNTVSENNQYYSWSDLSGLPSSSSTSHLL >OIW08011 pep chromosome:LupAngTanjil_v1.0:LG07:8946529:8947460:-1 gene:TanjilG_20112 transcript:OIW08011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLPQPMEHNGDSAASAESQRSIPTPFLTKTYQLVEDQSIDDVISWNEDGSTFIVWNPTVFARDFLPKYFKHNNISSFVRQLNTYGFRKVVPDRWEFSNEYFRRGEKRLLCEIQRRKISSQCLTPPPSLAETTTVVIPSPVLLNAIPTAMPIISLSNSGEEQVILSNSSQTPAELIDENERLRKENVQLSKELTEMRSLYNNIFSVVSNYANTTTTTNHHQSEQRSRESGLTAAKPLDLMSIKRTSKDETATVTMEKNMNLKLFGVALGAMKGGSCD >OIW07685 pep chromosome:LupAngTanjil_v1.0:LG07:13494173:13508583:-1 gene:TanjilG_30762 transcript:OIW07685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSNLGNNLFYIYLPRPTKENRSSKSSLIDGFDSLEEGGIRASSSYSREINEQDNDKAMESLHDRVTFLKRGNKMDGSRGLMFGTMDRFKKVFEKKSTRKTCSLVAYFIVGFIFIYYLIRIRLTNPSTNINPKMINNTGNEDELW >OIW07942 pep chromosome:LupAngTanjil_v1.0:LG07:7790074:7792392:-1 gene:TanjilG_20043 transcript:OIW07942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSIGAESTVLSVKEAFEKLEKVGEGTYGKVYRAREKATGKIVALKKTRLHEDDEGVPPTTLREVSILRMLSRDPHVVRLMDVKQGQNKEGKTVLYLVFEYMETDLKKFIRSFRATGQNIPPATVKSLMYQLCKGVAFCHGHGILHRDLKPHNLLMDRTTMMLKIADLGLARAFTVPIKKYTHEILTLWYRAPEVLLGATHYSMAVDIWSVGCIFAELVTKTALFPGDSELQQLLNIFRLLGTPNETMWPGVSKLMNWHEYPQWNPQSLSTAVPGLDELGLDLLANMLKYEPASRISAKKAMEHAYFDDLDKTHL >OIW07675 pep chromosome:LupAngTanjil_v1.0:LG07:14837436:14838614:-1 gene:TanjilG_07717 transcript:OIW07675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQQNFNAGQAQARTENSMQYAKENASAAADRAHAAANTSGDTAQQHKDEAAGFLQQSGEQVKSMAQGAVDTVKHTIGMDKK >OIW08161 pep chromosome:LupAngTanjil_v1.0:LG07:5532134:5533823:1 gene:TanjilG_06574 transcript:OIW08161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAEVSSLVRIMGGSGYKEDSNNQHRMVGNESNSDKSMALITRDLLGGSNSIETQELDLDLQVPIGWEKRLDLKSGKVSIQRCNSSPSVSENKLNMNQSGPKLDDLNFPPSKVPLNLFEETSLDLKLFSSSLPSSNYQSVCTLDKVKSALERAEKEPIRKRTTSLFKSSFSSPSASYSSSCSSIREIQEEESDDKLLSSPMAAGCPCCLSYVLIMKNNPKCPRCNSLVPLPVMKKPRIDLNISI >OIW07520 pep chromosome:LupAngTanjil_v1.0:LG07:18691389:18691850:-1 gene:TanjilG_14466 transcript:OIW07520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHVDLEQGHNRHSVVGSEVSAEGESQYLSDAEDGSCYSHFYSINGGEFEDHNFDGVSDNEVEVQVDGVVADTGRVSSVTDCSVEVEIASGVPEIKVHLGNKVERDCRICHMGLESDSHECGVPIELGCCCKDDLAAAHKHCAETWFKIKGNR >OIW08022 pep chromosome:LupAngTanjil_v1.0:LG07:9104381:9105009:-1 gene:TanjilG_20123 transcript:OIW08022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQKVVLKVLTMIDEKTKQKAIEAAADIYGVDSIAADIKDQKLIVIGQMDTVKIVKKLKRVGKVDIISVGPAKEEKKEEKKEEKKEEKKEEKKEEKKEEKKEEKKEEKK >OIW08048 pep chromosome:LupAngTanjil_v1.0:LG07:9484213:9484830:-1 gene:TanjilG_20149 transcript:OIW08048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTMACNKGQHVRKEKKKQVKDELDRLKQAEKKKRRLEKALATSAAIISELEKKKQKKKEEQQRLDEEGAAIAEAVALHVLLGEDSDDKCKVVINDTTCKTWNCHHNFDIFVGGERACFPHRGVGRWCVERMGRVADAYRYGYNWDAAENGEWSCSSECFEKNVNDPLYEDAGWGRSGYSMDLIAAQAVSSLQIAEEANEDRIVF >OIW08512 pep chromosome:LupAngTanjil_v1.0:LG07:2399791:2403158:-1 gene:TanjilG_03188 transcript:OIW08512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDERTVTSNPNDNGEGRVMKKPKVVIITGPTASGKSKLAVDLASYFPIEVINADSMQLYRGLDVLTNKIPFSQQNGVPHHLLGTVSPNVEFTAKAFRDSAIPIIDDILDRHHLPVIVGGTNYYIQALVSLFLLDDLAEDMNESCLDDLPGVTQSDSSFIAENDSSNDSYNLLKDIDPVAANRIHPNNHRKINQYISLYARTGVLPSKVFQGKAAEKWGQVDNLRYDCCFISVDASLPVLDRYVEERVDCMMDAGLLNEVYDMHNLEADYTRGLRQAIGVREFEPVLKTCVVEDISKKEKELTEGSSTEIGETLSDGNLVEWLKSSSDSKSIILLEEAIEKVKVNTRRLVRRQKRMLNRLQTLFGWNIHYVDSTESISSKSDDVWASQVVESAMKIIRSFLSENESWASTVELSNLTGMKIIQRDLWTQFICKACGDRVLRGSHEWEQHKQGRGHRKRVSNLKSKARGLTFVDQKLEYSECN >OIW08241 pep chromosome:LupAngTanjil_v1.0:LG07:4702213:4703022:-1 gene:TanjilG_15202 transcript:OIW08241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTIRCNIEIPAPGEAYLKNQNQSSKPHSLFLLLHHDIITSRLIKLKKICRRLESEYRSQQLRTANEAASEDQNKTAMDPTNVHATKVSGSSETTPKQQQNVSIPIITPASQSNVSQVPSFMNVDPKKAETIPSSSETALKQQHSNIPIITLVPLKNVTPVPHMMIVDPKKAETTTNVLSEIDSIVPPGFTSIITTGSVPEVPG >OIW08702 pep chromosome:LupAngTanjil_v1.0:LG07:1002707:1003828:1 gene:TanjilG_03378 transcript:OIW08702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNHMSKKVPETSSAVNLNTELHYSTELSSYEAACKVDADLRSFDTTLQTRTNQVINTLAVGVEVRSLSFDSLKQITECLLEMNQEVVKVILDCKKDIWKSQELFELVEEYFENSLQTLDFCTALEKCLKRARDSQLLILVALQQFEEETELRDNRFTRTLHELKNFKAAGDPFTKEFFEIFQSVYKHQTIMLEKLQLKKNKLDKKLKYIHSWRKLSSMIFVATFAAVLLCSVVAAAIAAPPVAAAIAAATSIPIGSMGKWIDSLWKNYENALKGQKEVISSMQAGTYVAIKDLDNIRILIDRLEIDIESLLHNVDFAIEEEAVKVAIDEIKKNLGVFMKNVEDLGVQADMCSRDIRRARTVVLQRIIKNPHN >OIW08840 pep chromosome:LupAngTanjil_v1.0:LG07:488404:490872:-1 gene:TanjilG_16421 transcript:OIW08840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKTLMDFWKPPSKRLKQTLTPSLSKSDDPTTTTTTSLSVHQKSRIQHNKLLAKSKRNLNICLQKISDSKSKGGFVTLEELMVEETWLEALNGELEKPYAITLSKFVETEISSGNYVYPPSHLIFNALNSTPFDTVKAVILGQDPYHGPGQAMGLSFSVPEGVKVPSSLVNIFKELKEDLGCSIPPHGNLQKWAAQGVLLLNAVLTVRKHQANSHAKKGWEQFTDFVIKTISQKKEGIVFLLWGNSAREKSRLIDATKHHILEAAHPSGLSAHRGFFGCR >OIW07567 pep chromosome:LupAngTanjil_v1.0:LG07:17741030:17746166:-1 gene:TanjilG_08454 transcript:OIW07567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSLLVEKQKEHIIVSQNGMFYAGFYAIGENAYSFVICFNESNRNNGATIVWMANRDRPVNGRRSKFSLSHNGNLDLVDASEFNIWSSKTVSNGIVELYLRNDGNLVLHELEGNILWQSFDHPTNTLLPGQLLTRHTQLVSSRSESNHSVGFYKLSFDDQNLLSLLYDGPDVSSTYWPDSELLTLKSGRFTYNITRIAVLNSLGYFTSSDNFTVTTSDYGIVIQRRLTLDYDGNLRVYSLNDMSNKWYISWQAIPDSCAIHGICGANSTCSYDPKNGKKCSCLQGYKVNNYSDWSYGCKPIVPFTCPRNDSMFLEIPHVSTWGYGNNCVNNTTLSGCENLCLKDCNCLGFEFIYDEKEGYFKCYTKIQFLNGQDSSHVKYSTYLRLHKGQKVSSGESFRANDHLCEVQIERVYIKNHAPRFVNFILWIAIAVGGFEVICILEVWCFIVLTRRMSLPSSSASSEQDRYHLNTFGFRKFSYSELRSATKGFSEEIGRGGGGVVYKGILSDSRHAAIKKLNEANQGEGEFLAELSLIGRLNHMNLIEMWGYCAEGNHRILVYQYMENGSLAENLSSNKLDWSKRYNIALGTARVLSYLHEECLEWILHCDIKPENILLDSNYQPKVADFGLSKLLNRNNLNNASFSMIRGTRGYMAPEWVFNLTITSKVDVYSYGIVLLEMITGRSPRMDMQIVDGVEVYNGRLVTWVRDKKRSISWLEQIIDPAIGPNYDIRKMEILARVALDCVEEEKDARPTMSQVVEMLQSHESDPQ >OIW07484 pep chromosome:LupAngTanjil_v1.0:LG07:18269076:18270994:-1 gene:TanjilG_14430 transcript:OIW07484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENENHFRQAQRPKYDCLLFDLDDTLYPLSTGFHKVCTQNIREYMEEKLGIEKSKIDELSNLLYKNYGTTMAGLRGIGYDFDYDYYHSFVHGRLPYENLKPDPVLRNLLQSLPYRKLIFTNADKVHAVKALNKLGLEDCFEGIICFETLNPINKSTVSDDEDDIEFIGSSKTNDPNTYNGASRSKIFDIIGHFAQPNPRAVLPKTPIICKPSKNAIEFALKIADLNPQRTMFFEDSVRNIQAGKCVGLHTVLVGTSQKVKGADYALENIHNLREAVPELWEADIISDVSYTSKLSVETFVTA >OIW08446 pep chromosome:LupAngTanjil_v1.0:LG07:2877801:2880487:-1 gene:TanjilG_03122 transcript:OIW08446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMNVIFIILLLLPINTFSMPQSQINSNSILVALLDSHYTELAELVEKAMLLHKLEDTVSIHNITIFAPKNEAFLDPDFKLFLLQPRNLISLQTLLMSHIIPTRIASKTGSTRHRTLAGDHHHISLQANSSAATTQWTVDGACVTHPDAVTRPDGVIHGIDQLLVPRSVQDDFNRRRSLRSISAVKPEGAPEVDPRTNRLKKPAPPSKLGSPPALPISDALAPGPSISPAPAPGPGGPHHHFNGEAQVKGFIQTLLQYGGYNEMADILVNLTSLATEMGRLVSEGYVLTVLAPNDEAMAKLTTDQLSEPGSPEQIMYYHLIPEYQTEESMYNSVRRFGKVQYDTLRVPHKVVAEESDGSVKFGNGDGSAYLFDPDIYTDGRISVQGIDGVLFPLEEEEDSNRVKPGQPAKVVTVKQRRGKLLEATCWMLGTIGQHSRFTSCQ >OIW08395 pep chromosome:LupAngTanjil_v1.0:LG07:3359671:3363202:-1 gene:TanjilG_03071 transcript:OIW08395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRWSSYYQTASRVFHEYPSWSKAVVVCTVISSGGLVAYGDARPVQSEFGNEIPKKKVVVLGTGWAGTSFLKNLKDPSYEVHVVSPRNYFAFTPLLPSVTCGTVEARSVVEPIRSITRKRGASVQFSEAECYKIDPKNNKVYCRAVQGKKLGSAEEFSIDYDYLVIAMGARSNTFNTPGVQEHAHFLKEVEDALRIRRTVIDLFERASLPSLSAEDKKKLLSFVVVGGGPTGVEFAAELHDFVHEDLSKLYPSIEKYVKITLLEAGDHILNMFDKRITDFAESKFFRDGIDVKLGSMVVNVSENEISAKERATGQVVSLPHGMVVWSTGIGTRPEILDFMKQIGQINRRALVTDEWLRLEGFENIYALGDCATVNQRRVMEDIAAIFSKADKDNNGRLDLKEFREVVGDIIERYPQVEIYLKKNQMKDVANLLQKSEESSLTADIEYFKDALSKVDSQMKNLPATAQVAAQQGAYLADCFNRMELCEKYPEGPIRFRGVGRHRFRPFRYKHLGQFAPLGGEQTAAMLPGDWISIGHSSQWLWYSIYASKQVSWRTRMLVVTDWSRRFIFGRDSSRI >OIW07473 pep chromosome:LupAngTanjil_v1.0:LG07:18151355:18156116:-1 gene:TanjilG_14419 transcript:OIW07473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLASSFHTPKSNKSFKTSNNTVSVSNVRVIIRVRPFLDQEISSNNGDPISCISLLNQDFEYPQDEVAVYLKDPQTSRNECYHLDSFFGEEDKNVGKIFSREVSPMIPGIFNGCNATVFAYGATGSGKTYTMQGTEEQSGLMALSMSKVLSTCQITGSTAHISYYEVYMDRCYDLLEVNAKEILVWDDKDGQIHLRGLSQVSINTMSEFREVFSCGVRRRKVAHTGLNDVSSRSHGVLVISVSNLSDDGTGAAVCGKLNLVDLAGNEDNRRTCNEGIRLQESAKINQSLFALSNVIYALNNNKPRVPYRESKLTRILQDSLGGSSRALMVACLNPGEYQESVHTVSLAARSRHVSNFVPSAHKQETPKVKIDMEAKLKAWLESKGKTKSSQQRMEPFSSQHLRKTPSSIATSAKRSVTFESSVKVGRTTNNQYAQHTKERAFAVAFGTLMDNEVSFDSCIESAHCDGKDNNKEETEHEADMYLPDSKKHVLDTVEPVGKVANSPITNEGKNAVPSPLRKALTPININATCSTNKRIQINGATPLNKFSTQSSALKKCLVQEYIDFLNNASREELLELKGIGEKMADYIIDLREESPLKLLSDLEKIGLSSKQAHNLFTKAAKKLFEDKAEDSILSSEVHY >OIW07900 pep chromosome:LupAngTanjil_v1.0:LG07:7218030:7220285:-1 gene:TanjilG_20001 transcript:OIW07900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRLQFENSCEIGVFSKLTNAYCLVAIGGSENFYSVFESELAGVIPVVKTSIGGTRIIGRLCAGNKNGLLLPHTTTDQELQHLRNSLPDQVVVQRVDERLSALGNCIACNDHVALTHTDLDRETEEMIADVLGVEVFRQTIAGNILVGSFCAFSNRGGLVHPHTSIEDLDELSTLLQVPLVAGTVNRGSEVIAAGMTVNDWTAFCGSDTTATELSVIESVFKLREAQPNAIVDEMRKSLIDSYV >OIW07746 pep chromosome:LupAngTanjil_v1.0:LG07:12370208:12373331:-1 gene:TanjilG_11904 transcript:OIW07746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSKSETNQISSGPHSLQPSNGYAEPWWHGIGYNPITQTMSLANTTNSSSLDCPNADSESNEDSQSLSNSRVNVEDDGVTKDSQIAAPNQSENYGQHRQGVQHTSAATPLMREGGQTQTPQLELVGHSIACATNPYQDPCYGGVVTAYGHQQLGYAPFMGMPHARMPLPLEMAQEPVYVNAKQYKGILRRRQARAKAELERKLIKARKPYLHESRHQHALRRARGSGGRFAKKSDAGASGKEKDTASGPVMSSQSVSSSGSEPLPSDSAETWNSPTMLQQDARGSNAHSRFGAPNYENGSGSYHNHNALQSPTYHMHSGERAEEGDCSGQQRGSISSEHASQRRLAIQ >OIW07703 pep chromosome:LupAngTanjil_v1.0:LG07:13999801:14002764:1 gene:TanjilG_30780 transcript:OIW07703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEIIKGDLVTRLQAVKQNSGKSYNQIAQETGLTNVYVAQLFRRQAQLKPDTVPKLRAALPDLPEELLIQMVKPPLRSYDPNLIQDPTVYRLNEAVMHFGESIKEIINEEFGDGIMSAIDFYCSVDKVKGVDGKDRVVLTFDGKYLPYTEQVSRYLRLLQL >OIW08783 pep chromosome:LupAngTanjil_v1.0:LG07:151031:153378:1 gene:TanjilG_16364 transcript:OIW08783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPLVLLFIILASLSSCFGYHIEEPLYQTNAYERYPMHNYWRFNNAKHERFGFMTRANRATPHSSISVDDFGAKADGRDDTEAFQKAWNEACSRGASLVVPENRIYLLKPITFSGPCQPNTAFMIYGTIKAWPVMSAYEPDRLHWIVFDSVSNFKVDGGGTFNGNGKIWWQNSCKTNTNLPCKDGPTAVTFNECNNLRVANLRFKDAQQMHVTFQRCFNVIVSNLVVKAPGNSPNTDGIHVSETHNIQISNSEIGTGDDCISIVSGSQNVRVTDITCGPGHGISIGSLGADNSEAEVSNVVVNRATLRGTSNGVRIKTWQGGHGYARNIKFLNIVMQNVTNPIIIDQYYCDQQVPCQEQVSGVQLSKVLYQNIRGTSASEVAIKFECSKSVPCREIYVEDVILEPEGGSGGTIATCENVRYVNRGKFFPQCSPSIK >OIW08590 pep chromosome:LupAngTanjil_v1.0:LG07:1763000:1766111:-1 gene:TanjilG_03266 transcript:OIW08590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTPKTRSGSSEVPQKVSPRVVRQLRTTTVDTGSVSSLSQANKISKEKSPKVTDRKSPRSPVPEKKRPSRISELESQISQLQEDLKKVKDQLIFTESCKKQAQQDAEESKEQLLVLSANLEDSQKQLLELSATGEARVTELLKLSEEHDEASRSDLETSQKWLSANLSALASAMNEIQLLKVQLELVANCERAQIQHAGSSGMELLNLKQNLAQTFSLVESMKNQPRICNESESQAQDLLNETLFQLEATEKAVEVLHADATKAVDASNSIALELDHSRARVNSLEALVRKLEAGLISNEGIQSQNFGDDHKFGLEPERLRKDEDHNQVEGEIYSLKSEVERLRCAIEIAETKHQEERVQSTMEISNAYELMEKIKCEPSTKECELEGELNRKKAHIEELKANLMDKETELQGIMEERENFDLKLDESMTSRKEHELLKELKRLDECVADLKADMMDKETTLQSITEENEMLKVEMKKRFSDGGKVREEIDADIEAAKVAERDALVKLGIVMEEADKSNQKVARVNEQLEAAQVANSEMEAELRRLKVQSDQWRKAAEAAAAMLSAGNNGKLTDRTMSMESYKCSPYAGDMDDDDFQRKKNSNMLKKIGVLWKKPQK >OIW08265 pep chromosome:LupAngTanjil_v1.0:LG07:4503726:4506260:-1 gene:TanjilG_21731 transcript:OIW08265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKMEVIEWVSYVLVVFLVLVNGAYALYNPPDSYLIACGSSKNITFQGHTFVPDSQHSSLVLKTGNSFVASSNSSSTPFPIYDSARIFTDKASYSFEIQQEGRHWVRLYFSPIPNSGHNLASASITVVTDDFVLLSNFTFRNYNGSYMFKEYSVNVTSDTLSVTFIPSNGSVAFVNGIEVVSMPDELFVDQALALNPPAPFSGLSELAFETVYRLNMGGPLITAQNDTLGRTWVNDLKYLHVNSSVFNVSVSPSTIKYPAGVTPETAPNLVYATAETMGDPNVGNPNFNITWVFTVDPKFSYFIRVHFCDIMSKSLNTLVFNLFINTDTALGSLDLSSSTNDLAVPYYKDFISNTSAGFSTLTVSVGPDTMADITNATMNGLEIMKISNALKSLDGLYSVYSLLPRSPSKKNKIGIIVGSALGALTAIALVGFCYCCLVRHKSKSPQQGNSWLPLPLYGNSLTLTKNSTTSQKSGTASCISLTSTNLGRFFSFQEILDGTNKFDEKLLLGVGGFGRVYKGTLEDGTSVAVKRGNPRSEQGLAEFRTEIEMLSKLRHRHLVSLIGHCDERSEMILVYEYMANGPLRSHLYGTDLPPLSWKQRLEICIGAARGLHYLHTGAAQSIIHRDVKTTNILLDENFVAKVSDFGLSKTGPALDQTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLMEVLCTRPALNPVLPREQVNIAEWAMIWQKKGMLDQIMDQNLVGKVNLASLKKFGETAEKCLAEYGVDRPSMGDILWNLEYALQLQETSSALMEPEDNSTNHITGIQLTPLDHFDNSVNMMEGGNSCTDEDAEDATTSAVFSQLVNPRGR >OIW08254 pep chromosome:LupAngTanjil_v1.0:LG07:4594021:4594263:1 gene:TanjilG_15215 transcript:OIW08254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGVQLQSSSPALALQGSQQGECSVTVATGAESKPKKKICCACPDTKKLRDECIVENGEDACTKWIEAHRQCLRAEGFNV >OIW08149 pep chromosome:LupAngTanjil_v1.0:LG07:6309836:6314248:1 gene:TanjilG_06692 transcript:OIW08149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQHCLPAVQLTGSGRPVLLPNEIECFFLSSVDLLCEDNEPNANSFPHLKSGLLILTTHRLIWLSDSPSAAAFSVPLATISHIFSHKKSLKSMFASPRVRFQVSLSPEGRVSASGSRSVVVTVVIRGKGDYDAFLAKFWENWRGRAWEEAESVSNSSSGLGSDSGSNVVPAASSGGFYSSDGTVRMVGVSGILRKQQEMWESTDRSLHEAFQDLNALMSKAKEMVMLAEKMRIQLLSGSSSQANTTGDEQMGSKEEMQELLLSVGIISPVTKESAGALYHQQLSRQLADFVKVPLERAGGIINLIDIYCLFNRARGTELISPDDLLQACSLWEKFDVPVVLRKFDSGVMVIQTKSHSDEQVISKIKMLATKPDALRSGISASDAAKTLGVAPAMAKEHLMSAESKGVLCRDISPDGFRFYINLFLEFDRDDMYLLTSVNLRKEKKGLESQNKCHQIDWMTFSPLVPQVRGGKGSYPGAYWQYIETELEVMTS >OIW07528 pep chromosome:LupAngTanjil_v1.0:LG07:18818156:18822858:1 gene:TanjilG_14474 transcript:OIW07528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSVAYLTGNSASDLLRNSTSGFSGVPLRTLGRARLVLKKRDFTVSCKLRKVKKHEYPWPDNPDPNVKGGVLSHLSPFKPLKEKPKPVTLEFEKPLIDLQRKIIDVRKMANETGLDFSDQILSLESKYQQALRDLYTHLTPIQRVNIARHPNRPTFLDHIFNITEKFVELHGDREGYDDPAIVTGIGTIDGRRYMFIGHQKGRNTKENIQRNFGMPTPHGYRKALRLMEYADHHGFPIVTFIDTPGAYADLKSEELGQGEAIAHNLRSMFGLKVPVLSIVIGEGGSGGALAIGCANKLLMLENAVFYVASPEACAAILWKSAKAAPKAAEKLKITASELCRLEIADGVIPEPLGGAHADSAWTSQQIKNAINETMDELSKLSTEDLLKHRHDKFRKIGGFQEGIPIDPKRKFSMKKKDIPAPKKISDAEIEIEVQKLKQQILEAKGSPTEPPKLDLDDMIKKLTREVHHEYSEAVKAMGLADRMSKLREEVTKANSQNQFIDPLLKDKMERLEAEFEQGLPATPNYGKLQNKLSMLKELSKVKKMSEKNKEAAILDQKLKTVYDDVINNPSIKENFETLKAEIESTGASSPSDLDDELKKKIVEFNKEVDLQLANALKSIGLDLLYVGSKARDGRYESSLSEYISKIDELNKDLVKEIENSVNSSDIKSKIELLKLEVAKARDTPGVDSKSRIDALVQQIKQSLVETVDSSSLKEKYENLVPKVSSENGSLKTADQTVDSPTNDDLKVKVGTNHTS >OIW08172 pep chromosome:LupAngTanjil_v1.0:LG07:5677163:5679321:1 gene:TanjilG_06585 transcript:OIW08172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRHFPSPNPNLRHQWFRQKSSGGRRPKKKIYHRVPELDRVMHLRKKPSSILHLNSILQSQHKTNHSILLRDLEKHVGFVQKWDFMSLIERYPTIFRVGGVPPSVSLTAKAEKVAAEEGRARMMMEPLLVNKLRKLLMLCVDCRVPVETVELIGSELGLPCDFKEGFIPKYSQFFTVKNFKGRDWLELQDWDSSLAVTARETRLAQEGVLNMKPDGVRRKVKISRDGNYLGPYAFKMDFPAGFRPNVGYLEQLERWQKLEFPSPYLNARRFDAADPKSRKRAIAVLHELLSLTMEKRMTSAQLDAFHAECFLPSKLLLCLIKHHGIFYLTNKGIRSTVFLKDGYLGSNLIDKCPLMQFNDKFVSLCGRANTDIYDSKDSLQTIV >OIW08766 pep chromosome:LupAngTanjil_v1.0:LG07:39534:41757:-1 gene:TanjilG_16347 transcript:OIW08766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAMANAASLNYKEALTKSIMFFEGQRSGKLPPSQRITWRKDSALNDGSDLNIDMVGGYYDAGDNVKFHFPMAFTTTMLAWSVIEFGGKMDSDLQHALEAVRWGSDYFLKATNKEDSIVAQVGESKVDHGCWERPEDMDTSRTTFVLSKEKPGSDVSGEIAAALAASSIVFLNTDATYSKQLLDRAKKVFDFANKYRGKYSDSVGDACPFYCDDNYMATKQTDNYYGDFVQQNIQSIGYGFAEFGWANKDAGINVLVSQWVIKDKSKSSPFVDSANRFICSLLPQSKQKSVWYSKGGLMFKPGGSNLQHATSISFLMIVYASYLRSAGQQVNCEDKSVSATPDQLITLARSQTDYILGQNPLGMSYMVGYGNKFPQKIHHRGSTLPSLSIHPQKIECGEGYNYFKLTTPNPNILTGSVVGGPADDDSFLDSQYNISQSEPTTYINAPFVGVLAYFNKP >OIW08361 pep chromosome:LupAngTanjil_v1.0:LG07:3609774:3613370:-1 gene:TanjilG_03037 transcript:OIW08361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDTRKGTVTSLSSVFPAEEAQKAVKRVQDTIADKQSELDSLRGFVADNNNLVNQVHKLPEQLSHDIMVPFGKAAFFPGRLVHTNEFLVLLGEGYYAERTSKQTVEILTRRGKSLDSRVDSIEAIIKDLQAEASFFNATASEALEGLVEIREDYVEEDCEQESKSGPLKQPAPNFGKTTDDDQQYAQIMSRLDELEKQEELAAESGNHSDQNEETTSDTDDISYQRPTDNNLQSSQDFRQGMLLDQTKSKCVATEIPKKQSHQEDIADQLNFASLAVQSKVQEKEKVPKKVRFIDQGEKLVHPEEKIVKATTASKSEVQHQTSQTSFDSRKAFTGSIVEHAENIKTTPREQSSTSSQVSDSQPKKPVSRFRMQRK >OIW07711 pep chromosome:LupAngTanjil_v1.0:LG07:13238620:13245882:-1 gene:TanjilG_19654 transcript:OIW07711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDINKEMPVLVDTTTEHDYAHLSNLLQEFTSIPDIDKAWLFKSHSSATLDLQGMFSITQPDILGNKKRKLIMSCNILKQSCSSAKFLWDPFPIEMSGVFIVVPSPSGSKLLIIRNPENEAPSSFEIWSSSQIEKEFHIPQLVHGSVYNDGWFEGVSWNLDETFIAYVAEEPSPEKPTFDHMGYKKGSGADKDYGCWKGQGDWEDDWGETYAGKRQPSLFVININSGEVHAVKGIDKSLSIGQVVWAPFTEGREQYLVFVGWSSGTRKLGIKYCSNRPCAIYAVRAPHHESEFHSTEDLRALNLTQTISSAYFPRFSPDGRFLVFLSARSAVDSGVHNATNSLHRIDWPADGKLYQSSKINDIIPVVMCAEDGCFPGLYCTTIHNNPWLSDNRTMIISSIWHSSEVLLSVNVLSGEILRISPEDSNSSWNLLMLDGNNIVGISSSPVDVPQIKYGMIIEKGMKNSTWSWSTISSPIFRCTDKVRSLLSSLQCTILKIPVKDVCDGLTRGASKPFEAIFVTSGSKNKDVLNPLIVILHGGPQDVSLSHFSKSWAFLSSAGYSLLIVNYRGSLGFGEEALQSLPGRVGSQDVNDVLSAIDHVINLGLASPSKITVTGISHGGFLTTHLIGQAPEKFVAAAAINPVCNFTLMVGTTDIPDWCYVEAHGTVARNCFTKAPSAEDLALFYSKSPISHSSKVKTPTLFLLGAKDLRVPLSDGLQYARALKEKGVEVKVIMFQNDVHALKRPQSDFECFLNIGVWFNKYCK >OIW07595 pep chromosome:LupAngTanjil_v1.0:LG07:17406095:17407661:1 gene:TanjilG_28408 transcript:OIW07595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVANNESGKGEMNEGRTSSDTSFSKAQDEIIAEIEARIATWTFLPIDNGESIQVLRYEHGQQYQPHVDFFDDKCNQQFGGHRVATVLMYLSNVEKGGETVFPNSELKLSQPKDNTWSECAKTGYAVKPKKGDALLFFSLHVNATTDTRSLHQSCPVIKGKKWSATKWIHVGDYEKAQEDLNDKVIC >OIW08140 pep chromosome:LupAngTanjil_v1.0:LG07:6211342:6218698:-1 gene:TanjilG_06683 transcript:OIW08140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPDILGKYDLFQKLFPLSSVISSESIAHVGLIYYVFLIGLDMNLDTILKARKKVISIALAGTFIPMAMGAGIYTLLHIYGNDDLDFSGTYKTGGAYLFWTLPYSITGFPILAHILADLKLLYTGLGKVALTVAMISDFYNWVMFSLLTPFATHSNLSSAIYSLLCTIAFFLFLFFVLRPFLVTLIVRKTIQDEWDDYQLLYVVMGAFACALVTDVLGSHPIMGALVYGILIPRGKFTTMLIERSEDFVTNYLVPLFFFGCGMRLRFSHIFEGGNWMVVLAVVFFSCIPKILSTVIATYFLGMTIRDGVALGLLMNTKGMLAVIMLNIGLDKQILSHGSFTTLLLAVLVMTLMVPLIINAIYKPRKRYAQNKLRTIQNLKVDAELKVLACVYNTRHAKGMINLLEACNSIKVSPLHVFAVLLIEHTGNATALLAAHIEQPHHQQEASQALTKAQEDMENIASDFQSYVQENNNNNTKADTLVAVSSYSTIHEDLFSLAQEKQTTFILLPFHKHLSLEGFLESTNNAYKNVNQNVMGNAPCSVGIFVDRGLGSLFKLDLRVLVIFIGGPDDREALAVGWRMSKHQGIQLSMVRIILLGKAAEVEQSSDTKNNGLMSASLDNEKQKELDDEYVSSFRLKAVNNEDSISYLEKEVNSSEDITKVLKELDKLGFDLYIVGQGMGRDYIILSNLLKWADCPELGVIGDIIASNNFSSTSSLLVVQQYGFGGMVFQAKNHHPDEISADNDASEEVSVKEGNSGFVVDMAIVESVRKVPLQDPSEEEFCASDLTWTKLGNAEHHDEVALIPYDRVDAFIIGECSNVECPTRFHIERTKKRVIGSLKEYKDDEYLEYRKYWCSFGPENYGEGGGILPSRRHRLNTRNRAARPQSMRGCTCHFVVKRLYARPSLALIIYKERRHINMSGFVCHGPLDRDAIGPGAKKIPYICNEIQQQTMSMIYLGIPEENILEKHIEGIERYCGSNSKVNSLASQYVHKLGMIIKRSTHELDLDDQASISMWVERNRKSVFFHQDTSESHPFILGIQTEWQLQQMVRFGHRSIVAADSTFGIKRLKYPLFTLVVFDSRQHALPVAWVITRSFAKPDMSKWLKALIDQAQMWLSTMRNYPLASQEASGALESYHVKLKAKLFDDSHLGALQRVDWLVHKLTTELHSSYWLDRYADESDSFQNVKEEYIASTSWHRALQIPNFAVTLDDKDHLFAKVVSQKDSSLTHLVWNPGSEFAFCDCLWSMQGNLCKHVIKVNMICETLQGCQPSMSFRSFEETLMDLWRKPVDDSFALDLSLAWTHQMLDQVQKLVELNNSTDIGTVVNNMPLKWVSKKGRTYTGKRSSTLALPPNNSKAKSSVVHKKNRKRKRLSRLT >OIW07717 pep chromosome:LupAngTanjil_v1.0:LG07:12629302:12631670:-1 gene:TanjilG_11844 transcript:OIW07717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAVFDKSVAKSPEALHNLQSNSVSALKDDFLSQHFASLHPSSVSINLSSSGFFAYSLHKQNPLLPRLFAVVDDIFCLFQGHIENVANLKQQYGLNKAANEVIIIIEAYRTLRDRGPYPVDQVVKGFHGKFAFILFDSHSQTAFFASDSDGSVPIFWGNDADGNLVLSDETEIISKSCGKSFAPFPKGCFFTTSGGLRSFEHPLNDLKPIPRVDSSGQVCGATFAVDAESKKETTGMPRVGSAANWSNY >OIW08429 pep chromosome:LupAngTanjil_v1.0:LG07:3015207:3015578:-1 gene:TanjilG_03105 transcript:OIW08429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCMRNNKISAQDHENHETTVESCEKAIVDKIKGSSLSKIEAPRVQKEQELKKKKKVRFNIENDDEGDGNSRRGVMRIKVVMTQQELKRMLNCKKDEQHIPLEQLLSAVKLRGGIISEVCEN >OIW08739 pep chromosome:LupAngTanjil_v1.0:LG07:739142:745107:-1 gene:TanjilG_03415 transcript:OIW08739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGIGGEEVVKLEKMQGVSGCEEKIIVLIRLRPLSEKENAANESEDWECINDTTILYRNTLREGSTFPSAYTFDKVFRGACATRQVYEEGAREIALSVVNGINSTIFAYGQTSSGKTYTMIGITEYAVADIFDYMNKHEERAFVLKLSAIEIYNEIVRDLLSTDNSPLRLRDDPERGPILENLTEETLQDWGHLEGLLSLCEAQRHVGETSLNEKSSRSHQIIRLTIESSAREFLGKGNSATLSASVNFVDLAGSERASQVSSAGVRLKEGCHINRSLLTLSTVIRKLRLSISSSAYVVSLVYSVSIVDNLHLGYLIKGRQGHINYRDSKLTRILQPCLGGNAKTAIICTLSPARSHVEQTRNTLLFACCAKEVTTKAQVNVVMSDKALVKQLQKEVARLESELRTPAPATSDGDYTAALLRKKDLQINKMEKEIRELTKQRDLAQSKVEDLLRRVEKDQISRKEEEDIWEGGDSLSVSSSICGPHRPGESIREFNNPRYNGDIGSNPDEYPEDCKEVLCVELEGSSRDNLEYVDQSVNNNEDLALSTSEEENGSIQEISNSSNEARGESQVQDNSSCGMQEQRPHDVRTTIISPVCPYPDEQSPQAMSENMSNFMNSKLTRSWSCTEYHMADSPERAGEIERTPANGFEKGFFGRPGGLRRKFHPLSYGSSTKLSRNGSPSSIGSPSADDFGNSMRTSVDENITSIQTFVAGMKEMVELEYGKQLVAGQDQDMEGKQYKFEKNVKDVGMGPMFMAPGTPLDWSVQFKRQQKEIIELWQSCYVPLTHRTYFFLLFQGDPADYIYLEVEHRKLSFLKETFSDGNLTGKDGVIKLASSMKALRREKEMFVKLMQKRLSEEERNGVFKEWGIALNSKRRRMQLANRLWSNTDMKHVVESAEIIAKLIRFSEQGKVLKEMFGFSFTPQLTRRSSFTWRNRNSRASLL >OIW08528 pep chromosome:LupAngTanjil_v1.0:LG07:2267464:2268662:-1 gene:TanjilG_03204 transcript:OIW08528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIRRSSYHDVVRVAEIQNVLDISGVQTYVINSARVLFLNERPQNQPKTNNGVNSGKSSNSHFCEICKRNLLDAFRFCSLGCKVIGIKKNGNASFVLSAKKDEEIGRMEKGMGRMLASKEEDDELREGIYKQVYQSTHSRSQPCSNNSRRRKGTPHRAPLGP >OIW08342 pep chromosome:LupAngTanjil_v1.0:LG07:3733951:3737254:1 gene:TanjilG_03018 transcript:OIW08342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVKALAKSKRAHTQHHSKKPHHNQKLKAPTPSSSSSGSNDAAVTAKKPLEKHQLNDKTTHRSSRGLPSNWDRYGEGEFDDGSEGVSPEISGVVLPKSKGADFRYLVAEAQSQAETSLESLPSFDDLILGEFNLGLSSMLAVRGEGSVSWAGDDNFVVEDKGTGNPEASFMSLNLHALAENLAKLDLSKRLFIEPDLLPAELRADYMVKGCNEEPGKLETSEDSELFANMMSKELTLNDFAANHITSSSSPRNSHTASTTTSSNDFLVPVNYVDVEIQQVGSSGKIKAFLPSAEANLHSTKDTRRKNSAFEAATAEEELDMLLDSPSEDKILDFPGYKSNTPFPVSLGASCVNPPQISNKEPVPSKITASLDDALDNLLQETSTLMNPNVVLRPQEESHIQSSSHTGSDSKVSDDFDSWFDTL >OIW08830 pep chromosome:LupAngTanjil_v1.0:LG07:398195:401335:-1 gene:TanjilG_16411 transcript:OIW08830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTFSGLQFALSRPCIPSTQRFADAGTMVLGGKSKVGAWNNLTSVSHVASVQPLHQSFTSSSIKSVKIVTKAMSESSADAPVSGLPINLKGKRAFIAGVADDHGYGWAIAKSLAAAGAEILVGTWVPALNIFESSLRRGKFDESRVLPDGSLMEITKVYPLDAVFDNPEDVPEDIKTNKRYAGSAKWTVQEVAESVKEDFGSIDILVHSLANGPEVTKPLLETSRKGYLAAISASSYSYVSLLKHFLPILNPGGASISLTYIASERIIPGYGGGMSSAKAALESDTRVLAFEAGRKRKVRVNTISAGPLRSRAAKAIGFIDMMIDYSTVNAPLEKELSADEVGNAAAFLASPLASAITGTVLYVDNGLNAMGVAVDSPIFKELDIPKEQH >OIW08574 pep chromosome:LupAngTanjil_v1.0:LG07:1871812:1876849:1 gene:TanjilG_03250 transcript:OIW08574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGTQNIVLFLLWFLSIYVTASFCANVTYDSRALVIDGKRRVLISGAIHYPRSAPQMWPDLIQKSKAGGLDVIETYVFWNLHEPVQGQFNFEGRADLLKFVKAVAAEGLYVLLRIGPYVCAEWNYGGFPFWLHLIPGIEFRTDNEPFKAEMQRFTTKIVDMMKQEKLFASQGGPIILSQIENEYGNIDSAFGPAGKSYMKWAASMATSLDIGVPWVMCQQSDAPDPIINTCNGFYCDQFTPNSNTKPKFWTENWSGWFFTYGNAVPYRPVEDLAFAVARFYQRGGTLQNYYMYHGGTNFGRTTGGPYISTSYDYDAPLDEYGTLRQPKWGHLKDVHKAIKLCEKALVAIDPTITSPASNIEVAVYKTGDVCAAFLANIDATSDATVNFEGSSYQLPAWSVSILPDCKNVVLNTAQINSESWISSFTTESSKEVVGSLKDSITGWSWIDEPLGISKNNTFSKHGLVEQLRTTGDTSDYLWYSLSIDFVDDTSAQTVLHIQSLGHALHAFINGKLARSETGNANINVDIPVTLLTGKNTIELLSVAVGLQNYGAFFEETGAGITGPVTLKGLKNGSTIDLSSQEWTFQVGLKGEELDLPNGSSGQWNSQSTLPKDKPLTWYKTNFAAPSGTNPVAIDFTGMGKGEAWVNGQSIGRYWLRDVSTHGGCTDSCNYRGAYSSSKCLKNCGKPSQSLYHVPRSWLQPDSNTLVLFEESGGNPTWITFATKRIGSLCSNVAESHPPPVDKWNSGTVGPVLSLECPYPNQVISSINFASFGTPRGTCGNFEHGDCSSDGVLPIVQKACIGLSNCSIGVSINTFGDPCPNVVKSLAVEAACA >OIW07550 pep chromosome:LupAngTanjil_v1.0:LG07:17569247:17570413:1 gene:TanjilG_08437 transcript:OIW07550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVAVETNASKKPRFAAPPSPVEIAFIPYDLIIDILSRIPVKFLLRFKPVCKSWKTLISDPQFAKIHFQRSITDSTFTHHRLMPQACFLRDDIVSCSVESLLHNYDVVAESLGYPLHPHYDVVGYSNGLCCLVYNREKVRLWNPCTKLVSRESPSLFICDRPNRKTVFGFGYDESSDTYKVVSVFVDFYGKTLGSVFSFGGENCYWKEVHGFPDVTPEWGKSGECARGTLNWLAKAPIPDGMRNDKYYVIVSFDLGNETCREYLLPHDIDRSCSVGTTFGVLRDCLCVSQNYEVTSFIVWQMMEHGVKESWTRLLNISYVDLGLKVYAFLEPLCMSEKGDILMNTNIEECKVVLYNPGDNRLERHNILSKKSWFRSKIYVESLVSPPC >OIW07964 pep chromosome:LupAngTanjil_v1.0:LG07:7987676:7988889:1 gene:TanjilG_20065 transcript:OIW07964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKWKNNAKKLSYISVPSHIINSISSSSLQSLFDSSSTSKKSSRTTFNFYRNPRILFFTLFLISLFAFLKLGFNLDIPFSSYPCGITNLPNFKNSFLYSKSNLGVVSNIESLQKDEVFNDNSDVSVSVLESLIANVQLQAQGTSGLESDEEIEFWKKPNGMGYKPCLDFSRDYRRGSEGVLRGRKKYLMVVVSGGMNQQRNQIVDAVVIARILGAALVVPILQVNVIWGDESEFADIFDLEYFKSVLANDVRVVSALPSTHCSDILNFYGTLHVHPYNSYPPTKEKKQNKSLILVDTYKSVSMTPRLLVKPYKGKNDPLKQ >OIW07596 pep chromosome:LupAngTanjil_v1.0:LG07:17422565:17424373:1 gene:TanjilG_28409 transcript:OIW07596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRVENVVSFYDKLKKSVTSKPCSSSLLIFPSTSDVDSLCALRIIFHILESDSIGYACYPVSSFNQIHKQLTSATQNDNPVTVVLVNWGCHRDLRKILNLGVNSKVFVVDSHRPIHLSNLSDENDSVFVLFTKEDEQQSDIAYGFPPEIDISDFANTCSELNKGFDFDFDPESESESESNSESDSESEGSGSRKRRRLEEEQEREQDPARRYGKLQREYYQLGTFHGKPSGCLMYDLADCHRKNTNELLWLACVSLTDQFVHERISDERYRVGVMELEQYINSSGNLDVVTTVTLKDGTKIRAPNSSRITYEDEPRLMLLQEWNLFDSMLCSSYIATKLKTWSDNGMKKLKLLLARMGFALVDCQQKFQYMNVEVKKKMRGEFERFLPEYGLTDLYYRSFMRMHGYSSKVSAADVVYGVTALLESFVKSDGSSESNDFDVAYDALSLSKLDKLKAGMQHAIKIQRAILRQGSTVITKNGCIRSGRKFRWVKLEDSANTKFLGYPQALTKFCYFVMDALREKGARMKPLLCACLCQEREKVLIVGVCGKPRLGGAQGNAFGIAFRKVAEEIGAEFFHELFESSWIVLDASNVNSFMIGLTEKL >OIW08184 pep chromosome:LupAngTanjil_v1.0:LG07:5442454:5443693:-1 gene:TanjilG_24379 transcript:OIW08184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVNVPDESAKMPSSEHQEVAVKKKYGGIMPKKPPLISKDHERAYFDSADWALGKQGVQKPKGPLEALRPKLQPTQQQTRYRKSPHAPSDEEGGSVHAEDAPSNE >OIW07446 pep chromosome:LupAngTanjil_v1.0:LG07:19757788:19759437:1 gene:TanjilG_19287 transcript:OIW07446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSTSRLHADTVSVHFPLCSLCQKALSPDNEITGDLPTNGVCSDCKFLLLEDFGNHQRRHRGRFRHNSSESVENILSQPLSYMVRQNQSTSSVEDNQVVDGDAPAWSLQYGSTHTTPSGSRSWRRVLSDTDSDGFDNWAPLYDESESNVSFRRYRIPHAETDSFSFSAYGGDSDISMDRHSFVGTDMFNLRDEADELDSDTDIDPMHAGLSQWNIEDDEEEDEEGDEEEEREWELAEEEEAEATTRLQNVFTRSPSESRRGINWEQRFNSTVEGIINQRIRDSWRVAYNNDHNSFTNLDDTDLLPPYQRANSGDYLDARNFEELLEHLAENDNTRRGAPPAALSFVSNLPLVVISKEEHEKHGEELICAICKDVLAPGTKVNQLPCSHLYHTCCILPWLSARNSCPLCRYELPTDDKDYEEGKQNNINSRYMIHDVMEDDSSPDVFDEAEMNEEDSGVQPREPVSSGSSVNSSATRGDGRWFFLAAAPIVSLVGIVLVFWLGNNPQNQIEGSRNLSGHSLSGQNHHELHVYASADQRESRSRRWWCPF >OIW08805 pep chromosome:LupAngTanjil_v1.0:LG07:250911:255123:1 gene:TanjilG_16386 transcript:OIW08805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTSFGGGGRSVNVVKEVHIPKLNAIINLSRNAISVPSTNRSLSRIRAVSTPATVTEKRSKVEIFKEQSNFIRYPLNEELLTDAPNINEPATQLIKFHGSYQQYNRDERGSRTYSFMLRTKNPCGKVPNQLYLTMDDLADQFGIGTLRLTTRQTFQLHGVPKKDLKTVMSTIIQNMGSTLGACGDLNRNVLAPAAPFVRKDYLFAQQTAENIAALLTPQSGFYYDIWVDGERVLTSEPPEVIQARNDNSHGTNFTDSPEPIYGTQFLPRKFKIAVTVPTDNSVDLLTNDIGVVVITDDAGEPQGFNIYVGGGMGRTHRLEATFPRLAEPLGYVPKEDILYAVKAIVVTQRENGRRDDRKYSRMKYLISSWGIEKFKSVVEQYYGKKFEPFRELPEWEFKSHLGWHQQGDGSLFCGLHVDSGRIGGKMKKTLREVIEKYNLNVRITPNQNIILTDIRASWKRPITTALAQAGLLEPRFVDPLNITAMACPAFPLCPLAITEAERGIPDILKRIRAVFEKVGLKYNESVVVRITGCPNGCARPYMAELGLVGDGPNSYQIWLGGNKSQTSLAQSFMEKVKILDLEKVLEPLFYHWKQKRQSKESFGDFTTRIGFEKLKEYIEKWEGPVAPARHNLRLFADKETYEAVDELAKLQNKSAYQLAMEVIRNFVASSQNGKSE >OIW07751 pep chromosome:LupAngTanjil_v1.0:LG07:12445818:12447889:-1 gene:TanjilG_11909 transcript:OIW07751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLELRLVQGSLLKKVLESIRELVNDANFDCSSTGFSLQAMDSSHVALVALLLRSEGFEHYRCDRNMSMGMNLNNMSKMLRCAGNDDIVTIKADDGSDTVTFMFESPTQDKISDFEMKLMDIDSEHLGIPEAEYHAIVRMPSSEFARICKDLSSIGDTVVISVTKEGVKFSTKGDIGAANIVCRQNTSVDKPEEATVIEMTEPVSLTFALRYMNSFTKATPLSSTVTISLSNELPVVVEYKIAEMGYVRFYLAPKIEEDEEETKPQV >OIW07491 pep chromosome:LupAngTanjil_v1.0:LG07:18346838:18361313:-1 gene:TanjilG_14437 transcript:OIW07491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPPLGDSLNNTNKTFLFLTIKPNDLVSLFLKGGLTISTFFLVTLFFYPSLSNHYYNPTNSITTFHQNIFQRQTTTTTTTRTNIINYELEEPTNISHILFGIGGSTTTWDTRRYYTELWWQPDATRGFVWLEKEPDENQTWPGTSPPYMVSSDTSSFKYTCWYGSRSAIRIARIVKESFELGLSNVRWFVMGDDDTVFFPDNLVTVLSKYDHNQMYYVGGNSESVEQDLIHFYTMAYGGGGFAISYPLAKELVNILDGCIDRYSQFYGSDQKIQSCISEIGVQLTKELGFHQVDIRGNPYGLLASHPIAPLVSLHHLDYVDPIFPNITRVDSIEKLVTSYKSDPGRTLQHSFCYDLNRNWSISISWGYSLELYSYLLTAKDLETGFRTFKTWRSWQDEPFTFNTRPVSNDPCEMPLVYFMDRVENVGGSMTRSRYKRYVDYFGKECEKNKQALAVQYVDVSASHILPHFWKKVEFPKVEPQFKAPFLGFTKTAEVWNSRACMIGLIGTFIVELIINKGILQVIGVEIGKGLDLPL >OIW07449 pep chromosome:LupAngTanjil_v1.0:LG07:19229829:19233563:1 gene:TanjilG_24311 transcript:OIW07449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRLPIWVILCFISTLLLLLLEAKTFDPYKVLGVDKNASQREIQKAFHKLSLQYHPDKNKNKGAQEKFSQINNAYEILSDEQKRKNYDMYGDEKGNPGFEAGHHGYQGGNTYFTGGGPGGWQGMGGQEGSKSFSFSFGGGPGGSNSFGFGLGDIFSSFFGGGGGGSRFGGGGGESRFGGFGTSTGSQSKSKSSTKTFRAINSNIYQKEIADRGMTWLLLCYTHSSKGVQYFESTVEEVANSLQGALKVGSINCEKEASFCKELGVYLGRSPRLFVYSYKANGKGSLVEYDGNLAVKDLKAFCQENLPRFSKRTDLNSLDQFSTTGKLPRVMLLSTKKNTPVIWRVLSGLYHKRFTFSDAEVHDVSDPKVKKLGVDALPAMVGWTHNGEKHILKTGISVNDIKSAVSDLSSVLDNFEKTCKKKASGQGKKEQTDSDDGPIQLLSQSNFEVLCGQKTPLCIIGAFRSSKAREKLDSVLSVVSKKSLSRRPNEGASSRDSISYALLDAAKQQSFLNGLNKKGYKSSEKLLIAYKPKRGKYTVFKGEMAIEEVESFISSVLSGDIEFRETHEKKPLLK >OIW07715 pep chromosome:LupAngTanjil_v1.0:LG07:13357998:13358216:-1 gene:TanjilG_19658 transcript:OIW07715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENKVGYENDLNLIATELRLGLPGTEEKEEKTMQGVVKNNKRQLPENYEESISISKDSKGHHAECDSAPPAK >OIW07871 pep chromosome:LupAngTanjil_v1.0:LG07:6940274:6957910:-1 gene:TanjilG_19972 transcript:OIW07871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFAAYKMMHCPTGIENCASAFLTHSPADYVPSILPLPADDLDSDWTPQRPHLGPVPNLIVTAANVLEVYAVRVQEEGGKGGGGGNAEARRGGIVDGVAGATLELVCHYRLHGNVETMAVLSIGDGDGSRRRDSIILTFKDAKIAVLEYDDSIHGLRTSSLHCFEGPEWLHLKRGREQFARGPLVKVDPQGRCGGVLIYDLQMVILKATQAGSGLVGEDDALGSGGAIAARIESSYMISLRDLDMRHVKDFTFVHGYIEPVMVILHERELTWSGRVSWKHHTCTISALSISTTLKQHPLIWSAVNLPHDSYKLLAVPSPIGGVLVIGANTIHYHTQSASCALALNSYAVSLDSSQEMPRSSFNVELDAANATWLLSDVALLSTKTGELLVLTLVYDGRVVQRLDLSKSKASVLSSGITTVGNSLFFLASRLGDSMLVQYSCGSGISMLSSNLKEEVGDIEVDAPLAKRLRRSPSDALQDMVSGEELSLYGSASNRTESAQKTFSFAVRDSLVNVGPLKDFSYGLRINADANATGISKQSNYELVCCSGHGKNGSLCVLRQSIRPEVITEVELPGCKGIWTVYHKNTRSHNADSSKLADDDDEYHAYLIISLEARTMVLETADLLSEVTESVDYYVQGKTLAAGNLFGRRRVIQVFERGARILDGSFMTQEVSFGASNSESSSGSESAIALSVSIADPYVLLRMSDGSIRLLIGDPSSCTISLTSPASLESSKGSVSSCTLYHDKGPEPWLRKTSTDAWLSTGVSEAIDGTDGAAQDHGDIYCVVCHENGTLEIFDVPNFSCVFSVESFISGKSHLVDALVKEVPKGDKDSDGVASQGRKENVPNMKVVELAMQRWSGQHSRPFLFGVLSDGTILCYHAYLYESPDGTSKVEDSVSAVPVGLSSTSVSRLRNLRFVRVPLDAYAREEASNESLGQHITIFKNIGGYQGFFLSGSRPAWAMVLRERLRIHPQCFIFSGSPLSDQPVSVIGSGIGITANCECHSCRNCNTMLIGLLTLCDGSIVAFTVLHNVNCNHGLIYVTSQGVLKICQLPSGSNYDSYWPVQKVPLKATPHQVTYYAEKNLYPLIVSVPVLKPLNQVVALVDQDVNLQAESQNLNSDEQNRFYTVDEFEVRIMEPEKSGGPWQTKATIPMQSSENALTVRMVTLLNTTSKENETLLAIGTAYVQGEDVAARGRILLFSLGKNTDNPQTLVSEVYSKELKGAISALASLQGHLLIASGPKIILHKWTGTELNGIAFFDAPPLHVVSLNIVKNFILIGDVHKSIYFLSWKEQGAQLSLLAKDFGSLDCFATEFLIDGSTLSLMVSDDQKNIQIFYYAPKMSESWKGQKLLSRAEFHVGAHVTKFLRLQMLSTSDRSGSGPGSDKTNRFALLFGTLDGSIGCIAPLDEITFRRLQSLQRKLVDVVPHVAGLNPRAFRQFRSNGKAHRPGPDSIVDCELLCHYEMLPLEEQLEIAHQVGTTRSQILTNLSDLSLGTSFL >OIW07493 pep chromosome:LupAngTanjil_v1.0:LG07:18387743:18388924:1 gene:TanjilG_14439 transcript:OIW07493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQFTKNLALEWAKDNIRANAVAPGYVKTFLLDNFLNATGTHSVVDELKAKTPVGHIGEPKEISSLVAFLCLPAASYINGQIITADGGFTI >OIW08612 pep chromosome:LupAngTanjil_v1.0:LG07:1597792:1598238:-1 gene:TanjilG_03288 transcript:OIW08612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKAEKKPAEKKPAEEKKSTVAEKAPPAEKKPKAGKKLPKEGGAAVDKKKKKSKKSVETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAQESSRLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSS >OIW07941 pep chromosome:LupAngTanjil_v1.0:LG07:7783289:7787914:-1 gene:TanjilG_20042 transcript:OIW07941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKDASFKLIIRVATMATHAALASTRIPTNSRVPSKTSHYFSTQCASKRIDVGEFSGLRSSSCVTYANHARESSFFDVVAAQLTPKTVGSVAVRGETVAKLKVAINGFGRIGRNFLRCWHGRKDSPLEVIVVNDSGGVKNASHLLKYDSTLGTFKADVKILNNETITVDGKPIKVVSSRDPLKLPWAELGIDIVIEGTGVFVDGPGAGKHIQAGAKKVIITAPAKGADIPTYVVGVNEQDYDHEVANIISNASCTTNCLAPFVKILDEEFGIVKGTMTTTHSYTGDQRLLDASHRDLRRARAAALNIVPTSTGAAKAVSLVLPQLKGKLNGIALRVPTPNVSVVDLVVNVAKKGISAEDVNAAFRKAAEGPLKGVLDVCDIPLVSVDFRLTDVSSTIDSSLTMVVGDDMVKVVAWYDNEWGYSQRVVDLAHLVASKWPGSPTVGSGDALEDFCKTNPAAEECKVYEA >OIW08457 pep chromosome:LupAngTanjil_v1.0:LG07:2791503:2794566:-1 gene:TanjilG_03133 transcript:OIW08457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKMGFLLLMMPMLVTQWVVCVEGGSNTNNVYQPCTDVKIQRSDGFTFGIAFSSKVSFFYNQNQSLQLSPCDHRLSLPSSNSQLALFRPKVDEISLLTINTSTFFPDNYGGYMVAFAGRKYAARSPPAFVANGSYIVTSFTLVLEFQKGRLQNLYWKRDGCASCNGNSNFVCLNKQDCAIKVSSCKGRGGSVDCSLGIQLAFSGTDKHLSVLNSWYEVENMRQYSLYGLYSNLKDSLTSQFNKFF >OIW08366 pep chromosome:LupAngTanjil_v1.0:LG07:3563865:3568220:-1 gene:TanjilG_03042 transcript:OIW08366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGGNGLFYPIFGFVSLMFFIYMSFGEIRFGFEEEPQLSFVERNGTQFLLDGKTFYINGWNSYWLMVQSVDDYSRPRVHEMLKGGAKMGLTVCRTWAFNDGDYNALQISPGVYNEQAFKALDYVIAEARQHGIRLLLSLVNNLQAYGGKTQYVKWAWQEGVGLSASNDSFFFDPSIRSYFKNYVKTILTRRNSITGIEYRNDPIIFGWELINEPRCITDPSGDTLQDWIEEMSAFVKLIDRNHLVTVGLEGFYGPNDPKRLTVNPEAWASRLGSDFIRNSQISNIDFTSVHIYPDHWFHEQEIDDYLKFVSKWMISHIEDGDKVLNKPVLFSEFGLSDMIKNFSLSDREKMYKTILNISYKSAKKKRSGAGALVWQFLVGGMNEFIDDFGIIPWEKSSTYSMFIEQSCRLARINGWTQQNTRFKEIC >OIW07876 pep chromosome:LupAngTanjil_v1.0:LG07:6991972:6996926:1 gene:TanjilG_19977 transcript:OIW07876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLSELVEFPLLQTPIDAHYRACTIPYRFPSDNPRKPTPTEISWIHLFLNSIPSFKKRAESDTSVPDAANKAEKFAQRYTDILEDLKKDPESHGGPPDCILLCRLREQILRELGFADIFKKVKDEENANAISLFENVVLLNDAIEDDVKRLENLVRGIFAGNIFDLGSAQLAEVFSKDGMSFLASCQNLVPRPWVIDDLDTFKAKWSKKSWKKANNSGADIILGILPFARELLRRGSQVVLAANDLPSINDVTYSELVEIISQLKDEEGRLVGVSTSNLFIANSGNDLPVIDLTRVSQELAYLATDADLVILEGMGRGIETNLYAQFKCDSLKIGMVKHPEVAQFLGGRLYDCVFKYNEVSSL >OIW07787 pep chromosome:LupAngTanjil_v1.0:LG07:11534160:11548209:1 gene:TanjilG_03574 transcript:OIW07787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCSTLTLPPQIPIHHRPKQQQQQPTPDLITNFNTPFELKQAHALLIKTHHNASLPLPRVALICALTPSFPYAHQILQRSHEQPLFIWNTCLKTLSQDTPQHALLLFIRLLQMGIYPDQFSFSFVLKACTLLLDVRNAKTIHGYVEKLGLLRRNLVLQNMVVHVYVVCGRMSDARLVFDKMILRDVVTWNIMLTGFIKAGHVGDAYRLFSSMPERNVRSWTTMISGFVQRGRPREAVDLFLEMEREGLRPNEVTVVAVLAACAELGDLEFGKRVYHRYAAADAEGFRRNVLLSNTLIDMLDVYIYDYLVKKKLHNTAKAFMTEGKVSPDPVVKTSENAAIDAPGGFLFEWWSVFWDIFIVRTNDKHSETAAAYLEAQHIKAKEQQLQMQQLQLMRQAQMQRRDSNHPPLGGPVSAITAEGVLGQSTASALAAKMYEDRMKHSNSMETETSQPLVDARIALLKSTNHPGQMVQGNSGSVAAALQQIQARTQQTPEIKSEVNMGTMQRSLPMDPSSIYGHGAMQSKSGISNAGLNPGVGGLTLKGWPLTGIDQIHPGFGAQVQKPLLQSPNQFQVLPQQQQQHLLAQVQAQGNIGSSPMYGDMDPQRLRGLARGSLNVKDGQPIANDGSIGSPMQSTSSKNNRKRRGPTSSGAANSTGTGNTLGPSNSQPSTPSTHTPGEGVSMAGNLPNVAGVSKGLIMYGTDGAGGLASSTNQLDDMEHFGDVGSLDDNVESFLSQDDGDGRDLFGTLKRNPSEHATDASKGFSFSEVGSIRKSNSKVVCCHFSSDGKLLASAGHDKKVVLWNMETLQTEITPVEHNLIITDVRFRPNSTQLATSSFDTTVRLWDAAAPSFSLQAYTGHAAHVMSLDFHPKKNDLFCSSDANNEIRFWNISQYSCTRVSKGGSAQVRFQPRIGHLLAAAASNVVSLFDVESDRQMQSLQGHSTDVHSVCWDTNGDYLASMSQDSVKVWSVASGECIHELSSSGNMFHSCVFHPSYSTLLVIGGYQSLELWNMAENKCMTIPAHECVISALAQSSVTGMVASASHDKSVKIWK >OIW07623 pep chromosome:LupAngTanjil_v1.0:LG07:16901744:16904206:1 gene:TanjilG_16604 transcript:OIW07623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQPEMATEQAFQTPKKQEVKAKHPHRDCGGEVLSFLKDCLRKLYDSGWCRSCNHGMHKVKNNNKNSSVFHDIDGVQVSLKIGRDNPRIFSYAELFIGSNGFNEDEVVGSGGFGKVYKAVLPSDGTVVAVKCCLAEKGKQFEKSFAAELTAVADLRHRNLVRLRGWCVHEDQLYLVYDYMPNCSLDRKLFRRNENLKAELLSWGQRVKIVKGLAAALYYLHEQLETQIIHRDVKTSNVMLDSHYNARLGDFGMARWLEHEIEYKTRKTSARIDQFRLGETSRIGGTIGYLPPESLERPSNSTSKSDVFSFGIVVLEVVSGRRAINLTYPDDQIILLDWIRRLSDEGKLLEAGDVRLPDGSYGLNEMQHLIHIGLICTFHDPKLRPSMKWIVEALSDFSCKLPTLPSFQSSPLYISLSSSPYETSRSSKSCTRTSTENGSMITNYTSSNYVTAAGETVYVTAEQKNSEIISSKRVQHNQRQFLGFETPREISYKEIVSATDNFSDSKRVAELDFGTAYHGILDGNCHVLVKRLGMKTCPALRDRFSNELRNLGRLHHRNLVKLRGWCTEQGEMLVVYDYSASRILSQVLLHHKNGGIGNPVLQWHHRYNILKSLASAILYLHEEWEEQVIHRNITSSAVILEPDMNPRLGSFALAEFLSRNEHDHHTTTDTSKSVRGIFGYMSPEYVESGEATVAADVYSFGMVVLEVVSGQMAVDFRQPEVLLVKKVHEFEMRKRPLKELADIRLNGEYNDKELMRLVRLGIACTRCNPELRPCMRQIVSILDGNDKLLIERSKESIEEWKQRNASSLSLVKRIQALGIQ >OIW07585 pep chromosome:LupAngTanjil_v1.0:LG07:17907305:17910129:-1 gene:TanjilG_08472 transcript:OIW07585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLNPFSLSISITFFLFCIFSFCKAKDSSLSSPTTSSDVQLLLLKIKPLLQSNTDNLVLSSWNTSTPLCQWRGLKWVFTNASILSCSDLSSPQWTNLSLHNDPSLHLLSLQLPSANLSGSLPREIGEFPMLQSLYLNINSLKGTIPLELGYSTSLSDIDLSYNLFIGVLPPSIWNLCESDRLVSLKLHGNSLTGSVSEPALPNSTCKDLQFLDLGSNKFSGNFPDFVTGFGALKQLDLGDNMFMSTIPQSLGELKLEKLNLSHNNFTGVLPFFGESKFGVDAFEGNNPGLCGPPLKSCSGNNSSMSSGAVAGIVISLMTGAVVLASLLIGYMQNKKRKGSGESEDELNDDDDLEEEIDEENGVSGSGSAVGGAGEGKLMIFSGGENLTLEDVLNATGQVMEKTCYGTAYKAKLADGSTIALRLLREDSCKDRNSCLPVIKQLGKIRHENLIPLRAFYQGKRGEKLLIYDYLPLRSLHDLLHEIKAGKPVLNWARRHKIALGIARGLAYLHTGLDFPITHANVRSKNVLVDDFFVARLTDFGLDKLMIPSVADEMVALAKTDGYKAPELKEMKKCNSRTDVYAFGILLLEILIGKKPGKNGRSGEFVDLPSMVKLAVLEETTMDVFDVELLKGIRSPMEDGLVQALKLAMGCCAPMTSVRPSMEEVVRQLEENRPRNRSALYSPTETRSGSITPF >OIW08392 pep chromosome:LupAngTanjil_v1.0:LG07:3376978:3379807:-1 gene:TanjilG_03068 transcript:OIW08392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLHKLRERRIYNLIVKDKDRQLLALQLLIQKERDRTKELRRKNEEMKGKIYNLRSQKMELDSKVLEMQSTMDLLKDEQKVMESTFEETQNELRIMQQKGIGLGGSETIALRESLKQKEAEIKDLKEHLQTPFKSNSVSIINGSANFSATVAAKDRTENENRETDEHSGDSAKYDGNKHMINEDAGESKSTIFEDRGVTIEVKDEIRNDENQGTKNEDPQDDGDALNTAKDSKAEVVDGREMKVIKEEQPRQLKGNADGGTHDFNVKQSEEKSGTATGVKRKHGHVSRTKEKRWRTVVKNSLVEQNGISENHKEVNKGNIKVHKDELKDRTMGKVSNEENVIRKDKESDNSNQKQDEEQVKLLKPENHEEKREDAMNMIVNNTNHYVTNNGTTIQPEKERLDEIRGSGEQEVSVVQQNWSRRHIKRAEKNAGETKSNMFQEQPKETNVSDVEKQKKDDIDDGEDNEDKDDDFPRESQSEFEDDKEEYKEELDESEFHSGL >OIW08308 pep chromosome:LupAngTanjil_v1.0:LG07:3988935:3991461:1 gene:TanjilG_02984 transcript:OIW08308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVYKGDDEYDYLFKLVLIGDSGVGKSNLLSRFTRNEFNLESKSTIGVEFATKTLTVDGKVLKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTRTSTFENAVRWLRELRDHTDPNIVVMLIGNKSDLRHLVAISTEDGKSFAEEESLYFMETSALESTNVENAFTEVLSKIYHIVSKRSFEAADNASTSAVPSKGHTINVNDDSSVLKRFGCCSN >OIW08253 pep chromosome:LupAngTanjil_v1.0:LG07:4601133:4602891:-1 gene:TanjilG_15214 transcript:OIW08253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIVSSIHQNFNSILFILPSRIATNQTVPEFAETKITPAPPPPLVGATIPRFAYLISGSKGDLEKLWRTLHALYHPLNHYVVHLDLESPLEERLELASRIEKQPIFTKVGNVFMIQKANMVTYRGPTMVANTLHACAILLKRSKDWDWFINLSASDYPLVTQDDLLYTFSDLDRSINFIEHTSYLGWKLDKRAMPLIVDPGLYMSNKSDVFSVGPKRTLPTAFKLFTGSAWMVLSRAFVEYIIWGWDNLPRTLLMYYTNFISSPEDYFQTVACNSPELAKTVVNSDLHYISWDNPPKQHPHVLGINDTEKMIASSAAFARKFKQDDPVLDVIDKNLLRRKKGLLTPGGWCSGKPRCSKVGSIYKIKPGSGSQRLRLLVARLTLKSRFRQNQCK >OIW07765 pep chromosome:LupAngTanjil_v1.0:LG07:11816740:11820116:1 gene:TanjilG_12891 transcript:OIW07765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHGGDNKRRRVNTASRRTSKPKELPVKKPKTKPSVSLKNQIRSAERMLHRKNLPPEVREAQEQKLEGLKKQQEIHTRLAAERKIFLRDKKIKFFERRKIERRIRRLEKQYRTSSAQAEASEVADQLSSLKKDLQYVMYFPKTEKYVPLFTGGDDSEIVDKRNGLRKQIDDRLVAAAASGKDLEETGSEDDGLLNLSDDDFFVGGSSSDEADADDEWTDKSTREQASSASGKAVSGMSSDEKNQRLISARALLPPPHPSKKLSRFGSSSGQNSYIKRSEISTSSSTSNSKSSSDFRVRRASGLGTGHGSSLSSNSDAHKPRRKRRPKKKKNQNAELKFEKSVKQFMTIFRLLAVSD >OIW08619 pep chromosome:LupAngTanjil_v1.0:LG07:1538910:1541487:1 gene:TanjilG_03295 transcript:OIW08619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGKGEGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVAFTDTERLIGDAAKNQVAMNPINTVFDAKRLIGRRFSESSVQSDMKLWPFKIIPGVAEKPIIVVEYKGEEKQFAAEEISSMVLMKMREIAEAYLGSSIKNAVVTVPAYFNDSQRQATKDAGAIAGLNVMRIINEPTAAAIAYGLDKKATSVGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKNKKDISGNPRALRRLRTSCERAKRTLSSTAQTTIEIDSLYEGVDFYTTITRARFEELNMDLFRKCMDPVEKCLRDAKMDKSTVHEVVLVGGSTRIPKVQQLLLDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTVLISRNTTIPTKKEQVFSTYADNQPGVLIQVYEGERTRTRDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEDIEKMVQEAEKYKSEDEEHKKKVEAKNALENYAYNMRNTVKDEKIASKLNPTDKKKIEDSVEDTIQWLDSNQLAEADEFEDKMKELEGICNPVIAKMYQGGADMGGGAMDDDAPPAGGSGAGPKIEEVD >OIW08333 pep chromosome:LupAngTanjil_v1.0:LG07:3799543:3800547:-1 gene:TanjilG_03009 transcript:OIW08333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAGGGGEQEQEEREEQEEEEDAEDQQEGGFHLSHKCIPMEGMPSGTGSLIQAMDGGQLTFGSGIDLRDNHIGYFLSSRDDDQLIAGSSLFGNGHKRDIGMDNHNPHHSLNGSNKRLRSDSPGSSKPVDMELCLDNMRHWMGKATMLYAAKEQASIESTNYQQVLLNELQRRDAMIDHLHKVKIDDSHKINLLEKELHMMTSLVEGYRKALKEAQKTFAEYRAHRSQSDEPLYKDVPGSGGLVLSVKEFEKERLRKEEEERMKMRDYENKFREIEGAWFTKLEGHVSTVQSLGNRLLAIGDQVKHLNEMVAKRKVADSPGCAPTVKHKLLSKD >OIW07805 pep chromosome:LupAngTanjil_v1.0:LG07:10845092:10845541:1 gene:TanjilG_31997 transcript:OIW07805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENRLLDYLISYILIQRNTNHAQPIVNDLRFMFAVKENIVINWPDEILKVMYSVSTSQSRLLPYSIFISRVIDYLHIDVLDIIVLDCSEKDHLIGESLIHKMGIYKYGNTWQYQEDFNNANLDPSGEYDQDDNQEPFATTQGEYSGHAS >OIW07763 pep chromosome:LupAngTanjil_v1.0:LG07:11742842:11746421:1 gene:TanjilG_12889 transcript:OIW07763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEISPTSLFSDDSHGQANWDNNSSFSMRQHLCNGGSHESDSAKRRWPVMSRLSMYGGGFLAKIGALDPELEFDREVYPLGLLPNAGVVVGVSQRMSFSASAEFPCFEPSPQAQTILHCLLRHLLQRDKIEEALRLAELSAEKPHFSHCLEWLLFTVFEADISRPNVNKNQISIPNHAKSTLLEKTCDLIRNFPEYFDVVVSVARKTDGRHWADLFSAAGRSTDEVV >OIW08470 pep chromosome:LupAngTanjil_v1.0:LG07:2644549:2648560:-1 gene:TanjilG_03146 transcript:OIW08470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGTTPPPSAASVPSDQIMHSMKRQLPFSSMKPLFVAAGDYHRFAPDRRRNVDQPAEALVVKSHKLKRKNEVADFEAHSGDSMNLGSTEAANTPFQPPVSAKTGKGSKSSRLTKCSGYGPETPGSNIGSPSGNNLTPAGPCRYDSSLSLLTKKFINLIKQAEDGILDLNNAADTLEVQKRRIYDITNVLEGIGLIEKKLKNRIQWKGLDVSRPEEAEDSFSSLQAEVENLAMEECRLDEQIREMQERLRDLSEDENNEKLLFVTEEDIKNLPCFQNETLIVIKAPHGTTLEVPDPDEAVDYPQRRYRMVLRSTMGPIDVYLVSQFEEKFEEINGVEVAPKFPPTPEFSKQQPILVTNDRGKGIAEDRGKGIEVQGQDEHGPSSDFTIAQDFSDADYWLLSDADVSITDMWRTESGLEWNELDSTLQEDDRVTHEPPAAPTHPPNASEAPATSNRTGG >OIW08189 pep chromosome:LupAngTanjil_v1.0:LG07:5326021:5326737:1 gene:TanjilG_26478 transcript:OIW08189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRSNRRGSKKKSNVTENVKKSNHGIMGAPMPCNWILVKEFYANAWRIDEPNPIFKSYCRGKDIKFDSFTINEFLGGLENFKPSDEYTIMKNGPYPEAEIERELLKDGCNWVRDAETGHILHIIQDQLKPNPRVWSEFMLCNLLPRSNTNEIPKEHALLLYAICMGKSVDAGAVISAEIDRVAQSEVGELPYPSLITRLLEFHGVSVWGDDDDDDQEPGPATIYPGVRKAGTSGTRRR >OIW08373 pep chromosome:LupAngTanjil_v1.0:LG07:3515894:3517648:1 gene:TanjilG_03049 transcript:OIW08373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGFEQQVKKRAKELKNFVKKGVKIVGDSCKKGCLDLIAKVHGQDQAEKCFNSISDDSIDFNVYSALLNCSAQHNSVEKLDNMKQEMIGKNKYDTFTLRSRLNAYATAKDINAMEKLLMQMEAAPVVTVDWLRYSIAANCYVKADQFVKSDAMLKKPEQLVKGRMRNAYVFLVTMYAAIEKVDDIYRVWSMLSTLVKLNDMDGPEKIFEKWESGNTCFDIRIPNVMISVDCKNGLMEKSEAYIERLLEGYKEKWKYMVIASVMIWLIQFKH >OIW07993 pep chromosome:LupAngTanjil_v1.0:LG07:8424713:8426529:-1 gene:TanjilG_20094 transcript:OIW07993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPSKIIFPIILLLVTLSLSKAELDAHYYDKKCPQLEKIISETILSATFQDPKVPARILRMFFHDCFIRGCDASILLDSTPKNQAEKDAPPNLSVQSFYVIDEAKAKLEKACPHTVSCADIIAIAARDAVTMSGGPYWKVLKGRKDGKVSKASDTINLPTPTSNVRQLIQSFAKRGLGVKDLVTLSGGHTLGFSHCSSFEARLHNFSLLNDIDPSLNTEFALDLRKKCPKPNTNGNAGDFLDSTASVFDNDYYRQVLEGKGLFSSDQSLVGDYRTRWIVEAFAKDQSLFFKEFAASMLKLGNVGVSENGEVRFNCRIVNSET >OIW07930 pep chromosome:LupAngTanjil_v1.0:LG07:7682593:7689436:-1 gene:TanjilG_20031 transcript:OIW07930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEHNEATNGISEPELTHVAENPTTFPAINENDDPRDLNDVLKSLPSNVVNDLLSFGICVRCIFRVFGVRGCIYASPDLAVNNLKDNLNSSENSTTAKETKVCRLCLGILQFRFVDEKELVVKKDSVDDMAVLIAEIVKKEGYQVDGFSFEVSVPPILLENDNSFWSYMKSKFGSEPWFQEKLHTERISTKDALKFSLVSPLENLLDCKSNMSSFRIRLTYNHTKGLNGTEKCSDNDERESNLVGEKPFSSSLENESSDWSESLLEMANEPCHFTCFCYREPYYFGGRYLKYSRNVSQTRWIIDDERMGEASVEEIIGGNILQACRGDSFKFHAAGREDIDVRMLGPGRPFLVEVQNARLLPSELFAKDIENLINNVENKLVRVRNLNLVGSHGWNLMREGEAEKQKQYAALVWISRPLKDEDLQHISSLKDLKVLQRTPIRVLHRRSPLEREKIIHWMKVETIAGSSQYFLLHLCTQAGTYIKEFVHGDLGRTQPSIGSILGCRAEILQLDVTDIKMDCFLA >OIW08838 pep chromosome:LupAngTanjil_v1.0:LG07:479284:481126:-1 gene:TanjilG_16419 transcript:OIW08838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLSDNFVDHVDETQFAYPKLDGTLVRATRDNDYSDLFHAIPWSQGTLGLLVAAEIKLIPIKEYMKLTYEPVVGNLKELAQGYIDSFAPRDGDQDNDDKVPDFVETMIYNPTEAVCMTGRYASKEEAKLKGNKINSVGWWFKPWFYQHAQTALKKGKFIEYIPTREYYHRHTRCLYWEGKLILPFADQCWFRFLFGWLMPPKVSLLKATQGEAIRNYYHEMHVIQDMLVPLYKVGDALEWVHREMEVYPIWLCPHKLFKLPVKTMIYPEPGFELQRRQGDTQTAQMYTDIGVYYAPGPVLRGEVFDGAEAVRKLESWMIENHGFQPQYAVSELSEKNFWRMFDAGLYEQSRKKYGAVGTFMSVYYKSKKGRKTEKEVQEAEQAHLETAYAEVDQPVD >OIW08724 pep chromosome:LupAngTanjil_v1.0:LG07:867910:868797:-1 gene:TanjilG_03400 transcript:OIW08724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASDLNTKSNFHARSNSLPSRPHPLILQCNEHLERLKASFETSSSSSMLSHKLGGLQDLHECVEKLVQLPLTHEALLHESQQNCVDELLDGSLRLLDVCTAAKDSLLHTKECMRELQSIMRRRKGGEEGIIVEAKKFLTSRKVVKKAISKALGNLKDIAKKGNFSSNTKDQLALVGLLKDVEVVTLSIFESLLKFICGSTQSKAGNWSLVSKLIHTKRIGCSQVVEESEFSQVDEALHSFVLHMTNKSSSNNIIDLPSHLEKLESRIQDFEEGLEFMFRRMIKIRVTLLNILNN >OIW07922 pep chromosome:LupAngTanjil_v1.0:LG07:7610741:7612280:-1 gene:TanjilG_20023 transcript:OIW07922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKVMLIFASILGLCFFTINTYAFSPSAWINGHATFYGGSDASGTMGGACGYGNLYSAGYGTRTAALSTALFNDGASCGECYKIICDFKTDPRWCIKGRSITITATNFCPPNFDLPSNNGGWCNPPLKHFDMAQPAWEKIGIYRGGIVPVLFQRVPCKKHGGVRFSINGRDYFELVLISNLGGAGSVESASIKGSKTGWMTMSRNWGANWQSNAYLNGQSLSFRVTTTDGETRVFPDVVPANWAFGQTFSSRVQFF >OIW07753 pep chromosome:LupAngTanjil_v1.0:LG07:12530475:12534142:-1 gene:TanjilG_11911 transcript:OIW07753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMATKCAHKVVFDTVRKFDGKEFRQLLPGEYTQMAGRAGRRGLDKIGAVILMCRDELPEEGDLKHVTVGSATSLKPQFRLTYIMILHLLRVEELKAQDHLLAVIVKTPPNNKLYVVFMIKPDMPSPVENASDVLKEIGCIDEDLVVQMKGRVACEMNSGEELICTECLFENQLDELEPEEAVALMSAFVFQQKNTSEPSHTPKLSKAKHR >OIW08406 pep chromosome:LupAngTanjil_v1.0:LG07:3265734:3272892:1 gene:TanjilG_03082 transcript:OIW08406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGLISVDRWAKGSSAYFLTHLHSDHTHGLSSSWSNGPLFCSRLTAKLIPFKFPNFNLSLIRVLEIGSWHALSPSSGFPTTLHVFVVDACHCPGSIMLVFRGEFGCRICTGDFRWEPSCEKARMAKEMLVAALKDDVVDVVYIDNTYSNPIYDFPTRQIAANQIIDIILSHPDYDIIIGINTLGKEDLLLQISRALKIKIWVWPERLQTMHLLGFNDTFTTNTTLTRVRAVPQYSFSVDTLEALNTMRPTIGIMPSALPWVKKSLQKGNLSGSFLTSSFKRGRLSSNSVQIDEQNGAIGPLNKFHEHIYSVPYSDHSNFAEIEDFIRLIHPATLKGIVSSSSCYIEPMYYFGRLCRVNQPIQLLHKPKREENGKSVGVVSTKFSFGGDYVEPERNKGKNFKALTTISANQSLSGDQTLVSKEGNFELGFFKTGSVVAVLLDSGNLILSNRPDANESEALWQSFDHPADTWLPGGKIKLDNKTKKPQYLTAWKNSEDPGTGLFSLELDPNGTQSYLILWNKTEQYWTSGTWNGQIFSLVPEMRLNYIYNFSFHSDENESYFTYSVYNNSIISRFVMDISGQIQQLTWLESTQQWNLFWSQPRKQCEVYAFCGAFGSCNENSQPYCNCLSGYKPRSQSDWDLEDHSGGCVKETKFQCETTNPPNGAKDRFQTMLNMKFPNHSEPVAAGNVEECESACLSNCSCTAYAYDSNGCSIWKRDLLNVQQLNQGDSSGETLFLRLAASEFHDTKSNKGKIIGVVAGVVGGIVVILALILIVMLRRRKRYIGTGTTMEGSLVAFGYRDLQNATKNFSEKLGGGGFGSVFKGMLSDSSVIAVKKLESISQGEKQFRSEVSTIGTVQHVNLIRLRGFCSDGAKRLLVYDYMPNGSMDANLFREKELVLDWKIRYQIALGTARGLNYLHEKCRDCIIHCDVKPENILLDVDFCPKVADFGLAKLVGRDFSRVLTTMRGTRGYLAPEWISGVAITAKADVYSCGMMFFEIVSGRRNSEPSEDGQIRFFPTHAANTVHQGGNILSLLDPRLKGNADIEEVTRVIKVASWCVQDDETHRPTMGQVVQILEGIVNVAMPPIPRSLQVFVDNHEDIVFFTDSSSTQSSQVRSNVSTVSSQAKSNISSPSL >OIW08615 pep chromosome:LupAngTanjil_v1.0:LG07:1568793:1572536:1 gene:TanjilG_03291 transcript:OIW08615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPLRVAASTAVGVFACVISLLLPFPRLACYEVKRSCKLLTRNTLKRVKLLTKAICEEDKNLAVAYISHAHSLATTRTKLLQIITRYQDGMRWENPLMKLFGSYCLCPKERLEEVDTYLRGMELALRKINSFPVSILDENIKHGLNNLEQHVSLTIKQTKHNLHGCSITVPEPSTKTVSNFLQSLHTFPTTHQCLPVYFYLFCSKLLYKTSWAEGPTSVQYQPTQKKENSLQGKEKLANWVRTLISPKLMPAIKCSLSLGLALFSGSLYSRNNGFWSALPVAISFASGREATFRVANVKAQGTVLGTVYGVLGCFVFERFLPIRFMSLLPWFIFTSFLQRSKMYGPAGGISAVIGAILILGRKNFGQPSEFAIVRIIETFIGLTCSIVVDLLFMPKRASSCAKVELCKSLVTLDESIRSFSLLHVGAKTNLEENQKKLKMQVEKIRKFVLEAEAEPNFWLTSFHSVCYNKLLRSLSIMVDILHLGSHALEFLHQEFQISEASREEYDIILDSDLPRLNDHICSSIKSYGEICRMKSLKFLEKELEKKNITYDIEMGGTPKSSICMVSSLGEDEIAKYTSSYLQHSKNVVDNLYGVEGEEELRNQVVLSLSALGFCMSAFIQQTLEIEEAIKELIQWENPSREINLDPTLRPTFAEIMAALKPLQKPITSSQVPRPSAQLSRAAQDSAR >OIW08330 pep chromosome:LupAngTanjil_v1.0:LG07:3809942:3811048:-1 gene:TanjilG_03006 transcript:OIW08330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQHQYFKGKISNQIEQTQDNTLNRTIEHTKHNGGTMGMRNTGGEIVQVEGGHIIRSTNRKDRHSKVYTSKGPRDRRVRLSAHTAIEFYDVQDRLGYDRPSKAVDWLIKKAKPSIDKLAELPPWNPTSVEEHNAGSSDMSHMTNAKQSESCGYNFQLQRQLGETENSDKHSALAFIQPHMDTTDPIALFPTTPATSSFNFQSYPPDIISRANNSSQDLGLSLHSFHNHTGLILGQSQQAAVANQTPSSEEHQSLFVGSAPVGFENHYQRIMDWNNEAFMVNSHQFLGQGNSGSAYSQSGTTLQSNFSPLVRSWNDIPMNSSEHQRSQNASIFGSRFLSDHGLAGFSIQEANHRDDTNNPSPSHPNSHH >OIW07511 pep chromosome:LupAngTanjil_v1.0:LG07:18570751:18573749:-1 gene:TanjilG_14457 transcript:OIW07511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIDNTVLYASSGLLQQEKVDSMEGLNLVENNEAVEECKGKGNGVKNEIFTDLNEEKGCESSSSSELLSFENNGNEEHSHSSTEDSSSPSSIGWPVKKIAASNCNSPYGSEDSEKKHLGFEKKVSVVSEVEMMKERFAKLLLGEDMSGCGNGVPTALAISNAITNLCATLFGQLWRLEPLRAEKKTMWRREMEWFLSVSDHIVELIPTWQTFPDGSKLEVMTCRPRSDLYVNLPALRKLDNMLLEILDSFFDTEFWYVDQGVLAQDGDGPSSFRQALRQDEKWWLPVPRVPPCGLNENSRKQLQHKRDCTSQILKAVMAINSITLAEMDIPESYLESLPKNARTSLGDVIYRYITSDHFSPECLLACLDLTSEHQAIEIANRAEASMYIWRKKTTSNSKPASVTAKSSSRSSWEMVKDLMVDDKSELLSERAESLLISLKQRFPGLPQTALDMSKIQYNKDVGQAILESYSRVLESLAFNMVARIDDVLYVDDLTKHSGQFSSISKVGVITHKTLSVPYSAPAQCSPYKSAFAMPNLSPAHVISPSTKATKSPLMNSTNFHQRGVGVKKALTDFLSIDAKGKAYESSNEKVVSESETLDQVAAFEKYVESNDITEESGSSNIMDHAWQE >OIW08776 pep chromosome:LupAngTanjil_v1.0:LG07:103414:107238:-1 gene:TanjilG_16357 transcript:OIW08776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEILSYASAPCFQLVDSERKSFNSHQSRFPTIGNHGLYCTNTISRCRTVKFYDMDQSSNFLHHHSEEGITDISEIDGANGESGASISSCFWEWKPKLNVHYEKAGCENVDSPHVLFLPGFGVGSFHFEKQLKELGRDTRVWALDFLGQGMSLPFEDPTPHYKDGDISNGNVSSWGFGDEAEPWATKLVYSIDLWQDQVRYFVEDVIGEAVYVVGNSLGGYVALYFAACNPHLVKGVTLLNATPFWGFLPNPVKSPGLAKVFPWAGTFSLPAVVRRLTEFVWKKISDPRSIGEILNQVYANGTTNVDNVFSGIIETASHPEAPYYEISPAGHCPHDEVPEHNGELKGGAKASRAGRPWICACIICGFADRSEACMFESKWKSLSRRAPGKKNENENPSTKHSEEPSVPLLQRRHAALNRVKASLDCTHLEINWHLDPL >OIW07670 pep chromosome:LupAngTanjil_v1.0:LG07:14717601:14719153:-1 gene:TanjilG_07712 transcript:OIW07670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICWHTRLGSLGQMTSIKPSSLGQSLNMWSDFLSQIICINISTICICINDFGLHKNTIRTIFKSYGWTFPIILASWLLASGPKAFLMALALPLVQSALALAFEKLWGQTKGKPKRKYRMRRKSRSMNGNGVVEEPDENQNTRKGKTGYQSWVVENNGSVDQGSQEAPSFGGWDDLERPATRSSRVMNGLSQRMPTEGGRFSRRERKSDKPLLLRLLIAIFPFLGTWTKML >OIW08352 pep chromosome:LupAngTanjil_v1.0:LG07:3675855:3678441:1 gene:TanjilG_03028 transcript:OIW08352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAIGGFWNWNPLLGKSKPSRRRRIKSNSGSSVEASYRFPLKQAVTAASLALTGDTIAQLRDRWSKAKEASKHQSVSDADTATQDILWSQLSDHDWLRALRMTSYGFLLYGPGSYSWYQCLDHFLPKPTVQNVMLKVLLNQIVLGPCVIAVIFAWNNLWLKKLPELPGKYKRDALPTLLYGFRFWIPVSGGASSSSGGFHVNGLNILEFLLVIIYEQVKCMQTLPSSCIVLNSYHQINLLIGLG >OIW08346 pep chromosome:LupAngTanjil_v1.0:LG07:3702237:3702793:-1 gene:TanjilG_03022 transcript:OIW08346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGHVDTEQQQEPLLENAPSPQKPPKTPAQKTMRKAFKGTALLSNLLPTGTVLVFQILSPAFTHQGKCHTITSKTMTMALLTFCSLSCFLLSFTDSFRDERGKVRYGIASLNGLWVMDSSVRISSDEAAKYSELFCSKPFRGSQGSSASIAYWDWLGV >OIW07592 pep chromosome:LupAngTanjil_v1.0:LG07:18098146:18098937:1 gene:TanjilG_08479 transcript:OIW07592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTSKVTQVFVLTFITFFLLILNKVNSSSNHVSFSINKFVSNEKDLVFQGDASVSSTGALLQNNIPKQNSVGRVLYAKPIRIFDSKKHKEASFTTSFTFIVTSPDPNQPADGFAFFLAPPDSQIPPNSVGNGGFLGIFNDQSLNAANQIVAVEFDTFSNFWDPSFHHIGIDVNTIASIQTSAWNWRNGEVANVTISYVASIKTLTATLTYPSDKSSSVVTASVDLKSTLPELVRIGFSGSTGALVETNNILQWSFRSTLKSCN >OIW08474 pep chromosome:LupAngTanjil_v1.0:LG07:2627964:2630773:-1 gene:TanjilG_03150 transcript:OIW08474 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:NIT4A description:hypothetical protein MALVTTPTVNDGPLFAEVNMSSDFNAPTVRATVVQASTIFYDTPATLDKAERLLAEAASYGAQIVVFPEAFIGGYPRGSNFGVSIGNRTAKGKEDFRKYHSAAIDVPGPEVDRLAALAGKYKVYLVMGVIERDGYTLYCTVLFFGAQGRYLGKHRKLMPTALERIIWGFGDGSTIPVFETPIGKIGAAICWENKMPLLRTAMYAKGVEIYCAPTADSREVWQASMTHIALEGGCFVLSANQFCRRRDYPPPPEYVFEGTEENLTPDSVVCAGGSVIISPSGAVLAGPSYEGEALISADLDLGEIARAKFDFDVVGHYSRPEVLSLVVKDHPTNPVTFTSASTKIEDKTK >OIW08119 pep chromosome:LupAngTanjil_v1.0:LG07:5899665:5913270:-1 gene:TanjilG_06662 transcript:OIW08119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEENALELLQRFRRDRRVLLDFILTGSLIKKVVLPPGAVTLDDVDLDQVSVDYVLNCAKRSTTLELLEAIRDYHDQTVFPQMSGTGPVGEFYLVTDPESSGSPPKRAPPSVPILTVPPSAVSIPPPIAELSLIVSNVLRSESFKRNQEKELTVDDIEDFDDNGASVLESFSARRTLNDASDLAVNLPSFSTGITDDDLRETAYEILLACAGAMGGLIVSPKEKKREKKSSFIRMLGRSRIGNVVSQSLSATGLVGLLETMRVQMEIPESTYIRTRQGLLNALVGKVVKRMDTLLIPLELLCCVSRTEFSDKKAFIRWQKRQLKVLEEGLVHHPAIGFGESGRKTNELRILLAKIGESEFLPSSTGELQRSECLRSLREIAIPHAERTARGEICHWADGYHFNVRLYEKLLLSVFDMSDEGKLTEEVGEILELLKSTWRVLGITETIHYTCYAWVLFCQYVITSEQRILLHAIEQLKKIPLMEHRGQQERLYLKSLRSKVEGERDMSFLQSFLTPIQRWTDKQLGDYHLHFSEIKHPFPVSDRDQIELYISLSTKSAISRTLQVVERLDMSHEHPLALLAEELKKLLKKDLATFMPVLSQRHSQAAVVSASLVHKLYGSRLKPFVDGAEHLSEDVISVFPAAESLEQLVMALITSVCQEENAEIMFNKLNLYQIETKSGTLVLRWLNSQLGKILSWVERAIQQEHWDPISLQQRHAGSIVEVYRIVEETADQFFALKVPMGFTELSSLFHGIDNVLQVYANSVVNDLARKEDLIPPVPILTRYRKESGIKAFVKKELFDTRMPDETTPNEITVLATTTLCVQLNTLYYAISHLNKLEDSIWDRWTSKRSQEKPIRKSIEEKSEGFSPKDTFDGSRKAINAAMDHICEYTATKIIFCDLRVPFINNLYRPTVSGYRVDVLMEPLDMELGQLCDIVVEPLRDHIVTCLLQASLDGLLRVILEGGSSRVFLPGDAKLLEEDLELLKEFFISGGDGLPRGVVENQVARVRHVIMLHGYETRELIEDLKSVIGMEMRGGKSRLGADSRTLLRILCHRGDSEASQFLKKQFRIPKSPT >OIW07683 pep chromosome:LupAngTanjil_v1.0:LG07:15101476:15103741:-1 gene:TanjilG_07725 transcript:OIW07683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECIEDDNYSLQLILQIISQKQLFSGLTLGILPLSAKPLNRIPYPISIALVLFGCALVFPLIAFLKGGPSAILAALAKSGFTAAFTLIFVSEIGDKEWGDRSMLATIALGAAQSPWGVASGAIAGHFLATCIAILGGAILANYISEKLVGYLGGGLFLIFAVAKFFGVF >OIW07556 pep chromosome:LupAngTanjil_v1.0:LG07:17611378:17613001:-1 gene:TanjilG_08443 transcript:OIW07556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQGLTRGKGGSMLENDFVDPGFVQEPMTTSRVQPHLIYLEKNLIDRISETMEDLISEILEEIKAPAKPQTDEKQEDTVDLPSPSMVRAKDVGDSTIIKIYHHLLSGKIFRSKPEVVQFVLTEACPPKPGTSRKKPGKGRKRSNKSNKTPKTSRNKRTKVEEVKSQHVVEGVVSNQLNDAATINQDPILGSIASTINTNVSSNHEELKIHDLMSTEEMLNEYSIAYQRGEL >OIW07410 pep chromosome:LupAngTanjil_v1.0:LG07:19307165:19307530:-1 gene:TanjilG_19251 transcript:OIW07410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKPLTELLKEHDLPIGIFPRDATNYELDEEIGKLVVYIPEVCEVGYKDSSVLRFFTTVTCYLEKGRISEIEGMKIKVLVWVKVTTISCEGSKLHFIVGMRRTKNREPFEVSRDGVPIEKF >OIW08305 pep chromosome:LupAngTanjil_v1.0:LG07:4116721:4127614:1 gene:TanjilG_02981 transcript:OIW08305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKRECHPLLKGGRVENKYKHGFSDAEIDSLTSLCEIVLPPLPMNSLKTRKEDHDTSEAVESFWNTSGSQYPIPHEAAEMLAKRALTEAIILVDENGDNPAWKAIGYEVSTNEKLTNISNKRPLEKGVIETMHESDSTLLQSLLKKGLNVTQDPKNNILKVKCDALVIGSGSGGGVAASVLSSAGHKVVVLEKGNYFVPKDYSSLEGPSLNELYETGGVLSSSDSRMLILAGSTVGGGSAVNWSACIKTPNSVLKEWSEEHNLPLFQSLEYLSAMETVCKKIGVTENCTQEGFQNQVLRKGCQNLGLDVDYVLRNSSGNHYCGSCGYGCPTGEKQGTQVTWLVDAVDNGAVLITGCKAERLLFESNKNGNTRKKKCLGVMAKALSSRVTMKLQIEAKVTVSAGGALLTPPLMISSGLKNKNIGRNLHLHPVLMTWGYFPDSISDLKGKNYEGGIITSVHKVMSTSSDSNKKSDTRAIIETPLLGPASFASLCPWESGLDFKNRMLNYPRTAHLITIIRDFASGEVTSEGRIRYKLSKIDKENMKAGLQQGLRILIAAGAVEVGTHRSDGQRIKCTEISENEVEEFIENVNPVEGVLSPGEHWNLYTSAHQMGSCRMGVNEKEGAVDENGESWEAQGLFVCDASVLPNAVGVNPMITIQSTALCISNRIVQYLMSRERGWDFHLRTLSHSSRDSNTANDPASDPSLLHSVKKLHDLCKAENSDDLVARVYPQINKIFQRAVASLSQSRTSNGLLLLVILQFYLDFGEVVLHDADPSLRTFFRSCLSREFADPVVAEATLEFLISNKKKLLTSFPNLLPQFFPLLLKLIAWNGERLEKLFLKAFPGLISPGSFLPLFPSLVDLPISVVALEKVEKSSGPLIGSNIASIQKNTAPKMLLALMDEAYTGSTIEDGGGDSESEESGAIDVADPLFLELLKDENDGIAERPWSSPVMAQLLQSAVNTLYSDRLKAVLSMTPRLLDVYFSISLHDMNDSLICALIPILMSRFATMFPDKIFSYEVRKRLLEFMLSTFQRSPNFIALLKKPIMDRVGEAYDSPDKTELALQLCWAIGEHGGGGGSHKDEARELFESLELLLYENLSSRSRLGMTHDVSLGSDKDTYRSSQSRLLCFVVTAIAKLATHHRELLPRARVSLGKVARSRNSDARVWRRACDFLGLMNDPAICSSILGPSHGTAQKVGSINWNEGATKMVAHIPFYVLGEQEGEGYFSLSQ >OIW08105 pep chromosome:LupAngTanjil_v1.0:LG07:5796418:5798149:-1 gene:TanjilG_06648 transcript:OIW08105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKAVLIGCNYPGTKAELRGCINDVWKMHSSLINRFGFSEDDIVVLIDTDDSYTQPTGKNIRSALQNLIRSSEEGDVLFVHYSGHGTRLPAETGEDDDTGYDECIVPSDMNLITDDDFKEFVDGIPRGVRITIVSDSCHSGGLIEEAKEQIGESTKGEDQDSGSGSGFGLSNFLHRKVEDAFESRGIHVPSALRHHGHGNDEADDRDVELPHERYGYVKNKSLPLSTLIDILKQKTGKDDIDVGKLRPTLFDVFGDDASPKVKKFMKVILDKLQHGDGESGEQGGILGFVGGLAQEFLKQKLDENDEGYAKPALETQMGSKHEAYAGSNKRGLPEGGILLSGCQTDQTSADASPAGNSANAYGAFSNAIQTILEESDGEITNQELVLKAREKLKRAGFTQQPGLYCGDHYVDAPFVA >OIW08527 pep chromosome:LupAngTanjil_v1.0:LG07:2274924:2278153:1 gene:TanjilG_03203 transcript:OIW08527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLFYSVTLSVLFLVLLFHGGKVTSLKTQDESEEWGYVQVRPKAHMFWWLYRSPYRVEDPSKPWPIILWLQGGPGASGVGIGNFEEVGPLDTFLKPRNSTWLKKADLLFVDNPVGTGYSFVEDSNLFVRTDEEAATDLTTLLIEIFNKDERLQKSPLFIVAESYGGKFAVTLGLSAFKAIEDKRLKLILGGVALGDSWISPEDFVFSWGPLLKDLSRLDENGLQKSTSIAQRIKQQLEDGKFVDATNSWNELESAIITNSNNVDFYNFLVDAGSDSATLSAVELGLSREISMNRYSQYLTSMRSRSSPGGDGDLGELLNGPIKKKLKIIPENVTWGGQSNEVFNFLEGDFMKPRINEVDELLAKGVNVTVYNGQVDLICATKGTEAWLRKLKWEGLQTFLGKDRTPLYCGSEKKTKGFFRSYKQLHFYWILGAGHFVPTDQPCVALNMIGAITQSPAA >OIW08729 pep chromosome:LupAngTanjil_v1.0:LG07:825019:826415:1 gene:TanjilG_03405 transcript:OIW08729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSVRTGGKGTVRRKKKAVHKTTTTDDKRLQSTLKRIGVNAIPAIEEVNIFKDDVVIQFLNPKVQASIAANTWVVSGSPQTKKLQDILPSIIHQLGPDNLENLKKLAEQFQKQAPEAGAGATIAPEDNDDDDVPELVPGETFETAAEETKASS >OIW08353 pep chromosome:LupAngTanjil_v1.0:LG07:3672063:3674318:1 gene:TanjilG_03029 transcript:OIW08353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSYATPPYHHHYKSRTKLNKSTESLTISLDLVPSPTTIKSSTIKDLLLLSPSPNRNSNTRLHDDLLDLNSLRRRCKSRGSQVASPRNSRRSRRRGEIEIREEKDVGLVDEVGKQRKKRHSGRSKKERLSLVPFIPSSISSPTEEENGGDLDDGVGQLVTDLIMWKDVSRSTLWFGFGSLSILSSCFTKGLHFSIFSAISQLAFLFLGVSFLSNSLSQRTQVEKRGFAKLKEDDILRFAKLILPALNFAISKTRALFSGEPSMTLKVAPFVILGAEYGHLITIWRLCAVGFFVSFSVPRLYSSYSDQINQRAERFKLRLLDTWSACTHKKIVVASALVTFWNLSTIRTRFFTAFILLVILRCFRQHVVQQVEDGETQVADNEHQQAMVVTDTEEKKPQKALVVAQPVSKYL >OIW07682 pep chromosome:LupAngTanjil_v1.0:LG07:15095396:15100177:1 gene:TanjilG_07724 transcript:OIW07682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNEDSSQQQQQKQWPYSFSISSSTSSSSNITFPTPTTLFNNPKPKPIILYTFLPISLISIIFILSLSSSNSHSPLSGPDPFLFPGHHPIIHDYTNKATPSPPSIAYLISGSNGDSGRILRLLYASYHPLNHYLLHLDPSATMVDRENLALMVQVNPVFSAAKNVYVMGKPDFAYPKGSSPVSMTLHGASILLRLNLKWDWFVSLNADAYPLVTQDDLLHILSFLPKDMNFVNHSSYIGWKELRKLKPIIVDPGLYLSEGTEMFYATQKRELPSAYHLFTGSTFSILSRSFMEFCISGTDNLPRILLMYFANTPSSLSSYFPTVLCNSRQFIRTVINQNLFYAAFDNHHINDPRPLNSTDFDDMIHSGAAFSRKFQPDDPVLDLIDQKLLGRSPQSVVPGGWCLGEPGNSTCLTWGDANILRPGMGSRRLEKAIVGLLSNRTFRSSQCIFE >OIW07826 pep chromosome:LupAngTanjil_v1.0:LG07:10114264:10118327:-1 gene:TanjilG_32682 transcript:OIW07826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLNCIGKVPATVDIDRMPERGSHHRRSHSDTSFRVATNFDDLLLFDPSDFDISTFPLPSPTTSGGGVAGACASVPMTIDSDESSGQSSRPRPVDAPGGGHLRSLSVDSDFFDSLGFAGGGDEKFGGRRVGHHRSNSMDGSPTTSFEECVKKAMAPDKLAELARIDPKRAKRVLANRQSAARSKERKVRYTSELEKRVQTLQTEATNLSAQLTMLQRDTTDLAAHNKELKLKLEALEQEAQLREDLNAALKEELQRLREQNIRLSAISGNTSFVGMFNQLASQLAMQQLTNPPPQQPQPRMPPPPSDQPFNEQRGPNFTDFNKQK >OIW08367 pep chromosome:LupAngTanjil_v1.0:LG07:3554722:3560193:1 gene:TanjilG_03043 transcript:OIW08367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNRHRNAPPRPATTPPREEEPYNIIPVHNLLADHPSLRFPEVRAAAAALHAIGDLRRPPFAQWQPDYDLLDWLALFFGFQRDNVRNQREHLVLHLANAQMRLTPPPDNIDTLDADVLRRFRRKLLKNYSSWCSYLGKKSNIWISDSNRSRDSSDHRRELLYVSLYLLIWGEAANLRFVPECICFIFHNMAMELNRILEGYIDDNTGQPVLPSISGENAFLNMVIKPIYETIRREVDSSRNGTAPHSAWRNYDDINEYFWSRRCFDKLKWPINVGSNFFVTGSGGKHVGKTGFVEQRSFWNLFRSFDRLWVMLILFLQAAIIVAWEEKTYPWQALQDRSVQVRALTIMFTWSGLRFLQSLLDVGMQYRLVSRETMWLGVRMVLKIIVAAGWIVVFGVLYGRIWSQRNQDRRWSPAADSRVVNFLEAVFVFIIPELLALALFIIPWIRNLVENTNWRIFYLLSWWFQSRIFVGRGLREGLVDNIKYTLFWVLVLATKFCFSYFLQVKPMIAPTKAVLDLKGVKYEWHEFFHNSNRFAAGILWLPVVFVYLMDIQIWYSIYSSFVGAVVGLFAHLGEIRNMQQLKLRFQFFASAIQFNLMPEEQLLNARRPFKSKFNDAINRLKLRYGLGRPYKKLESNQVEANKFALIWNEIIMSFREEDIISDKEVELLELPQNSWNVRVIRWPCFLLCNELLLALSQAKELVNETDKKLSNKICKNEYRRCAVVEAYDSVKHLLLEIIKPNSEEHSIVTVLFVEIDHSIEIEKFTRTFKTTALPQLHNKLIKLVELLNKPKKDLNLVINTLQALYEIATRDFFKEERKIDQLREDGLAPRNPASGLLFENAIHLPDTKNENFYRQVRRLHTILTSKDSMQNIPVNLEARRRIAFFSNSLFMNMPHAPQVEKMMAFSVLTPYYSEEVVFSKEQLRTENEDGVSILYYLQTIYDDEWKNFVERMHREGMMKDSDIWTDKLRDLRLWASYRGQTLSRTVRGMMYYYRALKMLAFLDSASEMDIREGSRELVAMRHDSLDGFNSEKSPASQSLSRTSSSVNLLFKGHENGTALMKFTYVVACQIYGTQKAKKDPHADEILSLMKENEALRVAYVDEKTTGRDEKEYYSVLVKYDQQLQREVEIYRVKLPGPLKLGEGKPENQNHAFIFTRGDAVQTIDMNQDNYFEEALKMRNLLEEYRHYYGIRKPTILGVREHIFTGSVSSLAWFMSAQESSFVTLGQRVLANPLKVRMHYGHPDVFDRFWFLTRGGVSKASRVINISEDIFAGFNCTLRGGNVTHHEYIQVGKGRDVGLNQVSMFEAKVASGNGEQVLSRDVYRLGHRLDFFRMLSFFYTTVGFFFNTMMVVLTVYAFLWGRLYLALSGIEAEMQSNSNNNKALGTILNQQFIIQLGIFTALPMIVENSLEHGFLQAIWDFLTMQLQLSSVFYTFSMGTRSHFFGRTILHGGAKYRATGRGFVVEHKSFAENYRLFARSHFVKAIELGLILIIYATHSPVATDTFVYIGMTITSWFLVVSWFMAPFVFNPSGFDWLKTVYDFDDFMSWIWYRGSVFAKAEQSWERWWYEEQDHLKVSGLWGKFFEIILDLRFFFFQYGIVYQLGIAAGSTSIAVYLLSWIFVFVVFGTSVVIAYARNKYAAKDHILYRLVQFLVIILSILVIVVLLEFTSFKFVDIFTSMLAFIPTGWGLLSIAQVFRPFLQSSIIWNGVVSMARLYDVMFGVIVMVPVALLSWLPGFQNMQTRILFNEAFSRGLRIFQIVTGKKSKA >OIW08536 pep chromosome:LupAngTanjil_v1.0:LG07:2199068:2199961:1 gene:TanjilG_03212 transcript:OIW08536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKDQVLGSEEELVIMAKGKRTKRLRLLSPNFGGSGATATCTYTSANNSEDSSSTTTYESNDEEDQDMANCLILLARGGGVGDSHHHQKQQDHHDGDGNNKIEKGSNSNGTLKFAEMATAIYECRTCNRTFPSFQALGGHRASHKKLKLMMSEEKRLSTPQSEPQPHVVSKFDHFEGGGKPHIKTSPSISFKLESQANRSIFNANKAKIHECSICGAEFASGQALGGHMRRHRATTNTATQVLAAAKEEIQHRRNMLELDLNLPAPEEDLRESKYQFPAVQKSMVLSASPALVDCHY >OIW07774 pep chromosome:LupAngTanjil_v1.0:LG07:11342314:11345941:1 gene:TanjilG_03561 transcript:OIW07774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPTSYNSMKEEQVTSPSDNEKGFVNPSNVVELDAGALFVLKSRGHSKNAPTTRSYSLKGPEIDQLFGLFNGISIISTAYACGIIPEIQVYLQPTNELLENAFADPKKGEFSVRNVVPRLLSRSLSVIIATVLAAMLPFFGDILAIFGAFGCIPLDFILPMVFYNLTFKPSKTSLIFWLNTFIASASSVLVGVGAIASVRQIVLDAKTYRLFADV >OIW07559 pep chromosome:LupAngTanjil_v1.0:LG07:17642616:17643689:-1 gene:TanjilG_08446 transcript:OIW07559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGERSVYTLAEVSEHNNSKDCWLLIDGKVYNVTKFLDDHPGGGDVLLSSTGKDATDDFEDVGHSTGARAMLVDFYVGDIDSTTIPERTKNIPQTQPQINQDNSSGFFVKLLQFLIPLIIFGFAVGIRFYNKSI >OIW08643 pep chromosome:LupAngTanjil_v1.0:LG07:1397609:1400009:-1 gene:TanjilG_03319 transcript:OIW08643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQLERPQRSLSASKTNPPHIMEQLIQGEGSKSSPANSSASSISSSSPHSPHFTKLKNHDAEEGQNQKKSVLTKVKEKAKKLRHSLSKKKHDDGNTTSPSWGGFEDDGAEENAEYFGAPMYESEKVNGGYIENTNQHSRESPLIPEGYKENARQQHTREGPLISEKHVLSSHDKAELEHDRENLIAQKLTPSNAEGSSESAHSLASKFQGLGVSKPAEYHTSSSSSTAANLNNTSLSNVVAPKPLLRMHSTVSSSAPRTPEAPMTPEALPSSAPAGSKNTSSTSQLWDKGVSVKEYLMNKLEPGEDEKALSQVISEAMSPRRTPGDVGVMEKVREAVTYLLRTDEPKKHADTTITARASSQSPLQTNNTTRASSQAPGSTNNTTHAPLQIPVTTNHNSHASSQMPVSTNNTSRASSNTPVSANINTARASSQRSVSTNNSAHAPSQYPISTYLRTTRASSQNPTSTTNTTHASSQLPVSTNAQEVAQEENHGRILQAN >OIW08369 pep chromosome:LupAngTanjil_v1.0:LG07:3544832:3545568:1 gene:TanjilG_03045 transcript:OIW08369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLVFTMLLISSISAALAIAEVGKNGNNYAAWVPICGSVPKFCNQVTGALIAGFISVITYMILLLHSLHTVLDPLLLKKS >OIW07962 pep chromosome:LupAngTanjil_v1.0:LG07:7971665:7973996:-1 gene:TanjilG_20063 transcript:OIW07962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEVVFDDIFKVRDINPDGQKYDKVSRIVARSEKCDMYMVLDVNTEIYPISKDDTLFMLLSPSLVLSTKDGPVSIQDKFEYIMHGRLYNIVNDNGSKTELEVEAYASFGGLQLMLRGHASHCLKFAVDQKLFLLIRKVET >OIW08409 pep chromosome:LupAngTanjil_v1.0:LG07:3223343:3227200:1 gene:TanjilG_03085 transcript:OIW08409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METRSSKRRRIAQILENEANSAIDRISDLPDDVLHQILFLVPIKCVAQMSVLSKRWKLLWSTFPDLDFTTLNPFDISSKNLKFSNHEKQKNHSLDSTPMDFITQVLSVRDKHSDIRVLCFRGGLGFSRLNSLFRSAIKHNVRELDIEVTTSVTKDDYFNFPRCVIGSESLRVLKLKSGFRLPPSSIMRDGFQSLHTLSLSLVILYNQPYLSDLFSESSFPLLKNLHLDMCFGLKYLHVGCRGLKDLSLDKCFQLHGLDISCAKLEKMRVTSSFDAYSKKSWVRINAPKLEHLFWQHNAVSDTTVFEQSNFLREAYVGFFAMKGAIGMDKVHSVNSFLSGLSHAGSMVLESQTIEILSNKNFFIPPFRNLKSLELNIGFSKSNVRGLACLFRSSLMLHTLIMKIIKDYKIEKKVLL >OIW08856 pep chromosome:LupAngTanjil_v1.0:LG07:581774:584559:1 gene:TanjilG_16437 transcript:OIW08856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNAQTIFPDYGHSMLLGVFVRCWSLELNLYLEQFEAEEKVEEDHEITHRVFLDIDIDKQRLGRIVIGLYGHVVPKTVENFRALCTGEKGESASGLKLHYKGTPLHRIVSGFVIQGGDIVHHDGKGSGSIYGGTFPDENFKIKHSHAGVVSMANSGPDSNGSQFFITTVKAYWLDGDHVVFGKVVEGMDFVFAIEGGAGTYSGKPRKKVVIADSGEIPKSQWDEEH >OIW08128 pep chromosome:LupAngTanjil_v1.0:LG07:6079168:6079515:1 gene:TanjilG_06671 transcript:OIW08128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPENRNLGYYPGISFSNLAFANLGGWTRMTSTSAGIPSPPMGSGHLPDNNLLQSCYIRQMHFRNDTRKNLGPINYEYVVASTDSPNCFGVQYQGYNDDIQRYSMLFGGPGGDCGE >OIW07534 pep chromosome:LupAngTanjil_v1.0:LG07:18867297:18868911:1 gene:TanjilG_14480 transcript:OIW07534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSGIEVLSQASYIQTSNWLFQESNGSKWTPQENKLFENALALYDKDTPDRWLSVAAMIPGKTVADVIKQYKELEEDVSVIEAGLFPVHGYSTSSFTLEEVELNNHGFDRFEQLYRVGGKRGASTRPFEQERKKGVPWTEEEHRLFLLGLKKYGKGDWRNISRKFVTTRTPTQVASHAQKYFIRQLTGAKDKRRSSIHDITMVNISETEPPSSNGDLPSYTDQSVKVSNRHQNHKLSSMIKHEYNWNLTYEGMPMVLSTTNGNKFDASMIGISSYGCQFAPYENIC >OIW08139 pep chromosome:LupAngTanjil_v1.0:LG07:6210438:6210683:1 gene:TanjilG_06682 transcript:OIW08139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GDVFVFLKGLFHFIVNHGCQDASVVSVFNSQNSVLPSITATSFGNTLESLDKLKRRLNSLVAYEVDDFASLTIPGLESIYN >OIW07881 pep chromosome:LupAngTanjil_v1.0:LG07:7055207:7058214:-1 gene:TanjilG_19982 transcript:OIW07881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLKTSLDPENNYLSSWTMNGNLCDGSYEGVACNEKGLVANISLQGKGLSGKISPSIGALKHLTGLYLHYNSLYGEIPKEVANLTQLTDLYLNVNHLSGEIPPEIGVMENLQVLQLCYNQLTGSIPTQFGGLKKLNVLALQSNGLTGAIPASLGDLGMLMRLDLSSNHLFGSIPIRLADAPSLQVLDVHNNTLSGNVPLALKRLDAFVYEHNSGLCGVGFSSLKACGASTRPEPYGAGVDTRDIPETANVKLPCNGTRSQSYSKSRQATSIKIGIILATFVLSAIGILTFALYRRRKQRLGNAFNISESRLSTDQGKVVYRKNGSPLVSLEYSKGWDPMADSRSFNGDSQDMFQNFRFNLEEVESATQYFSELNLLGKSNFSATYKGVLRDGSVVSVKSINKTSCKSDEAEFLKGLNILTSLRSDNIVKLRGFCCSRGRGECFLIYDFVSNGNLSRYLDVKEGNEEVLEWSTRVSIAKGIAKGIAFLHAHKANKPALVHQNISAEKVLIDQRFNPLISDCGLYKLLTNDIVFSELKASAAKGYLAPEYTTTGRFTEKSDVYAFGVLLFQILTGKKKVTNSMHLAAESLSFEEFIDPNLHGRFFEYEATKLAKLALLCSHESSFERPSAEAIVQELNNCSNAI >OIW08445 pep chromosome:LupAngTanjil_v1.0:LG07:2884167:2889330:-1 gene:TanjilG_03121 transcript:OIW08445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRKAIIPVQGKHRVGEMAGGTAAECAAVCCCCPFAVVHFVVLAVYTVPKGLLKKAVMKRRKRQRLLNDSTTNGNDKNNDVVPLQHMAEIDNMMSVSATKPLEEKEPATEAVALENQMWARFAGTGFWRSESQRQPSFDFGEVEVEDPGMVEKKEIEAHLTSAAAFVEGGVQDSCDDACSICLEGFSITDPFSVTNCKHAFHLQCILEWCQRSSQCPMCWQAISLKDPTSQELLEAVERERNFRFNPSRNTRIFHHPTVGDFELQHLSDGVAITNLEERIIQHLAASAAAMERERHIVRREGQSRRPSAQGQPHFLACSSHHMAPVSSPTQRRDAEPISASGSGYAANHQGSSYSNRRSPSLSSPSTQDRASPSELQLFPESLKSRLNAVSMRYKESISKSTRGWKDRWFSGTTSMSDLGSEVKKEVNAGIATISRMIERLETRDTNRTSDNFAPNNIEDGLVPGSNNQHLTNTEGDNLSRDNTKACCAAGSSSN >OIW08402 pep chromosome:LupAngTanjil_v1.0:LG07:3312030:3313868:-1 gene:TanjilG_03078 transcript:OIW08402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMCAEDLATNVQESVVQCYSLILDIAAEEDCVSVFNAVSSSITPVNVLDHHFPSSESDQINGITVTASTLRQQDNQDHKRRKITGYGNNQTVHISRIKQC >OIW07828 pep chromosome:LupAngTanjil_v1.0:LG07:10138912:10143315:1 gene:TanjilG_32684 transcript:OIW07828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHSADAFRTDLMTITRFVLNEQSKHPESRGDFSILLSHIVLGCKFVCSAVNKAGLAKLIGLAGETNVQGEEQKKLDVLSNEVFVKALISSGRTCILVSEEDEDAIFVEPSKRGKYAVVFDPLDGSSNIDCGVSIGTIFGIYALKDNHEPTIEDVLQPGKNMVAAGYCMYGSSCTLVLSTGSGVNGFTLDPSLGEFILTHPDIKIPKKGNIYSANEGNAKNWDSPTATYVEKCKFPKDGSSPKSLRYIGSMVADVHRTLLYGGIFLYPADKKSPNGKLRVLYEVFPMSFLMEQAGGQSFTGKERALDLIPTKLHERSPIFLGSYDDVEEIKTLYAAEAKQE >OIW08350 pep chromosome:LupAngTanjil_v1.0:LG07:3683510:3683891:-1 gene:TanjilG_03026 transcript:OIW08350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSARSIFRSAFRVASEAKRARFPFRMASNKPLSRSQFTFRLPVELSFCVESMMPYHTATASALMNSMLSVSQRRCYAWLPDGIVSSLLLTFLKKSSIP >OIW08178 pep chromosome:LupAngTanjil_v1.0:LG07:5395713:5399162:-1 gene:TanjilG_24373 transcript:OIW08178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNKLGRRRQVVDEKYTRPQGLYNHKDVDHKKLRKLICESKLAPCYPGDDDCTYDLEECPICFLYYPSLNRSRCCMKSICTECFLKMKVPNSTRPSQCPFCKTPNYAVEYRGVKSKEEKGLEQIEEQRVIEAKIRMRQQQLLDEEERMRKRQEISSSDGNVTFTDVEYSSNAVAASSVSVVEGEEIVSSQDLSATSMVRPPPATRTNRDDDFDIDLEDIMVMEAIWLSIQETGKQSYLSNADSASRQYVVDDPSVISALGQLTGSSSSPSAGLACAVAALAERQQTAGESTMNSVIGNSFNTRQGSNSFYNRLGGDLGSYPSTDNINEVLPGDTASLTRVNSEWDMDNGPEVAEASVTHYASSVATEDGDRLSLPQPDDIGGSLQSATIPILPESFEEQMMLAMAVSLAEARVMSNGQSASWQ >OIW08562 pep chromosome:LupAngTanjil_v1.0:LG07:1950835:1957244:1 gene:TanjilG_03238 transcript:OIW08562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAVAHHRENSSNNNNSGSIDKHLDSISGKYVRYTSEQVEALERVYAECPKPSSLRRQQLIRDCPILSNIDPKQIKVWFQNRRCREKQRKEASRLQTVNRKLSAMNKLLMEENDRLQKQVSQLVCENGFMRQQLHTTPAATADASSDSVVTTTQHSMRDANNPAGLLSIAQETLTKFLSKATGTAVDWVQMPGMKPGPDSVGIFAISQSCGGVAARACGLVSLEPMKIVEILKDRLSWFRECRSLEVFTSFPAGNGGTIELVYTQTYAPTTLAPSRDFWTLRYTTTLENGSLVVCERSLSGTGTGPNPAAAAQFVRAEMLPSGYLIRPCEGAGSIIHIVDHLNLEAQSAPEVLRPLYESSKVIAQKMTIAALRYIRQIAQETSGEVVYGLGRQPAVLRTFSQRLSRGFNDAVNGFNDDGWTIVNCDGAEDVIIAVNSAKNLNGISNSASSSAFLGGVLCAKASMLLQNVSPAVLIRFLREHRSEWADFNVDAYAAASLKADTYAYPGMRPTRFTGNQIIMPLGHTIEHEEMLEVIRLEGHSLAEEDTFVSRDIHLLQICSGVDENAIGACSELIFAPIDEMFLDDAPLVASGFRIIPLASKQGDKKVETLDLTSGLEVVPMTVHGADASSQNTRSVLTIAFQFPFDRSLQDNVAIMARQYVRSVISSVQRVAMAISPSGISPGVGAKLSHGSPEALTLAQSDSLVGDLMPKHLWHHQDAILCCSVKQLLPLFIFANQAGLDMLETSLVALQDITLDKIFDESGRKTLYAGFAKLMEQGFAYLPAGICMSTMGRHVSYEQAIAWKVLNAEDNTVHCLAFSFINWSFV >OIW07590 pep chromosome:LupAngTanjil_v1.0:LG07:18059597:18062485:1 gene:TanjilG_08477 transcript:OIW07590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLPNLPKFAYFLTGTKGDVSRVKRVLQSVYHPRNYYLVHLDLEASDEERLELAKYVKSESIVREFRNVMVVGKADLVTYKGPTMIACTLHGVALLLKKVQDWDWFINLSASDYPLMSQDDLLHIFSFIPRDLNFIEHTSNIGWKEYQRAKPIIIDPGLYHSKKSGVYWAKEKRSVPSSFKLFTGSAWVVLTKPFLEFCVWGWDNLPRTLLMYYTNFLSSPEGYFHTVICNQKDYQNTTINHDLHYIKWDNPPKQHPLFLNLEHFDDMVQSGAPFARKFTQDDPVLDKIDKQLLRRSAGRFTPGGWCAGNNLLGKDPCSVHGNPNAVKPTLSSKRLEKLMVKLLDSENFRSKQCK >OIW08473 pep chromosome:LupAngTanjil_v1.0:LG07:2634180:2635124:1 gene:TanjilG_03149 transcript:OIW08473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEPNSKPVLIREVWANNLESEFSLIRQLIGQYPFVSMDTEFPGVIFSQHVLTKTYQHQNLHPLDEYRLLKANVDELNLIQVGLTLSDARGKLPDLGTNNCFIWQFNFCDFDVVRDKHAPDSIALLRRQGIDFERNVVDGVDSARFAAMMLSSGLLYNKAVTWVTFHSAHDFGYLVKILTQRKLPTRLDEFLWTVRRIFGNKVYDIKHMIRYCDSLYGGLNRVAETLKVYRVVGKCHQAGSDSLLTWYVFQKIRDTYFVKGGHKKCSRVLFGLELYAFHQKVVNRGGKNRFYPQMNFNYNILNPQIITLRKALF >OIW07514 pep chromosome:LupAngTanjil_v1.0:LG07:18597251:18603039:1 gene:TanjilG_14460 transcript:OIW07514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKQGEVKLLGIRGSPFVCRVVIALKLKGVEYEFIEENLGNKSDLLLEYNPIYKKVPVLFNYDKPVSESLAIVKYIDDCSKGDPMLPTKPYQRGLAHFWSNFIDEKIVPAVRKAVFTLDEVEREKGIEESLEALQFLENELKSKFFGGDEIGFLDIAATIIAYWVPIFQEVTGLQIFTSDKFPKLYNWSQELINHPIVKESLPPREPLLAFFKGVIEGLSASK >OIW08421 pep chromosome:LupAngTanjil_v1.0:LG07:3095386:3097304:1 gene:TanjilG_03097 transcript:OIW08421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKNYSLVLILGLLALIGPTHAQLKLGFYARSCPKAEKIILKYIHDHIPTTPSLAAALLRLHFHDCFIRGCDASVLVNSTKTNAAEKSAVPNFTLRGFEFIDILKSLVEAECPGVVSCADIVALTARDSVQAIGGPFWNVPTGRRDGVISNATEALFGLPAPFHNLTTLLTTFANVGLDTNDLVVLSGGHTIGLSHCSTISTRLFNFTGNGGQDPALDRVYATNLKSHRCKSINDNTTLIEMDPGSRNNFDVGYYKQLVKRRGLFTSDDSLLDSSVTRSIINQQLQSPQKFFIEFGKSMEKMGRINVLTGRQGQIRKHCAIINS >OIW07487 pep chromosome:LupAngTanjil_v1.0:LG07:18298489:18310373:-1 gene:TanjilG_14433 transcript:OIW07487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRGEMKLDEDLGPDREDDFQTDDEENQAHRVFEHLGDDSESENNNSPPSRNLSDDFPINPSWPQSYRQSMDLLTSVTPPGVTFLRRNASKGRSDSFFKRPETWQDDDSSLTKPLVSETISFKEGEPTSKTHAQSFVSSCSRFSTLELPPPKQGCSFAQSVINGEYHHSAIPLNNYPGLKTFPDIGQAAFGIAGRLCIAITLYMELYASCVEYITLMSDNLSSLFPNASIRFGVADIGTHQTFAITAALLILPTVWLKNLSLLSYVSVGGIFSTILVTLCLFWVGMIDQVGYKPGAKVLDLANLPVSIGLYGFGFAGHAVFPNIYSSMKEPAKFHLVLYASFAFCIFMYMGVAIIGYSTFGDAIESQFTLNMPKELYASKIATWTTVVTPLAKYALTILPIALSIEELAPTPALRCHATSVVVRTILVISSLVVALCVPYFGSLMALIGSFMSMLVIKLKNVTRLCQTKSVVTVNGQFPGPRVIAREGDRLVIKVVNHVQYNVSIHWHGIRQLKSGWADGPAYITQCPIQTGQSYVYNFTVIGQRGTLWWHAHISWLRATLYGPIVILPKRHVPYPFPQPHKEVPITLGEWWKADTEAVINQATQTGLAPNTSDAHTINGLPGLLYNCSAKDTFKLKVKPGKTYLLRLINAALNDEIFFSIANHTLTVVEADAVYVKPFRTNIVLITPGQTTNVLLKTKSKAPNATFVIAARPFATGPATFDNTTTTGLLEYKTTSSPTNTKLSNKLPLLRPVLPKFNDTAFAANFNNRIRSLANAKFPAKVPKTVDKHFFFTVGLGLSTCSKNQSCQGPNNTRVAAAINNVSFVMPNIALLQSHFFNKSKGVYTTDFPTNPPFKFNYTGTAPSNIIVTSGTKLLVLPYKTSVEVVLQDTSIIGAESHPLHLHGFNFFIVGQGVGNFDPKKDPSKFNLVDPAERNTASVPSGGWVAIRFIADNPGVWFMHCHLEVHTSWGLKMAWIVQDGKQHNQKLPPPPFDLPKC >OIW07418 pep chromosome:LupAngTanjil_v1.0:LG07:19531676:19536463:-1 gene:TanjilG_19259 transcript:OIW07418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTGRKTQTSHSSGTQPNSVGSSVCGRNLRKNQLGGVIFGTNNTTIKECLSKQLFGLPVQHFSYVKNIDPGLPLFLFNYSDRKLHGIFEAASNGKMFIDPYGWTEGGSARTQYPAQVQIHVRLECQPLSEDKFRGIIQDNYYTQKHFWFELDHAQTNKLIALLTSLAIVPGNSGPRNIPKWTTISRYLPLHETPRQGESSKTVESEIQQSAHSSMRSDSTENVSSLDEDIQTLDTRVALKEVKQDDKNLIFTKLKELALRLETQKPSLPDNVTDIPDSNNVRDVEKGNLEAQAGLEKKEENPSTSSECQYNISQLVQEVKELVAFKNIQTEKNNYLEQKLMEAGMEIQYLKDRYKRLESSSNIPVSHVETTFIKSSAELHLDPKDSLFLIGGFNGESWFKTMDMYSTSQQAIKSLKPMSSVRSYTSAVQLNGEIYVFGGGNGHVWYETVELNGALYATGGYDGNDYLKLKNIRKVRDGRKLSLQKLSKDVSYRLFPASDTWLQQQSSL >OIW08492 pep chromosome:LupAngTanjil_v1.0:LG07:2526562:2527374:1 gene:TanjilG_03168 transcript:OIW08492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTCRSLQHIFENPLPENPTLLESLSWNKIKPLKPIDHSSSFTEIFGELHFKESPETSPPSSCSCPLLTKISDTNQTPFSAYVPSTPITNHTNIVSHRKSSDSFTSLNSESLHLCTEGLGSESSDGVEDLKGGINEWWQTQKEKEGGDVNNNKHSYGECRTRSKVNGEYPPPISCIGRSGKPWVSFRSYRNNGRFILRGIRIPSQELLHAHREDGRLMLHFVEPDEEFQEEEEYDDDVEHESVGEDGEEIKGKENENCVKEFNEEKEIDI >OIW08347 pep chromosome:LupAngTanjil_v1.0:LG07:3692227:3701134:-1 gene:TanjilG_03023 transcript:OIW08347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPDPNRTAGAGASSSGTTKDDAGKKKKAESDDLSDEDLALKQQLELYVERVQDSDPGLQKVALESMRNLAGEIAQEYAKRQTDESPIDELMELVQQIVAFHMKHNAEPEAVDLLMEVEDLDMLVEHVDKTNFRRTCIYLTSSARYLPGPDDMLVLDIAYLIYLKFEEYPNALQIALFLDNMQYVRQVFTSCDDLQRKKQFCYMLGRHKITFELDEEMVADDEDRELLQEIINNSKLSEGYLTLARDIEVMEPKSPEDIYKAHLLDGRASAGASVDSARQNLAATFVNAFVNAGFGQDKLMTVPSDSSNSGSSGNWLFKNKEHGKTSAAASLGMILLWDVDSGLAQIDKYFHSNDNHVIAGALLGVGIVNCGIKNDCDPAMALLGDYTEKEDSSIRIGAIMGLGIAYAGSQNEQLRDKLAPILNDAKASLNVIAFTAISLGLIYVGSCNEEVAQAIIFALMDRSESELGEPLTRLLPLGLGLLYLGKQESVEATAEVSKTFNEKIRKYCDMTLLSCAYAGTGNVLKVQNLLGHCSQHLEKGETHQGPAVLGIAMVAMAEELGVEMAIRSLEHLLQYGEQNIRRAVPLALGLLCISNPKVNVMDTLSRLSHDTDSEVAMAAVISLGLIGAGTNNARIAGMLRNLSSYYYKDTSLLFCVRIAQGLVHLGKGLLTLNPYHSDRLLLSPTALAGLITVLHACLDMKAIILGKYHYVLYFIVLAMQPRMLLTVDENLKPLSVPVRVGQAVDVVGQAGRPKTITGFQTHSTPVLLAAGDRAELATEKYIPISPILEGFVILKENPDYREE >OIW08205 pep chromosome:LupAngTanjil_v1.0:LG07:4993721:4997878:1 gene:TanjilG_15166 transcript:OIW08205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVERPFEAWEEVQRHGQDLADRLAEGFNGLVQSPFSWPNSSKSKLFDHSFGTIDFGMITEDYAIIGVSAIFDIGSRIGQVGADFGACLNGMVQQFYRLLPVPYKQEEDDVMGSVLIGGDMGSERIGIGIVAMRDDLRSLSARLKNHGFSEDDNVVAAGTVDEEGGGFNFGSNGILGTRQETINLTTTYNSRTQKVEGSLSARGDLWRVEASHGSSTSGNENSSLFLAQLGPLLLVRDSSLLLPVHLSKQHLLWYGYDRKNGMHSLCPAVWSKDRRWLLMSMLCINPLACSFVDLQFPNGQLTYVSGEGLTTSAFLPVCGGLLQAQGQYPGEMRYSFSCKNNWGTRMTPMIQWPDKSFSLSLDQHLAWQRSGLIVRPTVQFSVCPTFGGSNPGLRAEFIHSVKEKLNLTCGCALTTYPSAFASISIGRSKWNGNVGNSGLVLRVDTPLSNVGCPSFSVQINSGIEF >OIW08129 pep chromosome:LupAngTanjil_v1.0:LG07:6084794:6085884:-1 gene:TanjilG_06672 transcript:OIW08129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFIPQGVDDIVTKSLAFKVKVTRTVERGIVVAGVLKEDDSFECCICYEGNAAP >OIW07905 pep chromosome:LupAngTanjil_v1.0:LG07:7250889:7254781:-1 gene:TanjilG_20006 transcript:OIW07905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPQTKPLLPWKTRLAVYFLSTIFSASRRSDGTVNRRLFDFFDSKLPPNPKPVNGVSSSDVVVDSTRNLWFRVFVPSSSDADAKLPIVVFFHGGGFSFLSPASTAYDGVCRSFCSSFPAVVVSVNYRLTPEHRYPSQYDDGFDVLKFLDENDAVLPKIADVSKCFLAGDSAGGNLAHHVAIRVCQQKLQVVNVIGLISIQPFFGGEERTASEIRIKEAPIVTAEIADWHWKVFLPEGSNRDHEVANVSGPNGVDISGLDYPNTLVFTGGFDPLQDWQKRYYEWLRKCGKEASLIEYPTMIHGFYIFPELPEAAQLISQVKDFITRQVSNAK >OIW07554 pep chromosome:LupAngTanjil_v1.0:LG07:17595253:17596836:-1 gene:TanjilG_08441 transcript:OIW07554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQDLIRREGDSTAEKDFASPSFVQESMTTSRVQYHRVYLDKTLLDRISETMKDQISEIIEQIKAPAKLENDGKQGDAVDQPSPSMIRAKDVGDLTVNKVCLISKDGAKTRASDNNPTLSVIERLKDWKIEQKQRKLGLPKYYIYHHSLSGKIFRSKLEVVQFVLTETCPPKPGTSRKKSRKPTKRSNKSSKTSTSRNERTKVEEVISQNVDEGIVSNNLNDVATENQDPILGSIASTINSNVSSNHEELKIHDLMSTEEMLNEYSIAYQRGEL >OIW08505 pep chromosome:LupAngTanjil_v1.0:LG07:2447705:2448709:1 gene:TanjilG_03181 transcript:OIW08505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPDPLSQRNIVLAPVPQALPVPPLDEYKFVVPTKRIRSVDDIRRFHDFDSGKHFLGFIVSLSESVRGRKISDPCHVSEHVTAIVQILETLTMWINEIPPVQQAVRYGNVAYRTWHAKMVENAESFMMRVLQDDVLKSTVEIVPYFIDSFGNPSRIDYGTGHETNFAAWLYCLVRLEEIVEQDYTALVARVFVKYLDLMRKLQLVYCLEPAGSHGAWGLDDYQFLPYVFGSSQLIDHKYMKPKSIHNRDILDNFSKDYMYLACIAFIRKVKKSAFAEHSPMLDDISGVPNWGKVNTGLLKMYKAEVLEKVPIMQHFLFGSIIEWYVEFPFPALM >OIW08466 pep chromosome:LupAngTanjil_v1.0:LG07:2672312:2674917:-1 gene:TanjilG_03142 transcript:OIW08466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRLLCMQFLLVVHEMTPTETNQNSSEGASAATKGWWQLMRDLPSEFNAKLIDVMLNLKKLGEEDPRRVIHSFKVGLAITLVSTFYYLDPLYHSFGSSAMWAVFTVIVVSEFSVGKQIAVFGDECFRTSECGESNKSFLQGYTSVLNSKQAEENLAQPEIKSKVQDRCIKMSTETGKALKELAVAIPTVTVASLLVEMVSCTDELAESIHELSSLAKFKNKDCKVAPQHPTGPKQKEAQQPFDCDNNASHHVIEINRAATNLSQNENYS >OIW07947 pep chromosome:LupAngTanjil_v1.0:LG07:7829423:7832976:-1 gene:TanjilG_20048 transcript:OIW07947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESCNCVEPQLPADELLMKYQYISDFFIAVAYFSIPLELIYFVKKSAVFPYRWVLVQFGAFIVLCGATHLISLWTFTMHSRTVAIVMTTAKILTAVVSCATALMLVHIIPDLLSVKTRELFLKNKAAELDREMGLIRTQEETGRHVRMLTHEIRSTLDRHTILKTTLVELGRTLALEECALWMPTHTGLELQLSYTLRQQNPVGHTVPIHHPVINKVFSSNCALPISPNCPVARLRPHGGKFMPGEVVAVRVPLLHLSNFQIYDWPEVSTRNYALMVLMLPSDSARQWHVHELELVEVVADQVAVALSHAAILEESMRARDMLMEQNVALDLARREAETAIRTRNDFLAVMNHEMRTPMHATIALSSLLQETELTAEQRLMVETILKSSNLLATLINDVLDLSRLEDGSLQLEEATFNLHSVFREALNLIKPVASVKKLSLTLQIAADLPAYAIGDEKRLMQILLNVVGNAVKFSKEGSISVTTFVAKPESFKDARIPDFHPVPSDGHFYLQVQVKDSGAGINPQDIPKLFTKFAQSQTLATRNPVGSGLGLAICKRFVNLMDGEIWIESEGTGKGCTVTFMVKLGIPDQSNESKLPFAPKNMGSHVSTNFPGLKVLVMDDNGISRSVTKGLLMHLGCAVTTVSSSEECLRVVSLEHKVVFMDVCTGLDGYELAARIHEKFTNRLDRPLIVALTGNTNKVTKDKCMRVGMNGLILKPVSVEKMRGVLSKLLERQVLFETV >OIW07971 pep chromosome:LupAngTanjil_v1.0:LG07:8051070:8051780:-1 gene:TanjilG_20072 transcript:OIW07971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATISRRLRLTSTLLKSSSSFIFPPTFSRSKTPSSNPFPKPTPHTYSRSFFPNSSLSKPIFFSTNDSPPPPPSNSKPQDPNPYPSQNPNFKHQEIEGPTVERDLSPLANETREVLEGMMKNMYNLSKIVALLGLVQLGLGTWITYVTRSSPITEVSVQSLLAFAFPFSVAFMLRRSLKPMYFFKKMEEQGRLQILTLTLQVAKQLNVFFVRVRGVSFASIAAISFGLLYAVFSRL >OIW08249 pep chromosome:LupAngTanjil_v1.0:LG07:4640405:4641345:-1 gene:TanjilG_15210 transcript:OIW08249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELQGSLCFVLVFFTLVSISQARIFYVGGSAGWVPNPAENYNQWAGRNRFQINDILVFKYNNGSDSVLEVTKVDYDGCNKSNPIKKFDDGETEFKFDKTGPFYFISGEDGNCEKGEKLIVVVLAIRGGGKQTQTPPPSSNTPATSPTPVTTNPTSSPVPSSEPPADFPAVSHGPPSVSPATSPGLSGGPVAPGPAGSGFGPTTPPSSSSTERPHGSPPSSSESAKTPASSNKAAPPPPANGVASGTPSSFLVYAFTVVVGAALLR >OIW08237 pep chromosome:LupAngTanjil_v1.0:LG07:4726213:4727995:1 gene:TanjilG_15198 transcript:OIW08237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHSHYYHHHHLLLLTQHHYSPLYHSSPSILHFIHKPTTTLHTVSAIPSATLPLLTHLAQVTNETEEGPIKFLPFFTSIIDTIDDPAILQVASTVILTAIITAFFRSRWRRVKRAQELKIRLSGAKKSFDSSRGMGSSSIKAKSKKRRRSPDQTLLGAAIAGVIAVLLFKFTISVDAGFNRQGLADNFSVRQATLTIRTIIIDLCFFATFVYGICSIGLLLYSGELALNIFLGRPSIKGTQSKITEQSGLSKSSETLTSNNELASTKEDQSSKNSQ >OIW08124 pep chromosome:LupAngTanjil_v1.0:LG07:5980784:5981521:1 gene:TanjilG_06667 transcript:OIW08124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPPPPPVIVGESPAAVVATTKERYSPTPRVPISLQPANSKSKHDSRSTKFFRKFRSVFRTFPIIVPSCKMPTVANEAFVHGGTRISGTLFGHKRARVNLAFQESPKCLPFLLLELAIPTRKLLRDMGVGLSRIALECDKHSNNEKIKIVDEPIWTLFCNGKKTGYGVKREPTNDDLNVMQLLHAVSMAVGVLPDDMSDPHDGELSYMRAHFERVVGSKDSETYYMIMPDRNHGPELSVFFVRV >OIW08094 pep chromosome:LupAngTanjil_v1.0:LG07:6753672:6756959:1 gene:TanjilG_21074 transcript:OIW08094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILILIQPSTSSSSPSSSYLRHHRHPILFLILPSSSSSSSYSSSSSPSSYPHPHPILLLILILILQFLILSSFSSYPHPLPHPILLLILSSSSSYPPPHPILLLILSSSSSYPPPHPILLLILSSSSSYPPPHPILLLILSSSSSYPPPHPILLLILSSSSSYPPPHPILLLILSSSSSYPPPHPILLLILSSSSSYPPPHPILLLILSSSSSYPPPHPILLLILSSSSSYPPPHPILLLILSSSSSYPPPHPILLLILSSSSSYPPPHPILLLILSSSSSYPPPHPILLLILSSSSSYPPPHPILLLILSSSSSYPPPHPILLLILSSSSSYPPPHPILLLILSSSSSYPPPHPILLLILSSSSSYPPPHPILLLILSSSSSYPPPHPILLLILSSSSSYPPPHPILLLILSSSSSYPPPHPILLLILSSSSSYPPPHPILLLILSSSSSYPPPHPILLLILSSSSSYPPPHPILLLILSSSSSYPPPHPILLLILSSSSSYPPPHPILLLILSSSSSYPPPHPILLLILSSSSSYPPPHPILLLILSSSSSYPPPHPILLLILSSSSSYPPPHPILLLILSSSSSYPPPHPILLLILSSSSSYPPPHPILLLILSSSSSYPPPHPILLLILSSSSSYPPPHPILLLILSSSSSYPPPHPILLLILSSSSSYPPPHPILLLILSSSSSYPPPHPILLLILSSSSSYPPPHPILLLILSSSSSYPPPHPILLLILSSSSSYPPPHPILLLILSSSSSYPPPHPILLLILSSSSSYPPPHPILLLILSSSSSYPPPHPILLLILSSSSSYPPPHPILLLILSSSSSYPPPHPILLLILSSSSSYPPPHPILLLILSSSSSYPPPHPILLLILSSSSSYPPPHPILLLILSSSSSYPPPHPILLLILSSSSSYPPPHPILLLILSSSSSYPPPHPILLLILSSSSSYPPPHPILLLILSSSSSYPPPHPILLLILSSSSSYPPPHPILLLILSSSSSYPPPHPILLLILSSSSSYPPPHPILLLILSSSSSYPPPHPILLLILSSSSSYPPPHPILLLILSSSSSYPPPHPILLLILSSSSSYPPPPLHPIPILILY >OIW07980 pep chromosome:LupAngTanjil_v1.0:LG07:8171797:8173835:1 gene:TanjilG_20081 transcript:OIW07980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKPDLMGKDSLKSKLRKGLWSPEEDEKLIRYMLTNGHGCWSDIARNAGLLRCGKSCRLRWINYLRPDLKRGAFSQQEEDLIIHLHSLLGNRWSQIAARLSGRTDNEIKNFWNSTLKKRLKMNTSTTSPNNISEPNGILGGIMPINEHDLMTLCMDSSTSTSSSSMQSMVFAEQFDPFSMLINNNNYDMTNVSAGFHDIAPPCMNQVGMVEGHHGNYGILELNNKMELLERDFSLPPLEGRRSIEDNSALIDVKSHNNHFNNSCFNNTNQIQCTKVEELFGFGNHGQGVENLKMGEWDFEGLMQDMSSFPFLDFQLDQ >OIW08198 pep chromosome:LupAngTanjil_v1.0:LG07:5259382:5263768:-1 gene:TanjilG_26487 transcript:OIW08198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSYTPKNILITGAAGFIASHVANRLVRSYPDYKIVVLDKLDYCSNLKNLIPSKSSPNFKFVKGDIGSADLVNYLLITESIDTIMHFAAQTHVDNSFGNSFEFTKNNIYGTHVLLEACKVTGQIRRFIHVSTDEVYGETDEDAVVGNHEASQLLPTNPYSATKAGAEMLVMAYGRSYGLPVITTRGNNVYGPNQFPEKLIPKFILLAMQGKNLPIHGDGSNVRSYLYCEDVAEAFEVILHKGEVGHVYNIGTKKERRVVDVAKDICTLFKTDPETSIKFVENRPFNDQRYFLDDQKLKILGWSEKTTWEEGLKKTMDWYAQNPDWWGDVTGALLPHPRMLMMPSGLERHFEGSDDEKPASVVSSSTRMVAPSTKNTGAQQKSPFKFLLYGRTGWIGGLLGKLCEKQGIPYEYGKGRLEDRPSLIADLRNVKPTHVFNAAGVTGRPNVDWCESHKTETIRTNVAGTLTLADVCRERGILVLNYATGCIFEYDAAHPEGSGIGYKEEDKPNFIGSFYSKTKAMVEELLREYDNVCTLRVRMPISSDLKNPRNFITKISRYNKVVNIPNSMTILDELLPISIEMAKRNLKGIWNFTNPGVVSHNEILEMYRDYIDPNFKWTNFTLEEQAKVIVAPRSNNELDASKLKKEFPELLSIKESLIKIINDSTSKDHDQHGLSMKHEEVGLAGIIAMCHEPDKARNMPDQDRVHQLALSRSGSMHQEHMRQPRSEWMHQVHMCQSRSLHQMRMGNEHQLALSQSGQASVHQIALSRSGQALGHQLALAHQLALAHQLLSQSGKSQSGKGMVHQNNHGNTHSNQIHHHNESX >OIW07853 pep chromosome:LupAngTanjil_v1.0:LG07:6791350:6794912:1 gene:TanjilG_19954 transcript:OIW07853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAACCIAAKDKTVQGGSTREILHRNVRCSPTWSFRWDHPGRVAGEDASITWFSDGISRNDGSENKNESSYVSEDGSPLQSDQRNRCQKYPISEGSVGHVLNSTSVRPTPAGLPLLGDDTEFHSLVVEECSVFETLIPCANQSISTNVSMDVNVEQVKGLEESSTVSCSSTKPSSSLPSTSLSASPLPSQSQLAPSSSTPSRWSYHSPGDQLLRQVSDSRVKSPKCFYVAAERPVLPSWSNESDMRSCGGSSDGWSVPGLSELMGTSHKERWSFDSESFGFNRERLLRSSSRFSTSPVDLQTCGVCSKLLTEKPSWSSQKIIVSSNDLAVVSVLICGHVYHAECLESMTPEIDKYDPACPVCTFGEKQTLKLFQKALKAEMELKARNKKSKNQIVDSEIGDDFVFDHFKDGRYQGKGPRMGSSSSGRSSFGKPFLRRHFSFGSKSSKSMLDSHPTKKKGFFWAKSSKQ >OIW07544 pep chromosome:LupAngTanjil_v1.0:LG07:17506892:17508991:-1 gene:TanjilG_08431 transcript:OIW07544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEIQGYVVLFLIWFISTLLIRSFFTKSSKTLNLPPGPPISLPILGHIPYLRSLLHQALYKLSIRYGPLIHVMLGSTHVVVASSAEMAKQILKTYEESFCNRPIMIASENLTYGAADYFFIPYGTYWRFLKKLCLTELLSGKTLEHFVNIREDEIKCFLKNILEISEAGKSVEMRQELIRHTNNIISRMTMGKKSLGTNDEVGKLRKVIREIGELLGSFNLGDIFNFMKPLDLQGLGRKNMRTHHLMDSMMEKVLKEHEVVRSKEGVDSDRKKDLFDILLNLIEAEGDNKLTRESAKAFALDMFIAGTNGPASVLEWSLAELIRNPQVFKKAREEIDNVVGKDRVVKESDIPNLPYLQAIVKETLRLHPPTPIFAREAIRACQVNGYDIPPNSKIFINAWAIGRDPNYWDNALEYNPERFLANDESGKSKIDVRGQYYQLLPFGSGRRSCPGASLALLVIQATLASLVQCFDWVVNDGKNNEIDMSEEGRVTVFLAKPLKCKPVPHFVPFSN >OIW07438 pep chromosome:LupAngTanjil_v1.0:LG07:19708152:19709963:1 gene:TanjilG_19279 transcript:OIW07438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSHTNEVDAPTGTDAGNDVVKLSSRDQRLAFPGEPKCIICGRYGEYICDETDDDVCSLDCKQSLLRTIAKSAASTPKMVPAADECYYVRPNNDDDYELRTRSLCKDQAELLRKKLDIYVKGDDEYDMPAPILSFTSCDIHDKLLHNIEEAGYDMPTPVQMQAIPAALTSKNNLLVLADTGSGKSASFLIPIVSRCVTHRLAFASDDKKKPLALVLTPTRELCIQVEEHAKLLGKGLPFKTALVVGGYAMAGQLYRIQQGVELIVGTPGRLIDLLTKHEIELDDVMTFVVDEVDCMLQRGFRDQVMQIYMSLSQPQVLMYSATMSNDIEKMTNSLAKGTVVISVGEPNRPNKAVKQIAIWVESKQKKQKLFDILCSKQHFKPPVVVYVSSRLGADLLANAITVATGIKASSIHGEKSMKERREIMQSFLVGDVPVVVATGVLSRGVDLLGVRQVIVFDMPNSIKEYVHQIGRASRMGDEGQSIVFVNGENKNVLVELVDVLKSGGAAIPRELANSWYTKKRKQR >OIW08416 pep chromosome:LupAngTanjil_v1.0:LG07:3149553:3150437:1 gene:TanjilG_03092 transcript:OIW08416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMFKFFSLLLLALSLFHYTVSGENLLHTCSQSGNYTTNNDPYETNLKELFSYLINEAPTNGFTMASKGEGENRTQGLALCRGDLSPTDCKNCVVNASSDILTFCPYNKGAMIMQENCTLRYSNQDFFGETLNNDMLCMKSSENVNMGEPNISILLSQRIQDFLSKVTEEAVLNPRMYASGKSEIDDFHTAYGLAQCSRDLSRLACKECLTQSVAFFAHPDCGKGQVGVKVYSEICRLRYESKPFVNDKPIPLPPTSYDAISPQPQPVASSHCLDAAAVLVVMGLLSQLLSHHF >OIW08244 pep chromosome:LupAngTanjil_v1.0:LG07:4680991:4681710:1 gene:TanjilG_15205 transcript:OIW08244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDSNRRKKSISGNSFSFPSTPNQDSDFEFGSLTPNSPSSDPCRTSPADHLFFNGRLQPHSFPMTFHRPIGATIATSRTNSISSKDSLVSSRSNSTNSRSSCSSSARTSSSDNSERRLFHNKVSLTSSYSKGTCTVTRSVVLGHNQAYGCSKRWQYLTPVPSLNRVASKRKSGDMKRENKKKNKKEEEGKKKRKNEKVRGVRLRFGRKILRWFVMACRECHAMEPSNAKSKEIKLQKK >OIW07431 pep chromosome:LupAngTanjil_v1.0:LG07:19681710:19684494:-1 gene:TanjilG_19272 transcript:OIW07431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPWLVIPLIGLWALSQLLPPAYRFEITSPRLACVFVLLVTLFWYEILMPQLSAWRVRRNARLRERKRFEAIEMQKLRKTATRRCRNCLNPYRDQNPGGGKFMCSYCGHISKRPVLDLPVLPGLGISNPGIVKDLVGKSGKILNSKAWPENGWMCSQEWVENSNWVEGSIPGSTSNWSNAGPFGRDEHCLSERSYFGILFFVSKLFTSFLLSIRWLWRKAFRISSSEEFSSVAENRALLAKRSENGANLNESRGERARRKAEEKRQARIEKELLEEEERKQREEVARLVEERRKLRDEKMEAEKDCSRSSNPTKGKESRKEAEKKRQEKRKDKDKGSSKSNSDVEELERRAGKESERKRDLDKKSEIDRRERHKDSGKGHSTDNTHSKNVASSNYSRGSTGTRYLDRMRGTILSSSKAFGFGRGTNNTATVAKENKFNTSVDHVHTAASKRDICPPERPTAKTNLNGDVQNINDSVLPEPQPWTAPKKSWHQLFTRSSSAPQSPNSNVICRPNSKIQTEAKSPQLSCQSPVMKSFDNPIHFGLPSPFNISTYPNGSASGSLGFSPPIEPFLSPVGNRSGDFRHEDQEFFEDPCYVPDPVSLLGPVSESLDNFQLDLGTGILKDMEVAKPLSLKNISAGSDVNRPSPIESPLSREKHSCSNRFLSALKAQDMHAFPLDDAAANDKGTWQMWSTSPLGQEGLGLIGSPENWVLPLQRTVPNKDEFSLPSSQKTVASLFNKDDTILTSTHSPHNVFLPNGQSGATFSPVTSSSAYDPWSQSTFFPPLLGGLKAQEGMTQNEMIYSPSGSASSHVLDGSPSYGWSK >OIW08099 pep chromosome:LupAngTanjil_v1.0:LG07:5752503:5755404:-1 gene:TanjilG_06642 transcript:OIW08099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPTLGAEGSNDSTANRRQTKRPKYSKFTQQELPACKPILTPRAVISAFLIVTVVFIPIGVASLLASRDVVELADRYDLQCIPANVTDKVAYIQSSADKTCTRELRVEKRMKSPIYVYYQLDNFYQNHRRYVKSRNDEQLRDAGKANTTSGCDPEDNANGMPIVPCGLIAWSLFNDTYSFSRSESNLTVNKTGISWKSDREHKFGKDVFPKNFQKGSIIGGAHLDENIPLSDQEDLIVWMRTAALPTFRKLYGKIEVDLEKGDVIHVTLKNRYNTYSFNGEKKLVLSTASWLGGKNDFLGFAYLCVGGLSFFLAMAFTIVYFVKPR >OIW08591 pep chromosome:LupAngTanjil_v1.0:LG07:1759472:1760329:1 gene:TanjilG_03267 transcript:OIW08591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNNSLENMMACSSAQQDNKPKPQAEQGLKCPRCDSSNTKFCYYNNYSLSQPRYFCKNCRRYWTKGGTLRNVPVGGGCRKNKRSSSSSSKRAQDQAFIPNPNPHIGLTPISYDSNNLNLALARFQKGSIGYDNHDLSILENQRNTSTPCDESIVGNLGMNPSNTGFLDALISGFLGTHNNNNSMQNLYYGFGNGEVENGNNGLGEIMVPYDNSQEMSIATTQAVSMKEELCNAREQSENKVLWGFPWKLNGGGDSNMAEPIESGRASWNNGFTSSWHGLVNSPLM >OIW08235 pep chromosome:LupAngTanjil_v1.0:LG07:4737986:4742057:-1 gene:TanjilG_15196 transcript:OIW08235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METILQVHNNAMPSRDVIQALADKFSESPDRRGKITVQIKQIWNWFQNKRYAIRAKLSKTPGKLSNTPMPRDDSAPVRTMPPGPPPTAAPTPAASGSVPTTGKETPENSVMEFEAKSRRDGAWYDVACFLSHRNLETNDPEVLVRFAGFGSEEDEWINVRRNVRPRSLPCESSECIVVLPGDLILCFQEGKEQALYFDAHVLDAQRRRHDVRGCRCRFLVRYDHDQTEEIVPLKKICRRPESEYRLQQLRTANEAASENQQKTAMDPANVHATKVSGSSETMPKQQQNVSIPIITPASQSNVSQVPNFMNVDPKKAETIPSSSESAPKQQHSNIPMVTPVPVPQKNVTPVPHMMIVDPKKAVAATNVLSGNDSIVPPGFGSIITTGRVPEIPSQNMAEGK >OIW08062 pep chromosome:LupAngTanjil_v1.0:LG07:9607563:9610329:1 gene:TanjilG_20163 transcript:OIW08062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLALRGSPLLIAKTFEAIKIPTSIRGTVVCAAKGPRPRYPRVWKTNKRIGTISKAAKLVKTIKELSNVKEEVYGALDSYVAWELEFPLITVKKALKTLEDQQEWKRVIQITKWMLSKGQGRTMGSYFTLLHALAEDDRLDEAEELWTKLLMQYLESLPSKFFDKMISIYHKRGMHEKMIEIFADMEELSIRPRISVVTMIGDVFKELGMLDKYEKLHLKYPPPRWEYRFIRGKRVKIKVQDQPNRVISYREKNENIEPNSDLDEDDTSEDISGIIDEQFEKDADVTLMDPAQISDNS >OIW08799 pep chromosome:LupAngTanjil_v1.0:LG07:225193:230067:1 gene:TanjilG_16380 transcript:OIW08799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTYTSGLVSAIAVATGLSHTNSNNINNINNNVAYAADAPFNFSPFSNVPEPSHPEPSPPEPPKVRNDHPRTTSAGFDPQALERGVKALKDISNSPHAKKVFDIIIKQEETKITELAAKVAEFKQMQAQHETLAQHQAQTKSQMAKYEDELARKRMQAENEYHRARNQELVKMQEESSIRQEQARRATEEQIQAQRRQTEREKAEIERETIRVRAMAEAEARAHEAKLSEEVNRRMLVDRANAEREKWVSAINTTFEHIGGGIKAILTDQNKLVVAVGGVTALAAGVYTTREGARVIWGYVDRILGQPSLIRESSRGKYPWSGTFSRAMTTLSQRTNPGSASKNGNGFGDVILHPSLNKRIEQLASATANTKAHQAPFRNMLFYGPPGTGKTMAARELARKSGLDYALMTGGDVAPLGSQAVTKIHQLFDWSKKSKRGLLLFIDEADAFLCERNKTYMSEAQRSALNALLFRTGDQSKDIVLALATNRPGDLDSAVADRIDEVLEFPLPGEEERFKLLKLYLDKYIALAGSRKSGFFQNMFKGKPQQIEIKGLTDEIIKEAATKTDGFSGREIAKLMASVQAAVYGSDNCVLDPSLFREVVDYKVAEHQQRRKLAGSNKA >OIW08277 pep chromosome:LupAngTanjil_v1.0:LG07:4372150:4380180:-1 gene:TanjilG_21743 transcript:OIW08277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTTTDNSHGSHMQYYTTTTTDEDQDIDDDEDIIHSDLDQQNQQLNLHLNNHKVQHNKDVATTTGATRRRGWFLGRVLDPRDKWVQEWNRVFLLVCGAGLFIDPLFFYSLSLSDTCMCLFIDGWFAVTITVLRCMTDTLHVWNMWLQLKTDQRSFGLGAATVARGAAAGFRDISPRSVALGYLKGRRGFFLDLFVILPIPQIVLWVGIPWLMKKGSITLVMTVLLIMFLFQYLPKIYHAVCHLRRMQNLSGYIFGTVWWGIALNMIAYFVASHAAGACWYLLGMQRASQCLTEQCDKTNDCRMRVLSCKQTIYYGSHNFGMDRARLVWAENREARFTCLNGPDNYTYGAYRWTVQLVANDSRLEKILFPIFWGLMTLSTFGNIESTTEWLEVVFNIIVLTSGLLLVTMLIGNIKVFLHATTSKKQAMQLKMRNIEWWMRKRRLPQGYRQRVRNYERQRWAAMRGVDEFEMTRNLPEGLRRDIKYHLCLDLVRQVPLFQHMDDLVLENICDRVKSLIFTKGETIAKEGDPVQRMLFVVRGHLQSSQVLRDGVKSCCMLGPGNFSGDELLSWCLRRPFIERLPPSSSTLITLETTEAFGLEAKDVKYVTQHFRYTFVKEKVKRSARYYSPGWRTWAAVAIQLAWRRYRHRLALTSLSFIRPRRPLSRSSSLGEDRLRLYTALLTSPKPNQDDFDF >OIW08860 pep chromosome:LupAngTanjil_v1.0:LG07:604172:608027:-1 gene:TanjilG_16441 transcript:OIW08860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPVVVDSDYLKEIDKARRHLRSLIANRNCAPIMLRLAWHDAGTYDANTKTGGPNGSIRTEEEYSHGSNNGLKKAIDFCEEVKSKHPRITYADLYQLAGVVAVEVTGGPTIDFVPGRRDSKISPKEGRLPDAKKGVPHLRDIFYRMGLSDKDIVALSGGHTLGRAHPERSGFDGPWTEDPLKFDNSYYGELLKEESVGLLKLPTDKALLDDPEFRRYVELYAKDEDAFYRDYAESHKKLSELGFVPSKATSPKDATVLAQSAVGIVVTAAVVILSYLYEVRKRGK >OIW08412 pep chromosome:LupAngTanjil_v1.0:LG07:3205070:3206638:1 gene:TanjilG_03088 transcript:OIW08412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFPPKVKQLLIELEEAKKLKADKNEMGSQWRKVKLALGFNTCVHIPRDFDDSSSSRRPVTHPVTTKALLDHPPHVSGSPNPLRNVVGPTCHVLKG >OIW07893 pep chromosome:LupAngTanjil_v1.0:LG07:7148292:7149806:-1 gene:TanjilG_19994 transcript:OIW07893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKETFYISHGSPTLSIDESIKARKFLQSWKNDVFPHKPTSILVISGHWDTAVPTVNVIQQNNDTIYDFYNFPKPMYELKYPAPGAPQLAKRVKELLKGSGFSRVDEDDKRGLDHGAWVPLMLMYPEADIPVCQLSVQSDQDGTYHYNLGKALAPLKDEGVLIMGSGSAVHNLRALRKSATLAPWAVEFDDWLKDALLEGRYEDVNDYEHKAPHAKLAHPSPDHFYPLHVAIGAAGENSKAKLIHSSFQLSTLSYASYQFTSAST >OIW08626 pep chromosome:LupAngTanjil_v1.0:LG07:1499205:1500632:1 gene:TanjilG_03302 transcript:OIW08626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTPLFYVLKKQASFFLKEKIKTARLAFSDVTPAELMTEEATNGNPLAPDTPTLISISKAAFDVDDYWRIVEILHKRLLKFEKNNWRASYNSLIVLEHMLTHGPESVAEEFQCDKDVINQLKGFQYIDDTGFNWGLAVRKKSGRIMKLLEEGTLLKEERNRARSLTRGIQGFGSYDQRSSTAQGILREKSLPTTFGRCNSEISNQENHVNMSYCSYNSMDTTAINKYPNHSGGIFKSLDTVETKSYQDDPDNNQMLQRSETSSEENMEPGMDKFHLWKLRGESNLLLDCNDQDSKLGHFIAEDDHPFNSTTEMHSTASLISCYR >OIW08836 pep chromosome:LupAngTanjil_v1.0:LG07:465267:466201:1 gene:TanjilG_16417 transcript:OIW08836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHSQPPQHDGVSKASQSHAPGTSDVALNDNREVAALPPPPPPPPPPKRITWTNEEHRLFLQGIKQYGRGNWKKISQNCLKSRTPSQIASHAQKYFLRQSSVVRKRKSIHDGTLEIVQASSPRNLVTMQQGQEDLSSHRHLNLNLNHPVIMPMQQDSTHHDHNQNHSFKTSPPQDSTHHDHNQNHSFKTSPPQDSTHENHSVTWPFNPSKHQNHLYIDMIIQKSDEGHLDIFVKDSNQVKVQSVLTVQSSPHHLDLSSQQIHIPYFFSEDHPPQKCRVMLKNFATQP >OIW08855 pep chromosome:LupAngTanjil_v1.0:LG07:569038:571295:-1 gene:TanjilG_16436 transcript:OIW08855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSSPSVSTASKWLGLVAAVWIQSISGNNYTFSNYSDALKSLMFLTQLQLNNLSVAKDVGKAFGLLAGFASDRFPTWLMLLIGSVEGLIGYGVQWLVVSQRIQPLPYWQMCIFLCMGGNSTTWMNTAVLVTCIRNFRSNRGPVSGILKGFVGLSTAIFTNICSALFADDPSSFLLMLSLVPFAVCLSGIFFLREIPAVKSTTAAQDNEETRYFAVLNAFAIVIAVYLLAFGFLPNPTALFSTAFAVVLLLMLASPLGIPVHSFFKNRFEQGSNRDVEQVNEPLLQKKENETPAEEGEAVVGVKRRTEIGEDHTIVEALSSVEFWIMFVSFLCGVGTGLAVQNNLGQIGLALGYTDVSLFVSLISIFGFFGRIISGSVSEHFIKKAATPRPLWNAASQILMALGYILLAMAMPGSLYIGSVIVGLCYGVRLAITVPTASELFGLKYYGLIYNILILNLPLGSFLFSGLLAGILYDREATAVPGGGNTCVGGHCYRLVFVVMTGACVVGFVLDILLYFRTKKVYNKIYMSRKPNKSSIISIQQ >OIW07736 pep chromosome:LupAngTanjil_v1.0:LG07:12159009:12166135:1 gene:TanjilG_11894 transcript:OIW07736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLEYGSVFIILFALLICGDAEVYIVTVEGEPIISYTGGVDGFEATFVDSDEKIDTTSELVTSYAQHLEKRHDMLLGMLFDQGTYNKLYSYRHLINGFAVHLSPEQAETLRHAPGVKSVVRDWKVRRLTTHTPQFLGLPTGVWPTGGGYDRAGEDIVIGFVDSGIYPHHPSFATHNTETYGPVSKYRGKCEVDPDTRRSFCNGKIIGAQHFAQAAIAAGAFNPSIDFASPLDGDGHGSHTASIAAGRNGIPVRMHGHEFGKASGMAPRARIAVYKALYPLFGGFVADVVAAIDQAVHDGVDILSLSVGPNSPSTATKTTYLNPFDITLLGAVKAGVFVAQAAGNGGPFPKTLISFSPWITSVAAAIDDRSYKNHLFLGNGKILAGIGLSPSTHLNQTYTLVAATDVLLDSSVTKYSPTDCQRPEVLNRNLIKGKILLCGYSYNFISGTASIKKVSETARALGAVGFVLCVENVNPGVHFYPVPVGIPGILITDASKSKELIDYYNISTPRDWTGRVKSFKGTGKIGYGLMPILHKSAPQVALFSARGPNIKDYNYQEADLLKPDILAPGSLIWGAWSLNGTDEANYDGEGFAMISGTSMAAPHIAGIAALIKQQHPHWSPAAIKSALMTTSTTLDRAGNPILAQQYSDTEAMNLVRATPFDYGSGHINPRAALDPGLIFDAGYEDYIGFLCTTPSIDVHEIRKYTNLPCNYTIGHPTNLNTPSITFSHLVRTQIVTRTVTNVAQEETYVITARMDPSVAIEVNPPAMTIKAGMSRKFTVTLTVRSVTGTYSFGEVLLKGSRGHKVRIPVLANGYQR >OIW07779 pep chromosome:LupAngTanjil_v1.0:LG07:11414559:11414852:1 gene:TanjilG_03566 transcript:OIW07779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWPSQGTSTMVNSPGQGMSTRVSGLSQGMSIASLAQAKERPEAQAMANGPGQGTSTRVNGLSQCMSVASLAQAKIRPATQAMGPMQGKRHKPNQQTK >OIW08782 pep chromosome:LupAngTanjil_v1.0:LG07:142397:146898:-1 gene:TanjilG_16363 transcript:OIW08782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRNFTALSDFRLINRRILNDCSASNPSLKINIISSTSSTLSDDEFVTVTVTGVSKPSNDHWVAMISPSNSNVESCVLNELYYLQTGDTAKLPLLCHYPVKAQLMTNDPDYLSCKKKECKKLENGKCSVTTCSGSIKFHVINIRSDIEFVFFSGGFLKPCLVGRSIPVRFANPKMPLYGHLSSIDSTGTSMRLTWVSGDNQPQQIQYGDGKKVTSIINTFSQNDMCSTIALPSPAKDFGWHDPGYIHSAVMTGLKPSSIFSYKYGRDYIASGSVYLTPDSGGECGVPYETYFPMPAASKDKPWYSIEQASIHFTIISTEHDWSQNSEQYQWMQKDMSSVNRLKTPWLIFMGHRPMYTSSSGLFSIDNKFVEAVEPLLLENKVDLVLFGHVHNYERTCSVYQSVCKAMPTKDATGVDTYDNRNYVAPVHAVIGMAGFKLDKFPDTVSNWSLKRISEYGYLRAHATRTDLNLEIMNSEF >OIW08622 pep chromosome:LupAngTanjil_v1.0:LG07:1520182:1522050:1 gene:TanjilG_03298 transcript:OIW08622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITTKTVSYCAIYERAIMENEIVQILIELGYEQRCYLSLSTLDISDEVKEQVDLVLAQFRRAKGRIDEPDVKLYDDLLSLYNKYSDADTDPVVLSQIAEKLQLKGVANLTRESLALHEMVAASGGDPEAHTEKISMLMKKIKDYVQIENLVMECRRKMPFFKRLQSRHYSKESSRSTNGLKPPKPPSSSRPSKSAPIYSPAEQCKIENLFQKLTYRSLEDQRSAAGEIRLFAKRNAEKCVAIAEAGAIPLLVSLLTISDSRTQEHVVTVLLNLSICENNKGSIVFSRAVPGIVHVLKKGSMEARENAAARLFSLSVTDENKVIIGSSGAIPPLVSLLSNKGKALRAGIIPTLMRLLKEPEGAMVDEALTILAILASHFEGKAAIGSAKAVPLLVEFIGSGSPRNKENAATVPFLLLISMTTELFCLHFCSFCVFFPF >OIW08112 pep chromosome:LupAngTanjil_v1.0:LG07:5844484:5845728:-1 gene:TanjilG_06655 transcript:OIW08112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAPVKIVALSGSSRKASYHSGLIRAAIQISAAIDALEVEFVDISALPFVNTDLENQNGAVYPPVVEALRKKIIEADAVLFASPEYNYSLAPLLKNAIDWASRPPNVWAGKAAAVISTGGGFGGGRSQYHLRQVGVFLDLHFINKPEFVLNAFQPPAKFNDDGDLIDDEAKTQLKEILLSLQAFTLRLRA >OIW08387 pep chromosome:LupAngTanjil_v1.0:LG07:3406824:3409238:-1 gene:TanjilG_03063 transcript:OIW08387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCCVSTSSRSTCSSRSNGEKVSPSCLEVGCCGQKRAARRTFSDHVISLHHLPSIPGRMFTNGKNQSSCMFTQQGRKGINQDAMVVWEDFVSEDMIFCGIFDGHGPHGHLVAQKVRDTLPLKLLSFLHSSELKSNGNGLGKACFKENIEPDTRESDKDCSAKDKLSSTWREAFMKSYKDMDKELRSHPHLDCFCSGSTAVTLVKQGSNLFLGNIGDSRAIMGSKDSNDSMVAIQLTVDLKPDLPSEAERIKHCKGRVFALQDEPEVHRVWLPFDDAPGLAMARAFGDFCLKDYGVISIPEFSHQLLTNRDQFIVLASDGVWDVLSNEEVVEIVSSAPTRSSAARIVVDSAAREWKLKYPTSKIDDCAVVCLFLDGKMDSESEYDEQGFSSATIQSNHSGVNPIESDEGQKSEPSLHRNGTVRSSEENETNGALYVEVEIGASSVDDQNWLGLEGVTRVNSLVQLPRFSEERSNS >OIW08788 pep chromosome:LupAngTanjil_v1.0:LG07:170924:176983:-1 gene:TanjilG_16369 transcript:OIW08788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNMMVDLGAFSNDNFDPKKWINSASQNRQDSLDKHLMDLEMKLQMLSEDISASLEEHSAASLLRVPRATRDVIRLRDDAVSLRSAVFGILQKLKKAEGSSAESIAALAKVDIVKQRMEAAYETLQDAAGLTQLSSTVEDVFASGDLPRAAETLANMRHCLSAVGEVAEFANIRKQLEVLEDRLDTMVQPRLTDALSNRKVDAAQDLRGILIRIGRFKSLESQYTKVHLKPIKQLWDDFDSRDRANKSANESDFQSVSTALSFFSWLPSFYDELLLYLEQEWKWCMIAFPEDYRTLVPRLLSETMMAIGASFISHVNRAIGDAVPETKALAKGLLDILSGDMQKGIKIQTKHLEALIELHNMTGTFARNVQHLFSDSDVQVLMDVLKAVYLPFESFKQRYGQMERAILSSEIAGIDLRGAVIRGVGAQGVELSETVRRMEESIPQVIILLEAAVERCINFTGGSEGDELILALDDIMLQYVSTLQDTLKSLRTVSGVDYGGDGTLKKDMEKKDGNQNARRVEMISNEEEWSIVQGALQILTVADNLTSRSSVFEASLRATLARLSTTLSFSAIGSSLDQNQNQMINGNEDGGPSFGGRAALDMATLRLVDVPEKARKLFNLLTQSKDPRFHALPLASQRVAAFADTVNELVYDVLISKVRQRLSDVSRLPIWSSVEEQSAFPLPTFSAYPQSYVTNVGEYLLTLPQQLEPLAEGISNTESNDEAQFFATEWMFKVAEGATALYIEQLRGIQYISDRGAQQLSVDVEYLSNVLSALSMPIPPVLATFQTCLSTSREQLKDLLKTDSDQLDLPTANLVCKIRRLNLDS >OIW07886 pep chromosome:LupAngTanjil_v1.0:LG07:7077629:7084669:-1 gene:TanjilG_19987 transcript:OIW07886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRIFSRWKKVKEMASLSDTHLAISSNNRCMNRTGFKFNQMRPISTHLKNSNLHIIAAHRRRVPLIGVQERYKWDRGGSGDISSPARRIRAEANCPRCTKDMNLIFSNNHFPPSDSNSGSESFDSNLASPSISEEGSGYQSVNICPSCKSAYHFRPNNTAPLQGTFVEIGRGSNSNSNSNSVVKSGGGKIPRRITHGKGSSSVKNGSSGNWLEVTTWDNLRSAKINGHNGEPPEDWPASPPPPGVNDGNGNGLAVHNPPGPSFTPGVNVIRHTGLREGRAGGGAGGGNGEKTIWGGSNLGKDLPSPKEICKGLDKFVIGQDRAKKVLSVAVYNHYKRIYHATLQKGSGPDSGILEGFDDDENVELEKSNVLLMGPTGSGKTLLAKTLARFVNVPFVIADATTLTQAIVVTNYDLVPWLAHDFVVLRQNYVIIYLKEKCSNLQAGYVGEDVESILYKLLAAADFNVAAAQQGIIYIDEVDKITKKAESLNISRDVSGEGVQQALLKMLEGTIVNVPEKGARKNPRGDNIQMDTKNILFICGGAFIDLEKTISERRQDSSIGFGAPVRANMRAGGVMDSAVTSSLLELVESADLIAYGLIPEFIGRFPILVSLSALTEDQLMLVKLYFTEKALRLIAKKAMAKNTGARGLRALLESILTEAMFEIPDIKTGTDRVDAVVVDEESVGSVNAPGCGGKILHGDCALKQYIAKVKDSMVNVEVAEADLIGGDSELSSRAMSM >OIW07542 pep chromosome:LupAngTanjil_v1.0:LG07:17496494:17498073:1 gene:TanjilG_08429 transcript:OIW07542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSETGGGASQEEKKPVDQGGHINLKVKGQDGNEIFFRIKRSTQLRKLMNAYCDRQSVDFNSIAFLFDGRRLRAEQTPDELEMEDGDEIDAMLHQTGGGNHFL >OIW08262 pep chromosome:LupAngTanjil_v1.0:LG07:4524970:4526426:-1 gene:TanjilG_21728 transcript:OIW08262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTDSSIDSQQPNLPPGFRFHPTDEELVVHYLKKKISSEPLPASIIADIDLYKFDPWELPAKASFGEQEWYFFSPRERKYPNGARPNRAATSGYWKATGTDKPVLSSSGPQKVGVKKALVFYGGKPPKGIKTNWIMHEYRIADNKPINNKPPGCDLGNKKNSSRLDDWVLCRIYKKSDTHRSLMEHDRDDSMDDIIGGVPSSINVGLMNARFHLSKMSKSYSGSSNGALLENDQHLLEGLMLGNGGLSTTTTTNALSSHLENSNSKRTLSSLYWNDHTEDVTVGTSLSNKRFNFMDSAIGDGNVAMRNEENGTATSAIATLFGHLPQQTPNPLHQQETMLRTIGDGLLRTPYQIQGMNWYG >OIW08123 pep chromosome:LupAngTanjil_v1.0:LG07:5975330:5977567:1 gene:TanjilG_06666 transcript:OIW08123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSYYGCGIDDFCVPEDQDLLDRHLSPENWSEWGRNASEGFDSPKKYFAINTNSTLSEFDFMNESFDHESKLESSLHDKDQSTSSSAFGGFFEQSSQQTTISSDQPNYELQDISCFEQTDDIFLDSMIDDISCAENQHKAFYFYSENPCSNTPRGSQEDFEASKSVPYNSNSSDCLDIEGNGDETTHKQSSLEESILQNLEMAIAQFTGKTRICFRDALYRLAKDTKQQHLLENLDGGLNMQESMPHEVLNETMRSEDKEPMKSDTNNVDRAVANLMFHKMEINMQDLPLTISVNSNQEVHET >OIW08601 pep chromosome:LupAngTanjil_v1.0:LG07:1694912:1697343:1 gene:TanjilG_03277 transcript:OIW08601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQPQVVPGSGNIPRKKMTKQLTGKKDDTPLHSAARAGNLDVIKDTLSGTDEGEVRELLAKQNQAGETALYVAAEYGHIDMVRELIQYYDLADAGIKARNGFDALHISAKQGHLDVLKILIEAHPELSMTVDPSNSTALHTAATQGHIEIVKFLLGVGSSLATIARSNGKTTLHSAARNGHLEVVKVILEKEPGVTTRTDKKGQTALHMAVKGQSLEVVEELIKADPSTINMVDNKGNTALHIATRKARSQIIKLLLGQSETDTKLVNRSGETALDTAEKNGNSNVKAILLEHGVQSAKAIKPQATTTTARELKQTVSDIKHEVHYQLEHTRQTRKRVQGIAKRLNKMHAEGLNNAINSTTVVAVLIATVAFAAIFTVPGQFVDDPKDIPPGMSLGEANIAQNAPFLIFFVFDSIALFISLAVVVVQTSVVVIESKAKKQMMAIINKLMWLACVLISVAFLALSFVVVGKDEKWLAILVTIIGATIMATTLGIMCYWVIRHHIEASNLTSIQKSSMGSRSRSFSVSAPMSDSEILNNVFNIMYAI >OIW08578 pep chromosome:LupAngTanjil_v1.0:LG07:1856979:1859238:-1 gene:TanjilG_03254 transcript:OIW08578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELTITQPDDWHLHLRDGSLLQAILPHSARHFGRAIVMPNLKPPITTTAAAVSYRHSILKAIPKDSNFTPLMTLYLTDITTPNEIQLAKKSGIVNGVKLYPAGATTNSQDGVTDLFGKCFSVLEEMVEQDLPLLVHGEVTDPEVDIFDREKVYIETILEPLVRKLPQLKVVMEHITTADAVKFVESCKEGYVAATVTPQHLLLNRNALFQGGLQPHNYCLPVLKREIHRQAIVSAVTSGSKRFFLGTDSAPHDRRKKECPCGCAGIYNSLVALSLYAKVFEEAGALDKLEAFTSFNGPDFYGLPRNKLKIKLRKAPWRVPESLSFPFGEIIPMFAGETLEWEASLN >OIW07984 pep chromosome:LupAngTanjil_v1.0:LG07:8245130:8253113:1 gene:TanjilG_20085 transcript:OIW07984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFGSLKSAIFEREERKQQYQAHIRGLNAYDRHKKFINDYVSIYGKEKHSTLKLPIKTDQDTLREGYRFIRSEEDDMDPSWEQRLVKRYYDKLFKEYCIADMSQYKSGKIGLRWRTEKEVKSGKGQFICGNKHCDEKTGLASYEVNFSYLEAGQNKQALVKLVTCERCAQKLNYKRQKEKEQLEKKEQEGDRRKRSRSKSDDDTDELQGSERRRKGKRASVSASDHKIDDDDDNFDEFLVEMFP >OIW07624 pep chromosome:LupAngTanjil_v1.0:LG07:16883494:16889349:-1 gene:TanjilG_16605 transcript:OIW07624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTMESLIGLVNRIQRACTVLGDYGGADNNTFSSLWEALPSVAVVGGQSSGKSSVLESIVGRDFLPRGSGIVTRRPLVLQLHKIESGAQEYAEFLHMPRRKFTDFSLVRAEIQDETDRITGKTKQISPIPIHLSIYSPNVVNLTLIDLPGLTKVAVEGQPENIVQEIETMVRSFVEKPNCIILAISPANQDIATSDAIKISREVDPEGERTFGVLTKLDLMDKGTNALEVLEGRSYRLQHPWVGIVNRSQADINKNIDMIVARHKEREYFASSPDYGHLANKMGSEYLAKLLSQHLESVIRARIPSITSLINKSVEELESEMEHLGRPIALDAGAQLYTILELCRAFERIFKEHLDGGRPGGDRIYNVFDNQLPAALRKLPFERHLSLQNVRKVVSEADGYQPHLIAPEQGYRRLIEGSLNYFRGPAEASVDAVHFVLKELVRKSIAETQELKRFPTFQALLATASNEALERFREEGRTTTLRLVDMESSYLTVDFFRRLPQEVEKTANPAPSNVDRYAEGHFRRIGSNVLSYVGLVSDTLRNTIPKAVVYCQVRQAKQYLLSHFYTEIGKKEGKQLSIMLDEDPALMERRQQCAKRLELYKAARDEIDSVSWAR >OIW08283 pep chromosome:LupAngTanjil_v1.0:LG07:4336607:4339605:-1 gene:TanjilG_21749 transcript:OIW08283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSINTEGNLVLLNLDNIVLWSTNTTTNGTTKASNIVAQLLDSGNLVLREENDSNAQNYLWQSFDYPSDTLLPGMKIGWNFKTGLNRRLIAWKSWDDPSRGDLIWGLVPHNNPELVMWKGSQVFYRSGPAVGTQFSGSSTLGSSSDINYTFVFNKDELYFSHNFTDKSLLLILVLNQTTSSGQRLTWSSDTQTWRMSSLVPIDYCDTYNLCGPFGNCITGVAPICSCLEGFRPKSQQNWSQGVWTQGCMHNGNWSCRVESSDGFRKFSNMKMPDTTRSWLNESMTLEECKVKCWENCSCSAYANSNTRGQGSGCSMWFGDLLDLREISNGGQDLYIRLAASEIDQDAKGDSKKKVIVIASTISSLCVMLIIFTLIYWKRRSKFKVKTEIPEWTRDNNNESKQEDLEVPLFDLSLVKHATNDFSTYNKLGEGGFGPVYKGKLPDGQEIAVKRLSQTSKQGLREFKNEVELCARLQHRNLVKVLGCCIQEDEKMLIYEFMANKSLDFFLFDSTHNRLLEWPMRFYIICGIARGLLYLHQDSRLRIIHRDLKASNVLLDNEMNPKISDFGLARMCGGDQIEGNTNRVVGTYGYMAPEYAFDGLFSIKSDVFSFGILLLEIVSGKKSIGLSDPSHNRNLIGHAWKLWKDGMAMQLIDECLKESCVHLEALRCIHIGLLCVQHHPNDRPNMASVVVMLSSESVLAEPKEPSFLIQKTAIVEDNHMEYQRSSSINEVSVSILSAR >OIW08672 pep chromosome:LupAngTanjil_v1.0:LG07:1173806:1179747:1 gene:TanjilG_03348 transcript:OIW08672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGNGTGGKLLNQSVVLAPILKVKQQEMVEAESLLHLSAKYCPLLPPTRSTIAAAFSPDGKVLASTHGDHTVKIIDCETGICLKVLVGHRRTPWVVRFHPLHQQILASGSLDQEVRLWEANSSEWILSHYFQRPIASMAFHANGEIIAVASGHKLYMWHYNNGDKASTPVSVLKTRRSLRAVHFHPHAAPYILTAQVNDLDCSDTSMTAATCLGYSQYPPPAVFVTNVHPRTPDDLTSEHVHEPRGELQNVSRDVGSASMQAESSPTIQFQTDTNATEHEAALSSSEIPSGFQSVAEYNAHATSPNQMRIRISNLTMETVETEPAEGSHHGLSRHTTNREDLSEFGQFHQIFPSRDRSGWELPFLQGWLMGQSQVGVPSTLPQPGATRENLPQQIGSSTMPSNLPAANVEVASSSEMPSSIPGSSARSGMLNHLSQTFISRIQSELATSTTAAELPCTVKLKIWSHDLKNPCAPLNRCRLTIPHAVLCSEMGAHFSPCGRFLATCVTCMLPHVEADPGLQTLVHQEPGLATSPTRHPIPAHQVIYELRIYSLEEATFGTVLASRAIRAAHCLTSIQFSPTSEHILLAYGRRHGSLLKSIVVDEETTLPIYTVLEIYRVSDMELIRVLPSVEDEVNVACFHPFAGGGLVYGTKEGKVRVFHFNRAHGVNGTGSSYFPAENVIGVNQ >OIW07601 pep chromosome:LupAngTanjil_v1.0:LG07:17289766:17293968:1 gene:TanjilG_08332 transcript:OIW07601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTTVSNLSIAMSRPKVTPSQRFANVGSVVLGGRSKIRSCDTLASVSRVASVQPFQQDSILCAGKFDKIVTKAMSESSSNNQVAGLPIDLKGKRAFIAGVADDNGYGWAIAKSLAAAGAEILVGTWVPALNIFESSLRRGKFDESRKLQDGSLMEIAKVYPLDAVYDSLEDVPEDVKTNKRYAGAKNWTVQEVVESVKKDFGTIDILVHSLANGPEVSKPLSETSRKGYLAAISASSGSSLSLTYIASERIIPGYGGGMSSAKAALESDTKVLAFEAGRKKRIRVNTISAGPLGSRAAKAIGFIDTMIDYSFTNAPLQKELHAEEVGNTAAFLASPFASAITGAVVYVDNGLNAMGVGVDSPVFKDLNIPKDNN >OIW08073 pep chromosome:LupAngTanjil_v1.0:LG07:9887340:9888644:-1 gene:TanjilG_20174 transcript:OIW08073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDTIVLYPAVGRGHLQSMVELGKLILTHNPFFNITILVPTPPNTTITKFDHTTFDCDSAITFHNITAISSPHGGDTLPPHLLAVELSPRSNQNFHHTLRSISKTSRLKAIVLDFMNYSAPNVTATLGIPTYFYYTSGASSLAVLLHQNGLVPVPGLPRLLKSDMPEPCDPSHPIHHVFLDIGRSMRQSAGVIINTFDAIESRVIESLKEETMPVFCIGPMLSTPSGEEDKNGCLSWLDSQPSQSVVFLSFGSHGKFSKTQLKEIGIGLEKSEQRFLWVVRSELDEASLDELLPEGFLERTKEKGMVVRNWAPQAKILSHDSVGGFVTHCGWNSVLEAVCEGVPMVAWPLYAEQRLNKVVMVQEMKVALALEKTIDGFVSATELGERVKELMDSNEGKEIRKNIFNMKIGAKEARSEGGSSLVALSRLGQLWKE >OIW07680 pep chromosome:LupAngTanjil_v1.0:LG07:15042094:15043908:-1 gene:TanjilG_07722 transcript:OIW07680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTESLIRKKPGMASIKDMPVLQDGPPPGGFAPVRFARRIPNTGPSAVAMFLATFGAFSWGMYQVGQGNKIRRVIKEEKYAARRAILPVLQAEEDERFVREWHKYLEYEAEVMKDVPGWKVGESVYHSGRWMPPASGELRPEVW >OIW07901 pep chromosome:LupAngTanjil_v1.0:LG07:7225584:7231626:1 gene:TanjilG_20002 transcript:OIW07901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGKQKKRSLPEKSEHPTGTRKMPKRAVASKNMKEKTFSIPGKSCLIETKKDQIVDEELLAIRLTSGQDDGRPNRRLTDFILHDENGKPQPLEVLEVNDLYITGVILPLEGSTEKKKEKERVRCEGFGRIETWDISGYEDCSPVIWLSTDVADYDCLKPAPSYKKIYDHFFEKARACIEVYKKLAKSAGGDPDISLDELLAGIARSMIGSKSFSGTTSVKDFVISQGQFIYKQLIGLDMTTKTKDKMFVDIPALVALRDESRKQANYVHAKVKPSNGSLRIDLEVGDEENKSQMNSMTEVAEEDEDAKLARLLQEEEQWRSMKQKKNPRSVSSSNKYYIKIDEDEIANDYPLPVYYKTALQEMDEFIVFDNDYDVYDIEDLPRSMLHNWSLYNSDARLISLELLPMKSCSDIDVTIFGSGVMTADDGSGFHLDTDTDQSSSIISGAHVPDGIPIYLSAIKEWMIEFGSSMIFISIRTDMAWYRLGKPSKQYAPWYDTVLKTARLAVNIITLLKGQSRVSRLSFGDAIKKVSEFTSKDKSYISSDASAVERYIVVHGQIILQLFAEYPDDTIRKSAFVTGLMSKMEARRHTKWLVKKKKVVSKSGPNLNPRAAVASIVSKRKAMQATTTKLINRIWGEYYSNHSPEDAEEGAASEVKDEDELEEQEENEEEDIEEMLCLEGTQKTHSVSKQIKAFSADSEIRWGGGPEGKTSYGYLVYKEAIIHEEVISVGGSVLVGVDEADELPDIYYIEYMFEAKGGKKMFHGRRMQHGCSTVLGNTANERELFLNNDCRDLRLEDVKQTAIVDLRKMPWGHQHRRDNIASDKTDRARAEDRKRKGLPTEYYCKSLYWPERGAFFSLPSDTLGLGSGICHSCNLKEAEREKENFKINSSKSSFLFNGSEYALHDYIYVSPFDFEETIEQGTHKSGRNVGLKAYVVCQVVEIIVKKETKQAEMESTQVKVRRFFRPEDISNEKAYCSDVQEVYYSDETHIISVQSIEGKCEVRKKNDVPACSASGLFQNVFFCEHLYDPATGSLKKLPAHIKVKYSSGHNCDAAARKRKGKSKEGESLPEPEKERKTSDEKCLATLDIFAGCGGLSEGLQQSGIAQTKWAIEYEEPAGDAFRANHPEALVFVNNCNVILRAVMEKCGDTDDCISTSEASELAAKLDEKEIDNLPMPGQVDFINGGPPCQGFSGMNRFNQSSWSKVQCEMILAFLSFADYFRPRYFLLENVRNIVSFNKGQTFRLTLASLLEMGYQVRFGILEAGAFGVSQSRKRAFIWAASPEDVLPEWPEPMHVFSAPELKISLSEGVQYAAVRSTANGAPLRAITVRDTIGDLPPVGNGAAKSNMEYGQEPVSWFQRNIRGDMVVLTDHISKEMNELNLIRCQKIPKRPGADWRDLPEEKITLSTGQVVDLIPWCLPNTAKRHNQWKGLFGRLDWDGNFPTSITDPQPMGKVGMCFHPDQDRILTVRECARSQGFPDSYQFSGNIIHKHRQIGNAVPPPLAFALGRKLKEAVESKNSN >OIW08523 pep chromosome:LupAngTanjil_v1.0:LG07:2320261:2322156:-1 gene:TanjilG_03199 transcript:OIW08523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYSLAALKLLCLQLKHVREVASQNSFTLGGILFQRVWLQGVLVSSSDGDDVPLLLDDGTGLIQLYLSGDFRHRHWKLGMYVMVVGGYVIRTGEPPMLKVHKIVDLSSSPDREAMWYLEVIEAYKLFYQPLVEEFT >OIW08837 pep chromosome:LupAngTanjil_v1.0:LG07:468512:473976:-1 gene:TanjilG_16418 transcript:OIW08837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILQPMKIFSSTLCPCTNEVNHFVASPLSRRRRRKRFIAHSGCRNSRRKFTLRFCAIPPDNNSSNRIRNTEFANSTTRGAKSFVFNQISNEIDEDNEHGVSPSQESQQIQLGSNFTNFQEDPIVDKLRTQLGVIHPIPSPPINRNVAGLFVFFFFVGVVFDKLWSSRRRNKVSSEDSLRGVWPQVPTSFSLFLEKDLQRKESVEWVNMVLGKLWKVYRGGIENWIIGSLQPVIDDLKKPDYVERVEIKQFSLGDEPLSVRNVERRTSRRVNDLQYQIGVRYTGGARMLLMLSLKFGIIPIVVPVGVRDFDIDGELWVKLRLIPTEPWVGAASWAFVSLPKIKFELSPFRLFNLMAIPVLSMFLTRLLTEDLPRLFVRPKKIVLDFQKGKAVGPVANDVKSGEMQDGNKDSVGELSVTLVDARKLSYLFYGKTDPYVILSLGNQTIRSKKNSQTTVIGPPGMPIWNQDFHMLVANPKKQKLLVQVKDSLGFADLTIGTGEVDLASLKDTVPTDRIVVLQGGWGILGKGSSGEILLRLTYKAYVEDEEDDKTEVNAIYVDASDDELSDSEEANVTDEKNEGDPMYRTDNESFMDVLAALIVSEEFQGIVASETGSTKNLDYSSNTGSKVSKSTVANAETISSTSDNSQGFVGSALFWFAVITSISLLIAINIGGSSYFNP >OIW07539 pep chromosome:LupAngTanjil_v1.0:LG07:17454135:17457310:1 gene:TanjilG_08426 transcript:OIW07539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQLLFLVIFAEGVMAFLLLVKIGLLRDLVIKSLDQLKMGKGPATVKTIAGTMFVILLSNLMSIVKIQNKGFTLFLGFLIDRVHHYLQKLINLRSNKGSSKEELENLKNETINLKEKEEKSSKEIKLLSESLKKMKLESEEKDKRIETAESHVASLQKQAADLLLEYDRLLEENQNLQAQTLRH >OIW08595 pep chromosome:LupAngTanjil_v1.0:LG07:1732624:1737289:1 gene:TanjilG_03271 transcript:OIW08595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSSSKQRIIPWLILLMIILYTLYSSNLLLITNKKRRECSTTSINLQEANNEIQEQHEMGTTNTSLNIDAESTIAQEKKIKKVEKEKEHEEEEEEEKETPIDQLSQRYDTELNHIVFAIAASSNLWDMRKEYIKVWWKPNKTRGVVWLDKKVSGKADEGLPKIGISQDTTKFKYTNHQGQRSALRISRVVTETLKLGLKNVRWFMMGDDDTVFMVDNVVRILSKYDHNQFYYIGSSSESHVQNIHFSYAMAYGGGGFAISYPLAKELARMQDRCIQRYPGLYGSDDRMQACMAELGVPLTREAGFHQELKCAFIFVVVQYDVYGDLLGLLGAHPVTPLVTLHHLDVVQPIFPHMDRVESLQHLMKSVKRDSGSIMQQSICYDHDKYWSISVSWGYVVQILRGVMSPRELEMPTRTFLNWYRRSDYTAYAFNTRPVTKNPCQKAFLFYMNKTRYDPARKKIIGTYSRYKSKPPYCNWKMESPEEIDSIIVTKRPDPLRWQRRSGDDKEEDRQENHCRQIFFAAAPRLNRVNLNLPISTACFTETLRKERRRNGRKGMEDSLLVSLVG >OIW07631 pep chromosome:LupAngTanjil_v1.0:LG07:16514040:16514734:-1 gene:TanjilG_16612 transcript:OIW07631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGCPWIPSFILMMMPKGSWKYSGLKQGWYASFVMIKLISVKHIAQVSPIKATSPSLSKACQIDADFVLSSPLKARCRSLLLLLLE >OIW07426 pep chromosome:LupAngTanjil_v1.0:LG07:19645062:19650779:1 gene:TanjilG_19267 transcript:OIW07426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSSSSATVAVEKATSDLLMGPDWTMNIEICDSINSNHWQPKDVVKAVKKRLQHRSSKVQLLALTLLETMVKNCGDFVHYQIAERNILDEMIKIVRKKPKDVVKAVKKRLQHRSSKVQLLALTLLETMVKNCGDFVHYQIAERNILDEMIKIVRKKTDMQVRDKILVLLDSWQEAFGGPGGKHPQYYWAYDELRAKGELGLNGKRSGVGFPKRSSYAAPIFTPPPTHPTSRNMQPGYGMPGNSSKTLDETMATEIESLSLSSLDSMRDVMDLLTDMLQAVNPSDRAAVKDEVITDLVDRCRTNQKKLMQMLTTTGDEELLGRGLELNDSIQSLLARHDAIATGAPLPIQGTSSSTVSTEVHSSVNQTEEVKISSPGVESVSTPKASPSALVLSETRDENDEEEEDEFAQLARRHSKTKSMTSSDAATSSTTPHIPEPSISVPSNALAVTDLPAPVRTSKDQNIIDLLSITLSLTPSSPQTTTYAPPVSTEGYSYAPSQTSSYPGNLSHINSYVAPWAQPQSMSESQPPTAPSPQQQLHTHYESEQFLHHQHSQPQQQQSQHVWQQQPQSQFQSQNPHYSPPQQHLQPLPEPQQLHSQPQSNHYSQLQPQPQQQLQSQTTQQPQPQPKFQNQDVQYSARYPPPPWGTMPGYGNYQNHLSATNMSSNPQSSTTASSYTPAQGVRPLQHNNSFPSRPISDTMGNSGPHSNPATGQQNQKPFVPSYQLFEDLNVFGKNTDGRSSKSSAMGPGMVGGRK >OIW07655 pep chromosome:LupAngTanjil_v1.0:LG07:14440861:14443904:-1 gene:TanjilG_07697 transcript:OIW07655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDQGKLFIGGISWDTNEDKLKDYFGNYGVVSHASVMRDKNTGKPRGFAFVVFSDPSVVDRVLEDTHVIDGRTVDAKRAFSREDQQISVNSRTGNSSSGRSSGNGGNTRTKKIFVGGLPPTLTEETFREYFEAYGQVTDVVVMYDQNTGRPRGFGFISFDNEDTVDRVLHKTFHELNGKNVEVKRALPKDANPGASNHTGGAGVGGYQGYGASGGNQNAYDGRVDSSRYVQPQNTASGFPSYGSSGYSAPGYGYGAANNGIGYGAYGGYSGATAGYGGPAGASYGNPSVPNAAYGGGPPGGPRSSWSGQVPSGYGSAGYGSTGPWGTPSGGAGTGSGGPGSATAGQSPSAVAGYGNQGYGYGGYGGSDSSYGNPGAYGAVGGRSGSAPNSELQGSGGSYMGGGYSDPNGNSGYGNAAWRSESTQASGNYGTPQGNGAQGGYGGGYGGAQPRQAQQQ >OIW08464 pep chromosome:LupAngTanjil_v1.0:LG07:2695315:2700944:-1 gene:TanjilG_03140 transcript:OIW08464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFLLKEALKTLCARNQWSYAVFWKIGCNHPKLLIWEDCYYEPMPPHFTPHIVGRSNLPYQDGEGCWFSSDSQPGIQEEDKVCSLINKMMVNNSVNIIGEGIIGRAAFTRNHLWILLNNFTRDAFPQEVYTELHYQFSAGIQTLAVIPVLPHGVVQLGSFLPIMENMGFVNDMKSLILQLACIPGALLTEDYSAKLSSGRLARPVTVGVPGTVDPLAVTSNCTPSVANGSNQLSNLSHASSRLISQPIINYQGFVLTPQMQNQNQSVSKYNDNLCHPTAHLMNRTSASTQQENRVFEAKAEVIPSNLDSCMQQHSAAYNTRYAFNELAGFGQSNSSDGSLKHMEQMLSGVWRQGHVNSNMNPSCTSNISQLKRNGGHILQQTKSCVSNSILGGIPIQNEMSNLVRKNMFNNSVSNSPKASTAVFSGIQEVGAGPQNDISTKAGTYALPYLTNQSIASHVHLEGSYQKNLPADLKHPHDVLASTDQRINDGLPHALQIPSLHLEDYVAMSDHNPGLVRNHLNEDSSSQHMTKMNAKHEEASAQLPSCDDLFDVLGVDFKRKLLHGNWDELLADELDVNAENLDKKATCMNMQAIDPDNSYSVSEAISDSGFFSVTGTDHLLDAVVSRAQSASKQNSDISSRTALTTVSTTSVPSPASKRVMSDNVVKGKLIDFPKMEGKTGASQTSSLKSGLSKDDAGNCSQTTSIYGSQLSSWVEKVSNVKHENSVSTGYSKRPDEGCKSNRKRLKPGENPRPRPKDRQMIQDRVKELRELVPNGAKCSIDALLERTIKHMLFLQSVTKHSDKLKQTGESKIISKEGGLLLKDNFEGGATWAYEVGSQSMVCPIIVEDLSPPGQMLVEMICEEHGFFLEIADLIKGLGLTILKGVMEARNDKIWACFVVEANRDVTRMEIFMSLVHLLEQSVKGSTSLSNAIDNNMMVYHSVPQAYPDSSNW >OIW07895 pep chromosome:LupAngTanjil_v1.0:LG07:7163427:7166944:-1 gene:TanjilG_19996 transcript:OIW07895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKIVKAVPKADAAEDVKSGVQKFRVKVLAESFDQSNMDVLCQIGLDGIRMLDPHTSRMLRIYPIENITRCEKFDSSTLAFWSKSSVDMEPRRIRLQSNSYTTNTLLDTVTAATIQFKEMGGSRRPAEPLTTNEQLTEKKKGLGDWMNLIKPANEEKDHWVPDEAVSKCTGCGSDFGAFNRRHHCRNCGDIFCDKCTHGRITLTAEENAQPVRVCDRCMAEVTQRLSNAKGSSSKTVVQSHEDLARKLQEELERNRKTSGSKSDGSGRRMKEVACPICTVHLQVQVPSSGSETIECGVCQHPFLVSSH >OIW08857 pep chromosome:LupAngTanjil_v1.0:LG07:586014:588757:1 gene:TanjilG_16438 transcript:OIW08857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMNEEPNKPNSLYDTSPSHTLLAKLPYPIEETASDEPDSIPYLQISYNHGPRSFKDLTFLFIFLIFLLSTFAFGIFAVIHRNPNYSTLSSFSFNPNTTSCVKSSVSASSSSSSSFNSVVVSLNFYSSSSPYVVKDLTWTLVATFILSLPICWLLLLSLKHYTKHLVYASLPFFILIPIFLNVYWFVACTVSSTCSNSFPLVYRILVLVFIFLLIGVIVWILVVNWHRIELTVSIIGVASNALSWNLGLLGVLPSLSIGLLVYYVPIVLFMVFARFNGKVVPKHLHGGEYGCVWKEDSWVPAYFTLAILTMLWSATAMKEAQVYVISGTVAHWYFSKEDEAQRRSIRTSLRNAFGPSSGTVCLSGLLVCVVRLVRSAVDNARQDDATGIVNLVLQCCVNALLTTFDFLNKFTINFAAITGEAYCSSARMTYELLRRNLLSAVFVETISSRLLVGIVFVLSAIYTIVVCAILKGVTNLGSDSYFVAALAWVVLILVLGFVVHVLDIVIDTIYVCYAIDRDRGEVCKQDVHEVYVHLPISRSLRQSITRRSLGV >OIW08055 pep chromosome:LupAngTanjil_v1.0:LG07:9548933:9549462:1 gene:TanjilG_20156 transcript:OIW08055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAREIGVTNAAPMGCLPVLITLFGSHSNECVDRLNNDSIDYNKNLNKTSQNLRKILPGIKLVVCDIYQPLYNLVTNPSENGFSETRRGYCGTGLLETAIICNNMSIGTCANASNYVFWDGAHPSEATNKILADTMVAADIPLLMS >OIW08297 pep chromosome:LupAngTanjil_v1.0:LG07:4171007:4176710:1 gene:TanjilG_02973 transcript:OIW08297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAIKKASFCVLLVLLLVAAVSCKLLDPASGLFDQHTAKVPWTTCWEDIIHLKKEAEDHDLCLSLELSGRTNTISSEIRLLAQADIQKFINACHLRLKEKFLHCLRENNLQLPVSREEDDSKLLHFAYMGSPFSISSPPRKNVGRVLLQHISEAPSPGPAVGSPTPSPTPSPGPSVAPSSEPSPAPSHTRPLHPSLPPAFFPKLTPPTVADISSPPSPGTNEHPDKPSNKKTVILSVVLTVSVTLIAAGLFFFCCRRFNRIGRNRQNDQRPLLSLSMNDYSVGSYHAFGNSVKGEEPGFQSSNSNLVGSNKASMQESLAIGGSPFELRPPPGWAGTIPSGTPPLKTPPGRLSPLPPEPPSFRPSDNAAAPTPPPAPQQPGAGGAPRPGPPPPPAPVVVKPGPPPPPPPQAPPGARPRPPPLPPPQAPPGARPGPPPPPKSSIPPRPPPPGRVKAEENVEADAPKTKLKPFFWDKVQANPDQSMVWNQIKSGSFQFNEEMIETLFGYNAVDKNNGQQQKDSSSQDPSPQYIQIIDKRKAQNLLILLRALSVTMEEVCDALHEGNELPSEFLQTLLKMAPTSDEELKLRLYNGEVSQLGPADRFLKAMVDIPFAFKRMEALLLMVTLKEELNSTIESLAILEVACKELRSSRLFIKLLEAVLKTGNRMNDGTFRGGAQAFKLDTLLKLSDVKGTDGKTTLLHFVVQEIIRSEGIKAARVAKESQSLSSINTDDETDHYCELGLQVVSHLSSELEHVKKASVLDADSITGTAAKLSHGLIKARDLLDKNLKNVEDGGFSETVKSFLQNAEDDVMKLLEEEKKIMALVKSTGDYFHGKSGKDEGLRLFVIVRDFLIMLDKVCKEVKDAQMKPTKPVKQETSRGTSSSETPPLSDYRQRLFPAIAERRIGDISSDDESP >OIW07890 pep chromosome:LupAngTanjil_v1.0:LG07:7134323:7138087:1 gene:TanjilG_19991 transcript:OIW07890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYRSGGLQIGGSDVVDQPKCLRNCIGEVIRIKHLENVRFGIIIRFDKYSQKHSIMFEDGCVEIYDFSKEDWN >OIW07784 pep chromosome:LupAngTanjil_v1.0:LG07:11502613:11510297:1 gene:TanjilG_03571 transcript:OIW07784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHSSSISIIFFLSILLLSSASDDDRRVSLHAKKLIRDLNLFPGDDLNIANSSLPFQPRKIVEKRLRFPNVLGSDPDSGVTVEDLGHHAGYYSIQHSHAARMFYFFFESRKQKEDHPVVIWLTGGPGCSSELALFYENGPFKVTDNLSLAWNEYGWDKESNLLYVDQPTGTGFSYSTDPRDIRHDEEGVSNDLYDFLQAFFAEHPQYAKNDFFITGESYAGHYIPAFAARIHRGNKAKEGIHVNLKGFAIGNGLTDPAIQYKAYADFALEMGIITKGTHDRLNILLVPACESATKLCGTIGKAACAPALVLCNAIFSDIMLHAGDINYYDIRKKCEGSLCYDFSNMEKFLNQKSVRDSLGVGKIHFVSCSPEVHTALLLDWMRNLEVGIPALLEDGINLLVYAGEYDLICNWLGNSRWVHAMEWSGQKQFGASPEVPFVVNGSEAGLLKNYGPLSFLKVHDAGHMVPMDQPKAALEMLKKWTTGTLSESRADGENLVAEM >OIW07737 pep chromosome:LupAngTanjil_v1.0:LG07:12172358:12176528:1 gene:TanjilG_11895 transcript:OIW07737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKKNIVLTKPFSIENESDSDVSYRAPNLLRRLLSLLKNVRRGSDLTRFQLPPQFNFPKSQLQCYGESIYSISSNLLSRCNNGHSPLDRLISVVAWSISTTRPPIFGVAPYNPTLGETHHVSKGNLNVLLEQVSHHPPVSALHATDEKENIEIIWFHYPVPKFNGTNVEAEVHGKRQLKVLNHGETYEMNSPNLFIRILPIPGVDWVGNVNIRCLETGLMAELCFKTQSFLGLSGNQRLIKGKIIDSSSSKILFEVNGHWDSTVTIKDMKNAESRVIYDAKEAISGLQAPIVKDEQNVWPTESTLIWSELSQAIMSQDWEKATEAKKFVEEKQRDLLRERESKRETWVPKHFTLCQNKEGCWECLPIQKLVPNSPITTL >OIW07468 pep chromosome:LupAngTanjil_v1.0:LG07:18114840:18115607:1 gene:TanjilG_14414 transcript:OIW07468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLSGKLQQVHDTHIEKEDEDNNILENYGSASVHQHNRSIDINQPPQIEDQDQGHLSIGHEINFEVKSTSEESKEISVVETMMNEHGSGLGVDLKLKNPSQSSSSRISSLSSTPFNKTSASSMFGSDENLGDASKDEGLPFTLAGCTRCYMYMLVSKDNPRCMICGNRMLIDKFE >OIW07769 pep chromosome:LupAngTanjil_v1.0:LG07:11879712:11881899:1 gene:TanjilG_12895 transcript:OIW07769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTLLVKEHGLNLKETELCLGLPGGGSEVETPRANGKRGFSETVDLKLNLPSKEDLNENLKNVSKEKTLLNDPAKPPAKAQVVGWPPVRSYRKNVMMAQKVNSEECNEKTSSGSFVKVSMDGAPYLRKVDLTMYRCYQELADALAKMFSSFTMAPRAMEKCKSRS >OIW08786 pep chromosome:LupAngTanjil_v1.0:LG07:160719:163719:1 gene:TanjilG_16367 transcript:OIW08786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAQRALLDELMGAARNLTDEERKVYKEVNWDDKDVCGFYMVRFCPHDLFVNTRSDLGTCPRIHDQKLKESFEKSPRHDAFVPKFEAELAQFCEKLVMELDRRVKRGRERLAQEVEPAPPPPLTAEKSEQLSVLEEKIKNLLEQVESLGEAGKVDEAEALMRKVEILNAEKTGLTQPQNEKVLLLAQEKKMALCEICGSFLVENDALERTQSHITGKQHVGYGMVRDFIKEYKDAKEKATEEERLAREREAEDRRIQREKSERSRRGDSSDRERHRDRDRERDRHRDKGSDRERSRDRNGGRWVDSRMRNGRNGGRDGYRDRRRSRSPARHSQRR >OIW07632 pep chromosome:LupAngTanjil_v1.0:LG07:15878272:15878544:-1 gene:TanjilG_03740 transcript:OIW07632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKRDHSPAIKECATQDYDGIINAFEYALANVNHNPQNSINYLRRDLAYAEGKCSAALNDDPKPYFEVNVLISHVYFYTEVAELSLNNLV >OIW07778 pep chromosome:LupAngTanjil_v1.0:LG07:11412552:11413541:-1 gene:TanjilG_03565 transcript:OIW07778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFHILFLIFISIPFSPSLAKLNTDYYKTTCPDFEKIVRENVFTKQSVVPATAAGILRLFFHDCITDGCDASLLVSSSAYNPHAERDADLNLSLSGDAFDIIGKIKNALELACPGIVSCSDIVAQATRDLVKMVGGPFYKVRLGRKDSLISNDLRVHASLPLPNMTMDQIIEKFTSKNFTIREMVALTGAHTIGFTHCKEFINRIFNFSKTSQVDPTLNPKLAEGLRQVCQNYTMDPSMAAFNDMRSPSKFDNAYFNNILNGLGLLTSDYLLSVDPRTRPIVEQYAKDEKVFFQDFAKAMEKLSVFGIKTGRKGEVRNRCDQFNNLPAI >OIW08804 pep chromosome:LupAngTanjil_v1.0:LG07:245794:248128:-1 gene:TanjilG_16385 transcript:OIW08804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVGFSSYGDHWRNLRRLTTLELFSSNRLAMFTTVREQEVQLVVKQIFQDCKGKLSTVNLRERLVELSFNIMLRVISGKRYYGQHAVAQEGKEFQMLMKEFAELQGNENLIDFFPILKLIDFKGMTKKMVKMMNKMDNFLQMLVDEHERNRAITEVKDPKHMTLIDVMLDLRQTEPEFYTNETVKGVILSMLVAGAETSATTLEWGMALLLNHPKVLNKVKVEIQTHVTHQDQLLNESDSMKLTYLHNVITETLRLYPVAPLLIPHESAIDCKVCGYDIPQGTMLLVNLWTLQRHPDVWADPTRFMPERFEGGACGEVYNMIPFGLGRRACPGAVMAKRFMGHALGALIQSFEWDRIGEDEINMEDGVGLTMPKVEPLVALCRPRQEMIQALSKI >OIW08415 pep chromosome:LupAngTanjil_v1.0:LG07:3155820:3158138:-1 gene:TanjilG_03091 transcript:OIW08415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKVSGFLRLFVCLVLLGVAMAVSSKFDQLFQSTWASDHLVHQGDFLKLKLDNHSGAAFGSKSKYMFGKVTIQLKLVEGDSAGTVTAFYMSSDGPTHNEFDFEFLGNTTGEPYSVQTNVYVNGVGNREQRLDLWFDPTRDFHSYSIFWNQRQVVFLIDETPIRVHTNMEHKGIPYPKDQPMGVYSSIWNADDWATQGGRVKTDWSHAPFFATYKSFEIKACECLVSVAAIENSKRCSSSVDRKYWWDEPTLSVLNLHQIHQLMWVRAKHMVYDYCSDTARFPVTPAECVHHHHQ >OIW07768 pep chromosome:LupAngTanjil_v1.0:LG07:11840805:11841177:-1 gene:TanjilG_12894 transcript:OIW07768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKVAYVNDLNLKATELRLGLPGREENEEKTKSDVRTNKRSLIETSEECGSNAQNMNNDAAPPSKAKIVGWPLLQK >OIW08752 pep chromosome:LupAngTanjil_v1.0:LG07:676040:677107:1 gene:TanjilG_03428 transcript:OIW08752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIQTQFYHTNGGSSPFCDNGFYASGFTDSCFNPQQIQHLQQLQQLHQCSQQSYNESHNNLVDPNLLVYNSKALNPPKSFFQLEDIDQYIRLQNEKLRFVLQELVKQQASELQNRVESHSLKLLKQKDEEIAKATKKRVELEDFIIRLETENQGWEKMAQENEATALSLYKTLEEMKEKASYNNNEMVVADDAESWCDERRRDKEEGIVRGNRTRVEMEQITKEMMVCKSCNSERSCFLFLPCRHLCSCKTCDAFLKDCPVCTMPKKASIETLI >OIW08750 pep chromosome:LupAngTanjil_v1.0:LG07:684504:687113:-1 gene:TanjilG_03426 transcript:OIW08750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AMDRLLSSSPSLHTNLKPFTFPTHTTLPHFPRFNFFHSSSSLFNRATSISCNHENPSPFSSSAPFCALPLRPNHLSSPLLSDSSIPNTQYTNHVTTHDLNKPKAITARTFVILAGLVMLLIQPGFAPAAFASFPAAAVGGRLIRTELLSSACAGFFAGCLHTLSGPDHLAALAPLSIGRNRMESAAVGALWGCGHDAGQVIFGLMFLLLKDRLHIEIFRTWGTRVVGLTLLVIGAMGIKEASEVPAACVALENGECDVSVYDSPDNPLVGKKKIGFATFATGIVHGLQPDALMMVLPALALPSRLAGAAFLIMFLLGTVFAMGSYTVFIGSCSEALKDRVPRITEKLTWASSLIAIALGLAILISPFFGFSLF >OIW08270 pep chromosome:LupAngTanjil_v1.0:LG07:4469619:4471973:-1 gene:TanjilG_21736 transcript:OIW08270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNSCSDASFSNRSLRKKSKLRNYDEDVMDEQLVDDLKKKNKTQEDIDRETETEAMIAFSLGFPIDALREEEIKAGVVKELGGKEQNDYIVVRNHILALWRENVKVWLTKTHIRETVSSECEHLINSAYDFLFYNGYINFGVLPSFTSQIPETIFEGGVIVIGAGLAGLAAARQLLSFGYKVAILEGRDRPGGRVYTKKVGHEDTLAFVDLGGSIITGIDANPLAVLARQLCIPLHKIRDRCPLYKPNGELVDKEIDSHVESVFNNLLDRVMELRQIMGGGFSRDTSLGSVLEKLKLLYGAVGSVDEKQLLEWHFANLEYANAGCLSNLSAAHWDQDDPFEMGGDHCFLAGGNGRLINALSEGIPIFYGKTVNTIRYGNDGVDVVVVGGQVFRAQIALCTVPLGVLKKKSIKFEPELPSRKLEAIERLGFGLLNKVAMVFPHVFWGEDLDTFGCLNGQSHQRGQFFLFYSYHTVSGGPTLIALVAGEAAQTFESTHPHVLLHRVLSVLRGIFEPKGIIVPNPIQSVCTKWGADPLSYGSYSHVGVGSSGGDYDILAEDVGNQLFFAGEATSRQYPATMHGAFLSGLREASRIYKLTGCPQNNPRKITPKNIGPIIDILVDLFKKPDLEFGRFSFILDPSSESPHSMVLLRFLYPVPEQLPLPLYTIISREQVHQLQRVTGADQSVLEYLSNNLGLKFMGTSAVCNAASSLITSITSSRKSKGKNRITAGGTVGP >OIW08469 pep chromosome:LupAngTanjil_v1.0:LG07:2653773:2654435:-1 gene:TanjilG_03145 transcript:OIW08469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVKECGHHGDEERSALLRRIFGVIVVLIVLILFIIFLIWIILRPTKPTFMLQDATVFAFNLSSTQPPSFVPIPNTITITMQVTLLAHNPNKRIGIYYQKLNVYASYRNQQISLATAIPATYQGHKDFTVWSPFLFGAAVPVSPYTLSSLQQDQNGGAVMVNLKVNGRVKWRVGNWISGRYHIFVNCPAYIRFAGDRNGGVGVVAPAVKFQVLQSCSVDV >OIW07505 pep chromosome:LupAngTanjil_v1.0:LG07:18500297:18503176:-1 gene:TanjilG_14451 transcript:OIW07505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLRHCTHNVHYINAIKGGSVTKELNVTRGKPTLSFRDLADIDGDVKTEHVAGFIARRTVKAEPDSPVCNANGSRSCDYEDNDFENITLKQFQEKCKTRKRKYSHSIDSCKGKIKIEVPSSPEDNGEKQMSPDDSDLVETLSSWRSKLPKKMKIEKRKCIEDPIYSDAQEVIPVVDLEDIQIGQEFGHSSGDLLALVEVKSEVPETDCMDHSMKLSNDSGSSSACDGLDFYSGMVDKDETEIKHGFYLENELNYVSEERDDLIPLRMAWASCTDTVVSNPEFTNGQSLNFPAIEFASEKCITQPDVHHISPEVISLVEHHHSSVSENQPDGDTSISLPNVAIPEYPDYEDLGYRDDSIFLSDCSKNEFTSDAEVQAKTSSNTEHGFNSGGSFISSSDDSSESKEKQLFSSIPENGGDQKTEATDELISTDEHCSSDLDCPRRLLSNRKSMPPPSQERLCKAMQMIDICDEDPFKYRGKLEFGEQSDKNGTAEGLDEPTKARSAKNRNEMTFSTKMFRLRKEFQSIRDCPNSTSIAFSKRQMRDAEILTTKLTKELKSMTDIVEDMLRSEFCLNTPLRYKVNEARLAVKNATRTEETTNRCLSIMSRNCIRFCRLMKLAEEDGPVPQEVTQKVRKKVVFADEVGGNLCQVKFYDDADQTSFSESN >OIW08454 pep chromosome:LupAngTanjil_v1.0:LG07:2819612:2821821:1 gene:TanjilG_03130 transcript:OIW08454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQQEGQYSDPSSNTYFAAQMQKHMAGQRMETNSGGNFEERLEAFTPERENPYANSKPEGQWRWESDESKMPNSMSSHMYNEGQGGDASRSYFQRQRPDPKFALQNQSNSDPRSQARGEDRDVGYEADRSSQFEGLEKNFNDDIVKLAKELNDAEDAEQARHREKINAINTQYEEKLAALRAQHASRRSEFLQRESQARQQQYQQSIRDPYPSSGMTSRDPHGYNTVNASGGGEVQRGYSSDQFDPYREQARFLGSARDQRFEPRGPYPGGRVYDTTGSRYYN >OIW08261 pep chromosome:LupAngTanjil_v1.0:LG07:4540824:4542010:-1 gene:TanjilG_21727 transcript:OIW08261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVPEKDPLAQLSLPPGFRFFPTDEELLVQYLCRKVAGHHFSLPIIAEIDLYKFDPWVLPGKAIFGEKEWYFFSPRDRKYPNGSRPNRVAGSGYWKATGTDKIITTEGRKVGIKKALVFYIGKAPKGTKTNWIMHEYRLLDSSRKNNGSAKLDDWVLCRIYKKNSSAQKTVVPSFSSTEYSNGGSSPSSSSHVEDMIELPEINDQFFGLPRMNSLRTSQQNHDGFQNLGSFSDWVNSSGLDSVPEFQTQGMVNYGENDLFVPSMPQFCHVDLTGKQVEEEVQSGLRNQRRINENSGYYQQNPNVFMQYNDSFSFGYPNQQDGFGFGK >OIW07690 pep chromosome:LupAngTanjil_v1.0:LG07:13609633:13615244:-1 gene:TanjilG_30767 transcript:OIW07690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAYSAAEDVKFPKKDDVVYFNGQPVRMAVPLTVIRSVTSNGGARVVAPPLVEVKEEPKEVTYSEVVMETKMKEPKSEQECFEFKDTKTTSFDHFLKLTNTKVMSHDECLKSMVHVKEERTECIEPEEKKPVFSEAREKETPSFSEDSLKSTAAQGVDSDAVESKKRGDEGVEILKVNPFAGLNLRRHSKVKVAEVKKEKVEVKILNVEDGEFPSEPGWLLIGRKVELALATTKGVRKLVDNEIVHFNFTLPYKSKSQWIVRISTKRSGEVGRLPMEWANTVVPLVQSGKVKVRARCISAPYSLQMMQEIMLLVSFYTHQSILTQSVDTSWKLDATNINPSIYPLLTLFKMLEIKPSQKADFAPEDIDFRKRLLNPKLDPDEVAALPLIKRRKGGEQLSEQKDDDEQALSESALNKLVGAAEIYELEEKDGPSTLTCCLKPYQKQALYWMSEIEKGMNVERDAENLHPCWSAYNICDGRTIYVNIFTGEATARFPRATQMARGGILADAMGLGKTVMTIALILSNPSKGNDTENETDNLATNKRNVNTTNRVNGGTLIVCPMALLGQWKDELETHSKAGSITIFVHYGGGRTNNPQLLSEHDVVLTTYGVLQSEFKSDGVNSIYHRVNWYRVVLDEAHHIKGRKLQISQAAFALSSHCRWCLTGTPIQNSLEDLFSLLCFLRVEPWCNWAWWHKLIQRPHENNDPRALKLVKGILRTIMLRRTKETKDKEGRPILVLPPTDIQLIECEQSESERDFYDALFMRSKVQFDEYVEQRKVLNHYANILDLLMQLRRCCNHPFLALCGKDSQKYADLGRLARRFLQSNTNSSDMCIQEDPQQSAELNKLATKFLLKTDSAPNAVQSRTYIDGVMDNIQNGENIECPICFESPDDPVFTPCAHSLCKECLFNFWGTSVGGKCPICRQKLQKSDLITCPSLSPFKVDVENNVTESSKVSKLLDYLQSIERSHFGEKSIVFSQWTSFFDLLENPLKIRGIAYLRFDGKLTQKQREKVLNEFNETKEKRVLLMSLKAGGVGLNLTAASHVFIMDPWWNPAVEEQAIMRIHRIGQKRRVIVRRFIVKDTVEDRLQQVQAKKQRMISGALTDDEVRSSRIQDLKMLFT >OIW08040 pep chromosome:LupAngTanjil_v1.0:LG07:9360660:9362874:-1 gene:TanjilG_20141 transcript:OIW08040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKMKSAGKHYECSDFQWGFLPIGPTNLACRSSCVLRWQSPCRWGIHSPVVVCVLQPKKRWGSCWSQYWCFGSHKTSKRIGHAALVPEPVAPTGPAAIAAPNPSTAIIMPFIAPPSSPASLLQSDPSSSTHSPAGLLSLSSLSVNAFSSGGPANIFAIGPYAYETQLVSPPVFSNFTTEPSTAPYTPPPESVQLTTPSSPEVPFAQLLASSLDRARKNNGTQKFSVYNYEFQPYQQYPGSPGAQLISPGSVISTSGTSTPFRDKRPTLEFHKGETPKILGYEHFSTRKWSSRLGSGSLTPAGTEHGSRLGSGSVTPDGVGVGLASRLGSGTVTPDGLGQDSRLDSGSLTPDAARLTTQGSMYLPNQISEQASLASSESGHQSNAMLVSHRVSFELTGEDVARCLANKTGMLLRNMSRSSQGIVANDAVDRERTQIDGNSCCDVCSVKTNDRSDNALGGVQCCQRHHSAASSKDFNFDNRKGDASGNSANGPEWWTNKKANGREGKSANSWAFFPMLQP >OIW08338 pep chromosome:LupAngTanjil_v1.0:LG07:3771499:3773699:-1 gene:TanjilG_03014 transcript:OIW08338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAYRADDDYDYLFKVVLIGDSGVGKSNLLSRFTKNEFSLESKSTIGVEFATRSIHVDDKIVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTRHVTFENVERWLKELRDHTDANIVIMFVGNKADLRHLRAVSTDDAKAFAERENTFFMETSALESLNVDNAFTEVLTQIYHVVSRKTLEIGDDPAALPKGQTINVGGRDDVSSVKKAGCCSS >OIW08345 pep chromosome:LupAngTanjil_v1.0:LG07:3710521:3713715:1 gene:TanjilG_03021 transcript:OIW08345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLFLLFFLSFLSPLHLSLSLTQDGLSLLEAKIHLSDPSNSLSSWNSSDQTPCNWYGVTCHNTTVTAVNLNSLSLSGPFPISLCRIPSLTSLSLSNNFINSSLPSLTFPNCRTLRHLNLSQNTLIGRIPHSLSRISSLQHLDLSFNNLSGPIPSSIATLPKLESLILVNNFLTGPIPDFLGNISSLKLLHLAYNPFTPSHLPSQLANLTKLESLWCTSCNLVGPIPHSLGALVNLKNLDLAENSLTGTIPESFSGLKSVTQIELYSNSLSGELPLGLANLTQLESFDASMNGLTGTIPEGLCRLKLASLNLFSNKLEGSLPEVIVSSTKLYELKLFNNNLVGELPSNLGRNSPLNDIDVSYNNFSGKIPASLCNGGQLEELILIYNSFSGEIPESLGNCKSLRRVRLRNNKLTGIVPDGFWGLPHMYLLEVIENSLSGHVSNSISGAKNLSSLLISKNQFNGSIPDGIGYLGKLLEFDGSYNKFSGRLPDSMVRLSQLDNLDLSHNEFSGEIPGGIVRHWNQLNDLNLAYNRFNGKIPIELGSLHVLNYLDLSSNYFSGEIPKQLQNLKLNVLNLSNNQLSGDIPPLFANENYRMSFEGNPGLCGDLPGLCPSSGESKKQKYAWIFSSIFVLAGVLFVVGVGWFCLKYRNMKKLKKGINISKWRSFHKLGFSELEIVKLLSEDNVIGSGASGKVYKVVLSNGEVVAVKKLWGTTNNFNGVVSSEKDDFEAEVETLGKIRHKNIVRLWCCNNSGDSKLLVYEYMPNGSLGDMLKSSKKSLLDWPIRCKIAIDAAEGLAYLHHDCAPPIVHRDVKSNNILLDGEFGAKVADFGVAKVVGGVGPGAESMSVVAGSYGYIAPEYAYTLRVNEKSDIYSFGVVILELVTGKPPTDPEYGESNLVKWASSTLEQKGLNYVIDPTLDSKYTEVISKVLSVGLICTSSLPITRPTMRRVVKMLQEATTVPKSTSVKDETLSPYYYEEAHVHQGSVA >OIW08819 pep chromosome:LupAngTanjil_v1.0:LG07:338555:340945:1 gene:TanjilG_16400 transcript:OIW08819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ITNHKFHELDSDKYGKLYVKKLKPAFPDIAAAPGLPPHLITSILRNGKLEKVSKSEFQDILLSMATGLKRDSIVILRIDGEDLLEFVNGPSYEAEIVSIFSHIASTDKSLHHHIIEALGKLTVEQGIPPISDSWVINNIVEPALLSQGGYGWDKPVSYEEAFLEAFKKVALIMANRLKEQPVIVAHSENTFDGSGVKRLLSNKFELDKTLNSALENFPKDQNGKLSKEYLRAALEVLSPSAGLPPVGAIAEMNKITGEVFKMVNADDAKVVKEDEFKKILTEILGSIMLQLERKPVSVSSDSVVHESLGSSSTLLQPSSTEKA >OIW08228 pep chromosome:LupAngTanjil_v1.0:LG07:4780005:4781917:1 gene:TanjilG_15189 transcript:OIW08228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTRPGRKDVDSYTIKGTNKIVRAGDCVLLRPLDTTKPPYVACVEKIDEDNRSNVNVRVRWYYRPEESIGGRRQFHGAKELFLSDHYDVQSAHTIEGKCIVHSFKNYTKLENVAAEDYYCRFEYKAATGAFIPDRVAVYCKCEMPYNPDDLMVQCEGCKDWYHPVCMGMTIEEAKKLEHFVCSECSSSDDLKKPQVTFSASPGADGKVLYPVPNNYAFTLFLLTYFSY >OIW07923 pep chromosome:LupAngTanjil_v1.0:LG07:7615472:7622256:-1 gene:TanjilG_20024 transcript:OIW07923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQDVEMKDNPNTPSQSLPPPPPSTLHHLKEIASVIENGSKSNEVRRIGRAVRLTIALRKRLTASVLSSFIDYALIPGSDPHPRLSSYLPKMTQDVEMKDNPNTPSQSLPPPPPSTLHHLKEIASVIENGSKSNEVRRIGRAVRLTIALRKRLTASVLSSFIDYALIPGSDPHPRLSSYLPKEDDQAMETDTAISAAQTQGKQLSPELEIYCYFVVLLFLIDHKRYNEAKDCSSASIVRLKSLNSRTVDVIASRLYFYYSYSYELTGDLAEIRGNLLALHRITTLRRDELGQETLLNLLLRNYLHYNLYDQAEKLRSKAPRFEAHSNQQFCRYLFYLGQIRTIQLEYTDAKESLLQAARKAPVAARGFRIQCNKWAVIVRLLLGEIPERTIFMQGGMEKALRPYFELTNAVRIGDLELFRSVTEKYATTFNTDRTHNLIVRLRHNVIRTGLRNISISYSRISLVDVAQKLRLNSSNPVADAESIVAKAIRDGAIDATLDHANGWMVSKETGDIYSTNEPQLAFNSRIAFCLNMHNEAVRALRFPPNTLKEKESAEKRRERQQQEQELAKHIEEDDDDDF >OIW08516 pep chromosome:LupAngTanjil_v1.0:LG07:2371746:2378336:-1 gene:TanjilG_03192 transcript:OIW08516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSDPKPMNPSSWAKKTGFKPKFSGETNASDSGQITLPTNATQPDTNLDLESGRVRNQAVHNANGNGNGVGAAQGEKVPVKKRRDSDGAPKNSVPSTNGQANAPPPVDQPMRRAVRHEEVVDDDEFVHRQSHMKYELRDSPGLVPIGVYGIQHYVSILGSLILIPLVIVPAMGGTHEETSKVVSTVLFVSGVTTLLHITFGSRLPLIQGPSFVYLAPALAIINSPEFRGLNENKFKHIMRELQGAIIIGSSFQALLGYSGLMSLFVRLINPVVISPTIAAVGLSFYSYGFPIVGTCLEIGAIQILVVIVCSLYFRKISVLGHRIFLIYAVPLGLAITWAFAFMLTEAGVYSYKGCDINTPASNMVSEHCRKHYSRMKHCRVDTSQALKSSPWFRFPYLLQWGTPIFHWKMALVMCVVSLISSVDSVGSYHASSLLVASRPPTPGVLSRGIAVEGLSSVLAGLWGTGTGSTTLTENVHTIAVTKMGSRRAVQLGACFLIVLSLIGKVGGFIASIPDVMVAGLLCFMWAMLTALGLSNLRYSEAGSSRNIIIVGLSLFFAFSIPAYFQQYGISPNSNLSVPSYLQPYIVASHGPFHSKYGWLNYVLNTLFSLHMVIAFLVAVILDNTVPGSKQERGVYVWTEPEVARREPAVANDYGLPLRIGKIFRWVKWVGL >OIW08743 pep chromosome:LupAngTanjil_v1.0:LG07:715989:717680:1 gene:TanjilG_03419 transcript:OIW08743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSTLSSSSSSSTLSLNTSLFNKPLNPKPSSFSLLRPRRRTPLLAAANNPISGIVFSDTPYPQSETLYDDKPREECGVVGIYGDPEASRLSYLALHALQHRGQEGAGIVAVHNNVLQSITGVGLVSDVFNETKLDQLPGSLAIGHVRYSTAGQSMLKNVQPFVAGYRFGSVGVAHNGNLVNYSTLRAELEESGSIFNTTSDTEVVLHLIATSKQRPFILRIVDACEKIEGAYSIVFVTEDKLVAVRDPHGFRPLVMGRRANGAVVFASETCALDLIEATYEREVYPGEVIVVDKEGVQSLCLMAHPQPKQCIFEHIYFALPNSVVYGRSVYESRRQFGEILATESPVECDVVIAVPDSGVVAALGYAAKAGVPFQQGLIRSHYVGRTFIEPSQKIRDFGVKLKLSPVRAVLEGKRVVVVDDSIVRGTTSSKIVRLLKEAGAKEVHMRIASPPIIGSCYYGVDTPSSEELISNRMNVEEIRKFIGSDSLAFLPFDSLTRLLGNESPNFCYACFSGNYPIEPKELKVKRVGDFVDDGLNGSLESIDGGWVQANRNIKEVKVGGT >OIW08318 pep chromosome:LupAngTanjil_v1.0:LG07:3891239:3893383:1 gene:TanjilG_02994 transcript:OIW08318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGPSDGTTISHLPEEILANVFAAVSDTRTRNSLSLVCRSFLRLERKTRTSITLRGNARDLHLLPTSFIHVTHLDLSFLSPWGHALFCNSSTTASADPRQLALRLRDAFPRVTSLSVYVRNPTTLHLLLLSPWLDLRHVKLIRWHQRPPGLPPGSDFAALFSHCRSLTSLDLSSFYHWPEDLPPVLATNPAAAASLRRLNLLTTSFTEGFKSHEIESITSCCPNLEHLLIACTFDPRYLGSVGDETLLAIPSNCPKLSLLHLVDTSSFLNRRDVEGFAGEDARVSRATLVALFSGLPLLEELVVDVCNNVRESSFALEVLCSKCPKLRVLKLGQFHSICLAIGSQLDGVALCQGLQSLSVKNCGDLDDMGLIEIARGCSKLVRFELEGCKLVTEKGLRTMTCLLRKTLIDIKVSCCVNLDTAACLRALEPIRNRIERLHVDCVWNELKESDNLGQGFLKFDLNVMGEMCSSDVNGSKFEDYFGDMECGDTSKKKRQRCKYESEDDCFAQSNVSGYWDRLKYLSLWIEVGDLLTPLPVAGLEDCPNLEEIQIKVEGDCRGRPKPAESEFGLGILACYPQLSKMRLDFGDTRGYALTAPSGQMDLSLWERFFLNGIGSLSLNELDYWPPQDEDVNQRSLSLPAAGLLQECYTLRKLFIHGTAHEHFMNFFLTIPNLRDVQLREDYYPAPENDMSTEMRVGSCSRFEDALNRRQIYD >OIW08655 pep chromosome:LupAngTanjil_v1.0:LG07:1307922:1308110:-1 gene:TanjilG_03331 transcript:OIW08655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMMRISCAIFVVVASMSVALSTALEAPEAAPGPSSGSSTTIPVFGSLVGASLLSFCAFYLH >OIW07756 pep chromosome:LupAngTanjil_v1.0:LG07:12596084:12596404:-1 gene:TanjilG_11914 transcript:OIW07756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRGDDKGGASVGHVQIVVPDSGSYSRRWFPPIIAVALQKEVTMAFVLVSIIFLPYLLSSSFTNGCRFQRARRNSFLALTAPKVVRELAPFTALGPFDPGFTKVT >OIW07698 pep chromosome:LupAngTanjil_v1.0:LG07:13856864:13857862:1 gene:TanjilG_30775 transcript:OIW07698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPVTINTAENNSNSNLNLTTTTTTVSSASSSPPSTPSRYENQKRRDWNTFGQYLRNHRPPLSLSICSGAHVLEFLRYLDQFGKTKVHTQLCPFFGLPNPPAPCPCPLRQAWGSLDALIGRLRAAFEENGGKPEANPFGARAVRLYLREVRESQAKARGISYEKKKRKRPLPRVQDPSQPSTTTLPPPSSNATNN >OIW07977 pep chromosome:LupAngTanjil_v1.0:LG07:8127780:8129441:1 gene:TanjilG_20078 transcript:OIW07977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDQAQHPTIMEKVSSQLSRSSGLSSDVQNYDAAFRRPAVFQRQSFGNYSNAALQYPMMPSCRATSDLSSVATASPVFAAAPAEKGHFLIDFLMGGVSAAVSKTAAAPIERVKLLIQNQDEMIKTGRLSEPYKGIGDCFKRTTADEGVVALWRGNTANVIRYFPTQALNFAFKDYFKRLFNFKKDRDGYWKWFAGNLASGGAAGASSLLFVYSLDYARTRLANDAKAAKKGGERQFNGLVDVYKKTLATDGVAGLYRGFNISCVGIIVYRGLYFGMYDSLKPVLLTGNLQDSFFASFGLGWLITNGAGLASYPIDTVRRRMMMTSGEAVKYKSSLDAFQQILKNEGAKSLFKGAGANILRAVAGAGVLAGYDKLQVIVFGKKYGSGGA >OIW07812 pep chromosome:LupAngTanjil_v1.0:LG07:10786151:10790122:-1 gene:TanjilG_32004 transcript:OIW07812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVAVGGQTASLYVGDLESNVNEGQLYDLFSQVAQVVSIRVCRDQTRRSSLGYAYVNFPTPIDAANAMEHLNFTSLNGKPIRIMYSHRDPSVRKSGFANLFIKNLSTSIDNKGFHDTFASFGNILSCKLAVDSSGQSKGYGFVQFDNDESAQTAINKLNGMLLNDKKVYVGLFVRRQERSPSNGSPKFTNVYVKNLSETYTDEDLKHLFGPYGAITSAVVMKDVNGKSRCFGFVNFQTPDSAAAAVDKLNGTATNGDKVLFVGRAQSKAEREADLKAKFEQERLGRYEKLQGANLYLKNLDDSVTDDNLTELFSEYGTITSSKVMVDSLGQSRGSGFVAFSTPEEANKALNEMNGKMIGRKPLYVAVAQRKEERKARLQAQFSQVQAPGAIAPLPAGIPGYHPGTPRFAPQQLYYGQGTPGLIPPQPAGYGFQQQLMPGMRPGVPPNYIMPYQLQRQGQPVQRIGARRAGNLQQVLHHNSNQGFRYGRNGIDSVVPLPFDGSGVTEAPIDNQHPGALSNTLTSALASATPENQRMMLGEHLYPLVGRLTPSSQTAKVTGMLLEMDKSEVIHLIESPDELKIKVSEALQVLREAGSGSDVGDQLGSLSLN >OIW07745 pep chromosome:LupAngTanjil_v1.0:LG07:12362742:12367379:1 gene:TanjilG_11903 transcript:OIW07745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKASGGAAEKKRVRRSSAPDPNSDAPPRKQAAQKDVFQVFAEKVRDNKDLVSRWAVLQETRVEYFRGKDFVNFLKNHPEVKDVLESDKNLETEEIANILLGKSLLVRCDRVVKTVRPGKKKLSTWPAHLEIFPEQVFSENDAFFAWTFVKRHPLWQTLLSFFWPVLTLAICLFPVYPHRCKLLILYSCAGILFLILSLLLIRGTIFGILYILLGKRVWFFPNILAEEATLSELFRFWPKKDEEERPTWTKRLFYTLVAMLVIMLLRHHAPDEAARARYQKRVSNIIDDVLEWSPTLALSGMMDKQQNVTNATGSADGASHASKTDPEHASQSDGDDGKTFTEQYNTEEVIDNVEDAGEEDKQHD >OIW08747 pep chromosome:LupAngTanjil_v1.0:LG07:693071:693367:-1 gene:TanjilG_03423 transcript:OIW08747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKTGNRIAHATQKLQRSLSQRIRMASGIGDVPKGHLAVYVGENHKRFVIPISYLNHPLFKDLLDWAEEEFGFNHSMGGLTIPCSENYFITLTSCLNQ >OIW07821 pep chromosome:LupAngTanjil_v1.0:LG07:9987253:9987483:-1 gene:TanjilG_32677 transcript:OIW07821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRRYLLVLLRLMFLLIYWIGSCYGSSHTQVFNVKPKSQGLPQSFYSYLPKAMPIPPSGPSRKHNGIEIERSLGKP >OIW07790 pep chromosome:LupAngTanjil_v1.0:LG07:11167818:11173399:-1 gene:TanjilG_31982 transcript:OIW07790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNHHRSSSASSKNGLPPQELLDDLCSRFVLNVPKEDLQSFERILFLVENAHWFYEDNSVENNPSLKSLSLKEFTSLLFNTCDVLKPYVAHIDDIFKDFTSYKVRVPVTGAIILDETYERCILVKGWKGSSWSFPRGKKSKDEEDHACAIREVMEETGFDVSKLLNKDEYLEIIFGQQRVRLYIIAGVKDDTAFAPLTKKEISEIAWHRLDELQSASGDVISRGITGLKLYMVSPFLASLKSWISSHQPPMAPRLDLPLKEELCKTGKVFFAVFNVASSRTQQQPASSNSNFWEAASALFGHSAIPANQLLLFPIPTSPCLFPLPFFSSSDISLEA >OIW07462 pep chromosome:LupAngTanjil_v1.0:LG07:19069189:19070208:-1 gene:TanjilG_24324 transcript:OIW07462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSENRSIGIILTCFAQSFHCRHGKAYLVEKVVNVTLGEKEERMMITGMHTVVDIFCVFCGSIIGWKYEAAHEKTQEYKEGKFIIERCKVLGPDGSLYLPPAPEADVAADVAADDAADDE >OIW08733 pep chromosome:LupAngTanjil_v1.0:LG07:791177:794936:1 gene:TanjilG_03409 transcript:OIW08733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMNNALSLSASASPHSPFPYPQPHDSLFPTCKFNIPWNQQRAMLSLRLTPFPLNSKRRRKTTFISAAAPNQEAEESFKKTVEIDRLIDLLREANPHQLQKLVVENILAFNPTFWIRLAARTDTCKSEDDKRDYEELATTIMIVVDRVVNKTKEKIESSTDVLKVVLKPVIDDVGEIAWPPRDPKALTLMEKEISQREQAGQLDEGFLAEVNAQLRQAKEDGDKPGLEAMLQKVLQLYASTVLSKRSYAMKGEEVLKDEQFLETIIQAPEEEWNNILINGLTIGAGDISPEELYAVLKKRNERTLIRTEGGSYQQRILTEYLKGIETRAQEIVKVFQGKAQ >OIW08150 pep chromosome:LupAngTanjil_v1.0:LG07:6319380:6319574:1 gene:TanjilG_06693 transcript:OIW08150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHSSTNFPSNLPVLDGKNWNRWSIQMKAILGFQEITEIVEEGYPELNAEATKQQRALFKTRIL >OIW07659 pep chromosome:LupAngTanjil_v1.0:LG07:14489866:14493857:-1 gene:TanjilG_07701 transcript:OIW07659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLVMLILILIIYGVRGKESEKVINTEGNPDSVVWVVQLSDIHFSVHHPDRAHDFHKYVGPALKTINPSLVLITGDLTDGKSKDLLTMKQNEDEWVEYRDVMDSVIERSGLDKSLFFDLRGNHDNFGVPVVGGSLDFFSKYSINGQLGRSGSVNSITVETQERKHLFVGFDSTMSIGLRGPTNVFGHPTDQLLKDLDLELSRWDSQSVKPVTKISFGHFPLSFSAPSGSGRTLEDVFLKHSISAYLCGHLHTKFGKNLKRFHQSSNRSLSLHKLFQFNMHQSSFQNNVNCPPETLSIQEFWEWEMGDWRKNRQLRILAIDSGHVSYVDIDFQSGSKKTIILPTFPLDSRFMSTSLSHHKYECQSVPPSYETIRALVFSVSPVVSVVARVYDSRYGNFDLVVEAPMNMIKHANETSRGELYVVPWNYKAFEDASPDRFWLQVEAIDIMGRSTLTELRPFSINGHRFKLSWSWKEFLVMGCQWSALYYPLFWSALGCMFFFLLLPKALVLFSNKIYTYKNFIANKSFANGVLWVLQELCRVRTLWFSWIGYLFYLILSPWFIGHVFTEGENKGYMTYIGWAVQTSHGKGKHEYVGAPDVMVVVLPHLLFVVLPAFFATGALTAERGIYREHMLAFSGKKKDDVDLNSRKSLSNGNQSNIQSNFLFSKRWIRKLLYVVCLAVCWKHFMVRLKPILYSSVHAKV >OIW08566 pep chromosome:LupAngTanjil_v1.0:LG07:1914414:1918636:-1 gene:TanjilG_03242 transcript:OIW08566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSHKRHVVLFSLITLLFISSFSLSFSLPNDNDDDEDLSFLDEPDDVTTSHHPDLDHFDDEEEEEEDAADEDFDDFSAFDHPASHEEEEPTFDDKHVVVLIERNFTTIVENNRFVLVEFYAPWCGHCKSLAPEYAAAATDLESDDVVLAKVDATEEQELAHEYDVKGFPTVFFFIDGVHKPYTGGRTKDAIVSWIRKKIGPGVVNITTLDDAERVLTSENKLVLAFLDSLVGADSEELANASKLEDDVNFYQTVNPDVAKLFHIDPNSKRPALVLLKKEEDKINHFDGQYVKSAIADFVSSNKLPLVTTFTRENAPSIFESQIKKQLLLFVTKKDSEKFVPIFQEVAKVFKGKLIFVHVEMDNEDVGKPVADYFGITGSDPKVLAFAGNDDGRKFVFDEEVSVDKLKAFGKDFLEDMLKPFLKSDPVPESNDGDVKIVVGDNFDQIVLDESKDVLLEIYAPWCGHCEALEPTYNKLAKHLRGIESIVIAKMDGTTNEHPRAKITVDADRTVVAFYKFLKKHASIPFKLEKPTSTSKLESSDVKESQSSSTDLKDEL >OIW08625 pep chromosome:LupAngTanjil_v1.0:LG07:1501312:1502939:-1 gene:TanjilG_03301 transcript:OIW08625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNTPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDALMKILTERGYSFTTTAEREIVRDVKEKLAYIALDYEQELETSKTSSAVEKSYELPDGQVITIGAERFRCPEVLFQPSMIGMEAVGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEISALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDEAGPSIVHRKCF >OIW08456 pep chromosome:LupAngTanjil_v1.0:LG07:2796110:2803503:-1 gene:TanjilG_03132 transcript:OIW08456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KVNKFRHRRKNSIEIDNSKLQNESDKGSIPPIGDADASSNCYVHHSPSTSQQAQVVAINQQITREEWAATRIQTAFRGFLARRALRALKGLVRLQALVRGHAVRKQAAITLRCMQALVRVQARVRARHVRLALEGETSQQKLQQQLVNEARVRETEEGWCDSVGSVEQIQAKILKRQEAAAKRERAMAYALAHQWQAGSRQQAIASGFEPDKSSWGWNWLERWMAVRPWENRFLDINTRDGVMGDEDGAMEGKHGIKPQFRSANTEPVPLNNLPNLASQKAGPSLSDGCDSSPNKSAGFVDSSNTQYVKPKSNVNVQNPVDEANSRPEIRSRSHSNPKERTTRLDKQAKKRLSLPNNGGGPTITNAKGTRTAQRPTRDKPKVNGGGNVNHTKSVIESTVM >OIW08054 pep chromosome:LupAngTanjil_v1.0:LG07:9541418:9547530:-1 gene:TanjilG_20155 transcript:OIW08054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIRSLRKKKAREPQNNPLSPNSSMVSSASSPSLTPRRKASRLYANFYVILSVSLFVSVIVLLNISSYKLRAFERVISYHMIKVVNEFPHDPQAFTQGLLYAGNDTLFESTGLYGKSSVRKVALRTGKVEKLKKMDGSLFGEGLTLIGERLFQVTWLQKLGFIYDQKNLSKVGTFNHEMKDGWGLATDGKVLFGSDGSSSLYELDPRTFKAVSKQVVYYKGHQVHNLNELEYINGEIWANVYMTDCIARISPNNGGFLGWILLSNLRKGLVEAGHRDIDVLNGIAWDDEQKRIFVTGKMWPKLYEVKVFPINKPIEEGVIEQLCLRRPLTFT >OIW08284 pep chromosome:LupAngTanjil_v1.0:LG07:4331702:4335076:-1 gene:TanjilG_21750 transcript:OIW08284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVILFITNLLLLFSQISTATDTITQLQSLPDDGSTLVSSDGSFELGFFSPGSSTNRYIGIWYKNIPDRFVVWVANRDHPIKHNSSKLSINKEGNLILLSKNGTVHWSINSSTKALSPIVKLLDTGNLVLREEKDDSNNEDSFLWQSFDYPCDTLLPGMKLGWNLKTGLNRRLTAWKNWEDPSPGNFTWSAVITGDPEMVLWNGSAKFHRSGPWNGVGFSGAPLWKGTPLTEHNMVNNADEVYYTYKCVNKSVMSITYINQTLYLRKRIAWIPENNTWKLYQYVPRDSCDTYNPCGPHGNCIVNESPICQCLEGFEPKSQQNWDIMDWAQGCVRSKPWMCRVKDKDGFIKSAGMKLPDTKYSWADRSMTLEDCKAKCLENCSCTAYANLDVRGAGSGCVIWFGDLLDLRLSDRGQDLYVRMAASETDAKEGHSKKKVVILVPLFLILVIALTLTYIYWRKRKLGGKTSDENKEERQEDLELPLFDFATITCATNDFSSDKRLGQGGFGLVYKGTLANGQEIAVKRLSHNSGQGTKEFKNEVILCAKLQHRNLVKVLGCCIHGVEKLLIYEYMPNRSLDAFLFDSSQSELLDWSKRFNIIYGIARGLLYLHHDSRLRIIHRDLKASNILLDSELNPKISDFGMARMFVGNETEGNTKIVAGTYGYMAPEYAIHGLFSIKSDVFSFGVLLLEIVSGKKTRGVFYADQGYNILGYAWRLWKEDTPMKLIDPCLGDTITLSDVLRCIHIGLLCVQLHPEDRPNMTSVILMLSSENTLPQPKKPGFLIEMESIVGESSSGKHISSSTNEITVTILEAR >OIW07872 pep chromosome:LupAngTanjil_v1.0:LG07:6961365:6963683:-1 gene:TanjilG_19973 transcript:OIW07872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIPTLSSSLPTISSSNSNFNNHSPSTFLTLPLTSLFGTTISLQRPSSTPFLLNNNIFPPTRAFSPTLSFSLPTKKPQTDGEPAKTLKWSARAIKSFAMGELEARKLKYPNTGTEALLMGILVEGTSHAAKFLRANGITLFQVRDETVELLGKSDMYFFSPEHPPLTEPAQKALNWAVDEKFKSGEEGEITVTHILLGIWSQEESAARSILAALGFNDEKAQELAKIKGDIDLSFKKQI >OIW07929 pep chromosome:LupAngTanjil_v1.0:LG07:7680369:7680869:-1 gene:TanjilG_20030 transcript:OIW07929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTVTSAAVAIPSITALKANTTTKVVASTAKVSSSPRLFSVKASLKDFGVAVVATAATAILSSNALAVDVLLGSDDGGLAFVPNDFSISAGEKIVFKNNAGFPHNVIFDEDEVPSGVDASKISMAEEDLLNGPGESFSVTLSEKGTYSFYCAPHQGAGMTGKVTVK >OIW08243 pep chromosome:LupAngTanjil_v1.0:LG07:4683927:4686177:-1 gene:TanjilG_15204 transcript:OIW08243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGSGGDRRKEAVEMMALHEALRTTCLNSDWAYSVFWTIRPRPRVRGGNGCKVGDDNGSLMLMWEDGFCRGRVSDYLEEMDREDPVRKSFSKMSIQLYNYGEGLMGKVASDKCHKWVFKEPTESETNISNYWQSSFDALPPEWTDQFDSGIQTIAVIQAGHGLLQLGSCKIIPEDLHFVLRMRHTFESLGYQSGLYISQLFSSTRNTFSSTELPSKPISTTTPIRPPPPLFNWGQRPLSSASMLSSPNFQQGFPQPQARDDETHMSLMPHTSETARMEDMIGEGEHDQNDIKWPNGLSFFNALTTRTDDSKILFNPESMGNKPGVQSHHHPLNTNPTSDDSSMQIATSSNLNEFLSLDTHHEGARKMEKFKRNFPLSSASNSMDQHQQAPLEYRNSEGGMYQDVMDTILE >OIW08232 pep chromosome:LupAngTanjil_v1.0:LG07:4759911:4760828:1 gene:TanjilG_15193 transcript:OIW08232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARNEEKAQSMLNRFITMKAEEKKKPKERRPFLASECRDLSEADKWRQQIMREIGRKVAEIQNEGLGEHRLRDLNDEINKLIREKSHWERRIVELGGPNYTKHSAKMTDLDGNIVDVPNPSGRGPGYRYFGAAKKLPGVRELFDKPPELRKRRTRYDIYKRIDASYYGYRDEEDGVLEKLEGPAEEKMRRNAFEEWRRLEEVRREARKSVKSGEVAAVATTAAARELLHEEEEDVVEEERRKEREMKEGMEEKEREFVVHVPLPDEKEIERMVLEKKKTELLNKYVSEGLLEEQSEAKNMLNIHR >OIW07666 pep chromosome:LupAngTanjil_v1.0:LG07:14646029:14647426:1 gene:TanjilG_07708 transcript:OIW07666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDNMSNILECYQLLELNVISAQDLASVGRSMRTYAVAWIDPDRKLSSRIDPDGHNNPTWNDKFIFKVEEDFFYDETSLITIDIFALHWLKDIHVGTAEVLACDLFPPPSKPFHNTYKPSSMRFMALQVHRPSGRPKGILNVGVTILDSSMRSMPLYTHNTSTPDYHHVHTNHMSHQAQAEPELRRTKSDTSSMIDSEAMAHEKHGKAKGFKANSITSHSEASSKSKKKSSSNVKATPKKPKVGKRKTMVAHESQEATTSDFNTLQRLSAPRMSVSYEIKPSPKPQFRNTPAMAYNATPKIGAAMRATPMHPFAKVNHLEYGTPRRSKMGNMVHQPIMTDSELGPSASEVAAEVARKPVVEEGENSTVGGWSLDESVEELKPKVERWRTDLAPVYDGGEMSSKPTTSSSKKERSSRRNTGGGGGGNGLFSCFSVICGVECSIVCGGDGKKNRRRRTKSVDNTSLQ >OIW08848 pep chromosome:LupAngTanjil_v1.0:LG07:533462:535003:1 gene:TanjilG_16429 transcript:OIW08848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATVSAWSKPGAWALDSEENEAQLLQPQPAQSPVSDFPSLAVAAATKPKKKKPQTLSLAEFTAKSDQDLNLLSLPTAPRQRTAEELELDRTRLGGGFRSYGDRSGRNSGPGGDEGSNSRWGSSRVSDESRRNGSFGSRDSNREFVPSRADEIDDWGAAKKSSVGNGFERRERDRGGFFDSQSRADESDRWVSNKSVVEGRRFGSNGGGFERERKVGFGFSGAADSDNWNKKKVESNSGFESGNAGGGFERERKSGFVTSGAADSDNWNKKKVEVNGVSERPGSGNGGGGRPRLVLQPRSASVSNESSPDGGNVNVSKPKGANPFGEARPREEVLAEKGQDWKKIDEQLESVKIKDTVEKSDGGFGKKGFGSGNVHGTSPEERTEKSWRKALLDDPRPKSLEIGMFWMHN >OIW07883 pep chromosome:LupAngTanjil_v1.0:LG07:7069548:7070135:-1 gene:TanjilG_19984 transcript:OIW07883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEKGLLWKLPVLISKDFGKLGPAFRFGAGCSLGFGVGLLGGVGICPEISGLQVGFGCGVGVGFGYGIGRGIAQDEYRRYSNVGNPFRSSGNNIYE >OIW08737 pep chromosome:LupAngTanjil_v1.0:LG07:752857:756733:-1 gene:TanjilG_03413 transcript:OIW08737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLSKLYYLTIFLIFLIGYGSCHDYQDALSKAILFFEGQRSGFLPQDQRMSWRSNSGLSDGWSYNTDLTGGYYDAGDNIKFGFPMAFTTTMLSWSVLEFGDMMPPNEFRNALGAIRWATDYLLKTVSQPNRIFVQVGDPSSDHDCWERPEDMDTSRTTYAVDAPNAASDVAGETAAALAASSMAFRSSDPGYSETLLRNAINAFQFADSYRGAYSDNDNVKYGACPYYCDFDGYQDELLWGAAWLRRATQDDNFLNYIQSNGKTLGADDNINEFGWDNKHAGLNVLVSKEVIEGNMYSLESYKDSAQSFMCTLIPESPSSHIEYTPGGLVYRPGGSNLQHATSIAFLELVYANYLTHTSQDLNCGNVYVTAQTLREHAKRQVDYILGDNPMGLSYMVGYSGYYPQRIHHRGSSLPSIKDNPQFIGCKEGSMYYNSTNPNPNVLVGAIVGGPGENDEYVDDRVDFRKSEPTTYINAPFVGVLAYFAANPNFT >OIW08060 pep chromosome:LupAngTanjil_v1.0:LG07:9591925:9592838:-1 gene:TanjilG_20161 transcript:OIW08060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKVVLKVALHDDRIQTKAMKVISGFSGVESLSVDKKDQKFTFIGDIDPVKVVRKLEKLCHVEIVSVGPAKEEKKEEPKIEEKKKDEKELIAELLKTNEAYYYHMRMAQSYPYHCYRTVEEGHNGCVIC >OIW08531 pep chromosome:LupAngTanjil_v1.0:LG07:2217773:2229687:-1 gene:TanjilG_03207 transcript:OIW08531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGTDLEQSVAMSRFRTGLKRELAFALKAQSEINGGSLGRTRSGKNRNTIQVQTTPARKRPKKEKNVGGDAVSEEEEAKSDVVDPKIGELIEKRELEVERARPMGVSEEEPKSDAVVETASDDEPKPGGDEIVHPIVKSEVKEEPKVEKRELVVCGEEPKSDVLLETGSNVGPKSGNEVGQPICESGMDRVDRSPSPPKEESFNNGTTLVLVNDDPKVNKISVEKPVRRFTRSALKKTVSDAKVASVEENASIKAVDIGDVKKEIEAEKLIAATSRMELSKTATRKKLPSKLEDLLATGILEGLAVNYVCGVKGQRPGEFGLRGVIRSNGIVCHCEICNGVEVVTPTVFELHAGSSNRCPPGYIYLENGNTLFDIMTTCLNVPLDTMEEAVQTVLGGFTMKKSTFCFNCEDVNVVSRLLCNSCLELKDCQPSPAQTTVPSSIVKIVKIAILLVRSHDLTILDPQPMLDLSLGCASMGSGGSSCRCVKSVESTSPPIPKSLSLIVVQVRKIGGIDEPTDPLTLCLTSSLSLYASRPLSLNACMTLNSSSHKSRYTTRNSSAVMGREEGSLEPVVNTKSLNNGMKHSTSRDKSHGKLTRKDLRLHKLVFEEDVLPDGTEVAYYARGEQLLVGYKKGFGIVCSCCNSLVSPSTFEAHAGWPSRRKPYLNIYTSNGVSLHELSISLSKDPRFCIRDNDDLCTICQDGGDLLCCDGCPRAFHIDCVPLPCIPSGTWYCKYCMNIFQREKYVEHNANARAAGRVAGVDPLEQIHQRCIRIVKTGEFDNDGCVLCRGRDFSKSFGPRTVIICDQCEREYHVGCLKDHNMQNLEALPEGNWFCCSDCNQVHTALLNLTACGEEELPVSLVSLIKRKREEKGLETEAGPDIKWMVLNWKMVASDENRQLLSKAVAIFHEQFDPIVDSASGLDFIPAMLYGRSINGHDFGGMYCAMLTVNQVVVSAGIFRIFGSEVAELPLVATIADYQGQGYFQSLFACIERLLGSLNVRHLVLPAAEEAESIWTSKFGFKKLGQDESETLVVKMGQIQYSEKYFDDTYEYRHVVLPPDVAKLLPKNRLLSENEWRAIGVQQSRGWVHYAVHRPEPHIMLFRRPLNYQQQQETQAQLVK >OIW07421 pep chromosome:LupAngTanjil_v1.0:LG07:19567379:19571170:-1 gene:TanjilG_19262 transcript:OIW07421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGCCSHDVTIRGKVESEVDDGEYENSTHVTYERDGSRVMLKGSSMFVSMNSQQGNKGVNQDAMTVWEDFTGEKHMVFCGVFDGHGPLGHKVSQYIRDKLPLKLSEAIKMSQHKACRFYDANEDIYDDDENNQSMSLAAWEGCFLKSFDQIDQHLAQEINIDTYCSGSTAVTLIKQGDQLIVGNLGDSRAVLCTRGDRNQLIPIQLTVDLKPDIPSEASRVISYKGRIFAGEEEPDVYRIWMPDDDCPGLAMSRAFGDFCLKDYGLISAPDVFYRKLTKQDQFVVLASDGVWDVLTNSEVINTVASAPRRSIAAKTLVKCAVRAWRYKYPGSKVDDCAAICLFVDDNPVLSQSQSQTNKNFNHGSHRNHSKNQFRRSKSTRNEDTETVDGKVGLELNQEWKALGGLVRSNSISKLPRITKNMSKHQASKYYNES >OIW07568 pep chromosome:LupAngTanjil_v1.0:LG07:17755737:17757245:1 gene:TanjilG_08455 transcript:OIW07568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQQSLIYSFVARGTVVLAEHSDFAGNFTDVAMQCLQKLPASNTKFTYKADGHTFNFLAHGGFTYCVVAVDSLGLHITMAFLERIKDDFTKSYGGGKAAIATEKSLNKEFGPKLKEHMQYCVEHSEEVSKLAKVKAQVSEVQEVMKENIDQV >OIW07510 pep chromosome:LupAngTanjil_v1.0:LG07:18566506:18567749:-1 gene:TanjilG_14456 transcript:OIW07510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGPIKLLSFLVIFIALVIITEIHRVEGVGECGKSTTPDNEAIKLAPCVTAAQNGNASVSRSCCVKIKQFSTNPKCLCAVLLSKTAKLSGVNPKIAITIPKRCNFKNRPIGYKCGPYTLP >OIW07470 pep chromosome:LupAngTanjil_v1.0:LG07:18128196:18129088:1 gene:TanjilG_14416 transcript:OIW07470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSCCGGNCGCGSGCKCGNGCGGCKMYPDLSYTESTTTETLVMGFAPVKAHFEGSEMGFGAENDGCKCGANCTCDPCTCK >OIW08328 pep chromosome:LupAngTanjil_v1.0:LG07:3829650:3832586:1 gene:TanjilG_03004 transcript:OIW08328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGHIVGRDGEDKEKNRFLEAKVYTRRKFKNTNKDPNPVNTVTPAVATAENDSATTANNDSNNSGTVKCGCSSEAKSTNIISAGVLPVDGNSALQQGDLAEDRSSAQPQVNLGDIDNLAQTEVYSREDENLAQEQVNSRDGENLALLPVNSTLEDDGPAQPQPDSMEDGNSALPDVDTTLEDVNLAKLQVDSTLEDGNSAQPQLDSTLVDGNSTQPQVDSASDNVSLARPVENSTLNDGNLAEPAVNSILEDRSSVQPQVNSILEDGNSSQPVVSGDSCSHQQDDVGPLSPDHRQVAVLVTHDLPSGNGAVESQQDDDRTLNPSHQQEMIPSSLDLSSGNRAVELQQDDGRHSSHSHPLDMIPRTQVLPSRNGAVEPRTEDRIKISLTSKSKQEMQELRWKLEGELNIVRSLVKRIELKQGQVGRYGNLNVSAGGGIGNGRGAMRVHSEVASAGVPRESTRPLHQLSLSMLENSHGVNEYVEREKRTPKENQFYRNSEFLLAKDKFPPVESNKKSKFHWKKQGGGEMGHDLGMGSKFFKSCSSLLEKLMKHKHGWVFNAPVDVEGLGLHDYFTIITHPMDLGTVKSRLSKNWYKSPKEFAEDVRLTFRNAMRYNPQGQDVHVMAEQLSKIFEDRWAIIESDYNREMRYGIGYGAAPPAPSPLSRKVPAFPPAPLDMRRILNRSESMIQTPRPMSITPSSRTPAPKKPKAKDPHKRDMTFDEKQKLSTKLQSLPSDKLDAIVQIIKKRNSTLHQHDDEIEVDIDSVDAETLWELDRFVTNYKKSLSKNKRKAELAQARANAVQDALQKSRASVMLEVPRETQTDERTIPSSLPVQGGNPADNGTRSSSSSSSSSDSGSSSSDSDSDSSSTSGSDEGSQGT >OIW07453 pep chromosome:LupAngTanjil_v1.0:LG07:19198125:19202296:1 gene:TanjilG_24315 transcript:OIW07453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLGANEDRSALTISWCKIPPLLNDVVHHNSTTTLSFPNPTRYNFACVSLAEPKLVHDFSPSLSQLLKHPLAVFAFLPRDAALFSAGAIAGAAGKTATAPLDRIKLLMQTHGVRVGQDSTKKAISFIEAITVIGKQEGIKGYWKGNLPQVIRVIPYSAVQLFAYEIYKKLFRRQDGELSVVGRLAAGAFAGMTSTFITYPLDVLRLRLAVEPGSRTMTAVALSMLKEEGFASFYKGLGPSLIAIAPYIAVNFCVFDILKKSLPEKYQNRTETSILTAVLSASLATLTCYPLDTVRRQMQLRGTPYKTLLEAFSGIVAKDGVIGLYRGFLPNALKTLPNSSIKLTTYDIAKRLIAASEKEFQTITEENRNKHKNINNHR >OIW08032 pep chromosome:LupAngTanjil_v1.0:LG07:9244394:9245831:1 gene:TanjilG_20133 transcript:OIW08032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNMMIESSSNMVDRWVSRINSGTHEIDVEKELIANAGEIIARASFGLQDERGKIVFEKLRTLQATLFMTNRYVGVPFGKFFCVKNTIEAKKLGDEIDKLLLSIIKDRMDSNNEKNKKDLLGLLLEANHSSEGKLEKTFSMRELVDECKTFFFGGYETTALSITWTLLLLAINQNWQDQLRNEIKEVVGDGELDFNILNDLKKMKMVMNEAVRLYPPSPNVQRQATEDIKVDNLTIPKGTNIWFDLVGMHRDKALWGSDANEFRPERFMDDANGGCNHKMGYMPFGFGGRMCVGRNLTFTEYKIVLTLLLSNFRFKISPGYHHSPAIMLSLRPAFGLPLIVEPL >OIW07589 pep chromosome:LupAngTanjil_v1.0:LG07:18048150:18048836:1 gene:TanjilG_08476 transcript:OIW07589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNSPIIIPSPPPLLLPPPPHSTDQIPIFPTQSLPPSPFVGSPNSVFQINVLPAPPLPPPSPFAGVHSSVDLSPLEFILALIAFVTIPALIYSFIFAFCSPSSRRRRQSSVELSVDPSITSELSHHEVENSGAGEFTDENYHKEANVKKIGGECPVCLSVFADGEEVRQLSACKHSFHASCIDMWLSNHSNCPICRATIAAAVKRSGSNSSAAPNTDSDRQQVASALV >OIW08629 pep chromosome:LupAngTanjil_v1.0:LG07:1480017:1482332:-1 gene:TanjilG_03305 transcript:OIW08629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLCFSSKTKPGTPYSTGLSSKEVSSDEKDVSIPSSKISKVLVPQISRSEGEILQSSNLKSFTLIELKAATRNFRPDSVLGVGGFGSVFKGWIDEQSLVAAKPGTGIVIAVKRLNQDGFQGHQEWLAEVNYLGQLSYHHLVRLIGYCLEDEHRLLVYEFMPRGSLENHLFRRGSYFQPLSWSLRLKVALGAAKGLAFLHSAETKVIYRDFKTSNILLDSNYNAKLCDFGLAKDGPTGDKSHVSTRVMGTYGYAAPEYIATGHLTAKSDVFSFGVVLMEMISGLKAIDKNRPSGQQSLVEWGKQYLTNKRKILHVMDNRLEGQYSIDDALKVSTLALRCLSIESKLRPNMDEVVTLLEQLQVPDVNGGNRRRVRRRSSHV >OIW08428 pep chromosome:LupAngTanjil_v1.0:LG07:3020367:3021698:1 gene:TanjilG_03104 transcript:OIW08428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGALLKLIDAILFLFFLLIAIVAPLIDAQTIFPLSYFPEFFVQLKTNYAQDYGDYLISEKPHFFVGLVWLQLFFQWPLALLNLYAIFASKPWFNTTCLIYGVSVSTTMVAILSELAGSNKASETLLKIYSAFMGLGILAILRGLQGHSSKTTSGHNRRVALARKKHA >OIW08388 pep chromosome:LupAngTanjil_v1.0:LG07:3400879:3405213:-1 gene:TanjilG_03064 transcript:OIW08388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRYDPNPFEEEEVNPFSEGTAKGKGSGQSSYVGGAFYTAHSGSVPSAPSRLSPLPHEPHDRGATIDIPLDSSKDVKAKEKELQAKEAELKKREQEIKRREDAVARAGIVIEEKNWPPFFPIIHHNIADEIPIHLQRTQYVAFTTWLGLVLCLLWNIVAVTVAWSKGAGPTIWFLAIIYFIAGAPGSYVMWYRPLYRAMSIKPLTARAVHIGFCVFAAVAPPIVFKGKSLAGILPAIDLLGSSALAGIFYIIGFAFFCLESVISIWVIQQVYRYFRGSGKTEEIKRQAARGTVMAAL >OIW08026 pep chromosome:LupAngTanjil_v1.0:LG07:9125279:9125991:-1 gene:TanjilG_20127 transcript:OIW08026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSDECKLKFLELKAKRNYRFIVFRIENQEVVVDKVGNPEETYDDFTASLPADECRYAVFDFDFTTIENVQKSKIFFIAWSPDTSKVRHKMVYASSKDRFKRELDGIQVELQATDPSEMSIDIIKGRAI >OIW08656 pep chromosome:LupAngTanjil_v1.0:LG07:1303311:1303826:-1 gene:TanjilG_03332 transcript:OIW08656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHDKTGCQAPPEGPILCANNCGFFGSAATMNMCSKCHKDMMHKEEQAKLAASSFGNIMNGSSSSTATEPVAVKVDIPVHAVEPKTISVKPLFSSFLDESGEAKPKDGPKRCLSCNKRVGLTGFNCRCGDLFCAVHRYSDKHDCPFDYRSAGRDAIAKANPVVKSEKLDKI >OIW08529 pep chromosome:LupAngTanjil_v1.0:LG07:2259464:2259742:-1 gene:TanjilG_03205 transcript:OIW08529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTSRDPNQGEEVKLIQGEEVVEECKTPTGSRNKIPIIQTCPHAPRKKRKFSSLMKRSSATNLNFLVRDEEVELFFKSMFSITRVPKRCRSI >OIW07851 pep chromosome:LupAngTanjil_v1.0:LG07:6764955:6766198:1 gene:TanjilG_19952 transcript:OIW07851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNVSTQKFTLVRFCVPLLLVVTFCYATSALATTQALGSEVNVAGKLVKEELAKTNLKVQDDEKAKSKGFFDQKPIFKWPFIKPIHPQPVIKRNPFPVHKPAPKGYRRPRVKKNLFSPPPPRY >OIW08589 pep chromosome:LupAngTanjil_v1.0:LG07:1773192:1776498:1 gene:TanjilG_03265 transcript:OIW08589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTSNHLIGALNFVTFLLSIPILGGGIWLSSRANNTDCLQFLQWPLIIIGISIMVISLAGFAGSCYRNTFLMRLYLVVMFIIIAVLIGFIIFAYVVTDKGSGRRVMNHGYLDYYLQDYSGWLEERVASDSYWGKISSCVRDSKTCRKLARNFNGVPETADMFYLRTLTSLQSGCCKPPTDCGYTYMNETMWSVGNGVMPANQDCTKWSNDQEQLCYACDSCKAGVLASVSKSWRKVSVINIIVMIILVIAYIIACAAYRNNRRMDNDESYGEARMTKSQPSHFHL >OIW08638 pep chromosome:LupAngTanjil_v1.0:LG07:1422203:1424205:-1 gene:TanjilG_03314 transcript:OIW08638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDWDDYDEYSDDYEYDEYENERFEHVYRCYPVSFIEKSNLENGDKIIMPPSALDRLASLEIEYPMLFELRNPSADRITHCGVLEFTADEGCIYMPNWMMENLHLQEGGIAVLKNISLSKGTFVKLQPHTTDFLDISNTRAILETSLRSYSCLTTGDTIMIAYNNKKYYINVVETKPSPAISIIETDCEVDFVQPLDYKEPEKQLPSASSDRKKPPEVEEPAPKTPGFIPFTGSARRLDGKTSTQSAEQTPNPMLKQDNETKNSDSKPSNTTSRRTPGKLVFGSTANTPKTQAPPKASPKSTSQDSIQKAEEPKFQAFTGKKYSLKC >OIW07415 pep chromosome:LupAngTanjil_v1.0:LG07:19449117:19450157:-1 gene:TanjilG_19256 transcript:OIW07415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKKVEENGLVSMDVFGVICEKLDFDDLFQLAGVCKCWRTLHESYWESFMESEVPLLVQTSYGEKACYFISLCQRKIYCSNMMDYARGCSYAGFSCGYVIMAKANNSLLLMNPFTRRKIDLWQPFHSSLVSYPCHAIIAYVKDSTDFIIVLLSERNSSLYIYQTQNCEWNRWYDPCRVVDFVVFQHIVYVITDDAQIGVVILKSNTFKFLQLNNVPSFTSTDLKLVSSDGNLLVVHFVPRHKFEVYKVDFASMDWIKLETLGDVALFYAKNTKCYALSNPVSRGYEKNCLYYIDQSTTLCEVYSMNNYNFPLYISGETVAPSRSRPYWSDWCFRHVRDEVDYSLLE >OIW08719 pep chromosome:LupAngTanjil_v1.0:LG07:897918:903126:1 gene:TanjilG_03395 transcript:OIW08719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKAKGKHRLDKYYHLAKEHGYRSRASWKLFLAPKGIFVTKVFRSQDYSSVVYCLKQLFEKVEVDKPAASRSESAEIYVLGLKYKAPAKIDPCLLDFKHLFQGSVEPQSNVVDVLRDNKQKRHRDGYEDGNTTLRKMSSAASFIWSDSPLEILGSVTSITFTDPADLQIEDHTLTTEEVKSLCHDLRVLGKQDFKHLLKWRINIRKALSPTQKPDSTTKAVAEDEPKVDDDDRILNEMEELTNAMDRNKKRAKKLILKRRAKDKARKATGMQMDAVEDGYVDHELFSLSAIKGKKDLAAVDTVEYEGDEGEAVDSDNEDIHEGPEHSCSDLEDSDEERKRYDEQMDEFLEGAYERFVVKKEGTAKQRKRIKKSYDAKNQLLEDGEDDGIVQPKYDSDEDQADQEANPLVVPLNDGTEPSQEEITNRWFSQDVFAEAVEEGDFEKNESEDEMDIDGLKDKKSTITTKEKKSILKKAKENKAEAPAAALIDKASEANGDFEIVPAPVTDSNDSYNKYMFDDEGLPKWFLDEEKRHRIPVKPVTKEEIAAMRAQFKEIDARPAKKVAEAKARKKRVAMRKLEKATTPQRPKKEFVVGKKGVQVKTGKEKVLVDRRMKKDARTKGMGRGGKWGSKTKGKGRGKAPKGKTPSKGSAARKGGRKGNNK >OIW08790 pep chromosome:LupAngTanjil_v1.0:LG07:180849:182607:1 gene:TanjilG_16371 transcript:OIW08790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEHLPGRSCRKPLGIQIIEYWKGSRVSFKTHQAIVLIVTFLAYASYHATRKTSSVVKSALDPKLPDLGMNFLQLRLTNVSEANVPRRFSGVLGGGWAPFNGTDGTSLLGELDVAFLSVYTLGMYFSGHFGDRCNLRIFLTVGMLGTGVFTSLFGAGYWGDIHNFYYFLVVQMIAGLFQSTGWPSVVAVVGNWFGKGKRGLIMGVWNAHTSVGNIAGSLVASAMLNYGWGWSFVLPGVVIALFGFVVFLTLPVTPESVGADREEDEYSFPKKNGVGGIEEPLLRPYNPPVEDKAVGFIEAWKIPGVAPFALCLFFSKLVAYTFLYWLPFYVSHTEIDGRYLSSESAGTLSTIFDVGGVVGGILAGHISDGLDARAITAASFMYCAIPALYLYRIYGYASLVVNAALMFVTGLFVNGPYALITTAVSADLGTHKSLNGNSRAVATVTAIIDGTGSIGAAIGPFLTGYISAKSWNAVFSMLMAAALIAGLLLTRLVVAEVTAKIEESRSNMAQQPSHLV >OIW08762 pep chromosome:LupAngTanjil_v1.0:LG07:27376:29385:-1 gene:TanjilG_16343 transcript:OIW08762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRKLMQALHYDSYGGGSASLKHVEVPVPSPNKNEVLLKLDAITINPVDWKIQKGLLRPLFLPRQFPHIPCTDVAGEVVEVGPQVKDFKVGDKVVAKLTHQVLLFFFFFPFPTVFQLKMMFDYLWVGVCSRLLPLWALGEGLMLLCMEPPVNGRAVSAAKSRAPTDMTTTQSCSKIRQNLTGMLLFMIYSVNYLITIVCVVYAEWQYGGGFAEFAVASESLTAARPSEVLAAEAAALPIAGLTAHDALTQVAGVKLDGSGQPKNILITAASGGVGHYAVQLAKLGNTHVTATCGARNIDFVKSLGADEVLDYRTPEGAALTSPSGRKYDAVIHCTTGIPWSTFDPNLTENGKVVDLTPSLSSLTTFALKKLTFSKKQLVPFFVTVKREGLEYLVQLVKDGKLKTVIDSKFPLSKAEDAWAKSIDGHATGKIIVEP >OIW08706 pep chromosome:LupAngTanjil_v1.0:LG07:975647:978935:-1 gene:TanjilG_03382 transcript:OIW08706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIQLNQVNMTEKKAMEAKTNANFLEDFNPQKKPKRNKYAFACALLASMTSILLGYDIGVMSGAALYIKTDLKITDVQIEILLGIINVYSLIGSSLAGITSDWIGRRYTIVLAGVIFFAGAILMGFSPNYSFLMFGRFVAGIGIGYALMIAPVYTAEVSPASSRGFLTSFPEVFINGGILLGYISNYAFSKLSLKLGWRLMLGIGVVPSIFLTLGVLAMPESPRWLVMRGRLSDAIKVLNKTSNSKEEAQLRLAEIKEAVGIPESCNDDVVQVPKQNRGDGVWKDLFLHPTPVIRHIVISALGIHFFQQASGIDAVVLYSPRIFEKAGIVNDNYKLLATVAVGFIKTGFILVATFMVDRVGRRPLLLSSAGGMVLSLLILATSLTIIDHSDQKLMWAIGLSIATVLSYVAAFSIGLGPITWVYSSEIFPLRLRAQGCAMGVVLNRVTSGLISMTFLSLSKGITIGGAFFLFGGIASAGWLFFYFMLPETQGKTLEEMEGSFGNSKVNSGTTNSNSNNGHVQLGTNVQT >OIW08155 pep chromosome:LupAngTanjil_v1.0:LG07:6365060:6365506:1 gene:TanjilG_06698 transcript:OIW08155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGAEGVPKEPVNEQAVANIYAAMRSELNQIYSKITELEMEVSEHSLVVNAIQPLEPSRRCYRMIGGVLVERTIKEVLPAVLRNKDGLDEIVARLNEALEKKKKEIADFETKYKIRIRKADAEVKDESGKKEGSAQGVLVGPAGGSE >OIW07584 pep chromosome:LupAngTanjil_v1.0:LG07:17872686:17880663:1 gene:TanjilG_08471 transcript:OIW07584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKVHSPRCFITLILLLLPLLLTTANAFNHYQNKKQPRTIRNYTERRNLNFFSCGTGNPIDDCWRCDKLWYRNRKRLADCAIGFGRNAIGGRDGRYYVVTDPSDNDAVNPKPGTLRHAVIQDRPLWIVFKRDMVITLKQELIMNSFKTIDARGFNVHIAHGACITIQYITNVIIHGLNIHDCIQTGNAMVRSSPSHFGWRTMADGDGISIFGASHIWIDHNSLANCSDGLIDAIIGSTAITISNNFFTRHNEVMLLGHSDSYVRDKHMQVTIAYNHFGEGLVQRMPRCRHGYFHVVNNDYTHWEMYAIGGSANPTINSQGNRYLAPLNTHAKEVTKRVETGEYTGEDTWKNWNWRSDGDLLLNGAYFTASGAGSAASYARASSLSAQSSSVVGTLTSGAGVLNCRRGIMC >OIW07818 pep chromosome:LupAngTanjil_v1.0:LG07:9950591:9956378:1 gene:TanjilG_32674 transcript:OIW07818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQVIATGSIGSTLLSPGSGSAYDTAQKLLKPATFSSIVFQSYRNKCSQVGLRSFHVSARKSASVNVVPVSPEDDSKIEEHLQHLRGAQKFGDDSAGIWSKPTFRRKTKIVCTIGPSTDTKEMIWKLAEAGMNVARLNMSHGDHASHQKVIDLVKEYNAQSKDNVIAIMLDTKGPEVRSGDLPQPVLLNSGQEFTFTIQRGVGTADCVSVNYDDFVNDVEVGDMLLVDGGMMSFLVTSKTEDSVKCEVVDGGELKSRRHLNVRGKSATLPSITEKDWDDIKFGVDNQVDFYAVSFVKDAEVVHELKNYLKSCGADIHVIVKIESADSIPNLNSIITASDGAMVARGDLGAELPIEEVPLLQEEIISLCRSMGKAVIVATNMLESMIVHPTPTRAEVSDIAIAVREGSDGIMLSGETAHGKFPLKAVKVMHTVALRTEATIHGGQMPPNIGQVFKNHMSEMFAYHATMMSNTLGTSTVVFTRTGFMAILLSHYRPSGTIFAFTDEKRVKQKLALYQGVCPIYMEFCDDAEATFIRALDFLQKQGMVKEGEEVALLQSGRQPIWRFQSTHNIQVRKV >OIW07432 pep chromosome:LupAngTanjil_v1.0:LG07:19686461:19686688:1 gene:TanjilG_19273 transcript:OIW07432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFDKMSETTFVPSNSLHHYIAFSKSALNSLSSPPKTVLPQAFSQRDGTHYGLCSSHQPQQSTRHDRRNNPSPNW >OIW08734 pep chromosome:LupAngTanjil_v1.0:LG07:775854:785428:1 gene:TanjilG_03410 transcript:OIW08734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGRILHRTSSSSSSSSFINSGGGRSTAPSHGRTRRDSTYYDYGDSTSQLEFGMEEDIAEPVDSSSSHDSISVTIRFRPLSDREYQRGDEIAWYADDDNIVRNEYNPATAYAYAKPVVKAAMEGINGTVFAYGVTSSGKTHTMHGDQDSPGIIPLAIKDVFSIIQDTPGREFLLRVSYLEIYNEVINDLLDPTGQNLRVREDAQGTYVEGMKEEVVLSPGHALSFIAAGEEHRHVGSNNFNLFSSRSHTIFTLMIESSADGDDYDGVIFSQLNLIDLAGSESSKTETTGLRRKEGSYINKSLLTLGTVGKLSEGKASHVPYRDSKLTRLLQTSLSGHGHVSLICTVTPASSNMEETHNTLKFASRAKQVQVYASCNKIIDEKSLIKKYQREISVLKQELDQLKKGMLVGVSHEEITSLKQQLEEGQVKMQSRLEEEEDAKVALMSRIQRLTKLILVSSKNAIPEYLTDAPSHQRSHPVGEDEKYDALHDGSLLIESESLKDVSTLSSDLSLDVRHRKSSLLNDELSPSSSIITESTQAGELISRRRLTAGGMTMSDQMDLLVEQVKMLAGDIAFSTSTLKRLKEQSVNDPEGSKTQIENLEQDIQEKRKQMRVLEQRISESGESSIANSSLVEIQQTITRLITQYNEKAFELEIKSADNRVLQEQLNDKCSENRELHEKVKLLEQQIATVSSGTSFLVDDRHHYGEHIDELKRKIQSQEIENENLKLEQVQLSEENSGLHVQNQKLSEEASYAKELASAAAMELKNLAGEVTKLSLQKAKLEKELMAARDLVNTRSALVQTFNGVNRKYDANSGRKGRVSCRGNEISGAGLDEFESWSEADDLRIEVQARKQREEFLEAALAEKEFVVQEYRKKVEEAKKKEAALENDLASMWVLVAKLKKEVSAVPEANIDNKNDDGETHTVGLKSYDLGRNTFPKEQILDVSKSDNDVPKVEPLVARLKARMQEMKEKELKHLSNGDANSHVCKCVNLVHLLVLNVQAPSLKV >OIW07734 pep chromosome:LupAngTanjil_v1.0:LG07:12074402:12075616:-1 gene:TanjilG_11892 transcript:OIW07734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILFEKFGFTRTGHVTISVSSVSVTVISSAATQPESSRLGFFLLNEESLLQILIEIQTNPSFCVLDSHYIHKLFTFRELSPPPIASFNQSYPITLPNEYSLFFANCAPETSVSMHVRTEVYNLDADGSKDYLSAGQTHLPSLYFVFTITYIVFMILWLRFCFTNRGSVHRIHMLMAGLLLMKALNLICAAVDKHYVKVTGLPHGWDVLFYIFQFIRVVLLFTVIVLIGTGWSFLKPFLQDREKKVLMIVIPLQVLANLASVVIGETGPFIKDWVTWNQVFLLVDIICCCAIIFPIVWSIRSLRETSKTDGKAARNLAKLTLFRQFYIVVIGYLYFTRIVVFALRTIAAYKYQWVSNAAEETASFAFYLVMFYMFRPEAKNEYFVLDDEEEEAAELALRDEEFEL >OIW08290 pep chromosome:LupAngTanjil_v1.0:LG07:4221862:4222077:1 gene:TanjilG_02966 transcript:OIW08290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRNKWNLITLYSIKEREICHLQRVGKEEKESNSFSLSLSLSNSLFLFSFFHSLAGTTAIVRKHVCLQKTT >OIW08206 pep chromosome:LupAngTanjil_v1.0:LG07:4982655:4983506:1 gene:TanjilG_15167 transcript:OIW08206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEDKHSFLQPLIPSSSSNENNDPSNNLKLFKNNNHNNIILRILVVFLVALISLWANYEASKTFAITIVNDAKDSLAGHRFALSYVSNDKATRIILNTSSFVQHFLYPINNDHCPEKNVTSVTLRLTRQNLTTTVSAGERFNSYVVDISHKLLEDDQHYNNKMAIVGAVQLAMARVWLYDGGSTAPSGLIDGMAEYVAEVAGFRRERVSVTKCDGGRAWWVDMDPRVVVGYLHYCERYKKGFIQRLNQALRDTWHDRMVDDVLGMPASKLCGLYDESSFNLI >OIW08207 pep chromosome:LupAngTanjil_v1.0:LG07:4975541:4979314:1 gene:TanjilG_15168 transcript:OIW08207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNHLLFYAFTALSITSSLHFQAHAAPSGALIKHFSSLVKWKWSTPKTPRSDGNVLQFENGYVVETVAEGNDIGVIPYRIRVSDEDGELFAVDETNSNIVRITPPLSQYSRGRLVAGSFQGYTGHVDGKPSDARFNHPKGIALDDKGNVYVADTQNMAIRKIGDSGVTTIAGGKSNVAGYRDGPSEDAKFSNDFDLVYVRPTCSLLVIDRGNAALRQIFLDQEDCDYQSSSISVTDILAVVGAVVVGYATCMLQQGFGSSFFSKMQPSETKFKGDANNEKPKSKKEEQGWSFFGQLVDLSKLSFEALTSSFPQFIPSRFRPDSPRRGLTPLKDRLRMPEDEVEPPLVNRQTAPAPLSENKQVHAPTISEKHSEMKPPKVKSSTSKDPSLSSKHRSSKRHEYAEFYGSSEIPNYSKSKSQKPKSRHRQREKSGEVVYAAVGAEPKPAEMRAADYENTRYHHYNMRTKYVTESEDPYRFNPH >OIW08006 pep chromosome:LupAngTanjil_v1.0:LG07:8803429:8804417:1 gene:TanjilG_20107 transcript:OIW08006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALKSLFSLRSQVAVLLLLVVALGTEMAKAQDTCTNQLANLNVCAPFVVPGVTAAPSAGCCDALQTVNRDCLCNTLRVASQLPSQCQLPTLDCGTN >OIW07860 pep chromosome:LupAngTanjil_v1.0:LG07:6849312:6852474:-1 gene:TanjilG_19961 transcript:OIW07860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLIMIRSDAEDGFSSPAKEKAANRRNRSPILSPRRAKQLVGVSKIKLLFAFCAFSIAFFITSRLSSFMGWIPHHASSVSSPSRGGYTVLINTWKRNSVLKQAVAHYASCHGADAIHVAWSESEPPSEKLKTHLHKIVILKSQKAHKPNFRFEINAKDGSNSRFKPIKDLRTDAIFSVDDDVIVPCPTLDFAFTVWQGAPSTMVGFVPRMHWLDKEQSNVAYYRYGGWWSVWWMGTYSMVLSKAAFFHRKYLDLYTYDMSPSIHNYISKERSCEDIAMSLVVANATVAPPIWVKGKIHEIGASGISSLRGHNSDNRNKCLNDLISLYGTLPLVSTNVKAVSSRNEWLW >OIW08497 pep chromosome:LupAngTanjil_v1.0:LG07:2500140:2500628:-1 gene:TanjilG_03173 transcript:OIW08497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENDSNRIVSRIQDGVISRRNVYLGLHLEILYAEDIDNPSIYPNVFNREYRLVVWVDPNQEFSTIPVEGLWNPVWNASGVILLKKFPEYHTFLNVEVLRVNSMNDPGTSSGVVIVGKVKIPLPRVLYGEKIGRFELVREMGEGFKFEGHILLSMKLRKYIYV >OIW08273 pep chromosome:LupAngTanjil_v1.0:LG07:4439641:4440764:-1 gene:TanjilG_21739 transcript:OIW08273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRTEKHPPFIDMEKQEPLKTGLDAPLHAIGFEFDEISAHRVAGHLLVTLKACQPLEVLHGGVSALIAEGTASMGAHIACGFQRVAGIQLNINHLKPAELGDLVWEVQLWKNDLSKSKNKILVSSSTVTLICNRPIPEHSKANIEKIKDMFAKL >OIW07540 pep chromosome:LupAngTanjil_v1.0:LG07:17465956:17471465:1 gene:TanjilG_08427 transcript:OIW07540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEISDSPSTSHPNPENSDSSSELDPNTMRNTKPGVKRFFLTLSVLFSFILGFPLLWKSIEIYRTPLPFPQIESFSSEIESNPLQFPCQFQAIFTGFDSRVSHGEVGTAITRKMYELNPNVSQCGHCSSYDVTVVVDSSFDCVGNGNLRGSDEDADELVKNCLGNGIGGGKVYSVVVVNGEEEDVRTVVGKYRHAWIVGKVSEEEAVWRVAEIFAKVFVNGGNEGSSIRGEFMPVGADGRIVLSFSLLNANPQDWIYDWNFQEIDETLLQPVVEALQPIANITVESQVLYHTPKSSFSYWDDEHGSHIFSTKDLPFFVNSNEWHLDTSVAAGGRYIPSAKECPLQMELPNGDLSKTNGFISPVICLTFKSSLLILYMWGGVVVWNPHSCKKDLESKDPVRHVISPQDLQKLFEILMGQLRQLLGLKSDNLYVGQSGTTILLGSERGFTEWELDVLSRKHICFNLLSCATTLGSLSRLVQSLPRMIIKDEIGKQVKFSLEAAKFAQSNVSIGMYGASAVSSRHSRSLAEDAFFHPSIMSISYYSFEHCFAIYSPFFLPVSMHVILAALREWKRYKQENKKYLAWKAKAQVTS >OIW07718 pep chromosome:LupAngTanjil_v1.0:LG07:12639600:12646939:-1 gene:TanjilG_11845 transcript:OIW07718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLRQMKESSEQHLVIKTHLQNHMNPPKKVPRAVQNGKGPPLLPQQEPLHNQTSPPNRNKGRRRGRGGRKFDQGDVLMRPSCRPCTDVVTNTANGSVENGNMNGGCDIEMGYPTSSKSLSFAPRPGYGQVGTKCIVKANHFFAELPDKDLNHYDVTITPEVSSKVVNRSIIAELVRLHRESDLGMRLPAYDGRKSLYTAGAFPFTWREFKIKLVDEDDRVNCPKREREYKVVIKFVARANLHHLGQFLAGKRADAPQEALQILDIVLRELSSKRFCPIGRSFFSPDIRTPQRLGEGLESWCGFYQSIRPTQMGLSLNIDMASAAFIEPLPVVEFVGQLLGKDVLSRQLSDADRIKIKKALRGVKVEVTHRGTFRRKYRVSGLTSQPTRELVFPVDENSTMKSVVEYFQEMYGFTIKYTHLPCLQVGNQKKANYLPMEACKIVEGQRYTKRLNEKQITALLKVTCQRPRDRENDILRTVQHNAYDQDPYAKEFGINISEKLASVEARILPAPWLKYHDSGKEKNCLPQVGQWNMMNKKMINGMTVSHWACINFSRSVQDSVAHTFCNELAQMCQVSGMEFNPEPVIPVYNAKPEQVEKALKHVCHVSTNKTKGKELDLLLAILPDNNGSLYGDLKRICETELGIISQCCLTKHVFRITKQYLANVSLKINVKMGGRNTVLVDAVSCRIPLVSDIPTIIFGADVTHPENGEDSSPSIAAVVASQDWPEVTKYAGLVCAQTQRQELIQDLYKTWHDPVRGVVSGGMIRDLLVSFRKATGQKPLRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEPNYQPPVTFIIVQKRHHTRLFANNHRDRNSTDKSGNILPGTVVDTKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENNFTADGIQSLTNNLCYTYARCTRSVSVVPPAYYAHLAAFRARFYMEPDLQENGSSGGGSAGHGGSKATRAAGDCGVKPLPALKENVKRVALQYIHALVHRLSLGFLRGIFG >OIW08547 pep chromosome:LupAngTanjil_v1.0:LG07:2101225:2107448:-1 gene:TanjilG_03223 transcript:OIW08547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTASFMSGERTVVVLFIAGVLYYLPFSLLFPHGLALSLLALSAFFIEISYDNSSPSFSLFHSRPGASSGILLGSVTLPSLFLSKLIQFSRGFSSSQINLQELQYLTLQYWATSATSFCVLLFLAVVLRRRSSFSATGWGFGFSLCFLFLQPLICFLALAATFQTDLHLALKLSWVFCHGFAAVKLMQHFLQTFPSCASIGEVLLVSAGIVLYFGDMLLLTVIKLCQLLMPSELVTAQYEIGRSEIGIIIQGLLLGLLLYPIAFKYAIQIWDWCTNTESSESRRYNEIGRSLLFTTSFLLVMTVIVPSWMQFVHEFHMHPFFWVLSFVFSEPSKRLSLCIYWVIVIGVSVLRFYNISKNSKIERILLRKYYHLMAVMMFLPAVIFQPKFLDLAFGAALAVFLTLEIIRVWRIWPLGQPIHQFMNAFTDHRDSDLLIVSHFSLLLGCALPIWMSSGYNDRPLAPFAGILSLGIGDTMASIVGHKYGVLRWSKTGKKTIEGTAAGITSVLASCLLLLPILASTGYIFTQELDQRVSLGTTCKVACHVTWRSWVKIL >OIW07995 pep chromosome:LupAngTanjil_v1.0:LG07:8487324:8492319:-1 gene:TanjilG_20096 transcript:OIW07995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLDGGNKRVFNRLGGPAGDPRSQKVCYHWQAGKCNRHPCAFLHSELPPHNSNGGPSKRPYGSAENLSFNGPPRRTSNFNTWGRGGGGRGGGGGRGGGRGGEVKKADKICNYWVQGNCSFGERCKFLHSWSLGDGFSLLTQLEGHKNVVSGIAFPSGSNKLYTGSTDETVRVWDCQSGQCTAVINLGGEVGCMISEGPWVFVGIPNFVKVWNTENLIELSLSGPVGQVYALVVINDMLFAGTQDGSILIWKFNLTTNGFEPAASLKGHTHGVVSLVVGANRLYSGSMDNTIRVWNLETLQCLQTLTEHTSVVMSVLCWDQFLLSCSLDKTVKVWFATESGNLEVTYTHNEEHGYDTLVGERGGLLSGGQRQRIAIARALLKNAPILILDEATSALDATSERLVQDALNHLMKGRTTLVIAHRLSTVQNAHQIALVSDGGIAEIGTHLELVAKEGQYASLVSTQRLAFE >OIW08194 pep chromosome:LupAngTanjil_v1.0:LG07:5285570:5289458:-1 gene:TanjilG_26483 transcript:OIW08194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQKNQLRKEISELEIFTEYGDANRYKILEVIGKGSYGVVCAAIDTHTGEKVAIKKIRDAFEHISDAIRILREVKLLRLLRHPDIVEIKRIMLPPSKREFKDIYVVFELMESDLHQVIKANDDLTSEHHQFFLYQMLRALKYMHTANVYHRDLKPKNILANANCKLKICDFGLARDYVATRWYRAPELCGSFSSKYTPAIDIWSIGCIFAEVLRGKPLFPGKSVVHQLDLITDLLGTPSPETIAGLLKLPMQVRNDNARKYMKEMRKKSPVPFKEIFPNADPLALRLLQRLLAFDPKDRPTAEEALADPYFNGLAKVEREPSCKPISKLEFEFERRRMTKEDVRGLLYREILEYHPKLLKDYMNGNEGANFLYNTSAIDQFRKQFVYVEENHGKSGPVLPPERKHVSLPRSTIHSSTIPPSTKSTLAVYKNKQTVEEASKNLRAAGSNSGNQFRGSLLPPRVPAAKPGKVVGPVLHYEDGRNIKDNNDLRIVYQNSPPQAASAQCFFRTHLTNPKTESDDKNKDSSQGMNQAPPQQSNLTARPTIDLNTSTYYQQGKNNNLNEPVTAIDPKFLQAQSQLGAVDAAAVSVATHSHRYSRGLQYGLS >OIW08195 pep chromosome:LupAngTanjil_v1.0:LG07:5284362:5284916:1 gene:TanjilG_26484 transcript:OIW08195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLALAQDNASVLDTNGNPLKRGEEYYIKPAITDNGGRFTLINRNNSCPLYVGLENTDLPDGYAVTFTPFAMEDDVVKINRDFKVSFSAFTTCVQSTQWRVGQNDTSIGRRLIITGQDGSREWGNYFKIVETQLEGIYNIKWCPTEVCPTCRFICGTAGAFSENGKILLALDGSVLPVEFHKK >OIW08079 pep chromosome:LupAngTanjil_v1.0:LG07:6547899:6573916:-1 gene:TanjilG_21059 transcript:OIW08079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDNPLSNVLDGEVVGIRFGMATRKEICIASISGSAISHASQLSNSFLGLPLEFGRCDSCGTSEAGKCEGHFGYIELPVPIYHPSHVSELKRMLSLDTHAAQVSIREAMEIIKRIPLETKKKLAGKGYFPQDGYLLKHLPVPPNCLSVPAVSDGVSVMSSDPSMTILRKLLRKVEIIKSSRSGEPNFESHQIEANDLQSVVDQYLQVRGTSKATRDIETHYGVNKELNESSTKAWLEKMRTLFIRKGSGFSSRSVITGDAYKRINEVGIPVEVAQRITFEERVNIHNLSYLQKLVDEHLCLTYKEGVSTYSLREGSKGHIYLKPGQIVHRRIMDGDTVFINRPPTTHKHSLQALQVYIHDDHTVKINPLICGPLGADFDGDCVHLFYPQSLSSKAEVLELFAVEKQLLSSHSGNLNLQLTSDSLLSLKMLFKTCILDRIAAHRLAMFLTLPLPRPAVLKASSGDAWTAMQVLQCALPSSFDCAGGRYLIRDSEIVEFDFSRDLLPTMINEIAASIFFAKGPQEALKFFDVIQPFLMENIFADGFSVGLQDFSISRARKRIINRSIGKVSPLLYQLRSIYNELVAQQLENHIQDVEKPVINVVLKSSRLGDLIDSKSKSAIDKVVQQMGFLGQQLFERGRFYSKELIEDIASHFHAKCCYDGDGYPSAEYGLLKGCFFHGLDPYEEIVHSISTREILVRSSRGLSEPGTLFKNLMAILRDVVVCYDGTVRNVCSNSVIQFEYGIQAGDTTQHLFPSGEPVGVLAATSMSNPAYKAVLDASPSSTSSWELMKEILLCKANFRNELIDRRVILYFNDCCCGRSYCREHAAYVVKNQLTKVTLKDMAVEFIIEYQQQRARKDTSEIDAGLVGHIHLDETMLKKLKINMDDVVQRCQERLTSFNRKKKVNQIFKRIELDSSESCYCSHPSAPCVKFLWPDGDHSDLDKTANILSDMICPVLLEAVVKGDPRISSASIIWVNPDTNTWVQNPSKSPKGELALDIVLDKASVKQSGDAWRIVHDSCLPILHLIDTRRSIPYAIKQIQELLGISCTFVQAIQRLAASVKMVAKGLLREHLILLASSMTCGGNLVGFNTGGYKALARQLNIQVPFTDATLFTPRKCFERAAEKCHTDSLSSIVASCSWGKHVAVGTGSKFDIVWNAKEIKSSENGGMDVYNFLYMVKGFTNGEEEKNACLGEDIDDLLEEDDMDWGMSPQHMSGFDDAVFEENCELPNGSTSNGWDINTNKTETKSNDWSGWGSNKADDGSKNLQEDSWTSEKRKADVVQEESSRSSAWDGNTTKIVTKSNDWSAWGGNKSETEGGGSDRAQENSWSSGQKKTNVTHDDDPKSGAWDEDTNQTKTKSNDWMAWGGKKPEIQDGGFKRAEEDSWSSGNRKANVVQEDSSKPGAWDGNTDQAKTKSNNQSVWGGKKSVVQDGGGGSAQEESWSSDQRKANVIQDDSSKPGAWDAKTDQAKAKSNEWSSWGEKKSEVQDGGFGRAQEDSGSSRKWNTESNVGSEVIQEEASWSNARDANTDQTKTKSNDWSAWGRSNDEIQDGRSEKAREDSWGSAKWKAESNVGPDVRQKDSSRSNDWETNFNKSSENPAWGSQNESIQATSSQGWDSQIASANSDRDKNFQWGKPKSSQWQACDSQNESNQAASSQGWDSQVASANSDNDKNFQWGKQGRESFKKSRFEGSQGRGSNAGDWRNMNRPPRPPPPVYTPEEQEVRKDIDSIMQSIRRIMQQEGYNDGDPLAAEDQAFVLENVFEHHPDKETKMGGGIDHVMVSRHSNFQESRCMYVVLKDGKKEDFSYRKCLENLVRKKYPDVAESFIGKHFRKPRGRAGGDQAPATPLPSSTEANE >OIW08036 pep chromosome:LupAngTanjil_v1.0:LG07:9309425:9312937:1 gene:TanjilG_20137 transcript:OIW08036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSILCGIPLVECVYCLACARWAWKRCLHTAGHDSETWGIATAEEFEPVPRLCRYILAVYEDDLQNPLWAPLDGYGINPDWLIHKKTYKDTRGRAPPYVLYLDHDHADIVLAFRGLNMAKESDYAVLLDNRLGKKKFDGGYVHNGLLKAAAWVLNAECEVLTELVEKHPNYTLTFAGHSLGSGVAAMLSMVVVQNRDRLGNIERKRVRCYAIAPARLECNTADILSIKAFVDHRSLVAVVHKLVRSCFFPLASLYLMRTGSGTSKLTVLFLDQDDFLPRTATPLEDIFKSLFCLPCLLCLRCMRDTCIPEEKMLKDPRRLYAPGRLYHIVERKPFRMGRFPPVVRTAVPVDGRFEHIVLSCNATSDHAIIWIEKEAQRALDLILEKDHSMEVPAKQKMMRQETLTRHSEEHKAALQRARTLDIPHAYVPPSEYGTFDEEGKESSRNPQGESSLDSTNKSKAAESWDDIIELRFDKDEHGHMARKK >OIW08616 pep chromosome:LupAngTanjil_v1.0:LG07:1558665:1562843:1 gene:TanjilG_03292 transcript:OIW08616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDTVDYGNLSMSSKPSSAAISGTNTYKKLLVASRPKGFAKKNYEQLVSLTHGDFGSSVVGRATFFILEVATLEIVRRCSKTRFPFVWRGLQGLQILVYPPFKWIQRWAPFKGLVKSMQVLSRPLLVLSIATAFADQHSQCSDETSDGIPDSHDSELSAELSPVQANSNTGNSERASEVSEYENWLTQLNQELENQGIILPERINDEELHRFYTASNNDFSCFLTSIMKTIRWRESYRIFSGEELELWSNMVFWHGFDVMHRPCLIVRLGLACSSLASKDRSLFAQAIISQVEYGVLNLVDADNPEITVLVDCERLSPLKIPMKMMRSCSSLLQDHFPNRLGCLFVIRLPAFVRVIAQTFIQVLKPATRKKLKIHGDMYHKVLHDNLPTLPSYLGGSCTCMKCSKIGKWDMLQPHASGTSRINSGADISDNEASTSLHPSDQLDRHQNSNHDQLLRSAIVSIIVFWVFIAVGAGIYDPGSLRLPS >OIW08390 pep chromosome:LupAngTanjil_v1.0:LG07:3392280:3395879:1 gene:TanjilG_03066 transcript:OIW08390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKVQVRESGVPLFSEEELNEVSGVKLVDEYVEVTCGCTSHRYGDAVGRLRVFANGYLEISCECTPGCTEDKLTPSAFEKHSGRETARKWKNNIWVIINGEKVPLYKTVLLKYYNQVSKAANGSQHGRACHRDEFVRCSRCNKERRFRLRTKEECRIHHDAIADTNWKCSDLTYDKITCDNEEERASRRVYRGCPRSPTCKGCTSCVCFGCDICRFSDCSCQTCTDFTSNAKA >OIW07409 pep chromosome:LupAngTanjil_v1.0:LG07:19300438:19302581:-1 gene:TanjilG_19250 transcript:OIW07409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFISSKVSTFTPFSPSTIVGDNNGMVKKPSFFCSMNFSKKWGVRDLRVFASSITVPLTGVIFEPFEEVKNFAISVPTSPQLSLAKQKYADECESAINEQINVEYNVSYVYHSLFAYFDRDNIALKGLAKFFKESSEEEREHAEKLIKYQNIRGGKVVLHPIKNVPSEFDHEEKGDALYAMELALSLEKLTNEKLLNVHSVAGRNNDPQLADFIESEFLAEQVESIKKISEYVAQLRRIGKGHGTWHFNQSLLHEGNTA >OIW08586 pep chromosome:LupAngTanjil_v1.0:LG07:1787194:1790886:1 gene:TanjilG_03262 transcript:OIW08586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSAEWAKVKVCVTEFMKGAAEMAVELGKGCRDIVKQSLMNEDSYINKKFGKDSNVGKKLRGPCHKLCNKLSFFNHYLPEDKDPLHVWSVIFFVSFLSFAVLYVNFEHDQSTTPPMKQVSVHPPIADRIVLPDGRYMAYKERGVSADKARFSMIAPHAFLSSRFAGIPGVKDSLLEEFGIHLLTYDLPGFGESDPHSTRNLESSATDMSVLADALGVNKFWVVGYSSGSMHAWAALRYIPDRLAGAVMFAPMVNPYDPIMTREERRKTWSKWTRRKQFMYFLARRCPRFLAFFYRRSFLSGKHGQIDRWLSLSLGKRDKALMEDPIYEEFWQRDVEESTRQGNVKPFVEEAALQASNWGFSLSDLKLQKIKQRTNLLTWLKSMFVEALDEYTGFLGPIHIWQGMDDKVVPPSMTDFVHRILPGAAIHKLPYEGHFTYIYFCDECHRQIFTTLFGTPQGPLSISLEVDQTNLEANIEQQEALNSSDQVTN >OIW08419 pep chromosome:LupAngTanjil_v1.0:LG07:3117578:3132625:-1 gene:TanjilG_03095 transcript:OIW08419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGGLASPNSSLHLRKSGSRPVIYDLRFHITNNVTAIMPSPMLLWSFKTLMVWLWGLNLWFFAHGSVNYAKIFDLDQNHLTHREIWKIGWGSVMRMSADKRDLFLYEAFLYYNPLLLATLMVWLWGLNLWFFAHGSVNYAKIFDLDQNHLTHREIWKVVLYAAVVMVLIFPFDIFHLSSRFYFLRTLWRIVFPLQATKFRKHAYNILSPGKPLQKHVTGVTETSTHCSKWDAAMHMLVISFSDFFLADILTSMAKVFSDLERSVCRMVHQQVATIAWLEADSVCGSHSIAIPLALVLPYLFRLNQCFRQYKDTGEKTALLNALKYSTAVPVIFLSALKYHVVPERWTNLYRPLWLMSAVVNSLYSFYWDVARDWDLSGFTRIFMFSKPHVLSHLLHGRSWVYFWVIGSNLVLRCTWTYKLSAHLRHNHLTVFTIASLEIFRRFQWIFFRIENEWNKMNPETHIQLSAGDERKKVLEFELVSDLFSLLFSDPNFNLNFNSMADISKEELFQNSQSIGAVVGLAVAIFFIWRLLRSPGGTQHMQRKQQATTSASHGVSAQTNASVAPSGVRSPLDDSRAQNVVDELFQPVKPTLGQIVRQRLSEGRKVTCRLLGVILEESRPEELQVKRATVKSSVLEVLLEITKFCDLYLMERVLDDESEKRVLVALEDAGVFASGGLVKDKVLFCSTENGRSSFVRQLEPDWHIDSNPEVISQLARFIKYQLHVSPLRTEKTAANVFNAPSLEQFFGSV >OIW08525 pep chromosome:LupAngTanjil_v1.0:LG07:2303398:2308856:1 gene:TanjilG_03201 transcript:OIW08525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRGGGSYQPDQRRDRPSPAQPTPAETSGRGRGRGRGGGRGVSGQGRGATHVPSPVIGSSSPAPVFGSQPTAPVIGSSLQAHVVSSASSSVAPPPAAAPTTAPTSVEAMSSEVAERLTLQPPAPSSSKAVRFPNRPGYGTRGKKIRIRANHFLVQVADRDLHHYDVEINPEVTSKKVNRDIMKQLVETYQETHLGKRTPAYDGRKNLYTGGALPFSSKEFVVKLVDQDQQPHSSEGSASKKREREFKVTIRFASKPDLHHLQQFLRRQQLDSPQETIQALDVVLRATPSLKYNVVGSSFFSPDLGISGSRGSGVGPLGSGTEYWRGYYQSLRPTQMGLSLNVDVSARAFYEPILVPDFLVKHFKFNFSRPLSDQDRVKIKKALRGVKVVVIGIGNTRSYKVAGVSKEPLRELTFILDDKKTKKSVVRYFYEKYEVELKHTNLPAVQAGSDTKPVYLPMELCQIVSGQRYTKRLNEDQVTALLRATCQRPHERENYIKQILRQNNFNTDKLVHDFGIQIKEELATVEARVLPPPTLVYHQTGKESSVDPWMGQWNMINKKMIDGGKVRYWGCVNFSTRVNRDLPSTFCFELVNMCTSKGMVFAPEPFVPIISAQPSQIERVLVDVHKQSVNKLATMKLEGKLQLLIILLPDVKGSYGRIKRICETELGIVSQCCQPRQASKLSKQYLENVSLKINVKAGGRNTVLNDAIQRTIPHVSDLPTLILGADVTHPQPGEDSSPSIAAVVGSMDWPWVTRYRGIVSAQSHREEIIQDLYNSYQDPKRGLVHGGMIRELLRAFYQSARVKPARIIFYRDGVSEGQFSQVLLHEMDAIRKACVSLEEGYLPRVTFVVVQKRHHTRFFPVDRSQTDKSGNIMPGTVVDTSICHPREHDFYLNSHAGIQGTSRPTHYHVLYDENNFTADELQSLTNNLCYTYARCTRSVSIVPPAYYAHLAAFRARYYIEGEASEIGSTSGGSGSRGTNVVVTMPSVMENVKEVMFFC >OIW07976 pep chromosome:LupAngTanjil_v1.0:LG07:8119602:8121286:1 gene:TanjilG_20077 transcript:OIW07976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDQVQHPTIMEKVSSQLSCRSSLSSDVQNYDAAFRRPAVFQRQSFGNYSNAALQYPMMPSCRATSDLSSVATASPVFAAAPAEKGHFLIDFLMGGVSAAVSKTAAAPIERVKLLIQNQDEMIKTGRLSEPYKGIGDCFKRTTADEGVVALWRGNTANVIRYFPTQALNFAFKDYFKRLFNFKKDRDGYWKWFAGNLASGGAAGASSLLFVYSLDYARTRLANDAKAAKKGGERQFNGLVDVYKKTLATDGVAGLYRGFNISCVGIIVYRGLYFGMYDSLKPVLLTGNLQDSFFASFGLGWLITNGAGLASYPIDTVRRRMMMTSGEAVKYKSSLDAFQQILKNEGAKSLFKGAGANILRAVAGAGVLAGYDKLQVIVFGKKYGSGGA >OIW07521 pep chromosome:LupAngTanjil_v1.0:LG07:18732064:18733140:1 gene:TanjilG_14467 transcript:OIW07521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFSTSHYFIPLTFLILFLKIQAFDPVSVFSFTNFEKGPKFESSLAIYGNAKVINGGSELVLSSGSGSSSGSGKIMIKKQIKLFEGNTMELVTFSTYFAFSISLDDKDGLAFVMVPSGFESEVFDNSSSYGLRESGFKVIGVEFSATRDGKIEGFAYCNVNLNVGNSVIAKISSNFSMNMGLSRGQKLHAWIDYEGFSNRFEVRLSQYSNSRPIDPLLSHTINFSNVWDAREMFVGFRPVNGDSSQPCSLSSWRFVEGFVPYWMHSKPLNPLPKFLINKDTETPAVKARSDCLLRVLAAMIFGAGCGSLVAFVMLYVWTIFSNRRPVAPEEYVMQPVEFEYKKVNIVVDKAIKDGKE >OIW07858 pep chromosome:LupAngTanjil_v1.0:LG07:6835823:6837247:1 gene:TanjilG_19959 transcript:OIW07858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPLQRSNELVIQFSNTPHQQDSQFFEDLVLDNYDFLDVNDSDKIFSSSQPKKQIYGTEKSNHGDSNEHKKKKMVHREIERQRRQEMATLHASLRSLLPLEFIKGKRSLSDQMNGAVNYIKQMQENIKELGAKRDELKKLSNSNLENHESNHASSNFTVHENNGILRIEVTIGFIEERPTLSKLLQLLVEEGLEVINCLSTEINGRLLHSVHCEVNKSNSVDLSELRWKIANLIAS >OIW08072 pep chromosome:LupAngTanjil_v1.0:LG07:9816755:9818167:1 gene:TanjilG_20173 transcript:OIW08072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDTIVLYSSIGRGHIFSMVELGNLILTHNPSFSIIILIPTPPNTANIDTTTFGCNSSITFHHLAITPPSSSATTTLPPHLLIFDITRHGNHNLHNFLQSISKTSNLKAIVVDFMNYSATEVTTTLDIPTLFYYTSGAATLCVLLHLPTIRQNRTKSTNDNQTYLEIPGFPKILTEELPQGPKHLEDIFIKISTTLSESDGIIINTFNALEERAFKTLEEGSCFPQEKNPPQVFCIGPVISVSGGEKDENRCLSWLDSQPSQSVVLLCFGSLGRFSKNQLKEIAIGLEKSEQRFLWVVRSESDEESLEELLPEGFLERIKEKGMVVKNWAPQAAILSHDSVGGFVTHCGWNSVLEAVSEGVPMVAWPLYSEQKLNMVVLVRGMKVALAIKKSEDGFVSATELEDRVKELMNSEKGKEIRERVLKMKVGAVEARAESGSSYAALNKLTQLWKEKDLLSFPSPDTPLLKPFE >OIW08507 pep chromosome:LupAngTanjil_v1.0:LG07:2426851:2430006:-1 gene:TanjilG_03183 transcript:OIW08507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASFTSFRTKSILITGATGFLAKFLLEKILRIQPNVKKFYLLLRAADSKSATYRLHNEIIGKDLFRLLKEKLGANFNSFISEKLTLVPGDISREDLGLKDSTLREEIYNQTDVIINLAATITFDDRYDISLRLNTFGVKYIIDFAKKCTKLKGEGLILEKPYHLGDSLNGVPGLDIYVEEKLVRDKLDELCEQGATEKEITMAMKDLGMTRAKKYGWPNTYVFTKAMGEMLVEELRGNLSIVIVRPSIVTGTFKEPFPGWAEGIRFDDMNTEKLRIAATEGGVVTQLLVIL >OIW08695 pep chromosome:LupAngTanjil_v1.0:LG07:1047561:1048295:-1 gene:TanjilG_03371 transcript:OIW08695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYSEISHFSHSQHKLRFEYSEFPFKCDGCKEVGIGSRYKCCSNTCDYDLHMQCAIPTPTLYHPFYKKCSFQFMSHPPGTTPRYCNACEKDITGFVYHCKACGFDLHPCCAKLPMVLDDGEIKLYLYSKVSSVCHRCGRKGRSWSYRSTCKRYNLHVACVREMLVENWHELYIRRGGRGGGRKIETSIPSLKNTLYSAHSNSKGKKVKKCCEIAGLAVQFVISAVLGDPTSLIAGIVGSLMSRA >OIW08312 pep chromosome:LupAngTanjil_v1.0:LG07:3955557:3958571:-1 gene:TanjilG_02988 transcript:OIW08312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFYIQRLYRLCRTSFSPDGPVSEEAIEKVREKLDKIKPSDVGLEHEAQVVRNWSGSMLEHNESHQPLPTIKYLHLHECDSFSIGIFCMPPSSIIPLHNHPGMTVLSKLLYGSLYVKSYDWIDVPGSTDPSEARPAKLVKDREMTAPSPTTVLYPTSGGNIHCFRARTPCAIFDILAPPYSSEYGRHCTYFRRSQRKDLPGNLELDGVTVSDVTWLEEFQPPDDFVIRRGLYRGPVIRA >OIW07648 pep chromosome:LupAngTanjil_v1.0:LG07:15600899:15601327:-1 gene:TanjilG_17663 transcript:OIW07648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKFQAIHKYVAVFLVLFACHDSLLTHGRKIKVNTDATIKPLKTNVNVPNPTTSLATRILSSNKETSFGNTDAFRPTTPGSSPGVGHRKFAGEEHMKAMVVVQSPDVEVYVTERSKNDIPPTTPGHKNSVGHSHQYKNGNLN >OIW08304 pep chromosome:LupAngTanjil_v1.0:LG07:4129170:4132435:1 gene:TanjilG_02980 transcript:OIW08304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILGAITKRTVSFSASHFLSRSSSSTRYGSTLVIAEHEGGVIKAPSLSAILAATSLNSSISLLLAGSGSSLHQAASQASSTHPSISQVLVADSDKFKNPLAEPWAKLVHLVHQSGGYSHIIAASSSFGKNVMPRAAALLNVSPITDVTRISDSHTFVRPIYAGNALCTVRYTGSSPCILTIRSTSFPVPKNSVDSKSSEASISEVDLSTFDEDLGNSRFISQTTQDDELPDLGNARTVISGGRALKNAENFKLIENLAKKLGGAVGATRAAVDAGFVANDLQVGQTGKIVAPELYIAFGISGAIQHLAGMRDSKVIVAVNNDADAPIFQVADYGLVGDLFEVIPELLEKLPEKK >OIW08013 pep chromosome:LupAngTanjil_v1.0:LG07:8968946:8970124:1 gene:TanjilG_20114 transcript:OIW08013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKRQRKSERDRNDGKDRLSDLPDGVLLYIMKFMKTKHAVRTSVLSKRWKDLWKGLTDYTLHSQDFISLSRFKEFVNWILIDRVHPFTLHSLDFSRHGCIGHNFLHRIIQCVAVHNVQKLTIHVNLDVMDDFELPINLFKCESLTFLKLSAQSITRTMVKCPKSLGLPVLKTLHLMNVTFTATYDDCADPFSTCNMLNTLVIDHCALRRGTTVLRISNSNLSSLTILDTLEPAHKIELATPNLSSLTIRSDPIRQLTACNLPFLEEVNITCRYTNMPNDPFIFTWLQLLADNVKIMTLCSRTLEIIHNLSTHDSTKVQPPCFGRLKSLKVYAYPYTKLSDDGISSTVAYLLQNSPQPRVVIINHRK >OIW08489 pep chromosome:LupAngTanjil_v1.0:LG07:2536938:2544812:1 gene:TanjilG_03165 transcript:OIW08489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLNGPNRFLPGKPTPSERFLGVSSRAPPITTTPEELDEDDVVFFTNDYHNAEPNNNNNNHHHNKSSPFTPPDSFGILAALPENETSPKLRNGSQLFHNHKASISSSSSSSSSSSSSSRLIPRPPSQDRISSSPLKLHHQSAPVNVPILTEAMMKARRRHREFDEHDDDDEEKEEEEMLPPHEIVARNSARSPMLSCSVLEGAGRTLKGRDLRRLMAYIHVIIALFFALGLAKIDPSTSQTVKGKVLCVDCNQHYDLSDTKVSVKCDGVKKLAVATTEDDGSFKVNLPSDNTKPSSVNCMANILGGKNQLFVSRKNQVSQIVKDKEEQNSYTISTPLSFMKSCPKNTKCKVSTQVGSSKTVNIPLPPEWGLAPSSYYVPFIPIIGIP >OIW08532 pep chromosome:LupAngTanjil_v1.0:LG07:2215161:2215477:-1 gene:TanjilG_03208 transcript:OIW08532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARARNVIVAAGLVAFASAGLAFPFYMASSRKPVIDSTKPLPPQATFRGPYINTGSRDVGPDHQTYEKK >OIW07716 pep chromosome:LupAngTanjil_v1.0:LG07:13461209:13466189:1 gene:TanjilG_19659 transcript:OIW07716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKTSSNAANLQQAKASMMINPLSLSDDQVLEKVYITHVHNAERYDVESLFSITSNIINRSTAAADNVVTKSGTPIGLIEDKVPLSSFNPPFRTMKDIAYKMMNTPHGEHNAHQTTISILEQLKSYTWDGKAVIVLAAFSLEYGNFWHLVQVQSGDQLGRSLALMNRVQTIEGNRQAISDYNILVKTILIAVDCITELERLSTKGYDPKDVPALSEGLLEIPVIVYWTIITTIACANHLDYLMGDSDDGYELSNFGNKLASIVSKLKAHQTRSRKEIGELEDYWNRKKVFQTPTEIVEVLKVLIFHDEIKEPQVYDGFTKRKVPLEVFRQKHVLLFISGLDSIRDEIRLLQSIYEGLLEDPREVKGYFKNEFKILWIPVVSEWDIVHRAEFENLKIDMPWYVVEYQYPLAGIRLIREDLNYKNKPIIPVLNPQGRVVNSNAMHMIFVWGIDAFPFRPTDDELLTQKWNWFWAELRKVHPTIQNLIKADQYIFIYGGTDNKWSQDFTAAVEKIKRHEIIKKADAIIESYHFGKDEPRNVPRFWIGIESLFANKLQKKNKDSTIEEIKSLLCLKQHPQGWVLLSKGSNVKLLGRGDVMYATASEFDLWKDRVLERAGFDVAFIEHYNSKHRDSPPVCAHMQLANYPANVLEPINCPDMKCGRSMEIEAISYKCCHGHSHNAEVPESGDVMIEKKFSS >OIW07761 pep chromosome:LupAngTanjil_v1.0:LG07:12010251:12017108:1 gene:TanjilG_10680 transcript:OIW07761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFTTHASAQPLKNADELIDSVETFIFDCDGVIWKGDSLIEGVPETLDLLRSKGKRLVFVTNNSTKSRKQYGKKFETLGLDVSEEEIFASSFAVAAYLKSIDFPKNKKVYVIGEEGILKELELAGYQYLGGPEDGSKKIELKPGFLMEHDEEVGAVVVGFDRYFNYYKVQYGTLSIRENPGCLFIATNRDAVTHLTDAQEWAGGGSMVGALVGSTQREPLVVGKPSTFMMDYLADKFGIQKSQICMVGDRLDTDILFGQNGGCKTLLVLSGVTSLTTLQSPNNKIQPDFYTNKISDFLSLKAATV >OIW08564 pep chromosome:LupAngTanjil_v1.0:LG07:1936204:1936704:-1 gene:TanjilG_03240 transcript:OIW08564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPKFDPSQVIDVYVRVTGGEVGAASSLAPKIGPLGLSPKKIGEDIAKETAKDWKGLRVTVKLTVQNRQAKVSVVPSAAALVIKALKEPERDRKKTKNIKHNGNISLDDVIEIARVMRPRSMAKELGGTVKEILGTCVSVGCTVDGKDPKDLQTEIDDGDVEVPQD >OIW08573 pep chromosome:LupAngTanjil_v1.0:LG07:1878217:1878582:1 gene:TanjilG_03249 transcript:OIW08573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDGTCGNFNHGSCSINMTLSIVQKTCLGSSSCSIGALVDTLGDPCKGVTKNLAVEKLLEHRHVASSSLLPSSYLKVPPDCTKVKMLV >OIW08545 pep chromosome:LupAngTanjil_v1.0:LG07:2114870:2117259:-1 gene:TanjilG_03221 transcript:OIW08545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHEIDGSPGSSMHGVTGREQTFASSVASPMVPTDTTAHFALPVDSEHKAKVFKLFSLANPHMRTFHLSWISFFTCFVSTFAAAPLVPIIRDNLNLTKIDIGNAGVASVSGSIFSRLVMGAICDLLGPRYGCAFLIMLTAPTVFCMSFVKDAAGYIAVRFMIGFSLATFVSCQYWMSTMFNSKIIGLANGTAAGWGNMGGGATQLIMPLVYELIRRAGATPFTAWRIAFFIPAWLQVIMGILVLTLGQDLPDGNLGALQKKGDVAKDNFSKVLWYAITNYRTWVFALLYGYSMGVELTTDNVIAEYFYDRFNLKLHTAGMIAATFGMANLVARPFGGYASDVAARLFGMRGRLWTLWILQTLGGVFCIWLGRANSLPIAILSMILFSVGAQAACGATFGIIPFISRRSLGIISGLTGAGGNFGSGLTQLAFFSTNKFSTSTGLSLMGIMIVCCTLPVSLVHFPQWGSMFLPPSKDANKSTEEYYYTSEWNEEERKKGLHQNSLKFAENSRSERGKRVSSAPTPSNTTPTHV >OIW08508 pep chromosome:LupAngTanjil_v1.0:LG07:2418175:2419680:-1 gene:TanjilG_03184 transcript:OIW08508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSTGFLFFFFTFIFSLFSFLVFISRMKPWCNCHTCRSYLTKSWTHTFTNLCDWFTHLLKNSPTGTIHVHLVGNIITSNPDNVEYILKTRFDNYPKGKPFSTILNDFLGRGIFNVDGDSWKFQRKMASFELGSVAIRSYAFETVIDEIKTRLIPVLASKAHDKTESLTEAETERSDALDLQDILRRFSFDVTCKFSFGTDPACLLPSLPSSNFAEAFDLASKISAERGIAALPMIWKMKRFFKIGSEKKLSEAINVINDLAYDIIKQRREMGFASKKDLLSRFMGSVNDDDKYLRDIVISFLLAGRDTVSAGLAGFFTLLSKYPKVEELVREEVNRFRNPVQEYPTFDEIRQMHYLNAAVHESLRLFPPVQNDSKFAEDDDVLPDGTVVKKGNRVSYHPYAMGRMEKIWGPDCLEFKPERWLKDGIFMSEDLFKYPVFQAGSRVCLGKELALMNMKSVVATLVPRFDIRLVGLDYEPRFVPGLTASLRGGLPVKVYERKC >OIW07714 pep chromosome:LupAngTanjil_v1.0:LG07:13344241:13346796:1 gene:TanjilG_19657 transcript:OIW07714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIFIEILSDASLDDRVRASNIIGHKKLNNLRVSHRIGNPLDYDTLKETILNIHGSLKNEDIPLSIAVISDRKWLLGDPSKADKHSAYSLLLAENLCTKFGVKVQNLVAEIVDSNLGKQITKIKPSLTYIATEELMSLVTAQVTENNELNEVWKDVLNAEGDEIYVKDISLYMREGENPSFSELYERAHLRREVAIGYVKHNKKIINPAPKSEPLSLELTDSLIVISELEGEQPIIL >OIW07408 pep chromosome:LupAngTanjil_v1.0:LG07:19298252:19299029:1 gene:TanjilG_19249 transcript:OIW07408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTLISNEFNDLEKQWVCVQQQKKTSLKIEKDKQRAQMMLSMYASVTNIVPNLDDQSKISGYIVEKDKKSVEKFEYDNLKIPTLDVCNDIWNKISS >OIW08624 pep chromosome:LupAngTanjil_v1.0:LG07:1508783:1509265:1 gene:TanjilG_03300 transcript:OIW08624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVSSNVEVFLSEKNVTDHKMDHDSTLLLEKSTTETLDKDCLTPTHERHEVRTQPQLLVQESDQEQPKKEVHVCLNLRLEIPPHKNDQEDSYEGVKTPISEEHKIPTLLQCPPAPRKRKMLSSTKEIKGCHPRELDFTRLSMDLDVAVTNKKLRNLFMGA >OIW08278 pep chromosome:LupAngTanjil_v1.0:LG07:4369945:4370402:1 gene:TanjilG_21744 transcript:OIW08278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEGKAIIGETDMLRTMQQDALNLASKALDFYDVTEAIEIARFIKKEFDRMHGPGWQCIVGTDFGSFVTHCCGCFIYFCIGSLAILLFRGSAAPEAQENHFSALEAVKA >OIW08842 pep chromosome:LupAngTanjil_v1.0:LG07:496583:498439:-1 gene:TanjilG_16423 transcript:OIW08842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSRSGRAPVRSYRRRKTFLDIDLNHLPFGENREQEEGTSNQLGIEQVQELQVHEQPPTIDVDAFDDDVIESTPRAFAEAKNKNSSRRSRRRTIVDLDLEDQIRVTNSNCNKRNRVTPGQPIFNYDHYDLCISSEGNSSHKRKNMAPPEAPKEPVFNCPICIGPLVEEKEDYCKRAYTGVPPIS >OIW08746 pep chromosome:LupAngTanjil_v1.0:LG07:699652:699972:1 gene:TanjilG_03422 transcript:OIW08746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANRKSNKFHQNALVLKQILKRCSSLGKKHGYDDYGIPLDVPKGHFAVYVGENRTRYIVPISFLAHPEFQSLLRQAEEEFGFDHDMGLTIPCEEVVFRSLTSSMVR >OIW08374 pep chromosome:LupAngTanjil_v1.0:LG07:3511248:3512843:-1 gene:TanjilG_03050 transcript:OIW08374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFVVLLLMLEAAITVDVFINRDLEKGLSLLLAMILKALGPHQYYDSDDEYRHGLNNDACVRVSDKTNR >OIW08077 pep chromosome:LupAngTanjil_v1.0:LG07:6511302:6513105:1 gene:TanjilG_21057 transcript:OIW08077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLFQIAIVSLFLFISFSFRLSETTDCGGNSIASTITVNQCGCEGGFKSIQQAIDSIKSNNDQWVKIHIDAGTYTEEIEIPSEKPCLILEGEGSENTIIQYNDHQTEKKNWRPTFHSNPPNVIVLGITFKNTFNLGVPSHNFTQALAAGIFGDKSVFYKCSFVGFQDTLLDSNGRHYFKDCFIQGEVDFIFGSGQSYYEDCIINVTGRNNSSLKGYITAQSRSSENDPSGFIFKGGSIIGNGDAYLGRAYGPYSRVIFYGTYFSSLVAPEGWYPWDYKGHEYVFTSSNNLIIVIFMILFIHIPVNK >OIW08193 pep chromosome:LupAngTanjil_v1.0:LG07:5291758:5300502:-1 gene:TanjilG_26482 transcript:OIW08193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKLKIGEGGEGLISVNNFIGRQHWVFDPNAGTPEERAEIERVRQEFTKNRFSIKQSSDLFMRMQVSKEKQCGSIPEGVKVREFENVTVEALSVTIKRAISFFSSIQVHDGHWPAESAGPLFFLSPLVMTLYITGSLHVVLGPEHKKEIIRYLYNHQNEDGGWGLHIEGHSTMFGSAFNYIALRILGEGPEDGADRAMARCRKWILDHGGIVAIPAWGKFWTTVLGVYEWSGLNPLPPELWLLPNFTPLNPGIAGKMLCYCRLVYMPMSYLYGKRFVGTIDELIRSLREELYNEPYDQINWNKARKTVAKEDLYYPHSFIQDMLWGFLHHVGERFLNCWPFSMLRERALEIAIKHIRYEDDNSRYLCNGSVVKVLCMLSRWVEDPNSEAYNLHLARISDYLWLAEDGLKLQSFGSQMWDVSFAIQSILSCNMSEDYGPTLRKAHDFVKASQIHENPSGDFMAMHRHITKGAWTFSVQDDGWQASDCTAEGLKAALLLSEMPTALVGEKMEKDRFFDAVNVILSIQSSNGGFPAWEPRRTYRWLEELNPSEILEDALIEMEYVECTGSTIQALVQFRKLYPEHRTEEINQCISKAIHFIESTQSPDGSWYGCWGICYTYGTWFAVKGLTAYGKNYHNSPSLRKACKFLLSKQLPNGGWGESYLSSRNKVYTNLEGNRANLVQTSWALLSLILAGQADIDPTPIHHGIKLLINSQMEDGDFPQQEITGVFMKTCVLNYSSYRNIFPIWALGEYSRQVLHA >OIW08191 pep chromosome:LupAngTanjil_v1.0:LG07:5311934:5314350:-1 gene:TanjilG_26480 transcript:OIW08191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQDDAVVVGPSDVPENDSSENQIIVDSDLDKISYLPIEVLGHILSFLVTKEAIRTSVLSKRWIDNWTFIDNIVLDDTLLYSVNRSNTVYRHFGNLVNRVLRRTNSSIRSCSLNLSAHNTHHLNSWIPAILEKRVQNLCIRAASYIVLSPCFLFDCNSLVELMLDIDCTVKVPASNCLPKLQILRLYRITFDCSSSSAEVRGLVLCLPVLKVFDSRQCIWHYVTIEAPLLESFHLEFYNYWPLPYYVTHNCSLVIVKVCSSRVAKFFYRGHLMEGFIIFDPPLVHNASADLLLETCREEDVQHAANHARALLAQIQEVECLKLAFRQHLVYAKDFLANAPVFRRLTSLQLDKVTVEALFDLLNKSPNLNTLVLNDATTAIDQDLFASQMAPPCLLFSLKVVLIREFEPVHLHLAKFVIEKAGVLEHMAISTVMLWRRLVPAMQNIKDELFSFSKYSTNALIEFSINTND >OIW08668 pep chromosome:LupAngTanjil_v1.0:LG07:1194737:1200408:-1 gene:TanjilG_03344 transcript:OIW08668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSDDMHDANDIESLDEDFYSDDVPMDYYSDYDDDAADDYFDDADDADRIFSRRPEQNFTILKESDIRQRQDEDVNRVASVLSISKVSASILLRHYNWSVTKVHDAWFADEDGVRKAVGLLEQPIVQCPNDEEPTCGICFENYPCSKIAMASCGHPYCISCWGGYIGTSINDGPGCLTLRCPDPTCGAAVGQDMIDLVASDEDKHKYGHYLLRSYIEDNKMSKWCPAPGCEYAVNFDAGSANYDVSCLCSYCFCWNCTEEAHRPVDCGTVAKWILKNSAESENMNWILANSKPCPKCKRPIEKNQGCMHMTCTPPCKYEFCWLCLGVWSDHGERTGGFYACNRYESAKQEGVYDDSEKRREMAKNSLERYTHYYERWASNQSSRQKALADLHQMQTVHIEKLSDTQCQPESQLKFITEAWLQIVECRRVLKWTYAYGYYLPENEHAKKQFFEYLQGEAESGLERLHQCAEKELHPFINDDGPSREFNDFRTKLAGLTSVTKNYFENLVRALESGLTDVDADGAASSKATSSKNAAGSSKGRGGRGKGTLRSSLSNRMTDDSHWFCEQCTYANVKSATACQICNHQRR >OIW07986 pep chromosome:LupAngTanjil_v1.0:LG07:8266315:8272496:1 gene:TanjilG_20087 transcript:OIW07986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEKKSSEIVKVEPKPSKGFSSKVIDLLEKVVVKLFYDSSLPHHYLAGNFAPVPDETPPTKDLPVIGYLPDCLNGEFVRVGPNPKFSPVAGYHWFDGDGMIHGLRVKDGKATYVSRFVRTSRLKQEEYFGGSKFMKIGDLKGLFGLLMVNMQILRAKFKVLDISYGNSTANTALVYHHGKLLALSEADKPYVIKVIEDGDLQTLGLLDYDKRLSHSFTAHPKVDPFTGEMFTFGYSHTPPYVTYRVISKDGYMHDPVPITVPEPVMMHDFAITENYAIFMDLPLYFRPKDMVKKNTLIFTFDSTKKARFGVLPRYAKDEKHIRWFELPNCFIFHNANAWEEEDEVVLITCRIENPDLDMVNGAAKEKLENFSNELYEMRFNIKTGEASQKKLSASAVDFPRVNESYTGRKSFVHVIDAKTMSADPVAIIELPHRVPYGFHAFFVTEVCVP >OIW08197 pep chromosome:LupAngTanjil_v1.0:LG07:5277831:5279113:1 gene:TanjilG_26486 transcript:OIW08197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLFVAYSICEDGKDVVGIAGNIFAFGLFLSPIPTFRRIIRNGSTEMFSGLPYIYSLINCLICLWYGTPLISHDNLLITTVNSIGAAFQLLYIILFLMYAESAKKVRMLRLLLAVIGIFAIILVGSLEVADSNTRRMFVGFLSCASLISMFASPLFVINLVIQTKSVEFMPFYLSFSTFLMSASFFLYGFLSDDAFVYVPNGIGTFLGLIQLVLYFNYNRSSSEDSTEPLIMSYA >OIW07982 pep chromosome:LupAngTanjil_v1.0:LG07:8224532:8226649:-1 gene:TanjilG_20083 transcript:OIW07982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVIMLQLSKDPWRSREVLQDYVPSFPSDLGVSTNKPSDMKTLTIHTEDSFSSLLELASNNDTESFKALLDKDPSSINEVGLWYARQNGSKQIVLEHRTPLMVAATYGSIEVMKIILSRPEADVNLACAMNESTALHCAASGGSVNVVDAVKILLLAGANPNCVDANGNRPVDVIVVHPKLQGVKPVIEELLSGSASDVSVGEFSVPACVINSSPASPVRLSSPENTMLYSPSGSPCSPMALKFNDAVVGSVAEKKEYPIDPSLPDIKNSIYATDEFRMFSFKVRPCSRAYSHDWTECPFVHPGENARRRDPRKFHYSCVPCPDFRKGACRRNDMCEYAHGVFECWLHPAQYRTRLCKDGTSCDRRVCFFAHTTEELRPLYASMGSAVPSPRSFASTPNVMDMAAALSLFPGSPSSVSSMSPSCFSQPMSPSADGISLSSAAWSQPNVPTLHLPGSNIQCSRLRSSLSARDIPPKDLNVLSDFDCQQQVLNDLGCFSQLHHGGAVSVSRSGRSKTLTPSNLEELFSSEILSPRYSDPALASVFSPHKSAVLNQFQQLQTMLTPINTNMLSPKNVEHPSLQAPFGISSPGRMSPRCLEPISPMNSRISAFAQREKQQHQLLSLSSRDLAANNPASAVGSPVSTWSKWGSPNGKADWSVNGEELGRRSKIASSFELKNNGEEPDLSLVQSLVKESPAEMIKEKLTAC >OIW08826 pep chromosome:LupAngTanjil_v1.0:LG07:365608:366867:1 gene:TanjilG_16407 transcript:OIW08826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPPAVESPNPQLTQQFLSSALSQRGPSALPYSEDTKWLIRHHLLSLTTSFPSLEPKTATFTHNDGRSVNLLQSDGTVPMPYQGVTYNIPVVIWLMESYPRHPPCVYVNPTRDMVIKRPHSHVNPSGLVSLPYLHNWIYPSSNLVDLVRALSLTFAADPPLFSQRRAPRTPPSHNPNPNPSPNFDSFSSGSSNPGAFSSGYSRPSIPPNNYPPSPYASGSGRVQPSPTTTTSHHGEDQSEVFKRNAINKLVEIVHGDVVGLRKTREGEMEGLFSVQGVLKQREDQLNKGLKGMRDEMEGLEQQLQMVLMNSDVIEGWLRDNQGKKFRSLENVEDAFECVDVLSKQMLDCTASDLAIEDTLYALDKAVQVGSVPFDQYLRSVRALSREQFFHRAMVAKVRAAQLQAQVANMASRNQHYAV >OIW08309 pep chromosome:LupAngTanjil_v1.0:LG07:3982288:3985505:-1 gene:TanjilG_02985 transcript:OIW08309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSRSYTNLLDLASGNFPAMGNQFKERKRLSRVMSVPGIVSELDDDQAVSISSDNPSTISTDRMIIVANQLPLKAKRREDNKGWSFSWNEDSLLLQLKDGLPDEMEVLYVGSLRVDIDPAEQDDVSQYLLDKFKCVPTFLPSDVLEKFYDGFCKKQLWPLFHYMLPFTTNESHRFGRSMWESYVLANKLFFQKVVEIINPEDDYIWVHDYHLMVLPTFIRRRFNRVKMGFFLHSPFPSSEIYRTLPVREEILKALLNSDIIGFHTFDYARHFLSCCSRMLGLEYQSKRGYLGLEYYGRTISIKIMPVGIHMGRIESVMRMADEESKVREIKQQFEGKTILLGVDDMDIFKGINLKILAMEQMLKQHPKWQGRSVLVQIVNPARGKGIHLEEIHAEIQESSSRINRVYGRPGYEPIVFIDRSVSIAEKVAYYSMAECVIVTAVRDGMNLTPYEYIACRQGISGSESSSNASIPKKSMLVVSEFIGCSPSLSGAIRINPWNVEATAEAMNEAISMSEGEKQLRHEKHYRYVSTHDVAYWSRSFLQDMERACTDLLRKRCWGIGLSFGFRVVALDPNFKKLSTDTMVSAYMRARNRAILLDYDGTVMPQNSINKSPSKEVITLLETLCADPKNVVFIVSGRGRASLSQWFTPCKKLGVAAEHGYFLRWSQSEDWEICGKSTEFGWMQIAEPVMNLYTEATDGSSIEKKESALVWQYRDADLGFGSAQAKEMLDHLESVLANEPVAAKSGQFIVEVKPQDVSKGLVAEKIFSSMAEKGTQADFVLCVGDDRSDEDMFEVISSAISRNILSSKASVFACTVGQKPSKAKYYLDDTSEVINMLQSLAEESDSSPSCIEEETGDSSLRQM >OIW08432 pep chromosome:LupAngTanjil_v1.0:LG07:2986370:2988434:-1 gene:TanjilG_03108 transcript:OIW08432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKNSFKSEHPLEMRQAEGARIREKYPDRIPVIVERAEKTDVPEIDKKKYLVPADLTVGQFVYVVRKRAKLGPEKAIFIFVKNILPPTGAMMAAIYEENKDEDGFLYMTYSGENTFGMMI >OIW07652 pep chromosome:LupAngTanjil_v1.0:LG07:14178260:14181081:-1 gene:TanjilG_07694 transcript:OIW07652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKKVVSGLLIFWLIICVSATDNGLPRCNCDDEASWWSIETILECQRVGDFLIAVAYFSIPVELLYFLSCSNVPFKWVLFLFIPFIVLCGMTHLLNGWTYGPHTFQLMVALTIFKILTALVSCATAITLVTLIPLLLKVKVREFMLKKKTRDLGREVGLIMKQKEAGMHVRMLTQEIRKSLDRHTILYTTLVELSKTLGLQNCAVWMPNVDKTEMNLTHELNGRNINSSIPITDPDVVRTKGNDGVNIINSDSALAAASSGVYGEAGPVAAIRMPMLRVCNFKGGTPELTQACYAILVLILPSEEPRSWSNQELEIIKVVADQVAVALSHAAILEESQLMREKLEEQNRALQQAKMNALKASQARASFQKVTSDGMRKPMHTILGLLSIVQDGNMNSEQKLIVDSMLRTSNVLSNLVNDAMENSRDDGRFPVEIRTFGLHGMIKEAACLAKCMCVYRGLDFVVEVDKSLPNNVMGDELRVFQVILHMVGNLLDGNHRGRILVFRVFAETGSQGRNDQGRTTWRPSSSSGDVYIRFEMGINSSEYQYESSLSIRQVEGRKYTSNRIEGKLSFNICKRIVQMMQGNIWLVPNTQGFPQMMALLRFQLRRSIAISIPESGDSSEYSNSSSIFRGLQVLLAESDAVNKVVTQKILQKLGCVVISVSSGFECLTAIPVASSIQVILLDLHMPELDGFEVASRIRKFRSRDWPVIIAMTASTEDDLWERCMKLGFNGVLRKPILLQGIAGELRRILGGRS >OIW08821 pep chromosome:LupAngTanjil_v1.0:LG07:344276:346022:1 gene:TanjilG_16402 transcript:OIW08821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEECILKGVRKHANPGKATILALGKAFPHQLVMQEYLVDGYFRDTNCDNLELKQKLSRLCKTTTVKTRYVVMSEEILKKYPELAVEGLPTVTQRLEICNKAVTQMAIEASKACIKNWGGSLSDITHLVYVSSSEARLPGGDIYLATGLGMSPKTQRTMLYFVGCSGGVAGLRVAKDIAENNPGSRVLLTTSETTIIGFKPPSADRPYDLVGVALFGDGAGAMIIGSDPRVETEKPLFELHTAAQEFLPDTEKKIDGRLTEEGISFKLARELPQIIEDNVEGFCDRLMDVVGFQSKDYNKLFWAVHPGGPAILNRIEKRLDLLPEKLNASRRALMDYGNASSNTIVYVLEYMIEEGNKIRKDGGEDPECGLILAFGPGITFEGILARNLCA >OIW08495 pep chromosome:LupAngTanjil_v1.0:LG07:2508359:2515255:-1 gene:TanjilG_03171 transcript:OIW08495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVRATVSRFPIDTDAREGSGLLWGVTVNPFAAVDENGQSPAYGSGGDLLPRCENCYAYFNTFCELEQWAWSCSLCGTLNGLSSDAIERYSRPQSCAEMMSSFVDLELPQEESVDGGGAMQACPVYVAAVDLSSSEEFLELTKSALLAALEALAPGSLFGLATFSHKLGLYDVQGPIPVVKNVFIPPDAEGTLPIELEDVMPLLQFLAPVENCKERIASALETLRPTTSWERTTAAGQGLDGVLLSGRGFGVAMEALCNYIGSEYGNTFALARVFAFLSGAPDYGAGQLDTRRYGEQYASKGEDADRALLPEQTPFYKDLAAVAVQAGVCVDIFAVTNEYTDLASLKFLSIESGGSLFLYTSTEDSTLPQDIYRMLSRPYAFGCVLRMRTSTEFKPGNSYGHFFPDPQYENVQHIICCDSYATYAYDFVFENNVGFSRTQSDVPTIQIAFQYAVVVPPVDLPDSERVSTSRTTHSLKRRLRIRTLQFGVAKNVQELYDSCDPEVMLSLLVHKVILVSLEEGVREGRILLQEWLVILIAQYNDAHKLIQYSNGSSIRSQIDIVFSQSPQLQPLPRLIFALLRNPLLRFHEEGVHPDYRIYLQCLFSVLEPSSLHRAVYPVLTSYATPDKQAYPRHSLSRAALITSGSPIFFLDAFTTLIVFYSSTADPTLPFPPPHDCLLRSIINKLKQERSITPKLIFIRGGHDDASIFENFLIEEQDVDGSGLTSMMGFVSFLEDITQKVLEFMK >OIW07831 pep chromosome:LupAngTanjil_v1.0:LG07:10158710:10160044:1 gene:TanjilG_32687 transcript:OIW07831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGNLSQGGMIQGETSFGGFDFPEPMWVHCLANNPNTMNQHETHPCGGSSVHPSVNEGFPITLETLQNCDQTMSAKFSKGDISRNSSSDEDEPSFNEGDGEGRYEVARGKTGSPWQRMKWTDKMVRLMITAVSYIGEDTIFDDGGGRRKFAVIQKKGKWKCISKVMAERGYHVSPQQCEDKFNDLNKRYKKLNDMLGRGTSCQVVENPALLDVIDYLTEKEKDDVRKTLSSKHLFYEEMCSYNNKNRLHLPHDPALQRSLQAALRNRDDHNNDDIRRSNHDEDDEDMETGDQDFTDNYASHGDSRGMHNPLGGAMNKLRQGQGQDDATTYVNSLNCQEHNKNLYPHGQMMVQSDMNQALHESTRATWLQQKRWTESRSLQLEEQKLQIQVDMLELEKQRYKWQKFSQKKDRQLEKLRMENARMKLENDLIALELKRKEMDSDFN >OIW07696 pep chromosome:LupAngTanjil_v1.0:LG07:13800390:13801899:1 gene:TanjilG_30773 transcript:OIW07696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRAPCCEKIGLKKGLWTHEEDQILISYIQKHGHSNWRSLPKQAGLLRCGKSCRLRWINYLRPGIKRGNFTNEEEETIIKLHEKLGNRWSAIAANLPGRTDNEIKNVWYTILKKRLLKPNQPNSNTRKVSKPKIKRSDSNSSTPTQSEQDTGLNYHEMKGTTTLCTYSSDFSSVTASDEGKNMDIESFEIMPEIDESFWSEDVINSEETFQEKCDYASNFDDGMDFWYDVFIRAGESNELPEF >OIW08602 pep chromosome:LupAngTanjil_v1.0:LG07:1686067:1690599:1 gene:TanjilG_03278 transcript:OIW08602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKEVSGIDPSSFTLLVSCPSGLSPTQVSVTFSDTYDRISHPDINLENSISEIWEQRSQNNKSLFNGKKFRYGGCTLHNGGGSDPEPRLCLQLDDPVLCQHTSSPLGNGAVVETLDNKIIVLQRSNNVGEFPGHFVFPGGHPEPQEIGIASHQYDKELTDSINGEVSREMFDSIIREVVEEIGVPPSSLGFPAFIGISRRDLNVRPAAFFFIKCSLDSKEVQQFYSSAQDGYESTQLYAVSMVDLQNMTSTMPGCHRGGFALYKSMVESKKNNIT >OIW08720 pep chromosome:LupAngTanjil_v1.0:LG07:889770:892200:-1 gene:TanjilG_03396 transcript:OIW08720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNDIAHACHEGEGVDAQVMHGYQSLWMSHWTQTNYKSSTTSARNRCEVKHDLRRDRRSEIVIDCSVHAGATREADRDTRVTFSNEVDPGKSKKASLDSKWSGASQGKDRKFETESRCGDDNVSRYRTWSHLPSTSAHAAPQKETLGREYQLLSKGISPAPLLMKSRCGVEQDNLAVAKPVWNSFVKSASGKVPNGQDQGKTLVPTSTCEQHEIYQSSYKLASQEHFTNTKYHTYSLFIRGKKKSNLFDPRRFSFPRWTQGGGDGYSTANADTMKIYTSIDSIEESSRDHPKMSQTTHHFLMSKKTDVNLSDQGQIFRESISPTKFKGNSFNEMIDSSPSTSDRDFEGAKLVARGSSKKSEGEENVQDLNSPTSQKNESSAETDTMDIDALRENHLSGIVPLRTNKCFEDSQNSPTSQVAITSVGEKKKGRPVALASPVADRENSTSRTRSLDVEHLLSHADENEMSKSGNSSLGPDPSSRWVKRLKLCSPRSAHGTKSATIGETSLHAKVNNTFIKMKGSKTRLEPKMACHTEERMVPDLCATVLTNGKSSSTEENKTAEITLSHPWIRRWCHNHDISPQKKHALTEFREPKFSNTPEEFQKKQFPSIAAMAMMGKAMNCLNPSELMKKGPVVVWNMKGF >OIW08343 pep chromosome:LupAngTanjil_v1.0:LG07:3726233:3728458:-1 gene:TanjilG_03019 transcript:OIW08343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLEKMDDGEVSNGFPKNPTTDPEAEIIALSPKTLMATNRFLCETCGKGFQRDQNLQLHRRGHNLPWKLKQRTSKEIRKRVYVCPEKTCVHHNPARALGDLTGIKKHFCRKHGEKKWKCDKCSKRYAVQSDWKAHSKTCGTREYKCDCGTIFSRRDSFITHRAFCDALAEETARVNATSNISNSLGPNFSYNIMGTTQGFNMATHFSSIFEPVSNTGHETSSQTSRGLSLWMDELSHQAHETMVNENIHQLGSVTSSGSAIYDGNNNPLVQYSNNPLLSNNYRPNWILGTKPSSNSSQELITSTTSSLPLVNVIKDATCTNQLISVPSLYSSQHQSHQTSSAANMSATALLQKAAQIGATSTHDPSFLGSLGLKCSDSQVQDGNRFCLMYGSSSVLTSSGTDAHNSACDLSQMHPAKRRHVQIEDGPGGGQTRDFLGVGMQTICHPSSINGWI >OIW07604 pep chromosome:LupAngTanjil_v1.0:LG07:17155365:17159301:1 gene:TanjilG_29977 transcript:OIW07604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEYYYKRSHVPAFGSWDWNNNLPFTECFESARQGGLLPYNSEEHDLYVAGDLYENDVVTPAMIVVPRRRAKMRVQQENETKKKNWVRDVNVVEPPIPLPRPTPKPVDEDLYKISPGLIYQKTKKVYPSCSFITFSFEKK >OIW07626 pep chromosome:LupAngTanjil_v1.0:LG07:16858563:16865176:-1 gene:TanjilG_16607 transcript:OIW07626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSTNSVANRTRSKKFSHMMKSFNAKAKHDFEFLGDESIFDGEVVGSVSSSIVKGRERNTSLKGEKVGIFEHDSVSLGRVKMVSLSGSNSYLSKKRNNNRLKGKRVAETKPSFVFMGRNYEEECDDFLYSSSKRMKGMFEEPEPVEVIEIDDSDNEMGFDDHCEKKEFDDVDCGFGKMASFSGSASSSFIPKEGERNHLKGKRVVKREPDFVFLGQDEEGCEFSSGTGCKGMRRMFEEELEPIDIIEIDDSDADDSVDEKGFEHCEKKSFEDVVDGDARVENCVPIHLDEVRNSEEENDGVSESVSGFDTDYISSSTENDESSDEDFRVDDDRETSSNENDSTSDDDDDDENESDRCRKRIRRNVSLDSLQKETIDSAMIFESSEHENKRGDNKDYEKTDASANECVDVDTQPPAFGQGGLPSKCFWEKAEEPVEKSEFKQELNMLWDEMDFEHKSEEVDSPVGNARADEAEQSRVNSTNLSKRFKYLDKREEHPNKSTDVVGNARADDTEQSRVNPNSLSKPYNKYLYKREEHPNKGVGVVDNARTNEAEQSRGKPTNLCEHDNSLHKGKEHPKKCSTVTSVSTSMKNEEKKGNSAKIFKMKKSEKETRDINESEKKNTNANVTDCVNIVGDKECQKVDGHKVERIPSKPKEMHLIELLAECFGKKHNSVKDYSNGLGVKHDDVRWRDTQPLPCGHGGLPLKCFWDKAEEPMEKSKEEELDMLWEELDFVLRSEEIDSKVGNSETDEAEPSRGSPASLCKHDIILDDENGMICRICHWISMDIKNIFPPFADENEFSRSRKITSPDGVNGLPFDGAEFVDASAGDKEAVWFHKEGTVWDLIPDIKKCFYPHQQEGLEFIWTNLAGTIDLQKLKNADPCSAGGCIISHAPGTGKTRLTIVFLQTYLELFPQCRPIIIAPASLLLTWEDEFRKWNVEIPFHNLNNLELSGKEQVDATKRVDLNRNSKPNKSGMRMVKLYSWFKEKSILGISYNLYEKLAGAEARADVKTGRQKTKIEKEKKYSCMKKKDTESTVMGKFLREIPDLLVLDEGHTPRNQSSYIWRVLSEIQTQKRIILSGTPFQNTFLELYNTLCLAKPTFPDTIPPELKKFCQSRLIQEKKAPKGLSWEATSSACTTRDPADERINQLKLLMDPFVHVHKGSILQKNLLGLRECVVTLKPGNFQKALLQSIECSQTTFGFDHKLALVSIHPSLFLRCPLSEKEESVVDRRQLEELELSPYEGVKTRFLVEFVRLCDALNEKVLVFSEFIDPLCMVIKQFESIFDWSEGKEVLYMHGKLGQKQRQTLIHSFNDANSKAKILLASSNACSEGINLTGASRVVLLNVLWNPSKERQAISRAYRIGQKKVVYTYHLITQESWAKYCKSQKKDWYSEKIFSDKITGSGQQGSSSVALDDKVLDQMIHHDKLKDMFGDCFVAGKERDFVET >OIW08738 pep chromosome:LupAngTanjil_v1.0:LG07:749051:751211:-1 gene:TanjilG_03414 transcript:OIW08738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASASATLLKSSTILDKSEWVKGQSLRQASIVSVARCNSINPSALTIRAGSYADELVKTAKTVASPGRGILAMDESNATAGKRLDSIGLENTEANRQAYRTLLVSAPGLGNYISGAILFEETLYQSTVDGKKIVDVLVEQNIVPGIKVDKGLVPLVGSNDESWCQGLDGLASRTAAYYQQGARFAKWRTVVSIPNGPSALAVKEAAWGLARYAAISQDNGLVPIVEPEILLDGEHNIERNFEVAQKVWAEVFFYLAENNVLFEGILLKPSMVTPGAESKERATPQQVADYTLKLLRRRVPSAVPGIMFLSGGQSEVEATLNLNAMNQSPNPWHVSFSYARALQNTTLKTWGGRAENVKAAQDALLFRAKSNSLAQLGKYTGEGESDEANKELFVKGYSY >OIW07588 pep chromosome:LupAngTanjil_v1.0:LG07:17962235:17966176:-1 gene:TanjilG_08475 transcript:OIW07588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYNNMELEGVDLHVQEVVTRENISNNHHLVVGFEETFGASERDMWLNNDDQDDLLGVNDPSMFYADFPSLPDFPCMSSSSSSSPTPALPIKTTTCTTTTTTTSSSSSSSAASWAALKSGQPSIRDSHLMGSDETVLKSDFEQNNYHCYMQHQNHHQLHDLDDIGTENLSSTASMEVSQQHQNHDPGLDGTVGDCMDEVMGFGYMELFEGNDFFDAASILQSEENPFGVFTQDQMVPVPQQEEHHNQHAMVPQHHHYQESTPLPHQGMTNEEENNHNQALMCPPIITNNDNEEIIQGEGGATGAGVDDEMSNVFLEWLKNNKDSVSANDLRSVKLKKATIESAARRLGGGKEAMKQLLKLILEWVQTSHLQNRRPKDPLTHFQGPIQSQNRNNTSSNLKNAPDLSNTCFNQTPWVSVSEQNYGTTTDQAPLMATPQPFPQQMVGAASNNHNPYQPGAEYQMLDSTPSWPPSQFTTVANSQYNQSFGDNNLHTQKPSAVTAIDGYGNQYPYLFFPHSPGGDRLMRLGPSATREARKKRMARQRRLLAHHRHNHNDINQLSGSHARLGSDNCTAMLAPAHANQANWVYWQTMSGGAAPMAQVVPSEPQAVQQVVDWTAMQTQNTQNYHQGRVSSEKRQQGWKPEKNLKFLLQKVLKQSDVGSLGRIVLPKKEAETHLPGLEARDGISITMEDIGTSRVWNMRYRYWPNNKSRMYLLENTGWFHFFVLGDFVKANGLQEGDFIVIYSDVKCGKFMIRGVKVRQQGTKPETKKALKSQKNQHGSNAATIAGAAINNNVTPSSPKQKNEKLVK >OIW08534 pep chromosome:LupAngTanjil_v1.0:LG07:2209947:2211035:1 gene:TanjilG_03210 transcript:OIW08534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPTPTSGDFSNAPEGLPHVTGYLVSPSSGYGSSYSSSLLFYLTVLKEKFNELQNLVGVNCPLQHTLHNLPNSMAVSNMNSTIQEIILAANSMMVSLGSTTPGTNTTTFTDQQLHQQHQSNSDNNYRSVLSSSNICHDNIREHSFFSNIEADELDWFAESYDDKNNSNSTSNITYNDNDGCIGELLSRDETDIIEFDAADLLASYTHFCDVCGKGFKRDANLRMHMRAHGDEYKTTEALSNPMKNKGIMSMKSIKKKYSCPQEGCRWNKKHAKFQPLKSMICVKNHYKRTHCPKIYVCKMCNLKQFSVLSDLKTHEKHCGDPKWECLCGNTFSRKDKLMGHVALFVGLSSYTGKLEHECILH >OIW08316 pep chromosome:LupAngTanjil_v1.0:LG07:3917206:3919533:-1 gene:TanjilG_02992 transcript:OIW08316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELVNFSHTIKLSCSSLFLPFVIAYLQNGNFEEKPDPRYLKKTILIGKYALPKWEINGLVEYISGGPQPGGMFFPVTHGIHAVRLGNEASISQTIKVKPGQWYALILGASRTCTQNEVLRISVPPKSGDVPLQTLYSFNGDVISWGFKATSNVAKVTFHNPGVQEDPACGPLLDAIAIRELYPPSPTADNLVKNPGFEEGPFPIFNTTNGVLLPPKHQDLESPLPGWIIESLKAIKFIDSKHFNVPFGDGAVELVAGRESAIAQIIRTVPNKLYNMKFTIGDAKNGCHGSMMVEAFAAKDTLKVPFNSEGKGRFKNASFNFKAFTDRTRITFYSSFYHSKVDDYGSLCGPILDQVIVNPVA >OIW08200 pep chromosome:LupAngTanjil_v1.0:LG07:5112639:5114558:-1 gene:TanjilG_15161 transcript:OIW08200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRLPFTILKQSCSRRTHHQFINAAAQLPIQKPFSAAPSSFPRPELVEPFCDISDVVVSSPHNLQPSPWLTQILSLLDGSPSMESNLTSFCRQFLITLSPSFVSHTLLSIHNNPHTASRFFHWARDQHNYSHTLDCYVSLIHVVLSSPEPDLTTFRDVFAELKRRRFAMTVTAVNSLIRSFGSVGLVEELLWVWREMKENGIEPSLYSYNFLLNGLVNSAMVESAERVFEVMKDGKVKPDVVSYNTMIKGYCKVGKTRKALEEVRGMETLGLEPDKISYLTVMQACYSEGDVDFCLSLYHEMGEKGLEVPSHGYSLVIGGLCKQGKVVEAFTVFEDMIRSGCRANKVVYTALIDSYAKSGNVDGAMRLFERMKVDGIEPDEVTYGAIVNGLCKSGRVEEAMGYLEFCRVNCVTINAVLYSSLIDGLGKAGRVDEAERLFDEMAEKGCPQDSYCYNVLIDGLAKRGRINEAMMLFKRMERDGCEQTVYTYTILISELFKEHRNEEALKLWDMMIDKGITPNVASFRALSIGLCLSGKVARACKILDELAPMGILMETAYEDMINVLCKAGRVKEACKLADGIVDRGREIPGKIRTLMINALRKAGKADLAVKLMHSKIGIGYDRYRSVKKRVKFQTLVDT >OIW08834 pep chromosome:LupAngTanjil_v1.0:LG07:439844:455001:-1 gene:TanjilG_16415 transcript:OIW08834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSNQWTAKRVRETFIRFFKLKEHTFYNSSPVVPYNDPTLLFANAGMNQFKPIFLGTADPNTELSKLTRACNTQKCIRAGGKHNDLDDVGKDTYHHTFFEMLGNWSFGDYFKKEAISWAWELLTRIYKLPSDRIYATYFGGDESSGLAPDTEARDIWLAFLPPERVLPFGCKDNFWEMGDTGPCGPCTEIHFDRIGNRDAASLVNNDDPTCIEIWNLVFIQFNREADGSLKPLPAKHVDTGMGFERLTSILQNKMSNYDTDVFLPIFDAIQLATGARPYSGKVGQEDEDKVDMAYRVIADHIRTLSFAIADGSRPGNEGREYVLRRILRRAVRYGREVLKAKEGFFNGYLDAYYVHNFAESRLVSVVVRVMCDVFPELKDREEHIVEVIAEEEESFGITLLKGIDKFKKFKTAAEDAKRKTLSGEATFLLWDTYGFPLDLTQLMAEEAQLIVDVEGFNSAMEDARERSRNAQTKQAGGLIVMDADATAALNRRDVAPTDDSFKYVWEDHESVVKAIYTGSEFVDSVNTNGDIGIVLESSSFYAEQGGQIFDTGSLKGPYALFEVHNVQVYGGYVLHIGSNGTGISVGDKVVCQVDYERRKLIAPNHTCTHILNFALRKELGDHIDQKGSIVLPEKLRFDFSHGKPVDAKTLEKIEDIVNEQINAKLDVSAKEASLAEAKRVNGLRAVFGEVYPDPVRIVSIGQKVEDLIADPGNEKWLSISAELCGGTHIVNTGEAKAFALLSEEGIAKGIRRITAVTADRAYDAKKAADVFEKQVDDADKLEGSLLEEKVSYLNRTVETLSISAAKKTGIKDKIAKLQAKVRIAQKQLAEANKREAVRIAVEKAELAASEGLTFCICHVDVGLDVAAVREAVTKVMQQQGLSVMVFSTDESTNKAVVCAGVPEKGDGEKLDVSEWLSNALGPLKGKCGKGKGGLATGQGTEASHVNEAMELAASFASMKLS >OIW07465 pep chromosome:LupAngTanjil_v1.0:LG07:19055286:19056826:1 gene:TanjilG_24327 transcript:OIW07465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLTSSCSKAIVKSLGSCFPRGAAFGSDRPKICFSSNEKKGCNFQSMLQLDLDTEKLEALQTNELKSIHVKFQLQKDCAFGEQFLLVGDDPMFGSWNPSNALPMTWSDGHVWTTHLNIPVGKPIQFKFILKGKTGDIIWQPGPDRILHTWEAMTRITVCEDWDNAESQKLIEEYQYPYSDEEPMIESNAEHSQTHAKEQIISLEEIIKNAEHIMTERKMMKQNEESSKSSENGVLRHKGKAAPVKKPKRS >OIW07956 pep chromosome:LupAngTanjil_v1.0:LG07:7945737:7947622:1 gene:TanjilG_20057 transcript:OIW07956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFSDFPRKLRSKREKTSSSLNHFNVMERENEREFDRSYIKKGPWSVEEDEVLLKHVNKYGPRDWSSIRTKALLHRTGKSCRLRWVNKLRPNLKSGCKFSIEEERLVIELQAEFGNKWAKIATFLEGRTDNDVKNFWSSRRKRLERMLKKPSPPKPEKTKGKSPLNQVQVEEVAACSSNQLEESPCFMYNSYPASYIDKTKEIKMVHLPDLTKPNYQNHYLDSDLNAMEVKATPFDMLPAPSFASSSGYNFPQLPEPQMDYSLFPGCHDLAPEPFDPNFIDIFELKNCPESVCSQKVVTRLPSLGIEGSCQNTVPSGFFEEFPTEMLECFEHVPTSAEQKTVYF >OIW08041 pep chromosome:LupAngTanjil_v1.0:LG07:9364281:9364487:-1 gene:TanjilG_20142 transcript:OIW08041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDINNTVHTVNAAATAIVTAESRVQPTAAPVRLPPSLSLNVCVVRTKWDFFQFVSVFEKHRTEQRKQ >OIW07475 pep chromosome:LupAngTanjil_v1.0:LG07:18167913:18179184:-1 gene:TanjilG_14421 transcript:OIW07475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDKMEVDLASDSLSLKPRDRIVRRLAQFGVPEEQLDQPGLVAFVKDKRELIPELVSVILPTDVEVGEALPNSKSGSKKLPLGITMKKTFRQSMLWLQWLMFEGDPGATLKGLSEMSVGQRGVCGAVWGINDIAYRCRTCEHDPTCAICAPCFENGDHKGHDYCVIYTGGGCCDCGDVTAWKREGFCSKHKGAEQVQPLPEDLSNSVAPVLGSLFTCWNDRLKLASDSAMSAERKKAANELTAAVVDMLLDFCKHSESLLIFVARSLFSSTSLLNILVRAERFLSDVVIRKLHELLLKLLGEPNYKYEFAKVFLTYYPTVVNEAIEEFSDLPMKKYPLLCMFSVQILTVPTLTLRLVKEIDLLTMLFGCFEKILNSCAEDGHFQISRWANLYETTIRVVEDIRFVMSHVVVPKYVTNNHKDISRTWLRLLSILQGMSPQKRETGQHVEEENENVNLPFVLDHSIANIHSLLVDGAFSDSSKGETEDEIVLSSKKHESDDGDNLRHAKVGRLSQESSACFVTSRNSAFSSPEVPETNSNASSHLHLPHPVTWLIYECLRTIEHCLGVESNPDALPNKFNSGSVYDGNFSAFKRTISNFRRGKYTFGKLASSSDGSDMSKNALKDGKVKADGEINSENSGTRLGFDDNAMEEDFPTELDGLRILSSPDWPQIVYDVSSQDISLHIPLHRLLSMLLQKALTRYFNDPQVPDVTDVCFTNSLSTIYTDFFGLALRGSHPYGFSAFIMEHPLRIRVFCAEVHAGMWRKNGDAALLSYEWYRSVRWSEQGLELDLFLLQCCAALAPEDEYVSRILDRFGLSNYLSLNLERSSEYEPVLVQEMLTLIIQIVKERRFCGLNTAESLKRELIYKLAVGDATHSQLVKSLPRDLSKFEQLQDILDTVAVYSNPSGFNQGMYSLRWPFWKELDLYHPRWNSKDLQVAEERYARFCGGSALTTQLPQWTKIHPPLKGIAGIATCKVVLQIIRAVLFYAVFTFKSAESRAPDGVLLPALHLLSLSLDICFQQKESSENTCHHVAQTPIIASSLEIIDANAFDGVGEQSLLSLLVVLMEMNKKDTVDNFVEAGGFSVSALSESLLKKFAEIDNRCLTKLQKLAPEVVNNISGSSPTRDSGVSFSASDSERRKAKARERQAAIMAKMRAQQTNFLASIDSTVDSSSQVDHEEGDLDTTENEAEESKQVLCSLCHDHSSEHPISFLVLIQKSRLVRSVDMGPLSWAQICRSDKGQMPTTTKVTATSAMNWNSGSSGSTSSSHLTQLVQIAANELASSGDPGEAMNWNSGSSGTSSASHLTQLVQIAANELASSGHPGEVNAFLQYVKNQFPSLGNFQLPDTSYDEKEKTPYTFDTLEQSMYFSIRDEMHDFALSSNLVNEDEKVPTTGGNSNIIKDTGSVLRGKYSSDLVREMLEKSSASEIACENASVESASQHQVYDGFGPIDCDGVHLSSCGHAVHQGCLDRYLSSLKERFVRRIVFEGGHIADPDQGEFLCPVCRRLVNCVMPTLSGELQKSSEQSIGLSIRSIHTESPLGGSSEVTYSLRLKEALKLLQSAASTVGKDKFLKAIPLQQIDRTRPNLETFSQVLSKMYVPGKQDELSRFARLNHSMMMWDTLKYSLMSVEIAARCGRTSLTPNFALSAMYDELKSSSGFILSLLLKLVQKTRSKNSLHVLQRFRGVQLFAESICSGISLHLASNDMSGRGDMLSILKRIGNDISDIDKRFWKQASDPILAHDPFSTLMWILFCLPHPILSCEEYLLSLVHVFYLVAVTQAIILYYEKSQHKPSESGLSSCLITDIYKVISVSGCRQQCFVSNYFDPNVDIKDVIRRFSFPYLRRCALLWKILYSSIPAPFSDEESMLDKLWNAPNDTMDRANIELFEVTKIQELEHMFKIPSLDVVLKDEVSRSSVAIWCRRFFKQSDSHGIQHNLYVTPAVPFELMRLPNVYQDLLRRCIKQRCPECETTLHEPALCLLCGRLCSPSWKLCCRASGCQTHAATCGAGTGVFLLIRRTTILLQRSARQAPWPSPYLDAFGEEDVEMNRGKPLYLNEERYAALTYMDEDGV >OIW07495 pep chromosome:LupAngTanjil_v1.0:LG07:18403586:18407543:-1 gene:TanjilG_14441 transcript:OIW07495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAISITQLLKGFCDDTKWNYAVFWKLNHHFPMIFSWENGYYCYKKTNEVVESSGADSADDLGYCSFRLLMNEMSCLKYSFGEGVVGKIAFSGDHCWVFCEDHLTGNFGTNLIPECPDEWLLQFAHGIKTIVLVPVLPQGVLQFGSFEAIVEDLRFISNVEEKFHSFHYLRQNTEPLNMGINIQEDWSLSAMTNTIMDSLDESSSITNSILKDEVSGSTTLYVNGSTRWNPTMPSFIQDECYMSRENELSSLKRTRENEMKTNDVEEEMLAFSQWGNNVGLFGEASNELGSYSGKSMTQKQFGGTETGHNDVENWNDFFAFPSESELHIAPGSSFAYRQTAKSSSKYICAEDTYSISTLISNKENSPIDGFEFPKEVDPEYRLDDVLSNLYSASDDTSSISNSVRSPITIPIDFTGSIQPNINSEESTMIVKNSYVRSNLMPNHFTSPSFDGNSSILIDEAEGEKVYRHMKPISGTKLSSKSKKKGKVGNSQRSRPRDRQMIMDRMKELRELVPDGGRCSIDNLLEQTINHMLHLRKITSQAEKLKRLADQEVLKCKKQKIEGSYRERSSTTDFKSELPWPIFIEDLEISGHMRIEMICDEHGLFLEIAQAIRKMDLTILKGVLEDCSSSAWARFIVEVPRGFHRMDVLCPLLHLLQLRRNPVS >OIW07758 pep chromosome:LupAngTanjil_v1.0:LG07:11960545:11966862:1 gene:TanjilG_10677 transcript:OIW07758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESVNVNDLNKLTLFCLLLIQFVAQLSVSEIIFEERFHDGWRSRWVVSDWKRTEGKAGSFKHTAGRWAGDPDDKGIQTSNDAKHFAISAKIPEFSNKNRTLVFQYSIKLEQDIECGGGYMKLLSGFVNQKKFGGDTPYSLMFGPDLCGTDTKKLHLILSYQGQNYPIKKDLQCETDKLTHFYTFILRPDASYSVLVDNRERDSGSMYTDWDILPPRKIKAVKAKKPGDWDDREYIEDPNDVKPEGYDSIPSEIPDPNAKEPDNWDEDEDGLWKSPKVPNPAYKGPWKRKKIKNPNYKGKWKTPWVDNPEFEDDPDLYVLKPIKYVGIEVWQVKGGSVFDNILISDDPGYAKQVVEEVFSNREVEKDAFEEAEKVRKAKEEEEAQRAREEGERRRQERGHDRRHRDRYKDRYRKRRHDYMDDYHDEL >OIW07910 pep chromosome:LupAngTanjil_v1.0:LG07:7303431:7304796:1 gene:TanjilG_20011 transcript:OIW07910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQGDYTSAPYYQFPHLQNPNYPSDPITNPYASAPPFASDNAPYPHNPDYAPIPTPPSPTAPPQSHHPNSNFTPFNSSSQPTSFPPFESHLPYQPPQPQQLPHQHQQPNPVPHQHLQPQSYYPPYDQQHQTAPNYNASLQPHLPPNPNPNNPLYSSSYSAPYTHSGSLETQFENPVKFDQGGGYLDDGYGNFNRSRSDFYGKQPQDEGYGDGVFPYEGGKVEPYGARGTAPKSSTWAAFDDYGRSISSPSADKKPSTMSKIVKAVPKVDAAEDVKSGVQKFRVRVLAENFDQSNMDVLCQIGLDGIRMLDPHTNRMLRIYPIENITRCEKFDSSTLALWSKSSVDMEPRRIRLQSNSYTTNSLLDTVTAATIQVLKNFVLLL >OIW07985 pep chromosome:LupAngTanjil_v1.0:LG07:8259033:8263399:1 gene:TanjilG_20086 transcript:OIW07985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGKPDTDDWQVDRGEQIQFQSSIYSQSQPWWQGVRENAAKSSSADQLNGSVMNGVAQSETNEALLDFNKQMQPGADKSGGDVAKEHQSIKHALSSTPYTMGKHLGPNSLMELVGHSVVLTSYPYSDAQYGGLLTNFGQQAMINPQLYGMHHTRMALPLEMEEEPVYVNAKQYHGILRRRQSRAKAELEKKVIKARKPYLHESRHLHALRRARGNGGRFLNTKKLEGSSSDGTIRNNNGANPVTLSGSSSAAQHLVTNNGLLVSSNDHYGASQSIVEDVHQVQSFTIGYHDGNGLSSPYYSQLNGKKDGDCFGRERESVRMHGAPNGAVK >OIW07636 pep chromosome:LupAngTanjil_v1.0:LG07:16125596:16126307:-1 gene:TanjilG_03744 transcript:OIW07636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPAMEHRWCQGPSLIILGVTARGKPLIFRFSFPATSTLLSSSFNGGDTVVMVRCGGSRFRSRWFNGFTMKEWYLLSLKHYLRCLSNRDSNHGSCAGNDGDVVGPLS >OIW08397 pep chromosome:LupAngTanjil_v1.0:LG07:3351876:3352142:1 gene:TanjilG_03073 transcript:OIW08397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSASAIRAWSVAASVGVVEALKDQGICRWNYALRKAQQYAKNHVGSFSQGNKLSPSGVFARRLKDEKAKQSEEALRTVMYLSCWGPN >OIW08097 pep chromosome:LupAngTanjil_v1.0:LG07:5725374:5733031:-1 gene:TanjilG_06640 transcript:OIW08097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLKGKSKVGPTRVSEVGQSRPIVGGTRSFKVYSENERIKASDGLKNMLAANKGVIPSCASNAKGGPKTMEKSSVKYGNSANVNVRKALADVSNVQGNLSTNIRRDGSKIKVSTGSSTKIVGVSLRKSLTENDRSSETAKRQSDLPSKATAGRRVSSQLVNSRSQLVTARNHLWKNRVSDGFVQMVQTNALHASSRKSTKPLLKIALKASTSQRTLKSASTSGQKKSDSTVAMSTHDELKFSSALAENGPVIVSDDANKGRLPSNDESNLIIDLPKFIPRKKYSRRKSYTTSLIEISKESGEVKEQHDLPDIDNECNQLEVSEYIDEIYQYYWVTEVHFKFDLMPETLYLTVTLVDQYLSQVTINKSDMQLVGLTALLLASKYEDFWHPRVKDLISISAQSYTRDQMLGMEKLILRKLKFRLNTPTPYVFMVRFLKAAQSDKKLEHMAFFLIDLCLVEYEALAFKPSLLCASALYVARCTLQITPPWTPLLHKHARYGVSQIRHLDCAEMILKFHKAAGLGKLTVTHEKYSRPELSRVAAVKPLDKLLP >OIW07884 pep chromosome:LupAngTanjil_v1.0:LG07:7071860:7073260:1 gene:TanjilG_19985 transcript:OIW07884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVTEKLGITIERNPPEEKLTELGVRQWDKWGCPPSKFPWTYDSKETCYLLEGKVKVTPTGTNESVEIAAGDFVVFPKGMSCTWDVSVAVDKHYKFE >OIW08405 pep chromosome:LupAngTanjil_v1.0:LG07:3277440:3278228:-1 gene:TanjilG_03081 transcript:OIW08405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNISRNKFLLCFRPVVDIDDMLESEVANKHDVKKSSNTRSEFFDQVPTKISESWIMQHPPKRTISRVIKTLVFPTMLKTKTRRKNRYPNDSFGSRHSYDSEDSQSVLSSSSSSSSASSCSSPTESKSLTKYSSTKEKQKESNYGKKHEFECSGIYLILISLAFTVFLGKIFGIMLTLMLLYLFSLLSSSYSYKKKLPSCLEPKDRVQFGRCARRELLQYHSNGH >OIW08188 pep chromosome:LupAngTanjil_v1.0:LG07:5488755:5490749:-1 gene:TanjilG_24383 transcript:OIW08188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDGRSACCDKNQVMRGPWSSAEDLKLITFIQNYGHDNWRALPKRAGLMRCGKSCRLRWVNYLKPGLKRGNFTKEEVETIVKLQEALGNKWSKIASHLPGRTDNEIKNVWNTHLKKRLVNKKSSGSAPNENKTESSIAPSLISSSSNPIFLNEIPNIVIPTVGNEFDMNVSHVAMIKDLQQDSVKQWSNEFVDISKNPKLSSTSVSSIMSNISNSSHMDAYKVGQQTGSPIRYYGSSHVNKSLQEAYIQNSLVEMPSEADCDFWKMLDNMNMQSNEVQLGQVDASKPSNFGQEGVQDTETGKYLHELVNEFGSDATKEINKDQCVQKNNAAELGMYPHSFDFDVIARPQSDSDIDLGYVQWWSSWPQNPGL >OIW07887 pep chromosome:LupAngTanjil_v1.0:LG07:7089605:7090359:-1 gene:TanjilG_19988 transcript:OIW07887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSISLITISFFFFFFAFSAKFQLALTSVTISAENVVDRKGKPLVSGGEYHVLPALSSYGGGGLIFRKTGNTTCSTSVLQENLEGSLGQLLRFQIKAGSIYTGSIVDIEFVEKPDCIKSSKWRVVNEKVNKTAAFVGVGNAEDYPGNNKNGKFKIEKLNTNYKFMFCDPGEFDCTDIGKYNDVKIVDAQPLKLFQSKPLEVTFLKPESV >OIW08817 pep chromosome:LupAngTanjil_v1.0:LG07:331564:332667:-1 gene:TanjilG_16398 transcript:OIW08817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEGLLMTKPVSHLNLSPYLNKLPFSPIFPSHLPFRPKHTNHTFLPLSSLHNQQQQQQQPLTDTTTAEDDSYGEVKRIIGSRALNGATGMEYLIEWKDGHTPSWVPSDFIAGDVLAEYESPWWTAAKKADEAAFKSLIELGDERDVDAVDSDGRTALLFVSGLGSEPCVRLLAEAGANLDHRENTGGLTALHMAAGYVKPGVAKLLLDQGADPEIEDSRGKTPLDLAREILKVTPKGNPMQFGRRIGLEGVVRVLEEAVFEYAEVEEIIEKRGKGENLEYLVRWKDGGANEWVKARLVAEDLVRDYEAGLEYAVAEAVVDSRNGDDGKMEYLVKWADLDEPTWEPEDNVDDELIKDFQLRSNNVIAP >OIW08686 pep chromosome:LupAngTanjil_v1.0:LG07:1099228:1100691:1 gene:TanjilG_03362 transcript:OIW08686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITLLKEMKMSKMRKTTLVMLITMMTMMFVTTDAGDTNDVYSPCLDSKVQRGDGFTFGIAFSDKQFFSQGNGPQLSPCDKRLDLANKGAQLAVFRPKIDEISLLTINNSTFNPAQSGEYMVAFAGQKYAARSPPIMFADNTHTITSFTLVLEFYEGTLQNLFWKSFGCGACSGGKVCLNNQDCAVPNTKCQSNGGTACNIGIQLTFSGTDKNLDALNSWYEVKNLRQYSLYGLFSDLRDSIMGQFF >OIW08408 pep chromosome:LupAngTanjil_v1.0:LG07:3228963:3231788:-1 gene:TanjilG_03084 transcript:OIW08408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPVPVEASNHYLFNEPNDELHGVNGINTNIVLDKNKKLLKYIEDVTINADEMQEGVLAKILSSSAHVEYLQRHGLDGHTDKETYKKVMPVVTYEDLKPDIDRIANGDKSPILCSKPISEFLTSSGTSGGERKLMPTIEEELERKTLFYSLQMPVMDQFVPGLDKGKGMYFLFIKSEAKTPGGLLARPVLTSYYKSSHFKDRKHDHDPYTNYTSPNETIFCLDSYQSMYSQLLCGLCQNEEVLRVGAVFASGFIRAIKFLEKHWVSLCNDIRTGTIYDKITNPSVREAVMKILKPNPKLAAFIEVECKKESWKGIIKRLWPNTKYIDVIVTGTMSQYIPILDNYSNGLPLVCTMYGSSECYFGINLNPLCDPAEVSYTLIPTMAYFEFLPLIKINGQTDSISHLQDHIVDLVDVELGQEYELVVTTYAGLYRYRVGDILRVAGFKNKAPQFTFVCRKNVVLSIDADKTDEVELQNAVKNGANHLAQFGATLTEYTSCANTSTIPGHYVLYWEINMENNQTTIPGSVFEECCLALEESLNSVYRQGRVSESIGPLEIKIVENGTFDKLMDFALSLGASINQYKTPRCVKYAPIVELLNSKVVSCYTSPQCPKWVPGQKRWCTLE >OIW07603 pep chromosome:LupAngTanjil_v1.0:LG07:17138288:17138593:-1 gene:TanjilG_29976 transcript:OIW07603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKWVALHLMMIVLMASTLNVSKGLSICNMNEDGFNACKPSVTEPNPIDPSPKCCEAITGADLNCLCSYKNSPQLPLFGIDPILATSLPAKCNLTPPSNC >OIW08134 pep chromosome:LupAngTanjil_v1.0:LG07:6134288:6136210:1 gene:TanjilG_06677 transcript:OIW08134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPSLKSKSKSKEKASARARKEQQKCSPKTSVTTNHGSGIPTTTYNPISGTFHTLETLLVASSAPLHDNSHFLKIDDTDDHSSSPQGTVSECDSFSNNGSCSGESEDHKEKVANMRLDTIPGCDNEKREKIRLKNERKHQRQRERRAQELHDRCSGYLMSRKLEALSKQLVAMGFSSERATLALMLNDGKLEESVSWLFEGNEDESHTKDTTTNLLSEGNLKIDISEELAQLSAMEVRYNCSKQEVEKVVVACEGDIQKAENTLKSQKQESPVIQSKSEDSARNNSLVRSQGLPTASVSMQQRGNDSDFYHSKVGGGASMLPDPESKNLQSLHSNHQIEPTDKRWGVTGSSPSTMLMMPPSMQARSPFAKVDAQPSALWNEGRMIQQGFGRERVVMMQNPQFTNAKQNSVSSINALPSGTEGWHANNILSAENTRSNGRLLQNRDIGSAGTQSVEKFCQSPYNEYSNVFGPVDSLSSGVGGFYKPMHASSPSPSPTIHPQHQGSWSARASSPALTFPPSLGLFSGHQNAADRTFSPHLHVDWNNGGLMPEFDYTNIDWTFYTLDCRPSPESGGLLLGISSLLRNSSGSKMMGSSSYMAGLQNGGMAKESSTSAGLREWTTPFAGKDIFSVPRQFVTSTPL >OIW07830 pep chromosome:LupAngTanjil_v1.0:LG07:10153126:10154196:-1 gene:TanjilG_32686 transcript:OIW07830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTVTGKSIALLSLITSYGLSKSNSTLKVHYCTRTVHEMENTLAQLKLLHHYQDLRAFGKEKGWCPYFLTRHVCSLRLWWTGGYIYQYLLDPKMAGIISKEMQKESVVVFDEAHNMISMMFVLKHLL >OIW08171 pep chromosome:LupAngTanjil_v1.0:LG07:5671433:5674990:1 gene:TanjilG_06584 transcript:OIW08171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKFTAEELRRIMDYKFNIRNMSVIAHVDHGKSTLTDSLVAAAGIIAQEVAGDVRMTDTRADEAERGITIKSTGISLYYEMTDESLKNFKGERQGNEYLINLIDSPGHVDFSSEVTAALRITDGALVVVDCIEGVCVQTETVLRQALGERIRPVLTVNKMDRCFLELQVDGEEAYQAFQRVIENANVIMATYEDPLLGDCMVYPEKGTVAFSAGLHGWAFTLTNFAQMYASKFGVDESKMMERLWGENFFDPATRKWTTKSTGSPSCKRGFVQFCYEPIKQVINTCMNDQKDKLWPMLQKLGVSLKSEEKELMGKALMKRVMQTWLPASTALLEMMIFHLPSPAIAQKYRVENLYEGPLDDQYASAIRSCDPEGPLMLYVSKMIPASDKGRFFAFGRVFSGKVSTGVKVRIMGPNYVPGEKKDLYVKSVQRTVIWMGKRQETVEDVPCGNTVALVGLDQFITKNATLTNEKEVDAHPIRAMKFSVSPVVRVAVQCKVASDLPKLVEGLKRLAKSDPMVVCSIEESGEHIVAGAGELHLEICLKDLQDDFMGGAEIIKSDPVVSFRETVLDRSSRTVMSKSPNKHNRLYMEARPLEEGLAEAIDDGRIGPRDDPKVRSKILSEEYGWDKDLAKKIWCFGPETLGPNMVVDMCKGVQYLNEIKDSVVAGFQWASKEGALAEENMRAICFEVCDVVLHADAIHRGGGQIIPTARRVFYASQLTAQPRLLEPVYLVEIQAPEQALGGIYSVLNQKRGHVFEEIQRPGTPLYNIKAYLPVVESFGFSSTLRAATSGQAFPQCVFDHWDMMSSDPLESGSQAAQLVTDIRKRKGLKEQMTPLSDFEDKL >OIW08707 pep chromosome:LupAngTanjil_v1.0:LG07:967126:973644:-1 gene:TanjilG_03383 transcript:OIW08707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKRRDAAPRFPSPADSPSSEPTPTRRRAGGHKRKANASNSSSTPSKRATREKASLLHPPPLHNGPLTRARQIPTNLSASVSASAAAAASSSAASGGSDSAPALPKHSEHVAQKHSSGGESVVLAEEQKEESELESVEAAIEAEVEAIRSRGTNAHVVPTHCGWFSWTDIHPIEKLTLPSFFNGKTENQTLDTYMEIRNWIMNTFHANPNIQVELKDLSELNVGDLNARQKVMEFLDYWGLINFHPFPALGSAVASASDDGEAENNPLLQKLYHFETVQLCPPVAKKPSLMTPAMTSGLFPESTIAEESVKQEGPAVEMLEYHCNSCAGDCSRKRYHCQKQADFDLCTDCFSNSRFGAGMSPLDFILMEPAEAAGVSGGKWTDQETLLLLEALELYKENWNEIAEHVGTKSKAQCILHFVQMPIEDVFIDSDDADASCKETADLSATNKDPSLQKDSSENAESNANDGIEDLDKISKAEDDKVKINQETPTLEDGSDEKTSEETSKSEDTVKVKRVQEVGNDCALNALKEAFADVGYSPGPECPSSFAEVGNPVMALASFLAHLVSSDVAVASAHSFLKSMSGNSPGTELAARHCFLLEDPPYNKKEAASSERDSKKEREQESENLKKDKPAADDKDLPNDDTNMKIDNNVLEDNRQLASTDDGDSEKPFSSKEQAMINHETLELDKCKDLSHSKLPNNQAPSTLHDSGGSTSKDEISPTEELHEGTLVKEPCNPVEELKDGHVSDSLLSEKHLQPVETPKDAEMASDSKPSDKSIPQKLQSTNAVHESLETADSEMDVDMVCRSLPLEKSDSQPLSTAVSSQVNGIEKEVDMMSPSHPVRPNSETVAGEDHAENGTKVKDNSTKTKPYNNFEKLKHAAVSTLAAAAVKAKVLANEEDDQIRQLTSLLIERQLHKLEAKLAFFNDVENVVMRARDHLERSRQKLYHERALIIASRLGLPPSSSRGVPPSLPTNRIPVNFANSIPRSQNIINPQMLPTSRPVGTVATTIPSPLASATSAGSSVRPPSKEKLSFVGTK >OIW08417 pep chromosome:LupAngTanjil_v1.0:LG07:3146538:3147401:1 gene:TanjilG_03093 transcript:OIW08417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPKFFQLLLLIFTLFHHTVSGHELMHICSVSANYSTNDPYETSLTALLSYLNNEAPSTGFAMGSQGEGLNQTHGLALCRGDLSSTDCENCVFLASNGIVNECPHNKGAITVHEACTVRYSNNNFLGQTLNNVMLCTTSEKNVNGVIPEFGQKTQEFLSKISIEALLQPKFYKAGKLDIDMYGTVYGYAQCSEDLSLTNCNKCLTKSLSYLRECGDEKDGVSVYSGNCRVRYEIYPFLNDVNFSTTPAYAPYPGPNAMSPYPAPESSAHGLEYRVPVVIGLLSLFF >OIW07799 pep chromosome:LupAngTanjil_v1.0:LG07:10908741:10912435:-1 gene:TanjilG_31991 transcript:OIW07799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMLDSFFNKGFKAAKCKTLLKLSIPRIKLLRNRREIQLRNMRREIAKLLETGQEATARIRVEHIIREENMMAAQEIIELFCELIAVRLPIIESQRECPLDLKEAISSVCFAAPRCADLPELLQVQFLFGAKYGKEFLSAATELRPDCGVNRQLIELLSVRAPLPEKKLKLLKEIAVEHDLDWDPAPSETEFFKKHEDLLNGPTQFVSEPTLPLHEEKHNEALPSVHDSPTKEQPDSDSDSDMLEFPEVPKVSVRPGPSAATAPDTVAPLVMLPLDFDLDSPNHSGDFEDVNQGKTEERSSVSKDESHTSFHKTESKQFVPFISPPPLSPGSHSARHSDPPVTLSAKYSDRPASLSARYSDPPTSLSSSKSEPEVDLKDVLAAANAAAESAERAAAAARSAASLAQVRINELTKKKSDHVLDSSSENPFYASGDNDSTIAEKGHFNEPRISGNSDGSERNVLEPHQDHYTSSGSHSSSSPSFDTLKVDFYSSLPSDHVEDDKSSTHQPQRLPSMDDDPYFSYPNLFTSQSSNVGSHTHSDNDRLTRDL >OIW08089 pep chromosome:LupAngTanjil_v1.0:LG07:6662797:6664490:1 gene:TanjilG_21069 transcript:OIW08089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLIAPCNHSPQEDAEVLQKAFKGWGTDENAVIVILGHRNVYQRQEIRTAYEEIFQEDLVKKLESELTGDFERAVYRWILEPADRDAVLANVALKSGKNYYVIVEISSVLSPEELLAVRRAYLDRYKRSLEEDIASHTSGHLRQAMTSYKYTGDEINAKLAESEAEILHEAIKEKKGSQEEAVRILSTRSKTQLIATFNYYREIHGTSITKKLLDEASDDFQKALYTAIRCTTNHTKYYEKVLRDAIKRVGTDEDALTRVIVSRAEIDLRVISEVYYKRNSVLLEHALAKETSGDYKNFLLTLLGKDD >OIW08514 pep chromosome:LupAngTanjil_v1.0:LG07:2393144:2394097:1 gene:TanjilG_03190 transcript:OIW08514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDIVSCFSENSVNVSHSSCSSYSKNSFISPSVTPSTQNSVSNVYKIVLSNLKQLLITVTWCKSHSNQGLSISFGDNNHDPSASAPFRLNTNSRFFRKKKGSKFLELYDESKVEVFWDLSNAKYEAGSEPVEGFYLVVMVDSEIGLVIGDNMAEETFFKKFKTRTTHIAKVSLLSRREHCSDLLWDVHEWFFNTVSSSGYAVFMFRTRSGLDSRLWLEEKTAHKDKDIVEFSLLIYASKSS >OIW07957 pep chromosome:LupAngTanjil_v1.0:LG07:7948551:7952855:-1 gene:TanjilG_20058 transcript:OIW07957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSIIFPQWHDKASGFFSSSGVKLKEAKESAGTFVDEVTKDTRSNVSEVAGRVGTMVKNRWALLQQPSTRHAVQDRLISAAATTSVFLRKGLSETKDKVAVGKSKVEEVAKKTAQKSKTILTDIERWQKGVASTDVFGVPIEVTVQRQDFSKPIPQILVNCADYLVVSGLNAPYLFKYEGEKKVIHQLISLYNQDLNASVPEGTNPVDIAALTKCYLACLPEPLTTFELYNEIKGARSSIYSMKNILKKLPSVNYMTLEFVTALLLRVSQKSLLNKMDARNLALEVAPIIMWQKEQRPDFYSQYLNQISKSPSKKSLDPPPASYSEFDLLADDGEAIVASSPIPLDDGTPVDFGAIEVVQLLIEHHNAIFTDANETVWR >OIW08599 pep chromosome:LupAngTanjil_v1.0:LG07:1703651:1708323:-1 gene:TanjilG_03275 transcript:OIW08599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTRFSLQSSLNGSASNHPDGAGRSFATTFSGQSGAASSAFHHSGANQGLHIIHGSFNVPNMSSTLTSRNSIINNIPPGGVQQPTGNLSSGRFASNNLPAALSQLSHSGVTNRGGISVGNPGFGSSTNGVGGSIPGTLPTSAAIGNRNAVPGLGVSQFLGNAGPQITSSVGNMVGGNIGRNGGGLSMPGFASRLNLSTNSGSGGLGVQGQSRLMSGVLPHVNQTLKVRIREAGVEKMECLESYSHMSAISHFGIFLATNFMCSHSHRSAIGYEYVISMLGNSYPSAGGPLSQSHVEAGSNLNSMGMLNDMNTNDSSPFDINDFPQLTSRPSSAGGHQGQLGSLRKQGIGIGPIVQQNQEFSIQNEDFPALPGFKGGNADYAMDMHQKEQLHDNAVPMMQSQHFSMGRSADFSSGGTYSSHRAQQQQHASSSGPPGIGLRPPSSANAISGMGSYDQFIQQYQQHQNQPQFRLQMSTVNQSFRDQGMKSTQTTQSTPDPFGLLGLLSVIRMSDPDLTSLALGVDLTILGLNLNSTENLHKTFGSPWFDEQAKGDPEINVPQCYYAKQPPDLHQGYFLKFSLETLFYIFYSMPKDEAQLYAAYELYSRGWFYHKLHHLWLIRVPNMEPLVKTATYERGSYHCFDPTTFETVRKDNLVLHYEMVEKRPLISQH >OIW08667 pep chromosome:LupAngTanjil_v1.0:LG07:1209802:1212895:1 gene:TanjilG_03343 transcript:OIW08667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLVQHPLPIRGPSIWDTFTHKYPEKVKDGQNGDVAVDSYHRYKEDVAIVKDMNLDAYRFSISWSRILPKGKLSGGINQEGIDYYNNLINELIANGLQPLVTIFHWDLPQALEDEYGGFLSPLIVKDFQDYAELCFKEFGDRVKHWITLNEPWSFSENGYAKGHMAPGRCSAWLNRTCVGGDSAIEPYLVTHHQLLAHAAAVQVYKTKYQESQNGLIGITLIVTWSVPTSDNKFDQKAAERAIDFMYGWFMDPLTNGEYPQSMRSLVRSRLPKFTKAQSKQLIGSYDFIGLNYYSAGYVTDAPLLSNARPTYQTDSLVEMSFMRDGKPIGVNVASNWLYVYPRGLRDLLLYTKEKYNNPLIYITENGINEYDDPQLSLEESLMDIYRVDYYYRHLYYLKSAIEAGVNVKAYFAWSLLDNFEWHSGYTVRFGMNFIDYKNGLKRYPKISALWFKEFLTPEARDYGVAIE >OIW07816 pep chromosome:LupAngTanjil_v1.0:LG07:10741334:10744959:1 gene:TanjilG_06155 transcript:OIW07816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KFLFGGRLIFGPDAKSLIVTLLLIIVPVIIFSVFVGRHLLHQFPLHYAGYAVLVLAVVFNIYVLILLSLTSSRDPGIIPRNLHPPEEEIHYESSVTVDIGGRQTPSLQFPRSKEVMVNGHPVKVKYCDTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGLRNYRYFFMFVSSATILCIYVFSFSAFYIKVLLDREGTVWNAIKESPASVVLMVYCFISLWFVGGLTGFHLYLIATNQTTYENFRYRSDDRINVYSRGCLNNFLEVFCTQVKPSRNNFRAFVQEEVPRPPPPVIAREPEQDLGGDARSKVQDDLDIGEDLLKLSKRRNIEEIYEDIQSRGSNGPPHNTSDVDSVLNSGRAPTTRSEARHSNHGRSGSWEIGQETLASSNVSERSAVVSKENGQEILASSNVSERSAVVSKEIGQEILASSNISERSAVV >OIW07877 pep chromosome:LupAngTanjil_v1.0:LG07:7004326:7006806:1 gene:TanjilG_19978 transcript:OIW07877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQNETHSHNGDITLTTTPQQNHQQQEQHPILTLHLKDPILGTISDTLLAITTMASTNSTSTDPIPSSSDDVAVKAVNKRYEGLVTVRTKAIKGKGAWYWAHLEPILVRNPETGLPKSVKLKCTLCDSMFSASNPSRTASEHLKRGTCPNFSTGLRPTGSVPSPHPISMVAGSNRKRGSPGSASPTSPTTTTTITPYHQNHTLAMVESSRFCSVGGEIGYTQVHNNSVHQHQNQQNLVLSGDTSGKYLPPFKCLTREQEKDVDKLLTRLASREEAHIVLMELMKWRTEGLDPLYAQAVQMKQRDPVTAEVYGDASLL >OIW07547 pep chromosome:LupAngTanjil_v1.0:LG07:17528927:17531470:-1 gene:TanjilG_08434 transcript:OIW07547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDRRRGAIYNQMSSLDNSREKLTGLTLDDVLANQKRLSSTPPKESKSRTLLDIIKEDDSNKKDRRSWKAFQDTLRLKLAGSAWRSSIHIPTSDPIISSPNPNPIPAESNNRNNHFQHMSNNYNATQVDDLNPYDHTDSSPAPSPAPRAQFSRRSSTRYGSPADSPDDTATGGGLLRPQLSRRNSTTVPSQSEPYRRGRVVTFRDNFDDDDSDDERNQSEGRTMSAREAVAAQEASEAAAAEAEMAAEEEEEERPMTMSLMDLLEETDREMGLEGSRYILSDDEDFDEEDEDFDEDCDDDDNGEGSMEYTCCICMVKHKGSAFIPCGHTFCRMCSRELNVSRGNCPLCNNFILEILDIF >OIW08722 pep chromosome:LupAngTanjil_v1.0:LG07:881255:883685:-1 gene:TanjilG_03398 transcript:OIW08722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNDIAHACHEGEGVDAQVMHGYQSLWMSHWTQTNYKSSTTSARNRCEVKHDLRRDRRSEIVIDCSVHAGATREADRDTRVTFSNEVDPGKSKKASLDSKWSGASQGKDRKFETESRCGDDNVSRYRTWSHLPSTSAHAAPQKETLGREYQLLSKGISPAPLLMKSRCGVEQDNLAVAKPVWNSFVKSASGKVPNGQDQGKTLVPTSTCEQHEIYQSSYKLASQEHFTNTKYHTYSLFIRGKKKSNLFDPRRFSFPRWTQGGGDGYSTANADTMKIYTSIDSIEESSRDHPKMSQTTHHFLMSKKTDVNLSDQGQIFRESISPTKFKGNSFNEMIDSSPSTSDRDFEGAKLVARGSSKKSEGEENVQDLNSPTSQKNESSAETDTMDIDALRENHLSGIVPLRTNKCFEDSQNSPTSQVAITSVGEKKKGRPVALASPVADRENSTSRTRSLDVEHLLSHADENEMSKSGNSSLGPDPSSRWVKRLKLCSPRSAHGTKSATIGETSLHAKVNNTFIKMKGSKTRLEPKMACHTEERMVPDLCATVLTNGKSSSTEENKTAEITLSHPWIRRWCHNHDISPQKKHALTEFREPKFSNTPEEFQKKQFPSIAAMAMMGKAMNCLNPSELMKKGPVVVWNMKGF >OIW08037 pep chromosome:LupAngTanjil_v1.0:LG07:9313919:9317836:1 gene:TanjilG_20138 transcript:OIW08037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMASVSLLLTLSLIASSLHTSFSDSRKELRNKDLLKQENAFKQQLEHKVYPNRIDPSRVVQISWQPRVFLYKDFISDKECDYLISLAHSVKEKSSGNEDVTANGRLTSEISLDVEDDIVARIEERISVWTFLPKENSKPLQVMHYGVEQNGQNLDYFSNKTKLELSEPLMATVVLYLSNATQGGQILFPESQSKSSSWSDCGKSSEILQPVKGNAILFFSLHLNTAPDKNSFHARCPILKGDMWSAIKFFSVRSISGRNVSTISYDDCTDEDDQCPAWAATGECQRNPVYMVGSPDYYGTCRKSCNAC >OIW08701 pep chromosome:LupAngTanjil_v1.0:LG07:1004776:1015352:1 gene:TanjilG_03377 transcript:OIW08701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIDFNEYQLRCELHGHEDDVRGICVCGNDGIATSSRDRTVRFWSLDSSDKRKFACSKILLGHSSFVGPLVWISPNQEFPQGGIVSGGMDTLVLVWDLKTVEKVHTLQGHKLQVTGIALDDNGDIISSSVDCTLRRWRNGQSLETWEAHKAPIQAVIKLPSGDGEIVTGNAHISYLTCFRYYSSLRLWAVSGEVLMEMVGHTAIVYSVDSHSSGLIVSGSEDRFAKIWKDGVCVQSIEHPGCVWDAKFLENGDIVTACSDGTVRIWTTHQDTVADQLELDLYTSQLSQYKASRKRVGGLKLEELPGLDSLKIPGLLSMVLFSKIGEVVDGPEESSRPFHDGAQYDYVFDVDIGDGMPIRKLPYNRSEFMVVNDNLLTNKANCKMSPDNPYDVADKWLLKESLPLSFREQIVQFILQNTGQKDITFDASFRDPYTGYISAKPTFKHIPKKGMLVFEAAQFDGILKKINEFNNALLSDQILDAFSSCSSSSNKNLHLSYSTLILNYTVLLIELKDQEGQSQVLSAALEIVENENVEGDSKFRALVGIGSLMLEGLVKKIALDFDVLNFAKSAKGSKEAKIAEVGSDIELLTKQS >OIW07880 pep chromosome:LupAngTanjil_v1.0:LG07:7048401:7049182:1 gene:TanjilG_19981 transcript:OIW07880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCWSAENATKAYLSTLKMSQRAKEPAVAEFISALAAGNNAQLMVVACDSVADSTTLALVAAANQTGGHVVCIVRGREELNASKHVLGLFSCQINFIIGDAKDLLLNQYEAADFVLIDCNLVNHQEIFRVVQGGKQNSTMVVGYNAFRCRGSWRSCGSKTQLLPIGEGLLVTRFGAKPTTCSKYGPEIKKNKSHWVKRVDQCTGEEHVYRIRFPQGKVIQA >OIW08187 pep chromosome:LupAngTanjil_v1.0:LG07:5458219:5459301:1 gene:TanjilG_24382 transcript:OIW08187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASACVNNNISSFTWLNPNHSPDNLPHLDASAADFEFCLEHPVTMLPADELFSDGKLLPLHLSSLKPFTPSTTDSPSPETSTAKPLEPFLFSPKAPSCSSRWRELLGLKKKQQHPNNTISDTQKTTSSSSTKSIKQFLHHCSSKTTSSSENSTLNLPLLKDSDSESISLSSRLSLSSSSSSGHDHEDLPRLSLDSDKPDPNPIHISLHRNPNAHPRMRFVKNRTRSMDKTNNPNPNKVNRVVINPTQKVESGVVESRGVSVDSPRMNSSGKIVFQSLERSSSSPSSLNGGPRFKHRGMERSYSSNVRVTPVLNVSVCSLMGSSKSGSVFGLGPFFSSSVQQKKEGGGGGSGSNRHLCNRN >OIW08147 pep chromosome:LupAngTanjil_v1.0:LG07:6271869:6272933:-1 gene:TanjilG_06690 transcript:OIW08147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPISIHRDGGPLDNTVVLTCFKSMTWVIENTNSNPSSKVAVINMKLQDYGQSPSGEREVQFRLTRVTLEPMLRSMAHISQQLAVPVNRVALINLKLQDTKTSSGETEVKFQVSKDTLGSMLRSMNYIRDQL >OIW07452 pep chromosome:LupAngTanjil_v1.0:LG07:19206126:19208704:1 gene:TanjilG_24314 transcript:OIW07452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKVVCICRQRIYLIEASCTELFVLTRTSGFDCISIFNVVRASDYASDRDGACLQMRLSYGPAAQFFLFLVQWTNCHLAGSLGLLRILIYKAYKDGKTTMSIYERKASLKEFYGVVFPSLLQLHRGITDVDDRKQKHICATKYKAKDATSKGKLSEIDAEREEECGICMEMNTKIVLPNCNHSMCMKCYRDWHARSQSCPFCRDSLKRVNSGDLWIYMSNNDIDDLTSINNENLERLFMYIEKLPLIVPDPIFISYDQHW >OIW08621 pep chromosome:LupAngTanjil_v1.0:LG07:1522950:1523517:1 gene:TanjilG_03297 transcript:OIW08621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEEEMKQWVGNLSVTDENKVIIGSSGAIPPLVSLLSNKGKALRAGIIPTLMRLLKEPEGAMVDEALTILAILASHFEGKAAIGSAKAVPLLVEFIGSGSPRNKENAATVPFLLLISMTTELFCLHFCSFCVFFPF >OIW08272 pep chromosome:LupAngTanjil_v1.0:LG07:4454301:4455635:1 gene:TanjilG_21738 transcript:OIW08272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMTKSSSILIMLLVFVSSLMETKAYYFRQCSTKGSKCYGQYIRCPAECPSSESNDPKAKVCLIDCDKPICKAVCRHRIPNCNAPGSGCHDPRFIGGDGRVFYFHGKSNEHFSLVSDFDLQINARFIGHRPAGRTRDYTWIQALGIMFNSQTFSLEAIKTPQWNDKVDHLKFTYNGNDLVLDESSLSTWYSPNKDVKVERVADKNSVIVTLEDVAEIMVNVVPVTKQDDAIHNYKVPNDDCFAHLEVQFRFFALSPKVDGVLGRTYRLDFENTAKPGVAMPVVGGEDKYKTTSLLSSNCASCVFSKDSSSEKETTNVTDFGTLDCTKFSYGMGIVCKK >OIW07634 pep chromosome:LupAngTanjil_v1.0:LG07:16010796:16011362:1 gene:TanjilG_03742 transcript:OIW07634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVILQIKMNGESFNIKISLLSKDGVEEEDDDMGNANFFINVNLLGEKLSLEEDTSFNKMGANFVDSGLAIYSGDGEGVNEASQKEIFDHNLSNSSMKGVQDIPDVPIALDIEWVGEVGKSSNEATLVTSKHQMGHLLPTQVKNKELRIPLLESIVGGDHRK >OIW07841 pep chromosome:LupAngTanjil_v1.0:LG07:10592561:10592884:1 gene:TanjilG_32697 transcript:OIW07841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRVGAYMSTQLKTNRVKVLSRGFVKVCKFNYDNAIVELNVSYINFESNTKKAIESLKQAEIKVGYCVNSLKSGSKNAEIPPVHEANKVIQSLIKAAEAVAKKQTH >OIW07684 pep chromosome:LupAngTanjil_v1.0:LG07:15148925:15150067:1 gene:TanjilG_07726 transcript:OIW07684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAIGFVDDLLDFSLGMGEEDDDEDKNRKAFLELNPKCSDPASLCPLDMGDPSPPFSEFAEEELEWLSNKDAFPAVETFVDITSIQPNLSKHQTGSMLEHSTSSSNSNNSTNSISLLAGYDNLKVPVRARSKSRSRRLPGNSGISAQHSWTRQPSKENAKAEVITIPTIGRKCLHCGAEKTPQWRAGPLGPKTLCNACGVRFKSGRLVPEYRPASSPSFRSDLHSNSHRKVMEMRKQKQIGMV >OIW08336 pep chromosome:LupAngTanjil_v1.0:LG07:3784974:3787159:1 gene:TanjilG_03012 transcript:OIW08336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMESHSLICFILLASAACCLSHPSLIGVHPLDERYYSSEVIKCKDGSKSFSRDHLNDNFCDCPDGTDEPGTPACPAGKFYCRNLGSKPQFIFSSHINDNFCDCCDGSDEYEGRIHCPNTCVMGGNSGYMNNYNSKVSDLGSFSEKETKNGIKSGESVHNLTVFVVASDLEGGVTSDLKETLLLT >OIW07950 pep chromosome:LupAngTanjil_v1.0:LG07:7854959:7863556:-1 gene:TanjilG_20051 transcript:OIW07950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLNMKTLTQALAKTAAVIEKTVTTTVHEVTGPKPLHDYDLLDQIGSAGPGLAWRLYSARARDPSRKHQYPVVCVWVLDKRALSEARLRAGLTKAAEDSFLDLIRTDAAKLVRLRHPGVVHVVQGLDESKNAMAMVTEPLFASVANTLGILDNVANVPKELRGMQMGLLEVKHGLLQIAESLDFLHNHAHLIHRAISPENVFITYSGAWKLGGFGFAISASQTTSDASNFHYAEYDVEDSILPVQPSLNYSAPELVRSTAPSAGPSSDIFSFGCLAYHLIARKPLFDCHNNVKMFTNTLTYLSSDAFSSIPPELIPDLQRMLSPNESSRPTAMDFTGSPFFRTDTRLRALRFLDHMLERDNMQKSEFLKALSDMWKDFDSRVLRYKVLPPLCAELRNVVIQPMILPMVLTIAESQDKNDFEQSTLPALVPVLSTASGETLLLLVKHADHIINKTTQEDLVSHVLPMVVRAYDDNDARLQEEALKRSVSLAKQLDSQLVKQVVLPRVHGLALKTTVASVRVNALLCLGDMINRLDKNAVVDILHTIQRCTAVDRSPPTLMCTLGVANSIYKQYGVEFVAEHVLPLLMPLLTAQQLNVQQFAKYMLFVKDMLQKIEEKRGVAVTDSGIPKVQMSPAVNGLQNEAPGTRNSTVASAKNSASWDEDWGPATKGTATSVQNSIHTTNQSVPGNPVGQVTSLQNHVSLSGVSNQQATKSCPSVDLEWPPRAPVGVTLQYGHAEKHTVATGTLSTSNLQGGDPFADWPPRPTASLSGGSGTSMNGNFLMPLNNSGFNSMTSTSNNISLQPSNNWSVNSQSSAQSLSFNQGNGSSTTGNLNIGLKPSNSLASVKQGHQFPSSNGSYNSVNSTDLGSIFASNKNEQIAPKLAPPPSTTVGRGRGRGRGAGSTTRSSNTKSHSEQPPLMDLLG >OIW08108 pep chromosome:LupAngTanjil_v1.0:LG07:5814219:5814641:1 gene:TanjilG_06651 transcript:OIW08108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVVIIDGTTVRDFVNDETQFTKNIDDQFTALDLNNDGVLSRSELRTAFQSLRLIETDFGIDVATTPEQLTRLYDSIFEQFDVDGSNTVDRHEFGSEMKKILLAIADGLGSSPIQMVLEDDSHGLLQKAADLEASKTAAA >OIW08486 pep chromosome:LupAngTanjil_v1.0:LG07:2563074:2564030:-1 gene:TanjilG_03162 transcript:OIW08486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNPADKDTTSISLCTLLCDEDTSFLFNEDCDNENIGIHSLNSWLILEHTMTSFDYLYYFACILCPECPPQPIISEAQHYILAIAKDVDLMDQQSSSIFIAMAATLMAVFDDGLTREIMDRHIRPILSCGNEDSEHMFFYYKLVQEKVTEKQRKNWDNPTSSLTYQWSNTYGVDYSSVTYISRRQFTFDNKENSPP >OIW08777 pep chromosome:LupAngTanjil_v1.0:LG07:107810:109181:1 gene:TanjilG_16358 transcript:OIW08777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGFGYLLPWNAFITAVDYFSYLYPDVSVDRIFAVVYMVVSLIGISLIILYSHKSDAFIRINLGLALFVLSLLIVPLLDAFYVKGQVGFYNGFYVTAASVALAGVADALVQGSIVGSAGQLPERYMQAVIAGTAASGVLVSVLRIFTKVVYSQDASGLRKSANLYFSVSIVIVFISMVLYNIVHRLPVIKYYNELKIQAVTEGEEDIGPFTGSVWRSSVWDTVGRIKWCGFGIVLIYVVTLAIFPGYITEDVHSQLLKDWYPILLIAGYNVGDLVGKCLTAVYLLENAKIAIASCIARLLFFPLFLGCLHGPKFFPTEIPVTILTCLLGITNGYLTSALMIIAPKIVKLQHAETAGIVSVLFLVFGLAAGSIIAWFWVI >OIW08391 pep chromosome:LupAngTanjil_v1.0:LG07:3382262:3385219:-1 gene:TanjilG_03067 transcript:OIW08391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRYVGSHYGRMFSDRKWIIPFFASLLVSVSLILTAILGAYNSSGGVGEQLPLDVVLLNRSEDSSGYFVESELERPLNAGVMKTKAPRFAYLISGTKGDSHRMMRTLEAVYHPRNQYILHLDLEAPPRERLELANAVKADPIFHKVENVRVMSQSNLVTYKGPTMIACTLQAIAILLKESTEWDWFINLSASDYPLVTQDDLLHVFSNLSRDLNFIEILPIVGWKLNQRARPIIIDPALYLSKKSDLAWTTQRRSIPTSFKLFTGSAWVALTRPFVEYCIWGWDNFPRTLLMYYTNFVSSPEGYFHTVICNTKEFSHTAISHDLHYIAWDTPPKQHPRSLTMNDFDKMVKSNAPFARKFAKDDPVLDRIDKELLGRTHRFSPGAWCAGTSDGGADPCSLHGNDTVFSPGRGAERLHELFQLLLSEEHRNNKCL >OIW08229 pep chromosome:LupAngTanjil_v1.0:LG07:4770456:4774595:-1 gene:TanjilG_15190 transcript:OIW08229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSISKTTCSIFILVVLTAVQVQSRVFGMSGSELVYDGVDDVKNESSYLYLKGSEPSGKYCEQMYGFLPCSTNILGHLFLILVYEYLLFHGESYLAAGGEQVFKILGPGIFGASAFDILGALPESLILLVTGLNSDKESAQHYASNGVGLLAGSSILLLTVVWGTCVIIGSQKLKDDPKSSGSNTSNSSNGRIKESLTGSGIIVDIETVKMSRIMVFSVIPLIIMQIPTLFKLSPTPSAVTLMVSLIVAVVFLISYFIYQVFKPHIEKTRLEYIKHDDLILRIFQHVEKQTLQKILTEDGTPNVTAISGLYHEISRRGDKDLLASEIKELLLQNKGNGANIKEEQIAGMLRIFDRNGDQVITKEEFVVGLTEYINQTKHALDRKYLPKESMSNLYQVFIKPWIEHTRRERELKGHLISEVLRHAQNDMVGSLCQDDGTPDEATIRRLFEQVDSNGDNHVSKSELKKLVKDIHLGKVADSEEAVTKIIHELDLNRDDEISEDEFVVGFSKWINRNSSQAPHLITMPHENHQTWEEVEEVMEDNQIKGINAWLSALGYVMLGITMLSLLAEPLIHSVQNFSEEAGISSFFISFIIVPIATNFREATSAIKEASHKKRRNTSQTIYEIYGSVFMNNILGFVVISSLIYMRDITWEFSADALVVAIVCAVMGLTASFRSTFPLWTSIPAYALYLASLVLVYNLKDTLHYV >OIW08498 pep chromosome:LupAngTanjil_v1.0:LG07:2497538:2497996:1 gene:TanjilG_03174 transcript:OIW08498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATMLTVDACMFQTAIQNRSAIPSIAKCPSSIRSVKSFSGSFGLKSSFSFRVTALAAYKVKLIGPDGKENEFEAPGDTYLLDAAENAGVELPYSCRAGACSTCAGKIVSGQVDQSDGAFLDDNQVKEGYLLTCVSYPTSDLVIETHKEEALY >OIW08152 pep chromosome:LupAngTanjil_v1.0:LG07:6338440:6343548:1 gene:TanjilG_06695 transcript:OIW08152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSNPVENSSNVESTINNSSPLRSVTPNDSRGYCWNSTREVTFEHSGSKNVSYGSKSAPDSEARSTPQKEISDEDARLVYIDDPEMTNEKFGFAGNAIRTGKYSILSFVPRNLFEQFHRVAYIYFLIIAVLNQLPQLAVFGKGVSILPLAFVLLVTAVKDAFEDWRRHQSDKIENKRLASVLVNGEFHEKKWKDVRVGEVIKIIANETMPCDIVLLSTSDPTGVAYVQTINLDGESNLKTRYAKQETHSKLPEKEKYEGLIKCEKPNRNIYGFQANMEIDGKTLSLGSPNIVLRGCELKNTSWALGVAVYCGRETKAMLNSSGAPSKRSRLETHMNLEIIMLSVFLVALCTVTSVCAAVWLKCHKDELDLLPYYRKLDVLKEKNGTYEYYGWGMEILFTFLMAIIVYQVMIPISLYISMELVRVGQAYFMIGDSRMYDEATNSRFQCRALNINEDLGQIKYVFSDKTGTLTENKMEFQCASIRGVDYNVAKSSVENEQVEYFIQVDGKVLKPKMKVNVNPDLLQLSKRGYESKEGKWIYEFLLALAACNTIVPLEVDTSDPTVKLIDYQGESPDEQALAYAAAAYGFMLIERTSGYIVINIHGERQRLDVLGLHEFDSDRKRMSVILGCADNSMKLFVKGADTSMLSVVDKKLNNDTIQATEAHLHSYSSIGLRTLVIGMRDLNASEFEQWHFAFEAASTALTGRATMLRKVALNVENDLCILGATAIEDKLQQGVPESIESLRTAGIKVWVLTGDKQETAISIGYSSKLLTCDMSQVIINSNSRESCRRRIQDALVMSGKLMTASAGTNNSEGSSDFVTTPIALIIDGTSLVYILDSELEEELFQLASKCSVVLCCRVAPLQKAGIVAIVKNRTSDMTLAIGDGANDVSMIQMADVGVGISGQEGRQAVMASDFAMGQFSFLVPLLLIHGHWNYQRLGYMILYNFYRNAIFVLVLFWYVLFTTFTLTTAINEWSSMLYSIIYTAFPTIVVGILDKDLSKRTLLKYPQLYRAGQRHEAYNKKLFWLTMADTLWQSIVVFFGPLIAYWESTVDVASIGDLWTLSVVVLVNLHLAMDVIRWNWVFHATIWGSIIATFICVMIIDAIPSLPGYWAIYHAAGTGLFWLCLLGTVVTALLPRFVVKYVYQYYFPNNIQISREVEKYGNTRANGGGQIEMHSISDAPLR >OIW07665 pep chromosome:LupAngTanjil_v1.0:LG07:14638843:14644046:1 gene:TanjilG_07707 transcript:OIW07665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPYPIPVTSAQVGTYFVGQYYHVLQTQPEYVHQFYSDASTMLRIDGHARETAAAMLQIHAMVMSLSYTGIEIKTAQSMESWSGGVLVMVSGSVEIKEYSRRRKFMQTFFLAPQEKGFFVLNDIFHFVEEDPVNHHQAALLAQSNLDPKINSPSAINNPVSNYLLGGAIPTREFVATNEVKENGVVDNYGFSEQHMQQVPDPEYVKEDNAAKESNGSLQSTVNPVQDHVPASAEEPSEEPQKHTYASILRVAKGHSTPSTASQPPQKNVPSSEWDSAPQASSQQTTVSTNGFERSETDAVEEIPATEYEDEIKSVYVRNLPPTVSPSEIEEEFQNFGRIRPDSVVIRSRKDVGFCYAFVEFEDITGVHNAIKAGSVQIGGRQAYIEERRPNSSIPSRGGRRGRGRGGYQSEAPRGRFGSRNYGRGSGYDTRGYNKPRGNGFYQHSSRQERGHSGHQAAAGNGQNQAESY >OIW08078 pep chromosome:LupAngTanjil_v1.0:LG07:6544992:6546238:-1 gene:TanjilG_21058 transcript:OIW08078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREGLKKDLRVSRVGLGRYNDGDPLAAEDQAFVLENVFEHHPDKETKMGGGIDHVMVSRHSNFQESRCMYVVLKDGKKEDFSYRKCLENLVRKKYPDVAESFIGKHFRKPRGRAGGDQAPATPLPSSTEANE >OIW08420 pep chromosome:LupAngTanjil_v1.0:LG07:3106922:3115575:-1 gene:TanjilG_03096 transcript:OIW08420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALHISSACFTTLLGHSRVNRIRAEGSSSSSSSATLKTVVEDKVKLGGSDLKVSPLGIGAWSWGDTTYWNNFDWNDRKEKDAKKAFDASIDGGVTFFDTAEVYGSGLTLGAVNSEVLLGRFIKERKEKEPAVEIEVATKFAALPWRLGRQSVLSALKDSLCRLGLTSVDLYQLHWPGVWGNEGYIDGLGDAVEKGLVKAVGVSNYSEKRLREAYEKLNKRGIPLASNQVNYSLIYRAPEEKGVKAACDELGITLIAYSPIAQGVLTGKYTPDKPPSGPRSRIYTPEFLTRLQPLLNKLARIGEKYDKTSTQVSLNWLIAQGNVVPIPGAKTAEQAAEFIGALGWRLADEEVAELRSLASEIRPVNNLRVKAGSTVLRYSGVVHMTQLVTRFQLARVTVTTTPFIHRSNPKPASFKLSPPLSQTLAFPQFSSKTTAPSATFRRTIRPAMDAQPQESATPPVESKEDFIHVDDLKMENLSDSLVRIDNDSSNDEPSESASENEASDRLPVTLPEELSRNVLVLSCESSAEGGVCDVYLVGTAHVSEESSREVQAIVNHLKPEVVFLELCSSRVAVLTLQNLKVPTAGEMIAMLKKKHNLFEVLYGWFLAKIASQLEVFPGSEFRVAYEEAMKYGGRVILGDRPVQVTLRRTWSKMPLWHKTKLVYSLLFQAVFLPSSDDLNKMLKEMDDSDMLTLVIQEMSKEFPTLMETVVHERDHTGQLIAYSMPLFLFLLLEGPCNYYNPVTKGHAFAISRDCCDFIVQKAALRLTYKAALRLTPLV >OIW07502 pep chromosome:LupAngTanjil_v1.0:LG07:18471537:18472576:-1 gene:TanjilG_14448 transcript:OIW07502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEGKGVVRETDMSEMMQKQVMELAHQALDAHEVFDSQSIAHYIKKKLDEAYGPAWNSVVGKDFGSCITHLCGSFIFFRVEMMEFLIFKDGKDFSITKDEAIGVFQNA >OIW07524 pep chromosome:LupAngTanjil_v1.0:LG07:18752896:18762228:-1 gene:TanjilG_14470 transcript:OIW07524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASGIRKQVQLSLKLMVHKETNKVVFAEVGKDFVDVLISFLTLPLGTIARLVAKECDMGPLKIASLSSLYESVGNIGEEYMWKDTCKEMLLQPRNPMEDYCRSMKINVDDTEPTKYYVCNNLLQCRVACSVMCSTFKNKKCSCGELLENQIAPKSRVSFDGFVKNSSCFLVTDDLCVHPMSFDTMFSIIKKMGMEDMSSLKEIVVNVTQNQLIDLLKCSLVSNTPLTDLFIRKKPCPQKSGGYIVSPSGDFSDEQCTRVYVKIIYKSRRRIVHLSDFYLETRYQTISDDRYETCNGLNMVDPISENGSTKGFVRGPSFYVATDNFVVSPISSISAISIVNNMNTTLCDIEEKEVSIGLKEGLSILKASLISSSALTDGLAHLLTNVKREDYLSAKVIDEK >OIW07538 pep chromosome:LupAngTanjil_v1.0:LG07:18910612:18960070:-1 gene:TanjilG_14484 transcript:OIW07538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIRMMMWEEEEEEENEDECEDEEEDEDEDRDDDEMEDKEEDEDEEEEEDEDKEEDDEDEDEMEEEDEDQHEEEEEDDDEDEEEEEDEDMQTKPSLDGIEQDLVNEYKEGAYSSMVGPYGEGANLDYRDNTPSPLTSSTPLYRLNHSKSLVGGTGEPRELADKAATKAMPVNRVGDFGLAPGISGRFTLFQTVDFSTIFARASAPRNSFISCNMRLNAITLICILLLIGVVGKSAQIGSLTWSPNAMEGPTPVSSLIHATTMVTTRVFMIARCSPLFEYPPTALIVITSAGATTSFLAATTGILQNDLKRVIAYSTCSQLGYMIFACGISNYSVSVFHLMNHAFFKALLFLSAGSVIHAMSDEQDMRKMGGLASSFPFTYAMMLMGSLSLIGFPFLTGFYSKDVILELAYTKYTISVPTNSFGRDILRCHDAPIPMAIPLILLALGSLFVGYLAKHACYAFSLPGRLITSSGVDGSTSYNHEAEVIVLEGLLPRMKLDSVLTPSYADLTMKRQKNLFFDSQISGTSEKDWKVRNEVLDRIRKGEVGSLLSACAKEDFVLF >OIW08240 pep chromosome:LupAngTanjil_v1.0:LG07:4708317:4708520:-1 gene:TanjilG_15201 transcript:OIW08240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEELAHHQAAQQEIPMPEELAPPQNTLQEIPVAEEKTPPRPALQQGNDFVRDIDDFPPFDKAKEIM >OIW07961 pep chromosome:LupAngTanjil_v1.0:LG07:7967338:7968632:-1 gene:TanjilG_20062 transcript:OIW07961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVDPKHGTTSSRGISPQPQPLIYPLSSSGIGFIPKPSLGAGHVDYHHLNHHFPSHLPPHPKAALGSAVSDRNGYKDARIEAEMIPVVSSEIEK >OIW07733 pep chromosome:LupAngTanjil_v1.0:LG07:12045311:12050213:1 gene:TanjilG_11891 transcript:OIW07733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYAQLVIGPAGSGKSTYCSSLYEHCVATRRTIHIVNLDPAAENFDYPVAMDIRELISLDDVMEELGLGPNGGLVYCMEHLEDNLDDWFNDELDNYLDDDYLVFDCPGQIELYSHVPVLRNFVEHLKSKNFNVCAVYLLDSQFMTDVTKFISGCMACLSAMVQLELPHVNILSKMDLVTNKKDVEEFLDPEPTFLLSELNQRMAPQFAKLNKSLIELVNNYSMVSFIPLDLRKERSIQYVLAQIDNCIQYGEDADVKVKDFDQDDDDE >OIW08000 pep chromosome:LupAngTanjil_v1.0:LG07:8642545:8644080:-1 gene:TanjilG_20101 transcript:OIW08000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRMRNSNNTNGLSNIMMSNGSRAEPGSKEWEMRPGGMLVQTRTADSDRNSVPPPPTIRVKVKYGSIYHEVNINSQATFGELKKMLSGPTGLHHEDQKLFYKDKERYSKAFLDIVGVKDKSKIVLKEDPISQEKRYIEMRKNAKMEKAAKSISQISLDVDRLAGRVSAFESIISKGGNIAESDMLSLIELLMNQLLKLDGIIADGDVKLQRKMQVKRVQKYVETLDMLKVKNSMSSSNSGHAPTQIQQMHSNGQRLAPIQELPQIHSNGHHSLIQIEEPQEQKQQPSRDSSTSGVVVSTKWETFDSMPPLVPVPSTSTPMTNHNSSHPKFKWEFFD >OIW08460 pep chromosome:LupAngTanjil_v1.0:LG07:2766374:2767993:1 gene:TanjilG_03136 transcript:OIW08460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNMIKIQQGLSSSSLRIEEESNIVMMQKKHGTVTILGSNCDDTNTLSEYSSLSRTLSADVSSQKWLSHQTIKKVPSSEELMPHSISKGIADSLSSSSSEDEAEAERERLEIWSSIQRNKKEEQKKAGPGAFDMWNSLMSLKDNNEISKSLPVSPYIHPLVKRTKSCLSEKSLEICTENLGSETGSDGLLSSYPSETEEEKEEHHQQQQQVQEKEEKLTIEETKYNFGGSAAAIKKYYPSYRSFPPPLSSLSSSLHMRTHRGNGRLVLEAVSAPSNNNFCVQRQDGRLVLTLANHEEEAKEDATADDEVSEENNDDDVAVEELEEEFGEFLDEEGEAEDESGEIEEVGEIESGVKDDKSIMLEKVPLVSSEITINGVHRLAMVMNKPIGLVNRNQEWSSKFNEVDVNVMEVEKSPVAKSLPPRPRVARLIPSTNFNAYEYYWKTKPTTTTAQGDTTKLLNSLPYNEKLNNNSSTLVNNNSTSKVIFSGDIKHMSNDQKQQLMVVREKNGDYKLVCNLDNSCKDSRRSLLFWEPYCIATS >OIW07943 pep chromosome:LupAngTanjil_v1.0:LG07:7797112:7799409:1 gene:TanjilG_20044 transcript:OIW07943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQTKKFDSQNSAKFKALAKKARKESFWYGEDRPRWLGPISYDYPSYLTGELPGDYGFDIAGLAKDPVALQKYFNFEILHARWAMLASVGALVPEILDLLGAFHFVEPVWWRVGYSKLKGDTLDYLGIPGLHLAGSQGVIVIAICQALLMVGPEYARYCGIEALEPLGIYLPGDINYPGGALFDPLNLSKDPEAFEELKVKEIKNGRLAMAAWLGFYVQAALTGKGPVRNLVEHISDPFHNNLLSSLNFMKVVL >OIW08491 pep chromosome:LupAngTanjil_v1.0:LG07:2528397:2530668:-1 gene:TanjilG_03167 transcript:OIW08491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRVAARFASRRLFSSGTGKVLGEEEKAAENAYFKKAEQEKLEKLARKGPQPEATAAAGSGGSVAGAKPSGSAYTNTSAPKVSTDKNRNYGVLAGTITILGALGWYLKGTAKKPEEVQD >OIW07469 pep chromosome:LupAngTanjil_v1.0:LG07:18126212:18126519:-1 gene:TanjilG_14415 transcript:OIW07469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSCSESSTKPNTINNKKPPFMPAKDDTKPILQDPILRSDPIETEEAVLRLPTFSIPTSNGIK >OIW08259 pep chromosome:LupAngTanjil_v1.0:LG07:4566057:4567615:-1 gene:TanjilG_15220 transcript:OIW08259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHLVIYSSFLLYILFALAAFRIHVQANGNWLNAHATFYGANLSPTSLGGACGYDDTFHAGFGVNTAAVSTMLFRGGEVCGACYQVICDYRLDPKWCLRSRSVTVTATNFCPPNNHGGWCDPPHHHFDMSMPAFFRIARQGNEGIVPVLYKRVGCKRRGGVRFTLKGQSNFNMVMITNVGGSGEVKGVWIRGSRSGAWLPLHRNWGANWQSNADLRNQRLSFKITLVDGKTLIFLNVVPSSWRFGQTFASRNQFF >OIW07911 pep chromosome:LupAngTanjil_v1.0:LG07:7305357:7310445:1 gene:TanjilG_20012 transcript:OIW07911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQGDYTSAPYYQFPHLQNPNYPSDPITNPYASAPPFASDNAPYPHNPDYAPIPTPPSPTAPPQSHHPNSNFTPFNSSSQPTSFPPFESHLPYQPPQPQQLPHQHQQPNPVPHQHLQPQSYYPPYDQQHQTAPNYNASLQPHLPPNPNPNNPLYSSSYSAPYTHSGSLETQFENPVKFDQGGGYLDDGYGNFNRSRSDFYGKQPQDEGYGDGVFPYEGGKVEPYGARGTAPKSSTWAAFDDYGRSISSPSAAKEPSAMSKIVKAVPKADAAEDVKSGVQKFRVRVLAENFDQSNMDVLCQIGLDGIRMLDPHTNRMLRIYPIENITRCEKFDSSTLAFWSKSSVDMEPRRIRLQSNSYTTNTLLDTVTAATIQFKEMGGSRRPAEPLRTNEQPTEKKKGLGDWMNLIKPANEEKDHWVPDEAVSKCTGCGSDFGAFNRRHHCRNCGDIFCDKCTHGRIALTAEENAQPVRVCDRCLAEVTQRLSNAKESSSKPVVQSHEDLARKLQEELERNRKASGSKSDGSGRRMKEVACPICTVHLQVQVPSSGSETIECGVCQHPFLVSSH >OIW08710 pep chromosome:LupAngTanjil_v1.0:LG07:948373:952076:1 gene:TanjilG_03386 transcript:OIW08710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVTELKERHLAATETVNDLKNRLKHRRNSLLDTDIAGYARSQGTAPVSFGATDLVCCRTLQGHTGKVYSLDWTSERNRIVSASQDGRLIVWNALTRQKIHAVRLPCAWVMTCAFSPTGQSVACGGLDSVCSIFNINSSTDKDGNLPVSRMLSGHKGYVSSCQYVPDEESHLITGSGDQTCVLWDVTTGLKTSVFGGEFQSGHTADVLSISINGSNSRMFASGSCDSTARLWDTRVASRAVRTFHGHEGDVNAVKFFPDGNRFGTGGDDGTCRLFDIRTGHQLQVYYQQHSDNDIPPVTSIAFSVSGRLLFAGYTNGDCYVWDTLLAKVVLNLGSLQDSHESRISCLGLSADGSALCTGSWDTNLKDEKHATDCRAPRIQYYGAGITLFIGPHALSFNYKYGHLVGIGGSLSNLRALWFIIIQ >OIW08364 pep chromosome:LupAngTanjil_v1.0:LG07:3583362:3589181:1 gene:TanjilG_03040 transcript:OIW08364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSICSKSSAVEDSNESITNKLSSSSKRSAELNSLRLNSSKRVEAVRVKDKVLNSQRSDVKVSLVNKKANGSVKLYEVQNGMNKIEKAGLTVIDHPSFGRIPKAVEGEQVAAGWPAWLSSVAGEAVKGWLPRSANTFERLHKIGQGTYSTVYKARDVTNQRIVALKRIRFDNLDPESVKFMAREIHVLRKLDHPNIIKLEGLITSSTSRSLYLVFEYMEHDLTGLASNPAIKFSEPQLKCYMQQLLSGLNHCHSHGVLHRDIKGSNLLIDNNGVLKIADFGLASYFDPHHSVPLTSRVVTLWYRPPELLLGANHYGVAVDLWSTGCILGELYTGRPILPGKTEVEQLHRIFKLCGSPSEDYWHKLRLPHSSIFKPANHYRRCVAETFKEYPSPAVILIETLLSVDPAHRGTAAGALKSEFFTSEPMACDPSTLPKYPPSKEIDAKLHDETRRQGTVGSREQKVGSVVRQDKGTRAHVTAADRGMSIQREQRYSSSNNLSELSKHHREPVSGFLVFPPHKQSEDAKDIGNNLSGRLYKKPSHSGPLVPGYNWTRSGKEVDEGAPVSNRANLSKLSGLVASRTTSSKDQEEKPVQLHHRKPIEVKKSVESTSGSESRRQDRKRIADLTHIESGRVPNEKLNSDGHGPRGNKIYMSGPLLAQSNNMDMKEHDRKIQEFSRKARIDKSRARGYKVRSQPKEE >OIW08025 pep chromosome:LupAngTanjil_v1.0:LG07:9115223:9115600:-1 gene:TanjilG_20126 transcript:OIW08025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRRIRATRPVASSCPSVTGTRTTTITIENSDPSPSSSHPEQQQPEVLFLPLNRRKKVSWKEGTVDNEFMQKKSSKKCCIFHKQKPFDEDDSDEDDIPDHSDKHSHDHSEDGCCSKNNDEAGSSS >OIW08053 pep chromosome:LupAngTanjil_v1.0:LG07:9535738:9538907:-1 gene:TanjilG_20154 transcript:OIW08053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFNKLPSQDDFELDQFYPHGNGTFKDGYLKGLESNENVIGSGDNGIVYLGLLPNNNKRVAVKRLACDSRQPEELFTSQMEAIRHVKHKTLVKLLGCCTEGAYRILVSEYVDNGNLHHWLHDLPGLVSSLTWKIRLNIISGVAKGLAYLHEEVEPKIIHGNIKSRDILLDHHWNPKISDFGLFKLLSPDWSHVIMEALGYVNPDCHSTSTMTEGNDIYSFGILIMEIISGKVPLYHNQSQSLIVQWFKSMISKGKIADVVDPKLPAMPSSKELKRIILVALRCIDPDVNPRLKMGAIVRMLETDLLLFYEHKIVMEHYEHDHFSQRHAPGTLGHSHLGAK >OIW07766 pep chromosome:LupAngTanjil_v1.0:LG07:11825772:11826053:-1 gene:TanjilG_12892 transcript:OIW07766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSRALKLRALIPSCRNRMYYASFLLNLKNQNRFHLYKQRLPGLLGKQAKTELTNLTEKGTKQTATNFLTLKAENRETKPRTESGGGTVKATN >OIW08820 pep chromosome:LupAngTanjil_v1.0:LG07:341848:342684:-1 gene:TanjilG_16401 transcript:OIW08820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRNLRSRRRPRYGVFLCAVVSAILLFLSLSLFHTRLSHSISHLRSHHHLHPNTPPLHDFNYDSLLSDSVNDDATGGEDTIDALDIVEEQQPTTEEEEDDEPFDHIKVSAGYFFCHIGGVIRRTFNKRSMEEWDVGYHQNGIFLGSGVVDEDPSKAAFGSDDIPVDEGVRMKAIQVMGIEDALLLKMGRRVSPLREGWGDWFDKKSDFLRKDKMLRSNLEALNPLHNPILQDPDGVGYSGLTKGDKIVHKGLLHEFKRNPFHVRKTKDPKLQGTSRHN >OIW08158 pep chromosome:LupAngTanjil_v1.0:LG07:6389647:6391803:-1 gene:TanjilG_06701 transcript:OIW08158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREIKVQKLVLNISVGESGDRLTRAAKVLEQLSGQTPVFSKARYTVRSFGIRRNEKIACYVTVRGDKAMQLLESGLKVKEYELLRRNFSDTGCFGFGIQEHIDLGIKYDPSTGIYGMDFYVVLERPGYRVGRRRRCKARVGIQHRVTKDDAMKWFQVKYEGVILNKSQNIS >OIW07836 pep chromosome:LupAngTanjil_v1.0:LG07:10475148:10475573:-1 gene:TanjilG_32692 transcript:OIW07836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVHDAMVSDPALSSPPLPPVTLFYYSQERQFLVTVLNFLYAFNSIFYGPGMIVMMGLKKKDEVL >OIW08652 pep chromosome:LupAngTanjil_v1.0:LG07:1318638:1321387:1 gene:TanjilG_03328 transcript:OIW08652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWNPHMEVHYNSISYPYNTAGSFIEYFEGLTYEHVNFIFSGASHAQESSYPSTSSFHKFGLSELDGNSYYRYGHGYDVNHHESPTDEYRRPSENSSTANEQMAAASTQWGESVNTDTQDNSIERPRRHHGNSNDYQVIWQDNIDPDDMTYEELLELGEAVGTQSRGLTQEQISLLPVSKYKRGFFLRKKSRDERCVICQMEYKRGDKRISLPCKHIYHASCGNKWLSINKARQDTYRLS >OIW08067 pep chromosome:LupAngTanjil_v1.0:LG07:9653100:9656724:-1 gene:TanjilG_20168 transcript:OIW08067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDMPVTVVKEMGEHTGDERRRRSKMEQRRQVGGCDLSPGPSIWSELSSVPMKQVIKSATSSSSITNNKKKSVVVLGAPKVSAIVLEALLKAQSQSNSLFEVSGIVTQPPSKRDRGKVLMPTPLAQFAIENGFDSHLIFTPQRAGDDDFLSQFKALQPDLCVTAAYGNILPNKFLQLPSLGTVNIHPSLLPLYRGAAPVQRALQDGVKETGVSLAFTVRALDAGPVIATETVQVDDQIMAPDLLDLLFYKGSELLIGELSSIFDGSARVKAYPQDDSKATLAPKISQEESWLLFDQEALVRAFSGWPGTRAKVLVVEKNGQQKTLEIKIITTRVSSHGSVQSNEANDISFAEGALAFPCGRGSILEVLEVQLPGKKVVSAAAFWNGLQGKKIKTL >OIW07630 pep chromosome:LupAngTanjil_v1.0:LG07:16515834:16515992:1 gene:TanjilG_16611 transcript:OIW07630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLTYNTRKAHIRSFGAPYGKEDNTVISIDDSYDKEDIKIISFDGFPDEKGC >OIW07422 pep chromosome:LupAngTanjil_v1.0:LG07:19574476:19575969:1 gene:TanjilG_19263 transcript:OIW07422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIDSQNQKLNVTFLPYPTPGHMIPMINTARIFAKNGVNVTIITTNSNALTFQKSIDNDFNHGYSIKTHLIQFLAAQVNLPNGIENLKDATSVETLGQISHGIALLQDQIELVIQDLQPDCIVTDMCYPWTVEFAAKIGIPRIYFYSSSYFSTCASYLIQKHRPHEKLVSNTEKFSIPGFQENIEMIPLQLAEWIRTKTNYSCYFEALFESELRSYGALYNSFDELESDYEQLYKSTIGIKTWSIGPVAMFVNKDDGEKANRGHNKELEKEPDWLNWLNSQQDDSVLYVSFGSLARLPRAQLVEIAHGLENSGHNFIWVVRKKVEENDESLIKDFEHRMKESKKGYIIWNWAPQVLILDHPAIGGIVTHCGWNSILESLNSGLPMITWPMFAEQFYNEKLLVDVLKIGISIGVKENKFFMSMSEEATMTRKEIANAVKILMGSGEGSIEMRSRAKKLGDAAKKTIEEGGSSYNNLMKLIDELKLLKKTRELSKKLIR >OIW08658 pep chromosome:LupAngTanjil_v1.0:LG07:1293549:1299463:1 gene:TanjilG_03334 transcript:OIW08658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVFMFGLVSLVLCGYALSKECTNIPTQSHTLRYEMLRSKNKTWKPELRIHNHLTPTDDSAWEDMLPRRFMREDHQNEWSMMYRKIKNLGMFDTPKGFLKEVSLQDVRLQEGSIHAQAQNTNLEYLLMLDVDRLIWSFRNTAGLPTPSQPYGGWESADMELRGHFVGHYLSASALMWASTNNSSLQEKMSALVAGLSACQDKIGTGYLSAFPSEFFDRYEAVQPVWAPYYTIHKILAGLLDQHAIAGNPQALKMVTWMVDYFYNRVQNVITQFSINRHYQSQNEETGGMNDVLYRLYSLTGDQKHLVLAHLFDKPCFLGLLAVQANDIADFHANTHIPIVVGAQMRYEVTGDPLYKDIGTFFMDIMNSSHIYATGGTSDNEFWHDPKRIGDSLKTAENEESCTTYNMLKVSRHLFRWTKEVSYADYYERALTNGVLSIQRGTDPGVMIYMLPLGLGVSKGTSSHSWGTPFDSFWCCYGTGIESFSKLGDSIYFEEEGNDPSLYIIQYISSSFNWQFGKLILNQTVDPASSWDPYLRVAFTLSPTENSGTSSTLNFRVPSWTQTNGANGILNAENVSVPAPGTFLSVTRQWSADDKFSLQLPITLTTEAIKDDRSEFASLQAILYGPYLLAGHTESDWDITAGANTTISEWITPIPASYNSQLISFSQNSSESTLVLTNTNLSLTMQMIPEPGTALALHATFRLGLQNSSTELSSLTNAVGKSVTLEPFDLPGMNVIHQGQDKPLVISSEVEPSSIFLVVQGLDGRNETISLESQSNRGCYVYGGVSSGAEVKLGCKSDSDATFNNATSFVAEEGLRQYHPISFVAKGTNRNFLLEPLFNFRDENYTVYFNIHE >OIW07954 pep chromosome:LupAngTanjil_v1.0:LG07:7918806:7919450:1 gene:TanjilG_20055 transcript:OIW07954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLLRASTGAITCWRVVTTIRRLLYWGLVDGWLLHRGLVYWWLLDWGLVYWGLLNWRLINGWFLNGRFVLRRLFIVRKPLRREGESCKEQ >OIW08159 pep chromosome:LupAngTanjil_v1.0:LG07:6404068:6404727:1 gene:TanjilG_06702 transcript:OIW08159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSITPSHYRQRPSIIKNTNEQQQQLVTPLAIITKNSNNTKTQHVAASNTKSTTPKQSKNNNFLALNIKKRMEGNEPLRKHKARGISTSVKSRVEELSNIVQLSNVAPPNLRTDQRPSSTARGRSTTRASTVVEVQKPDPIPKSCRPSRSSSPNVSNGGGWNQLDRNKNLKSQKERFTLAGGTNNENRGHFMGSKMVEKVVNARKHGVNQVERNKSKAT >OIW07997 pep chromosome:LupAngTanjil_v1.0:LG07:8531093:8535300:1 gene:TanjilG_20098 transcript:OIW07997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPMVPFHSLLLLAALCLLLRTITATDGAGDLLYIDCVEQCEKTGCVGDRCFQHCKFSSDGTPIDGPWYMHEPLYLRWKQWDCRTDCRYHCMLSREEERAKHGAQPVKYHGKWSFKRVSGIQEPVAVALSALNLAIQFHGWVSFFILVYYNLPLRSDKKTYYEYTGLWHIYGILSMNSWFWSAVFHSRHVELTEKLDYSSAVALLGYTLIISILRAFNVKDEARRVMISAPLVAFVTTHIMYLNFYEFAYGWNMKVCVTMAVCQLLIWAIWAGVSDHPSRWKLFVAVVGEGVAMVLHTFDFPPYKGYVDAHALYHATTIPLAFLWWSFIRDDAEFRTSYHLNKVK >OIW08242 pep chromosome:LupAngTanjil_v1.0:LG07:4696038:4697667:1 gene:TanjilG_15203 transcript:OIW08242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPTYHRYPPLSRESYRDLLKVLEGQQVVFPQRLLDIILLELGLYPNEDLDEVIVYDPHCMTRRHHAEPSSSLHHKSTNCEMRNVVSGIKQLVNGENKKGDYFSEDILESILGWLCPADYLRCRAVCSWWRTVMGNAIRSKHCKTTLQLPLLMLNFRPAYDKDSPCFYSLSKQKLQFPNKPIYPVTQNCIGSIDGWLIMYDKDSMNDFLDAVDDNYDTIYLASFYYFLNPVSDDTVPLCDDKPWALLDAEKFIHDIEIHDGKLYVVISSSSIESIMVYDFQLDEDYSGPKAQKLVVHEVQSFLNLPTVSTVNFVTTVKSLIKLD >OIW08768 pep chromosome:LupAngTanjil_v1.0:LG07:52361:57220:1 gene:TanjilG_16349 transcript:OIW08768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTAFATASAITDQRQKIEHYKHILSAVISSNHILQARKFIDHMLSDDVPLVVSRQLLQTFAQELGTLQPDTQKEIAHYTLAQIQPRVVSFEEQVLVIREKLAELYESEEQWSKAAQMLSGIDLDSGMRVIDDTFRLSKCVQIARLYLEDDDAVNAEAFINKASFLVSNSQHEVLNLQYKVCYARILDLKRKFLEAALRYYDISQIEKRQIGDEEIDEEALEQALSAAVTCTILAAAGPQRSRVLATLYKDERCSKLKIYPILQKVYLERILRKPEIDAFAEELKPHQKALLPDNFTVLDRAMIEHNLLSASKLYTNISFDELGTLLGIPPHKAEKIASRMIYEDRMRGSIDQVEAVIHFDDDTEELQQWDQQIVGLCQALNDVLDSMAKKGFPVPV >OIW08162 pep chromosome:LupAngTanjil_v1.0:LG07:5546668:5554661:1 gene:TanjilG_06575 transcript:OIW08162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKPKQKIVLPPDLPPEIPDDDVEVSDEDVQFVKENRGYASLLSTLDTQSITKHVTRVADAKEDTLEKLYEERSRKSALKKEKEETGLQVDRVDALPIKTLDGKLYYRTAKKTEPENGPSEEETEEDGNADKGLIKLTKAERRAKLKKLKREEKKQGKEVAKAEVEETPQAAVLAEVKEDLTTEEAFESKKRKLAELGDALLTDPDSNIKFLKEMLQLSKDNDPTIVKLGLLSLLAVFKDIVPGYRIRLPTEKELEMKVSKTVRKMRYYESTLLSAYKGYLQRLMILEKKPSFQLVAVRCICSLLDSHPHFNFHESLLDATVRNINSSNEAIRKLCCSTIKSLFTNEGKHGGEATVEAVRLIADHVKAYNCQMHPDSVEVFFSLSFYEDLRKPEKADEDQKNKYKKNKKRKNSEASNQLPENDRKKSRKELISKTREEVEADYKAASFTPDVMEQRQMQTETLSAVFETYFRILKHTMQTVVTRPEANSGELSTAVESHPLLAPCLKGLGKFAHLIDLDFMGDLMNHLKILASGSSNPGNTSEKGSKCLSVSERLQCCIVAFKVMRNNLEALNVDLQDFFVHLYNLIVEYRPGRDQGEVLAEALKIMLCDDKQHDMQKTAAFIKRLATLSLCFGSPESMAALVTVKHLLQKNVKCRNLLENDIGGGSVAGTIPKYLPYSTDPNLSGALATVLWELNLLSKHYHPSISTIASGISSMSAANNQLLLSKSSPQQAFKDLSLEQELCFPQSGIIKLNNKKRKTNFSAPSPSIGDTTVMSSFNEDELQKKLSSHFTILHDIKENERLRSELDRTTQSLQLYEQYKKHKKLRSKSKSKAVSK >OIW08220 pep chromosome:LupAngTanjil_v1.0:LG07:4862472:4865156:1 gene:TanjilG_15181 transcript:OIW08220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKKTETFKLTFVCSSQRVVLPFQGLQISVDDGEVSHVQEYNQSKLSVDSSEEIYLNNENEVVVGLRDSLKSLSEEVLKERIRRMRIGLANKGKVPWNKGRKHTAETRERIRQRTLEALRSPKVRKKMAEHPRAHSDQIKAKISYSQRRVWQERLKSKRLGEKWVCSWEQNIANAARKGESGQEELDWDSYDKIKQQFELRKLLQAEKKGKEVAGAKKFTEAWEESIAKAAKKGGGSEQELNWDSYEKIQKEMFLDYQLQRTAQKAKAKEMVKANAKKVAQKKAIKKVILTQIKKGRQDRTKARGVIKSQPCRKVKEGKGDLQVGQEVKLQPKLTKILISKTVNSQTAREGDIFSSILSRYKKLDLELIKREKMQKEVSLADQIQAARDKKAKFTDQDSAAYSDN >OIW08268 pep chromosome:LupAngTanjil_v1.0:LG07:4482712:4483341:1 gene:TanjilG_21734 transcript:OIW08268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRCVNLEAVSTKKTTLRNYDENLMDAQFGDDLKRKSRTQEDREKENENEAMITFSLGFPIDALGEEEIKAEVIKELGGKEQNDYIIVRNHIFSLWRENVNIWLTKAHIRESVSSDCEHLINSAYDFLFYNGYINFGVASSFNSHIRETIFEGGVIVTGAGLAGLAAARQLMSFGYKVAVLEGNDPCLPKSQPCNRMTRAALLLLTQHQ >OIW08809 pep chromosome:LupAngTanjil_v1.0:LG07:275790:280761:-1 gene:TanjilG_16390 transcript:OIW08809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIEACSGSKKEREVIYGNINRGSYLVWEDLRVVIPNFGKGPTKRLLNGINGYAEPGRITAIMGPSGSGKSTLLDSLAGRLSKNVVMTGNVLLNGKKQSLGYGFVAYVTQEDVLLGTLTVKETISYSANLRLPTTMNKEEIEGIVDGTIIEMGLQDCADRLIGNWHLRGISGGEKKRVSIALEILTRPRLLFLDEPTSGLDSASAFFVVQTLRNVARDGRTVISSIHQPSSEVFALFDDLFLLSGGETVYFGEAKMAVEFFAEAGFPCPRKRNPSDHFLRCINSDFDIVTATLKGSQRIPDVPNSADPFMNLATAEIKAMLVEKYRRSTYAKRAKAKIQELSTKEGLETEAQNGSQASWWKQLSTLTKRSMVNMSRDVGYYWLRIIIYIIVSICVGTIYFDIGYSYTSILARGACGAFISGFMTFMSIGGFPSFIEEMKLFYRERLNGYYGVAAYILANFLSSFPFLIAIALTTGTITYNMVKFRPGFIHFVFFTLNILSCISVIESLMMVVASLVPNFLMGIITGAGLIGIMMMTSGFFRLLSDLPKPVWRYPISYISYGAWAIQGSYKNDLLGLEFEPLIPGDPKLSGEYVITHMLGIELDHSKWLDLAALLIILIFYRILFFTILKFKERASPLFKSLYAKRTIQQLEKRPSFRMVPSFPSQRHQPLRSLSSQEGLDSPLH >OIW07660 pep chromosome:LupAngTanjil_v1.0:LG07:14553578:14554015:1 gene:TanjilG_07702 transcript:OIW07660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQDADDGTTHADIEGRPSRLGLGAKVSRQSKVGPSDDPVDRKLYAKLGAEKRKRAKIAEESTTVARDDLDDSEDDEREESRTSAFSKKKAVPLRLPILGNKKQK >OIW08559 pep chromosome:LupAngTanjil_v1.0:LG07:1977556:1981417:-1 gene:TanjilG_03235 transcript:OIW08559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFGRNSSVRSSGDSLDGMVNDYDGGKTKMKAQKSASTKFVAALTCLQFFFAVYATFLLYYMGPSIDLRTKPDFTWATRIAQQWKQLMITPHVVGHYQEAFSSLTTDEIQPITPSLVCENEKIDFMQKKSNDVQMIKFKRELYNEVLDFQSKNFGTETLPELMAMKSKWALHGPNKPKITVLLNHFKRKTLCAQLDSLLQQTLPFYHVWVLSFGSPNENSLKRIVESYNDSRISFISSSYDFKYYGRFQMALQTEADLVYIVDDDMIPGKKMLQILAHVAGTDKYKNSVLGSIGRILPFRQKDFTFPSYRKFRSKEAGLYLPDPAYDITIDKIVQVDFLSSSWFLSAELVKTLFIETPFTFSTGEDLHLSYQLQKYRNAGSFVLPVDPNDKETWGDSEHRLAYVSETTVIFKDIVQVRDDQWWKALSTGYVTQWAAMYPQKVDALFYAHTVDEVKALAPLLENFRSTVGKKAYIVVSGGNFCSCENAAAALKWPMFVCKERRFKIFDLALGALSGVSNSEVPVVQAVYSSMKGLIKIHNPSVVITVADIDPNVRKALKMASETNSNSTTLVLLPRASVSKVLWMADLRSTALPNWNKMRISINIITQNRVNSLARLLKSLTNAYYLGDEVPITFNMDSKVDEATIKLVGSLDWPHGPKTLRRRIIQGGLIRAVSESWYPSSDDDFGLLLEDDIEVSPYYYLWIKYALLAYHYDPQVSLPELSSISLYTPKLVEVVKERPRWNATEFFKPIHPNTPYLHQLPCSWGAVFFPKHWREFYVYMNMRFTENAKENPVQIPKSRTNGWQASWKKFLIDMMYLRGYVSLYPNFPNQASFSTNHMEPGAHISAKDNVVRHNKQDFEVPLLKEDFRNFLPRMKMPPASKLPSLNLFNQPLSLRGLKAAGAKLGQDVLRCNNATELVTVDHQTGLPQRCSKF >OIW07669 pep chromosome:LupAngTanjil_v1.0:LG07:14707986:14709485:1 gene:TanjilG_07711 transcript:OIW07669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLPVCSPEQLAQFLGPNTTNAADAANFICNQFTAVNNKFIDTTFAVDNTYLLFSAYLVFSMQLGFAMLCAGSVRAKNTMNIMLTNVLDAAAGGLFYYLFGFAFAFGSPSNGFIGRHFFGLKDVPDAAFDYSYFLYQWAFAIAAAGITSGSIAERTQFVAYLIYSSFLTGFVYPVVSHWFWAVDGWASPNNTGNLLFGTGVIDFAGSGVVHMVGGIAGLWGALIEGPRIGRFDHTGRAIALRGHSATLVVLGTFMLWFGWYGFNPGSFTKILGVYESGNYYGQWSAIGRTAVTTTLAGCTAALTTLFGKRILSGHWNVTDVCNGLLGGFAAITAGCSVVEPWAAIVCGFIAALVLIGFNKLAEKVSYDDPLEAAQLHGGCGAWGIIFTALFAKKEYVNQVYPGKPGRSYGLLMGGGGKLLGAHVIQILVIIGWVSATMGPLFFVLNKMKLLRISTEDELAGMDLTRHGGFAYAYEDESHRHGIQLRKIEPNDSSSTPTT >OIW08435 pep chromosome:LupAngTanjil_v1.0:LG07:2954807:2958094:-1 gene:TanjilG_03111 transcript:OIW08435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYSRKLSCVLLLVVLLVACTKGEDPYRFYTWNVTYGDIYPLGVKQQGILINGQFPGPLIESVTNDNLIISVFNSLDEPFLISWNGVQQRRNSWQDGVYGTNCPIPPGKNFTYVLQVKDQIGSYFYFPSLAFHKAAGGYGGFQIASRSVIPVPFPPPDGDFTILAGDWYNRNHTDLRAILDGGSDLPFPDGLIINGHGSNSNTFQVDQGKTYRFRISNVGLTTSINFRIQGHKMLLVEVEGTHSLQNTYDSLDIHLGQTYSVLVTADQPPQDYYIVVSTRFTSQVLNATSILHYSNSAGGVPGSPPEGPTQIDWSMNQARSLRENLTASGPRPNPQGSYRYGMINVTRTIRLQNSAPVIDGKQRYAVNGVSFITADTPLKLADHFNIQGVFSLGSIPDNPTGGGGGYLQTSVMAADFRAYVEVVFENPEDTLQSWHIDGHVFFVVGMDGGQWSDASRQNYNLRDTIARSTVQVYPKSWTALYMPLDNVGMWNVRSENWARQYLGQQFYLRVYSPANSWRDEYPIPSNAFLCGRAISH >OIW07897 pep chromosome:LupAngTanjil_v1.0:LG07:7180324:7180674:-1 gene:TanjilG_19998 transcript:OIW07897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSDSVVSNLTTIYMALILCIKAYCLVCGRSFTGTFILILSTTLVALILVLTLTWDVSRKATYAFGAGDHLLNPHQIHHHNHHTCKGGICLHGVAVRSPASQLRFRLPQHLPYAL >OIW07740 pep chromosome:LupAngTanjil_v1.0:LG07:12249328:12254560:1 gene:TanjilG_11898 transcript:OIW07740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGPTRPQFVLFGSSLVQMSFSNGGWGSILSDIFARKADILLRGYNGWNSRRFLKILNEVFPKDNVVQPSLVIVYVGGNDSVGAHSSGLGPHVPLPEYIENMRKILIHLKNLSESTRVIALSCPPVHEEIACGNTSTIFSKLERTNELCQSYSEACINLCQELDVKAIDLFNAIQKRDNWMNACFKSDGIHLAEEGSKIVVKEILKVLKEADWDPCLHWKSMPTEYGEDSPYDLVAVDGKTTLNPSDWTFHREVQWD >OIW07586 pep chromosome:LupAngTanjil_v1.0:LG07:17912413:17916029:1 gene:TanjilG_08473 transcript:OIW07586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTTSWAGLWFLTRNTTVSTTTSTTSLEFSVLKPNVGPFRLFSPYSLSHNGSTSLTQRSCKCSYTAPVSTRTYEFSDTSSEVELRLNLKGLDIQSSRDISVDTSDSSLAIRALRPGLPVTLIETNHLFDRIKPSETIWYIDDDELVVNFKKHDPDLKWPDIMESWESLTAGSTQLLKGASIYLVGNSTEINYKVAQEIATGLGYTPLSTKELLETYSNQTVDSSWLLAEGSDSVAEAESAILESISSHVRAAVATLGGQHGASGRTDKWRHLYAGFTVWLSQTEATDKTHYATSKPTTHQLRTKAQNDLHNRTKP >OIW08427 pep chromosome:LupAngTanjil_v1.0:LG07:3025974:3030193:-1 gene:TanjilG_03103 transcript:OIW08427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQPNHQFLNALDNMAQNISESDIPRIDEFDSANRSGSENQEGASGEDQEPSAKKKRYHRHTQHQIQEMEAFFKECPHPDDKQRKELSRELGLEPLQVKFWFQNKRTQMKTQHERHENTQLRTENDKLRAENMTYREALSNASCSNCGGPTAPGEMSFDEHHLRLENARLREEIDRISAIAAKYVGKSVVNYSNLSPSLPPRPLELAAGGGFGGQPAGIGGGDMYGVGGDFLRSISGPTESDKPIIIELAVAAMEELIGMAQMGEPLWLTTHTHDGTSVVLNEDEYIRSFPRGIGPKPTGFKCEASRETTVVIMNHVNLVEILMDVNQWSTVFSGIVSRAMTLEVLSTGVAGNYNGALQVMTAEVQVPSPLVPTRESYFVRYCKQHVDGTWAVVDVSLDNLRPSPSPRCRRRPSGCLIQELPNGYSKVTWVEHVEVDDRGVHDLYKQLVSTGNAFGAKRWVATLDRQCERLASAMATNIPTIEVGVITNQEGRKSMLKLAERMVISFCAGVSASTTHTWTTLQTGTGADDVRVMTRKSVDDPGRPPGIVLSAATSFWLPVPMKRVFDFLRDENSRSEWDILSNGGAVQEMAHIANGRETGNCVSLLRVNSANSSQSNMLILQESCTDSTGSFVIYAPVDIVAMNVVLSGGDPDYVALLPSGFAILPDGTTTHGNGIGETVPSGSLLTVAFQILVDSVPTARLSLGSVATVNNLIACTVERIKASLSPDLQD >OIW08019 pep chromosome:LupAngTanjil_v1.0:LG07:9070845:9075746:1 gene:TanjilG_20120 transcript:OIW08019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TRNLLRIAIFNISYIRGLFPEKYFNDKSVPALEMKIKKLMPLDAESRRLIDWMEKGVYDALQKRYLKTLLFCVCEAVDGPMIEEYAFSFCYSDSDNQEVSMNINRTGNKKKGTFKYNSTTEITPQQMRSSACKMIRTLVQLMRTLEKMPEERTILMKLLYYDDVTPADYEPPFFRGCTEEEAYHPWSKNPLKMEVGNVNSKHFVLALKVKSVLDPCEDDNEEMQDDMNTCNDSMQQDEYDDTDSEKSEYEFTIVKEEVDGQAPQVFDKPLQSEDLIYMKALYQALPMTYVSVSKLQSLLEGEVNLTAARRIIDKMTRDGFLEPKGNKRLGKRVIHSELSERKFIEVQKALNAMEAMDVDHCEPNSKPKQSDFRLNVLNILHLTDMVCCGNVQPVDSRESFAQGQENSTENVKRTPNQKDEADINIFSYSSQDKRPRKTSAVKEPIHQNVKRQRAQTPRL >OIW08258 pep chromosome:LupAngTanjil_v1.0:LG07:4572596:4573297:1 gene:TanjilG_15219 transcript:OIW08258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVEDGENEVSVSSFLLNSIYSRQAEVLSPEDLAWADSCLIEDSSFSETDWVPLKDALLEVISSQPQPFSTDREDIEILQEKIESVNLGLNQESSTHDSSEASSTYNFNPISVAVQMSDDENPEPSLTFMGNPFLPTYNEDLKENDTIDVGLDLDSSTYELEHASESIFKIWDLNIPTEEDDLVKQLDKVLSENSFESVSSSFDDSGKWKELKEVSVDDLIAGIADLSLKRKV >OIW08063 pep chromosome:LupAngTanjil_v1.0:LG07:9612337:9613497:1 gene:TanjilG_20164 transcript:OIW08063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLVGDTTEYAHKLFAQIPKPDLFIWNTMIRGSVESKNPLQGIQLYAQMCNRSVKPDNFTFPFVLKACTKLSWVKTGFAIHGRVERLGFGSNTFVRNTLLSFHATCGDLEVAAKLFDDWAKTDVVAWSALIVGHAKRGDLSIARCLFDEMPVKDLVSWNVMITAYAKQGEMGMARKLFDEIPKRDVVTWNAMITGYVQCGSKEQAVELFEEMSRIGEHPDEVTMLSLLSACADLRDLEYGKKINTKILEMSSGEISTLMANALVDMYAKCGSIEKALEVFHSIREKDVASWNSIICGLALHGHAEESLDLFKEMQRTIICPNEITLSGVLAACRHAGKVDEGYEYFDLLRSKYKVQPTIKHFGIMADMLGCAGREDNILTKGPTQT >OIW08648 pep chromosome:LupAngTanjil_v1.0:LG07:1343985:1347140:1 gene:TanjilG_03324 transcript:OIW08648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIALTASSFSPSLRSRSSSTRSQPLLHYIPSTSLYFNSLTLKRNRFITSPLISSNPKNLSLVKASSSVASASVTPAPVPPKPSQGAAIKPLLASIATGVILWFVPVPAGVSRNAWQLLAIFLGTIVGIITQPLPLGAVAILGLGVSVLTKTLPFAAAFSGFGDPIPWLICFAFFFAKGFIKTGLGNRVAYQFVSLFGSSSLGLGYSLVFSEALLAPAIPSVSARAGGIFLPLVKSLCVACGSNVGDGTEEKLGSWLMLTCFQTSVISSAMFLTAMAANPLCATLTLNSINQTIGWLDWAKAAIVPGVVSLVLVPLILYVIYPPTLKSSPDAPKLAREKLQLMGPMTGHEKIMTATLLLTVGLWVFGGVLNIDAVTAAILGLSVLLVTGVVTWKECLGEGVAWDTLTWFAALIAMAGYLNKYGLISWFSQTVVKFVGGLGLSWQLSFGILVLLYFYSHYFFASGAAHIGAMFTAFLSVATALGTPPFFGAIVLSFLSNLMGGLTHYGIGSAPVFFGANYVPLAKWWGYGFLISIVNIIIWLGLGGVWWKFIGLCYYEQLKQTANLCQILSRNNIWEKFRLGAGYSWTF >OIW07879 pep chromosome:LupAngTanjil_v1.0:LG07:7039533:7040315:1 gene:TanjilG_19980 transcript:OIW07879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCWSAENATKAYLSTLKMSQRAKEPAVAEFISALAAGNNAQLMVVACDSVADSTTLALVAAANQTGGHVVCIVPGNEELKASKNVLGLFSCQVHFIVGDAKDLLLNQYEAADFVLIDCNLVNHQEIFRVVQGGKQNSTMVVGYNAFRCRGSWRSCGSKTQLLPIGEGLLVTRFGAKPTTCSKYGPEIKKNRSHWVKRVDQCTGEEHVYKIRFPQGKVIQA >OIW07944 pep chromosome:LupAngTanjil_v1.0:LG07:7808796:7814169:1 gene:TanjilG_20045 transcript:OIW07944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGGNSLPSGPDGVKRKVSYFYDPEVGNYYYGQGHPMKPHRIRMTHALLAHYGLLQHMQVLKPAPAKDRDLCKFHADDYVAFLRGITPEAQQDQLRQLKRFNVGEDCPVFDGLYSFCQTYAGGSVGGAVKLNHGVSDIAINWAGGLHHAKKCEASGFCYVNDIVLAILELLKVHERVLYVDIDIHHGDGVEEAFYATDRVMTVSFHKFGDYFPGTGDIRDIGYGKGKYYSLNVPLDDGIDDESYMSLFKPIMGKVMEIFRPGAVVLQCGADSLSGDRLGCFNLSIKGHAECVKYMRSFNVPLLLLGGGGYTIRNVARCWCYETGVALGMELEDKMPQHEYYEYFGPDYTLHVAPSNMENKNFRDLLDDIRSKLLDNLSKLQHAPSVPFQERPPDTELHERDEDQDDKDERWGPDSDTEFDDYSNPVAGRVKREYVEVERNDSGSYHKHLDRGRDSDMPFKENASSKVPVVVPMAVDEPSIKEEQDNSKDLSDQRLR >OIW08685 pep chromosome:LupAngTanjil_v1.0:LG07:1101788:1107120:-1 gene:TanjilG_03361 transcript:OIW08685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMKLQIFTGLPSAPFRSSSSSSFSSTLCVLKKKPLNASFLGTGVEVLKLKTIRIIKPVQCGGGALGTRMNLFDRFARVVKSYANAIISTFEDPEKILEQSVLEMNDDLTKMRQATAQVLASQKRMENKYKAAQQASEEWYRKAQLALQKGEEELAREALKRRKSYADNALSLKAQLDQQKSVVDNLVSNTRLLESKIQEARSKKDTLKARAQSAKTATKVSEMLGNVNTSSALSAFEKMEEKVLAMESQAEALGQLTTDDLEGKFALLESTSVDDDLSKLKQELSGGIKKGELPPGRGTASSNTGIPFRDTEIEMELDRLRQRAKEF >OIW07926 pep chromosome:LupAngTanjil_v1.0:LG07:7657959:7659202:1 gene:TanjilG_20027 transcript:OIW07926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHSNVWNSHPKNYGPGSRTCRVCGNPHGLIRKYGLMCCRQCFRSNAKEIGFIKYR >OIW07750 pep chromosome:LupAngTanjil_v1.0:LG07:12427352:12430739:-1 gene:TanjilG_11908 transcript:OIW07750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELKLSESRDLTRIERIGAHSHIRGLGLDSTLEPRDVSEGMVGQTSARKAAGVILHMIKDGKIAGRAVLIAGQPGTGKTAIAMGMAKSLGLETPFAMIAGSELFSLEMSKTEALTQAFRKAIGVRIKEENEVIEGEVVEVQIDRPSASGAASKSGKLTLKTTEMETVYDLGAKMIEALGKEKVSSGDVIAIDKASGKITKLGRSFSRSRDFDAMGPQVKFVQCPDGELQKRKEVVHCVTLHEIDVINSRTQGFLALFTGDTGEIRAEVREQIDTKVAEWREEGKAEIVPGVLFIDEVHMLDIECFSFLNRALENEMAPILVVATNRGITTIRGTNYKSPHGIPIDLLDRLLIISTQPYTEDEIRKILDIRCQEEDVEMSDGAKHLLTKIGGETSLRYAIHLITAAALACQKRKAKVVELEDINRVYHLFLDVKRSTQYLMEYQNQYMFSETGEVDEDDTNAMVS >OIW08362 pep chromosome:LupAngTanjil_v1.0:LG07:3606173:3607181:-1 gene:TanjilG_03038 transcript:OIW08362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRAGIVSESFVNLFLLMVCSLLLISSPSSETEAKKFHVGGKEGWILKPSEDYNHWAAKLRFQVNDTVHFKYNKGSDSVLVVKRDDYDSCNVNNPMQRMDDGDSTFKLSNSGPYFFISGNVENCKNGEKLIVLVMAVRHKVAPPPASDLMPREVERSSLVPAAHAPSKANSASVKRVGVGFGVGLVMMYLCFGAWFV >OIW07934 pep chromosome:LupAngTanjil_v1.0:LG07:7719082:7721933:-1 gene:TanjilG_20035 transcript:OIW07934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCNTSRLDGLPVVAMCHDRCKYIDEALRQSYVLADAHVAHLNSLTTLGTVLHSFFLQYNCDSECDNDAIAINVNLDKSESSKPSPLATSTVHSESDITLHSDSEADDTEQFFNPSRYDTSPVDNVAFRNYPPPSPPPAWDFLNLFESFEKYQVPYSPPNRNIEEEKKEKEKEKGNKTGGEDGEGEKNKEGDSGVKKSISQKETTLEEHKVKSVKGLSETMKEIQILFETASGSGKQVLELLDVGKLRYHQDIAVNPAACKIMQMFTPSKPLSMVNCMEFKLGSGYQGGVDVDNGLRYGNLCSTLKKLCMWEKKLYDEVKAEEKLRILHEKKCRQLRRLKKKDADAHNVDSVQTFIEILGTKIKISFQVVDKISNTICKLREEELWPQINSFIFRFHGMWKDLLECYRCQYKVIAEARSLDASSFNIKVNNYHLHEAIKLKSELQKWNLSFSDWIYAQKSHVKALNGWLLRCLMYEPEEIPDGASPFSPEKLDAPPVFVICTKWSRTMDNLSEKEVIEAANGFIVKVNKLLEKHIADLQQNLTLDKELERKVKILERQEQKMLKVVNTRQRKMEPVDTDADAVHHGQLVDTVCLQSGLKHIFAAMEKYTATTASAYEELCQLIEKNRHVLGEQNNIH >OIW08603 pep chromosome:LupAngTanjil_v1.0:LG07:1680756:1682615:-1 gene:TanjilG_03279 transcript:OIW08603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRKGMRSIFYKPSPFPSLSSLSPSSPHRTFSDSVEEENIETAELLITKWDSVTNHSSSSYTKPAHLFSGTRFEAKQYLNAVKRLQTAMKHLVSQDPTSETLVRLQFLMQLAMKTLEKELCQILSSSREHLDPETVSNRSSTDRRSSFSDFDDEISVDDEFRVAGNSISETERMSMIAMADLKAIIDCMISSGYGKECVKVYINIRKSIVDEALFHLGVERLSFSQFNKMDWEVVELKVKTWLNAVKIAVSTLFHGERILCDHVFATASEKRIAESCFAEITREGAALLFGLPEMVAKSKKTPEKIFKTLDLYEAISDNWPQIQSIFSFESTSIVRSLAVNSMVKLGDAIRAMLSEFESAIQKESSKVPVPAGGIHPLTRYVLNYITFLADYSIVLGDIITDYPQSPLPENYYRSPMRNENPLVSDISERIAWLILVVLCKIDAKAELYKDVALSYLFLANNMQYVVEKVRKSNLGFLLGEDWLTKHKLKVKEYVSKFERMGWSKVLSFLPENPTVEMPAEQVRACYMSFNAAFQETCRKQSAWIVSDPKLREEIKISIGSKLVRRYKEFYERHRSGSASVNGFKPEYIGNYLSNILYGTGDSSHSYSTKSLSRIFNRR >OIW07616 pep chromosome:LupAngTanjil_v1.0:LG07:17019238:17019771:-1 gene:TanjilG_16597 transcript:OIW07616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPPPSSSSTTSVHVTALDGLVNVNSLFTIAVFVGLSLTTPGQRSLENRSSCDADIAVAQKLLVFEVVSFSFFLFSSLIAQGLKLALNLLNTKDVDDAFRAHINLKVLRFGMLGSAIGSVMGCLFLVLSMVNVIEIRLGVLSCGSKSASNAVAAMVVLVSSALLVYISTAIYAFTH >OIW08027 pep chromosome:LupAngTanjil_v1.0:LG07:9139528:9141717:1 gene:TanjilG_20128 transcript:OIW08027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREILHIQGGQCGNQIGAKFWEVVCAEHGIDPTGRYSGDNELQLERVNVYYNEASCGRFVPRAVLMDLEPGTMDSVRSGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRALKLTTPSSMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATADDEGYDYEDEEEVQVED >OIW08816 pep chromosome:LupAngTanjil_v1.0:LG07:326916:328509:1 gene:TanjilG_16397 transcript:OIW08816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNILLTFSKYLSYRVWGSVSLSIAFVWQLYTIFLLIKLHESFPGIRHSRYLFLAMAAFGRRLGKVAALFPVMYLSGGNCVILIITGGGTMKLLFKTLCDNDHNLTCNSHSLSGAEWFLVFTCLAILMAQLPNLNSVAYVSLIGGVSAITYCTLFWTLSVRNGRPTSVSYTTSLSKDHSDMANFTDIINAIGLIVIAFRGHNVLLEIQGTLPSNVEETSEKPMRRGVTIAYILIAICIFPLAIVGFWAYGNQINGGLLIAFPQFHREQVSKFTMGAMYVLLIIHCLTSFQVYAMPVFDNLEIRYTVIKNHRCPRLVRTCFRIFFGGLTFFIAVTFPFLPSLSLLLGGMTLVPITYAYPCFMWLAIKKPRKKGVVWCFNIALGCLGMILGALLVTAALRTLIIRGLKANFFHP >OIW07686 pep chromosome:LupAngTanjil_v1.0:LG07:13514952:13516760:-1 gene:TanjilG_30763 transcript:OIW07686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAEAWIVKMGNHVSSNLKHALLLETSTKRKHNPKSEGKKEMIGIISFEVANVISKTVHLHKSLSESEISKLRNEIINSEGVRNLVSSDEAYLLELVLAEKLDELNRIAGIVSRLGKKCSEPALQGFEHVYGDIVGGVIDVKELGFLVKHMEGMVRKMDRYVNATRNLYSEMEVLNELEQGVKKFQYNQHEESKRAFEQKLIWQKQDVKHLKDISLWNQTFDKVVELLARTVCTIYARISVIFGEPALRNNSVGLGGSSLATQNESGFLSGQINVSMSSEGLKRSQSKKIGFHSGSIGRVSRERRGTTSTLQIDMRRGGLASLGLEDFGFPCGTSPRRLFMECLSLSSSVSKFGDADYGCVFDPDDRYSSHSVGVGNNNGMKREHLCHSGVLSHAQSGVPFTGDLRHVKLAVQSCSTFGPKSRLTFYAPPLTLGGSALALHYANVIIVIEKLLRYPHLVGEEARDDLYQMLPTSLRLSLKAKLKSYVKNLAIYDAPLAHDWKENLDGVLRWLAPLAHNMIRWQSERNFEQHQIVSQTNVLLLQTLYFADREKTEEAICEVLVGLNYICRYERQQNALLDCASSFDFEDCMEWQLQCGASFLN >OIW08756 pep chromosome:LupAngTanjil_v1.0:LG07:637786:645256:-1 gene:TanjilG_03432 transcript:OIW08756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFFSAILGFFGFGFGISIGLLLGYFFFIYFQPTHVKDPEIKPLAEQDPITLQKMLPEIPLWIKNPDFDRVDWLNKFIEYMWPYLDKAICKTAKNIAKPIIADQIPKYKIDSVEFETLTLGSLPPTFQGMKVYLTDEKELIMEPSIKWAGNPNVTLSVKAFGLKATVQVVDLQVFLAPRITLKPLVPSFPCFANIYVSLMEKPHVDFGLKLVGADLMSIPGVYRFVQELIKDQVANMYLWPKTLEVQIVDPTKAMRRPVGILHVKVLRAMKLKKKDLLGASDPYVKLKMSDDKMPSKKTTVKHKNLNPEWKEEFNLVVKDPESQVLEINVYDWEQVGKHDKMGMNVISLKDVPPEEPKEYTLTLLKNMDLNDVQNEKPRGQIVVELTYKQFKEDELDKGFEETQTVQKAPEGTPAGGGLLVVIVHEAQDVEGKYHTNPYARRIKKNRDPRWDEEFQFPLEEPPTNHRLHVEVISTSSRNLLHQKESLGYIDISLADVVSNKRINEKYHLIDSKNGRIQVELQWRTS >OIW08793 pep chromosome:LupAngTanjil_v1.0:LG07:193313:195588:-1 gene:TanjilG_16374 transcript:OIW08793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKARSNNNNNKKVEGSNWILVAAGALLSTLSIRLGYKLKQALESNPNHNATILHKGNGKSSNSSKSADSFIQSHGYSLTQDNHGCFTCISGTGNTVELKCPSNGQLVSESDGSLPLVTVPTAEFNKENGVIWASSPDRLELPSKPYQSNCSDSPCVSESGSDIFSKREVIQKLRQQLKRRDDMILEMQDQIAELRNSLNAQLGLSSHLQLQLDASNRDLFDSEREIQRLRKVIADHCVGQFQHDKSSLVTAWPAETRNGHANGHLEGEANLELPEKIRDDDERIEMLRKQVGELKEVIEGKEYLLQNYKEQKAELTLKMREMQQRLDSQLPNIL >OIW08282 pep chromosome:LupAngTanjil_v1.0:LG07:4341744:4343547:1 gene:TanjilG_21748 transcript:OIW08282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQSSWRINMDEHPLPERNMESHFGLGFFQRTIKKQKKLAEYYKKQERLLKGYQEVDTYNDLGTLPGDLTEEEIKQLERGEKMAIYASNIGNMVLFAAKVYASIQCRSLAVIASTLDSLLDLLSGFILWFTSYAMSKPNHYQYPIGKNRMQPVGIVVFASIMATLGLQILLESGREIITKTQPDRDPMKEKWMIGIMVTATFTKVLLMTYCLRFKNEIVRAYAQDHLFDIITNSIGLATAVLAIKFYWWLDPVGAILIALYTISNWAKTVMENVGSLIGKTAPADYIAKLTYLCGNHDKEIKHIDTVRAYTFGSNYFVEMDIVVSEEMSLSQAHDIGEALQDKLEKLPEVERAFVHIDFNTTHKLEHKPKLV >OIW07974 pep chromosome:LupAngTanjil_v1.0:LG07:8064557:8064850:1 gene:TanjilG_20075 transcript:OIW07974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ASIDNKREAPIIATELETDPAAPASGDGAGEEAGETSRAAAAIENRTTTRTTARALNVETGAISSINKEKEKNYSERDRESEKLRKREKVEKCEGMK >OIW08306 pep chromosome:LupAngTanjil_v1.0:LG07:4001087:4002955:-1 gene:TanjilG_02982 transcript:OIW08306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDNMNSFMPFSGARRTGFRAYDSQSFNHSDANEVPVFYRSHSTMAFDERNSSEIDPWRLREDRTPTGFHSIPFFPGQPHIRQQTYVPHNGNMERIFNPRLREIFQHSPPRFTFQTNTQVVPPVIENSKSTVLSKLKKEVYNPTPKLLSRNLSLYYRDKYNRVNGLTERKKDNDEDGKRCAICLEDFEPKEEVMTTPCKHMFHEDCIVPWLTSHSQCPVCRFVISETVRGNPSSFNNNDNISLEPNDQIDGELLSILRAMEEAFHLTSH >OIW08212 pep chromosome:LupAngTanjil_v1.0:LG07:4930547:4937055:1 gene:TanjilG_15173 transcript:OIW08212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKRSYKSELGCIGCDELTELGAGKPGWLVDNPNLLSAIDTHSLALSNRYTILLLSWSDPDSTPIKIRPDLSPIQAEHISAIECLVFDDFRVIVAGTSCGFLHFYSLRGELIHKQMIYPGRVLKLRVRGVKKDLIQDTSSGEFCVIMPGVIARFDGSDIQNMLQKWFEEVQSQFWGQKPKSQDLEDFGNSYGMLPHQLWNIGKYGTCADATLTGIMPPPLMEHQSSQRYYCAVAVGEDAVISAYRLSEDKGRSLVGAILSKVVPATISTITSFSKLIWRSDQTSPKKSEQKPQSFARASPLTCLKDHPRKGEKLTLSPSGTLAAITDSLGRILLLDTQALVVVRLWKGYRDASCLFMEILVNKDIASTSSTNREPMKSDYCLCLAIHAPRKGIIDCLVYFIPWLGFLTFTSYFWYLNSAAFIVGQSSQRYYCAVAVGEDAVISAYRLSEDKGRSLVGAILSKVVPATISTITSFSKLIWRSDQTSPKKSEQKPQSFARASPLTCLKDHPRKGEKLTLSPSGTLAAITDSLGRILLLDTQALVVVRLWKGYRDASCLFMEILVNKDIASTSSTNREPMKSDYCLCLAIHAPRKGIIEIWQMRTGARLRTIPCRKGSKMLQPSYRFGASMSSPYVPLEVFLFNGDSGVISVLNRNLDS >OIW07832 pep chromosome:LupAngTanjil_v1.0:LG07:10274726:10277561:1 gene:TanjilG_32688 transcript:OIW07832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGDSALLGTGGGGGGNSVDAVAAASVVAVAGSAHESGADTALSGDGGGGGGSISGDDDRGRGEEGDRSFGGNRWPRQETLALLKIRSDMDVVFRGSSVKGPLWEEVSRKLAELGYHRNSKKCKEKFENVYKYHKRTKEGRSGKSYGKTYRFFDQLQALEGNNHTAKTTNQSSPPSKPPQTTAPLQATPVSIVVTASSLPHQIPTTTTMPMFAASNTTNLNSVSVPFSHATNVPSTTLPISIPQPILKTTIATTPSINHPIPSFPPPQNPTHFNPSLTTNPTINPSHVNNSNPISFPNIPMDLLPNSSSSSTSSDETFQGRRKRKRKLNDFFERIMKEVIEKQEELQKRFLEAIEKREQEWSAREDAWRMQEMQRINSEREILAQERSMAAAKDAAVIALFQKIAEQQNLGQALNNINISSQQHAPPATTPAAVPAPTQFPMPAPAQTLEQPMELVVLAPQQHEHEQQQQVSNIEIVKANNGDNMMRASSPRWPKVEILALIKLRTSMDDKYQENGPKGALWEEISSLMKKHGYNRSAKRCKEKWENINKYYKKVKESHKKRPEDSKTCPYFHELDALYRERRNVQNSMNHDSMMPLLMVQPEQQWPPQQPPPSALPDLTMEDAQNDPMNNQQHNEEDNDDDDKNMDDDEGEEDDEGDKYEIVASKSDSVGASAE >OIW07459 pep chromosome:LupAngTanjil_v1.0:LG07:19086926:19098370:1 gene:TanjilG_24321 transcript:OIW07459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFAKRFLEKVVLNHSNLNDRDGRLKVIGGDNIEGILISPKQLPYKFLEFLQNQGYLIGVLNDNDIQLNDRDGRLKVIGGDNIEGILISPKQLPYKFLEFLQNQGYLIGVLNDNDIQVWSLESRSLVCSSQWESNITAFSVISGSHFINVGDEHGILSVIKFEAEEGKLLKSSYHLSAKFLKEAAGLSDPSDDPIVGILTQPSSGGNRQDISSSCSLVALLLCRLLIAFQDGLIILWDISEARIVFLGGGKDLQLKDGGGNSSTEVDTNVPNDIVEHNLGDREISALCWASSTGSILAVGYVDGDILFWNLSSAATSKGQHTSSKNIVKLQLSSAERRLPVIVLQWSNNHKSNSDCAGQLFVYGGDEIGSEEVLTVLTLEWSSGMETVRCTSRADLTLSGSFADLTLLPSPGASALNSRDGLFVLTNPGQIHFYDNDSLSALTSQEKRTSSASAIDFPALLPMTDPSLTVAKFIKLPSESNSSKVLAEAAAVLRTDSTLGSATRSNWPLTGGVPSQLSTAEGAAIERVYVAGYSNGSVLVYDATHPVLSCICYIEGELQGIKVAGSSAPVTKLDFCPVSLLLAVGNECGLVRIYNLKGRDNGTKFHFVTETKSEVHESPQAKGPHCSAVFCLLGSPVQALSFSSSGTKLAVGFLSGRVVVCDMTSSSVMFLIDGVPNSTSAITSLVWKEQAHFLSALNILNQSETNSGNSHEGILFILSRDGKVNVVDGHTGKMISSQPLHVKESTAISIISALEASNEKQHEEPVKNTASANEPLLESKPANVSSSEAEPSPSESISSGDLLLDPLVLLCCENSLRLFSAKSLIQGNEKTIRKVKHIKSCCWTTMFMKDGKLCGVLSLLQTGTFEIRSLPDLQLVAESSLLSILRWNYKVNMDKTMCSDDNGHIVLTNGYELAFISLLAGDNEFRGLEQLPCLHDQVLAAAANAAFSLSSIQKKERTIGPGILGGIVKGFKGSKTSSKDLAEISTSNFAHLEDIFLKPPLLDSPLSVSDNNEVELDIDDIEIDEPIPKVSTSSKNVKNKQKDKLSDREKLFQGGTKDDITPRVRTREEILATYKKTGDAASVAADAKNKLLERQEKLEVEIYMVSSFKLTKLQ >OIW07485 pep chromosome:LupAngTanjil_v1.0:LG07:18290164:18290373:1 gene:TanjilG_14431 transcript:OIW07485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSREWEDECRTPRLLQNQIPAVFICPPPPPRKKPVAGKRRSPPKEGYFNPPDLDTFFAIPARKEACA >OIW07569 pep chromosome:LupAngTanjil_v1.0:LG07:17761549:17765091:1 gene:TanjilG_08456 transcript:OIW07569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQQSLIYSFVARGTVILAEYTEFTGNFTGVAAQCLQKLPSTNNKFTYNCDGHTFNYLVDNGFTYCVVAVESAGRQIPVAFLERVKEDFTKRYAGGKAATATAQSLNKEFGSKLKEQMQYCVDHPEEISKLAKVKAQVSEVKGVMMENIEKVLDRGEKIELLVDKTENLRSQAQDFRQQGTKIRRKMWFQNMKIKLIVLGIVIALILIIVLSICNGFRCGK >OIW07874 pep chromosome:LupAngTanjil_v1.0:LG07:6981819:6982307:-1 gene:TanjilG_19975 transcript:OIW07874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDSILEFMTQAASSSAFIFCFCNLIIVIILVDLKPSLSIHQQSEIPLHIVQKGTGSLPQEAQVSQVQEEKEAELDEETKEAELDEEAKEAELDEEAKEAELDEEAKILAANNIEIKGNDDWNNEEEESGEVEEDDELKRRVEEFIEKVNRGWKQELLSTSL >OIW08467 pep chromosome:LupAngTanjil_v1.0:LG07:2669065:2671517:1 gene:TanjilG_03143 transcript:OIW08467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKGGNGNRVVVVGGGVAGSLVAKSLQFVAHVTLIDPKEYFEIPWANLRTMVEPTFAERTLINHRDYLTNGNIVTSNAINVTETEVLTADGSQIAYDYLVIATGHADHVPKTRSERLDQYKAENLKIKSANSILIVGGGPTGVELAGEIAVDFPEKKLTLVHKGSRLMEFIGAKAADKSLNWLKSRKVEVKFEQTVDLDSVKDGHKIYQTSHGETIEADCHFLCIGKPLASAWLRETVLKDNVDSRGSIKVDEFLRVKGRNNIFAIGDITDVPEIKQGFLAHKHAEVVVKNLKMIIDGGRERKLVTYKPLSSVLAIVSLGRKDAVAQFPILTISGRIPGIIKSGDLFVGKTRKQMGLSS >OIW08329 pep chromosome:LupAngTanjil_v1.0:LG07:3826054:3826425:1 gene:TanjilG_03005 transcript:OIW08329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSNAASTSTVISEESNKENIPPPPPDCKSNKAKGKGKKKKKPIQSKAKGKSFKKKSGSGKKRNPKRIPLTDITDLVNNSSTSAPSDQQELNNPVSDAPSPSIPRRKKKPSHTSSKSLRMGFR >OIW08730 pep chromosome:LupAngTanjil_v1.0:LG07:819934:823488:-1 gene:TanjilG_03406 transcript:OIW08730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLQPSCYSSFSIVSSFSTIAFKNTKLYCAKNTDNAESSEQTSTETASQVDPVKLAFNKAKAYKQSIKQENDVDGGKKDLEASVKIAMEKAKKYKQNKGVGVSDTADETTQGLRMGSEKTSGKTFIDNNSDGKKRGLSVSKLDFVGLDFADKKTTRGLPPGLVPISDSFSDGDFSEVELIVGDSTKFDAATDQKPGQTKEDEAQFYKPKVSTWGVFPRPGNISKTYGGGRVIRPGEVLETEEEKAVKEARTKQLLAAYNKEVGLNIDPKLKSECEEVLKDGDLLMNAGKLKDALPYYEKVMDKLTFKSELHGLAALQWSICQDSLNRLKEARSMYEKLQSHPSAKVNKRARQFMYSFQAMEMLKVKTGSPFYLKNTGYQNFFDAFIENKSDYPMEDDVVQESAMNQVLLYILFLVSPIFVVLLIAVQKKL >OIW08521 pep chromosome:LupAngTanjil_v1.0:LG07:2334453:2342704:-1 gene:TanjilG_03197 transcript:OIW08521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPPVARSRGRPRKRRRNDEDKPVAELKRQVVETRLIALVGRFVLKKFPRNGFFLGKVVYYECGLYRVHYEDGDCEDLDSSEVRAILVNDSDFDAGLTRRKVKLEELVSQISVKVADEKNKGSVDHDKEDSKVDVPVSSESNNDEQDGEGDGGDSRDSGVGLGEQGSGTESETLSLPPPLQLPPSSGTVGVSDQYVSHLFAVYGFLRSFTTCLFLTPFTLDDFVGALNCQVANTLFDAIHVSLLRALKHRLETISSEGSELASKCLRCNDWSLLDTLTWPIFAIQYLVINRYTTGPEWKGFYNEIFTGEYYLIPVSRKLIILQILCDDVLDSEEIKAEMNMRKESEVGVDYDTEDTPPVEIRPRSHHQRYGKTSAREDKEAMKFASVSNVVNQPGNSLSYFRDTESTEDGDVDRNGDECRLCGMDGTLLCCDGCPSAYHSRCIGVMKMYIPEGAWYCPECKVNMIEPTIVKGTTLRGGEIFGKDLYGQLFVGTCDHLLVLNTNDDKSQLKYYNLNDIPKVLQVLYESLQHRTLYHGICMAVLQYWNVSGSFLPLSVSTGTNVNSVIKEETDFSTSLLPPSGEVNHNPVNLVNGEYPLTTPSSNHGDIAVLSLDASSVTTQSPAPESLYNSRSKECPIVDTKLPEETRMESIISFCSVSHQSDVNCHHSVDSSISMDHAKCSLVNRQYSNPSHANDMGLPTNCSVQTKESPQVGFGKWEHNITNSFRYMGFSYKPLAYINYYMHGDFAASAAAKLAVLSSEESRSESHVSDNQRKSTAAIVYLQAKAFSLTASRFFWPSSEKKLVEVPRERCGWCLSCKSHVSSKRGCMLNHAALSATKSAMKFFAAFSVLRSGEGNLPSIATYIIYIEECLHGLIVGPFRSASYRKQWREQVEQATTCSALKLLLLELEENIRTIAFCGDWVKLMDDCLVESSVIQSAPSSLGATQKRAPSGRRYKKRSASDEAKVDATPESFVWWRGGEFMKFVFQKAVLPLSMLRKAARRGGRRKVSGIFYTDDSEVPKRSRQLVWRVAVQMSRNASQLALQVRLLDSYLRWNDLIRQEQNIQDGKGQETEASTFRNANICDKKLVEGKGCYGVLFGSQKHLSSRVMKNVVEIEQGSDGKGKYWFSETRIPLYLVKEYEEANAKVPSGEECLDFVSQLHKRRLRTKCKDIFFYLACKRDNLDTFSCSVCHMGVPIRTTFQCNACQGYCHEGCSISSKIFTNEKVEYLTTCNHCHHAKLLALKETSNEFPTSPLLLRERENGSLTILKGPMPKCYDQVHKSARTKASSPGINQVGSVSVLRGPRSRCDDQPLTSTRTKDSRPGKKQVASDSSSAAKSRHRNSSWGIIWKKKNNEAVENSADVDFRLNNILLKGGSGMPQIEPVCHLCRKAYRSDLMYIFCKTCKNWYHAEAVELEESKILDVSGFKCCKCRRIKSPECPYSDVKPKTQEGKKSRSRPMASKKEHFPADSDSGTFSDMKECEPATPVFPVDDDPLLFPLSNVELITEPTLEVDIEQNTVSELGPQKLPVRRQVKCEGDEDLDVEWNTVSVSGPQKLPVRRQVKNEGDGYDSFGGNSLHAEFSTHNEPDNLSNPAWGSSTPLEYDTGVCFDSNLMNDSESLNYELMDFEPNTCFSLTELLQPDGSSSQFDGVDASGDLSGYVENSGTLVPEECGGVSLVESSKPAISFEDDNFYFCWQCCQTEPPPDLFCETCGMLIHSQCSTWAESPSRLGNWRCGNCREW >OIW07940 pep chromosome:LupAngTanjil_v1.0:LG07:7769129:7781153:-1 gene:TanjilG_20041 transcript:OIW07940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSTNPTKNPKNLYHIGGLQVEFPYKPYGSQFAFMGRVISTLDRALREGHSHALLESPTGTGKSLSLLCSSLAWQQNYKSKHKQQHEPAPEAAIDALGYGGGFVPDEEVSSASDNVEQTEPEANDKKQKKKEAPTIIYASRTHSQISQVVRELRKTSYRVPMTVLASRKHYCTNKNVLGKETIDEECKLLLKDQNVGCPEFKNAHKVKHHPSLQKGGCNEVHDIEDLVKVGLGVKGCSYYAARNMADDAQLIFCPYSYIVNPVIRGAMDVDIKGAIVILDEAHNIEDTTRDAGSVDIEDDVLDKLQMELQYLCSENAAIYQPLYEMSQGLTSWIERNKNKLEKRDFQHYVSCWTGDKALRELEEANISKQCFPILVECATKAIKIATDLEVKVPHLSGLSVLTLEGLFSSLTYFFSRNGAHMLDYQLALQQCVRKDTGRVVGNWMYTFSLWCLNPAVVFRDVADLSLSVILTSGTLSPMASFSSELGVQFETSLEAPHVIDVDSQAWSAVISTGPGNYPLNASYKTADVYAFQDAVGRSLEEIFKIVPGGCLVFFPSYKLMDKLCRRWSETGQWSRLTAEKSVFVEPRGGNQDDFELALKGYYDSIHQGKTPASGRKRRIKKVDINHFYAAADSQENSKKGGAALLGVCRGKVSEGIDFTDDNARVVIIVGIPFPNINDIQIALKKKYNDTYKSSKNLLSGSEWYCHQTFRALNQAAGRCIRHKLDYGAIILLDERFREERNRAFISKWLRRTLRVYDSFDVSLDGLKSFFKDAKERYGMNMASITQNLGSDGDQNMDQNKWVTVKKNTKSKKSGNEEKETSMVEDNGSFPTLSCQDLAESKPSPQRNRNKYDCNDHINPQCSNQIEPRFTGELSVAMTKEEISIVKESPCIDVNIYPGSPGSKDDNCGSTIIEPPAHFLDHLPSHSISFCGQKSSRAQSLITVTPEKSVVANNIPEMESSFNLSVNSHNQKRRKPILTPFVNLIDDEQYIAPCASTLTRHSNSHGAENGFERNFESNSPHLLTTSLAGACSSTAPLMNKRLQIFCSHCKNPLGRSENRLYLTCSLVSSSKVHLRSLLQQNLETVHADTLESVSIIITDSSFVDQRICNRIPKSAPEQGIWCPEDGCVFSTIFCPCCTNANKLLGVQVMATNSSNTQLLDKVLFFFDCLHVKNNEESWKGASEKLDLLLVNDSDNGGIVFSNSIDKYAYHPQPEKSEVWKSRKSKQRLNGLTCAKHQS >OIW07793 pep chromosome:LupAngTanjil_v1.0:LG07:11130835:11132382:-1 gene:TanjilG_31985 transcript:OIW07793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSQRSLCTNTWARSSSSLPAPGNGLQTNISLNPPSLLDFGFQNNQNKWDDSSMSDYGVRVEAAFKEFNQSSQKGQPPFPYDSNNQIKNPDQESRLPSDSSQTFRLPDWDPCAMLNNLSFLEDKIHQLQDLVRLIVSQKGQLFGHPTQHVTQEQQLVTADLTSVIVQLISTAGSLLPSVRHTLSNSSPLVGQLNQLHEITTPSASGSRSIQPQNNSSNKLPDQSIPSNCKMELNCNMEEHELRDEEDAEDGENLPPGSYEILQLQKEEILAPHTHFCTICGKGFKRDANLRMHMRGHGDEYKTPAALAKPHKESGSQPQLIKRYSCPYGGCKRNKEHKKFQPLKTILCVKNHYKRTHCDKSYICSRCNTKKFSVMADLKTHEKHCGKDKWLCSCGTTFSRKDKLFGHIALFQGHTPAVPFDDTRVPASAPDQDCDKSNKEGSMNFSFGSNHSSGNGVQNARDVKGSIDVPINYFSSNFETNFGGFSEFTQPPFEDSETSFSFLMPGGESSSDNLL >OIW08633 pep chromosome:LupAngTanjil_v1.0:LG07:1454573:1456574:1 gene:TanjilG_03309 transcript:OIW08633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGCVFVGSLPKLKDNDTSVPPLCLSSNTSQFRYTWGRKGHLSANRMTRIIKDIVAMNYSNVRWYVFGDDDTIFFPENLVKTLSKYDHRLWYYIGAHSESFLASQYFSFGMAFGGGGFAISSSLAKVLAKVVDSCLERYHYLYGSDVRTYSCISELGAGLTYEAGFHQVDMLGNTFGLLATHPITPLLSLHHVNHTDPIFPKMTNIKALQHLFKAANVDSQRILQQTVCYDKKLVWTVSVSWGYAIQLFPYNMPLPEVLKVPKTFKPWRNGNVMDDAYNFNIRPIQHNPCDRPVVFYLDNISSDKNGTITSYKKSSRNCRSHMPSLKKLKRIKVFSTKLDLDINQLRSPRRQCCDILPSNAKDLMKIGIRECGDEELIVIKW >OIW08461 pep chromosome:LupAngTanjil_v1.0:LG07:2744919:2746724:1 gene:TanjilG_03137 transcript:OIW08461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDPVTAVTGITYDRESIEQWLLKSKNCMCPVSMQPLPRSSEFLIPNHTLLRLIHAWCLANEAKRVDQILTSVIPLDKTHVQKLVRDLEVPHLYQSVLERMIVLATESERNRMCMVEEGVTKTMVMLINKSFKEGKTTCLEEVIKILRLLWNTQTMAHSNMQPLIGDFIKSLTWILKLKVDNNVKLVNKAMPLLKSTIEVYDSNRLGSLNIEFFREMVTVLRKKKSLSQPAIKSALHVLIETCPLGRNRTKIVEAGAVKELIEIELEKPENKNITELVFNLLAHLCSCVEGRKQFLLHAAGIAMTSKRILRVSHATDDRAIHIFALIAKYSGSNEVVREMLRVGAVSKLCMVMQADCASYLKEKARDIVRLHSKVWNSSPCIHVYLLTRDQR >OIW08043 pep chromosome:LupAngTanjil_v1.0:LG07:9400778:9401617:-1 gene:TanjilG_20144 transcript:OIW08043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRYELELKIASARNLKNVNWRHGPNKPYAVVWIDPSNKFSTRVDESGDTEANWDQTLLLSLPQGPIEDFTLFIDIVHAGSEEDTKRLIGSARLKLADVIDDGGIGERVNRTLTLKRPSGRPHGKVDVRVVLINKSYHAPGEYYAPPYGVPPPAWESRNYGAAPSSGYPYGGGYNAPPQTGSYGAPYGGYNSAPQTASYGEGGYGYGAGAGAVGEKKSKFGGMGTGLAVGALAGVVGGVALVEGAEYVEDKIADDAAEKVEEDLGYDDDGGDFDGGDF >OIW08015 pep chromosome:LupAngTanjil_v1.0:LG07:8981075:8982329:1 gene:TanjilG_20116 transcript:OIW08015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMKRRQRESVRDGKGGRDRISDLPDCVLLYLMTFMDTRHAVQTCVLSKRWKDLWKHLTNLILHSDDFRNLRIFNKFVSCILSSRDGSISVHDLDFVHDGCTSIRLLNRVSKYAASHNIKELTINTELNLKQDFELPHSILSCRSLTYLDLSIENNSVRDVKLPKSLELPALKCLYLTFVTFTTSDNGCAEPFSKCKVLNTLGIELCSVQNDAKLLCITNSNLSSLTFGTSYAKEESYKVSLCTPKLSSLTTNGYPVHEISSTCKLPFLEEGNILFNYFDDFPRSSSLLMGWLKVFANVKRMKLSSRALKIINDLSNQGSMTIQPPCFVKMKSLTVEMYPYAHLSTDEVRKTVECLLQNSPLAIDGVINV >OIW08296 pep chromosome:LupAngTanjil_v1.0:LG07:4178237:4179110:-1 gene:TanjilG_02972 transcript:OIW08296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRGKALGSSSAKKATSRSSKAGLQFPVGRIARFLKAGKYAERVGAGAPVYLAAVLEYLAAEVLELAGNAARDNKKTRIVPRHIQLAVRNDEELSKLLGQVTIANGGVMPNIHNHLLPKKAGSSKPADDE >OIW07727 pep chromosome:LupAngTanjil_v1.0:LG07:12874448:12880926:-1 gene:TanjilG_11854 transcript:OIW07727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAMISRFWFMLFSAKEYKIVVVGLDNAGKTTTLYKLHLGEVVTTNPTVGSNVEELVYKNIRFEVWDLGGQERLRTSWATYYRGTHAVIAVIDSSDRARISIMKDELFRLLGHDDLQHSVILVFANKQDIKDAMTPAEITDALSLHSIKNHDWHIQACCAVSGEGLYDGLGWIASRVSGKAST >OIW07613 pep chromosome:LupAngTanjil_v1.0:LG07:17114670:17115358:1 gene:TanjilG_31172 transcript:OIW07613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSFSPSSSIIFHFLLLLSISYSAYSKSNAATIYEVLRDYGLPMGLFPKGITEFNANDDGKFWVHLDQACNAKFENELHYDRNVSGSLSYGKIDSLTGLEAQDLFLWFPVMSIRVDVPSSGLIYFDVGAASKQFSLSLFETPPDCVAVTPENDADFVNAYQDMIDDGVGHGQFSVVVVWLVDGLVLNKNYKSLVVK >OIW08286 pep chromosome:LupAngTanjil_v1.0:LG07:4297032:4297517:1 gene:TanjilG_21752 transcript:OIW08286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLKLFTTLFSFSLSLLFSFPFSLSSSSSSIHDLLRSKGLPAGLLPEEVKSYTFSEDGRLEVFLDAPCLTMYENRVLFEREVTANLTYGSLIGVEGLQQEELFLWLPVKDIIVNDPSSGLILFDIGLAYKQLSLSLFEDPPHCKPQGKFNHTCFLLLMTM >OIW07865 pep chromosome:LupAngTanjil_v1.0:LG07:6884319:6885292:1 gene:TanjilG_19966 transcript:OIW07865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRVKPYKLEEHVRGFSSADWGFSGRNPNENVNVRPDFMRDRVNLNPISETVNARPDFIQDRENINSRSENDAGTGRTSRPMDFVRGIIEDDGKGFMGGSHYSRFHEEQDADFVHIKMQRNNTFVTVTDNKGNVKLSGSAGSLKEMKTGQKLSRYAAEATAEVVGRRSRGLGLKSVVMKVNGFTHFRRKRQAILSWREGFADSRGDRNPIVYIEDTTRKPHNGCRLPKKRRI >OIW07551 pep chromosome:LupAngTanjil_v1.0:LG07:17574943:17576474:-1 gene:TanjilG_08438 transcript:OIW07551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESISSRVDVPNSRQSSKRLLFDRRYGWVIDEWKDPSEEALDGGRGMFCIVPLAKASVQMASQAINLAAISAKKASERPELFSQQMLQSALDDGFRNFMSFLKNAGSNGFIVKKNPQPQASDSAPHSNIESNE >OIW07873 pep chromosome:LupAngTanjil_v1.0:LG07:6975399:6980047:1 gene:TanjilG_19974 transcript:OIW07873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEREIFGSEHVVKANEATDSFHVAPRTENVVQFSGTAAELPDVALVSTVVTPGTEGKKKRGRPRKYGPDGKVVAAAMALSPMPISASIPWTGQFSAWKRGRGKSVESMKKSNFYEVENAGPGYGIACSVGANFTPYVLTVNAGEDVTMKIMSFSQQGHRATCILSANGTISNVTLRQPTSSGGTLTYEGRFEILSLSGSFMPTENGFIKSRSGGMSVSLAGPDGRVMGGGLAGLLVAAGPVQVVVASFLPGQQLEQKSKKPRIEHISTTAPTHVNPISAEEIKISFGGVKPIMMTPAAFQVDNNFGSYNNVQGSRNSSADDEAPLQEKGSNPSILDAGGVAC >OIW07566 pep chromosome:LupAngTanjil_v1.0:LG07:17736783:17740110:1 gene:TanjilG_08453 transcript:OIW07566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCLSCFSSQEKKVTKRPNNGNRTEYSAAPPKESAFQSQQWQDNNYHNARGSPKREPKVNKEANKDTGNNNIAAQTFTFRELASITRNFRQECLIGEGGFGRVYKGKIEKTNQEVAVKQLDRNGLQGNREFLVEVLMLSLLHHQNLVSLIGYCADGDQRLLVYEYMPLGSVEDHLLDLHPQQKPLDWFTRMKIALDAAKGLEYLHDKANPPVIYRDLKSSNILLDNDYNAKLSDFGLAKLGPTGEESHVSSRVMGTYGYCAPEYQRTGQITVKSDVYSFGVVLLELITGRRAIDNTRPSIEQNLISWAYPVFKDRHRYPELADPLLEKNFPMRSLDQAVAVAAMCLNEEPSVRPLISDVVTALSFLGTQDPALLPPIDIPASPRQEQETNNAATLTLLDNDSVMERQRAVAEAIEWGSNSRNKAANMRRSGSSLQFHYNCIQND >OIW07456 pep chromosome:LupAngTanjil_v1.0:LG07:19146383:19150408:1 gene:TanjilG_24318 transcript:OIW07456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISFQAWPQPVVRVQSLAESGLTSIPTCYIKPHSQRPTNLNTTFVPNHVASQNDDPIDIPIIDLQDLYGEDQTLRDEVLRRVAEACKEWGFFQVVNHGVSHELMKSARELWREFFNQPLEEKEVYANDPITYEGYGSRLGVKEGAILDWSDYFFLHYMPPSLRNQAKWPSIPQSLREVINEYGEEVVKLGGSVLKMMSKNLGLKEDYLMNAFGGENEVGGCLRVCFYPKCPQPDLTFGLSSHSDPGGMTILLPDDFVSGLQVRRGNDWITVKPVPNAFVINIGDQIQVMSNAIYKSVEHRVIVNPNQDRVSLAFFYNPKSDLLIEPAKELVTNEKPALYSPMTFDEYRLYIRTKGPCGKAQIMESLTSQT >OIW08294 pep chromosome:LupAngTanjil_v1.0:LG07:4191807:4195129:-1 gene:TanjilG_02970 transcript:OIW08294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEESIGLIIGGSIGVVIGVILAISAVFCFRYHRKRSQIGNSSSRRAATIPIRTNGDDSCIILSDSTIGTESPVKSRRNGMFFWLDGFKKSNMFSASGLPKYPYKDLQKATYNFTTVIGQGAFGPVYKAQISTGETVAVKVLATNSKQGEKEFYTEVMLLGRLHHRNLVNLIGYCAEKGKHMLVYVYMSKGSLASHLYGEGNEALSWDLRVHIALDVARGLEYLHDGAVPPVIHRDIKSSNILLDRSMRARVADFGLSREEMVDKHAAIRGTFGYLDPEYISSGTFTIKSDVYSFGVLLFEIIAGRNPQQGLMEYVELAAMNAEEKVGWEELVDSHLEGGFNVQEFNEVAVLAYKCVNIAPRKRPSMTDIVEVLTRILKSRHHRNHHNKTLSSTSDEVYIDLDQTGNKNSVTDHQRQESVDSTTDNEV >OIW08307 pep chromosome:LupAngTanjil_v1.0:LG07:3993221:3998355:-1 gene:TanjilG_02983 transcript:OIW08307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQLLSPVYTEALKVQNPSLSFCSSSSWRMLPKTASTWNFVTNSGKRRGCGRVRVAAEDSFSLTDTVADDYYAVLGLLPDATPAQIKKAYYNCMKACHPDLSGNDPETTNFCTFINEVYAVLSDPVQRMVYDEIHGYSLTSINPFLDDSSSKDHVFVDEFSCIGCKNCANVAPDVFAIEEDFGRARAYTQCGKSELVQQAIESCPVDCIHWTSAAQLSLLEDEMRRVERVNVALMLTGMGSALNDVFRMASSRWERRQSKVLEQARLRMMNQKGSNNSDSYWDNLWGKPKDYQTSEEEVKEREKRAAAAARRWREYSRKGVDKVPTFKLPEAASNNDK >OIW08314 pep chromosome:LupAngTanjil_v1.0:LG07:3936294:3938597:-1 gene:TanjilG_02990 transcript:OIW08314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILQNLMEEKQLDFYQPLLSVRRFPSTAASENDNKRKGDMSSAELPPLPVYKSELKSGPMRNPGAVPFVWEQTPGRPKDENKVQTQWPSVTPKLPPGRVSKVKQQHCDEVSKARSVRQSRTGSTVSSPQIVATLDKEVTKQESLEEAIQEKASSGSDDEDENYVDAVDTLSRTESILMNCSVSGLSGLDDQEAQPCGTFSTDKQARDFMIGRFLPAAKAMASETPRYTSRKALVIQEQSNQVNKLGCGENSRPLNPKWQKVLPQYAQDIVREESEDESDDDVSENYAPKVCGLFPRFCLLNPILGLRMEDGVLNSAGHRMQGKSIASYRRTAKEHAKIAYHGKKSVDSPSGFTQEKDFLNIPEKSKHGIDPHRRGFSKVLDRESTQCESSCDSPVAEKTLYVDSVHVKYPTSYSSETKGSTNHGGDDLETLRKDDGIDKNPSVDCLLEDSKNLGIVDEKATLQTKSSVSLDSSLACSDNSSNDVQMEMKNHPNKIYSERQGFTNPGYQGSNLDHNLVATSSPKMVEIGKIESESEVPSSKKRSSGLIQKPVSWNNSKLASDLEVGLKSQQATKDQECRQDSRQDPNTLASSKVVGDGKIGLERKSPMKLGHRQTSDASSLKLPLALPLPKAPSESWLTRTLPTVSSRSIPSWSNLTSNNHALTQSPKTALVDPKWEIIVKSSNVLHGRLRFSEEPLAPIPEA >OIW07706 pep chromosome:LupAngTanjil_v1.0:LG07:13116542:13123957:1 gene:TanjilG_19649 transcript:OIW07706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRAILKKKRSLLNALGQPTHARFILGFSSLGHGQPFESRDLDGLSQFPRNPSASTGHRPETNKISVNKDDVAASAVSKFLSHSSFGVSTNGYRIEKINFISLQRSWLVSQCTRHISTTPADQHGLGSGNERKEQSPAKQKREASPEDCDEAVEGLSTVKAQAKAKLQECHKIDEPILKRLWAKILGIGPAFRAILSMSRDDWAKKLSHWGNELKSTLQHYWFGTKLLWADVRISSRLLSKLANGKSLSRRERQQFTRTTADIFRLVPFAVFILVPFMEFLLPVFLKLFPNMLPSTFQDKMKEQEALKRRLNARIEYAKFLQETVKEMAKELQNSRSGELKKTAEDLDEFMNKVRTGARVSNDEILGFAKLFNDELTLDNISRPRLVNMCKYMGISPYGTDAYLRYMLRKRLQEIKNDDKMIQAEGLKSLSEAELRQACRDRGLLGLLSVEEMRQQLKDWLDLSLNYSVPSSLLILSRAFSVSGKVRPEEAVQATLSSLPDEVVDTVGVTTLPSEDSVSERKRKLEFLEMQEERIKEEEEKGEEEQAKVSKSIGSETDLALKETTISTTKQTEEEAKTKSWEKREQLCELSRALAVLASASSVSNEREEFLRLVTKEIELYNSMVAKEGNEGEQEAKEAYKAARKDSDSALEVAVSDKVSSALADRVDAMLQTLEKEIDDVDAKIGDRWRLLDRDYDGKVTPEEVASAAMYLKDTIGKEGIQELISNLSKDNGQFFMSCLSFFSHCPNRISIAT >OIW08811 pep chromosome:LupAngTanjil_v1.0:LG07:288910:293523:-1 gene:TanjilG_16392 transcript:OIW08811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMVHLIYGILLILSMSFCVVLSFSSGCSYHQYFRQSNRRFEQKTDRFWKFSEQAETWVEVELPYDLVSSVNSECAEVNKREEIFDQEHELDEKKNSLDNKDVILPLRKRISLTKMSETSIWITGESGSIYERFWNRLEWVIAPHDLPISAGRAITVFIINQLVLALSEAGNLYQMKLHLGEAPHPQPVWVEITPKLNPITDNDPEKNPMTLMKSGVVSHDGQRAYFCTKNGTLVEFCGSELDPPRWTNHGQPAGANVAAIADVASTREVVYTISSAGDLYEYDKKSKPAWKRHIWQDRVAQFAPLVPSKGCVMYGLSGDHSESLFLLTKEGTMVERRLHQRKWKWVVHGSPPHQNLTSITPTLQDESSEAFISLFFTSSAGSVFEYQMPKQLGTVQNNQFPGAWVSHEHPLHAKVARGIIGLPLQVGRILFPLDDGRLAELHLPGLGGESSGPSLSQNFRRKATMKYVWSILDAPESEGWNAEYCTEERGPRNCVIGIKDESKDSGISSITSRRKQSQAQHYYLSLDTSSNELTKSSEEYTLPDDWTSSNFRLWLMYEGKSFFLITKEGLIFEYICVESVWVWLRHESSTAMNGIVGNYNGSLFMVDTFGSLLLREWNNNEIAWRNCTSLKKGRNIIGGQPWDRLPGKARKVTSEDAIFFVSKNGRLLQYMVYMRKFKWKDCRSPPSVKVACIVDQELYRENIVLVIGRNGRLYQYNKVSELWHEHYQSQHLILSQFPGSVLRPSSKSLSGSLFMLSIEGGLVEYHWDTWYGWNWVEHGTPDKGVTLVGSPSPTFEGNQLLLIGSDGKVYLRYMDTKNTWKWKDCGFPYMGNKMVEDSASNLMMKDQEKNLAELNSKCDPKVASTRPIPFSEGSVIFELRDGRFSKWLVSKVLWYNANISTYMAAGRNTTYGGE >OIW08351 pep chromosome:LupAngTanjil_v1.0:LG07:3679919:3681264:1 gene:TanjilG_03027 transcript:OIW08351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPPATTATAAKRKPVFIKVEQLKPETNGHTLTVKVVSSEPVKSIPNRASRSSSLLARPSRPSRIAECLIGDETGTILFTARNEQVDLMTPGSTLILRNAKIDMFKGSMRLAVDKWGRIEVTEPASFVVKEDNNLSSVEYELVNVVEE >OIW08293 pep chromosome:LupAngTanjil_v1.0:LG07:4204176:4205936:1 gene:TanjilG_02969 transcript:OIW08293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSQQLFNFCITTPEGLPYTSTYPTFPHLPNQLLESLKTDIRNSPNSALSTHFDSDTLSVLSDSQEQHNSVEFLSSASPSCNSLLETNHYLHRPASSVDHLRDGLHLDCVRSSLPQDVNCDRETRNTLKELESALMAPDGDDDVTTSNTSLSDSNRPSTSGQRNRSWSHERPGSEYIQSHRSYVLSSRQSTEIPRAEKRHKTMEEALPEDLPSNSLKQLFLLCAIALSENNMIDFDQLIRKARSVVSISGEPIQRLGAYLAEGLVARKEASGSNIYRALNCKEPVGKDLLSHMQMLYEICPYLKFGYMAANGAIAEACRKEDRIHIIDFQISQGTQWVTLLQALAARPGGAPHVRITGIDDPVSKYARGNGLEAVGRRLAIISEKCGIPVEFHGVPVLAPDVTRDMLDVRPGEALAVNFPLHLHHTADESVDVSNPRDGLLRLVKSLSPKVTTLVEQESNTNTTPFFNRFAETLDYYLAIFESIDVTFPRESKDRINMEQHCLARDIVNVIACEGKERVERHELFGKWKSRFTMAGFRQYPMSSYVNSVIRSLLRCYSEHYTLHEKDGTMFLGWKNRNLISASAWH >OIW08598 pep chromosome:LupAngTanjil_v1.0:LG07:1712335:1712646:-1 gene:TanjilG_03274 transcript:OIW08598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >OIW08434 pep chromosome:LupAngTanjil_v1.0:LG07:2979322:2980893:1 gene:TanjilG_03110 transcript:OIW08434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDLIKRIQTRQAEQLVENKRKTCPYFSTTVGFGPILAKFNANNVEYSIRAFPLGGFVGFPDNDPDSDIPVDDKNLLKNRPILDRVIVVSAGVIANIIFAIVIVFVQVIAVGLPVQEVFPGVIVPEVKPFSAASRDGLLPGDVILEVNGNEFPKPGPNAVSEVVDVIKKNPKRYVLLKVKRGEQNFEIGVTPDESYDGTGKIGVQLSPNLKLGKVRPKNIVEAINFTGKEFWGLSSNVLDGLKQAFLNFSQTASKVSGPVAIIAVGAEVARSNTDGLYQFAAILNINLAVINLLPLPALDGGTLALILIEAARGGRKLPLEVEQQIMSSGIMLVLLLGLFLIVRDTLNLDFIKEIL >OIW08753 pep chromosome:LupAngTanjil_v1.0:LG07:665437:666219:1 gene:TanjilG_03429 transcript:OIW08753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDGELDFSNQEVFSSPNMAEIPSSGSMDSFFEELLKENAHACSHTHTCNPPGPDFSHTHTCYHVHTKIVPASGEDQVATDDSAESSEKKSKKRPVGNREAVRKYREKKKARTASLEDEVVELRALNQHLMKKLQGQAGLEAEVARLKCLLVDIRGRIDGEIGSFPYKKPANSNLPIPNIPGSYVMDPCNIQCDDRVYCLGPGADGRVTEGASLNGEGFNGCEFENLQCELGLKDLLARGVGQTLSNVHSFASNKRKYDT >OIW08649 pep chromosome:LupAngTanjil_v1.0:LG07:1339420:1341891:1 gene:TanjilG_03325 transcript:OIW08649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHYNFKKITVVPNGKDFIDIILSRTQRQTPTVVHKGYAITRLRQFYMRKVKYTQTNFHEKLSTIVDEFPRLDDIHPFYGDLLHVLYNKDHYKLALGQINTARNLIGKIAKDYVRLLKYGDSLYRCKCLKVAALGRMCTVLKRIGPSLAYLEQIRQHMARLPSIDPNTRTVLICGYPNVGKSSFINKITRADVDVQPYAFTTKSLFVGHTDYKYLRYQVIDTPGILDRPFEDRNIIEMCSITALAHLRAAILFFLDISGSCGYTIAQQAALFHSIKSLFLNKPLIIVCNKTDLQPLDGLSEEDMKLVMEMKSEALKTVICEGGEASNDEGVLLTMSTLTEDGVIAVKNAACERLLNQRVEIKMKSKKINDCLNRFHVALPKPRDQKERPASIPPAVLEARAKEAAENEKRKTEKELENENGGAGVYSMNLRKHYILANDEWKEDILPEILDGHNVYDFIDPDILHRVEELEREDGLRQEEEEDDFEIDGTELTPEQQAALAEIRKKKSLLIQQHRIKKSTAESRPTVPRKFDKDKQFTTERLGRQLSSLGLDPSLAINRMRSRSVSRKGRKRDRSPESAHGGDGMDIDGDTPSKKQRLSRSRSRSRSVARPTNEVVPGEGFRDSAQKSKAVKLAKNSNKKRNKDARRGEADRVIPNLKPKHLYSGKRSNGKTDRR >OIW07809 pep chromosome:LupAngTanjil_v1.0:LG07:10807237:10808814:1 gene:TanjilG_32001 transcript:OIW07809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTDITASTKPEYPVIDQNPPFTKVVGNFNTLDYLRFVTITGVSVTVGYLSGIRPGIRGPSMVTGGLIGVMGGFMYAYQNSAGRLMGFFPNDGEVEQYKKK >OIW08675 pep chromosome:LupAngTanjil_v1.0:LG07:1169862:1170255:-1 gene:TanjilG_03351 transcript:OIW08675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKKLKDDQNCTSRNNTHDSDKDVHAGGHFNGHQGPVGSNVPIHRHRHPQLARKVTTLSTGAATSYLSSNPGDLRFGGGGPADNSLFHTSSNMNVTIAP >OIW07672 pep chromosome:LupAngTanjil_v1.0:LG07:14759145:14759351:1 gene:TanjilG_07714 transcript:OIW07672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERIGAMRPMPMDLFGEMEDQVSTMAMDVDDVDPLEIFADGVISDNKLADADFFNNFQDDFDDADIN >OIW07508 pep chromosome:LupAngTanjil_v1.0:LG07:18555483:18559066:1 gene:TanjilG_14454 transcript:OIW07508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEKSVMAVIRAARPSFRNQNDKIAFVVHASFLASGYILTATGPQALNDTAFYNSSNDEVSIDHWNELNDEYAFVYANPEKGSKKVVVKCVVMNENLIVDALAEGSSEPAHLVINVVEYAGEDGGSNFSQQFKNLEKLVKCIDSDILSKLDGSTAKASSSSNRSSETSDRTRQARYVPGAGFGRPADPPNFPSGIILPPVPGGSGSDLFPGPGAGMYPTRVDPGFGGSMLVGPNDPRWFDGIGGQPAFPGGLPGFPPGARFDPYGPPGVLGFEPNRFARNPRRPGNDPHPDLQHFRRDADSDYI >OIW07785 pep chromosome:LupAngTanjil_v1.0:LG07:11521760:11522539:1 gene:TanjilG_03572 transcript:OIW07785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGFSTVDGFVEISDCLAEMIKYVANEPSVGLFYIQQHTQNAVPNVVKLKNSVVDKSHETSLHMEDLEDSVTMVKSMKECGFPIADEMIGDIKKSLVTMTTKQPKRGLINRSSSNFQRPKASLWGNTSVYAQEVSEKRGNYFSSVFKFSKQNDGNLKSPQVDSTGSVDSMTEKPPLYNNLPSSAASASIPSLHSVETNELPPSSEVEDLSQSEQSDISNLGNKLLSLSEKYEDFKANKEAKLEEWLGGTSNDVDNSQGR >OIW08814 pep chromosome:LupAngTanjil_v1.0:LG07:306551:318765:-1 gene:TanjilG_16395 transcript:OIW08814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFVGKSVKREFEGIGIVCGTVKSYDSSSASVEIQYDNGLSEYFNSAQLPSLLQHDLHYHNPRKPIKRRRFRHSSEIGANSGNFSDDFVAEDLQIKGNLGVCAEIGSDFDTRLGNGGNLVGNVNSNGGEVIIGKSVRSEECLDHKSVSSNENGLDLNARINLNEDLNLNEGCTGSLKRRDSFDLNLGVDDEENSGNSDVNYLGCSGGLTLTEDRNIDLNMEVCEEVTETQGDEVNGNEHSLVYALFDKIEQTNINQKSIEGDSACGNLNDVSNANKLEVIHASTEHAAKDAATHLIVESEGDAGKGDISTIDSLRVTSAFSVKDSNYVEVQQTDNSPSDYLGSSRRKRRKVSDNLITTPPTLLRRSSRRASARNQVSGTMALQETDDPLSSGVPSSLTDEKPVTTSDEKYEQCNLPPPKLQLPPSSQNLDLDDLPVLELFSIYTFLRSFSTILFLSPFELEDLVAALKSETPTILFDNIHASILQTLRKHLEYLSNEGCQSASDCLRNLNWDFLDLVTWPIFMAEYLLIHGSGFKTAYDLNHSMFRTDYCKQPVNVKVKILQYLCDEMIEVEALRSELNRRSLATETDVGFNQNTYFDTFKKRRVVMDMSSASCSTEEIVDDTTDWNSDECCLCKMDGSLICCDGCPAAFHSRCVGIASDSLPEGDWYCPECAIGPHGAWMKSRRSLRGADLLGIDLHARLYFNSCGYLLVSDSSDTGSLFNYYHKNDIHVVIEVLKSMGTLYGSILMAIYEHWDIPANLSAGTSNLVVFKQSSCKNVHMSGNLNKNRSDDERNFDENPTVDCSTYPGQEFPKAGTHLDSMTTIESPFVASEGLADTTKIRSGIENVEISGICDSNGSGVSLNQFGIPEKHHPVGDCSLTSASLDVGHNINLRSVGVSCNPSTDNKDTSVVPCGIDFINYYSFARTATLVSEELICKSPEKINVMSEEDIISDQAKAIMKKSSNFCWPSIENLNGAAEKEKCGWCFSCKVANDDRDCLFNDVMKSVLDVPNNTLVGLQSRKIHNGHLRAIICHIVSLEDRLRGLLLGPWLDPHQTNLWHQELMKTSDFLPVKRLLLLLESNLRHFALSADWLKHADSVTTMGSSTHIVVSSSRTSSRHGIARKRARYSDVESNSSSKAASGLGIYWWRGGRLSQQLFNWKSLPRSLVTKAARQAGCKKIPGILYPENSDFARRSKYVAWRAAVEMSTSVAHLALQIRELHSNIKWHDIENSHPQYVLDKESRKSTRLFKKVIVRRKCIEGESVKYLLDFGGKRRAIPDIVVKHGSLLEEPSSERKKYWLDESYLPLHLLKNFEEKRIVRKCTEKKHGKVIEIGRVKKRIPREMVFSYLFSKMERSDCQQCGHCNKDVPIREAVSCLHCKGYFHKRHVRRSHGTSTTGYTYSCHRCQDGMRVKANNTSRRRVDSKLQKIQSQKCKNLPSLCKSVNLKGNKKALSKAQQLRSQTNKKIPPSVPLRRSARKVKSLYLHRQMNRGHKKGIQSKKNVGRKKGTQSKSKKLTSQKPKATTAQCKTLAVTTLCKKRTNIYSSYWLNGIRFSTKPNDERVMLFREKKHVISSEDFSGSLDHCKCSLCCLDGCTSNYIACETCGDWFHGDAFGLTLDNARQLIGFRCHVCRDRAAPVCPHMKINALPRTESNMATECAEELSNPVSLQPLSVNDRARPVMQVYTRRSKHGIKRVERREEGGSGNSVREFLGPQQEVINMESKNEGEQNGNHNQLASCQASIGGEEDLGTTTAGRGAFGGIAA >OIW08718 pep chromosome:LupAngTanjil_v1.0:LG07:904265:905794:1 gene:TanjilG_03394 transcript:OIW08718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTKILFIGGTGYIGKFIVEASAKSGHPTFVLVRESSLSNPEKAPLIEKFKTLGVNLVHGDLYDHESLVKAIKQVDVVISTVGHLQIADQVKIISAIKEAGNVKRFFPSEFGNDVDRVHAVEPAKSAFEGKAKIRRTIEAEGIPYTYVASNFFSGYFLPNLSQPGATSPPRDKVTILGDGNPKAVFNKEEDIGTYTIKAVNDPRTLNKILYIRPSSNTLSFNDLVSLWEKKIGKTVERVYVPEDQVLKQIQEAPVPVNVILSIGHSVYVKGDHTNFEIEASFGVEASALYPDVKYTTVDEFLDQFV >OIW08551 pep chromosome:LupAngTanjil_v1.0:LG07:2072529:2073952:1 gene:TanjilG_03227 transcript:OIW08551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFQQQSSSLLNNLKMFVYDLPPKYNTIWLQNERCSTHLFASEVAIHRALLTSKVRTFDPYEADFFFVPVYVSCNFSAVNGFPAIGHARSLIASAVKLISEEYPFWNRSRGSDHVFVASHDFGSCFHTLEDVAIADGVPEIMKNSIVLQTFGVIHKHPCQEVENVVIPPYVSPGSVRKTMEKAPLNGRRDIWVFFRGKMEVHPKNVSGRFYSKKVRTVIWRKFNGDRRFYLRRHRFSGYQSEIARSVFCLCPLGWAPWSPRLVESVALGCVPVVIADGIRLPFSSAVNWSDISVTVAEKDVGRLAEILEHVAATNLSKIQRNLWDPRTKRALLFNNHVHEGDATWQLLHALSEKLGRSYSSSRVSRQLEIDT >OIW07948 pep chromosome:LupAngTanjil_v1.0:LG07:7841826:7843975:1 gene:TanjilG_20049 transcript:OIW07948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSNKLMTMFMSLIFLIHIPYFASSDLRTGFYSNTCPKAESIVRNVMKKALIREPRSVASIMRFQFHDCFVNGCDASMLLDDTPTMLGEKLALSNINSLRSFEVIDEVKEALEKACPGIVSCADIIIMASRDAVALTGGPFWKVRLGRLDSLIANQEDSNNIMPSPRANASALINLFQKYNLSVKDLVALSGSHSIGKARCFSIMFRLYNQSGTGKPDPAIDPDYRRELNKLCPLDVDQNVTGNLDATPVVFDNQYFKDLVGGRGFLNSDQTLFTFPETKEFVKLYSMNQSEFFKAFAEGMLKMGDLKSDKLGEVRRNCRVVNHRHSHLLLETLNREGSYM >OIW07607 pep chromosome:LupAngTanjil_v1.0:LG07:17187438:17195516:1 gene:TanjilG_29980 transcript:OIW07607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGMALACFLASTPLTKQLSVAIIDSNPALGSGLCIKKDDPPDPRVSTITPTSISFLQDAGAWKYVEQNRHAYFDKMQVWDYTGMGYAKYGARDVNKEYLGCVAENKVLHSALLSCIKDSDFKKTIYPLKLTSMTLNPSSMSMVDESVASRESSAQGSSAKLQLSDGSSIYAKLVVGADGGKSRVRELGGFKTTGWNYSQNAIICTVEHASENRCAWQRFLPSGPIALLPVGDNFSNIVWTMNPPESDNRKSITEEAFLKDINSALDYGYGLHPSSSLSGNRDILSWFKMNQTSSADEFFEIPPKVVRLASQRMVFPLSLRHANSYASKRVVLIGDAAHTVHPLAGQGVNLGFGDAFSLSKIIAEGIALGTDIGEVNLLKKYEAERKPANITMMAILETFQKAYSIDFGPFNFLRAAAFSGANYISPLKRSIISYASGEQKLPLFL >OIW08717 pep chromosome:LupAngTanjil_v1.0:LG07:907900:910824:-1 gene:TanjilG_03393 transcript:OIW08717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQCVNTTGNIGGICSDMTVLEIQRGRMKCQQENQEEGFFCGTQFNSSHCEDLMMMMGDGDSLKPDLGLENGWPELGRFNMEFGSSILPPASGFDVNSSISRTCSRDMASPTEMKETLKKRKPQKAHNSKVVAESVNKEKKIKVSGDEEESNMAELASNKNTKANTSKTNKETCGESSKENSKDSEVQNPKPDYIHVRARRGQATDSHSLAERVRREKISGRMKYLQDLVPGCNKITGKAGMLDEIINYVQSLQRQVEFLSMKLAAVNPRLDFNIDDLFAKEVFPACSPRFPNTGMQSDITTNPAYLQFNPAQLVSCFGGLLNMGINPSDVALRRTTRAPAPLPESFIDSSSCFTQILPSSTWEGDFQNLYNNVNFDQARTASFPSQPLTGVVDTSNLKMEM >OIW08096 pep chromosome:LupAngTanjil_v1.0:LG07:5722013:5723635:-1 gene:TanjilG_06639 transcript:OIW08096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWLSICLNESVNHDFAIRRFCPARMDQEQGRGGELQGQESVLDEMIELCSSDAATNAPTCLASSEVDEFVDSFINMDQYQYDNEDQVSLEKHQSFDHFLVKDEGDTYAFSKKMMNDALGYVATAMEGEESEIYENITIAMEEGEVEMHCVEEAGLGIDKGLDLVHMLLACAEAVSCRDTQQAELLLGKISVLATPSGDSLQRVSYFFATGLKCRLSLLPHNVFANGTLTTSTIAMNVPLISREDKLEAFQLLYQATPYMAFGLMASNEAICQASQGKSSIHIIDIGLEHTLQWPSLIRALASRPEGPPKLRITGLIANEDNPKLQISINVLVEEARSLGIPLEFHIISEPATTSVLTKEKLNLREGEALFVNSILQLHKYVKESRSYLKTILQSIKKLGPTALIVVEQDTNHNGPFFLGRFLESLHYYSAIFDSLEASMPRKSKDRMKIEKLHFAEEILNIVAYEGSDRMERHERVDQWRRKLGRTGFQVMPLKCTSQVRMMLSVYDCDGYTLSCEKGTLLLGWKGRPIMMASAWKVASL >OIW08065 pep chromosome:LupAngTanjil_v1.0:LG07:9629653:9630692:1 gene:TanjilG_20166 transcript:OIW08065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFNPTTNTSGNSINSNSFISDFSGSIGQKQHLLTTVLAPTTNYTPIGAPTSGLERFHHLHHHQQHGQQHQHPEEVQQEQKQHNQLHVQVPQTTSMVLPNIQTPKSRKRKSQQKKIVCHVTADNLSSDLWAWRKYGQKPIKGSPYPRNYYRCSSCKGCAARKQVERSTTEPNMFILTYTGEHKHPKPAHRSKSSTTHLPETQENGSPSSVDVISPTEPITTSLLVNMNSEEPESESGSDDEDVLIPNSMGHSEAVFLCSNSVPGLDEPERFISSRCPVLQIGKNNN >OIW08825 pep chromosome:LupAngTanjil_v1.0:LG07:363670:364161:1 gene:TanjilG_16406 transcript:OIW08825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGGAPAGRIIMELYADTTPRTAENFRALCTGEKGIGRSGKPLHFKGSSFHRVIPDFMCQGGDFTAGNGTGGESIYGAKFADENFIKKHTGPGVLSMANAGPGTNGSQFFICTAKTAWLDGKHVVFGQVVEGYEVVKAIEKVGSGGGRTSKPVTIADCGQLS >OIW08761 pep chromosome:LupAngTanjil_v1.0:LG07:23642:25571:-1 gene:TanjilG_16342 transcript:OIW08761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRKLMQALHYDSYGGGSASLKHVEVPVPSPNKNEVLLKLDAITINPVDWKIQKGLLRPLFLPRQFPHIPCTDVAGEVVEVGPQVKDFKVGDKVVAKLTHQVLLFFFFFPFPTVFQLKMITNSVFNQRVELEFSLLFGDLKNQGIFVIDIDFIPSIVCSRLLPLWALGEGLMLLCMEPPVNGRAVSAAKSRAPTDMTTTQSCSKIRQNLTGMLLFMIYSVNYLITIVCVVYAEWQYGGGFAEFAVASESLTAARPSEVLAAEAAALPIAGLTAHDALTQVAGVKLDGSGQPKNILITAASGGVGHYAVQLAKLGNTHVTATCGARNIDFVKSLGADEVLDYRTPEGAALTSPSGRKYDAVIHCTTGIPWSTFDPNLTENGKVVDLTPSLSSLTTFALKKLTFSKKQLVPFFVTVKREGLEYLVQLVKDGKLKTVIDSKFPLSKAEDAWAKSIDGHATGKIIVEP >OIW07710 pep chromosome:LupAngTanjil_v1.0:LG07:13228563:13235760:-1 gene:TanjilG_19653 transcript:OIW07710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWLRQSMKAMASHLASAELQTEFQFSELSQRMRPGLSFVIEAQPFLKAVPLPLGFEVLCLKVCTHYPTLFHHFQTELRCVLSELQSKDLVRDWRQTQSWKLLQEIVNSDQHLAVARKTVQPKTVQGVLGMDLEKVKAMQQRIDEFTSSMSELLWIERDSELEYTQEELDAVPKPYGTSDSSKPIEFLVSHSQPQQELCDTICNLTAISTSKGLEGMHSVLFKVEGNHRLPPTTLSPGDMVCVRTCDRKGTVTTACMQGFVYNLGDDGCTISVALESLHGDPTFSKLFGKSVRIDRFQGLADALTYERNCEALVLLQKNGLCKNNPSISVVATLFGDREDVEWLEKNHVVDWEEENLNGLLGNETTDDSQQRTIALGLNKKRPVLVIQGPPGTGKTGILKHLIACAVQQGERVLVTAPTNAAVDNMVEKLSNVGLNIVRVGNPARISKTVSSKSLADIVNAKLAPFREECERKKSDLRKDLSHCLKDDSLAAGIRQLLKQLGRSLKKKEKQTISEVLSSAQVVLATNTGAADPLIRRLDTFDLVVIDEAGQAIEPSCWIPILQGKRCILAGDQCQLAPVILSRKALEGGLGISLLERATTLHEGVLTTRLTTQYRMNDAISSWASEEMYGGLLKSSETVFSHLLVDSPFVKCTWITQCPLLLLDTRMPYGILSVGCEERLDPAGTGSLYNEGEANIVLQHVFSLTYSGVTPTAIVVQSPYVAQVQLLRDMLDEIPEAAGTVVATIDSFQGQEADAVILSMVRSNTLGAVGFLGDSRRINVAITRARKHVAVVCDSSTICQNTFLARLLRHIRHFGRVKHAEPGSFGGSGLEMGPLLPSID >OIW07602 pep chromosome:LupAngTanjil_v1.0:LG07:17222513:17226023:1 gene:TanjilG_29992 transcript:OIW07602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLESREALIINESDYDYIDYKGRKADPRRHGGVLAASLACIVELIQSLVFLGNNMNLVRYFLKYMHYPVAQSSNMVTNFVGTSYLLTVVAGYINDCYLSKLTAFVLYATIELLGVILLTYQAKNSNLLPPENETPSTFQAAILYIGLGAMAIGIGGTKATLPTHGADQLDHTKENLISSFFSWYYMSVTTAGILGATLMVWIEENCGWGWSLMISAILLCCSITIFSAGFPFYRGKKPSGSPLRRLIKVFLASNHNTNDTTTLEVHHSPKEQSYAKEKSYNKFKFLNKALNDDTIEVAQVEDTKAFLGFLPILATTIMLNCSTAQLMTFSVQQGNFMNRKIYNITITTQSISVIPLVVVLVFLYLFEQSKRFYGNNEAINKIYQPLVRMGAGLAVSSMSMAVAALVEYKRLKEFNEGNLMSAFWLIGQTLLVTSAEVFVVGGMLEHFYSKAPNGMKSISTALACCSSSMGYFLSSMLVTVCNSVSGIFGQAWIGGNDLNHDRLHYFYALLCFLNLINFMLFVYFARRF >OIW08735 pep chromosome:LupAngTanjil_v1.0:LG07:769377:771303:-1 gene:TanjilG_03411 transcript:OIW08735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLNPDAPKFIPSGHKLLHQPFTLPCSPLSTPFPHQHCYFPFSSHQLLYHPKLFTRVLPFSSFPLYHHHDDTNITTKQLISTTTQKAEEEPTGPYLSDIEPAIIQEAGLVHQKEETKEGYYGLKGHALKGMKRDHRGFRHKKEKDVVEYQKCWPSKNKQNCGRGAYKYSKAFHIKNRYYSSITPVRVDGEETTVMIRNIPNKYTRDSLVDYLEKLCMLENHKAEDNEGDGSGKDRIILAFDFVYLPIDFKSGLNKGYAFVNFTSPKGAWKFNMTASNMKWELFQSHKIRKVVAARLQGKEALQKHFEMGVENKGQLGGSDFSILDKFELKVAE >OIW07587 pep chromosome:LupAngTanjil_v1.0:LG07:17942539:17946784:1 gene:TanjilG_08474 transcript:OIW07587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKFLRQIPMSMPRQTLSHNHHQMPRQVFITNHLLHYLLLFSLLPFPSYAANNEVTTLLSWVHTFSKTIVPSPFSNWNPFDSTPCNWSYIKCSSQNHVIEINIQAFELALTFPSNISSLPFLQKLVISDANLTGTISFDIGDCMDLIVIDLSSNSLVGNIPSSIGKLKKLQYLSLNSNQLSGAIPMEIGYCVSLINLDIFDNNLSGVIPFELGNLSSLEVLRAGGNKDIVGKIPEELGECRNLTILGLADTKITGSIPASLGKLSKLQTMSIYSTLLSGEIPPEIGNCSELVNLFLYGNDLSGSLPKELGRLQKLEKLLLWQNSIVGNIPEEIGNCKSLKVFDVSLNSLSGSIPQSLGKLSNLEEIMLSNNNISSSIPPCLSNLTNLVQLQLDCNQISGSIPPELGKLTKLTVFFAWQNKLQGSIPSALGDCLSLEALDLSYNALTGSLPPGLFKLQNLTKLLLISNDISGPIPPEIGNCSSLIRLRLVNNRISGQIPREIGSLINLIFLDLSENHLTGSVPPEIGNCKELQMLNLSNNSLSGTFPSGLSSVTRLEVLDVSINQLSGEIPTSIGELTSLLRLILSKNSFSGSIPSSLGQCSSLQLLDLSSNMFSGSIPSELFEIEALDIALNLSHNALSGSIPPEISALNKLSILDLSHNKLEGDLMAFSALENLVSLNVSYNNFSGYLPDNNLFHQLSQTDLESNQGLCPKGHDSCFISDAAKTKKRNSTSSKRSEMINLAIGLLSALTVAMAIFGVLAVIRARKMVRDDNDSEMGEDSAWPWQFTPFQKVNFSVEQVLKCLVESNVIGKGCSGIVYRAEMENGDVIAVKKLWPTTLAARYDCQNDKLAVNEGVRDSFSAEVKTLGSIRHKNIVRFLGCCWNKNTRLLMYDYMSNGSLGGLLHERTGICLEWDIRFRIILGAAQGLAYLHHDCAPPIVHRDIKANNILIGPEFEPYIADFGLAKLVDDGDFARSSSTLAGSYGYIAPEYGYMMKITEKSDVYSYGIVVLEVLTGKQPIDPTIPDGLHIVDWVRHKRGGVEVLDQSLLTRPESEVEEMLQTLGVALLCVNSRTDDRPTMKDVVAMMQEIKQEREEYMKGGNNMLLNGSSANNQQQNNHSNEEIISSTNTTI >OIW08038 pep chromosome:LupAngTanjil_v1.0:LG07:9320956:9326762:1 gene:TanjilG_20139 transcript:OIW08038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVAKVKASGTLDVMKTEDKIDNIIRQEVGIEPYLSFPRADDSPVQWIQLLHALDQQELPGWPLLSPFPVKVQLLKCEKCSREFCSPINYRRHIRVHHRLKKLAKDSTKNRDLLGAYWDKLSVEEAKAVVSFNNVMLEEVPGSSILSALTALVQRQVFSPFPHYYLRAGGALLDIVQSNPSSFPISSQELFSILDDASEKTCLCGTAVSMQRYIFDGEAGKIGLQPKNLVACTSFLLEQKLVNAWLADKDAEALRCQKQLVEEEEAAQKRQAEILERKRQKKLRQKEHRAKEQRHGNEVEIKEDTDSTAEALAPAEASLDTYDFEAHNPDTFVDNIPSHVPSECHDTNEGIVGDPQPGYDLGTDQNIERQSAHHNHQCTAVAKRQGSPKPQQAVGDDLQESQNPPISKPDVILKYGTNHDQRAAAIFNGSEVWRRKPKQEVDRVVLKAKVDKEPDQGKNHEVLIGSVSVTLGNCSQSEGNAVTSREDGVVKNLAKQNCFQDKPMKPDFDQSGNNQLTTVFWRPVSRHEIKDSLAVNRGGPEADAVYGNDDCHNLSGLSSLRLCSVEGRSDIGSENNSLHLEGTVELGKSRLSSHAARAFLSQRWKEAISSDHVKLVIYPNSEPPGCKKTQDSEVTTGQHSDVDKSNIHASAQNQLPATTGVTKIKPKTKPEKGTKAKYIPKQKTTT >OIW08504 pep chromosome:LupAngTanjil_v1.0:LG07:2451243:2453946:1 gene:TanjilG_03180 transcript:OIW08504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKREKQKQKHPRNQRGKSSYTFHQEEDGDIDDTAPFSSLPEEPEKDLQEEEDEDCDDDNTGQQEQDPSLDMPSKFHLYQQSVQSPKGDISYMQKFFLMYVGGRMPLHLQEDFCGTALLSTEWLRGDSRKTAVGLDLDLEALNWCMENNIPKVGADGFSRISLFHGNVLQPLQSKLVKTDPQELIESISLTDKGDNMQARVLESSIQEGSAAQDDKIFKRDFPLPGIDIICAFNYSCCCLHKRAELVLYFRHAREALSSKGGIFVMDLYGGSSSENKLRLQRRFPNFTYVWEQDEFDIIQRKTRISLHFHLKKQQRKLRHAFSYSWRLWTLPEIRDCLEEAGFRSVHFWIRAMPDSTEIARTEGFGAGKDIKYEEATSFEQQDSWNAYIVGVA >OIW07574 pep chromosome:LupAngTanjil_v1.0:LG07:17802132:17802422:1 gene:TanjilG_08461 transcript:OIW07574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRRVHDSGVTKHRCSDEEETISSYERSVGLVLVLVWSSGEERSELEPRIGSWVKSKGLVVFGGYVVDAMEEEPWLMVVVGGSGGGEGEGGDYRVP >OIW07576 pep chromosome:LupAngTanjil_v1.0:LG07:17820036:17821151:-1 gene:TanjilG_08463 transcript:OIW07576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVKRRLCSDSDIHAFHKELDEVSCPICMDHPHNAVLLHCSSHEKGCRSYICDTSYRHSNCLDRFKKLRDNSKDSPNLVNTNNSGNTFDISVNIESDMNDVNELYENEIDTVLSVGIPQGSTEGDAQVPSRHLDPHDEGILETADSETLQDRAVLEVLDANSSSESKLSLKCPLCRGTVQGWEVNEEARNHLNMKKRSCSVDSCSFVGNYLELRRHARRVHPTSRPSDVDPVRERDWQHFEHQREYADIVSAIQSAIPDAVVVGDYVLENGDELEGNMENVNAAWLTTTILFQMIDGNRTIEIVRGSRPRAHSTVWRRQQRRPAFDPRRRLAGNLFGIRDNNNAAAGEDDSPVPRRRRRLSRTRSNEDQS >OIW07731 pep chromosome:LupAngTanjil_v1.0:LG07:12982210:12985310:1 gene:TanjilG_11858 transcript:OIW07731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLSSLMFSVKRKEPEMVSPSKPTPHELKLLSDIDDQDGLRFQIPVIQFYRNDPSLAEKDPVDVIRKALAETLVFYYPFAGRLREGPSRKLMVDCTAEGVLFIEADADVTLEQFGDALQPPFPCWEELLYDVPGSQGVLNTPLLLIQVTRLKCGGFIFALRLNHTMSDAASLVQFMTAIGEIAQGASEPSILPIWRRELLNARDPPRVTCTHREYELVPDTKGTIVPLDDMAHRSFFFGPSEVAAIRRLIPPHQRQCSNFDILTACLWRCRTIALKPDNNEEVRIICLVNSRSKFNPPLPRGYYGNVFAFPMAVTTAGKLTDNPLPYAIELVRKAKADVIEEYMHSVADLMVTKNRPHFTVVRSYLVSDVTRAGFDEVEFGWGKAVYGGPAKGGVGAIPGVASFYIPFKNAKGENGLVIPICLPFEAMGRFVKELDNVLENNHVQPNSISIVSSL >OIW08396 pep chromosome:LupAngTanjil_v1.0:LG07:3355103:3357421:1 gene:TanjilG_03072 transcript:OIW08396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAVDNEKSGSDGKVRSFCNLSFWQTTHASSSSSSSFTSSTFSSMHSSVHQQSQILQSLDRSTHQPKTTVSSVAKSLLPTRRRLRLDPPNKLYFPYEPGKQVRSAITIKNTCKSHVAFKFQTTAPKSCYMRPPGGVLAPGESIIATVFKFVEPPENNEKPIEQKSRVKFKIMSLKVKGEMDYVPELFEEQRDQVSIEQILRVVFLDPEHSSPAMDKLKRQLAEAEAALEARKKPAEETGPRVAGEGLVIDEWKERRERYLAKQQVEGVPPM >OIW07466 pep chromosome:LupAngTanjil_v1.0:LG07:19051822:19053431:1 gene:TanjilG_24328 transcript:OIW07466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQTQNECSWMNSIKVTLGSLDHREVKSFISSIASVSSKLRKHNEESYSPKLLTIGPIHRGTSSHLLAMEEHKWRYMLALLHRTQNPVSTLDECGKIILGLDDAVRASYGGNIKYEPHELAKIMLLDGSFLLELLLRCSPQDMVPQIPNEDNHHGSFDPILGHQEMLPFVLTDLILLENQIPFFVLKTLARILLPDVFTSQVDHLVADLTLSLFSYPLIRCPAVAHFLHLMHLSSIIDEGQKVKQAQQELKRCATRLRAAGVTLRPIENHIKQVKRFGFDIRFVKGVLEIPPLHIEETTEVHLRNFIAWEQSRIGISRQFTSYAMFLRGLVCSSQDIELLISIGVLVKDTNISNEDLLTFFVTITKGVDQMDSSYSKVCEDLNAYSEVKHLKKFPILVWHCCRLCVECVRYSCKCSYRILIHDHIPNVWKLIGIVAAMVLLVLTIMQTYYSARG >OIW08771 pep chromosome:LupAngTanjil_v1.0:LG07:64742:72365:-1 gene:TanjilG_16352 transcript:OIW08771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWFQYVDCYKGSLCWQLILFFICLLTFQDVTAQETRVDPRQTSSLVELVKEPISGESGLFDPIEISPAVLPKYSYPIEPLPPMYPTFPTRYEPVLSGKCPVNFSNSDLSNILDKTATDCSAPLAPLVGNVICCPQFSSLIHIFQGFFSMKTDKLVLPNAVAGHCFSDIMSILASRQANSTLPTLCSIKSSNFTGGSCPVKDVSTFEKTVNTSKLLEACSTIDQLKECCRPICQPAIMDAALQISGRQMMFSDNENVAGEVNHTDYLNDCKGVVYSYISKKLSLEAADTAFRILSACKVNKVCPLMFKEPSEVISACRNVADPSCCNSLHTYIAWLQKQMLITNKQAIICATLFGSMLRKGGVLTNVYELCDIDLKDFSLPAYGLPEGCLLRSLPANVIADNSSGISFTCDLSDNVAAPWPSSSSITSVSLCAPAMVIARNGLHFALKPYSQPRITSDIIVGLIMGNLGFLRSLYEEFNKTFAFIIDVGMMCYMFALGIEMDPYALFKRPTRDAQVAYVGIISTFLLAVFLSPFLFHLPTQHRIEFTLSLSTLLSSTASPIITHLITQLKIGKSDIGKLVIAAGMHSEFLCSLILSLAYIAMPWDTLCSGTEDKKRIRRAITMIIAVLLQTLFTALVSPIFMSWINNENPEGKPMKGSHLVLSLAFMVLMCAFSTLYEYSPILSAFMTGICLPREADFRMLEPGSLETWTRLLFLIGVVVVGKVVGTVVYGAMLGFHWPESVAIGLLLTTKGHLHLYLAIKVIGCGATISTSIAMIVATFFSVVPTPTVVKHIIKRARRRAPTHRMALEFLDPSGELRILLCVHGPDNVPASINLMEISRGTADPGIVVCVTDMIELTDEIAATIERVDGLHTTVEDKAVMEMRDKVTSSFKTYLDEDGDGITLNRTVAVSTINNMAQDICILAEDMMIALIILPFHRSQRKDGKLDGGNQGFRYVNKKLLRSAPCSVGILVNRGLGSIEKISRSQVSLNVATIFIGGKDDREALVYGSRVAQHPGVKLTVIRLLVDSSADTSRLADCRVSLPEQEEEMVLDDECFAQFYEKHIVGGRIAYTEKHLANAAETFSTLRSFEGQYSLVIVGREGGVNSILTKGMNDWQQCPELGPVGDVLSGPDFSMTVSVLIIRQHRIKGELDGLDEEFSIM >OIW08176 pep chromosome:LupAngTanjil_v1.0:LG07:5366120:5367892:1 gene:TanjilG_24371 transcript:OIW08176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESASLPPSTTVKRSYWRWSKQDFFPEPSFENSKSYGNALSNTGHRLKDRLLNRSTDSNELLVLPYASENRMRRCLTAWDLAWLSFGSVVGSGIFVITGQEARLNAGPAIVLSYAASGFSALLSALCYTEFAVDIPVAGGSFSYLRIELGDFVAFIAAGNILLEAIVGAAGLGRSWSSYFASMVKNDPDFFRIHVSSLKPDFSMLDPIAVVILFIANGIAMSGTRKTSILNWLSSIVTTLVIVFVIVVGFIHAKTENLVPFFPFGVKGVFTSAAVVYWSYTGFDMVATMAEETKNPSRDIPIGLIGSMTVISVIYCLMALALVMMQKYTQIDKDAAYAVAFDSIGMHWAKYLVSICALKGMTTSMLVGSLGQARYMTQIARSHMIPPFFALVHPKTGTPINATLLITICSSIVALFSSLDVLSSVFSVSTLFIFMLMAVALLVRRYYVRESTSKRDLLKVVMCLLVIVCASTVGAVLWGSERFGWIGYTVAAGVWFLATLVLSFLPKQRAPKVWGVPLVPWLPALSIGTNLFLIGSLGREAFWRFLMCTSVMLLYYFFVGVHATYDAEHQNSQDSKLAGGVEDTNQEVL >OIW08442 pep chromosome:LupAngTanjil_v1.0:LG07:2902155:2906708:1 gene:TanjilG_03118 transcript:OIW08442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETLSPNVGGQKQRLPIAARIQSPTAPFFLGSNDDQLERTQARAARAAAIRRKNVIAYNLNSQSQDSESDPCLNKQQILDLFQNCIKLASENKINQKNTWQLNLIDHLTDIIKVEEEGDTETNFQKASCTLEAGVKIYSLRVDSVHSEAYKVLGGMKRAGQEAEEVMMSDTPLEGNNVVSGQEESRKETNKKLSPLSTLESSFEVLNVKKFDAAFAVDPLYRQTTAQFDEGGAKGLLMNNLGVYGGCRVLFDSQEVPAKCMASQNQHDVLDTIDLSFMRDCVEQMVSDMCTKDEISPTIRTIVNQFDENNRRPADFQFHSQNSVEELDTAFDCQIEADREEYDNCTTWSDDHDNQTFVVHLGSNDADPSFPSYPQENETFPSQDPDMDDRFENVDGYLICNMGFNSKQNAWAGPDHWKYRKSKVPEVHPTAEDGPTLKSKQMRSKKQAEVDLNFTSSLERKMTDIFAPPKNPKSILLPENGSREQPNDYEAFPSWDNGSTYGGDPGDYEGDVHSDVEDSDTLISQPRQVNKIEVQYDKTSKQVDVQALKITLWDHVQESAKLSVQGEQEILSFKNMLANFPGECNAAATISDISPHLCFICLLHLANEKGLSIQSCSNLDDLDIHLPHDDDALSGTV >OIW07699 pep chromosome:LupAngTanjil_v1.0:LG07:13877742:13885309:1 gene:TanjilG_30776 transcript:OIW07699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGTRRWFSKFKPKDKTKPANDKETNGTKKEGSKTPTNDEAPSNVTKQKVEAAKQYIENHYKKQMQSLQERKERRNMLEKKLADAEVSAEEQNNLLKYLEKKETEYMRLMRHKMGADDFEPLTMIGKGAFGEVRVCREKANGHVYAMKKLKKSEMLRRGQVEHVKAERNLLAEVDSNCIVKLYCSFQDEEYLYLIMEYLPGGDMMTLLMRKDILTEDEARFYVGETVLAIESIHKHNYIHRDIKPDNLLLDRHGHMKLSDFGLCKPLDCSTLQEKDFSTGTNRSGALRSDGRPVAPTRTQQEQLQHWQKNRRMLAYSTVGTPDYIAPEVLLKKGYGVECDWWSLGAIMYEMLVGFPPFYSDEPMSTCKKIVNWKTYLKFPEEAKLSAEAKDLICRLLCNVEQRLGTKGAHEIKAHPWFKGTEWDKLYQMKAAFIPEVNDELDTQNFEKFDEVDKKTEPSSKAGPWKKMLPSKDVNFVGYTYKNFEILNDHEIHGITELKKKSTKPKRPSIRALFDDESAMASNQQAKGSFINLLPTQIEEVHEKSESH >OIW07500 pep chromosome:LupAngTanjil_v1.0:LG07:18452459:18452761:-1 gene:TanjilG_14446 transcript:OIW07500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECFCFGGAKKGAKNKIKTNEGVQGKCRSSNEQELHTSKRSNGIAKGRVGNKHGNMVIMIGGYKGAVLATAGGHRGGCGGGGCGGGGCGGGGGGGCGGGG >OIW07474 pep chromosome:LupAngTanjil_v1.0:LG07:18160830:18164920:1 gene:TanjilG_14420 transcript:OIW07474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVKSEECCQENKQSAAASCSSVSEGGGSIIHKSPAICSSTLMSPSHRRTTGPIRRAKGGWTAQEDETLRNAVATFKGKSWKKIAEFFPDRSEVQCLHRWQKVLNPELVKGPWTQEEDDKIVELVSEHGPTKWSLIAKSLPGRIGKQCRERWHNHLNPDIKKDAWTVEEELALMNAHSIHGNKWAEIAKVLPGRTDNAIKNHWNSSLKKKLDFYIATGRLPPISKNNTQVVVRDSIRPSATKTLLVSSNKELNGAVETSSETTAISKLDDSGKNRLESSEIVREVGDSSSVPANESADSDCVECNPGSSNIDLNCGCSEPLSGANHGVTCGPKFENSGLNRNLTITHFANNGEMSSSRLIRTSLPQESPSCGSLCYEPPYLEGSVPLDSLSLNNLCLHNESMLSPISLFTPPSVKGSELCRESPESILKKAANTFPNTPSILRRRRTGVLSETSPTKVLKLDNGLQASNEPARYYGKSGSEVGRFAESPVGHGNESDIPNSKAFNASPPYRLRSKRTAVIKCVEKQLEFAFDKEKNDCSINAMEKSGKRNNVMSEDCLHDTKLVVT >OIW08524 pep chromosome:LupAngTanjil_v1.0:LG07:2310200:2314438:-1 gene:TanjilG_03200 transcript:OIW08524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPIFLFSLALFALVSGSPMTLRLERSFPSNHGMELNQLRAWDMDRHRRMLQSTNYIVDFPVKGTFDPSTVGLYYTKILLGSPPREFHVQIDTGSDVLWVSCGSCNGCPQTSGLEIQLNYFDPGSSSTSSLISCLDQRCRNGVQSQDAICSSQNNQCTYTFQYGDGSGTSGYYVSDLMHFASISEGSVTSNYSAPVVFGCSNLQTGDLTKTVRAVDGIFGFGQQDMSVISQLYSQGIAPKVFSHCLKGDNSGGGILVLGEIVEPNMIYSPLVPSQPHYNLNLQSISVNGQTLQIDPSIFETSNNRGTIVDSGTTLAYLAEEAYDPFIDAITAAIPQSIRTVLSKGNQCYLISTSVANIFPQVSLNFADGASLVLRPQDYLIQENPIVDGAVWCLGFQKIQGQDITILGDLVLKDKIFVYDLAGQRIGWTNYDCSLSVNVSASTGTGRSEFVNAGELNGSTSLRDRTHKFTKAQVLAFLMHMTLICCFVFL >OIW07721 pep chromosome:LupAngTanjil_v1.0:LG07:12726750:12728942:1 gene:TanjilG_11848 transcript:OIW07721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCISERLASLLDCCSHFLSLKKLHALAFVSGFGNSAFLTSKLFHSYAKLGIVPDSRWVLNGYANLKQLKIGFDGLTVTFCLKSCVASGRFEFGRRVHGDCFKFNLNSNCYAGSSIIGFYSKFGELEGACKVFEEITNKDIVAYTSMITAYAKFGDSCAYGAFGVAYRMQEQGLSPNRVTLTSLLCTATKLGALQEGRAIHGYAIRRGIGILDEIFETSLMDMYRKCGNVEMAASVFSKMNPGEVGSWNSLMVAYLHNGQDLEAFELFRLMISRKILPDLLTLSNAILSCANLKFLLQGRRIHGYMIRMGIEPDLVASTALVDLFSKFDAIKARKMFDRLGKKDAIIYNVMMSGYLENELFGEVINLFLELVDMRINPNLASFLNLISAVSSLRDVKLARSVHGYILRHELIMNVEIANQIINTYSKCGYILYAKEVFNRMRYRDLVSWTTMIMCHVHHGQSDVAIILFRLMQSGNLKPDSINLISLLQALSQLGCLSSVKEVHCFIYRFFHGSEFSANNALITTYAKCGRIDMARSLFEQMTELSVASCNAMIAAYGMHGNYIEALELFHKMKPGKVRPDEITFTSILTACSHSGLVEEGLQVFRIMVEEYAIVPCEVHYNCIVDLLSRAGKLTEAYNLVKSMPSTKNSAALSALLSGCRLYGGTEIGETVANQMLKLELPNSGSYALVSNLFAEGGRWDEVAKIRAMTKDKVMTNTAGYSLIELDKHSI >OIW07515 pep chromosome:LupAngTanjil_v1.0:LG07:18606464:18608662:-1 gene:TanjilG_14461 transcript:OIW07515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNDRKVGVAIDFSKSSKNALKWALDNLANKGDTFYIIHINSNSDDESRNQLWAKSGSPLIPLSEFRQTEVLSQYGLPTDAEVLDTLDTASRQKEINIVAKLYWGDAREKLLDSVEDLKLDSLVLGSRGLSTIQRILLGSVSNFVMTHAPCPVTIVKDTSTSK >OIW08535 pep chromosome:LupAngTanjil_v1.0:LG07:2204929:2207508:-1 gene:TanjilG_03211 transcript:OIW08535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASALSSLSSTSSSLLHHSFTTNSNGPSKSKGFLVFAQKKTKKIRKIILKEDVDLLGKKGELVDVRAGFYRNFLHPTGKAQIVTPLLLKEMRVEQERIDAEKRRVKEEAQQLAQIFETVGAFKVKRKGGKGKQIFGSVTAQDLVDIIKAQLQREVDKRVVELPEIRETGEYVAELKLHPEVTAKVRVNVFAN >OIW08010 pep chromosome:LupAngTanjil_v1.0:LG07:8911179:8912254:-1 gene:TanjilG_20111 transcript:OIW08010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRLMEPLAVGRVIGEVIDMFTPSVRMNVIYSTKQVANGHELMPSIVMAKPRVEIGGDDLRAAYTLIMTDPDAPSPSDPYLREYLHWMVVDIPGTTDASFGNEIMGYESPKPVIGIHRYVLILFKQRGRKTVRPPTSRHNFNTRRFSEENCLGLPVAVVYFNAQRETAARRR >OIW08754 pep chromosome:LupAngTanjil_v1.0:LG07:661362:663322:1 gene:TanjilG_03430 transcript:OIW08754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASRFIKCVTVGDGAVGKTCMLISYTSNTFPTDYVPTVFDNFSANVVVDGSTVNLGLWDTAGQEDYNRLRPLSYRGADVFLLAFSLISRASYENVAKKWVPELRHYAPGVPIILVGTKLDLRDDKQFFEDHPSAVPITTAQGEELRKLIGAPVYIECSSKTQQNVKAVFDAAIKVVLQPPKQKKKKKKGQMGCSIL >OIW08772 pep chromosome:LupAngTanjil_v1.0:LG07:73226:77350:1 gene:TanjilG_16353 transcript:OIW08772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKKEPLLQFAPFQSSVDEAFWHRLSSLKLNNLGIDDSPISLIGFYAPCSHSQVSNHLTLLAESLPSESSEALLTQQTSHGNRNRCSVPGILYNTNTLETFHALDKQKLFKEEAGKIWDDILTGKALEDCSVLSRFLLISFADLKTWTFHYCFSFPALMLHPPATVVNLRPASQWLSTAEAESLSAACNEWRSSKSTTDVPFFLATIDANSHATVRLLKEWEACQKDAHKILFGFYDPCHLPNNPGWPLRNFLALISASWNLKSVHFFCYRENRGFADMGLSLVGEASITVPQGWKGAVPNAVGWELNKGRKVPRCISLAQSMDPARFVACVAFPRLAISAADLNLKLMRWRALPSLDLSVLSSVKCLLLGAGTLGCQVARMLMAWGIRKITLVDNGRVAMSNPLRQSLYTLDDCLNGGAFKATAAVESLKRIFPAVEAEGIVMAIPMPGHPVNSQEQDSVLDDCKRLHDLIDAHDAVFLLTDTRESRWLPTLLCANTNKITITSALGFDSFLVMRHGAGPFSCASDFNAETANSSYADMPKFCENNANERHRLGCYFCSDVVAPTDSTSNRTLDQQCTVTRPGLAPIASALAVELLIGILHHPQGILAEGDINSSINGATEQPLGILPHQIRGSLSQFSQMTLIGHSSSSCTACCHTVVSEYRNRGMEFILEAINHPTYLEDLTGLTELMKSAASFSLDWDKEIDDDDDDDDEGCVEI >OIW08823 pep chromosome:LupAngTanjil_v1.0:LG07:352504:353751:-1 gene:TanjilG_16404 transcript:OIW08823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCSATRKTQKADREKLRRDRLNEQFAELGNILDPDRPKNDKATILGDTIQLLNDLSSQVIKLKDEYTALNEESDELAQEKNDLREEKASLKLDIENLNNQYQQRLRSVFPWTAMDHSVMMAPPSYPYPMPVPVRPSPIPMQTIQPYPYFVNQNPAVIPNPCSTYVPYLAPNTVVEQQSTQYVSPPVYPGGWSHVSSKQDSKHKSSRESRAERSQASNEVTTDLELKTPGSSADQDLASGQRKSNKSARKENSSVEGSSLGRCSSSHSIQDSSSSSVAGSRKANE >OIW08690 pep chromosome:LupAngTanjil_v1.0:LG07:1080392:1081957:1 gene:TanjilG_03366 transcript:OIW08690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNARVGSGTMLVEDQNAYHRKKLVAIILNSDPTSLYDDDDFSNQRHSIATATTTGSSNNTSPLIMSPWNQDSSSPWSSIASAINHYDDNGDSNRNNLENDLIGSLVREEGHIYSLAVSGDLLYTGSDSKNIRVWKHMNDYTGFKSSSGLIKTIVISNEKIFTGHRDGKIRVWKASNKNPRRYKRVGSLPKFKDYVKSSMNPKNYVEVRPKRNAVKVKHFDAVSSLSLDEEEGLLYSGSWDKTLKVWRVSDSKCVESIQAHDDSVNAVVSALGGIVFTGSADGTVKMWKRESKGKKTKHELDRILLKQENAVTSLAVNSLSTVIYCGSSDGLVNYWDRDFKNNELTHGGVLNGHNLAVLCLAAAGSNLVFSGSADKNVCVWKREESGSHSCLSVLTGHTGPVKCIAVQEEPERCNDGVQRWTVYTGSLDKSVKVWRVNDHVPDKKRIQIWEDSDPTYDSSPRVKLKPASDDGKSNASNSFNSIMSEHAPSLSGGACYDSLVTANTISSGSNGNSYQNRRRL >OIW08575 pep chromosome:LupAngTanjil_v1.0:LG07:1865240:1866004:-1 gene:TanjilG_03251 transcript:OIW08575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMMKRMFFALPLVLVICCYSTVTTLAQSPAAAPAEALAPSTPPTDIIRILQKAGGFTTLIHLLRTTQVSTQINSQLINSNGGLTFFAPNDNAFSSLKPGLLNSLNDQQKNELIQFHLLPTFVSTTDFSTLSNPVRTQAGENPDRLALNVTSSGNQVNFTTGIVNATLGGTVYTDHQLAVYQVDKVLLPRDFFVAKTPAPAPAPEKTKASKKKSAEGPAVPAADDDSAAVTVKQLNGMFVSFAVTIVAVAAFLL >OIW07605 pep chromosome:LupAngTanjil_v1.0:LG07:17163964:17168939:1 gene:TanjilG_29978 transcript:OIW07605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGRARCSLRADHIPRACHRTLPHTPSLHMDRYRPSYNVSPGFNMPVIRREDASDSEGCVLHCMKWGLIPSFTKKTEKPDHYKMFNARSESIDEKASFRRLIPKNRCLVAVEGFYEWKKDGSKKQPYYIHFKDGRPLVFAALYDSWQNSAGETLCTFTIVTTSSSSALQWLHDRMPVILGDKDSTDMWLGSSASGYKNVLKPYEESDLVWYPVTPSMGKPSFDGIECIKEIQLKAERNTSISKFFSRKEAENEDIRPEKKVSSYEFIETELPKDLSEEAKAEGEENDLKSNACPHSQDATKVPVKRDYDTFSADSKPDLTNNDKVRSNPVKKKEKGKTGDDKQPTLFSYFGKR >OIW08430 pep chromosome:LupAngTanjil_v1.0:LG07:3004291:3012468:1 gene:TanjilG_03106 transcript:OIW08430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMYIATSSVLRSTRSKISSSSLSRTLSNQHPSSASRSHSSAAAARSFCSSVPRWSHRIDWRSPVTLRPQIRAFAPFIERFHRKMATIANENPFKGNLTSLPKPSGGEFGKFYSLPSLNDPRIDKLPYSIKILLESAIRNCDNFQVTKEDVEKIIDWENSYTKQVEIPFKPARVLLQDFTGVPAVVDLACMRDAMNKLGGDSNKINPLVPVDLVVDHSVQVDVARSENAVQANMELEFQRNKERFAFLKWGSTAFRNMLVVPPGSGIVHQVNLEYLGRVVFNNEGLLYPDSVVGTDSHTTMIDGLGVAGWGVGGIEAEAAMLGQPMSMVLPGVVGFKLSGKLQDGVTATDLVLTVTQILRKHGVVGKFVEFYGDGMGKLSLADRATIANMSPEYGATMGFFPVDHVTLQYLKLTGRSDETVAMIESYLRANNMFVDYNEPQQDRVYSSYLELNLSDVEPCISGPKRPHDRVPLKEMKADWHSCLDNKVGFKGFAIPKEAQGKVAKFDFQGQPAELKHGSVVIAAITSCTNTSNPSVMLGAGLVAKKAHELGLQVKPWVKTSLAPGSGVVTKYLLQSGLQKYLNEQGFNIVGFGCTTCIGNSGDLNESVSSAISENDIVAAAVLSGNRNFEGRVHPLTRANYLASPPLVVAYALAGTVDIDFEKEPIGTGKDGKNVFLRDIWPSNEEIAEAVQSSVLPDMFRSTYEAITKGNPMWNQLEVPVDKLYSWDPKSTYIHEPPYFKSMTMDPPGVHGVKDAYCLLNFGDSITTDHISPAGNIQKDSPAAKFLVEHGVERKDFNSYGSRRGNDEVMARGTFANIRLVNKLLNGEVGAKTVHIPTGEKLYVFDAALRYKTAGQDTVVLAGAEYGSGSSRDWAAKGPMLLGVKAVIAKSFERIHRSNLVGMGIIPLCFKSGEDADTLGLTGHERYTIDLPSKISEIRPGQDVTVTTDNGKSFTCTARFDTEVELAYFNNGGILPYVIRNLIKQ >OIW07583 pep chromosome:LupAngTanjil_v1.0:LG07:17861554:17865164:-1 gene:TanjilG_08470 transcript:OIW07583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVIDHEENEDRKTNLSKVPTAESSSATAENETSDGFETASDGDDGEEQMKQGVPPITDSASNNEELVQEALAQANEAKVEGNKLFVDGQFEEALLQYELALQVAPDMPSSVEIRSICHANRAVCFVKLGKYDNTIKECTKALELNPVYVKALIRRGEAHEKLEHIEEAISDMKKTLEIDPSNDQARKTIRRLEPLAAEKREKMKEEMIEKLKEMGNSVLGRFGMSIDNFKAVKDPNTGSYSMSFQR >OIW07739 pep chromosome:LupAngTanjil_v1.0:LG07:12193614:12195465:-1 gene:TanjilG_11897 transcript:OIW07739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHVTNSIIGRYVLAIVIAMVVFLPNFTYAKKSKIIGLKMNVIDKCWRSDPEWRKHRPQLTTCSIGYVGKMTNNIGEGLIHYKVTDPSDDPINPKYGTLRYGASVIQGKVWITFQRDMVIRLVRPLLISSFTTIDGRGVDIHIAHNACLMIFKATNIIIHGLRIHHCKPQAPGMVMGSNGKVMPLGQVDGDAIRLVTASKIWIDHNTLYNCQDGLLDVTRGSTDVTVSNNWFRFQDKVMLLGHDDGYIRDQNMKVTVVYNHFGPNCNQRMPRIRHGYAHVVNNMYLGWLQYAIGGSMEPSLKSEANLFIAPPSGSKEVTWRKGNRANGDKWEFHSVKDIFENGASFKTTKGGYVRKPNYTKDQAFNVADAKSVRSLISSSGALRCSKTSIC >OIW08252 pep chromosome:LupAngTanjil_v1.0:LG07:4614028:4616941:1 gene:TanjilG_15213 transcript:OIW08252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGEVEGFEMIQGPVENGAEEDKSISNEKECVKLEQDSGVSDSTILWSHADESSAAEENGVSDSSGSKDIGEEWPAPQKIHSFYFIRLRRYNDPNIKPEMDKLDKEMNEKNQVRIQLTDAIRAKRSERAELISQIKPLQENNKQIQSILDEKRKEIEHLQQALGKLRTSNNAGQGGLCLSEEELNNIIYSLRYRIQHESIPLTEEKQIIREIKQLEGTRDKIIANAALRAKVQDSVGKKEAIRDQVKLISGELDGVKKDRQAVRSKINKLDDALKAIDKDILSLEEEKVTVTQKREKAFESIQQLRRKRDEGNTSFYESRRIMTKAKDLAAQKDINALQELSHKEVEKFMSHWNSDRTFRDDYEKRLLQSLDSRQLSRDGRMRNPDEKPLLEEPKPDENNTLPNSSVKQGNEEALLAQKVQKVTKKKGGDSKSKLDNKNLEDTDEYEFENSHKETSVEETTVDAEKLKEIKRIEEIAKAKRALERKKKLAEKALAKAAKKAQREAEKKLKGRDKKAKKETDEMVEATEKQNVNYNVVEDSAPVKEKVQKDSRTSYRCRSKGPEAIPKVIIKRKKSNNLWAGFTIFACFIMAMTVFALHSISLDI >OIW07770 pep chromosome:LupAngTanjil_v1.0:LG07:11675721:11677163:1 gene:TanjilG_14045 transcript:OIW07770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLHIPSLILTLIFFFFFFSSIATASSPSNLTIYDHLRHQGLPVGLLPTGITQYTVNATSGEFLVSMEQPCNAKFENEVHYDTNITGTLKYGSIGGLSGVSAHELFLWFPVKEIRVDVPSSGLIHFDVGVADKQFSLSLFEDPPRCTAESRHRLQNQAHAEDVLWAAS >OIW08802 pep chromosome:LupAngTanjil_v1.0:LG07:237763:239120:1 gene:TanjilG_16383 transcript:OIW08802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQTFRKLFDTFFANTEMRVVMLGLDAAGKTTILYKLHIGEVLSTVPTIGFNVEKVQYKNVIFTVWDVGGQEKLRALWRHYFNNTDGLIYVVDSLDRERLGKAKQEFQNIINDPFMLNSVILVFANKQDLRGAMTPREVCEGLGLFDLKNRKWHIQATCALKGDGLYEGLDWLSTTLKERKAAGYSSIALGTSSF >OIW07868 pep chromosome:LupAngTanjil_v1.0:LG07:6914609:6917347:1 gene:TanjilG_19969 transcript:OIW07868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQTRKPTNPTRKQTNPSPSPSSYSSSSTGMKLIVPLQGVVQGRGGLLFGSLIPCALFYFFQLYLKRRRPDNSSSNPPTPSTSSPNLPELPRSSSRSNFNTRGPGSRVRVSKLATLISKPDDDSPYYIGLQRVAQDPYDKVENPNGIVQLGLSDNKLCLDLIGDWMLRNCAESILGSGDDGVGLSIHGIATYQPFDGLEELKMAFSDFMHQVMGGSVKFDPSNMVLTAGATPAIEILSFCLADQGNALLVPTPYYPGFDRDVKWRPGVDLIPVHCRSADNFSLSITALEQAFSQARKRGVKVRGILISNPSNPVGNVMTQDMLYSLLDFAEEKNIHIIADEVFAGSTYGNEKFVSIAEIIDSEYIDKSRVHIIYGLSKDLSLAGFRVGVIYSYNNSVLAAAKKLSRFSSISTPTQRIVTSMLSDKRFIQDYFQTNRNRIREAHDTFVEGLSELGIKCAESSAGMYCWADMSGLIRPYSEKGELELWEKFMSDAKINITPGSACHCIEPGWFRICFTTITLEDIPLVIERIRGVVESCKSSS >OIW08554 pep chromosome:LupAngTanjil_v1.0:LG07:2024919:2030754:1 gene:TanjilG_03230 transcript:OIW08554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTESSQSSLCKQQQSNTEICMVQEKEEQNWTVTIELNMLKNLTGSAIAGSLGGFNAHASNIVSAIYIATGQDPAQNVESSHCITMTEAVNKGKDLHISVTMPSIEVQVIEPLHIKWFQQP >OIW07867 pep chromosome:LupAngTanjil_v1.0:LG07:6901945:6905407:-1 gene:TanjilG_19968 transcript:OIW07867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEFEVIEVEVEASMCCDEIDLDYEFDAPRFFDFTIDETFWDAFEAEKWFEYATSYPPSPFLLKFKLGNMDAMEIVNVAAEDYGPCMEHEKVSEIDDNNNTDLLEFCNETVEDTLNGRTKTRSKIKLSQSISKISSFMKPTASNLAKQKNPTDVVQSRPSYGRSPSRNSSIDCQLTKRQKLEAGYLSKVSRLKHQTLFMHKKPKEVDYADSNVAYKSKVTIPREPNLETASRAQRQRSKANAETNGDTKPSSKMFKARPFNKKVFHFRTSERAMQHTSSNAGGISNSNSVPNSEIMDLKRTNSSDCSRQDKYRMVNKLRGSPDDKEQNDKRVLNEPPAELFSKLSLASDVKQTTKPHAKKQPVSKVHLALRRIDLDPCIKSMRYV >OIW08012 pep chromosome:LupAngTanjil_v1.0:LG07:8960762:8961178:-1 gene:TanjilG_20113 transcript:OIW08012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISLKRLIAIARKWQRVAGIKRRVVISQPRKNHKVVIANKGHFVVYTIDKGRFVVPLCYLRSKIFRELFRISEEQFGLPTDGPITLPCDTAFMEYVVSLVRKRVYLELENVVQLVASFSFGTNCQCLTYELAHSRVYL >OIW08175 pep chromosome:LupAngTanjil_v1.0:LG07:5359420:5359945:-1 gene:TanjilG_24370 transcript:OIW08175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFKDRRSCPHLCRLASEYIRKCEGCEDDIYAFFENEPDADSLYVKLVEEFERCILSYFGFHWRHGDLMMSQVLTSETEPRRKLKQIVMAATSTWIPIKWNQKV >OIW07979 pep chromosome:LupAngTanjil_v1.0:LG07:8163493:8165495:1 gene:TanjilG_20080 transcript:OIW07979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARYGGSRFGSRWFSGFAMKEWCLLRQHGRDLMDGDQASEISNDIPTVGYLVSDLTSQIGRSHLLQELNQKPPGLTQEAIDCLHQHGDSIGVKSTAGL >OIW07664 pep chromosome:LupAngTanjil_v1.0:LG07:14633061:14635808:-1 gene:TanjilG_07706 transcript:OIW07664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRRASSTILRRFSTSVRRHPKDEGDWLYSSEWWGSSDDGHTVLRSTSGKGNGVVAVHAHPSSRPSKTDWSKMEKWLQRRYEEVHPRYDDGGNLKVLGYQWRVLRFNDVTRQSTVKVMAAYQENKPGSVYLMQQPHCLAVPYVKSMVSAGLTTLASCNYDIISTLQGKKNMHILCIGHGGGSLPLFLASKIQGAIVHVVEIDPLVISASIRAMGFPAFSFMTQSGDRASAKPDVINEVMWKGIHERLYLYEADAEEFINNSTNLYDMVFIDAYDGDDIFPHKLWDPDLPFLKALSTRLHPKHGTVVVNLHSDSDVLNHDGSFPSAAEQILPMGKYVSQVCRAYKDVLVGTGGSCEDKAGSGSGLAFTVAAPWTCNTSMVVCRGFGVDSEYINLDSVVSTLISKSLELEYVMDLPFSCLEYVKRGVVLVE >OIW07752 pep chromosome:LupAngTanjil_v1.0:LG07:12468772:12470527:1 gene:TanjilG_11910 transcript:OIW07752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECCKRPNRSDMHVSVEEEAIIEEETREYFDEIAPKRHTKPQRSEYSSQYVDAFSNNNDGNQSLPELLEFQRLENDPQETKLAYDGNQVTEEFVETEYYKDLNSVDKHHHTTGSGFINVEKSGKSFRIEPDNDTGSHHSSKGNPATNDWVPAPSIEEGFNSDKPNRSDN >OIW08260 pep chromosome:LupAngTanjil_v1.0:LG07:4559718:4563038:1 gene:TanjilG_15221 transcript:OIW08260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALMATSLVPASLSIPKQGKSSVFLRETILFGVSLSHTNKSDFNSYALRSKRELQQKIGAVRAQTAATATPAITRVAPEGKKTLRKGSVVVTGASSGLGLAAAKALAETGKWHVIMACRDFLKTERAAKSAGIAKENYTIMHLDLASLDSVRQFVNNFRQSGRPLDVLVCNAAVYLPTAREPTFTADGYELSVGTNHLGHFLLSRLLLEDLNKSDYPSRRMIIVGSITGNTNTLAGNVPPKANLGDLRGLAGGLNGLNTSSMIDGGNFDGAKAYKDSKICNMLTMQEFHRRYHEETGITFASLYPGCIATTGLFREHIPLFRILFPPFQKYITKGFVSEDEAGERLAQVVSDPSLTKSGVYWSWNKNSASFENQLSQEASDEAKARKVWEISEKLVGLA >OIW08256 pep chromosome:LupAngTanjil_v1.0:LG07:4579287:4580456:-1 gene:TanjilG_15217 transcript:OIW08256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATLTFSLAIPSSPITFTRSSAIFPNYSALRLRPIAATRFAASPTSRIAPRTARIVCEAQDTALEVAPITDANWQSLVLESESTVLVEFWAPWCGPCRMIHPIIDELAKDYVGKLKFYKLNTDESPSTATRYGIRSIPTVMIFKNGEKKDTVIGAVPKSTLTTSIEKFL >OIW08135 pep chromosome:LupAngTanjil_v1.0:LG07:6137879:6140832:-1 gene:TanjilG_06678 transcript:OIW08135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRVKNNEIEDEMLPNDLTESQLNDEGDGGSASGVILKKGPWTSTEDAILVEYVKKHGEGNWNAVQKHSGLSRCGKSCRLRWANHLRPNLKKGAFTAQEERLITELHAKMGNKWARMAAHLPGRTDNEIKNYWNTRIKRRQRAGLPLYPPEVCLQAFQESQQRQSTGGGHNAGNKVRHDFLHKNSYEIHDAIFDSLKDNQGISPYVPELPDISDYSNMLKDLDSSQYFNFAPSTSPNHKRLRESTMPFLGSSDMHRNVFYPFDHIQDNASFGMQSPLDHGPSSHSSMCYSHSFSNGNSSTSKSTYEAVKLELPSLQYPEIDLGSWGTSPPPPLLDSVDDFIQSPTPISAMESDSSSPHNSGLLDALVYQSKTMSSSKNHYSNKSSNSSTATPGHRADSSTLNMYETELEDHTDPVSPFGATSILNECPAVANANSFDETLPDGTFNGNIVKLESADQVWTPNSENQNMSELNITRPDLLLDADWLQQDFGHGNNQTITTDVMSTLFADDLATDYKHMTVGTSKSSQVWGFGSCARNNNVFCLSGV >OIW08676 pep chromosome:LupAngTanjil_v1.0:LG07:1162639:1166103:-1 gene:TanjilG_03352 transcript:OIW08676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSLLRSIRRFSSVLTFSYPKTSLSVSTRSVVRSQIPIWFPSRHCVPLEKSGFWCGSRNLSYGTVNLVIEGGKTKFETKEVEPPKKDKWKTKKRFKMQKKREKEKRKAANRRDPRHLGVKRKKKQKFASPEERIKYKLEKARIKEALLIERLKRYEVPKLQGPVVKPDGLTGEERHYLKKMAQKSSNYLQVGRRGLFGGVILNMHMHWKKHETVKVICKPCKPGQVHEYAQELARLSGGIPIHIFGNDTMIFYRGKNYVQPDLMSPIDTLSKKKALEKSKYEQSLESVRRFIAIAEKELELYYRHIALYGDPSNRNPLSMLDGPSVNSSRKGNHGIHNEKSVDLNSDYFAANLSGTEADSTEMELSESEDNEDENLSTNESDSEEDIESDDQERKVCFTTMQDESARSTNGSSSVSKHRYHHNNKYLLSEQMPITSD >OIW08607 pep chromosome:LupAngTanjil_v1.0:LG07:1647284:1649451:1 gene:TanjilG_03283 transcript:OIW08607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLEPVLNFPQVYLLAFNDQYAIMSAFSEEELQEKLLNVTLELEAMKNVKDELFNLLMLAYGERDEARAELQKLMNKPMPSNTTHLQSIFGSVQHENHFMFPAAKANSSITESNSLSHGSPPVDSFFETVSSPEFSNINITNNNINNLSYSNLNQHLVPGFSNISASHDAANAIIDSIAKVRPLPQKGKLLEAVMDAGPLLQNVLLAGPVPNWRNPPPLQHIKVPPLTIKEYDTTNNTNSDLTSSYLKPKVPSNATLSCSSSMLNFATHNSSYFKYARQLNSSVSTQFPSRKRQRRQ >OIW08136 pep chromosome:LupAngTanjil_v1.0:LG07:6169090:6175078:1 gene:TanjilG_06679 transcript:OIW08136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTESEASAISGHDGVVSVFPDPILQLHTTRSWDFIESDLGMKHSDTPTYQQHLSSDIIIGVIDTGIWPESPSFRDEGIGKIPSRWKGVCMEGHDFKKSNCNRKLIGARYYNNQFTSSGNQTLTKEVRSSPRDTVGHGTHTASTAAGAHVKDASYYGLAQGIARGGSPSARIAAYKACSEGGCSGATLLKAIDDAIKDGVDIISISIGLSSLFQSDFLDDPIAIGAFHAEQMGVMVVCSAGNDGPDPYTVVNTAPWIFTVAASNIDRNFLSTIVLGNGKTFQGTGINFSNLTRSKMYPLVFGEKVAAKFAPASEARNCYPGSLDYNKIAGHIVVCVNDDPTISRKIKKTLLKDARAMGIVLIGEDNKDVPFDADVFPYSQVGNLEGHQILEYINSTKNSSATILPTKEVNGYRPSPIIASFSSRGPSGLTENILKPDVMAPGTGILAAMIPPSNEPGSVPIGKKPSLFAIKSGTSMACPHVTGAAAFIKSIHGRWSPSMIKSALMTTATTYNNMRKSVTNTSNYFANPHEIGVGEINPFRALNPGLVFETVVVDYIRFLCYYGYSKKNIRSMSKTNINCPRNSSEDLISNINYPSISIKTLIRQQKAKVITRTVTNVGPLNATYIATVHSPKGLVVKVTPNKLVFSEGVQRISYKVSFYGKEAHAGYNFGSLTWLDGRHYVHTVFAVNVE >OIW07436 pep chromosome:LupAngTanjil_v1.0:LG07:19699620:19700648:-1 gene:TanjilG_19277 transcript:OIW07436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEYGFFPTVQSCNAYISSLLKLHRSDIVIAFYRELRRDCISPNVYTINMVICAYCKIGELQKASEMIDRMKEMGLSPNVVSFNTLISGYCKKGLLGLAMKVYSLMERNEVPKNVVTFNTLINGFCKEGKLHEANKVFNEMKASKVAPNTVTYNTLINGYSQVGKSEMGNRIYEEMARNEVKADILTYNALILGLCKEGKTKKAAYLVKELDDKNLVPNASTFSALITGQCTRKNSEHAFLIYKSMIRSGCNPNEHTFQMLISAFCKNEDFDGAVQVMRDMLDRVMTPNSTILFELFSGLCKCGRNQSALSLLNEMEAKHLLPEGFDKENIAITHPENQTNN >OIW07598 pep chromosome:LupAngTanjil_v1.0:LG07:17316231:17322549:1 gene:TanjilG_08329 transcript:OIW07598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRGAMSLNRLLTAARRSNHRISAAYRSLSTSPSLSPSPPPPSPLSPDVMIYDRLAESVKHKLQQLENPDPRFLKHGSPHPTITDHTSILSAPETRITTLPNGLRVATESSLASRTATVGVWIDAGSRFETEESNGTAHFLEHMIFKGTERRNARELEEEIENMGGHLNAYTSREQTTYYAKVSDQDVPKALDILADILQNSKFDENRISRERDVILREMEEVEGQTEEVIFDHLHATAFQYTPLGRTILGPAQNIKTITKAHLQNYIQTHYTAPRMVIAASGAVKHEDIVEEVKKLFTKLSTDPTTASQLVEKEPAVFTGSEVRILDVDVPLAQFAIAFEGASWKDPDSIALMVMQALLGSWNKTAGGGKHMGSELAQRVGINEVAESMMAFNTNYKDTGLFGIYAVAKPDCLDDLSYAIMYETTKLAYRVSEDDVTRARNQLKSSLLLHIDGTSPVAEDIGRQLLTYGRRIPFAELFARIDAVDASTIKRVANRFIYDKDIAIAAIGPIQRLPDYNWFRRRTYWNRY >OIW08572 pep chromosome:LupAngTanjil_v1.0:LG07:1878941:1881145:-1 gene:TanjilG_03248 transcript:OIW08572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRKWSLFFAFFILLIVIEAAIVHGEGNSKGYDNGKVKDNGTGKDSGYVKDVGNGKDIGNGKDNGNQGNGKDQGNQGNGKDQGNQANGKDQGNQANGKDQGIGKDQGNHGNAKDQGNQGNGKDQDNQGNGKGIGNGKDQGNQGNGKDQGNQGNGKDQDNQGNGKGTGNGKYQGNGKDQGNQGNGKDQGNQGNGKDQGNGKDQGNQGNGKDQGNQGNGKDQGNQGNGKGIGNGKDQGNQGNGKDQGNQGNGKDQDNQGNGKGTGNGKYQGNGKDQGNQGNGKDQGNQGNGKDQGNQGNGKGIGNGKDNGKDQGNGKDQGKEKEKKPNHGYDESSDYEELTPQSGFERCLCRANSSCHFKTLRCPNECPERKPKKNKKQKACFLDCSSKCESTCKVRKANCDGYGSLCYDPRFVGGDGVIFYFHGAKGGNFAIVSDDEFQINAHFIGTRPQGRTRDYTWVQALAVMFDTHTLDIAAKRVSQWDDKVDSLLVKWNGKLINIPSDGDAEWRANVDDREVVVERTDDANSVRVTVSGLLEMDIRVRPIGEKENKAHNYQLPADDSFAHLETQFRFKNLSDSVEGVLGQTYRPNYVSPVKRGVAMPMMGGEDKYQTLSLYSTSCNLCKFKRPSAIASSEGLIAQY >OIW07909 pep chromosome:LupAngTanjil_v1.0:LG07:7296881:7297888:-1 gene:TanjilG_20010 transcript:OIW07909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFLSKPLNKTLNSFSILTFTLRRFTSHSAAAEPFPDNPTPAYYDELATAAGNSGDLDALRDILNKRIQDRCYNTKRTFNFITTTSSLDDLIRTLSNLNPGFTRNSAFNSLVTRLCRLERVDDALRVVETMSRDANCSITASTFHPIISLLTRERSMDHAQRVVELMSGLGVRRDLTVHNLFLMAHCFTGDMAAAAEVLKEMEEEDGLVGDTRTFDALVMGACKIGNVEGAMVLVRRMVNDGVLMLYSTHMYVIGALLEKGCLEQAVKYVKCFGGKDKVLDAEIYGCLGSKLAGMKKVKEAMKVLEEMKQTGLPMGEKLKRFYEKNVGKVAKLVY >OIW07728 pep chromosome:LupAngTanjil_v1.0:LG07:12882987:12885600:-1 gene:TanjilG_11855 transcript:OIW07728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLGTSKGILEIAKFGVYVAVPIVLMYTFANNTKNLQKFMGNKSYVEYPPEAPRPPPPEELREIARELARKRNNP >OIW07839 pep chromosome:LupAngTanjil_v1.0:LG07:10575414:10579200:1 gene:TanjilG_32695 transcript:OIW07839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSCALRLSYPFILSNKNNINTLSLLNTTFNHNSLSSNSRRWFKLGSIRSSNTHNKVKDFDEAAFEAQRIALDAEAREAMAEASNEEDDPKAWKWVIRKRIWKAMEAHNFAQNPRPVHHRIPNFVGASSAAEKMRGLDVFRVAQCVKVNPDSPQKQVRFLTLSDGKKLLTPQPRLRTGFFSVLESNMLTLDTIKEACTSVGVAKHGRPIGLDEKIKVDLIVIGSVAVNPKTGARLGKGEGFAELEYGMLRYMGAIDDSTPVATSVHDCQLVDDIPVEKLLIHDVPVDIICTPTQVIFTQTSIPKPQGIYWDKLSPEKLGQIRILRELKNRIEQETGQKLPTGPSEKLPPTARRGRKS >OIW08818 pep chromosome:LupAngTanjil_v1.0:LG07:334428:337638:1 gene:TanjilG_16399 transcript:OIW08818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLIGAMLSFFLTSLLLEVVHVAAEDPYRFFDWNITYGDIYPLGVKQQGILINGQFPGPEIYSVTNDNLIINVHNSLPEPFLISWNGVQQRRNSYQDGVYGTTCPIPPGKNFTYTLQVKDQIGSFFYFPSLAFHKAAGAFGAIKVLSRPRIPVPFPDPAYDYSILIGDWYQINHTKLKSVLDFGHRLPFPQAVLINGRANGTSFTVEQGKTYRLRISNVGLQNTLNFRIQGHELKLVEVEGTHTVQTTYSSIDVHVGQSYSVLITADQAPKDYYIVASTRFTDQVITSTASLRYSNSQQPISGPIPDGPTTQIDWSIKQARSIRTNLTASGPRPNPQGSYHYGLVNITKTFMLESAAAQVNGKQRYAINSVSFIPSDDTPLKLADYFKIDGVFQVGSIPDTPSGKPMFLDTSVLGADFRAFVEIVFQNHEDIVQSYHIDGYSFWVVGMDGGVWSPDNRNQYNLRDAVSRCTTQVYPKSWTAIYVALDNVGMWNVRSEFWARRYLGQQFYLRVYSPVESIRDEYPIPKNALLCGKAAGRTTRPL >OIW07691 pep chromosome:LupAngTanjil_v1.0:LG07:13646558:13648617:-1 gene:TanjilG_30768 transcript:OIW07691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFFSFLGRVLFASLFILSAWQMFNEFDANGGPIAKELTPKFTAVKINLSSKLGIGLPDFDVRQFIATIIILKGAGGLLFVFGSTLGAFLLLVLLAMTTPLLYDFYNYKPSKPEYTLLLNDFLQSIALFGALLFFIGMKTSISRRQIRKKTPKAKTV >OIW07885 pep chromosome:LupAngTanjil_v1.0:LG07:7074871:7076660:1 gene:TanjilG_19986 transcript:OIW07885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFSVLRLSLGIVVPLVFSLLFVKLMPKETAMPKTYEIFGVKIHKNPNQSKLTELGVTTWPKHESGPTKIPWSFKAEETMYLVEGKVKVTVEGSVGSFEIGAGDLVVFPKGMNITWEVIEALKKHYSLKK >OIW08485 pep chromosome:LupAngTanjil_v1.0:LG07:2565945:2566121:-1 gene:TanjilG_03161 transcript:OIW08485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPQDASLVNLSFWQSFYWSLLKIFITFDARICRTLSFVGVGHGYDTDTLGFVKDTYS >OIW07958 pep chromosome:LupAngTanjil_v1.0:LG07:7955708:7958464:1 gene:TanjilG_20059 transcript:OIW07958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDATAGMFCGENDLVNPLSSMGMQVSCILVVSHFFNIVLRTVGQPGPISQILAGFVLGPMSHVEYIKNTFFPASSINYYEVVSFFCRILFMFLFGLEMNPHYAMRNFRLVSLVACGGALVGAIFGLSVSFYLYQEFETNNGPMHYFCMIIMLVVSYTSSPMVIRLAAELRFAASDVGRIAVAAALITEMSVLLFFNVMINWEDRFDLITGSACFAITLIVCFINKHLATWLNKRNRNQKYLKAPELLLILFLLLVSSMIVEIWGYNSIINCFIIGVLFPKEGKSARTLLHKLGYSIYNFALPVYFGYMGLQCDLILVFKSLDSIANMAIMILLSIGGKLSGTLIVCRYLGIPTSEGIFLGFLLNTRGYADVLIFGAAAKPRITFNTEAYNVLLVSIVLNTIISGMIVAFLARGEEKMFANNHTAIEPQKLEDELRILACVYDPRQVSAILAVVLAIHGSRASPSTNYLMHLIELVKKIKSNLLFHEKENADISDDEDYGGNDVVEINNALDVFTADTKILVQQRRAVSPFPSLYEDICNDAEDLQVSIILLPFHKHQRIDGKLESGKEGIRITNQKVLRHAPCSVGVIVERGLSKVPGFSSLVASDNVQNVATLFFGGPDDREALAWSLRISKHPNINLTIVRFLLSSSSSSSSSQSDPIENGESEDKEILMSLSGEETVNEVDNAFMVDFYNRYVTSGQIGYVEKFVKDGAQTVESLKDMGDMYSLFIVGKGGRGHCSLTIGMSDWEECPELGTVGDVLASSDFEIHGSILVVQQHRDAKKGLMND >OIW08257 pep chromosome:LupAngTanjil_v1.0:LG07:4575034:4575792:-1 gene:TanjilG_15218 transcript:OIW08257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESCFESNGGVSPGQQLKFTSAETKISSNDDGCFDCNICLESAHEPVVTLCGHLYCWPCIYKWIHVQSSSVEPDQQQTCPVCKTEISHASLVPLYGRGTSNSQSDGAKQLQMGRGIPHRPPPYNLNAMLASTRSSLNSHPAQQLHPSYFQSQSRPVHYQQYFPHMYGGYGANGLPYLGGAAMASFFNPMIGMFGDMFLTRTFGVSDANLFSYHNNGSGSPSRMRRQEMQIDKSLNRVSIFLLCCIILCLLLF >OIW07529 pep chromosome:LupAngTanjil_v1.0:LG07:18828869:18832513:-1 gene:TanjilG_14475 transcript:OIW07529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATISAPSLFHSTSRKSSSFSRSLAPHLSFISFRNTKLGFESKTLSKRLEWIGKRRRKWSVITCTAEGIGKEEGVVSVTIPERFKVVFLVACIMCLCNADRVVMSVAIVPLAAKYGWSNSFLGIVQSSFLWGYIFSSVIGGALVDRYGGKRVMAWGAFLWSLATLLTPLAANHSTTALLVVRALFGLAEGVALPSMSTLLSRWFPSNERATAIGISMAGFHLGNVVGLLLTPVMLSTIGVSGPFILFCAIGLLWLMTWAYRVTDDPIESNFICRSEIRLIQAGKSDSPKKSSNYPPLGLLLSKLPSWAIIFANATNNWGYFVLLSWMPVYFKSVYNVNLKQAAWFSAVPWATMAISGYLAGSISDSLINAGYPTTIVRKVMQTIGFIGPAVALLCLNFANTPAIAAMLMTAALSLSSFSQAGFMLNIQDIAPQYAGILHGISNSAGTLAAIISTIGTGYFVQWLGSFQAFLTLTACLYFVTTIFWNLFATGEQVF >OIW08555 pep chromosome:LupAngTanjil_v1.0:LG07:2018796:2020703:-1 gene:TanjilG_03231 transcript:OIW08555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDMHLDVYATVVTDYNGNMIVDTGPFKQSGGDQRVMFQKDKDVERNQRKLINIVDKPSSKSEGEQLSTGIKEDMYKMQRMLQKLKKDFLKQLLIQLPEEVKKMLTTDKVHSWLLI >OIW07420 pep chromosome:LupAngTanjil_v1.0:LG07:19559226:19562996:1 gene:TanjilG_19261 transcript:OIW07420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQGVKLHEPNTQSFNLLSRHAIPAVGLGTWKSGSQAINSVFTAIVEAGYRHIDTASQYGVEEDVGHALQSAIQAGVERKDLFVTSKLWCTDLTPERVRPAIQNTLQDLQLDYLDLYLIHWPFRLKEGASSPPKEGEVLDFDMEGVWREMEKLVKENIVKDIGICNFTLKKLDKLLSIAQIMPSVCQMEMHPGWRNDKMLEACNKNGIHVTAYSPLGSSDGGRDLINDPTVDRIAKKLNKTPGQVLVKWAIQRGTSVIPKSTNPDRIRENVNVFNWEIPDQDFKHLSNIPDQRRVLDGEELFVNKEVGPFRSAAQIWDHED >OIW07967 pep chromosome:LupAngTanjil_v1.0:LG07:8013495:8015829:-1 gene:TanjilG_20068 transcript:OIW07967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALELKKFLVQGLTTFNQLKHAHCRLLRLSLDQDNYLINIILRYSFHFGNTHYTNLIFNHTQHPNIYLYNTMIRGMVSNHSFHDAIQLYASMRKDGFTPDDFTFPFVLKACARLDRFELGLNLHCLVVKTGFDCDVFAKTSLVCLYSKCGYLRDARKVFDDIPEKNVVSWTAIICGYIESGGYKEAVDLFRGLLEMGLRPDSFTLVRVLYACTKLGDLKNGKWLDRYISESGLHRNVFLATSLVDMYAKCGSMEDARRVFDVMPEKDIVCWSAMIQGYASNGLPKEALDLFFEMQKENLRPDCYAMVGVLSACARLGALDLGNWAKGLMDANEFLSNPVMGTALIDLYAKCGSMGQALEIFKMMKGKDCVVFNAVISGLAMNGHVRATFGVFGQMGKYGINPDGNTFVGLLCGCTHAGLVSDGRRYFNSMSHVFNVAPTIEHYGCMVDLLARAGLLVEAQNLIKSMPIKANVIVWGALLGGCRLHRDTQLAEHVLKQLIELEPWNSGHYILLSNIYAASHRWDEAERIRSTVNEKVQIRDRFAALEKWPLVKYTCIPNILIPPISQPEAAIWVQR >OIW07641 pep chromosome:LupAngTanjil_v1.0:LG07:16448242:16450122:-1 gene:TanjilG_03749 transcript:OIW07641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFPHNDQSYFLMLEILGKGRNLNVARNFLFSIEKGSNGVVKVEDKFFNSLIRSYGEAGLFKESMKLFQIMKSVSVSPSVITFNSILLILLRRGRTTMAKEVYDEMLGTYGVTPDVFTYNILIRGFCRNSMVDEGFRFFKEMTSLDCNPDVVTYNTLVDGLCRAGRVTIAHNLVKGMSKKCEDLNPNVVTYTTLIRGYCMKNEVDEALVILEEMTGKGLKRNIITFNTLIKGLCEAQKLDKMKDIWEQMIGDSEFSPDTCTFNTLIHAHSCAGTLDEALKVFENMKKLQVPADSASYSVLIRCLCQKGKYDTAEKLFDELFEKEILINNFGSKPLAAAYSPIFQYLCEHGKTNKAERVLRQLMRRGTQDALSYKTVIMGHCKEGAYENGYELLVWMIRRDFLPDIGIYDSLIDGFLQEDKPLLAKETLEKMLKSSYLPKTDTWHSILAKLLEKGCPHESAWFIVTMLEKDIRQNINLSTKSLLLLFDRGLQSRAFEIIELLYKNGYRVEIEQVIQFLFTRGKLSEACNMLLFSLENHQNADIDLCNTVILDLCKINKVTEAFKLCYELVEKGLHQELICLNELKAALESVGKLEEAAFISKRMPGLENLSRSLPNNCSMKSGHTEV >OIW08744 pep chromosome:LupAngTanjil_v1.0:LG07:712130:712930:-1 gene:TanjilG_03420 transcript:OIW08744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPPGPYSGTSTLALVARASAFSFGLVYGSLKLKILKNGHYKFIVVALMNDESKLQLEDPD >OIW07953 pep chromosome:LupAngTanjil_v1.0:LG07:7906264:7912055:1 gene:TanjilG_20054 transcript:OIW07953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKKVVEARSHQRLSGADRKKLRRNIKEKFSRASDSDLDALLPPKAEITVAKLQNRVHVYSVEGGYPMFFDVDGRGSEIFPSVYALWTVPELLPAFMLKGGEVSPFIIGGADLMFPGIKVPPEGLPSFVPGEAWAVKVPGNPAPIAVNCLHCLFLFTKLSDHIVDRHSLLKFHSFFEGWEYHNEQESVEGRPVPNAGFYEDAVFEDPSLLSLPQNSDSTEIVGETSIVQPNNTGSIEVDESHVNELQADSSCALTIPNDENDTTNAITAGVADLKLPDSSPANDPNEQHTLSTADIDLLLDKCLLQALHTTVKDKELPIPGSTLWSNHVLPCRPPGTTLDIKKSSYKKLSKWLHAKSSSGLLSVKEDKYKKEVMLLSVNRKHADYASFKPERRPVEKSEQPSVQSANEVRSSKTLEVAEIYKPSVHVNPIFSSVGADTGRLYSASEASDIAFEYIEKQNLVKPTNKSIVVLDAILCDALFKGVIKKGTTYPTEIHKKDLGTGFVSRMQPHHVVTRGNESMVRKGGLKPIQLLTERRQGNKKVTKLSGMESFLLDADALASELQKKFACSTTVGELPGKKGHEVLIQGGVIDDLAKHLIEQYGVPKRYIEVLDKTRK >OIW07960 pep chromosome:LupAngTanjil_v1.0:LG07:7962835:7963792:-1 gene:TanjilG_20061 transcript:OIW07960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKALPRPLPASIGSSMSNNEEDEKHDNEREEDDESVENLSPEDLLKKHVKHARKVRARLREQRLQRIKRYRSRLTLLLPQPAEQFRNDTAAGN >OIW07571 pep chromosome:LupAngTanjil_v1.0:LG07:17783623:17785870:-1 gene:TanjilG_08458 transcript:OIW07571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQAISKTVAITEILKKRIPQLYQDTAISSVSITDVWEPIEEGLVPVEMTRHVSMISITLSTGELNKDAPGYQAPSDVEQPKLNFNYQQQPIKPARGPYNAANEDSYGQGRGRGRGRGGYGYQGGYGNYQGGYGYYQGGYANYQDNGGYSNRGRGSGRGRGWGYRGGRGGGTGYEGGRGYGGRGGSGYEGGRGYGGRGGSGYEGGRGGSGYEGGRGGLGYEGGRSYQGGRGGSGYEGGRGGTSYEGGRGGSRYEGGRGYERVRGGDTGYEGGRGGGGAGYERGRGGGTGYGGRGGGAGYDGGRGRGAGYDGGRGGGAGYDGGRGGGAGYDGGRSGGAGYDGGRGGGTGYDGGRGGGADYERNRGVDTGYDGGRGGGSNGYERGSRGGGGNGYERGGRGGGRGYGRGRGRMGGRTTRGGGGNQA >OIW08383 pep chromosome:LupAngTanjil_v1.0:LG07:3453791:3456390:1 gene:TanjilG_03059 transcript:OIW08383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFCNIFFGWSKASKCKKSLKRARCTLRQVKNRRETMAKYLRKDLAELIHNGYDDAALKRVNKLIQDERLAIAYEMLDNFCEFILMKLSYIRRNKDCPQDINEAVSSLIFASARCGDLPELRVIRNLFGQRYGQEFVTAAVELYPGNLVNKQLQENLSEKSVSDDLKSKVIDEIAIDKYLLPEVLAIEYHSDWQQLQEKENRMLQLVECDAKANETISGCKINRLEVQDIERDVICSNSSISNSSDNFSLPESCLIDNSALLSTVQNYPPYSNYPLQEKVEEVEEFHEPFPLATSEFQNKVENMALVPYSEILSSPTCAKARVDYIDEYQFSVSEDDSWQRDKTRFGYDESDMDGDELTRDRSSIMSFRKKKQEPKKRSRRRSVLLKGQGIMDIGYLVYCHKPYRKKAKPLPEGIPKSSYPQMRLKQHSSSQKGKSLNSDMCDCSLDQPCYCCFYTDQDYFEDLSVKPKGGVRDAHVQQEIMLSELCHYKPLCHGESIKGMELVTIPQKQNSESYNQSGIPNTKGSYSSDKSSNPRTYGTLKRAEIAPSYSRALTIPLERSKNWEDKILRTYSFPIPRPKHVHPKLPDYDDIVANFSSLKREHLENKDFCKEQMK >OIW08812 pep chromosome:LupAngTanjil_v1.0:LG07:295833:298635:1 gene:TanjilG_16393 transcript:OIW08812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTYEATDVVITRINNFEPEHAFKIIGHILVNLEDIELIRLASSPDYVLHSLVLRLKTLLGLSSSPNTVPIPTPSLIAPNPFSRFSANRSSLSAFTTNPISPKFTSLVPPEHGSVSKSCLSPRVMMGGECDINEQQMSDYFSFSRDEGLVDPELELGHGNGDVHFHTSYSNGDVCFGSEEIGFKPCHYFAKGFCKNGRNCKFLHTDLTDSVGPFVGSPTRFDGLEQRGGFMRLKAAQQQRFMAMAAAASPASHDKYINFLMQQQNHSPRADFYKFDWCRHERSDFLAMLAAEQHNPSSRQIYLTFPAESTFKDEDVSEYFSTFGPVQDVRIPYQQKRMFGFVTFIYPETVRHILSKLNPHFICDSRVLVKPYKEKGKLLDKKQQHQQQFDRGDFSPCLSSSGHGSKEPCDFHLGARMFYNPHEISLRRKLEEQAELQREIELRGRTMVNLHLPDFVNNPICSGASMPLPHLHGHISNPGLSSDNGNADITGFSGDPVSVISLESQQEVDPTGTHDTDNGNGKESADARIRDINDILESALPDSLSASPTKVAAEDNLSEFSTFSTTLLSSLNNLEL >OIW08494 pep chromosome:LupAngTanjil_v1.0:LG07:2517560:2520583:1 gene:TanjilG_03170 transcript:OIW08494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEVLHSPASHFSSSSSDGILSPPPPPPPSVVVEGFSTVTDCDRVEVTDNNEKGSGEEEEVSLLAILVTLFRKSLIACNSVDRSELCAMEIGWPTNVRHVAHVTFDRFNGFLGLPVEFEPEVPRRPPSASATVFGVSTESMQLSYDTRGNSVPTILLLMQRRLYAQGGLQAEGIFRINADNTHDEYIREQLNRGLVPDNIDVHSLAGLIKAWFRELPTGVLDSLSPEHVMQCQTEENCAELARQLPHTEASLLDWAINLMADVVQHEHLNKMNARNIAMVFAPNMTRMADPMTALMYAVQVMNFLKTLILRTLRQRKDFVVEPSPGFCSEPFDDNEDHSDLFYSFQQDAATENEEGGETFVSEKAVSECSPESLQNINSTEGECGSLVSSYEDLLWNEDLHCEFPLKGKVEKSKTGQPGSSSTIKGLQKTKGQQPVTHGTMAVEKGISNLSLIDSRSERIEAWR >OIW07981 pep chromosome:LupAngTanjil_v1.0:LG07:8207222:8212237:1 gene:TanjilG_20082 transcript:OIW07981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKNVSSNAPNKWKMPLFVFFKDARSVFKLDDLSKQIIGIAFPSALAVAADPIASLIDTAFIGRLGPVELAAAGVSIALFNQASRITIFPLVSITTSFVAEEDTIEKLNIIAAENKLKENVKLTEVIMLEDHMIQDIEKGTPRENTDTPKESLAGRGEETKEIKANNEDDGNSNTCKYSNVTTSTKSKEKVVKKKRHIASASTALIFGTFLGLFQAAVLIFAAKPLLSVMGVKHDSPMLAPALKYLKLRAFGAPAVLLSLAMQGIFRGFKDTTTPLYVIVSGYAINVALDPILIFKLKLGIRGAAISHVLSQYMMALTLLLMLMKKVYILPPRLKDLQIFRFLKNGGLLLLRVVAVTFCVTLAASLAARLGSIPMAAFQTCLQVWLTSSLLADGLAVAVQAILACSFAEKDYKKATSAATRTLQMGFILGMGLSLAAGFGLYFGAGIFSRNADVVRLIRIAIPFVAATQPINSLAFVFDGVNYGASDFAYSAISLVIVSMISIATEFLLYRSKHFIGIWIALTIYMTLRMLAGIWRMGTGTGPWRFLRENSMT >OIW08462 pep chromosome:LupAngTanjil_v1.0:LG07:2737646:2741690:1 gene:TanjilG_03138 transcript:OIW08462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENAKENWSEAVEDLVALGETESAISLLESMISNFETLKPSDSVSQLQLASALSDLATLYSSKGFSLKSDQLQSRASVIKQRTLSAEARFLKESKEGGVASSSNDYNFNGASYHDSLPRNASSDDDWEAIADREPEELLSSISSDCLSGVSNLKLENTKSQTPKRRGRGTFSYEKQKLYSDQVLDGSVIDVEDEETRCSSEDKKDIQDSKYGTGHVLVLADFPPSTKTIELEKIFEGFKDRGFVIRWVNDTVALAVFQTPSVALEARNCIRYPFNVRILDEEDALLSSIKTRDLEPPRQRPKTSAQAAQRLIAHGMGLKLSSTTTSSAREYRKQEDARRERIVTRQKLRDQAWGDV >OIW08323 pep chromosome:LupAngTanjil_v1.0:LG07:3859829:3861004:-1 gene:TanjilG_02999 transcript:OIW08323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAKNQFHYISLPLIFCFVFLAFQVTSRTLQDASIYERHEQWMIRHGKVYKDPQERENRFRIFKENVNYIESFNDAGNKPYKLGINQFADLKNEEFTATRNRFKGHMCSSITRTTSFKYENVTAVPSTVDWRQKGAVTPVKDQGQCGCCWAFSAIAATEGINKLSTGTLISLSEQELVDCDTKGVDQGCEGGLMDDAFKFIIKNHGLTTEANYPYKGVDGTCNANEATNHAATITGYEDIPANNEQALQKAVANQPISVAIDASGSDFQFYKSGVFTGSCDTELDHGVTAVGYGVSIDGTKYWLVKNSWGSEWGEEGYIRMQRDVAASEGLCGIAMQASYPTA >OIW08614 pep chromosome:LupAngTanjil_v1.0:LG07:1582274:1586136:1 gene:TanjilG_03290 transcript:OIW08614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNSSNGDHASSKQPPLPSPLRFSKFFQSNMRILVTGGAGFIGSHLVDRLMENEKNEVIVADNYFTGSKDNLKKWIGHPRFELIRHDVTEPLLIEVDQIYHLACPASPIFYKYNPVKTIKTNVIGTLNMLGLAKRVGARILLTSTSEVYGDPLVHPQPESYWGNVNPIGVRSCYDEGKRVAETLMFDYHRQHGLEIRIARIFNTYGPRMNIDDGRVVSNFIAQALRGESLTVQSPGTQTRSFCYVSDLVDGLIRLMGGSDTGPINLGNPGEFTMLELAETVKELINPDVEIKIVENTPDDPRQRKPDITKAKALLDWEPQVKLRDGLPLMEEDFRLRLGVDKKN >OIW08606 pep chromosome:LupAngTanjil_v1.0:LG07:1652093:1653146:-1 gene:TanjilG_03282 transcript:OIW08606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAKVDSSKKGDPKAQALKTAKAVKSGPTFKKKAKKIRTKVTFHRPKTLTKDRNPKYPRISATPRNKLDHYQILKFPLTTESAMKKIEDNNTLVFIVDLRADKKKIKDAVKKMYDIQAKKVNTLIRPDGTKKAYVRLTPDYDALDVANKIGII >OIW07597 pep chromosome:LupAngTanjil_v1.0:LG07:17430904:17433201:-1 gene:TanjilG_28410 transcript:OIW07597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANTTTPSISIETMDYLSLLPQELIHAHILPKLFTKEAARTTILSKRWRSLWTSLPYLEFYQFHFHHTISFRNFVDHTIRDHARTETDILRFKLFITHDIQVSDIDNWVRLVMSRNVREFHLHNLGREPYTWPSRNVCMANTITLLELYNCEVRCNNNNIRLPHLQTLSFEKVLIDHRSIEFFLIGCPSMNDLKVWNCYELKYLIIANHHQLKRVLKEKRPDCYSESSSFTMSREAFERNIGLSLVSMNNISGENDHKIAFFDALFEVGFNTKQAIVLESL >OIW08182 pep chromosome:LupAngTanjil_v1.0:LG07:5428473:5429760:1 gene:TanjilG_24377 transcript:OIW08182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSYVDLTNGPGQKTKYLWSKDEVHTALHFVLPMSHANFCSVFASLVFINMGYPGITPLEGMASRVVVMVQHLQGGSPATFYCIHSLFQKASQLCSEVFTHEADAWKRYKAYAGMGVQRGKVERKLAVRPIAAHTIATTNASAENSQVISLIYIRLEITTKPRILFMKTDP >OIW07440 pep chromosome:LupAngTanjil_v1.0:LG07:19716795:19723714:-1 gene:TanjilG_19281 transcript:OIW07440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERRKVRCEVLLALMTLFHILLSSGFVSASGLNKNQQVEQFRRNLLANGLATTPPMGWNSWNHFNCQIDEKIIRETADALVSTGLSKFGYTYVNIDDCWAELIRDDKAPLLLGCDIRNMTKETKEIVTNKEVIAVNQDPLGVQAKKVRMEGDVEIWAGPLSGYRVAVVLLNRGPLRNAITASWDDIDIPPKSVVEARDLWEVVVAADFKPTDKILLSCGGPPSSTDADGRDWNTDIGSKFESSSAAVKKSTTSPAATQDPAVPEVPYMTARVFYSQFTYSIPVASGWKFLRLYFYPASYAGLNASNALFGVTVQQSYTLLRNFSVAQNTLALNYAYIVKEYVIHVEGETLNVTFSPSANASNSYAFVNGIEVVSMPDIYTSSDVSTLIVGTNTPFTIDNSTTLENVYRLNVGGNDISPSHDTGLFRSWSDDMPYIFGAAFGVTEPADPSVKIEYPPGTPSYIAPNDVYTTARSMGPNPQINLNYNLTWLFWIDSGFSYLVRLHFCEGSTNITRINQRVFDIFLNNQTAGPGGADVIAWAKESGLSHSNGVPVHKDYVVFVPNGEPRQDLWLALNPDQASKPQYYDAILNGVEIFKINDSTGSLAGTNPIPPPLQEKIDPSLARSHPHAKSKSQTGVIAGGIGGGVVLLLLVVGLFVFACRRRHRKESSATEGPSGWLPLSLYGNSHSAGSAKTNTTGSYASSLPSNLCRHFSFAEIKAATKNFDDALILGVGGFGKVYKGEVDGGTTKVAIKRGNPLSDQGVHEFQTEIEMLSKLRHRHLVSLIGYCEENTEMILVYDYMAYGTLREHLYKTQKPPLPWKQRLEICIGAARGLHYLHTGAKHTIIHRDVKTTNILLDEKWVAKVSDFGLSKTGPTLDNTHVSTVVKGSFGYLDPEYFRRQQLTDKSDVYSFGVVLFEILCARPALNPTLAKEQVSLAEWAPHCYKKGILDQIMDPYLKGKIVPECFKKFAETAMKCVSDQGIERPSMGDVLWNLEFALQLQESAEESDKDFGGKMMVNEEEPLFSSDDCKGKKDTDASNVSDSRSSGMSMSIGGRSLASEDSDGLTPSAVFSQIMNPKGR >OIW08082 pep chromosome:LupAngTanjil_v1.0:LG07:6608111:6609496:1 gene:TanjilG_21062 transcript:OIW08082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLFDHDVFPFKKSPSDSSPSSSLPAAIYSSTLFSSFITFSPSVFDLDIIFQVMAIAADAQFHVLAVDDSRIDRMLIERLLKTHSFHVTAVDSASKALKFLGLVEDDMRTFDTPVATKIHQDVEVNLIITDYCMPGMTGYDLLRKIKESKSLKDIPVVIMSSENVPSRISRCLEDGAEEFFLKPVQQSDVKKLKPHFLKSIIKEEQDQPNNNKRKCMEESYPPNRNIQKT >OIW08852 pep chromosome:LupAngTanjil_v1.0:LG07:549417:550004:1 gene:TanjilG_16433 transcript:OIW08852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSERVTGKVKWFNDTKGFGFITPDDGGDDLFVHQSQLKSDGFRSLAEGESVEFQIEADSDGRSKAVDVTGPDGANVQGSRRGGGAGGGAGGSYGGGRGGGGYGGGGRGGGRGGRGGYGDGGYGGGYGGGGGGGGGGCYSCGESGHIARECPQGGGGGGGGRYGGGGGGGGGGGSCYNCGESGHFARDCSTAGGR >OIW07464 pep chromosome:LupAngTanjil_v1.0:LG07:19057307:19061204:-1 gene:TanjilG_24326 transcript:OIW07464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGNFFCALNCCKGKGVLDHQPHEKVIATKTYSYKSLRSATGDFHPSSKIGGGGYGVVHKGVLRDGTQVAIKSLSVDSKQGRHEFMTEIDMISRTRHPNLVELIGFCVEGSHQILVYEFLENNSLASSLLGSRSKYVPLDWPKRAAICLGTASGLRFLHEEAQPRIVHRDIKASNILLDGNFNPKIGDFGLAKLFPDNITHVSTRVAGTEGYLAPEYALLGQLTKKADVYSFGVLMLEIISGKSSSKAAFGENLLVLVEWAWKLKEEKRLLDLVDPNLTNYNEIEVYRFIMVALFCTQATSQHRPNMKQVLKMLSKEVHINEKALTEPGIYRCHSYGKIVTSLNDSSSSSQEASILM >OIW08476 pep chromosome:LupAngTanjil_v1.0:LG07:2618061:2618571:1 gene:TanjilG_03152 transcript:OIW08476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTFATVAGLGGASLSSTSSRLTSGFCKSKVSARNPLRQTMAVGDRGRVTCFQRDWLRRDFNVIGFGLIGWLAPSSIPAINGNSLSGLFFDSIGSELAHFPTPPALASPFW >OIW08059 pep chromosome:LupAngTanjil_v1.0:LG07:9573107:9573406:-1 gene:TanjilG_20160 transcript:OIW08059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVDMNDKKLTLIGDIDPIHVVEKLRKLCRTEIISVVPAKEEKKKNEESKPNNKSSTKVSESLKLFEAYPLCYEMRPPHYNQYHYVTSVQEDPFGCVIL >OIW08118 pep chromosome:LupAngTanjil_v1.0:LG07:5896111:5898644:-1 gene:TanjilG_06661 transcript:OIW08118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSNTNTSVEWHVRPPNPKNPIVFFDVTIGNIPAGRIKMELFADIAPKTAENFRQFCTGEYRKAGLPVGYKGCQFHRVIKDFMIQAGDFVKGDGSGCVSIYGHKFDDENFIAKHTGPGLLSMANSGLDTNGCQFFLTCAKCDWLDNKHVVFGRVLGDGLLVVRKIENVATGPNNRPKLACIIAECGEM >OIW07692 pep chromosome:LupAngTanjil_v1.0:LG07:13652313:13658589:-1 gene:TanjilG_30769 transcript:OIW07692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTPARKRLMRDFKRLQQDPPAGISGAPHDNNIMLWNAVIFGPDDTPWDGGTFKLTLQFNEDYPNKPPTVRFVSRMFHPNIYADGSICLDILQNQWSPIYDVAAILTSIQSLLCDPNPNSPANSEAARMFSENKREYNRRVREVVEQSWTAD >OIW07678 pep chromosome:LupAngTanjil_v1.0:LG07:14996852:14999187:1 gene:TanjilG_07720 transcript:OIW07678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHNISLNMPPMVQTFNPEDLFTRRCIWVNGPVIVGAGPSGLAVAACLKEQGVPFIVLERANCIASLWQNRTYDRLKLHLPKQFCQLPNFPFPLDFPEYPTKFQFIKYLESYASYFSITPQFNETVQSAKYDETFGLWRVKTIRKSHSGNSFNEFEYICRWLVVATGENAEKVVPEFEGLEEFGGHVMHACDYKSGKSYNGQKVLVVGCGNSGMEVSLDLCNHHAKPLMVVRSSVHVLPREVFGKSIFELAVKLMKHFPLKVVDKILLILARLILGNVEKHGLKRPKEGPLELKNSAGKTPVLDIGALKKVRSGKIKVVPGIKKFTEGKVELVDGRVLQIDSVILATGYRSNVPSWLKENEFFSNDGIPRNPFPNGWKGSAGLYAVGFTRRGLSGASLDAISVSHDIAKSWKEETKQKKKAVASHHRRCMSHF >OIW08657 pep chromosome:LupAngTanjil_v1.0:LG07:1300717:1301443:-1 gene:TanjilG_03333 transcript:OIW08657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAPKTVISSAPPLPLPEPRRKHCRSFSRWINLHPFDMSNHWNGLKKTKSARVSPVSRRKPSFSNGERSNSFVGTEEYISPEVVRGDGHEFADDWWAMGILTYEMMYGTTPFKGKNRKETFRNIFDETAGDPTNRLVYARGASEIKEREFFRGVQWEMLTEMVRPPFIPTRDDGKMTENFSAVNGGVDIKDYFETLKSQPSLPPSPVSSVSCKFKRAVSVTEF >OIW08542 pep chromosome:LupAngTanjil_v1.0:LG07:2137393:2145395:1 gene:TanjilG_03218 transcript:OIW08542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNRDIKNVAFVIIVVVLSSIVGPLHVSCQSNNDGDSDGDGGGAGVQILAQELYRASMTNITKILKATIKKELGFCIIDVVNMTPFSIMKFTLGTFCVDSVSGDITQRICTAAEVEAYARSFTSKSKSVFLQPNINCNLSSWLNGCEPGWACKANQKVEPNNNKKEIPVRSVDCQPCCEGFFCPHGITCMIPCPLGSYCPRSELNKTSGICEPFYCRTGSTAQERCFRLASCEPKSSNQNITAYGVLVFAGLCFMLIIIYNCSDQVLATRERRQAKSRERAAQSVRETQAREKWKSAKDIAKKHATELQSQLSRTFSRKKSTKTPEFKGVLPPVAGTSKAKKKDKNDLSKIINEIEENPDSQEGFNVQIGDKNAKKAPRGKALHTQSQMFRYAYGQIEKEKALQEQNKNLTFSGVISMASDIDIRKRPTIEVAFKDLTLTLKGKNKHLLRSVTGKLYPGRVSAVMGPSGAGKTTFLSALTGKATGCHTTGQVLVNDQESSITSYKKIIGFVPQDDIVHGNLTVEENLWFSARCRLSADLPKEEKVLVVERVIEALGLQAIRDSLVGTVEKRGISGGQRKRVNVGLEMVMEPSLLILDEPTSGLDSSSSQLLLRALRREALEGVNICMVLHQPRMFDDFILLAKGGLTVYHGPVNKVEEYFSSIGIVVPDRVNPPDYYIDILEGIVKLPVSSGVNYKQLPVRWMLHNGYPVPMDMLSTVEGMATPGEGSAHGAATTTGNADDTSFAGELWQDVKCNVELKKDNLQHNFLNYSNDLSNRETPGTFTQYKYFLGRVGKQRLREARMQAVDFLILLLAGLCLGTLAKVSDESFGSTGYTYTVIAVSLLSKIAALRSFSLDKLHYWRESASGMSSLAYFLSKDTVDHFSTIVKPLVYLSMFYFFNNPRSSVVDNYIVLLCLVYCVTGIAYVLAIFLQPGPAQLWSVLLPVVLTLVATYDNQEDSKYVRFLSDLCYTKWALEAFVISNAKRYAGVWLISRCGALYASGYDLNHWYQCLGLLILTGIVSRMLAFFCMVTFQKK >OIW07800 pep chromosome:LupAngTanjil_v1.0:LG07:10890840:10893030:-1 gene:TanjilG_31992 transcript:OIW07800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQSYLLNSLYVTGIFSSFFYSNSESSFLFTSLYPSFILLSNFAGLQPSILKPRNFGFSQSSKYPTKRKANSSTWGATQCCSCHTEILHAATTQNCLDSDVALNTLKLSIPGTLCPSTDFFSGLVLADLDPATAKLAIGFLGPFLSAFGFLFILRIVMSWYPKLPVGKFPYVIAYAPTEPLLSPTRKVIPPLAGVDVSPVVWFGLISFLNEILVGPQGLLVLLSQKIN >OIW07845 pep chromosome:LupAngTanjil_v1.0:LG07:10647314:10647928:1 gene:TanjilG_32701 transcript:OIW07845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLACCLVLISLCLCRVLEPAFAAKKGHKTLKVKPTKHIAPATVPFPSAIPIEQALIQQLCHDTRKFKLCRKIVQGDRVALESVAEAKIAIDIATSMASRVGAYMSTQLKTNRVKVLSRGFVKVCKFNYDNAIVDLNLSYINFESNTKKAIESLKQAEIKVGYCVNSLKSGSKNSEIPPVHKANKVIQSLIKAAESVAKKQTH >OIW07620 pep chromosome:LupAngTanjil_v1.0:LG07:16925667:16928823:-1 gene:TanjilG_16601 transcript:OIW07620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQKKSEIQIGKESIGVSSDFNPTPPIPYPSSIHQKFNTHNHFFPQSLIPNTTHNDLLSNTHQQSSTPHSYNHKIPFISHNTAFFSVTIAAKSVLFHLLRRIKHLRRFHLRLLLLLSLPFFYFLVSHPTHSLLLDFLSAFAFSAVLLLSLNLALPRFPSIRLFLARSLKPSSNSRPAPPLPVFWTIGSRRRSEKAAAPAASSGCWVQVYGNGDVYEGEFHKGKCSGSGVYYYSMSGRYEGDWVDGKYDGYGVETWARGSRYRGQYRNGLRNGFGVYRFYTGDVYAGEWSNGQSHGCGIHTCEDGSRYVGEFKWGVKHGLGHYHFRNGDTYAGEYFADKMHGFGVYRFANGHRYEGAWHEGRRQGLGMYTFRNGETQSGHWQNGVLDIPSTQSTTYPVSPVGVNHSKVLNAVQEARRAAEKAYDVAKVDERVNRAVAAANRAANAARVASVKAVQKQMHHVNHENFPLPIV >OIW08157 pep chromosome:LupAngTanjil_v1.0:LG07:6381372:6385492:1 gene:TanjilG_06700 transcript:OIW08157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTVCELEVMVSNTGNSLFILRGLPSPYSTRRETRALATLSSYPLQGDFPEVIEEYLQHGSMKCIAFNRRGTLLAAGCNDGSCIIWDFETRGIAKELRDNGCSSPITSICWSKCGNRILASAADKSLSLWDVVSGNRITRVVLQQTPLQVRLHPGSSPPLCLACPLSCAPIIVDLNTGNTTSLKVSVSEISNGSTPASRNKWSDGTISFTPTAACFSKYGNLVYLGNSKGEILVIDHKYGEVRAMVPISSGSVVKNIVFSRNGQYLLTNSNDRVIRIYENLLPLKDEVRALDDLNERLSDQSGVEKLKGVGSKCLTLFREFQDAITKVHWKAPCFSGDGEWVVSGSASKGEHKIYIWDRAGHLVKILEGPKEALIDLAWHPVRPIVVSVSLNGIVYIWAKDYTENWSAFAPDFKELEENEEYVEREDEFDLNPDTEKVKGSDANGDEEVDIVALEKDPAFSDSDMSQEELCFLPPTLDVAEQQEDKFVESSSKMVDSNNSGSPRSEEAEPNGHTSNNVSIPVEDDAGGTHIKRKRKLSEKVLELQAEKVKKPSKSSKTSKSKSKSLFDQDNNGNDSHGDQVSD >OIW08635 pep chromosome:LupAngTanjil_v1.0:LG07:1438105:1441462:-1 gene:TanjilG_03311 transcript:OIW08635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFQKSLEYRFNGYQVPATARRARSTRRRAKFQRRVEDNQICAFELLATIAGKLLQEKENPTMTIDTSSEKDQSGSVKECQNANKLFKAELSDEGSCDNKCFSLLSSQTNNPNCCLSEFPNPEIDVYSGIASIVTSSNSLERVVADKLVDGKSQDGMENVTSNAKLDSTGYAEVSCCKLDGDTSEVKDELHKFQNVPIESGTEMCCFEDPLDENPTALINLDDNVKLSGYNDGIPCSSFSKGCGNVPVVSRDDDEKFSGCSNPCTKTKSFRPITSVGDRVRKTLASKYSKVAQESKVHSNNDGDFKPTFHIKKYCYKRQRSQMNIPLKKRKLFNCSSVSNSNKFIRSGGIYHSPENDMSHYTSGSSPGRMRKDHGIDSLERCQHSALQCGGSHVKLRIRSFRVPELFIEIPETATIGSLKRTVLDAVTAILGGGLRVGVSLQGKKVRDDSKTLIQTGISLDSHLGSLGFTLEPNSSPSLLHLCAAHSSHVPSAEIPQSPIRPAIHQRVQGSLDMLPDHQVTNFGNFVVNDHDSTPSPINTSVDKSRTDSKALDTVPEMGEDELAMVPVLQKSKRSEIVQRRIRRPFSVEEVEALVHAVEKLGTGRWRDVKVCAFDNAKHRTYVDLKDKWKTLVHTARISPQQRRGEQVPQQLLNRVLIAHAYWSQQQNQETNTTL >OIW08829 pep chromosome:LupAngTanjil_v1.0:LG07:393692:397527:1 gene:TanjilG_16410 transcript:OIW08829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNVNIPLCMLLIALVHLGASVPLSFNYGDALDKSLLFFEAQRSGKLPLQQQRVKWRGDSGLNDGFQQGVDLVGGYYDAGDHVKFGLPMAYSVTMLAWGAIEFRKEISDINQLENTLWAIKWGTDYLIKAHPQPNVLYGQVGDGASDHYCWERAEDMTTSRTAYRIDEAHPGSDLAGETAAALAAASIAFSPYNSSYSALLLVHAKQLFTFADRFRGLYDNSIYSAQQFYPSSGYSDELLWAAAWLYEASSDEYYLKYVVDNAVYMGGTGWAVKEFNWDNKYAGLQILLSKILLEGKAGSYASTLKQYQAKADYFACACLQKNDGYNVQKTPGGLLYVHDWNNLQYVSSAAFLLVVYSNYLSAAKTQLNCPEGQIQPPELISFSKSQVDYILGKNPKYISYLVGYGQNYPVHVHHRGASIASIFSLQSEVGCSQGFDAWYNNAEANPNVIYGGLVGGPDGNDAFSDERSNYEQTEPTLAGNAPLVGIFAKLQSLYGNIGSYHQNEVPVPQQKAPSTGTWKTQVPKTSEVASVPVEFLHSITSSWSVGDATYYRHRVIIKNTSLKPISDLQLVIENLSGSLWGLSPTKEKNTYELPQWLKVLNPGSEYMFVYVQGGDQAKISIQSFH >OIW07837 pep chromosome:LupAngTanjil_v1.0:LG07:10486123:10488242:-1 gene:TanjilG_32693 transcript:OIW07837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSSSSLDILDLSYNLLTSAIFHWIFNFTTNLHSLDLDGNLLEGPIPNGFGTEMSSIEVLSLSLNKLQGEVPASLGNICTLRELYLSGNNLSGELSRIVQSFSRCNRHIFQILDLSYNQIAGALPDLSILSSLRELDLSNNQLIGEMPKGIGLMYELEALYIDGNSLEGDITEWHLNNLSNLKVLDLSDNSLSLKFGTTWVPPFQIQRLGLASCKLGPSFPRWLQTQRYLSFIDISDAGINDTVPVWFWNKIQSISEMNMSCNNLIGKIPIELGQLFGLVSLNLSRNNLSGEIPSDIGNLNSLEFLDLSRNHLSGRIPSSLSQIDTLGVLDLSHNSLSGKIPLGTQLQTFGPSSFEANLDLCGKPLEKICPGNETPIKPQGAAANDIDEESVFYGAFYMSIGIGIFTGFWGLIGSILLWKPWKNAYLKFLDKLTVKIVGCLR >OIW08785 pep chromosome:LupAngTanjil_v1.0:LG07:158073:158759:-1 gene:TanjilG_16366 transcript:OIW08785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDTCDKLVIFLAKRDGIDKLVKTFQYVSKLVNWHVEATQPDIANRFKQWEVASGLSRKAFRTGRFLTGFNALRRNPGSTNTLRSLAVLSNAGEMVYFFFDHFLWLSRIGTIDANLAKKMSFISAFGESVGYVFFIIADFIMLKEGIKAERKLRRCKEESKSEEELEKEIKKIKGDRIMRLMAVAANVADLIIGLAEIEPNPFCNHTVTLGISGLVSAWAGWYRNWPS >OIW07434 pep chromosome:LupAngTanjil_v1.0:LG07:19692353:19695181:1 gene:TanjilG_19275 transcript:OIW07434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFRDRNLREGKECEESKSVSQNGSVTTTQLTIDESLLVDPKLLFIGHKIGEGAHGKVYEGRYRDQIVAIKVLHRGSTSEESAALENRFAREVIMMSRVHHDNLVKFIGACKNPLMVIVTELLPGMSLRKYLMSIRPKLIELHVAINFALDIARAMDWLHANGIIHRDLKPDNLLLTANQMSVKLADFGLAREESVTEMMTAETGTYRWMAPELYSTVTLRQGEKKHYNNKVDVYSFGVVLWELLTNRMPFEGMSNLQAAYAAAFKQARPSIPDDISPDLAFIIQSCWVEEPNMRPSFSQIIRMLNAFLFTHSPPSPFPVEPDNDKPEASATASNGTITEFSARNKGKFAFLRHLFSSRRTKN >OIW07959 pep chromosome:LupAngTanjil_v1.0:LG07:7959592:7960512:1 gene:TanjilG_20060 transcript:OIW07959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRFPEHQVAGHKAIEGKLGPLIDDYGNFYKPLQSKGRGSNEVACYKFFNSDPRVPNNISQRYIPNFHGTKVLNASDGSGLHPHLVLEDVVSCYSNPSVIDVKIGSRTWYPESSDAYVSKCLKKDRESSSITLGFRISGLKFVSCSLKENDSLSWQPDKEFLQNLSAEDVKLVLSKFVSCNFSSNDDAVSLRPDRAFVNEVFGGSNGILEQLLELKQWFEVQTIYHFYSSSVLMVCDRESVMNGKSSGGVVKLIDFAHVVDAKGSIDHNFLGGLCSLIKFISDILDSPLDYENNCIFNGNGTEDEQ >OIW07479 pep chromosome:LupAngTanjil_v1.0:LG07:18243650:18247855:1 gene:TanjilG_14425 transcript:OIW07479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKDPKQVAASASGGGFFASIASTLSNFGSSMSKSVNGLDEGLEVINPEGGNEDAEEESKKGRWKQEERDGYWKMMQKYVGSDITSMVTLPVIIFEPMTMLQKMAELMEYSYLLDMADETEDPYMRLVYASSFFISVYYAYQRTWKPFNPILGETYEMVNHGGISFISEQISHHPPMSAGHAENEHFTYDVTSKLKTKFLGNSVDVYPVGRTRVTLKRSGVVLDLVPPPTKVSNLIFGRTWIDSPGEMILTNMTTGDKVVLYFQPCGWFGAGRYEVDGYVYNSADEPKILMTGKWNEAMHYQECDSEGEPLAGTELKESWRVADIPKKDKFQYTYFAHKINSFDTAPKKLLASDSRLRPDRLALEKGDLSTSGYEKSSLEERQRAEKRNREAKGQKFTPRWFDLTDEVTATPWGDLEVYRYNGKYTTHRAAIDSSECIEEPDSSIEFNPWQFDNLDAQ >OIW08186 pep chromosome:LupAngTanjil_v1.0:LG07:5452920:5455779:-1 gene:TanjilG_24381 transcript:OIW08186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSMFSLKPLSHSVFLKAPSSLFLPLNFLSLRPLSASKHARVHCDLESKLNASLSGDSDSLFLDRQKALEAAMNDINNSFGKGSVTRLGSAGGALVETFPSGCLTLDCALGGGLPKGRIVEIFGPESSGKTTLALHAIAEVQKLGGNAVLVDAEHAFDPTYSKALGVDVENLIVCQPDHGEMGLEIADRMCRSGAVDLICVDSVSALTPRAEIEGEIGMQQMGLQARLMSQALRKMSGNASKAGCTLIFLNQIRYKIGVYYGNPEVTSGGIALKFFASLRLEVRSIGKIKSAKGDEEIGVKVRATVQKSKASSNL >OIW08453 pep chromosome:LupAngTanjil_v1.0:LG07:2823512:2832991:1 gene:TanjilG_03129 transcript:OIW08453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSVSEDCSHNNTTQSLNDQQVYLVPHRWWKNAHDSMPVDSDEKKGFCIMLHQRGEDLPHNRANGKVGVSGRDFALVSGEMWLQALKWHSDSKSATKDEKVFLAIDDDMSDVYPLQLRLSVQNETNSLGVRISKKDNTVELFKRSCKVFCVDTEMLRTWNFSGQTTLFFGDDKSKVLTDFQRQSEENNDSSGSINGNSLTLSLHPGEAGSLGLTGFQNLGNTCFMNSALQCLARTPKLVDYFLDEYGREINHDNPLGMEGEIALAFGDLLRKLWAPGASPVAPKNFKSKLAKFAPQFSGFNQHDSQEFLAFLLDGLHEDLNRVKRKPYVEVKDGDGRPDEEVADEYWHNHLARNDSIIVDLCQVSRTVLEVYSSCIIRFLEDPSDSLSLIRDADRLVAYRFKKDSRVGPSVVFMNQQMEGQNIHGRSTPNWKAFGIPIVAKLCNITDGSDLRNFHLNLLSPFRILNEEPSWDFEASKETEENATMEGTTTPSLGSNVNGSDSPSDGGLEFYITDEKGSVKVSKTLMNEPLVMNGELRRLHVLFLEAFLQEESLGPEDMRYCPGCKKHRQASKKLDLCRLPEVLVIHLKRFQYSRFMKNKLETYVDFPVDNLDLSSYISYGNDKPYRYMLYAISNHYGSMGGGHCTAFVHHGGDQWYDFDDSHVHPVSKEKIKSASAYVLFYRRLFE >OIW08452 pep chromosome:LupAngTanjil_v1.0:LG07:2836486:2840218:1 gene:TanjilG_03128 transcript:OIW08452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSLGADETILVAEVYSSCIIRFLEDPSDSLSLIRDADRLVAYRFKKDSRVGPSVVFMNQQMEGQNIHGRSTPNWKAFGIPIVAKLCNITDGSDLRNFHLNLLSPFRILNEEPSWDFEASKETEENATMEGTTTPSLGSNVNGSDSPSDGGLEFYITDEKGSVKVSKTLMNEPLVMNGELRRLHVLFLEAFLQEESLGPEDMRYCPGCKKHRQASKKLDLCRLPEVLVIHLKRFQYSRFMKNKLETYVDFPVDNLDLSSYISYGNDKPYRYMLYAISNHYGSMGGGHCTAFVHHGGDQWYDFDDSHVHPVSKEKIKSASAYVLFYRRLFE >OIW08847 pep chromosome:LupAngTanjil_v1.0:LG07:524917:528718:-1 gene:TanjilG_16428 transcript:OIW08847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIGFLLYFILFTGFEAEALSYDYSATIECLAQPQKPQYYGGIIQNPELNNGLQAWIQVSEGNVPVTAVVKTSKGFIHAGATVADSNCWSMLKGGLTADKSGPAELYFESKNTSIEIWMDNISLQPFTEKQWRSHQYQSIEKARKRKVLVKAVDEQGNPLPNATISITQTNSAFPIGSAINKNIVNNSAYQNWFTSRFTVATFENEMKWASTEYSQGNEDYSVADAMLKFAKDHNIRVRGHNIFWNDPNYQSSWLSALSPDQLKSAVDKRIQSVVSRYKDQLIGWDVVNENLHFSFFESKLGQDFSARVFNKVNKIDPTQTLFLNEYNTIEDSRDSAVNPTKYIKKIQQIKNYPGSASLPLGIGLESHFPNSPPNLPYMRSSIDTLASAGYPIWITEIDVADQPNQAQYFEQVLREAHSHPKVQGIVMWTAWSPNGNCYRICLVDSNFNNLAGGEVLDKLLSEWGLKKLSGTTDQNGFLKTSLFHGDYQVQINHPSKKNYNLSRHLKVIPNDESKRTSQFVQFSM >OIW08399 pep chromosome:LupAngTanjil_v1.0:LG07:3341125:3347716:1 gene:TanjilG_03075 transcript:OIW08399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPRLKTASPPEFLNNFKIPDYILVPDYKVENFEVDGDVPKCPVLVFVNSKSGGQLGGDLLTTYRALLNQNQVFDLLEQAPDKVLSTIYANLEKLKLLGDQFAIKTMERLKLIVAGGDGTAGWLLGVVCDLKLSHPPPIATVPLGTGNNLPFAFGWGKKNPGTDPRSVEAFLDQVLKAKEMRIDNWHILMRMRAPKEGSCDPIPPLELPHSLHAFHRVSEADELNMEGCHTFRGGFWNYFSMGMDAQVSYAFHSERKLHPEKFKNQLANQSTYAKLGCTQGWFFASLAHPASRNIAQLAKVKFMKRHGQWQDLYIPPSIRSIICLNLPSFSGGFNPWGTPNRNKQRDRDLTPPFVDDGLIEVVGFRNAWHGLVLLAPNGHGTRLAQAHRIRFEFHKGAADNTFMRIDGEPWKQPLPVDDDTVVVEISHHGQVNMLATHICKSKSMYDPSSPRLGDEEDDSEEEDSVSEEFRKFGAADTFKIRDDVDPSLLS >OIW07483 pep chromosome:LupAngTanjil_v1.0:LG07:18263833:18266204:-1 gene:TanjilG_14429 transcript:OIW07483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSSKSTVLVTGAGGRTGQIVFKKLKERSDQYVARGLVRTEESKQKIGSADDVFVGDIRDSASLVPAIEGIDALIILTSGVPQIKPGFDPTKGQRPEFYFEDGAYPEQVDWIGQKNQIDAAKAAGVKQIVLVGSMGGTDLNNPLNSLGNGNILVWKRKAEQYLVDSGIPYTIIRAGGLQDKEGGIRELLVGKDDELLKTETRTIARPDVAEVCIQALNFEEAQFKAFDLSSKPEGTGSPTKDFKALFSQITTRF >OIW08806 pep chromosome:LupAngTanjil_v1.0:LG07:256533:261558:-1 gene:TanjilG_16387 transcript:OIW08806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACSQSENGFISSFSLFSEKSVQELLQSPVQGSDDHLIEFSETLRTVAKALRRVAEGKASAQAEAAEWKRKYELERAHNLKLENRGKSCLQFQTDLDDMRTNSPTNQPTLYNETNEKPERCSRNGICSHEVLRDGSPDSDSKMIRKASFKLSWCCKGEQSDQQKHDIVSFERGNITTAERSSKQISLKWESSPQTVLILTKPNSVPVQILCAEMIRWLKQQQKLHIYVEPRVRVELLMESSYFNFVQTWKDEKEISALHTKVDLVLTLGGDGTVLWAASMFKGPVPPIVPFSLGSLGFMTPFSELNHASDSEHYKECLESILKGPISITLRHRLQCHVVRDAAKNEYETEEPILVLNEVTIDRGISSFLTNLECYCDNSFVTCVQGDGLILSTTSGSTAYSLAAGGSMVHPQVPGILFTPICPHSLSFRPLIMPEHVTLRVQVPFNSRSPAWVSFDGKDRKQLAPGDALVCSMAPWPVPTACLVDSTNDFLRSIHEGLHWNLRKTQSFDGPRES >OIW07916 pep chromosome:LupAngTanjil_v1.0:LG07:7487844:7488682:-1 gene:TanjilG_20017 transcript:OIW07916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSPKMSLYPIKKAYLRAPEHPIPIAYEDSWLALKWVASHVGGNGSDAWLNQHADFEKVFFAGDSAGANLAHQMAIRVGLEGLPGVKLEGVVLANPYFWGVDRIGSESGKEFAPKVDMLWRFASPKSSGSDDPLINPDKDPNLVKLGCKRMLVFVAEKDILKDRGLYYKELLQKRGWNGVVEVIETKGEDHVFHIFKPTSHEALVLLNHVVTFIKHV >OIW08081 pep chromosome:LupAngTanjil_v1.0:LG07:6597876:6602245:1 gene:TanjilG_21061 transcript:OIW08081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFLALYLASIGDGGHKPCVQTFAADQFDEETVAEKKTKNSFFNWWYMGIVAGSTIPVFLVPSLQQKGWWSLGFGLVSGVLALSLAVFLLGIKKYRKEGPAGSPFTRLAQVFVAASRKWRVKDTLNNNNYCYEEMDHTENPHIHAPKFHTLLHTHHYRFLDKAMIIDEVDATSKTRDPWRLCSVTQVEELKLVLRLLPIWLCCIMFTVVQAQGHTYFIKQGDTMDRSIGPNLLVPAAVIQGLVGIIILCAVPTYDRVFLPLARKFTGNPTGITVLQRIGVGLFLSIFTMVVAALVEAKRVGVARDHGLLDNPKAVIPMRIWWLLPQYIITGVSDAFTIVGLQELFYDQMPEGIRSMGAALYISIIGVGNFASIAIIDIVVAITLRVGGPWLGLNINRAHLDYFYWVLAVLSALSLCAYLWLSKVFEYKKVDVVETSNQLSLSEINHNHNHNHGV >OIW08100 pep chromosome:LupAngTanjil_v1.0:LG07:5757443:5761238:1 gene:TanjilG_06643 transcript:OIW08100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKDSCRSELRIAVRQLSDRCLYSASKWAAEQLVGIEQDPAKFTPTNTRFQRGSSSIRRKYRTHDMTATPIAGVSYVATPVMEEDELVDGDFYLLAKSYFDCREYKRAAHVLRDQTGRKSVFLRCYALYLAGEKRKEEEMIELEGPLGKSDAVNGELVSLERELSTLRKNGTIDPFCLYLYALVLKQKGSENLARTVLLESVNSYPWNWNAWTELRSLCTTVDNLNSLALNSHWMKDFFLASAYQELRMHNDCLSKYEYLLGTFGYSNYIQAQIAKAQYSLREFDQVEAIFEELLRNDPYRVEDMDMYSNVLYAKECFSALSYLAHRAFTIDKYRPESCCIIGNYYSLKGQHEKSVMYFRRALKLNKNYLSAWTLMGHEFVEMKNTPAAVDAYRRAVDIDSCDYRAWYGLGQAYEMMGMHFYALNYFKKSVFLQPTDSRLWIAMAQCYETEQLHMLDEAIKCYKRAANCNDREAIALHQLAKLHSELGHPEEAAFYYKKDLERMESEEREGPNMVEALLYLARYYKLQNKFEEAEVYCTRLLDYTGPEKETAKSLLKGMRSTQSSFPSMEVEHSPPMS >OIW08056 pep chromosome:LupAngTanjil_v1.0:LG07:9555556:9560683:-1 gene:TanjilG_20157 transcript:OIW08056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSTNPDPKPEGFYLDPNGMTRTGLNPFASVTTAASPVDSAAVLSEDAAKKIRKPYTITKSRENWTEPEHDKFLEALQLFDRDWKKIEAFVGSKTAIQIRSHAQKYFLKVQKSGTNEHLPPPRPKRKAAHPYPQKASKNAPVLLQVSGPFESPSALLEPGYIRKHDSPSPAMLKTPITNTVVPSRSNTTLQATNLSHVPKVNNSCSSSESTLGVRPVGEFNGQGNNSHSLRVLPDFTHVYSFIGSVFDPNATEHLQKLKKMDRIDVETVLLLMRNLSINLTSPDFEDHRKLLSSYEVESETESFMNAERPGSDEQLKSVI >OIW08211 pep chromosome:LupAngTanjil_v1.0:LG07:4938261:4945899:-1 gene:TanjilG_15172 transcript:OIW08211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREEEIEKLRGVVRDCVSKHLYSSAIFFADKVAAFTNDPADIYMQAQALFLGRHYRRAFHLLNASKIVLRDLRFRYLAAKCLEELKEWDQCLSMLGEAKVDDNGNVSDTKDSNVMYLDKDSEDREINISSAICFLRGKAYEALGIRPQARLWYKAAIKADPLCYEYEKENVIEAKFRDLENGCSKSDHSNPSFSRTLKTNTDLLACKAEYYHQCGEYQKCFELTSVLLEKDPFHIKSTLVHLAAAMELGHSNELYLMACNLVKDYPQKALSWFAVGCYYYCIEKYEQSRHYFSKATSLEETFSPAWIGYGNAYAAQEEGDQAMSAYRTAARLFPGCHLPTLYIGMECMRTHSYKLAEQSKATCPSDPLVYNELGVVAYHMQEYKKAIWWFEKTLALIPTSLSEMWEPTVVNLAHAYRKLKMYHEAISYYEKALTLSTSISTYAGLAYTYHLQDDFAKAITYYHKALWTKPDDQFCTEMLSLALVDEARSGLDPILELM >OIW07973 pep chromosome:LupAngTanjil_v1.0:LG07:8059020:8059193:-1 gene:TanjilG_20074 transcript:OIW07973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMKKVACAVLFAAASISAVAAAAEAPAPAPGPSSGAATVGSFVGASVLSIVAYYLF >OIW07552 pep chromosome:LupAngTanjil_v1.0:LG07:17579857:17580296:1 gene:TanjilG_08439 transcript:OIW07552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLPVGLRRKIGVEAMVLDSVVSSPHSRSPSFRRRNEIGTWTVLVERHRFLLSALVLLTFLCTVYLYFAVTFEAISSCSGLTEAQKASCQLKHAKGKLKFL >OIW08565 pep chromosome:LupAngTanjil_v1.0:LG07:1927015:1928595:-1 gene:TanjilG_03241 transcript:OIW08565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKDVEVQEHQGGGEYSAKDYQDPPPAPLFDLDELRKWSLYRAVIAEFIATLLFLYITILTIIGYNSQTDKITGGTECDGVGLLGIAWAFGGMIFILVYCTAGISGGHINPAVTFGLFVGRKVSLIRALLYIIAQSAGAIAGAGLVKGFQKSYFDRYGGGANFVQPGYNKGTALGAEIIGTFVLVYTVFSATDPKRNARDSHVPVLAPLPIGFAVFMVHLATIPVTGTGINPARSLGSAVIYNKEKIWDDHWIFWVGPLIGAFAAAVYHQYILRAAAIKAFGSFRSNN >OIW08484 pep chromosome:LupAngTanjil_v1.0:LG07:2567511:2572449:-1 gene:TanjilG_03160 transcript:OIW08484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCTGIWKDSQEKKKNHIKAILVATTDVNLNIYTKEHSTAPPGIQSSFVEEQDSLKKCLNNNLLVFIIKYAETTNPDVWFESSQARKILDKVAYNDVHGAHIKGGGARFEHHVVMKFLVVGIAIMKLRLSAPYVTSNKITAPDVEYAWASIIVVHANSSMMIFGRNNTTVTNVASAECCYSKKIEEGHPCVERAMHHNYPICFEYLFETLRDVTVLPCGHTIHIECVRGMKQLHRYACPVCSKSMCDMTNLCKKLDDVVASTPVPEMYKDKMVWILCNDRGANSHVQFHIVAHKCKCCNSYNTRQIQGNPGNSCSS >OIW07991 pep chromosome:LupAngTanjil_v1.0:LG07:8397422:8397958:1 gene:TanjilG_20092 transcript:OIW07991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EFRGRVAGIKVKTVDTTGDGDAFVSGFFYSIASDRSIFQDEKRLRKALYFANVCGAITVSDRGAIPALPTKEDVLQFLIEVAAILKN >OIW07834 pep chromosome:LupAngTanjil_v1.0:LG07:10388706:10389848:1 gene:TanjilG_32690 transcript:OIW07834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIAKTERQGSVLSLRGDKVHSMGGSSLELELVSFQKQVTDRFHELCSVGSDDFLSLSWVRKLLDSFLCCQVEFRIILHNHRAKMFKPPLDRLVNDFFERSVKALDVCNAIRDGIEQIRQWQKLLEIVLCALDHNRSLGEGQFRRAKKALIDLAIGMLDDKNSNVPIAHRNRSFGGNNTRRDYNNNQQHRSLGHFRSLSWSVSRNWSAARQLQAIGNNLSPPKANDHVPTNGLALSVYTMNSVLLFVMWALVASIPCQDRGLHVHFSIPRQFTWAAPMLSLHDQILVESKKRGRKNSCGLLREIHQIEKCARMVNELADSVRFPLTEEKGEDVRQRMKDVSHVCETLKDGLDPLERQVREVFHRIVRGRTEGLDSFGRPR >OIW08468 pep chromosome:LupAngTanjil_v1.0:LG07:2656282:2661986:-1 gene:TanjilG_03144 transcript:OIW08468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESRMDQYEIMEQIGRGAFGAAILVNHKAEKKKYVLKKIRLARQTERCRRSAHEEMALIARIQHPYIVEFKEAWVEKGCYVCIVTGYCEGGDMAELMKRSNGTYFPEEKLCKWFTQILLAVEYLHSNYVLHRDLKCSNIFLTKDHDVRLGDFGLAKTLKADDLASSVVGTPNYMCPELLADIPYGFKSDIWSLGCCIYEMAAHRNAFKAFDMAGLISKINRSSIGPLPPCYPPSLKILIKSMLRKSPEHRPTASEVLKHPYLQPYVVQYRPSFNPPPISRSPEKPISSAHRPRKNKAESQNSNSSSSDKDSLMLNERNIGTSVPKCDNKGTDTDATLIDDDGSEHRLPCEEESSASNTNANTKSVEQKVMKPSHNGQCSNIGTKQPKTIRNIMMALKEEKVRETTSPMRGTRVKAGGLPTQKINTETLSKLPKPIFDSPCSKPNLESPSAALAKATPDSAKRIPGSHPSKHQLPMTEFTPKIKPRHDFTPPPALIKQIEGDGVPSKPRLRTPPRLLRQPSFPASTRLAGVDVPNCANDTEKLSPNKIAQAPAIIRRQLTNGNVPIFRQPQKDFGRSSKGMQTDSSNSASSSVSMQGFELSDDATTFIDLIEQTIPDNASEGVESSPESMPPATFSHCKMVEQVSRETLEVALNFDKNISKNEKVSLSLTLDHLVEDSKVDDGLHINQTTISTASGCGNIPVDHPAEATQKDPQDISTSSKSDTVNQPKLVCKSSGDDKFTVRERLSMVAETAPPITTTKIPSQKALQEKGTVSQNPAQEKPDAGHLPPAFDDVIHVIRHSSYRVGSEQQQPVKETVEKGVQNVDVGKFINSLRDDLEMRNMSSPMTLKSSSCSEAASMKSNISDQCEMRNMSTPASLKSSSFFDALSSKSCISDHPGLKEQDICNPVSLVSESDSTELSKNSTPVTEEKPPQAKEILDVKSFRQRAEALEELLELSADLLQQNRLEELQVVLKPFGKDKVSPRETAIWLAKSLKGMSFEESGGRCS >OIW07782 pep chromosome:LupAngTanjil_v1.0:LG07:11457496:11457795:1 gene:TanjilG_03569 transcript:OIW07782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQLRVCKVDDTFQFWITVVYANNQLEKRKLLWNDIVDSSTGLVGPWIVLGDFNNVLGVKDGSGGSMVQKKEYEDLEDMMQLLCLFEAESQGPHFTWSN >OIW08004 pep chromosome:LupAngTanjil_v1.0:LG07:8725758:8729182:-1 gene:TanjilG_20105 transcript:OIW08004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELNASPYDLKAELKSFDDSKQGVKGLFDSGVTKVPRIFHSGELNHINTVSSIVSNFNIPIIDLEVIHYPALHVSIASRIRSACQKFGFFLVINHGIPVDVLDDMIIGIRRFHEQDTEVKKQFYTRDHNNKVRYFFNGSMSDMCEDQPQPANWRDTIEFDICPDLPKAEEISDVCRDIVIEYSNVLRELGYTIFQLLSEALGLHPFYLQELGCAESLHMMGHYLPPCPEPELTMGSMKHTNSGFINILLQDQLGGLQVLHENQWVDVPPVYGALVVSIGDLLQRMTNEKFISVTHRVTSHYIGPRISIAGTFKSLSNTNEDESKVYGPIKELLSDENREIYKDVTIMRDLWTNYYKKCIDEESMLLPFRFEANNKD >OIW07541 pep chromosome:LupAngTanjil_v1.0:LG07:17473055:17474455:-1 gene:TanjilG_08428 transcript:OIW07541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMLRNDVMPSSYSFSSLIKACTLLMDSGFGKGVHCHAWKHGFGSHVFVQTTLIEFYSILGDIGDSRKVFDDMPERDVFTWTIMISAHIRNEDMNSAGRLFDEMPERNIATWNTMIDGYTKAGNVESAESLFNQMPVRDIISWTSMMSCYSRNKRFSDLIAIFHEMINKGIIPDEVTMTTVISACAHLGALDLGKEVHLYLMLNGFDIDVYIGSSLIDMYAKCGSIDRSLLVFYKLQNKNLYCWNSMIDGLATHGYAEEVLRLFVEMERKRIQPNAVTFISILTACTHAGFIDEGRRRFMSMIEDYCITPQVEHYGCIVDLLSKGGLLEEALEIIRNMKFEPNSFIWGALLNGCKLHRNLDIAHIAVQNLMILEPGNSGFYNLLVNMYAEVNRWSEVAKIRATMKDLGIEKRCPGSSWVEINKQIHMFAASDKYHPSYGQVHMLLTELDEQLKLAGYVPELGTFLQ >OIW08845 pep chromosome:LupAngTanjil_v1.0:LG07:518628:520133:1 gene:TanjilG_16426 transcript:OIW08845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLHGYAVAVAILLFFSIHLWRHNRNNPLPNWPIIGMSVGFLCNLSNIYDFLTKVLKYHGGTFMIQGPWFTNIKFVMTSDPMNVHHITSKNFSNYGKGSEFHEMFEILGDGIFNSDSKKWKFHRDILHSLFKNNNLESFNQQIIQKKLETSLIPFLSHASKQGTQVDLQDIFQRFSFDNICSMVLGFDPNCLPNNFTELPEVAYEKAFNKMESAIYYRHVFPRSLCKLQKWFQIGHEKDLSESQVIIDQFLYECIDQTKYNSNQADESNFDMLKALMEETGNEQIDDKFLRDTALNLLFAGRDTISAGLSWFFWLVSTHPLVEAKILEEIRDTFVTKQESWLNLGVDELKKMVYLHGAICETLRLFPPVPVDHKCAIKSDILPSGYTIHPNTMVLYSLYAMGRNEETWGKDCLEFKPERWVSEKGSNIYIPSYKFIAFNAGPRSCLGRNISFIQMKMVAVAMLWNFKVEVVEDHHVSPTVSIILHMKHGLKVKITKRCVSE >OIW08285 pep chromosome:LupAngTanjil_v1.0:LG07:4304312:4304488:-1 gene:TanjilG_21751 transcript:OIW08285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPSGPSMCKTGKSSTESYIVSHNILLFHAAAYRRYFKVSKKQISSQRMINTKKKKVY >OIW07969 pep chromosome:LupAngTanjil_v1.0:LG07:8039864:8042202:-1 gene:TanjilG_20070 transcript:OIW07969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEQQHQASESHAPKLPLNDNADDEKFVSGLSTILVASIQETKDRISQIEYIFCTQLYPNLQSKSKTLIKLDNRLKKKESEFNELRGENRRITGEVVEKRRRIEELRREVDEGMVLQKSLVELVQSKGLLLAERERELKESEEKRKVAVARVSELEVKLREVEEGEELCENLRWRVSESEKKNEILLEKVRCLEERVIGENSLVEQVESLNSELQSERMKRNRMIETYKRLKSQHNYLREKVGLTAGNMIHQNKLENGSDLHKHKSPITENGLAFDNPYISMDACEAVRVKSKAPEDNFGGLEDRTHDVFIDSCEINKMKENASKDDKGANMSPHSSSFNVMPKCPSSSKSVSVSGTKRPASSWRQTRAHQSRAGPDPHDDFLDTPLENIRQNLNKDISKEDQPDPIQKDISMNSSDDETQDLNAKCTPQKMEPSFTTANKRSFKYVEPVRKKAERENLKGVECKQCRKFYEAVLPNGDGKEPDTSKQNFRCEHLDGVSRHRYRYAPPMTPEGFWNIGFESEM >OIW07442 pep chromosome:LupAngTanjil_v1.0:LG07:19728892:19732148:-1 gene:TanjilG_19283 transcript:OIW07442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSHFSGLTSARIYKPLQNQLLFPSSLTNTVDTDNVELDFDDVFGPVTVLTSVEGNSVDSFAVEPVEESNELVYDDPEVIYTRSHSLVGPSACVSQSLKLSKLTIHETEDSLELVGHVTGETTGEVNKSSFDNVIIEESLISEDGNLMKIHRVSIEDFEILKVVGQGAFAKVYQVRKKGTSDIYAMKVMRKDKIMEKNHAEYMNAERDILTKIEHPYVVQLRYSFQTKYRLYLVLDFVNGGHLFFQLYHQGLFREDLARIYAAEIVSAVSHLHSNGIMHRDLKPENILMDVDGHVMLTDFGLAKCFKESTRSNSLCGTLEYMAPEIILGKGHDKAADWWSVGILLFEMLTGKPPFCGGNREKIQQKIVKDKMKMPAFLSSEAHSLLKGLLQKEAGKRLGSGAKGIEEIKGHKWFKPINWKKLDAREIQPSFRPEVAGMQCVANFDKRWTDMPVVDSPAASPNGGNPFKDFSYVRPAASFLQRNSPAC >OIW07968 pep chromosome:LupAngTanjil_v1.0:LG07:8016924:8019869:-1 gene:TanjilG_20069 transcript:OIW07968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIVYTNSLQYSEGELRRNPEMDYPQQHSHQYNSGSLVTSLVENNNNNGCINEETFRSENHHQHYTPSTTSSEMETMFAKLIASPSNNRLSNSELLHHEFGGGKTVKEETGDSVSQKPQHNNNGYTYGSQAQLVYQSQQIQGLRNGSVGAVNAFDGSFSAVTSMDSENSTQTKMGSNLIRQKSSPAGFFNNYSVDNGHVASRDVGSFRACDVSNGQATTTSTSGLHGTLNFTSKMPQISENGNEDLEANYFKSRSIGNDNNNSECYMPSFTNDFWDGSAFNAQKKANNNGEIMFSISNAMETQDEDFGYQKHGLTHHMSLPSSIKMSGMEKLYQIQGSVPWKIRAKRGFATHPRSIAERERRTRISSRMKKLQDLFPKSDKQTNTADMLDLAVQYIKDLKKQVKILTNTKAKCICPSN >OIW08301 pep chromosome:LupAngTanjil_v1.0:LG07:4146697:4149069:-1 gene:TanjilG_02977 transcript:OIW08301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATMASTIIKTPTNPSPLFQKSSFHGTPTTLRPLPSKPHASARVSMSASQSPPPYNLNSFTFEPIKESIVSREMTRRYMTDMVTYADTDVVVVGAGSAGLTCAYELSKNPNVQVAIIEQSVSPGGGAWLGGQLFSAMVVRKPAHRFLDEIDVEYDEMDNYVVIKHAALFTSTIMSKLLARPNVKLFNAVAAEDLIVKNGKVGGVVTNWALVSMNHDTQSCMDPNVMEAKVVVSSCGHDGPFGATGVKRLKSIGLIDAVPGMKALDMNTAEDAIVRLTREVVPGMIVTGMEVAEIDGAPRMGPTFGAMMISGQKAAHLALRSLGLPNALDQVVGNIYPDLVLAAAESSEIVDA >OIW08713 pep chromosome:LupAngTanjil_v1.0:LG07:931178:935904:-1 gene:TanjilG_03389 transcript:OIW08713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEAILGYLEHNDEIKDSGEFAAERNIDHNEIVNVIKSLYGFRYVDVEDIKRESWVLTDEGNTYAVSGSPEVQLFLAIPEEGIVKDELLKKVGSSLFKIGCAQAAKNKWLDLGKQLITRKLQHVDDKVKNLLLQIQQGQGIGSDDIKALKARKLIVPQTWKGYSVKKGPNYAPKRKQVVTDLTRDNFQSGEWKELEFKEYNYTAKGQPLEGGHLHPLLKARRYFPSQFEEMPTNNFVESSFWNFDALFQPQQHPARDSHDTFFLETPSTTNKLPEDYVQRVKQVHETGGYGSRGYAYDWKREEADKNLLRTHTTAVSSRMLYQLAQKPFTPKKYFSIDRVFRNEAVDRTHLAEFHQIEGLVCDRGLTLCDLIGVLHDFFSRMGMTKLKFKPAYNPYTEPSMEIFSYHEGFKKWVEVGNSGMFRPEMLQPMGLPDDVQVIAWGLSLERPTMILYGIDNIRDLFGHKVDLGLMKKNPICRLGIE >OIW08518 pep chromosome:LupAngTanjil_v1.0:LG07:2357539:2358264:-1 gene:TanjilG_03194 transcript:OIW08518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMDKCSNEKPLLFHRSTSMAYSYHHLNCSKAQMCFFKILNAILSIPPFNHENSSTHGDRDDRSESSSPNRNTSRGISIDLNLSIYSTTLCGGSESFVNNEENNQEGEETMAKAIQEKSVEVSQVKDSQVQSFEVENSEIGYVESESKEEGDGNKGKGDGKVETTSFGDSNSFDLLVEAAKVMSEKDESNSEEEMKGLSYESKNGRTRNCALPYRYRDSVVEPLKRKQKLSSTSNAKKRRR >OIW08371 pep chromosome:LupAngTanjil_v1.0:LG07:3537622:3537978:-1 gene:TanjilG_03047 transcript:OIW08371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKISGLVVASIAAASATAVSVSSHTHVSDQDGAAQRAHVGSSSGSTEKFAPRFDGLRFIETLITAHR >OIW08091 pep chromosome:LupAngTanjil_v1.0:LG07:6680698:6681282:-1 gene:TanjilG_21071 transcript:OIW08091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPNQYIIKRWTNEAKIKEFSDKRELVMPADPKLRSKIRCNDLDLLFTYFTRRASQSEDTYKFALDVYDKSLAQVEIYLKELSCGKVGEVDTSILDNEERAVRDIEENCDTNTTKVRGVKIKGRGRGKGGLGRSCGRGNGSARFKSSLEKGKRNKKPHCSTSNAHVFIFNMKFLQYYIFDLTGYIYILIIDWSM >OIW07856 pep chromosome:LupAngTanjil_v1.0:LG07:6820305:6825091:1 gene:TanjilG_19957 transcript:OIW07856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPVFSSEGQKRLKISSDSLPYSELGKTEQKTPEKSSNVVDYSNPFAVSDFLNCLDSGKFGSVTKDIESLWTRKMQILGTYFAKYPSLLDHLVNVVVNHEETHTLECQQVAVLAQQNVSDLEVKHIDKHVPPAPSSVVIIDSDDEDDRDQKTFLPFHKVMLPNQPSPAVKMAETHPPIRYSEENEYLKFETSFADKGNSGKGKGKHLADKGNSSQDKGVYVGVADEEDDHIDTKDEELDDIWREMSMAIECSKDVSVNPLPDEEPKKDEDCDHSFVLKDDLGYVCRVCGVIDRGIETIFEFQYKVKRSTRTYVSDSWNAKEKDAFGIKIVEDDLLVTDISAHPRHMKQMKPHQVEGFNFLVRNLAGDHPGGCILAHAPGSGKTFMIISFMQSFLGKYPNARPLVVLPKGILSTWKKEFQTWQVEDIPLYDFYTVKADSRSQQLEVLKQWVERKSILFLGYKQFSSIICENGTSSSSTACQEILLKVPSILILDEGHNPRNDNTDMVHSLAKVQTPRKVVLSGTLYQNHVKEVFNILNLVRPKFLKMETSRLIVKRIFSRVHNPSGKRGFFDLVEDTLQKDPDFKRKVTVIQDLREMTSQVLHYYKGDFLDELPGLVEFTVVLNLTPRQKHEAEKLKKLSRKFKICSVGSSVYLHPKLKPLAEKCGENSISDNIKELDDIIEKLDVRDGVKSKFFRNMLNLCDSAGEKVLVFSQYLLPLKYLEKLAMKWKGWSLGKEIFVISGESSTEERECSMEKFNNSPDAKVFFGSIKACGEGISLVGASRVIILDVHLNPSVTRQAIGRAFRPGQKKKVFVYRLIAADSPEEDDHSTCFKKELISKMWFEWNEYCGDRAFDVEAVPVKECGDLFLESPMLGEDVKALYKR >OIW08588 pep chromosome:LupAngTanjil_v1.0:LG07:1777133:1778986:-1 gene:TanjilG_03264 transcript:OIW08588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKYYDIDDIITEEETVSVIFQKAASGVGIDPSAETDFIEAGSKVELPFWLAHELQLRQAVSVNVPPCFNQKTRLEIQADSASVDLRSRCPFFYEFGCKIAPIVADRTIGFLLLSAFKSRYKEVLTKAHTATFAAGSKFWTILTKEEINLYETAQSAMASFKKWRMGGARFQVASILRRKRKSTE >OIW07945 pep chromosome:LupAngTanjil_v1.0:LG07:7815965:7819295:-1 gene:TanjilG_20046 transcript:OIW07945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSRILYVEAMCTVISLRKRWGGCLGPFSCFGSQKGGGKRIVPASRIPENNGSATQPNRPQVVGLANQGAGVTPSLLAPPSSPASFTQSPLPSTAQSPNSYLSLSANSPGGPSSTMFATGPYAHETQLVSPPVFSNFTTEPSTAPLTPPPELAHLTTPSSPDVPYARFLSLSLENGKYGRTGSGRLSGHDTNGSTIASQDTNFFCPATYAQFYLEQNPFPHNGGRLSVSKDSDAQSTGGNGHQNRHTRSPKQDVEEIEAYRASFGFSADEVITTTQYVEISDVTEDSFTMLPFTAGKSTVEENIDPSLMKEGFKAQEMQMAFPSLKSLRLDPGPAGKEAYNQVAVCHRYEDNKSQGHCSNSSGLSTPTLTDEEEIFSKMSSSRSCKKYKTGLSCSDAEVEYRRGRSLRECVIWHD >OIW07722 pep chromosome:LupAngTanjil_v1.0:LG07:12734041:12740533:1 gene:TanjilG_11849 transcript:OIW07722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNEMGNNNTSTIKEEDNISEAEKKTLSQDTSEVENGVSEDVDADSANKEIQPTLTSIGKDDAIENAAEASNDATTEPGKDTWKEDNHADDVKGNIQTVSTAESKDVQENDTYFASRNTSMNSFEGDTRASDTSMKNQMHPTTEAEYVQEKHTILASDCSAIENEEDTLHADIYADDVKEKIHMIPTDEAKDVEEEATEIISKNTESMLENDSLEGDTNSSNVSMENQMHPESEAEDEDVPEKPTGLDSEYYTISLFRKDLLKGDIAYADDNNVDHEKQPTPDGKDDQEIASKLGFDDKTSESQDQPQEDKQDADVVILMANGIDVQGKDTILASDDLLNSRTCFDGTVHVIPEGRQPEKSLNTGPVDAEDENHEMLSSFSLEGSEEHEKRNKSCLTKPLLITHDLLDNNEPSIKQGFGKLSMGNEMGNNNTSTIKEEDNISEAEKKTLSQDTSEVENGVSEDVDADSANKEIQPTLTSIGKDDAIENAAEASNDATTEPGKDTWKEDNHADDVKGNIQTVSTAESKDVQENDTYFASRNTSMNSFEGDTRASDTSMKNQMHPTTEAEYVQEKHTILASDCSAIENEEDTLHADIYADDVKEKIHMIPTDEAKDVEEEATEIISKNTESMLENDSLEGDTNSSNVSMENQMHPESEAEDEDVPEKPTGLDSEYYTISLFRKDLLKGDIAYADDNNVDHEKQPTPDGKDDQEIASKLGFDDKTSESQDQPQEDKQDADVVILMANGIDVQGKDTILASDDLLNSRTCFDGTVHVIPEGRQPEKSLNTGPVDAEDENHEMLSSFSLEGSEEHEKRNKSCLTKPLLITHDLLDNNEPSIKQDTEETTVSTLNSVHVSNDSELQEPPNVHSDHDEHVEVLSEESHQGSVSLIKDNFIDTNSHVEQIKDETSEKEIESEEKLLWAENSASRDGNEFGSDSIESTGITSDSPSIGNKNGNILTKFNYITTDSLISLPESFVVDNTLKSNHEENGKVIRDESITCYSYNCSLDRCKEETLEEFKASIVDAHVVTAGSNGDYNGESNTVPASDVSWISNTDEVEEPKVGEGGIQFDAHVNNCKPSDETNFVSEPEHSVVPEAEMVSLIGGSNVVDCRHKSGENYKTKMDETNGKSEASYADFETSEGTEISEECNSDLVTLNQEEYFTLQNSSSLLHIYDSSNVTVPSLDLVDDESFENEGEIYSLHIESTSLKEAKLTSSAATMSSEEPCSNNSIFASGGYETREMVTRFSTESESDNPNFSSLIQKSPSFNLNLQIEVRPEESDQAPLKLEIERIPNQASLNLINNSMPNVEYGKCMLQNEEVAVEEKIVTMERSYSEKYKAPFTGLLKEEESHLHVMPQIQDNHSGAMKDVKEVLSTSPKGKEKRRARSSFFSTCMCCTTVAN >OIW08749 pep chromosome:LupAngTanjil_v1.0:LG07:688637:688936:-1 gene:TanjilG_03425 transcript:OIW08749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIRLPFMMLHAKQILKSTSQNVHSRNKCNVPKGHVAVYVGEFQKKRFVVPISYLNHPSFLKLLNHTEEEFGFNHSMGALTILCKEDAFITLTSQLSAS >OIW07795 pep chromosome:LupAngTanjil_v1.0:LG07:11111780:11113174:1 gene:TanjilG_31987 transcript:OIW07795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSEEDELLNLTLSVSSGRERKKKGKTIRANNANSIISPTMNSSYEGNIFRVLQIREHMLRQDLHHIKKGVVEDGNGLSLIRLLLKTATAVDEDNYGAALENLTELYQTVSLTGDSVQRVVAYFSDGLAARILTRKSPFYDMLMEEPTSEEEFLAFTDLYRVSPYYQFAHFTANQAILEAFEAEEERNNRALHVIDFDVSYGFQWPCLIQSLSEKATSGNRISLRITGFGNNMKELQETESRLVSFSKGFSNLVFEFQWLLRGSRVINLRKKKNETVAVSLVSYLNTLSSFMKISDTLEFVHSLRPSIVVLVKQEGSKGVRTFLSRFTESLHYFAAMFDSLDDCLPLESTERLRIEKKLLGKEIKNVLNYDMDNGLDCTKYERMESLKVRMKHHGFVGTKISSKSMIQAKLLLKMRTHYCPLQIDEEGGGGGGFRICERDEGRAISLGWQDRFLLTVSPWQPL >OIW08732 pep chromosome:LupAngTanjil_v1.0:LG07:797177:814718:1 gene:TanjilG_03408 transcript:OIW08732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENQLSSTNTTTPSSSPYTQFLLLEQVQFLMANDNSLLQWELVNVVDAEDNDSIENPSSPDDSLSSPIANTYSSPGASDRRRHPRRRSSTPSPFGTPQRNRSRFAPSDAATPTPSRSRQGGGAATPTSTDEVLPSSEGGDGFDMGDSRPTYVWGTNISVEEVNDAIQRFLRDFREAALKNDVDELHLHTEGKYEKMIKHVIETDGESLDVDAHDVFDHDPDLYTKMVRYPLEVLAIFDLVLMNMVSRLNPMSEKHIQTQIFNLKTSTSMRNLNPSDIERMISLKGMIIRCSSIIPEIREAVFRCIVCGYCSDPVAVERGRITEPTICLKEECKSRNSMTLVHNRCRFTDKQIVRLQETPDEIPEGGTPHTVSLLMHDKLVDAGKPGDRIEVTGIYRAMSVRVGPTQRSVKSLFKTYIDCLHIKKNDKSRMLIDDAMEVDSGMGRNSEEVFFGEETVAQLKELSRQPDIYERLTRSLAPNIWELDDVKKGLLCQLFGGNALTLTSGASFRGDINILLVGDPGTSKSQLLQYIHKLSPRGIYTSGRGSSAVGLTAYVTKDPETGETVLESGALVLSDRGICCIDEFDKMSDNARSMLHEVMEQQTVSIAKAGIIASLNARTSVLACANPSGSRYNPRLSVIDNIHLPPTLLSRFDLIYLILDKADEQTDRRLAKHIVSLHFENPESTEQDVLDLSTLTDYVSYARKNIHPQLSDEAAEELIRGYVEIRQRGNFPGSSKKVITATPRQIESLIRLSEALARIRFSEWVEKHDVIEAFRLLNVAMQQSATDHSTGTIDMDLITTGVSSSERMRRESLLQSIRNIVMEKMQIGGPSMRLLELLEELKKQNTGSEVHLNDLRSAITTLASEGFVNMHGDSNQQSNPIQSNSIQMAELDRGGCCPPMDLFRSEPMQLLQLIIPIESAHLTVSYLGDLGLLQFKDLNTDKSPFQRTYATQIKRCGEMARKLRFFKEQMLKADVLPAHSTTVVDVNIDDLEVKLAEIEAELTEMNANGEKLQRSYNELVEYKLVLQKAGEFFHTAQSRAVEQQRENESRQLSGESLEAPLLQDQELLGDSSKQVKLGFLAGLVPREKSMAFERILFRATRGNVFLRQVAVEDPVTDPVSGQKTEKNVFVVFYAAEKAKSKILKICDAFGANRYPFAEEVGKQTQMITEVSGRLLELKTTIDAGLLHRGNLLQTIGAQFEQWNLLVRNEKSIYHTLNMLSLDVTKKCLVAEGWSPVFATKQIHDALQRAATDSNSQVSAIVQVLHSRELPPTYFRTNKVTSSFQGIIDSYGVAKYQEANPTVYTVVTFPFLFAVMFGDWGHGICLLLAALYFIIREKKLSSKKLDDITEMTFGGRYVIFLMALFSIYTGFIYNEFFSVPFEIFGPSAYECRDLSCKDATTAGLIKARRTYPFGVDPVWHGSRSELPFLNSLKMKMSIILGVAQMNLGIVMSFFNAIFFKNRVNIWFQFIPQIIFLNSLFGYLSLLIIVKWCTGSQADLYHILIYMFLSPTDDLGENQLFAGQRNLQITLLLLAAISVPWMLIPKPFILKKQHENRHGGDSYAPLQTSAESLQVESNHDSHGHEEFEFSEVFVHQLIHTIEFVLGAVSNTASYLRLWALSLAHSELSTVFYEKVLLLAWGYNNVIILIIGLLVFIFATVGVLLVMETLSAFLHALRLHWVEFQNKFYEGDGYKFHPFSFLLIEDEDE >OIW07729 pep chromosome:LupAngTanjil_v1.0:LG07:12896402:12897685:1 gene:TanjilG_11856 transcript:OIW07729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPQPPPSPTLSAAATILGKYQILRFLGRGSFAKVYQARSLIDGTTVAAKIIDKSKTVTTAMEPQIVREIDAMRRLQHHPNILRINEVMATKTKIYLIVEFAEGGELFSKIARHGKLPEPHARRYFQQLVSALCYCHRHGVAHRDLKPQNLLLDADGNLKVSDFGLSALPEQLNDGLLRTTCGTPAYTAPEILARRSYDGAKADAWSCGLILFVLLVGHLPFDETNIPAMYNTIKSRNYVFPTWISKPARHIIFWLLDPNPKRRMKLDSLFENSWFKKSLKSQPESMFGLGFNYEQKCLASGSSMNAFDIISMSSGLDLRRLFETTSNEKRENKFFSSKKMEEVEAKVKEVGEVLGFKVEVGKNGAILLEKGKVGLVFEVFEIVAELLLVVVKVVDGGLKFEELHLEDWKIGLHDVVLSWHTELTM >OIW07570 pep chromosome:LupAngTanjil_v1.0:LG07:17772457:17776225:-1 gene:TanjilG_08457 transcript:OIW07570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSVCKKSSQGKKDDLYHVIHKVPYGNSPYVKAKHAQLVDKDPEAAIVLFWKAINVGDKVDSALKDMAVVMKQLDRSQEAIEAIKSFRGLCSKHSQESLDNVLLDLYKGNLGWAYMQKAHYMMAEVIFKKAQMIDADSNKACNLSLCLMKQSRYEEASFILNDILQGKLPGSDEFKSRKRAQELLEELNSNMPQLHSMNTLGLDDDFVKGIDELLNAWGSNRSRRLPIFEEISSFRDQLAC >OIW08539 pep chromosome:LupAngTanjil_v1.0:LG07:2167125:2175479:1 gene:TanjilG_03215 transcript:OIW08539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLGCFPKASFIFGSQKETFHGSIIQFSFEELENATESFSASNLIGLGGSSYVYRGRLEDELKGRLVQRLLVFQYIINGNLRGCLDGVSGQNLDWSTRVAIAIGAARGLEYLHEAAAPKILHRDVKSSNIILDGNWQAKGTFGYFAPEYAIVGRASLESDVFSFGWFFLSLSVVVIPSINLRAKKKALLYWSGTKSGIDLCRYFQKYRSEATSDATDKVHRVEWTEKLNGEICDGETSDIPSGDILAEAFRFKCAEVGAYGAPEMQQGILLLVQDMISLLILLGLKKALPNQQTVEYPSFILFIVGYGVIGFLAVSHPPLFSCRLITHPVTAEALLDHPPQVSGYPNQEPDPLRYPTDTDKHMLASQTGLS >OIW08654 pep chromosome:LupAngTanjil_v1.0:LG07:1309742:1309960:1 gene:TanjilG_03330 transcript:OIW08654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHNISKSTTMILLMVLVVGLMFAAEVIAQDVTAPAPAPAPEKDTGAGSLVTYSGVFVCFSLFLSFLSLLRH >OIW08239 pep chromosome:LupAngTanjil_v1.0:LG07:4711662:4713897:-1 gene:TanjilG_15200 transcript:OIW08239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFSCSLITLPSQMRNLSLTSSSSSPSPISNSSSLSFSKNLSHTLFSQGSVSLSTVQNPTQRFMVVCEVTTKKADSAVKRARLSEKRRVYNKARKSEIRTRMRKVLEALEGLKKKPEAQVEEILPVEKLIGEAYSVIDKAVKAGTLHRNTGANRKSRLARRKKAVEIHHGWYTPVPEASSV >OIW08217 pep chromosome:LupAngTanjil_v1.0:LG07:4888916:4894090:-1 gene:TanjilG_15178 transcript:OIW08217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNRNLEKMASIDAQLRQLVPAKVSEDDKLVEYDALLLDRFLDILQDLHGEDLKETVQEVYELSAEYEGKRDPGKLEELGNLITSLDAGDSIVFAKAFSHMLSLANLAEEVQIAHRRRNKLKKGDFADENNATTESDIEETLKRLVGELKKSPQEVFDALKNQTVDLVLTAHPTQAVRRSLLQKHGRIRNNLTQLYAKDITPDDKQELDEALQREIQAAFRTDEIRRTPPTPQDEMRAGMSYFHETIWKGVPKFLRRVDTALKNIGINERVPYNAPLIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMAANLYYSMIEDLMFELSMWRSSDELRIRADEINRSSKKDAVAKHYIEFWKIIPASEPYRVVLGEVRDRLYRTRERSRHLLAQGYSDIPEEETFTNVEEFLEPLELCYRSLCVCGDRAIADGTLLDFLRQVSTFGLSLVRLDIRQESDRHTDVLDAITQHLGIGSYQEWSEDKRQQWLLSELSGKRPLFGPDLPQTEEIKDVLDTLHVIAELPPDNFGAYVISMATAPSDVLAVELLQRECRVKHPLRVVPLFEKLADLEAAPAALARLFSIDWYRNRINGKQEVMIGYSDSGKDAGRFSAAWQLYKSQEELIEVAKKFGVKLTMFHGRGGTVGRGGGPTHLAILSQPPETIHGSLRVTVQGEVIEQSFGEQHLCFRTLQRYTAATLEHGMHPPISPKPEWRALMDRMAVIATEEYRSIVFQEPRFVEYFRLATPELEYGRMNIGSRPAKRRPTGGIETLRAIPWIFAWTQTRFHLPVWLGFGGAFKHVIEEDVRNLNMLREMYNQWPFFRVTIDLVEMVFAKGDPGIATLYDRLLVSEELWPFGEQLRTKFEETKNLLLQVAAHKDLLEGDPYLKQRLRLRDSYITTLNVCQAYTLKRIRDPNYNVKLRPHISKECTEISKAADELVSLNPTSEYAPGLEDTLILTMKGIAAGLQNTG >OIW08288 pep chromosome:LupAngTanjil_v1.0:LG07:4276512:4278134:1 gene:TanjilG_21754 transcript:OIW08288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLISQSARPKKEVNKTKRDEPEVEEGNEADVDALKLKKKKRGEELVKKQVKEMKKLENFLFGSLYSPVEFGEDNQVDADDTAKGSDLFFTDRSADTVLTVYKEDAADDFSEDETDLQIKPVWVDEEEERTTVNIAKLNRLRKLRKEEEESVISGSEYVSRLRAHHIKLNPGTEWAQVDSKSKGDRSSDDELTDEENEDFADVLRTNEDLVVKSSSKLLPGHIEYSKLVDANIQDPSNGPIHSVQFHRNGQLLLTAGLDRKLRFFQVDGKRNTKIQSIFLEECPIRKASFLPDGSQVILSGRRKFFYSFDLVKAKVDKIGPLVGREEKSLEFFEVSPDSKLIAFVGNEGYILLVSTKTKQLVGTLKMNGTIRSLAFAEDGQHLLSAGGDGQVYHWDLRTMTCLHKGVDEGCINSSALCTSPGGTHFAAGSDSGIVNIYNREEFLGGKRKPIKTIANLTTKVDFMKFNHDSQILAICSNMKKSSLKLIHIPSYTVFSNFPSANSSLHYPRSIDFSPGGGFMAVGNAAGKVLLYKLHHYQHA >OIW07447 pep chromosome:LupAngTanjil_v1.0:LG07:19761686:19763540:1 gene:TanjilG_19288 transcript:OIW07447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDLPFKVGDLAESKSFQRGFRGAWFRCKVRGIRTNNDMMLQLEYFDYPDQKLTWFKLYQKPGTSKFLTKELMLRPSFPTICHETQELDVNTITEVIVIVNNMWKVGDLVDWFKDGCYWSGRVTEILGNGKVQIDLLLPPLGEGSSYRASSKDLRPSLHWCPEKGWTVPMPMRSQVQIPETASLLAGVKLCASSTLP >OIW08669 pep chromosome:LupAngTanjil_v1.0:LG07:1190207:1193235:1 gene:TanjilG_03345 transcript:OIW08669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCISSPGKTFPRKRYKEPITKPCTPRNNQHDHFNHDPPTPTTVRRSSVASMRPPINVVASPSPGNIFDKYQLGKELGRGEFGVTHRCVDLKSGEAFACKKISKTKLRTEIDIADVRREVQIMRHLPKHPNIVSFREAYEDRDAVYLVMELCEGGELFDRIVAKGHYTERAAANVTKTILQVCKVCHDNGVIHRDLKPENFLFADASETSPLKAIDFGLSTFYEAGERFSEIVGSPYYMAPEVLRRNYGPEIDVWSTGVILYILLCGVPPFWAETEEGIAQAIIGGNLDFTRDPWPKVSEEAKDIIKRMLDSNPYTRITLEEVLEHSWIQNKDHARNISLGDQVRMRIKTFSLMNRFKKKVLRVVADNLPDEQIQYIRKMFDMMDKDKNGHLTFEELKDGLAMLGHTLPDPDVQMLMEAADIDGNGTLNCEEFITMSVHLRKIESDEHLSEAFNYFDKNQSGYVEFEELKDVLSDDGLGLDDDKVIRDILNDVDLDKDGRISFEEFKAMMKTGGDWKMASRQYSRAMLNALSMKMFKDKSISVAN >OIW08509 pep chromosome:LupAngTanjil_v1.0:LG07:2411606:2412463:-1 gene:TanjilG_03185 transcript:OIW08509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVIAAYLLAVLGGNNAPSAHDLKNILSSVGAEADDDRIELLLTEVKGKDITELIATGREKLASVPSGGGAVAVAAAPAGGAAAAAPAAEAKEEKKAEEKEESDDDMGFSLFD >OIW07854 pep chromosome:LupAngTanjil_v1.0:LG07:6797826:6800357:1 gene:TanjilG_19955 transcript:OIW07854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLVIFLLAFSSPAYSTGPPASSFTPKDNILIDCGAENAPTLPDGRQFKSDPQANSFLQANDDYKVSAGDNPELPSPIYKTARIFIQEAEYSFHLAHPGYHWIRLHFYPVKNDVFDLQKATFSVNANAYVLLHSFNVNNNDKPILKEYLINANESPLTLFFVPLKNSAAFINAIEVVSAPDNLIFDTGAALFPVGDFSGLTSYGIQPVYRLNNGGPLITSSNDTLGRIWESDEPFLANKNLAKSVSVATNAIKFPTDTPTISPLVAPQSVYASATEMGDAGVNQPNFNVSWKFDVDTSFSYLVRLHFCDIVSKGLNELYFNVYINGKMAISNLDLSAITGALSTPYYKDIVVNATLMSEGLSVQVGPAKAEGGNANAIVNGIEVMKLSNTVNSLDGEFGVDGRKVGGSNRGTVAAVGFAMMFGAFVGLGAMVIKWHKRPQDWQKRNSFSSWLLPLHAGDTSFTGSKSNMYSSTMGLGRFFSFAELQEATKNFDSKAIIGVGGFGNVYLGVIDEGTQVAVKRGNPQSEQGITEFQTEIQMLSKLRHRHLVSLIGYCDENEEMILVYEYMPNGHFRDHLYGKNLPVLSWKQRLEVCIGAARGLHYLHTGTAQGIIHRDVKTTNILIDENFTAKVSDFGLSKDAPMGQGHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLLEALCARPAINPQLPREQVNLADWAMQWKRKGLIDKIIDPLLVGNINPESMKKFAEAAEKCLADYGVDRPSMGDVLWNLEYALQLQEAFTQGKAEDENEAKSTPASSTPSTNSDDPDTPPPVDTHIPQPVVNDNHPAQAPPVIDDHSGTAMFAQFSDLNGR >OIW08608 pep chromosome:LupAngTanjil_v1.0:LG07:1639974:1640221:-1 gene:TanjilG_03284 transcript:OIW08608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKFDPWPIFFKREWNRTWPFLVGFAVTGTIITKLSLGLTEEDAKNSKFVQEHKR >OIW07653 pep chromosome:LupAngTanjil_v1.0:LG07:14414352:14425184:1 gene:TanjilG_07695 transcript:OIW07653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGIASEESGVGKSVEGISSAQRCQSGEALAEWRSSEQVENGIASTSPPYWDTDEDDDGPKPVQLYGKHTWKIEKFSQITKRELRSNAFEVGGYKWYILIYPQGCDVCNHLSLFLCVANHDKLLPGWSHFAQFTIAVVNKDPKKSKYSDTLHRFWKKEHDWGWKKFMEISKVYDGFVDNSDNLIIKAQVQVIREKADRPFRCLDCQYRRELVRVYLTNVEQICRRFVEERRGKLGKLIEDKVRWLSGLKALECQTKCKKDRTKLLDTEEMAPPIVCVEKDMFVLVDDVLLLLERAAIEPLPPKDEKGPQNRTKVVGSPLLVYHISGVAPMANKIEVSYQEAVALKRQEELIREEEAAWLAESEQKAKRAVSEREKKSKKKQAKQKRNNRKGKDKGREESPIVAVHISQQDSPADVKKDSNVDEVQTLDEKLDAVEVVSDVSDSVDGVGEVLQPDSEDRDASPVNWDTDASEVHPPSDPSSNGICGLSSVQNGTAEKRSSSVIDDSSSTCSTDSVPSVVLNDPYKGKSFSNKVQKSPSRGKNRGKESHDQGSWTTEMDSQPSRSAADAGDMNKSGSGKIVEREPEVRTICLQDRLKWLEKDVVKKEEVPSLQKKQSMEDQVDTKKSVDIEIVQKQKTSALPSSPRSPPRNLPSTVPMKLVHQTSATVDPVQVRKISLSGSQQIDKEVSSILTSASQAAVVPKTETQKTSTPRQTERSVAQVPMMSRPSTAPIVPGTRPIAPVVSMVQTAPVLARSVSATGRLGPDAIPATHSYGPPSYRNAMMGYPVASTSASLVHSNSSSGVNSYPQLSSLVSSPMFLSQNSDKMDSNAGQSGAPFGMIPRDILRNGPQWTESSRRDSNRSVHYESPSRLDVPNLDFYKPVQSRSLGNISSEFPACTSGRQNPGLLVDEFPHLDIINDLLDDEHGIGKATQPNSVFQSHNYGLRPPNRQFTFPGDLNTDDDLGSSSSSCRFERSHSYQYHDSGFQQGYSLSGGHFDSPRDYLPQAPSTLPYVGNGKVDEFMPNQWQVAGSDLSYLGMRNIENDGYSYYQDYSNLANGVNGYTVFKPSNVP >OIW08042 pep chromosome:LupAngTanjil_v1.0:LG07:9392664:9395324:-1 gene:TanjilG_20143 transcript:OIW08042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEVVKKEESLNLKITGKSHVKPDKKIGRKEYQLVTFDLPYLAFYYNQKLLFYKGDGDFEGMVKKVKEGLSVVLEEFHQLAGHIGKDEEGVFRVEYDDDMQGVEVTEAIISDEIGVADLTVAESTKILKELIPYSGVLNLEGMHRPLLAIQLTKLKDGLAMGCAFNHAVLDGTSTWQFMSSWANICSGAPSTSASPFLDRTKARNTCVKLDLSLPEIKLQPSGDVKPEPILNEKPNGVAKPDLILNEKPNGDVKPESTLNEELNGDAKPVPILNDKPNGDAKAKPILREKIFKFSESTIDKIKSTINENLSSNDSKPFSTFQTLSTHIWHRVTIARNLKPEDYTVFTVFVDCRKRINPPMPETYFGNLIQAIFTVTAAGLLLAQPSQFGASLIQKAIEAHDAKAIDERNKEWESSPKIFEFKDAGVNCVAVGSSPRFKVYDIDFGWGKPENVRSGTNNKFDGMIYLYPGKSGGRSIDVELTLEPGAMERLEQDKEFLLEV >OIW07794 pep chromosome:LupAngTanjil_v1.0:LG07:11115916:11129694:1 gene:TanjilG_31986 transcript:OIW07794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQKCLASATKFSTKLQIQNTESIMAELKSTMEEHMELMADLVQKLSSELRSGLRPAYENFMGFFHAIDWKFSTKLQIQNTESIMAELKSTMEEHMELMADLVQKLSSELRSGLRPAYENFMGFFHAIDWKEPWLMGLGAFHVVLLLVVILSRKNTNFQMCLFLLTLAGVYFAENLNTFLGKNWKSFSGQNYFDPSGVFMSVLWSGPLLVNAMIILINTLFSLCYMIVKWKRAELRHRARAASTQNPIMASIVASEEEEGKIPVFTVLKNNAILKNIFILNKPPQPNDSSSVVKDHEDILIVGRHPDCDIMLTHPSISRFHLHIRSKPSSQFLSLIDLSSVHGTWVSGRKVEPGVSVEMKEGDTLRIGASSRVYRLHWIPISRAYDLENPFVSELDVILEPQNEEELVQNLNCCLDEMEEIQSEDSILECVKLLFFDENLEVTVEKETSAAPPMPEGIFSFCCPERKSKPKDGAFEVLMEPCGTETSHSPMTSDWENKLYDSLNQELTVKKEIPSAPPLPEGIIHFCCEEEMKIQSKDEMLGVPSDPFGTENEMCDSLNQVLPLPYVESFAECEGIISFYSGEEMKSLSNLSEDEMLGVPSDTFGTEIDLCDSLNQVLPLPYVESFAEYDSTLTLPVVEAVQGTKTQQFHTPPDTFTSPLPPDQEDLFEKRYSSLPINTDLASFGKESAAEAVIPEESGFGCTDREDEWSRDIITATEGTSNSENLVLPVEEVVHDTICQQIKVVDEIVDSICDGQKSESELNAKYCSPDEIVHDIGNKCTGSICPIPLQIESVNLSPQEAVLGITIENPIPQPDTEFLESHGEPIEKKSSIGNIWLRRIKAASAPQIRTSKSTFKSMVEADTEVAMSNVKDTKKKTISKDLFSVLDGQEEEEEVFTPDKENFSPNTLQLRFLKRKGKLEEIKHSKSKWSHNSKDTFCPDIYPNENISPYPNKENRNENKTISKDLFSVSDGEEDIFTPDKENFTPDTLRLRLLKKKGELDETKCSEWSQNSKDNFSPNIYPDERISSTSNKENQTLKVVQEQKLKAKPSGSLIKMVQNRDIMASKNKVERVPFQPLSTGGKSKSRTSCPVSATKSTDVSDREQILDKRINFSDIGGVQKKSWDMVVDTASLMNKESRKALQLLQGLKGTRLIIPRLVIGELHRMKQQFSIFKRISEASLALEWIEECMVNTNWWIHIRSSMEEEGMIAPTPPAFPQSQFSSLEVASPTVEDHILDFTLLYRRKKFDRQLVLLSEDVTLKIKCMAEGLLCEPVQEFHASLVNPFSERFLWTTSSPRGQTWTCQDDVVLREKYCHLHKNKSLKGVASGLKLILLHNSQYKH >OIW07575 pep chromosome:LupAngTanjil_v1.0:LG07:17812577:17814114:-1 gene:TanjilG_08462 transcript:OIW07575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMKREEVAVNKADSSNGHGGNKVLPITEAPLSSLVERNEQCDTKEVKDQIKGEKKKPISRMKELLRWAASAKAEKGGKFNGRKILMFRKRGTLKAVQDDDQVCSESPKISFRWDVESCSTFSSYSAISVASSSQNLPIQIAPSTMSIPPSDTDHITFSRRKGNWITTDSEFVVLEL >OIW07757 pep chromosome:LupAngTanjil_v1.0:LG07:11906704:11907020:-1 gene:TanjilG_10676 transcript:OIW07757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEANLLITAYRAKWEARAWRSSKTNEEINNLDEVPEHAITPLTEEHQAREEPVLYPLVIFIDSNTKVEDDPDEPESTDSNVA >OIW08824 pep chromosome:LupAngTanjil_v1.0:LG07:356634:362691:1 gene:TanjilG_16405 transcript:OIW08824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSFNHLWIFSLALLLLASPLLQVGRCQTDSDEAVQATEEASDIGAVGDDVKDFGDESFNPAPGIDTISVFPKNSARLVTAGEETELLVGVKNDGDSSLNVIAIKASVHLAYDHRLLVQNLTTQVFNNGKVPASAQATFPYLFAVSKFLQPGNFDLVGTIVYEIDQQPYQSTFYNGTIEVVEAGAFLSIESVFLVTLGASLLALLGIWIHGKIESLSKKTKRAPKVEVGTRSADASLDEWLQVEHSTTVKVVGLGECTDCKEYNIKTSQAFSGLRVTVECKTANEHFKTRGDGELDKNGNFRVSLPNEIVKDGEMKEECYAQLHSASAAPCSAHHGLDQSKIIIDSKDGDKHTLTTAGKLKFASLTCTSSFFWPFFKHPLLHKLPHLPHFSFPPKVFPPFPPKFLHKHPLLPPKVFPPFPPTFFPKHPLLPPPVPVYEKPLPPPVPVHVKPIPPPAPIYHKPLPPPVPTYHKPLPPPVPVYEKPLPPPVPIYHKPIPPPTPTYKKPLPPPVPIYHKPIPPPVPIYHKPIPPPTPTYKKPLPPPVPIYHKPIPPPVPIYHKPIPPPTPTYKKPLPPPIPIFKKPYPPKFIHPLLPPKFKHPLIPHVPIYKPPFFKPLPPFPKLPPFKKLPFPKSFFHHPKFGKWPPLPPFSPHP >OIW08368 pep chromosome:LupAngTanjil_v1.0:LG07:3547499:3550944:1 gene:TanjilG_03044 transcript:OIW08368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGRSNSGSKGFDFASDDILCSYEDYGNNRDSNSNGNLIDPSKDFHKSRMTRTSVFPGHAYNPPEDSLHQDVIATVDKSMKKYADNLMRFLEGISSRLSQLELYCYNLEKSIGEMRSDLNRDHGEQDSKLKSLEKHIQEVHRSVQILRDKQELAETQKELAKLQLAQKDSSSSSLSQSNAERSLPSTTDPKKTDNASDGNNQHLALALPHQIASQQQPAAPPPQAPAPNVTQANQQPSYYVPSTPVPNPQAVPQLPQNQYLPSDQQYRVVPQATPPSQVTPSPPVQQFSQYQQPQQQQQWHQQVQPPQPPSMQQPQMRPPSSTVYPSYQPSQATNQTPTETLPNSMPMQMPYSGVPPQGNNRADAMLYGQGGGSGRTAPPQQPPLQQMKGSFPSQQGDMYGPPVSAYIMYDGDGGRTHHAPQQQPPHYAQPGYPPTSAALQNPNLMVRNPSQTQYVRNHPYNELIEKLVSMGFRGDHVVSVIQRMEESGQLIDFNSVLDRLNVHGSVGPQRGGWSG >OIW08138 pep chromosome:LupAngTanjil_v1.0:LG07:6204520:6205640:-1 gene:TanjilG_06681 transcript:OIW08138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIQTMGSQAAGGGGGGGGDSNGKRAQVQPLVRQNSMYSLTLDEVQNQLGVLGKPLSSMNLDELLKNVWTIEANQSMALDTEGTMQVNQAELPHQASLSLTDALSKKTVDDVWRDIQQSKNNNEKKSQERQPTLGEMTLEDFLVKAGIVAEGSSNEKNASTVAAIDSNVAIQQFPPQCQWMPYPQPHYQHPQQSLMGIYMPGQGIAQPLHMGAGASMDAVPFSDNQLALASPLMGTMSDTQTPGRKRNAPEDMMEKSVERRQKRMIKNRESAARSRARKQAYTNELENKVSRLEEENERLRKQKAFSLKTD >OIW08441 pep chromosome:LupAngTanjil_v1.0:LG07:2908156:2915693:-1 gene:TanjilG_03117 transcript:OIW08441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRVDLSFFKCLNSSIYRVQNLPAVDLFVTTADVVLEPPIITLNTVLSLLALDYPTNKLSCYVSDDSCSPLTLYSLQEASNFAKLWILFCNKYHVQVRAPFRYFRDEQPEASTYKDSTPEFRQEWLEMKEMYDDLSRKIEEASHKSNSWQLDGEFAVFSNTDRKNHPTIIRVIWGDKERLLDGLPQLIYISREKRPKHPHHYKAGAMNVLTRVSGLMTNAPFMLNVDCDMFVNNPNIVQHAMCILIDSIGEKEVAFAQCPQQFYGGLKDDPFGNQMIILFKYIGAGITGLQGPFYSGTNCFHRRKVIYGLSPHNIQKGNKISEKELKQKFGGSEELVKSVALALEGKSYVADDDINVSKALDAATQVANCGYEYATGWGQQVGWMYGSITEDVQTGLTIHRKGWRSEMCTPNPIGFTGCAPNSLPNAMTQQKRWATGMVEIFFSRHSPIFATLFGNLSFRMFLAYMWIIDWGMRSISETCYVSLLAYCIITNSTFFPQGPGLWIPLTLFTIHMVYTLSEYLATGLSIRAWWNNQRMSRIKMVSAGFLGFLSALFKLLRLSDTVFEITRKDENSYGNDVHDLNVGRFTFNESPVFLAGTTILFMQLVAIAIKLLGLQPLKSEDNGCGISLCIAGYIVGPPLYWHFMEGLVASSSSCPLCLCDCSSQPILSIPQGLSNASFGDCAKPDPEVSGDTEKNFADLLSEELKLRENEATENQHRADTALLEAKKVASQYQKEADKCNSGMETCEEAREKAELALAAQKKLTALWELRARQKGWKEGVTKSNTQSHGNVQSA >OIW08407 pep chromosome:LupAngTanjil_v1.0:LG07:3251029:3251466:1 gene:TanjilG_03083 transcript:OIW08407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKICELCKVPARIFCESDQATLCWDCDAQVHAANFLVARHSRTLLCHTCHSLTPWKASGARLGNTVSFCHNCSDEEQIPDTGGRNDGGMGGSNYTQPVPSSSTQPPAPASSEESVIMCTNNSNGEGVSETVTRTTSLKRQHKDS >OIW08219 pep chromosome:LupAngTanjil_v1.0:LG07:4866879:4869737:-1 gene:TanjilG_15180 transcript:OIW08219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFRALEFDQLEHQSYSVMTCCSHLFTKKGSFSATRFTGIDIDISEIQNVNFYTYKELRVATKGFSLANKIGQGGFGSVYKGKLRNGSLAAIKVLSAQSRQGVREFLTEIKAITSIDHENLVQLYGCCVEENHRILVYGYLENNSLAQTLLGGGHSSIQFSWIVRRNICIGIARGLAFLHEEVRPHIIHRDIKASNVLLDNDLQPKISDFGLAKFISPNLTHISTCVAGTAGYLAPEYAIRSRVTRKSDIYSFGVLLLEIVSGRPNTNRRLPAEEQYLLTRAWNLYEKGELESLVDSFLDGDVNVEESIKFCKIGLVCTQDSPQLRPHMSTVLKMLNGEKDVNVKNLTKPSMIFEFLEAIDEEKQKGKAEITNTSMFTDSRKLDDSSSGTDTSFATMTFTSIYDRSN >OIW07907 pep chromosome:LupAngTanjil_v1.0:LG07:7276085:7277095:-1 gene:TanjilG_20008 transcript:OIW07907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTTKSSEKPKLILPWKTKLAMSIASALTDAATHSDGTINRRLLNFLDRKTPPKATPINGVSTKDVTVDAANNVWFRVFTPTPAPSAVSLPVIIFFHGGGFAFLSPASPNYDAVCRRFCRKIPAVVVSVNYRLCPEHRYPTQYDDGFSAVKFIDENRASLPEIADVSKCFIAGDSAGANIAHHVAVRVCQSELQQLRLIGLISIQPYFGGEERTESELRLTNAPLVSVARTDWLWKVLLPDGSDRDHPASNVSGPNATDLSGLDYPDTLVFVGGFDPLQDWQRRYYEWLKKSGKKAQIIEYPNMIHAFYVFPNLPEASQLISQVKDFITNRLSN >OIW07594 pep chromosome:LupAngTanjil_v1.0:LG07:17354910:17356294:1 gene:TanjilG_28407 transcript:OIW07594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSKVRTSSGMFLWKAQDEIIAEIEARIATWTFLPIDNGENIQVLRYERGQQYEAHFDYFSDKYNLQYGGHRMATVLIYLSNVEKGGETVFPDSELSLSQPKDNTWSQCAKTGYAVKPKKGDALLFFSLHPNATTDTRSLHRSCPVIEGEKWSATRWIHVDNVLKEVF >OIW07593 pep chromosome:LupAngTanjil_v1.0:LG07:18099394:18101159:-1 gene:TanjilG_08480 transcript:OIW07593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLKNNGRKILYCCVVLLVLVVEGETLVVPEKKKNCTYVITVETTCTWGAETSNHISLRFGDTNSNDILVKHLKSKHLRKVDPLEPEVLDDMPRKPFQACMVDQFEVTSSCVESPICYLYLKLMGKDDWRPGFAQVRVLESSGLSSDYFYFRRYLPRHVWHGSNVCDSEVTPFGLKKKRMVYGK >OIW08356 pep chromosome:LupAngTanjil_v1.0:LG07:3640528:3645104:-1 gene:TanjilG_03032 transcript:OIW08356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAEETGGESSGNGCITMIDDTYEFSAPRFFDFINGESDEDKSKAELWFDTALFYAPSPFMPKIKTSRSITVDSLCDFSEAEETQKTSANADGNVLEANMQQHCMTTKIKDTDAPYSDAKEEISTTASTKIKDIDAPSSDAKEENSTAASHAPCSGAKEENNATGSHAPCNETKQENNTIVSHVVSADREKSVNYHKGDSACLSGVSSSGGATVEVGKDACTPNPTLQKRVSSKNSVKQQTAKKIAVNAKHQLRSSAMKSTSGTPKLTQENQAIKRQKLEGGKTRQILNVKIQVLPHKSKLGSTTSNANILSTSKSNKEDRKVYVRETPNPAAVPFVSTAEMMQKFQSSTRALSLNSAISNTKSKLTLTRPKEPEFETTQRARPTRVKSTAELEEEMMAKMPKFKARPLNKKILQTPTLPPIPRSTPQPPEFKEFHLETMARAHQNADSASIASTELSHKENPGKPHHLTEPKTPILQTSLRARPAKVKSSLELEQEELENIPKFKARPLNKKIFESKGDIGIFCNTKKHVTEPQEFHFATNERNPPPAAMADLFDKLSLKSEPASNCNPIPRNTIPNPFNLHTEERGAEKERRLYIELLQKQLDEERARVPKANPYPYTTDYPVVPPKPEPKQCTKPEPFQLESLVRHEEEMQREMEERLRMQREEAELRRFKAQPVMKEDPIPVPEKVRKPLTQVQGFNLQVEHRAVDRAQFDEKIKEKEMMYKRYREESEAERMIEEEKALKQLRRTMVPHARPVPNFDNPFCPQKSAKDTTKPKSPNLRVLHRKERQKVFNGTVVSSPASSMR >OIW08090 pep chromosome:LupAngTanjil_v1.0:LG07:6667850:6670099:1 gene:TanjilG_21070 transcript:OIW08090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLIAPSNHSPQEDAEALRDAVKGWGTDEKAVIAILCHRNAYQRQQIRKAYQDLHGEDLIKRLESELSGDFERGMYRWILEPAERYALLANVVIRNANKDYHVIVEISCVLEPEELLAVKRAYQNRYKHSLEEDVAAHTSGHLRQAKFSIELLHAEVTISCFEIHFMQLLVGLVSTFRYGGDEINARLAKNEADILHEAIKDKKNNHEEVIRVLSTRSKTQLVATFNRYRDEHGISITKKLLDEGSDDFLKAVHVAIRCINDHKKYYEKVLRNVIKRVGTDEDGLTRVFVTRAEKDLKDIQELYYKRNSVQLEDAVARETSGDYKRMLLTLLGKH >OIW07582 pep chromosome:LupAngTanjil_v1.0:LG07:17859241:17859810:1 gene:TanjilG_08469 transcript:OIW07582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYEENFTKVGDSQGEDATSTLFPFPCQFCSRKFESSQALGGHQNAHKKERTAARNAKASEYTYVPFASTLSTPMIFAPNPQLGILDPSMFSTAHAANIPYFPPQMSELFGSNGAPRFGNALFLEGSSRSKFNEEDDNSFINWQRSIGSNNLSSGDTSQHISLKSNNQNIGNWNDVKEEGRQLDLSLHL >OIW08007 pep chromosome:LupAngTanjil_v1.0:LG07:8822694:8827567:1 gene:TanjilG_20108 transcript:OIW08007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLRRLFRRKKHSPGADADVSRHKDKKKKNTTKNNNKDNSSNPFDPSTSSFDANRHAIAVATATAAEAALAAAHAASEVVRLTNGSGPRTSRRQTSAAHVQRRLVEETAAVKIQSAFRGYLARRALRALKALVKLQALVRGHIIRKQTSDMLRRMQTLVRLQTRARASRVHLSNNIPSYKSSHSHYPVHEENYEHSLRAYSMKLDGSILKRCSSNANFRDTDLERARFGSNWLDSWMEESEWNQSRDPPLKNMHQDDEKSDKILEVDTWKPHMNSDHGSSSSFQLAHHYIASDYNNENIMAYDSPSKRSSEALNPSLSSIKEVPSRISENSPQSFSASSRLGSGARRGPFTPTKSEFSWGFLSGYSSHPNYMANTESSRAKVRSQSAPRQRLELERYGSSTSCFVQGHWDHAEPNSDRDSDFRSKANSTSSHLNRVGSTNLR >OIW08375 pep chromosome:LupAngTanjil_v1.0:LG07:3503298:3505935:-1 gene:TanjilG_03051 transcript:OIW08375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRHRSSTVSNLSLLCFCLTLKQLVLLRAAVGQPQHHVPDLHWYPGTATWYGEPEGDGSTGGACGYGSMVDVKPFRARVGAVGPVLFMKGEGCGACYKVKCLDNTICTRRAVTVIITDECPGCPSDRTHFDLSGAAFGRMAITGENGQLRNRGEIPVIYRRTPCKYPGKKIAFHVNEGSTPFWLSLLVEFEDAEGDIGSMHIREAGSSEWLQMNHLWGANWCIIRGPLRGPFSVKLSTSTGRTLSARDVVPGNWVPKATYTSRLNFYP >OIW07463 pep chromosome:LupAngTanjil_v1.0:LG07:19062967:19066051:-1 gene:TanjilG_24325 transcript:OIW07463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTRIAPGVGANLLGQHSAERNQDATAYVGNLDPQISEELLWELFVQAGPVVNVYVPKDRVTNQHQGYGFVEFRSEEDADYAIKVLNMIKLYGKPIRVNKASQDKKSLDVGANLFIGNLDPDVDEKLLYDTFSAFGVIVTNPKIMRDPETGNSRGFGFISYDSFEASDSAIEAMNGQYLCNRQITVSYAYKKDTKGERHGTPAERVLAASNPTAQKSRPHTLFASGPPTLPNAPQGNGTIAAPVPPRPFVANGIAPPIPALRPPPPQAATFQPMPVGGPPAWHQQQPGQMPHGMPPPPPQMQQFRLPHPGMPMPPPPQGVPAPQRPLPPPSVMANQQPPVWRPPPPPQQQGGLPYGYPQSSMPPPPNNPHMPPPSS >OIW08339 pep chromosome:LupAngTanjil_v1.0:LG07:3760682:3765261:-1 gene:TanjilG_03015 transcript:OIW08339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHHRVSRRTKIITDHLHPSPSTTNSTLSLTTCLNYSPPELSNPFVFNVREMRTLMDGHNLEDRDWIFNLIIQSDLFNRREQGGRVFVSPDFNQTMEQQREITMKRIEYLKDHGVFKGWLTDDGPEADLRKMALHEVIGMYDHSLGIKIGVHIFLWGGAVKFLGTKRHHDKWLKSTENYEMKGCFAMTELGHGSNVRGIETITTYDSNTGEFVINTPCESAQKYWIGGAANHATHTIVFSQLNINGSNQGVHAFIAQIRDADGKISPNVRIADCGHKIGLNGVDNGRIWFDNVRIPRENLLNSVADVSPAGEYLSAIKNPEQRFGAFLAPLTSGRVTIATNAVYISKISIAIAIRYALTRRAFSITPNGPETLLLDYPSHQRRLLPLLAKIYALSFAVIELKMLYVKRTPESNKAIHIVSSAYKAITTWNNMRTLQECREACGGQGLKTENRIGHFKGEFDVQLTFEGDNNVLMQQISKALLSEYIVHETRNKPFKGLGLEHMNHPLPVIPSQLTSSIVRSSEFQINLFHLRERDLVRRFAAEVSQYQAQGESKESASIQSYQIAEDLGRAFSERAILKTFLEAESKVSPGALKDVLGLLRTLYALVSVDEDASFLRYGYLSTENAAAVRKEVPTLCAELRPHALALVSSFGIPDAFLSAIAYDWVDSNSWSSTQH >OIW08632 pep chromosome:LupAngTanjil_v1.0:LG07:1458120:1460097:1 gene:TanjilG_03308 transcript:OIW08632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMKEGKSVKLNQQQQHQNGHLSPSKFAKLFDPEVSWDKDELGDVLHWIRQFLGLVCGSVWGSIPVVGGIWFILFLVMSSGIIYGYYALVLKVDEEEFGGHGALLQEGLFASITLFLLSWTLVYSLAHF >OIW08673 pep chromosome:LupAngTanjil_v1.0:LG07:1172943:1173179:1 gene:TanjilG_03349 transcript:OIW08673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRVVFLLYPSRQFDVIICILPNQLEVCNKSFSWGGKNAHGLIDLKYLIAWESGNFVHALDGYGKMLSLGAIVKLFAL >OIW08610 pep chromosome:LupAngTanjil_v1.0:LG07:1617933:1620925:-1 gene:TanjilG_03286 transcript:OIW08610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVSSSSRGKSTELQIPKKRTDRETSPDRNKVWTEPKPKTPKKVSVVYYLSRNGQLEHPHFMELPLSSPQGLYLKDVINRLNLLRGKGMASMYSWSSKRSYKNGFVWHDLSEDDFIHPTQGHDYILKGSEIVDHNKSEDISDSPPAIPTRRRNQSWGSMDSNEYRVYYKSESFGDSAGKIAADASTQTDDKRRRRRAVREEEEEEQKHGIEDEEIEIEGERVPHTCQNQSTELSRDEISPPPSDSSPETLESLMKVDGRLGLRVTVGAKENNLTAEICPSGKMKASSVLLQLLSCGAVSFKESGANKDQGFSLVGHYKNRLPRGSRNQKEAGTSMEIPDLNRVILEDKEYFSGSVIETKKVEVPSLKRSSSYNADSGSRLQIIEHDDVVRAKCIPRMSKALPSKKEESASMHSVSSSQHGSKRFDLPQ >OIW08483 pep chromosome:LupAngTanjil_v1.0:LG07:2578964:2581440:1 gene:TanjilG_03159 transcript:OIW08483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIVRGMQRSFCENLKKVLCWNKYSFIEQGIGIAVPKARTRDKPIGAPLVVLRERDEENEEKVTRVVVSEDVCDSGDFNKAKSDGLSTGNLHFQQNDGSCDDEVVVVEKDVKEENVVKVLSRLRIASENEGTSVGSSSLASGSGSSCPPPPPVPPPKPSTANINARRNVIGASNSGSVGPSRRASVWPVVLAGSRPSSPRSHNESEGYDSADEQLCYVSSYDDRKESSKFEINIRRAKGYEALLAEGQFYSDLELTEKDIDRMVMAVSRAEYLANGTFKQQLSDRESSTSNAEPSSSGAKPREVAKSIELKMQNGVKLDHFEKFPPSFCCRIKVHYSQLYMYIANYALMSATGSSGTDPKMEDQKGHGCDISSSMQIALSMGFSYLQAIEAYSIYGDDVDSMLCYLLETSNTSRRKGKATE >OIW07654 pep chromosome:LupAngTanjil_v1.0:LG07:14427523:14435659:-1 gene:TanjilG_07696 transcript:OIW07654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAFTEKQVALVKSSWEVFNSNIPQNTHRFFTFVVEIAPAAKDLFSFLRGSNEIPQNNLDLQVHGGKVFKLVVKEALLKTIKEVVGDKWNEELSTAWTVAYDELALVIKKEMKNSAA >OIW07535 pep chromosome:LupAngTanjil_v1.0:LG07:18874327:18878313:-1 gene:TanjilG_14481 transcript:OIW07535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVEEFDLHNEKGEEEGATNSSLIDSGKVSRPRRIALFVEPSPFSYVSGYKNRFQNFIKYLREMGDEVMVVTTHKGLPEEFHGAKLIGSKSFPCPYYQKVPLSLALSPRIISEVAQFKPDIIHASSPGIMVFGALIIAKLLSVPIVMSYHTHVPVYIPRYTFSWLVKPMWWVIKFLHTAADLTLVPSAAIGRELQAAKVTAANRIRLWNKGVDSDSFHPRYRSDEMRLRLSNGEPEKPLIVHVGRLGFEKSLDFIKRIMDNLPEVRIAFIGDGPYREELEKMFEGMPAVFTGMLGGEELSQAYASGDVFVMPSESETLGLVVLEAMSSGIPVVGARAGGIPDIIPAEQEGKTGYLYNPGDLDDCLSKLKPLLFDKEFREQMGKAARKETEKYDWKAATHNIRNQQYNAAIWFWRKKRAQLLRPFQWLTKRIFASPAVNYR >OIW08106 pep chromosome:LupAngTanjil_v1.0:LG07:5802693:5810252:1 gene:TanjilG_06649 transcript:OIW08106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFSRHLTDTTSAAASATSFRFPSSASSDVDIAPPGVPSRIPSSTSHLFSQPSPWFSSPSLDLKRSSSQALYHPTILGIQNTIGQNEAWYSTSPLAKRPRYESGSNLPIYPQRPGEKDCAHYMLTRTCKFGESCKFDHPIWVPEGGIPDWKEVPDVTNETLPERPGEPDCPYFVKTQRCKFGPRCKFNHPKVSSESAGVSDLPDRPSEPPCAGEVDCPFYMKTGSCKYGSSCRYNHPDRTAINPPVAALGPSVLASSAANLNIGLINPAAPVYQAFDPRLSNPMSQLGMAETTYPQRPGQIECDYYMKTGICKFGERCKFHHPIDRSAPSQQTVKLTPAGLPRREGAVICPYYLKTGTCKYGPTCKFDHPPPGEVLEMAKSQTNGGDAKDNENVSASA >OIW08215 pep chromosome:LupAngTanjil_v1.0:LG07:4913559:4917510:1 gene:TanjilG_15176 transcript:OIW08215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRRVFCSRNFSTSFNEFGTTVDGVDRRNEINSGEVDTEYGNAWSAMLPEILGEIIRRVEADEEQWPQRQNVVAFACVCKRWRDIAREIVMAHPQTGKITFPSCLKQPGPRDLPQQCLITRNKKTSTFYLHLALTPSFTDKGKFLLAARRSRHGAHTEYIISLDADDLSQGSTAYVGKLRSDFLGTNFTIYDSQPPHSSAKPSSSMVCRRFSSKQISPQVPAGNFEAGQVSYKFNLLKSRGPRRMVCSLKGPILSSKDSSDSKNLGSHKMNHKDDAASGYLILKNKAPRWHEHIHCWCLNFHGRVTVASVKNFQLVATVDQSKPGGKGDEEKVLLQFGKVGDDTFTMDYRQPLSAFQAFAICLTSFGTKLACE >OIW07813 pep chromosome:LupAngTanjil_v1.0:LG07:10780718:10783073:1 gene:TanjilG_32005 transcript:OIW07813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRRGRELLSLSFSLYSPTKNHYPFPKLPSTFTFSTSSSSSNTPPPSSSSKSRDSMLLEKFKQRKLKGTSNDTGSHASTTSNAIHEKNVAEKGQNEPTMVVSGFKELGLNEELVEVLEGIGDFVPSEIQCVAIPTILEGKCVLLSSPSGPDKTLAFLLPLIQLLRRDLLGSNSNHPRGIVLCTTEEKAEECFNAAKYIIHNSELKSAKGSVPRSNTSIGLIIGTPNEILQYIEEGSVVPAELRYLVVDDVDYMLGSGLGPEIHKILTPLLDPESKSNVKRLQTILATSTITEVLGEQSPIVKDLEHDHAGNISALSLEMDQTEVFHFIESLDSLRGKVAEAMGSLLK >OIW08210 pep chromosome:LupAngTanjil_v1.0:LG07:4946686:4948893:-1 gene:TanjilG_15171 transcript:OIW08210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGYRAEDDYDYLFKVVLIGDSGVGKSNLLSRFTKNEFNLESKSTIGVEFATRTLNVDDKVIKSQIWDTAGQERYRAITSAYYRGAVGALLVYDVTRHATFENVDRWLKELRNHTDNNIVVMLVGNKSDLRHLVAVSTEDGKSYAEKESLYFIETSALEATNVETAFAEVLTQIYRVVCKKAVEATENGNSSVPAKGEKIDLKNDASVFKRVGCCSS >OIW07504 pep chromosome:LupAngTanjil_v1.0:LG07:18487183:18491038:-1 gene:TanjilG_14450 transcript:OIW07504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEGFEPYHVPQQSRREKLRVLPHNQPTLLSESTSLFPLFDPSLVPSDLLTSPNQQGHMMFHNNNILLSKENQGCNNGVMGFSRVVNASSCSSSYLDPSIQVINNNPFLYNQPQSFQNLSSSEMMMFKSEPLSLSLSSHINNNNNTQYPYGCGSNEVFSRNTVPLGPFTGYAMILKGSRFLKPAQQLLEEVCGFGVHGIYTEKIAADATLMEPPSMESLSAGGIVGVDDDAIGDGNESRKQKPKLLTMLDEVYRRYKQYYQQMQAVVTSFEYVAGLGNAAPYASLAINAMSKHFRCLKNAITDQIQFINKTQFQVSNNRKDESPRFRNTNDRGGPYSHRPHGFLEHQQQPVWRPQRGLPERAVSVLRAWLYDHFLHPYPTDTDKLMLAKQTGLSRSQVSNWFINARVRLWKPMVEEIHMLESQQAQKSSQREEGSTNKSIHNHVASDRSSLVSHENPSTSTWNEFPNTSINHQVGIGGSMGSVGNGISLTLGLHQNHGIGLSEPFPMNAAQRFGMTLDPEGCVLSSFESQNRLFGRDVIGGQLLHDL >OIW08684 pep chromosome:LupAngTanjil_v1.0:LG07:1108285:1111312:1 gene:TanjilG_03360 transcript:OIW08684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFIGSLVAKGAGLPPEEKPKLCDETCEKELENVPIVTTESGLQYKDIKVGQGPSPPVGFQVAANYVAMVPSGQIFDSSLEKGQVYIFRVGSGQVIKGLDEGMLSMKVGGKRRLYIPGPLAFPKGLTSAPGRPRVAPSSPVIFDVSLEYVPGLELEDEE >OIW07481 pep chromosome:LupAngTanjil_v1.0:LG07:18254003:18257411:1 gene:TanjilG_14427 transcript:OIW07481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLQKRLNYGFNGFQVPTIPTASRSARGRGTIRKRCDNSEIQAFEILASVAGNFLQENDSSILDNAASEKDHHDFTDLNIKHTKDDGGGSFNGGLFENGTCIETVSTCLTGSRGEHDNQRVMGESSLHGNNLEGQGQNVLEREDERMIHIKGNSGGLIEPRHRSFDSLPDGSTGRKLVTRDDGENFVRCTQLSSKNKKISGPPPDMLKLKDISLFTSEENNSRHSSVLDDPQRMYPFKKRKFFNQTSSSASDRGSHCQGIFDSSDTKVNGANHGAVKLSIKSFKVPELFIDIPETATIGSLKRTVLEAVTAVLGDELHVGILLQGKKIRDDNKTLFQTGISQDDKRHRLGFMLEPRHNQISPPSYNKDPCFLTTYPRQKLSRQSTSLMLQQGTYNVSQEHSLIKIESCAESDLNVVSSLTDTSANNNSSKCRTLVAVPAINMGALAMVPFRRKSGNHDFSQRRIRRPFSVLEVEALVQAVEKLGTGRWRDVKQCAFDNAKHRTYVDLKDKWKTLVHTARISPQQRRGEPVPQELLDRVLTAHAYWSQQQCKHQLKPM >OIW08558 pep chromosome:LupAngTanjil_v1.0:LG07:2000332:2003957:-1 gene:TanjilG_03234 transcript:OIW08558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKGGAAPATYSPSPTTQKKKAPLFKEDWVRPDGRSFHQCRPAFFRTGAVNAASGSAYAEFGNTKVIVSVFGPRESKKAMMYSDTGRLNCSVGFTTFATPVHGQGSDHKEYTAMLHKALEGAIILESFPKTTVDVFALVLESSGSDLPVVISCASLALADAGIMMYDLVASVSVSCLSKNLVIDPIFEEENYQDGSLMITCMPSRYKITQLTVTGEWSTPNINEGMQLCLDACAKLAKIMRSCLKEAASASKE >OIW08800 pep chromosome:LupAngTanjil_v1.0:LG07:231550:232490:-1 gene:TanjilG_16381 transcript:OIW08800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSVLLRSIIKASSTTIISSSKRSFTLLAPQIGNHTSKWMQDTSKKSPMELINEVPPIKVEGRIVACEGDTNPALGHPIEYICLDLEAPAVCKYCGLCYVQDHHH >OIW07988 pep chromosome:LupAngTanjil_v1.0:LG07:8298110:8304354:1 gene:TanjilG_20089 transcript:OIW07988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQRNGTVNDGDPETEENNNSNKNNNNIDDNNKNKSSDPELFCCLLQPATADGDPDYIGIRRLLLHRKAEAGIVRRRDWRCNGRTYVAYRNYICRPRNWESTHVRSLQTTPGNSGRWIPSPSPLSRWSEVDSWSSSRDVQSGNPASNHRTSFGSSASDSDRPRHRGVEPAYSFVGMHCIFDQCRASVTVLKFGHMSSDLLAYGASDGTLTVCTVSEKPSVIKQLNGHSKDVTDFDFSSNNQYIASSSLDKTVRVWEIAKGICIRVIYGVSSQLCIRFHPVNNNFLSVGNANKEINVFNFSTGRIINKSVFDSEVTSMDHDHAGHLIFCGDAQGYIYSVNMNSHTGVLSRSHRYRSSSRHKSPVTTMQYRSFSLLAGGPVLLTCTQDGNLSFFSVAMEIKGYLTLRCSLKLAPRIHKIQASFCPLLSLEKGEFIVTGSEDSNVYFYDLTRPRHTCVNKLQGHRFPVTAISWNHGENFLASSDFYGVVIVWKRERTNGNNNI >OIW08592 pep chromosome:LupAngTanjil_v1.0:LG07:1752681:1755032:1 gene:TanjilG_03268 transcript:OIW08592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGVGDFAAVPTHGGQFIQYNIFGNPFEVTTKYRPPIMPVGRGAYGIVCSLLNTETNELVAIKKISDAFDNHMDAKRTLREIKLLRHLNHENIIALRDVIPPPLRREFTDVYIVTELMDTDLHHIIRSNQNLSEEHCQYFLYQVLRGLKYIHSANIIHRDLKPSNLLLNANCDLKIIDFGLARPALESDFMTEYVVTRWYRAPELLLNSSDYTSAIDVWSVGCIFMELMNKKPLLPGRDHVHQMRLLTELLGTPTEADLGLVRNEDVRRYIRQLPQFPRQPLAEVFPHVHPLAMDLIDKMLTIDPTKRITVEEALAHPYLAKLHDEGDEPVSMEPFAFEFEHQQLDEEQIKEMIYREALALNPEYA >OIW08683 pep chromosome:LupAngTanjil_v1.0:LG07:1112202:1114955:1 gene:TanjilG_03359 transcript:OIW08683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLIESSAQVIEGDNTEEFKFCKTDPKSILQQIKRQHKSINLKRRWLLGMKLTKSDNNNFNNSNLFLNESLLREDDIFYESVRTHVEGAFGGTGVERENHVPQDDMDLIGIPNIKLKRLISSCLNNLTNKGLYHLAMILNGGSIKAEITRSKLKRIIKDSLSSVLGRKSHDCDQVETRKKVFELLSNPHHFRDRCEPLSAIVSQSDRAAVKKVLHGVQSLPIQTLVAMNRKLRGKKGSMPQLLPRRNGWGRDRLIKLVKESIREMLLQLDRGNGLPEPLAKAMAVSDLSRRLIAGCHGTLSREFYKFSPEVKSLQDDIMNAIWSINKKVVTLPVLRKLKLLVEPEAMIPTRTLRTAFVSFLTEFLFHCSDMDRIPKSLTKILDSINRGSNSTHDTLFQKKDIEEEVDCLLSVSAQAKQVVLDLLPDHEFDQDFTDAYMEELEESEDSGSDEDDDVDQPKEDRQFTNGTFNSMDLNYEAESIGDFVPFQSHSSISMKQENVPSSGKSNSNCEKLQPSNCDRVNSASEVHNTPHNMGTDQFPGESEERVSTRVASKNYTKSDVSSDRESDGNVVKKLDFNETDTELDAKGTANSLRKETKPIPTKDSVCKNQYLAIQDSCDKTSMLAYNLIGHILKEFATNKGPDLNMSTSSDVSDNGRVENVEETKKESPSDKHATDSAAIVRAINELIPSFPDSGMEKLMMLMGS >OIW07844 pep chromosome:LupAngTanjil_v1.0:LG07:10628989:10629603:1 gene:TanjilG_32700 transcript:OIW07844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLACCLVLISLCLCLVLEPAFAAKKGHKTLKVKSTKHIAPASAPFPSAIQTEQALIQQLCQDTRKSKLCRKIVQGERVALEPVAEAKIAIDIATSMASRVGAYMSTQLKTNRVKILSRGFVKVCKFNYDNAIVDLNLSYINFESNTKKAIESLKQAEIKIGFCVNSLKSASKNAEIPPVHEANKVIQSLIKAAESVAKKQTH >OIW08641 pep chromosome:LupAngTanjil_v1.0:LG07:1406756:1407778:1 gene:TanjilG_03317 transcript:OIW08641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSETSSYWCYSCTRNVHLLNHNNISCPHCETGFLEQIQPDPSPNHPLTPFPDASTLTRQALRRRRRNPGNPSPFNPVIVLRSPGEDGADHDGSIFELYYDDGDGTGLRPLPPTMSEFLLGSGFDRLLEQFAQIDMNGFGRPENPPASKAAIESIPTVKIEETHVCTDSHCAVCKEPFELGSEARELPCQHIYHSDCIIPWLSLRNSCPVCRSELPSEQNPPVSTQIDEESIGLTIWRLPGGGFAVGRFSGGRRAGESNLPVVYTEMDGGMNNTSVTPRMISRSVRSNRVRESGGVGRSFRNLLSFFGRIGSRSSSQSRSRNRFSSLFNRLRSRTSVMEV >OIW07476 pep chromosome:LupAngTanjil_v1.0:LG07:18191571:18191804:-1 gene:TanjilG_14422 transcript:OIW07476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMYELVECSKELEEVHYALAAHKKEASWPLSRVELQLESEKASRRREKTEEIKAKIKALRDEQAAAFDRIEAGYKD >OIW08377 pep chromosome:LupAngTanjil_v1.0:LG07:3495790:3497392:-1 gene:TanjilG_03053 transcript:OIW08377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENKRENQRRKRRILEQGSDRLAFITGRISSLPPQTLSSSISDDSNVTSPTISPQGVAVEELDSTLLKNDDPLVADLYPFEASRTITQTQPLQEHEGGTIQTSEFDHVQQPPSDSSVGNVSNQQPQQQPRTEESKLFIIPSEISSAINASRSTRLCCSVAVALLVVVSYLGFSLLGSDLIKSLISFRPLYLVLVTNLTVVFAKLLSTKNIRRYAISSGGDQGDAQLVRALEFGLVLQNVVDAFFMDCSVYAIVVVCGLSLVQR >OIW08148 pep chromosome:LupAngTanjil_v1.0:LG07:6278406:6282348:-1 gene:TanjilG_06691 transcript:OIW08148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRSHASSCSSSSSSSVEFETPIEKRRPKHPRRIGKSQECNKQKQNTGKRSSIYRGVTRHRWTGRFEAHLWDKSSWNNIQKKKGRQGAYDIEEAAARTYDLAALKYWGKDATLNFPIETYSKDLEEMNKVTKEEFLANLRRQSSGFSRGVSKYRGVARHHHNGRWEARIGRVCGNKYLYLGTYKTQEEAAIAYDMAAIEHRGLNAVTNFDISNYINRKNEQSQETETAPSSIDSEEAEAEAEVEQKNTAPPPPENVNNEPQQVQNTNVILSEESSLFIPMDHVFEKDMPWNFMDTSLTQFQDIDLGLSKEENLSSMFNGGGFEDDIDYLFSTEPSDGDFNFNAVLDSIIECGNIDGAAGTMVDNNNNQKMLSSASYSPSTSSTTTTVSCYYSL >OIW08613 pep chromosome:LupAngTanjil_v1.0:LG07:1590514:1594057:-1 gene:TanjilG_03289 transcript:OIW08613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKAEKKPAEKKSTEENKSTVAEKAPPAEKKPKAGKKLPKEGGAAVDKKKKKSKKSLPKEGGAAVDKKKKKSKKSVETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAQESSRLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSS >OIW07869 pep chromosome:LupAngTanjil_v1.0:LG07:6926495:6926947:1 gene:TanjilG_19970 transcript:OIW07869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PCNFNMDQELCANGCGFYGSPANKNFCSKCYKDYLKLKKNITKPYDDDECEVKNLKVNIFVPHESSSTSPKPCGLDVVAVSTTMKNKNRCKSCNKKVGISGFECRCGEVFCGRHRYPELHACKVNFKEIGRQILAKQNPLCIRDKLGNRV >OIW08630 pep chromosome:LupAngTanjil_v1.0:LG07:1476772:1478108:-1 gene:TanjilG_03306 transcript:OIW08630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMELKFELQSPSINFEDQPFVWPLIGVLANIPLQWKDGHFVGPSGFILRKQLSQKGFNSFNVEILWTQRGHSGFALVEFVKDYKGFQQATLFDMSFQASHCGNKDWNNIMVCPEVKLYGWVARENDYKFEGIVGQHLRKFRESNGAFVFNRSMRDHNEMNGFSSCVEGLVKQKDEMVQCYNEDIRKVHQSSQKHFEKMICGHAMVTVLLEAQKHEIEMRSTELVHGLVVSNEAELRKIQNQKYMIEEVTLAQRKGDHNFVQLVEKHKKEKEELHKQIIDFEKNVDDKHVLQLEIERMKGALRVMRHIGDSGDSKILQKMDTKEKEQEYKGE >OIW07825 pep chromosome:LupAngTanjil_v1.0:LG07:10100212:10100788:-1 gene:TanjilG_32681 transcript:OIW07825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQRKTAERAAFNNRLRMIIEVMRQELQPKIELRQAMWKELQQLKANNILLALEIGNHEALKYVNARFFPQQPLLGLPPSPPSDDDESSDDEED >OIW08577 pep chromosome:LupAngTanjil_v1.0:LG07:1859889:1860773:-1 gene:TanjilG_03253 transcript:OIW08577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLKKQSFFSLSLVLLVSFLYSTATTSTLAQLSPAIAPAKPATPTTPASAPKPLVPSLPQSPSPSADATPDTPSVDIVGILRKAKSFNIFIRLLKTTQLINQLNSQLITTKSGGITILAPEDSAFSGLKPGFLNTLNDGQKLELLQFHVIPDYVSSSNFDTLTNPVRTLAGAKPGKVELNVISYGGSVNISTGEVNTTINGIIYTDKRLAIYKVGKVLLPTEFFTVSKAPAKSPSLAPEPSTETAKAPKPDKDTSSSVSSQVKPTEENSGSVKINVYGKWVLLGFALVAAIVQA >OIW08070 pep chromosome:LupAngTanjil_v1.0:LG07:9702838:9704253:1 gene:TanjilG_20171 transcript:OIW08070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDTIVLYSSVGRGHLFSMIELGNLILTHNPSFSIKILIPTPTNTAKIDTTTFDCNSSITFHHLPITPPSSTTSTINLPPHLRIFDLARHGNLNLHHVLQSISKASNLKAIVLDFMNYSATEVTTALDIPTFFYYTSGAASLCVLLHWNTVEQHKTRFIKDKHTYLEVPGIPKFSTQELPPSPGDLGKVFLQISATMSESDGIIINTYNALDGRAIKALDEGLCFPEERNPPPVFCIGPVVSVSGGEKDDNGCLSWLNSQPSQSVVLLSFGSLGRFSKNQLKEIAIGLEKSEQRFLWVVRSESDEESLEELLPEGFLERTKEKGLVVRNWAPQAAILSHDSVGGFVTHCGWNSVLEAVCEGVPMVAWPLYAEQKLNRVVLVKEMKVALALKESEDGFVSATELGERVKELMNSEKGKEVREKILNMKVGAVKARAEGGSSYAALNRLTKSWKEKDHLSIFSPNTPFYNYSS >OIW08248 pep chromosome:LupAngTanjil_v1.0:LG07:4655954:4656238:1 gene:TanjilG_15209 transcript:OIW08248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNKVVVLIFIVVIIMFASVDNGQAIRASSVKDGKAGLSSVKDGKVISTNENENCTLDSYRCYKLCIKGCAYENHPAEGPCHDNCAYACDCNL >OIW07580 pep chromosome:LupAngTanjil_v1.0:LG07:17847331:17848341:1 gene:TanjilG_08467 transcript:OIW07580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRKELVLYVPRSHNQKREPSQFSSSDTRCNKRCKVMASSDTEYSSVPKTETTRVIAAKDSRNVDEDVAKMRKVAHDQGISAYPTKSGGKADKCGGLVKEKWRVSKSGCEEKEKKKPLDKRVITKDSRSVYEEKCEKMRNVASHYVSAINTDQYPTKSSAQCCGAVKEKGNVGLRISRSGFEEGCKLKKKKKEEKKERVMDHCKKMQCWVILKRLMTGRDSWVFKQPMMGLKILDNNNNNSIVDHYHESKSVSKPSIKSEVVCNKTSMKPNSLKDIESKLRKLVYTDADEFAEDIRVIFSYGFLHPPRNDIYKITSRLSEAFELTWKSLKEKWLIK >OIW08164 pep chromosome:LupAngTanjil_v1.0:LG07:5576787:5577164:-1 gene:TanjilG_06577 transcript:OIW08164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHLIRKLSRVADSSRYALLRSESPSTRRRPESRRFRNGEVPEGHIPVYVGEEMERFVVSAELLNHPVFVNLLNRSAQEYGYEQKGVLRIPCHVIVFERVLDVLRLGLDSRDLNDLVSSSSEGFC >OIW07965 pep chromosome:LupAngTanjil_v1.0:LG07:7994774:7997509:1 gene:TanjilG_20066 transcript:OIW07965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKWKNNAKKLSYISVPSHIINSISSSSLQSLFDSSSTSKKSSRTTFNFYRNPRILFFTLFLISLFAFLKLGFNLDIPFSSYPCGITNLPNFKNSFLYSKSNLGVVSNIESLQKDEVFNDNSDVSVSVLESLIANVQLQAQGTSGLESDEEIEFWKKPNGMGYKPCLDFSRDYRRGSEGVLRGRKKYLMVVVSGGMNQQRNQIVDAVVIARILGAALVVPILQVNVIWGDESEFADIFDLEYFKSVLANDVRVVSALPSTHLMTRPVEGSPPLHVTPSWIRTHYLGRINREGVLLLRGLDSRLSKDLPSDLQKLRCKVAFNALRFAKPVQELGNNIAERMQSKGPYLALHLRMEKDVWVRTGCLPGLSPEYDEIVNNERKQRPELLTGRSNMTYHERKLAGLCPLNAVEVTRLLKALGAPKNSRIYWAGGQPLGGKEVLYPLIHEFPHFYSKEDLALPGELDPFAKKASLMAAIDFIVSEKSDVFMPSHGGNMGHAIQGQRAYAGHKKYITPNKRKMLPYFMNSSLPEADFNRIIKGLHQDSLGQPELRTSKAGRDVTKYPVPECMCNDS >OIW08221 pep chromosome:LupAngTanjil_v1.0:LG07:4859710:4861116:-1 gene:TanjilG_15182 transcript:OIW08221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMPMSIPIDPTKKRKLEENGFSTVDSDLVTLSSSDARKIIERFTQEQLHDILQDATVRHPDVLAAVRSVADTDQTQRKLFIRGLGWDTTTDGLRSLFSAYGDLEEAVVILDKATGKSKGYGFVTFRHVDGALLALKEPSKRIDGRVTVTQLAAAGNSGNTTNTADIAQRKIYVANVPPDLPADKLLAHFSIYGEIEEGPLGFDKQTGKSKGFALFVYKLPEGAQAALVESVKNVEGRQLNCKLAITDGKQGKRGAGGVGVQDGVQGHGNAHGHGDGMGMAPQSSVPGSYGGPVGGNISSYGGFPGQPPMGGHPLNSSVGSLGSVANQAPPSSLGAAGGYGSGGGYGSGIGGHYGGYGGGPGSAGFGGSGYGGVGGGVAGGGGAGGVGSGLGGVASGLGGVGGGLGGAGSLYKLPGSGGIPGGGYGESGHYSLSASSGYQNQHHPPSGASSVPRVPPGSMYPNVPPYY >OIW08424 pep chromosome:LupAngTanjil_v1.0:LG07:3067445:3069236:-1 gene:TanjilG_03100 transcript:OIW08424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEARFEGEVAEVQAWWTSERFKLTKRPYSARDVVSLRGNLKQTYGSNEMAKKLWATLKTHQANGTASRTFGALDPVQVTQMAKHLDTIYVSGWQCSSTHTSTNEPGPDLADYPYDTVPNKVEHVFFAQQFHDRKQREERMRMSREERARTPYIDYLRPIIADGDTGFGGTTATVKLAKLFVERGAAGVHIEDQSSVTKKCGHMAGKVLVAISEHINRLVAARLQFDVMGVETVLVARTDAEAATLIQSNIDTRDHQFILGVTNPGLKGKSLATLMAQGMASGKSGAELQAIEDQWLSMAQLKTFSDAVVDAIKSLNIGEEEKRRRLNEWMNCSSYEKCLSNDEGREIAERLGVRNLFWGWDLPRTREGFYRFKGSVTASIVRGLSFAPHADLIWMETATPNVAECTHFAEGVRSKYPEMLLGYNLSPSFNWDASGMNDEEMRNFIPRIGRLGYVWQFITVGGVHSTALITSTFAREFAKRGMLAYVEMIQREERNNGVDTLAHQKWAGANYYDRYLKTVQGGVASTAAMGKGVTEDQFQVVSKARM >OIW08567 pep chromosome:LupAngTanjil_v1.0:LG07:1911541:1912005:-1 gene:TanjilG_03243 transcript:OIW08567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPISTISIFSFSLLFLLSLKTTSSAHSPLNSPATAPQSPLPPSPTPAPTTPPPLPSPAPAPTPTSLDSPPSPSPDSSLSPAPEPASHDEVVSITTVKDAHGESSGKSKAAKKAGIAVGVILSAGVIVVGTVVYRKRRQNIERSQFGNAARRELL >OIW08705 pep chromosome:LupAngTanjil_v1.0:LG07:985076:987229:1 gene:TanjilG_03381 transcript:OIW08705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGRREGPLMRNNAHSLRKSRIITAIVIGILIGCVFAFLFPNGFFVPHTVTANRHISLAGSKTQEGSDECEPSDRANMLKSEFVAVSEKNAELKKQVRELTERLRLAEQGKDQAQKQFLVLGKSQKAGPFGTVKGLRTTPTVVPDESVNPRLAKILEKVAVKQELIVALANSKVKEMLEVWFTNIKRVGISNYLVVALDEEIAKYCEANQVPFYKRDPDEGIDTIGKVGGNHAVSGLKFRILREFLQLGYSVLLSDVDIVYLQNPFDHLYRDSDVESMSDGHDNMTAYGYNDVFDEPAMGWARFAHTMRIWVYNSGFFYIRPTVPSVELLDRVATRLSNEKAWDQAVFNEELFYPSYPGYDGLHAARRTMDFYQFMNSKVLFKTVRYDANLSKLKPVIIHVNYHPDKLPRMKAIVEYYVNGKHDALKPFPEGSD >OIW08313 pep chromosome:LupAngTanjil_v1.0:LG07:3944804:3947467:-1 gene:TanjilG_02989 transcript:OIW08313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERIHMSEENKSDIGAEPSSPSSKKRSFLDLNEEAKDNSEISSYDRSSSEGNNNLSKNNNSSEEGKLERGIVRPYVRSKMPRLRWTRDLHLAFVHAIERLGGQERATPKLVLQLMDVRGLSIAHVKSHLQMYRSKKLDESGQVLSQHRAIQGRDQIYEMYERLDAQGHFGVHNKNYLPSSLIMKQPYDDFKVVHGSSRFQHVGVFNSDVMKRPSSLWSKNSDLYGSNYRTFLQKDEKIIAPTSSHIFHARDGTIARNGNIKNWPTRGIMTGSEGNDKYETMTNILWDHKYTEPYPHWSISASSMNNNQYHSWGTILPKLSTEVQDLKDGLQSFTKVELSQQQQVKAQLEKLKADKGSSNFLELRLSHDLGNVKETNGGTASEQEINTMLSLSLFPSPSSMQQA >OIW08310 pep chromosome:LupAngTanjil_v1.0:LG07:3977184:3979963:1 gene:TanjilG_02986 transcript:OIW08310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERVVGTGSFGVVFQAKCLETGEAVAIKKVLQDRRYKNRELQLMRLMDHPNVISLKHCFFSTTSKDELFLNLVMEYVPETMYRVLKHYNNMNQRMPLIYIFRGLAYIHTVPGVCHRDVKPQNLLVHPLTHQVKLCDFGSAKILVKGEANISYICSRYYRAPELIFGATEYTTSIDIWSAGCVLAELLLGQPLFPGENQVDQLVEIIKVLGTPTREEIRCMNPNYTDFRFPQVKAHPWHKVFHKRMPPEAIDLASRLLQYSPSLRCTALEACAHPFFDELREPNARLPNSRPLPPLFNFKHELAGASPELINRLIPEHIRRQTSLSFPNPNPADT >OIW08393 pep chromosome:LupAngTanjil_v1.0:LG07:3374877:3376501:-1 gene:TanjilG_03069 transcript:OIW08393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSISTLLSFKPHFTFSTTPSSSRRFQPFPSLSLPKVQAFKPQLTPNNVTGVFPKAPRFYLWKRFAVNDDDAFVGLDEAEKEGPGRSSMPERFRYLAKEVPDTSIRWPWLVVLSVLIYAWRAVLFELSNWRNAVFGIIRFIGYVFKYAFAVFYRFIGNPITFSIRCVEDLLYTIRAFYSSIINYTPIPDLTITIALASVVLSIAQATVPNCVNEQSYVLTISGLLGYAAVRGYISEPLFWTLLLGVYGFSKLLKKRDDVSSAMPVAAVLAAVGEPWVRVLVIISFTALAIFQHSKMLQEGKEVETAERRLPIPLLLAALAIGLRIAAKWAGYRHLTWMIV >OIW08851 pep chromosome:LupAngTanjil_v1.0:LG07:546372:546806:1 gene:TanjilG_16432 transcript:OIW08851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSERVTGKVKWFNDTKGFGFITPDDGGDDLFVHQSQLKSDGFRSLAEGESVEFQIEADSDGRTKAVDVTGPDGANVQGSRRGGSGGGGGYGDGRGGGGYGGGGRGGGGYGGGRGGGGYGGGRGGGGYGGGGRGSRGGYGDEGY >OIW07519 pep chromosome:LupAngTanjil_v1.0:LG07:18676648:18680131:1 gene:TanjilG_14465 transcript:OIW07519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFYIGREASKLWKRFCAEITTEINLLAENWKYLLAGLVCQYIHGLAARGVHYLHKPGPTLQDLGFFVLPELGQDKAYLSETLFTTIFVSFFLWTFHPFILKNKKIYTVLIWCRVLSFLVASQALRIVTFYSTQLPGPNYHCREGSELATLPPPKSVLEVLLINFPRGVLYGCGDLIFSSHMIFTLVFVLTYQKYGTRRCIKQLGWLLAVIQSLLIIASRKHYTVDVVVAWYTVNLVVFFVEKKLPEMPDRTSAAAAMLLPMSVKDKDGWTKEENHKSLNGNSVDPAEWRQRTQSNGKNMENGNAHLADSAMNGA >OIW08439 pep chromosome:LupAngTanjil_v1.0:LG07:2931965:2932966:1 gene:TanjilG_03115 transcript:OIW08439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKWSRGHIIGHGSSATVSVATGCSGIFAVKSTKLSTSESLQREQIILSTLCSPFIVAYKGCDIKMENNMPVYNLFLEYMPFGTLVQATHRHGGRLNESAIACYTRHVLQGIEYLHSKGLVHCDIKGANILISEDGVAKVCDFGCAKVVIDAKAAAPISGTPMFMAPEVARGEEQGCPSDIWSIGCTMIEMAIGGSPWPNVADPFSILYHIAYSNKVPEIPSFLSEEAKDFLEKCLRRNPQERWTANQLLKHPFLEEFSFNSKQIEELNSSSPTSILEQGFWNYVEESESHGNLIHMTCFENSPADRVRSLALCSGEPCLTWHDDESWITTRRN >OIW07522 pep chromosome:LupAngTanjil_v1.0:LG07:18741060:18741695:1 gene:TanjilG_14468 transcript:OIW07522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHYMSIVDHHLPYDSHNLYDVTFHSHSIHTLLTSDPSYVTTWISETLSLSNHRRSLTVGLDVEWRPNFSSSSNNPVATLQLCVADRCLVFQILHAPSIPHALVSFLADTRNTFVGVGIEEDVEKLVEDYDLRVASVVDLRGVAADRYGERDLKQAGLKTLSFRVLGLEVVKPKRISMSKWDNVWLTAEQVQYACVDAFLSYEIGNHLIRS >OIW08404 pep chromosome:LupAngTanjil_v1.0:LG07:3287692:3289042:1 gene:TanjilG_03080 transcript:OIW08404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRTPYSDKNGIKKGTWTPEEDRKLLAYVTKYGHWNWRQLPKFADLARCGKSCRLRWKNYLKPGIKRGNYTQEEEETIVKLHAKFGNRWSKIASHLPGRSDNGIKNHWHACLKKHFQHNSVRNEKAVEPTQEKDVSLEINDPFHNNSPSTPQTRDASPSSQQTSVSDILCSTAESASDGNYLNMVQDVDQVANFLDIDMGLLSGDFYTTEFDVADFSYIPGELYARFVSEPECLSPVYDAQLWGL >OIW08298 pep chromosome:LupAngTanjil_v1.0:LG07:4167345:4168454:-1 gene:TanjilG_02974 transcript:OIW08298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSFKKLEVQTDDETPHKWCTSLGEEVFKRFFSQANTTVHKVFGDGSFFSPMLFGKFFDPSDAFPLWEFESDILLSHLRSSTQNTVSWYQTDKGYIVKAELPGTGINNIEVHVDNGKVVEISAQWKLQRDLKANEWRCDHWWEYGYVRRLEMPEDADSNNIEAHIYNNMVLEIQIPKSPLDCDPPQGKDVASSSVNLRE >OIW08644 pep chromosome:LupAngTanjil_v1.0:LG07:1392749:1396828:1 gene:TanjilG_03320 transcript:OIW08644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSLINFNLFPSKPLHYHQLIITSKPLLSSSRFISPSLSSINHRFRPTTPITYPILPFHSTPNVRFHVRAQKDYSAGDPSKTQDEFSWSSVIFPFLFPALGGLLFGYDIGATSGATISLQSPELSGITWFNLSSIQLGLVVSGSLYGALFGSLLSYAIADFLGRKRQLITASLLYLLGGAITAYAPELGVLLAGRLLYGLGIGLAMHGAPLYIAETCPSQIRGTLVSLKELFIVLGILLGYFVGSFQISAIGGWRFMYGFSAPVAVLMGLGMWSLPPSPRWLLLRAVQGKGSFQELKEKATFSLSKLRGRPPGDKESERQIEETLVSMKSAYADQESEGSFLEVFQGPNLKAFVIGGGLVLFQQITGQPSVLYYAGSILQSAGFSAASDATKVSVIIGIFKLLMTWVAVLKVDDLGRRPLLIGGVSGIAVSLVLLSAYYKFLGGFPVVAVAALLLYVGSYQISFGPISWLMVSEIFPIRTRGRGISLAVLTNFASNAVVTFAFSPLKELLGAENLFLLFGAIALVSLLFVIFSVPETKGLSLEEIESKILN >OIW07621 pep chromosome:LupAngTanjil_v1.0:LG07:16909366:16914918:-1 gene:TanjilG_16602 transcript:OIW07621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNTMLVNCSGCATPLMLPPGAGSIRCALCHAVTHLSDPRSIPPPHHPPSSTPAPPTPPSPYNHAPPGPLPNPHGRKRAVIIGISYRFSRHELKGCINDAKCMRYLLINKFNFPEASIIMLTEEEDPHGPKFPTKNNIRMAMFWLAQGCQPGDSLVFHYSGHGSQQRSYSGEEADGFDETLCPLDFETQGMIVDDEINTAMVRPLPHGVRLHALIDACHSGTVLDLPFLCRMNRMGQYVWEDHRPRSGVWKGSNGGEVISFSGCDDHQTSADTSALSKITSTGAMTYCFIQAIERGHGATYGSILTAMRSAIRNVGNDGGVAGGGVVTSLVSMLLTGGSLTGVGGGLKQEPQLTACEPFDVYTKPFSL >OIW08199 pep chromosome:LupAngTanjil_v1.0:LG07:5211080:5219608:1 gene:TanjilG_15160 transcript:OIW08199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAPLSTWPWENFGIFKYMLYAPFVAKVLYERFYVEDSNLSFNWCLHLLILFGLRGLLHLLWNCYSNMLFLTRNRRILQQGVDFKQIDKEWDWDNFLILQALIASMTYYMFPFLQNLPLWNFKGLICALILHVGISEPLYYWGHRKFHEDYLFTHYHSLHHSSPVPQSLTVGNSTVLEALIMTVVIGIPILGASMMGYGSATLIYGYLLGFDFLRCLGHCNVEIVPRRLFEIFPFMRYLIYTPTYHTLHHNDKDTNFCLFMPFFDYLGNTLNSKSWQLHKTLSSGRPSRVPDFVFLAHIVDVTSCMHVQFCLRSFSSLPFRTRFFLIPFWPIALISLLAMWIWSKTFLVSFYYLRGRLHQTWAVPRFGFQYFLPIAADGINKNIEQAILRADKIGVKVISLAALNKNEALNGGGKLFVDKHPNLRVRVVHGNTLTAAVILDEIPKDAEEVFLTGATSKLGRAIALYLCQKKVRVLMLTLSTDRFQKIQKEAPPEYQSYLVQVTKYQAAKNCKIWIVGKWITPREQRWAPSGTHFHQFVVPPIFPFRRDCTYGDLAAMRLPEDVEGLGSCEYTMERGVVHACHAGGVVHSLEGWTHHEVGAIDVNKINVVWKAALKHGLRPVSSGSTINKN >OIW07635 pep chromosome:LupAngTanjil_v1.0:LG07:16103601:16105191:-1 gene:TanjilG_03743 transcript:OIW07635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGCVPLLGQDLPAPTQNRSSDAYKNFLECSKGITIADGILLNSFLEMEPDTIKALEENLNGKMSFYPLGPITQKASTIEENGSECLRWLDNQPPSSVLYVSFGSDGTLTQNQVNELALGLELSDQKFLWVLRSPSNSTSAAYLGPHNDDPLKYLPNGFLERTKDKGLVVPSWAPQIQVLGHNSVGGFLSHCGWNSILESMQEGVPLITWPLFAEQRMNAVMLTDGLKVALRPKVNEDGIVEKEEIAKVIKCLIEGVEGKEIRKRMNGLKDAATNAIKEDGSSTHTMSKLATKWENFGGV >OIW07573 pep chromosome:LupAngTanjil_v1.0:LG07:17798582:17799724:-1 gene:TanjilG_08460 transcript:OIW07573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTHITHLSSDLIELILSFLPISTLIKSSIVCKLWHSIISSFSFSTTTTTNHHHKPWFFLHGIHNISSKNNQSFAFDPTSNSWFQLTPFLTTTPNQNQNQPNTSFIGTNGFFFITAPMFCYTTIMHPSWHATKPLHFPRINPLIGVFNDGFVVVVGGVKFIGNLVDIEEPLDVEIYDPSLGSWKLCPPLPGDFRSGNSSSSLSSALFKKKFYVFGIYSCFVSSFDLEKHVWSDVHTLRPHGVVFSFLVSCRKQLVLAGICNLRNGSCFNLWKIDDRTMEFNEIGTMPHDLLYDLFDGDEDDKFASLKCVGLGDLIYVFNEDYHRVYPACVCEIDDESGKCSWRKVPQLPSPVNKFHKVISFCSTISLHSVLGQHQHLGIQ >OIW08604 pep chromosome:LupAngTanjil_v1.0:LG07:1673038:1677564:1 gene:TanjilG_03280 transcript:OIW08604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLPFLLLFIFFFSVFLLKLLSHNQKSKSKTHLRHPPSPLALPIVGHLHLLQPLIHQAFRDLSLKYGPLIFLKLGYARFVVASSPELAKEILKINELTYSSRKMSTAINLVTYDNATFAFAPYDTYWKFIKKLSTTELLGNRTLGQFLPIRTREIHEFIQTLAQKSEAKERVDLTEELLKLSNNIISQMMLSIKSTDDQAEEARALVRDVTQIFGEFNVSDFIGVFKNFDLQGFRKRAMHIHKRYDALLEKIISDREESRKIQKGAKTEGDVEDGEEKVKDFLDILLDVSEDKDCEVKLTRNHIKSLILDYFTAATDTTAISVEWTIAELFNNPSVLKKAQEEVDRVTCNKRLVCEADNPNLPYINAIIKETMRLHPPISMIMRKGIEDCIVSGYLIPKGSVTCINIWAMGRDPKIWENPLEFMPERFLEGEGKSIDTKGHNYELLPFGSGRRGCPGMPLAMRELPTVIGALVQCFEWNMFDYNGKILENGETIDMDERPGLTAPRAHDLICIPVARLNPTPFLQL >OIW07702 pep chromosome:LupAngTanjil_v1.0:LG07:13921032:13922778:-1 gene:TanjilG_30779 transcript:OIW07702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLLTLSVSLPSLKPPQCLEADVTKCKKASTLHLAVFYGALYTLAVGTGGTKPNISTIGADQFDDFDPKEKSHKLSFFNWWFFSIFIGTLFANTVLVYIQDNVGWTLGYALPTLGLAISIIIFLVGTPFYRHKFPTGSPFTKMAQVIVAALRKWKVPVPTDSKELYELELEEYAKKGKFRIDPTPTLRFLSKASVNTGSSSKWMLCPVTQVEETKQMLRMIPILVVTLVPSTMVAQISTLFVKQGATLDRSIGSFNIPPASLGAFVTLSMLICVVLYDSFFVKIMQRLTKNPRGVTLIQRMGIGLIFHIVIMIIASFTERYRLSVAKEHGVVENGGLVPLSIFILLPQYILMGTADAFVEIAKVEFFYDQAPESMKSLGTSYSMTTLGIGNFLSTFLLSTVSHITMKHGHQGWILNNLNASHLDYYYALLAILNFLNFIFFMFVTKFYVYKAEVSDSIEVLEQELKEKTAIVSNQVIPRD >OIW07478 pep chromosome:LupAngTanjil_v1.0:LG07:18216725:18218772:-1 gene:TanjilG_14424 transcript:OIW07478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEMVLLYIASLLSLFAATNARIPGVYTAGAWENAHATFYGGNDASGTMGGACGYGNLYSQGYGVSTAALSTSLFNNGQSCGACFEIKCDQDPSWCNPGNPSILVTATNFCPPNYALPSDNGGWCNPPRQHFDLAMPMFLKIAQYKAGIVPVAFRRVACRKTGGIRFTINGHRYFNLVLITNVAGAGDIVRVSVKGTNTAWSSMSRNWGQNWQSNDILVGQVLSFRVTGSDRRTSTSLNVAPSNWQFGQTFTGKNFRV >OIW08850 pep chromosome:LupAngTanjil_v1.0:LG07:541981:543657:1 gene:TanjilG_16431 transcript:OIW08850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPHKPNKSNLTHLCIVKSLLNASSRGHLSQAVSSLDLLHQKGIRLPSHILATLLRHCSDTRSYRDGKLVHLHLKLTGFKRPTTLLANHLICMYFRCGDYVNARKVFDKMGVRNLYSWNNMLSGYVKLGMIKQARSLFYRMPERDYVSWNTMVVCYAHSGMLGEALRYYVELRRLSIGYNEFTFASVLIVCVKLREFDLSRQIHAQVLVVGFLSNVVVSSSIVDGYAKCGKMEDARRLFDEMSVRDIPAWTTLVSGYASSGDMESANQLFNQMPQKNSYSWTCLVSGYARNGMGHEALGVFRKMIKHQVRPDQFTFSSCLFACGNIVSLKHGKEIHAFLVRNNFRPNTMVVSAIVDMYSKCGSMETAKRVFDFIGNKQDVVLWNAMISALAHFGYSVEAIRLLNDMLRSGVKPNRVTFLVILNACSHSGLVQEGFQFFMSMTSEHGVVPDQEHYACLIDLLGQPRCLNESVKDLQMMDCKRGDHVWNSLPGVCRVYGNLEHRREVGDFYVKLQPQSPAAYVLLSSIYATLLKWGLSDKVRQTIDERHVRKDPAISLRN >OIW08609 pep chromosome:LupAngTanjil_v1.0:LG07:1635495:1638038:1 gene:TanjilG_03285 transcript:OIW08609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHQEFRKGPWTEQEDLKLVSFVGLFGDRRWDFIAKVSGLTRTGKSCRLRWVNYLHPGLKHGKLTPQEERLVMELHSKWGNRWSRIARKLPGRTDNEIKNYWRTHMRKKAQEEKRAAASPTSSSCQSSLSSSNNNNNHTVNSVHASKKAGEESFYDTGGPNNNENASNGNINVGEDHQDGEEGYSMDDIWKDIGMLEENILPSTSWEYSSEPLWMMDEEEKRGPPWFRGGGEVKRGDNGGGEDEEQKIEDEVNRETEL >OIW08145 pep chromosome:LupAngTanjil_v1.0:LG07:6256676:6258253:1 gene:TanjilG_06688 transcript:OIW08145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPIFNNFSFSSKERNGNVGLTVDELEERIRNDRKLLKQLKGEAVENEEVHLSEQCKREIMSDAQNGILKHMIKLMEVCNAQGFVYGIVPDNGKPIIGASENLRQWWKEKVKFDRNGPAAVAKYEAENGKNVTMNGGNIDPADMFDEISDFDVEFTNDGAKFQNLEEHKELDNANMVQMEANISENSTVIRPTANKRARKLSEDIYTYGNLSQPYNNYHLGLSDITTRSSHQLNHSNGNNTFQMPKLSNLQDDHILKPTENGMNMVQDIMSSYNKSIQENNIMASWNMMPPMNHNQHQQSLKLQMDMNIFSPEINDSSDSRNSYSEVTRYPAAPCIGPEVNPITSQFDSTCFNQIFIGSTMKQGSSESFSTRYN >OIW08787 pep chromosome:LupAngTanjil_v1.0:LG07:165074:168074:-1 gene:TanjilG_16368 transcript:OIW08787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAQRALLDELMGAARNLTDEERKVYKEVNWDDKDVCGFYMVRFCPHDLFVNTRSDLGTCPRIHDQKLKESFEKSPRHDAFVPKFEAELAQFCEKLVMELDRRVKRGRERLAQEVEPAPPPPLTAEKSEQLSVLEEKIKNLLEQVESLGEAGKVDEAEALMRKVEILNAEKTGLTQPQNEKVLLLAQEKKMALCEICGSFLVENDALERTQSHITGKQHVGYGMVRDFIKEYKDAKEKATEEERLAREREAEDRRIQREKSERSRRGDSSDRERHRDRDRERDRHRDKGSDRERSRDRNGGRWVDSRMRNGRNGGRDGYRDRRRSRSPARHSQRR >OIW08246 pep chromosome:LupAngTanjil_v1.0:LG07:4672345:4673313:1 gene:TanjilG_15207 transcript:OIW08246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEKEVENEVKEENESSGVGLTEEEKKKGIDGSKGSSSWGGGVPPPSCQAERCGADLTNAKKYHGRHKVCEFHSKAPVVVVAGLKQRFHDLAEFDEAKRSCRRRLAGHNERLRKSNSESCSHSRGHHPKESQCRLADERGLIPINMAGNSGYKSFHIR >OIW07862 pep chromosome:LupAngTanjil_v1.0:LG07:6858945:6865838:-1 gene:TanjilG_19963 transcript:OIW07862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLPLSNDAVSNDKKLKKEKKIKTTDNVENVNDTVSDSKKKSGSKEIKKKRKKVSSSSEEDDDGEKSETSSDVVEGLKTKEKKKKSKKAKVEIEEEEEVEAEVEVENPNAVSNFRISEPLKAKLKEKGIEALFPIQAMTFDIILDGDDLVGRARTGQGKTLAFVLPILESLTNGPAKASRKLGYGRSPSVVVLLPTRELAKQVHADFEVYGGSLGLVSCCLYGGAPYQTQEIKLKRGVDIVIGTPGRIKDHIERGNIDLSLLRFRVLDEADEMLRMGFVEDVELILGKVEDVNKVQTLLFSATLPVWVKQISTRFLKRDKKTADLVGNEKMKASNNVRHIVLPCNSSARAQLIPDIIRCYSSGGRTIIFTEKKESASELAGVLQGARPLHGDIQQSQREVTLSGFRSGKFLTLVATNVAARGLDINDVQLIIQCEPPRDVEAYIHRSGRTGRAGNTGIAVMLYDPRKSSVSRIERESGVKFEHVSAPQSDDIAKAVGGEAAELILQVSDSVVPAFTSAAEGLLNNSGLSAVELLAKALAKAVGFTEIVKRSLLTSMENYVTLQLEIGKPIFTPSFAFGILRRFVPEEKVNTVQGLTITADGNGAVFDVPAKELDAFITAKTADLVGNEKMKASNNVRHIVLPCNSSARAQLIPDIIRCYSSGGRTIIFTEKKESASELAGVLQGARPLHGDIQQSQREVTLSGFRSGKFLTLVATNVAARGLDINDVQLIIQCEPPRDVEAYIHRSGRTGRAGNTGIAVMLYDPRKSSVSRIERESGVKFEHVSAPQSDDIAKAVGGEAAELILQVSDSVVPAFTSAAEGLLNNSGLSAVELLAKALAKAVGFTEIVKRSLLTSMENYVTLQLEIGKPIFTPSFAFGILRRFVPEEKVNTVQGLTITADGNGAVFDVPAKELDAFITGQQNAANVSLEVVKTLPQLQEREQSKVSRYGGGGRGFGGSRFGGRGGGRNGRFSGGYGGGRGGNRW >OIW08382 pep chromosome:LupAngTanjil_v1.0:LG07:3461146:3462300:1 gene:TanjilG_03058 transcript:OIW08382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDDAYNKSRKSNKSIHESESQDFEMGKGKGKGVSLSSQRPLKKIRSPERNQNQSSLQQPLPSSSRIVFPFALEGSQTQSPMQFSHQSGTSNFSPFPPPLLHPTQQFQQQQQMISFGSHYNIGYPIQHQQVQYQHQLQYWSDALNLSPRGRPVLRPQLQHLSPTKLYRGVRQRHWGKWVAEIRLPRNRTRLWLGTFNTAEDAAMAYDCEAFKLRGENARLNFPELFLNKVKSPSTTKTASPETSVSQPHDGSTSRQPEPIQEETMNVNAESVLSLAPISEEVTDNNSIVSEEVQVAGEGISESEELVWGDMAAWFNAGWDPRSPVWDDFDTNNNLLLQSQFPFVNPNQQEFNDPDADQRQEYNTGTGSSSSSSPMMPFFWKGQN >OIW07416 pep chromosome:LupAngTanjil_v1.0:LG07:19515457:19520510:-1 gene:TanjilG_19257 transcript:OIW07416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVFLFFLLLPLFLFQNGVVSLSSDGFALLTLKSAVDISSAAAFSDWNDGDDDPCTWSGISCANISGEVDPRVVGVSLPGKGLRGYLPSELGNLRYLRRINLHTNAFHGQIPSPLFNATALHSVFLHGNNLSGELPPSVFNLRRLQNLDLSDNSISGEIPETLKNCSQLQHLILARNKFSGEIPATPWPELKNLVQLDLSSNILNGSIPEQIGEIKSLAGTLNLSFNHLTGKIPKSLGNLPVTVSFDLRNNNFSGEIPQTGSFSNQGPTAFLNNPNLCGFPLQKQCTGSAPSQTGSNPGSSREPAEKRKGLNPGVIILISVGDATGVALIGLIIVYIYWKKRDNSNGCRCSCTGKTKLGNSRNNKNEKLLNIFCCSSCSSSLPCVNDNGMKSDESEMEECEKGEGSGRGEGDLVAIDKGFDFELDELLRASAYVLGKSGLGIVYKVVLGNGVPVAVRRLGEGGEQRYKEFSAEVQAIGKIKHPNIVKLRAYYWAFDEKLLISDFISNGNLATALRGRNGEASPNLSWSRRLRIMKGTARGLAYLHECSPRKFVHGDIKPSNILLDTDFQPHISDFGLNRLISITGNNPSSGGLMGGALPYFKSSQTEQTNNYKAPEARVPGCRPTQKWDVYSFGVVLLELLTGKSPDSSPAAATSTSSEVHVPDLVRWVRKGFEQESPLSEMVDPSLLQEVHAKKEVLAVFHVALSCTESDPEVRPRMKTITENLEKI >OIW08576 pep chromosome:LupAngTanjil_v1.0:LG07:1862856:1863689:-1 gene:TanjilG_03252 transcript:OIW08576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQTALFSFSLFLLFSSTILAISPAPAPKASAKTPPTSNAEALSPKPLVPTLPQSPDSSDSVPDDITRILNKAKIFSVLIRLLKTTEIMNNINSQLITAKSGGLTILAPDDAAFSNLKAGFLNSLNEGQKIELLQFHILPEYVSSSNFDSLSNPVQTVAGKDPARLPLNVNALGNSVNISTGVVNASIVGVVYSDNKLAIYRLDKVLLPLDFFATKAPALAPTIAKAPKAAKDNSAAQGEDDTTQDQDNKSGAVSSVCIHRTTMIMLGIALVSVAMM >OIW07506 pep chromosome:LupAngTanjil_v1.0:LG07:18526154:18530126:-1 gene:TanjilG_14452 transcript:OIW07506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQDLKTAARNVNYWSGVTTMMPLLGGFIADAYLGRYSTVFASCIVYLMGLILLTMSWFLPSLRPCDNPNMCTKPRKIHEVVFFLAIYLISIGTGGHKPSLESFGADQFDDSHAEERKQKMSFFNWWSCSLCSGLIVGVTLIVYIQDNINWGVADIIFTGVMAFSLLIFIVGRPFYRYLAPTGSPLTPMLHVLVAAISKRNLPYPSDSAQLYEVPESHCSNGRFLCHTNKIKFLDKAAIIENNGTLLEKQIPWKLATVTKVEEMKLIINMIPIWVFTIPFGICVAQTSTFFIKQGATMNRNIGNGFDIPPASIFTFAALGIIVSVSIYDKIIVPMLRRVTGNERGINILQRIGFGMLFSIAAMIVAACVEKKRLEAIEKDPLKGSLSMSVFWLVPQFLIMGFGDGFTLVGLQEYFYDQVPDSMRSLGIALYLSVLGAGSFISSILIEIVDHITEKSGKSWFGKDLKSSSLDKFYWLLAAITTVDLFFYVFFAHRYSYKNVQKVVVVDCYEGNSECVEVSV >OIW08271 pep chromosome:LupAngTanjil_v1.0:LG07:4456819:4457890:-1 gene:TanjilG_21737 transcript:OIW08271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKEFSTQAQVSVGLETMWPAIAKDFNDIVPKVIPNIVKDVEMVEGDGGVGTILVFNFFPSEGRTNYQRERITEFDEVNHEIGLQVIEGGYLNKGLSYYKTTYQLSAIGENQTLVNVKISYDHDSDIQESIKPMETSESTLFFVRTLEKYLLNGA >OIW08247 pep chromosome:LupAngTanjil_v1.0:LG07:4667043:4669419:-1 gene:TanjilG_15208 transcript:OIW08247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVKRIGVVGGGAMGSGIAQVVAMHGIDVWLYDVNPQALSSASNSVSASIKRLVSKAQLSHAEGADALKRLRFTSNLEEFREADFIIEAIVESEDIKKKLFVQLDKLAKSSAILASNTSSISITRIATSTSRPHQVIGMHFMNPPPTMKLIEIVRGADTSDETFAATKALSERLSKTVITSQDYSGFIVNRILLPMINEAFFALYTGVATKEDIDTGMKLGTNHPMGPLELADFIGLDVCLSILKVLHAGLGDNRYAACPLLVQYVDAGRLGRKRGIGVYDYSKEPRSTKSSSRL >OIW07657 pep chromosome:LupAngTanjil_v1.0:LG07:14473415:14474589:1 gene:TanjilG_07699 transcript:OIW07657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSEDYTMLQAKLVSSQVHIGREKPKRMQPMMKNINEGKGIFAPLVVITRDIVGNKSFNQIRGKAIALHSQVITEFCKSIGAEAKQKQGLIRLAKKNGEWLEFLA >OIW08846 pep chromosome:LupAngTanjil_v1.0:LG07:521566:522457:1 gene:TanjilG_16427 transcript:OIW08846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTSTEGRKRQLPPWMVQKKVVASHVSNSDNAVETNCRVEERDIAANDGDTTNKIVGVDRKRKTSKRESDLDAKCEVKRSRNLRQQEGSEDNIIQPKKKRVKSSRKKGQNLEDPSHGSCVVIPVQLSSDDDVDLTIEDLMAIAEQYVKDHENKDPQETPGRRHEPELLISATSESGTALDSPCENSTLTTIGQLVTTSISQTDNPAQDMLDLLLGPLLRNLPEK >OIW08689 pep chromosome:LupAngTanjil_v1.0:LG07:1083398:1087757:1 gene:TanjilG_03365 transcript:OIW08689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCMPQGRKCVTGTGYGINENKRKILGKFFRMLKHLLSGLEVKQIMFLVNAEPLDWDQLMLLLKCPNPPKGLKPGRYWYDRTTGFWGKEGQRPSQIISPQLDIGGRLMINASGGKTNVIANGRRVSKEELLVLKLAGVTADGSNMEVGQKYIKGRIWDKVGTKLACAILSLPVPSNSATPSGEEENMNKVRPDNLPTKMLYKFLLVGSVKSGTSTIFKQCKLLYNVPFSESEFQNIKLVIQTNLYTYIAILLEERENFEEETLLENRKRQHVNESTSSGNLAAIFPAATREYVPLMEELWKDPAIKATYNRINELKVLPRSASYFLDQAVEISKIDYQPSDMDILYAGGITLSNSLASMEFSFPVSRAEDSLDPEYRHDPSLRYQIIRVHPTSLGENCKWLDMFEDTDTVLFSVALTDYDEYTIDSNGVATNKMLAAKNLFEKIITHKAFNNKKFLLILTKFDLLEEKVETIPLTRCEWFSDFNPVISHNEKTSSSSSSRSSIVNNTNSPPLAQRAFQYIAMKFKRLFRSVTERKLFVSLVNGLEPDTVDEAVRYAREVSVWEKWDPSFKNEKSEITTTTIDPEGSSS >OIW08137 pep chromosome:LupAngTanjil_v1.0:LG07:6183217:6187157:-1 gene:TanjilG_06680 transcript:OIW08137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAILIYSVYTLYDIAYQDVSFLVNEVFSLWAASPRRLGGLNYTTDNVGNVISISDNHNSPLTAILGLEETIDRWIIVSETECYSSFHLAGFLFMFVLFLSDSSFCAMIMFLSVCCFLTSNSVRYMNKFIKTNQNSYNICGRLCRAHQLFLKKGPAYVDGNCTYFCTLQAHAWLCQYVVMSLLRKMLMPYVEDKISRVHCD >OIW07915 pep chromosome:LupAngTanjil_v1.0:LG07:7431695:7432574:1 gene:TanjilG_20016 transcript:OIW07915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRFAPHLEKCMGKGRKARLKVTRSSNMAAQNRYSRSGHASTYSPYSNYSSNSTNSLAANGTSNFAGEGHSNGNSEP >OIW08110 pep chromosome:LupAngTanjil_v1.0:LG07:5825902:5827506:1 gene:TanjilG_06653 transcript:OIW08110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGKIKKEKGKTQQHTPYQGGISFHKSKGQHILKNPLLVDTIVQKSGIKSTDVVLEIGPGTGNLTKKLLEAGKKVIAVEIDPRMVLELQRRFQGTPYSNRLTVIQGDVLKTELPYFDICVANIPYQISSPLTFKLLGHQPAFRCAIIMFQREFAMRLVAQPGDKLYCRLTVNTQLHARIFHLLKVGKNNFRPPPKVDSSVVRIEPRKPRIDVNQKEWDGFLRICFNRKNKTLGAIFRQKNVISLLEKNYKTVQALKLSQEGPLKETETKMDLSSLGDCMDDESMEMEGGTDDDDYEMEVEDDEAKEVQSEFKGKVLGVLKEADFEEKRSSKLSLQEFLYLLSLFNKAGIHFC >OIW07488 pep chromosome:LupAngTanjil_v1.0:LG07:18332435:18337391:1 gene:TanjilG_14434 transcript:OIW07488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLNETSVCDDDDMLYEQLWKTCAGPHVDVPHVGQRVFYFPQGHMEQLEASTNQELNQRIPLFKLQTKILCRVINVRLLAEPETDEVYAQITLVPESNQAEPTSPDPCPAEHPRPTVHSFSKVLTASDTSTHGGFSVLRKHATECLPALDMSQSTPTQELVARDLHGYEWRFKHIFRGQPRRHLLTTGWSTFVTSKRLVAGDTFVFLRADNGELRVGVRRLAPQQNSMPSSVISSQSMHLGVLATASHAVATQTLFLVYYKPRTSQFIISVNKYLEAVNHKFDVGLRFKMRFEGDDSPESDKRFSGTIVGVEDISPHWINSKWRSLKVQWDEPASVPRPDRISPWEIDPLLASVPTPSLQPAVVKNKRPRPPSEIPDFDTTTAASAFWDAGMTPSDLTQLNVTAESKRSDSNHMWHHKQIDNCKNSCNAISRNHAQGSWLSSPHSSVPSHLFQDPTDDSKSVSAWPNSKTHSEKLNNENLLDQVDKENKVEVATSCRLFGIDLIDHTRNSPAIEKACVHSENISEITNEGCIRTLSKTDADHNYDLSKASKERKQEQMQASPKETQSKQICSRSCTKVQMQGIAVGRAVDLTMLDGYDQLIDELEKMFDIKGQLQLRNKWEIVFTDAFASTSCHTTTAASAFWDAGMTPSDLTQLNVTAESKRSDSNHMWHHKQIDNCKNSCNAISRNHAQGSWLSSPHSSVPSHLFQDPTDDSKSVSAWPNSKTHSEKLNNENLLDQVDKENKVEVATSCRLFGIDLIDHTRNSPAIEKACVHSENISEITNEGCIRTLSKTDADHNYDLSKASKERKQEQMQASPKETQSKQICSRSCTKVQMQGIAVGRAVDLTMLDGYDQLIDELEKMFDIKGQLQLRNKWEIVFTDDEGDMMLVGDDPWPEFCNMVRRIFICCSQDVKKMSSGNKLPLTSSIEDEIVLSSDTAEN >OIW08522 pep chromosome:LupAngTanjil_v1.0:LG07:2328581:2330915:1 gene:TanjilG_03198 transcript:OIW08522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSLERVDLDGNQIKPITICMIGAGGFIGSHLCEKIMNETPHNVLALDVYNDKIKHLLEPDNLPWHGRIHFHRLNIKNDSRLEGLIKMSDLTINLAAICTPADYNTRPLDTIFSNFIDALPVVKYCSENNKRLIHFSTCEVYGKTIGSFLPKDSPLREDPAYYLLKEEDSPCIFGSIEKQRWSYACAKQLIERLIYAEGAENGMDFTIVRPFNWIGPRMDFIPGVDGPSEGVPRVLACFSNNLLRGEPLKLVDGGESQRTFVYIKDAIEAVLLMIENPARANGHIFNVGNPNNEVTVRQLAEMMIQVYSKVSGEQTPETPTIDVSSKEFYGEGYDDSDKRIPDMTIINKQLGWNPKTSLWDLLESTLTYQHRTYAEAIKKVIAKPIAT >OIW08751 pep chromosome:LupAngTanjil_v1.0:LG07:680038:683119:1 gene:TanjilG_03427 transcript:OIW08751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKPGPRLSKLSVYLYIPNIIGVHGIDQCGDDAGYIRVLLNCIAFYLCFTNKILFSVLYFFSFVCDAVDGWAARKFNQVSTFGAVLDMVTDRISTACLLVVLSQVYRPGLVFLSLLALDIASHWLQMYSTFLRGKASHKDVKDSTNWLFKAYYGNRIFMAYCCVSCEVLYLILFYLVENQTENLMDVLTINLQKISILSVLIATSLFGWAIKQVINIIQMKTAADVCVLHDIDKKHKQ >OIW07609 pep chromosome:LupAngTanjil_v1.0:LG07:17211737:17214114:-1 gene:TanjilG_29982 transcript:OIW07609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSPIGGEEYLFKIVLIGDSAVGKSNLLSRFARNEFDTNSKATIGVEFQTQVVEIEGKEVKAQIWDTAGQERFRAVTSAYYRGALGALVVYDITRKGTFDGIKRWLQELDTQNDSSIVRMLVGNKCDLENIREVSIEEGKTLAEAEGMFFIETSALDATNVQTAFEIVIREIYNNMSRKVLNSDSYKAELSANRVSLSNGSGSKESKFGSCCS >OIW07673 pep chromosome:LupAngTanjil_v1.0:LG07:14764888:14797135:-1 gene:TanjilG_07715 transcript:OIW07673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLQQPLQFRCTLSPLSPLHTRQIFSRPNHFPLNLRIFSFTGFNVKHQRQRLRCSSKSGSKLEKISVQENDKRPPFDINLAVILAGFAFESYSTPPKNIGRHEVDAADCKTIYLSEEFFREVYDGLLFVKLKKGYDFPAMDPWGTSDPYIALQMDSQIAKSNIKWGGSFDGTILRINLGATVAAWDANLVTPHKRMGNATVDLQWLCDGEVYEILVELEGMGAGGKVQLEVKYKSFDEIEEEKKWWKIPFVSDFLKINGIDSALRKVTGSDTVQAHQFVEYVFGQLKSFNDSYLQKGQISDIDSDKHDTEVFEQLYDSDFELNMPSPEDDSDTGNGHAFEPSTQVSKEELSNQLFWRNFGNVITDNIVQKIGVSVPEKLKWDGFEFLNKIGFQSQNIAEAVYVQSGLALPGSTDDGDGKTSDESQPAIAEIQASLPEVKKVTENLMNQTDSILGGLMLLTASISKMKKGSSSEEMEIKEEDSTEGGDDIQYSTSQELSRSQDGLVLDEKESVEMRTLFSSAESAVEAWAMLSTSLGHPSFIKSEFEKICFLDNQITDTQVAIWRDSTRRRLVVAFRGTEQTQWKDLRTDLMIQLTGLNPERIGGDIKQEVQVHSGFLSAYDSVRTRIIAVIKLSIGYVDDDFDPLQKWQVYVTGHSLGGALATLLALELSSSQIAKRGAISITMYNFGSPRVGNRRFAELYNERVKDSWRVVNHRDIVPTIPRLMGYCHVAQPLHLAPGILRHSLMKGEKELVEKLLQTEINIFRSIRDGSALMQHMEDFYYITLLEVLRYFSTVSNHLFSLLYAFLV >OIW07681 pep chromosome:LupAngTanjil_v1.0:LG07:15060551:15064777:1 gene:TanjilG_07723 transcript:OIW07681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRFASNLASKARVARNISQQVGGSRLNWSRNYAAKEIKFGVEARALLLKGVEDLADAVKVTMGPKGRNVVIEQSWGAPKVTKDGVTVAKSIEFNDKIKNIGASLVKQVANATNDVAGDGTTCATVLTQAIFTEGCKSVAAGMNAMDLRRGITLAVDAVVTNLKSRARMISTSEEIAQVGTISANGDREIGELIAKAMEKVGKEGVITIADGKTLDNELEVVEGMKLDRGYISPYFITNQKNQKCELEDPLILIHEKKISSIQSIVKVLELALKRQRPLLIVAEDVESDALATLILNKLRAGIKVCAIKAPGFGENRKSGLQDLAVLTGGVLITEELGLNLEKVDLDTLGTCKKVTVSKDDTVILDGAADKKALEERCEQIRSAIENSTSDYDKEKLQERLAKLSGGVAVLKIGGASEAEVGEKKDRVTDALNATKAAVEEGIVPGGGVALLYASKELDKLQTANFDQKIGVQIIQNALKTPVHIIASNAGVEGAVVVGKLWEQDNHDLGYDAAKGEYVDMVKAGIIDPLKVIRTALVDAASVSSLMTTTEAVIAELPKDEKSAPAIPQGMGGMGGMDF >OIW08275 pep chromosome:LupAngTanjil_v1.0:LG07:4391936:4401498:-1 gene:TanjilG_21741 transcript:OIW08275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSASTSPSGRSRLEEMLDSLRQKDEDEEKRKDSPPALPPRPTSKARLPPARRSLPNDFKVSSDRGAEEFLPNGFDANEESNRNEKELGLVQKRSSSFGSKRVKMDGESPYMVTSQEKISLLTSTTSSRKIGELEDDTISYFIKKKLGVWCKQSRGHWELGTIRSTSGEEASVLLSNGNVTKVAKSELLPANPSILEGMDDLAKLSYLNEPSILHNLKLRYSKEMIYTKAGPILIALNPFKDLQNYGNDHVPAFNQKFIDIPHVYAMADAAYNEMIRDEVNQSIIISGESGSGKTETGKIAIQYLAAVGGGSCGIENKILQTSCILEAFGNAKTSKNDNSSRFGKLIEIHFSTMGKICGAKSRVVQLGSSERSFHIFYQLCAGASSALKERLNLRDASNYKYLNQSECMTIGGIDDAKKFQELMKALDAVRVCEEDQELIFKMVAAILWLGNISFQVTVSENIIEVVDDEAVTSAALLMGCSSRELMAALSTHGAQSENGTIAKRLKLQQAIDTRDAIAKFIYASLFEWLVEKLNKSLQVGKKCTGRSISILDIYGFETLQKNSFEQFCINYANERLQQHFYRHLFKLEQEDYESDGMDWTKINYEDNQECLDLFEKKPFGLLSLLDEESNLPKASDLTFANKLKQHLDTNPCFKRERGRAFSVHHYTGEVFYDTNGFLEKNRDMLHSDSIQLLSSCNCELLQFFYKVFSQTQKQSNSFHTAALDSQKQSIGMKFKDQLFKLMRQLESTKPHFIRCIRPNTKQVPDIYDEDLVLQQLKCCGVLEVARISRVGYPTRMTHEEFSGRYGFLLSEANIYQDPLSISVAVLQQFNIPFEMYHVGYYKLYLRAGQIGALEDKRKLVLQGILGVQKCFRGYQARSYFQEFMNSVTILQSVVRGEITRRKYGVTVQSSITIYSKKLEEINAIILLQSVIRGWVVRRGASGMNKLKYPENAKPRQKSHAKIPEVKAMTKEQDQSALEELQKQAINAEVIAEQKEEENTELKKQLKRSEERWTEHEAKMKSAEEAWQKQIATLQMSLVAARKSHASENGTVQHARNDVVPTHYDSEEATSIAPLTTDSSTPVKFSNGLLVSDGGRQANGTSAAVGNLMKEFEQRRQSFDDEVKVLNVLKQGQSAKAYNIQELRILKDKFEGWKKQYKVKLRETKARLLKSEVGKSRWSWWDKLRLKDK >OIW08167 pep chromosome:LupAngTanjil_v1.0:LG07:5626068:5626706:-1 gene:TanjilG_06580 transcript:OIW08167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEIDYHTATRTSIMEWKQMHPLHQIAETPTHNLLLKQWLKEQELIHGRIALKETQIDSIRKETTLLYIFFFLFHSTTLMLLFNKNSSFSSSPSSICHRSWVPSLCSLFFSLGIIWAVRYKSDVEVQMEKMLKREKEDKGLLEKCVEELKKKGLEFDLLKEVDALRRAKSLRVVEGKEGCAVRKWSSRDFVSLFFFSMACLSLVLTRVILCS >OIW08796 pep chromosome:LupAngTanjil_v1.0:LG07:207588:209941:1 gene:TanjilG_16377 transcript:OIW08796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPQSDTTKTLNLFLTIKNPSFSISKFNSIYSFIPSSSSNGSNLSSSDQPILLRTQLGTPNFPSFPSPFPILTFNHQAFFLLAFIASTTSVAFTSLVFAAVPTLLAMRNAAISLSKLADTARQDLPSTMAAIRLSGMEISDLTLELSDLSHEIADGVNKSTQALQAADAGIRKIGSIAQQQTISMIQERASLPEISLQPVVVGAAKKTSRAVGRATKSLMNIISGREEGTEE >OIW07764 pep chromosome:LupAngTanjil_v1.0:LG07:11807759:11811697:-1 gene:TanjilG_12890 transcript:OIW07764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGLELIPIGTILAVLTNQVLKTANAAADVLIGKESFKVLSKHLFDIEPVLKELQRQELNESQAARVALESLEADVKKVNNLVEKYRNRGRFYLLVKCRSIVEEVEQVTRDIGRSLAALSIANTEVLSRISDQVNRLQNEMQRVEFEASQSQLQIVDKLNNGLREQKVDQAFANDILEEIARAVGVPIESSEISKELASIMREKEEAANRKERAEFIFLEQIIELLSRADAARDYEEVKKQYFERVLVIERYDSREKCIQPLNSFLCCITGAVMVDPVSLCTGTTCERSAIEGWFYDGNVTDPETNEVLEDFTLRSNIPLRQSIEEWRELNYCLEIRSIRENLLSNSDLYVEESLNQIQALTAENSINKDWISIGGLTDIIIYILGISDNRDVKMNILITLKDAVEGHVRNKEKVVQSLGWDQIISCLRSDTSISKEAIELLYELLQHRSGWNECFCKKLSENSNAVLFLVTLLKGPAANSSSAEIAEKILMKLFEVNEDTIITAANFGWYKPLIDHMIQGPDSRIPIAKAIVNMELNDLNLELVGKEGIIHPLLEMLPGNIESKEVSLSALVKLAGCGANKRIIAASGGVPIILDLMFSPRIRTFTTVKCSEILEQLSCDEDGIDFFVDGEGKQLELDSIITNLLALQQWANSGNDFRKPALRALLGICKFESGLVKKAILAANGVSLILSLLDDSDSEIRDTAINLLFLFSQHEPEGVVEYLLRPRRLEALIGFLENDDNNDVRMAAAGLLANLPKSERELTVKLIELGGLDAIISILKTGTMKAKENALSALFRFTDPTYIESQRDLVKRGIYPLLVDFLNDGSVTAKARAAAFIGDLSMSTPKLTVVSKSPGCWFFKSSRVHLCSAHGSVCSVTNTFCLLEANALPGLVKLLNGEVHATAYEAIQTLSTLVLEEFPQRGAHVLHDSNAIRPLLDILNWGTDSLKAEALGLLEKVFVSKEMVESYGTTARLRLVGITGMNIYGDGHLRRKAAKVLSLLERYSRSSSAAVSGVLE >OIW08764 pep chromosome:LupAngTanjil_v1.0:LG07:35400:36015:-1 gene:TanjilG_16345 transcript:OIW08764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLQTQTQSHLLLLSPLFTTSTSTSTSTNSNRILFFSPVIRSEKASHSDSTPTKGKGFGSSSTKDKIIRQRASIIRRTPLQKPSPTLPSQQGNNTKEHNSSNNYETSFILAWLAFGAIILLEGIALAASGFLPEEWDKLFVKYLYPSFTPTVFLFFVGAVAYGVIKYLQNEKITDAK >OIW07781 pep chromosome:LupAngTanjil_v1.0:LG07:11445895:11451520:-1 gene:TanjilG_03568 transcript:OIW07781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKEENRIFVGGLSSDVSERQLHRAFDRYGKILECQIMVERDTGRPRGFGFITFADRRGMEDAMKEMHGRELGDRAISVNKAQPKMGSDDADHGYRSSYSSGGRGNYRVGDSTGQDDCFKCGRPGHWARDCPTAGGGRGGGGSFSSRPRFGAAGGHGDRLSNERDRYADDRYDGRRYGDGDRYDDRYGSHDRQPSDRYPTNGDRFGSGRNGGSDRYPQNGQGKDRGYDRLGGPRGAAADRYGGGVPARDEGRNYRSSRPGPYDRPSRGAPPSSFDRY >OIW07987 pep chromosome:LupAngTanjil_v1.0:LG07:8283231:8292482:1 gene:TanjilG_20088 transcript:OIW07987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHIKEICLEGFKSYATRTVVPGFDPFFNAITGLNGSGKSNILDSICFVLGITNLQQVRASNLQELVYKQGQAGITKATVSIVFDNSDRNRSPLGYEEHSEITVTRQIVVGGRNKYLINGKLAQPSQVQNLFHSVQLNVNNPHFLIMQGRITKVLNMKPPEILSMLEEAAGTRMYETKKEAALKTLEKKQSKVDEINKLLDLEILPALEKLRKERTQYMQWANGNAELDRLKRFCIAYEYVQADRIKDNAISEVEQVKAKIAEIDDTAKTTQVEVKEMENKVAQLTAAKEASMGEELKPLSEKVDKLSQNLVKETSILNNKEDTLRSEEANKKNIAKNMEELKQSVEEKASAVKKAEDGAADLKKRVGELTKSLEEHEKEYQGVLAGKSSGDEEKCLEDQLGDAKVAVGSAETELKQLKTKISHCEKELKEKTNQLRSKREEAISVENELNARQKDVENVKRELGSLPYKEGDMEALQKERASETDCVQKWKDEIRNVSAYLANVDFTYRDPVKNFDRSKVKGVVAKLIKVKDPSTMTALEVTAGGKLFNVVVDTESTGKQLLQNGQLRRRVTIIPLNKIQSYAVPSRAQQAAVRLVGKGNAEVALSLIGYEEELRNAMEYVFGSTFVCKTIDAAKEVAFNRDIHTTSVTLEGDIFQPSGLLTGGSRKGSGDLLKLLHDLAEADSKLSVHQRRLSEIEAKITKLLPLQKKFKDLKAQLELKSYDLSLFQSRAEQNEHHKLGELVKKIEQELEEAKSSTKEKQLLYDDCVKTVKSLEKSIKDHDNNRERRLKDLEKKIKSIKSQMQSSSKDLKGHDNEKERLVMEMEADVKEQRSLENQLASLGTQISNLTSEVEEQRAKVVAARNELDQVQSQLNSIRQKMKDCDKEISNIIKEQQKLEHKLTEGNLERKRMENEVKRMEMEQKDCSTRVDKLIEKNAWIATEKQLFGKSGTDYDFSSRNPGKAREELERLQAEQSGLEKRVNKKVMAMFEKAEDEYNDLMSKKNIIENDKSKIKKVIEELDEKKKETLNVTWNKVNSDFGSIFSTLLPGTMAKLEPPEGCSFLDGLEVRVAFGSVWKQSLSELSGGQRSLLALSLILALLLFKPAPLYILDEVDAALDLSHTQNIGRMIKAHFPHSQFIVVSLKEGMFNNANVLFRTKFVDGVSTVQRTVAKQNK >OIW08016 pep chromosome:LupAngTanjil_v1.0:LG07:9006519:9007526:-1 gene:TanjilG_20117 transcript:OIW08016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQPNHFSTHTTCHLSLIFFPLLLLLHVADAQISAEPVPTYISHHTWQPSFAITTGAIIFALLILGLISIYIRNCTNSRYNPRTNNTLVGTTNTSQRPCSCSQGITNELLNTFPILFYSTIKDLKMGKGSLECAVCLNDFKDYDTLRLLPKCNHVFHPSCIDSWLCSQVTCPVCRANLNQDSCEVSMTVETQLSNPQRVSESPGFIADHFGGEEHYNNNPIEQNTNQVAINLKESEGNNDLGSLKMKHLRSNSTGHSVLESGKSVERYTLTLPEDVRKYILVNHNNHGRRVQRSASYNNVVMMPMLESPRKCWSDIEGNNKLRVLLTPQFVASRG >OIW08723 pep chromosome:LupAngTanjil_v1.0:LG07:875697:879424:1 gene:TanjilG_03399 transcript:OIW08723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESACVTLKTNTHFAKSRKGNTFGHDNGFLGERVKGGFNYSPWVINQVATSLRTQERVNKAKPGLVSSVLTSNNAKESMAFQVPNFLRRKTDPKNVVSIILGGGPGTQLFPLTKRAATPAVPLGGCYRLIDIPMSNCINSCINKIFVLTQFNSASLNRHISRTYFGNGINFGDGCVEVLAATQTPGEAGKNWFQGTADAVRQFTWVFEDAKHTNVENVLILAGDHLYRMDYMDLVQSHVDRNADITVSCAAVGDSFISNCMLFSRASDYGLVNQVDTSLFGLSPQDALKSPYIASMGVYVFKTEILLNLLKWRYPTSNDFGSEIIPAAVGEHNVQAYFFRDYWEDIGTIKSFYDANLALTEENPMFKFYDPKTPIFTSPRFLPPTKIDKCRIVDAIISHGCFLRECTVQHSIVGERSRLDYGVELQDTVMMGADYYQTEPEIASLLAEGKVPIGIGRNTKIKNSIIDKNAKIGKDVIIMNKDGVQEADRPDDGFYIRSGITIIMEKATIEDGTVI >OIW07917 pep chromosome:LupAngTanjil_v1.0:LG07:7493694:7494532:1 gene:TanjilG_20018 transcript:OIW07917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSPKMSLYPIKKAYLRAPEHPIPIAYEDSWLALKWVASHVGGNGSDAWLNQHADFEKVFFAGDSAGANLAHQMAIRVGLEGLPGVKLEGVVLANPYFWGVDRIGSESGKEFAPKVDMLWRFASPKSSGSDDPLINPDKDPNLVKLGCKRMLVFVAEKDILKDRGLYYKELLQKRGWNGVVEVIETKGEDHVFHIFKPTSHEALVLLNHVVTFIKHV >OIW08617 pep chromosome:LupAngTanjil_v1.0:LG07:1549378:1554696:1 gene:TanjilG_03293 transcript:OIW08617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTLISYSSSFIGTPLPSFPRHFHHRTTTKVNFSFHHISPIQSIHNSIDFTEIVARTEGLLYTLADATVVAADSVTAASGDATAQKNGGWFGFISEAMEFVLKVLKDGLTAVHVPYSYGFAIILLTLIVKAATLPLTKQQVESTLAMQNLQPKLKAIQARYAGNQERIQLETSRLYSQAGVNPLAGCLPTLATIPVWIGLYQALSNVANEGLLTEGFLWIPSLGGPTSIAARQSGAGISWLFPFVDGHPPLGWQDTAAYLVLPILLVVSQFVSMEIMKPPQTNDPNQKNSLLILKFLPLMIGYFSLSVPSGLTIYWFINNVLSTAQQVWLRKLGGAKPVVNENASGIITAGRAKRSGEKFRQFKDEEKKKKSTKALLVEEVQPLDSASDSDNDSNEGSDQGQEVAEESYASTVSKEVPSYSRERRSKRSKRKRTV >OIW08092 pep chromosome:LupAngTanjil_v1.0:LG07:6685666:6686154:1 gene:TanjilG_21072 transcript:OIW08092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIYDDQKRKILNALLTNNRTFQVKLEARNSQCLNAITKKDETWLWHLRYSHLNFRDLGLLRSKGMVSGLPEIKPKQPVCESCITGKLSRTPFCNHTQARALDMLHVIYSDVCGPMKVSTLRGNKYFLSFIDEFSRKMWIYMIRAKSKVFSNMIRYKAMVER >OIW08266 pep chromosome:LupAngTanjil_v1.0:LG07:4498912:4501094:-1 gene:TanjilG_21732 transcript:OIW08266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKELNTQAELNVGLEALWETISKDLFITQKVIPNVVKDVKLIEGDGGIGSILHFTFNPELSVVIETMWQAMSKDLFITQKVIPNTVKNVTVI >OIW08107 pep chromosome:LupAngTanjil_v1.0:LG07:5810828:5812462:-1 gene:TanjilG_06650 transcript:OIW08107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWYYNTNSLVYFLLLASIVVGNAMADSSKDKEECTEQLAGLATCLPYVSNEAKAPTPTCCSGLKQVLMTNKKCLCVIINDRNDPDIGSLQINATLALSLPNVCNDPANVSKCPELLHIDPKSTEAQIFYQLNKSSSKDGTSPAPNPSDRGQTSSITQKNDALCKEKRLFGLHIIVAELVIGLLLGL >OIW07563 pep chromosome:LupAngTanjil_v1.0:LG07:17710797:17712920:1 gene:TanjilG_08450 transcript:OIW07563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEGHRVTLNVYDLSQGLARQLSTSFLGKAIEGIWHTGIVVYGNEYYFGGGIQHCPAGTTQYGVPLKVVELGVTHVPKDVFEMYLQEISPWYTAEAYSLLTHNCNNFSNEVAQFLIGATIPEYILNLPNEVMSSPMGALVLPMIQNLEATMRSGGVPKVPQFSPSTAAPSHTAPATTAKASGSTNSSTNSDNSKTRAEPQKPSPNVVAGDPLGDARGKVQDEIIKEFTAIMATGTMRASEAAALATKRVMQKYGHAAVSQS >OIW07904 pep chromosome:LupAngTanjil_v1.0:LG07:7237467:7239955:-1 gene:TanjilG_20005 transcript:OIW07904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTQPLTQPTPKPHLPWKTRLTIAFISALGYACRRSDGTVNRRLFNFFDRKHPPNIKPVDGVYSSDVAVDLTRDLWFRFFVPFSSSDADDAKIPVVVFFHGGGFSFSSPDSVAFDAVCRLFCRSFHAVIVSVNYRLSPEYRYPCQYDDGFDVVKFLDGNGAVLPKIADLSKCFLAGDSSGGNLAHHVAVRVSQQKLQVIKIIGLVSIQTFFGGEERTESEIRLKDVPLINLEITDWEWKAFLPTGSDRDHEAANVSGPNAIDISSLDYPSTVVFTGGFDPLQDWQKRYYEWLRKSGKDAQLIEYPSMIHGFYLFPELPQSTHLIYEIKDFISKQVSNVS >OIW08327 pep chromosome:LupAngTanjil_v1.0:LG07:3840087:3840428:1 gene:TanjilG_03003 transcript:OIW08327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCNSDGNCRPLGFLLGLPFAFLCLVISIVGIVIWIVGLLLTIICPCCLCVTVIVEFALVLIKAPLHVMEWCTSKIPC >OIW08436 pep chromosome:LupAngTanjil_v1.0:LG07:2950741:2953273:-1 gene:TanjilG_03112 transcript:OIW08436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQLLYFAIFSEMLLILTLLFRTPLRKLVIVSLDHLKRGRGPVVVTTVGATLMVVLSSSLYSIAKIRQRVVEAGILNPTDQILISKHILEASLMGFVLFLALMIDRLHHYIRELRLLRKTMEAVKKQSRSFEDGKNGNSEEHKALTGEIATLKAKVKELESACEVKGSKAMALETEVEALRKQSEGFLMEYDRLVADNQNLHSQLEAIDQSSMHLDNRKNI >OIW08046 pep chromosome:LupAngTanjil_v1.0:LG07:9449010:9451499:1 gene:TanjilG_20147 transcript:OIW08046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTQKLILPFILLLFIPSLSLYIPIDNYLLSCGSHTNASLFNRFFTGDSTNQGSTFLSAENSIPLKNQNPPTNLPTLYNTARVFTSMGSYRFMMRKNGTYLVRFHFSPFKSQGFDLKAANFSVLGDGNLILRNFKPNNGTLLKEFILKIESNLLEIVFRPVGNSGFGFVNAVEVFTAPEDLIIDYGARLVGPSGVEEYKNLSSQVLETVHRVNVGGLKLTPFNDTLWRTWIPDEEFLVFKDAAKPAVSTHTPNYEKGGATREIAPDNVYMTAREMNKDHSILASKFNMTWKFPVAPGGVRHLVRLHFCDIVSPALNFLYFDVYINGYSAYKGLDLSSLTFHTLGSPVYVDFVADSDDSGVIQISIGPSDLSSSMRRNAILNGAEIMKVVNIMDLHVEPTKKRLTVLVGSVVGGTIILFLVIAAFLLAVKCRKKKPKLRTVESVGWTPLRMFGGSSHSRMSEGTGYPSPGSYGYLCLKISFADIQSATNNFDRSLIIGSGGFGMVYKGVLRDNVKVAVKRGMPGSRQGLPEFLSEIRILSKIRHRHLVSLVGYCEENSEMILVYEYVEKGPLKKHLYTSSGLPPLSWKLRLEICIGAARGLHYLHTGFAQGIIHRDIKSTNILLDENYVAKVADFGLSRSGPCMDETHVSTGVKGSFGYVDPEYFRRQQLTDKSDVYSFGVVLFEVLCARPAVDPQLDREQVNLAEWALDWQKKGMLEHIVDPHLIGQIEEKSLKKFGETAEKCLAEYGVDRPTMGDVLWNLEYILQLQESGQQREPRRGSSVHEAMNVTTTVIPGNLSRNISTEGDYDHCYSDINTSEVFSQLMTNEGR >OIW07546 pep chromosome:LupAngTanjil_v1.0:LG07:17522617:17527865:1 gene:TanjilG_08433 transcript:OIW07546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILSNQHIVLPLLLNLLFTFVYSHIPTTLEGPFPPITVPFDPSLRAVAIDLPDTDPRVRRNVHGFQPEQISLSLSTSYHSVWVSWITGEFQIGYNIKPLDPKTVSSVVHYGTSRTALVREAKGQSLIYNQLYPYEGLQNYTSGIIHHVRLRGLEPSTVYYYQCGDPSLEAMSDIYYFRTMPISGPKSYPGRVAVVGDLGLTYNTTTTINHLTSNEPDLLLLIGDVTYANLYLTNGTGSDCYSCSFPQSPIHETYQPRWDYWGRFMQNLVSKVPMMVVEGNHEIEKQAEDKQFVAYSSRFAFPSEESGSSSTFYYSFNAGGIHFVMLGAYTDYARTGEQYKWLERDLASVDRSVTPWLVAAWHPPWYSTYKAHYREAECMRVHVEDLLYSYGVDIVFNGHIHAYERSNRVYNYNLDPCGPVHITIGDGGNREKMAIKFADEPGHCPDPSSTPDPYMGGFCATNFTFGPAVSKFCWDRQPDYSAFRESSFGYGILEVKNETWALWSWYRNQDSYNDVGDQIYIVRQPQLCPINQKVCREYFAAM >OIW07742 pep chromosome:LupAngTanjil_v1.0:LG07:12267860:12271491:-1 gene:TanjilG_11900 transcript:OIW07742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGELTQTELYSSNSPITQQLWNAMSNLLGFLFQIFSQIIAPFTNYPLTSFSSSSNSFDPLPTFDSPEPPTSAVEITSAAVDHRPLQKLTVVLDLDETLVCAYETSSLPASLCTQATEAGLNWFELECVSSDKEVDVKPKTSLVTVFERPGLKEFLRQVSQFADIVLFTAGLEGYARPLVDRIDTENRISRRLYRPSTISTEYREHVKDLTCISEDLSRIVIVDNNPFSFLLQPDNGIPCISFSAGQPHDTQLLDVILPLLKYLSNQKSVRHVLHEKFHTREWFQRQGIPASS >OIW07743 pep chromosome:LupAngTanjil_v1.0:LG07:12277515:12280465:1 gene:TanjilG_11901 transcript:OIW07743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSISSSTPRSIDEIFNDFNSRRTAILRALTYDVDEFYSLCDPEKENMCLYGLPNESWEVTLPAEEVPPELPEPVLGINFARDGMNRKDWLSLVAVHSDCWLNSVAFYFGARLNRNERKRLFSLMNELPTIFEIVSGRKPLKDKTTTTDSGSKSRGSLKRSNEGQVKSNSKLPDESNGEDEEHGETLCGSCGGNYSGDDEFWIGCDICERWYHGKCVKITPAKADSIKQYKCPSCNSKKGRQ >OIW07619 pep chromosome:LupAngTanjil_v1.0:LG07:16962625:16966242:1 gene:TanjilG_16600 transcript:OIW07619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSNICLNNSSLKFSYNNLNPNRLFNTDTQKVFFRTTRTITCHSVDVKSPNVGISAVNELGKKSKEVIEDEGRYLVGTYARAPVVLEKGEGCKVYDVEGKEYLDLCAGIAVNALGHGDSDWLKAVVDQAAILTHVSNVFHSVPQVELAKRLVTSSFADRVFFSNSGTEANEAAIKFSRKYQKHTSTNGKVPATEFIAFSNCFHGRTLGALALTSKVQYRTPFEPVMPGVTFLEYGNAEAAVELIRQGKIAAVFVEPIQGEGGIYSATKEFLQSLRSACDDAGALLVFDEVQCGLGRTGFLWAHEAYGVFPDIMTLAKPLAGGLPIGAVLVTERVASAINYGDHGSTFAGNPLVCSAALAVLEKISKPAFLSAVAEKGLYFKELLKQKLGGNHHVKEIRGFGLIIGIDLDMPASPVVDACRNSGLLVLTAGKGNIVRIVPPLIITEQEIEQAVDILSQTLSVLDKNNPK >OIW08431 pep chromosome:LupAngTanjil_v1.0:LG07:2994829:2996635:-1 gene:TanjilG_03107 transcript:OIW08431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWAIPEPKGLARPTSSEAEKLNVVSEGCNWRILQEIEMKQESKNIYREAFKSYSSIETIDKTISNLEMKLAARESIQNGSPISENIRMTESSDKRKYLMVVGGKRKKLKEEKGIITRFVHRITSKDTLELSAKTKTYFITAVNLWDADFYVKVDDDVHVNIVTLGETLARHQSKPRIYIECMKSGPVLSQK >OIW08580 pep chromosome:LupAngTanjil_v1.0:LG07:1839720:1845356:1 gene:TanjilG_03256 transcript:OIW08580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGATLVSHPLLARTKTHFHAAAAFSTSLLFDDTQIQFKESVSQFAMENISPHASKIDHTNYFPKEINLWKSMGEFNLHGITAPEEYGGLGLGYLYHCIAMEEISRASGSVGLSYGAHSNLCINQLVRNGSPAQKEKYLPKLISGDHVGALAMSEPNSGSDVVSMKCKGDRVDGGYVLNGNKMWCTNGPVAQTLVVYAKTNITAGSKGITAFIIEKGMPGFSTAQKLDKLGMRGSDTCELVFENCFVPEENVLGKEGKGVYVMMSGLDLERLVLAAGPLGIMQACLDVSLPYVRQREQFGRPIGEFQFIQGKIADMYTSLQSSRAYVYSVARDCDNGKVDPKDCAGAILCAAERATQVALQAIQCLGGNGYVNEYPTGRLLRDAKLYEIGAGTSEIRRLIIGRELFKEQ >OIW08276 pep chromosome:LupAngTanjil_v1.0:LG07:4385800:4388429:-1 gene:TanjilG_21742 transcript:OIW08276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPKKNVNVIVIKKANDHWAFLEDIEAPMWADLTLEAKANSVGEDMSDGWFSKSHPFHQWSARQLKSMFSHPGEGILTSEVDAQGLASPELPSSVSRSRGKHYISKKWEGINLNNLLDEQQGLSKRCFPAGSSFGQEMKPKSKLNVSRPKGLLSAKSGLTFECDVRGNAKSMTNSRNPAICSTSVDNKTSESNTRSTITSENTHQHQKYMEVSSKPCDQKSRNGSSDRNVSLRKSWFMKKTPRVQQQQKYMEVSSKPCDQKSGSSSVSSVSLRKSCVKEKASGLDIGGNSMKSRGHKSSSGKSTVGSSSNPGYEIESVSKYQRGKDVDKNKCKCANVSQRSSILVEGAKSSNQRGAKLRVEMVGGSMKSRGHGSSSGKSSVGSSSNPGYEVQCQPKHSMSLLPAKMNKADFYSTGAKEKLRTSKVNSLTGKGKENAARNVRVNKKCTEGVVPVGLKNHRTVECHHLKKVDTAGSSVLPILVL >OIW08103 pep chromosome:LupAngTanjil_v1.0:LG07:5772612:5774189:-1 gene:TanjilG_06646 transcript:OIW08103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCEMSEIDNQKLPQPTNSATTQAPQLLINKRIAELQQAKQEFYEDNQLSTPKIQRVPYFLRQNAEMAKYYSPKMISFGPIHHKDKNLEQGERFKLLWTSMYIEEYRKQTNQLITDQVAQILLSVVENNIGALKKLFTEDAIGTKTSDENLAWMLFVDGCSLLHFMENIDKQHPQPLNLKLDQMMLIWRDILLLENQLPRKLLELLKREDVNLEYLMANLHSMGDVKRSFKNEPESVHILDFARSLLRTKCKKNEVDLEKSTSNSHSIGDDKGSQKSGDNKPKPIHILDYARYFVTATPNVANGKNSNNQEDVDECKQMGPPQKGQIWLTYKNIRDLKAAGIQVKASKKHAWVWSNVSFTSKLYFYGELRLPKFVFDNVSPYFFRNLIAYEMCPDFRNNLECCSFVCFMDSLIDSGEDVKELRLSGVIQNLLGSDQELAQIFMDIGRDLPTKVFNNTWSSDAVAYSKRYIEVKGQIEKHYSNKWRTGFVIAINTHFSNTWSVIAFLAAVVALALTCLQTWFTVYPK >OIW07557 pep chromosome:LupAngTanjil_v1.0:LG07:17624230:17626426:1 gene:TanjilG_08444 transcript:OIW07557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKDQEISESNKMLDICIYHYLVKRRLHAIAETFKKEANFSIDQTKIDDLAEFVDDNFESFLLSNIEDICNLNDTIKQSQLSEQQEQISKDFTFSEFACARTSNNKVTCCHFSSDEKFLASAGHDKKVVLWNMETLQTESMPEKHQSAILDVRFRPNSSQLATASMDKSVRIWDAANPSRCVQQYTGHNSAVMSLDFHPNKTDLLCFSDSENEIRYWNMTTSSFTNTLKGGNAQVRFQPGAGELLAAAYDKGISIFNVETGRQIYSLQGHPEEVNYICWVANGDILASVSRNFVKFWSLSSGEYIKGLRSSGEQYYSCVFHPSYSNILVIGGTTNIELWNLAEDKSMTIPTDQNIISCLVQSPVTGIVASASHDCTVKLWR >OIW07532 pep chromosome:LupAngTanjil_v1.0:LG07:18856060:18857956:-1 gene:TanjilG_14478 transcript:OIW07532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTNLVNNLKDRFNQGNVAAANNVTTWSGTCYITPLIGAFIADAYLGRYWTIASFSIIYVITNSMKRMTKRKKKSFFFNWFYLSINVGWEWGFGIPAFAMVVAIIFFFGGSHLYRLQIPARSPLTRIFQVLVAASRKSNLQLPEDTSLLHESIDVESYINGRRKLHHTNNLKYLDKAAIVTSSDFKDFPNPWRLCTITQVEELKSLIHLLLVSASLIIIATVYHHFKIPPASLSLFDTVSVIILAPVCNLIIVPCARSFTGHEQGFSQLQRMGISFIILSITMIVSGILENIRLEIVRKNNYYDLKAIPMSILWQIPQFILVGCAQVFASIGQMEFFYGQAPDAMRSLGAALSLTTYAFGGLVGFMII >OIW08381 pep chromosome:LupAngTanjil_v1.0:LG07:3474430:3476447:1 gene:TanjilG_03057 transcript:OIW08381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFQENGNGDLGLSGIPLGTKNKYKRMNSEFADDNDDVWQQQEDRRNSTRKYVIACAIFASLNNVLLGYDVGVMSGAVIFIKEDLNISEVQVEFLIGILSIVSLLGSLGGGRTSDIIGRKWTMALAAVVFQAGALTMTLAPSYAVLMIGRILAGIGIGFGVMISPIYIAEISPNVSRGSLTTYPEIFINVGILLGYVSNYAFSGLSAHINWRVMLAVGILPAVFIGFALFIIPESPRWLVMQNRIDEARAVLLKTNEDEKEVEERLAEIQQAAGFANCEEQPVWRELLFPSPALRRMLITGIGIQCFQQISGIDASVYYSPEIFQAAGVEDKSKLLAATVVVGITKTIFILVALILIDKLGRRPLLLISTIGMTVCLFSVGAALSLFGQGPLVIALCILFVCGNVAFFSVGLGPVCWVLTSEIFPLRVRAQASALGAVGNRVCSGLIAMSFLSVTRAITVGGAFFLFSGISALAIVFVYTLVPETKGKSLEQIEMIFQNEHQSHVSETELGDVEQLVKNKTGLTN >OIW07908 pep chromosome:LupAngTanjil_v1.0:LG07:7293782:7294729:1 gene:TanjilG_20009 transcript:OIW07908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAPSSAFLKNLSLRRIRPRAQAAVAPLPVTTRFDQELTAELDTLQSLGTSGALGFVQFLDAAITTQKIALDSLVNISYRDDVDREHVDMYLENNIDILDACNFFMERIENLKKYADSLRVVPRFIDTNATTRALDHLDSCHEIEKQCKVVNKSLRKMLKQKLGHESELTEIMCGSKAMALMGCKFLELGLSFDSKAIRMPLMKQSQPMSCSWMRLLHDLGKEGGGSASEKKLQKMRFGPLMMIELQQTKNAAQELKEQVKGRKEKDVIKSTSERLKRSSRELEEGLEVIEGRVKDLYKKLIDVRMVLLGILSHA >OIW08444 pep chromosome:LupAngTanjil_v1.0:LG07:2890593:2895420:-1 gene:TanjilG_03120 transcript:OIW08444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNGMMDPDMFRIAQEQMSRMSPAELARIQQQMMSNPELIRMASESMKNMSSEDFKLAAEQLKHTRPEEMAQIGEKMANATPDEVAAMRTRVDAQIKYQLNAAEMLKKQGNELHGQGKFNNALQKYLLAKENIKEIPPFQSRKLLLACSLNLMSCYLKTQQYEECVKEGSEVLAYDAKNLKALYRRGQAYKELGLLNEAVTDLSMALEVTPDDETIAELLRDTKEMLTKGGGEHAARGLVIEEITEEVDSVPSESNKSSSLKPTVVQPKKSGDSPKSGHTVNNGNPKPYAESLDKLKKDPEAIRSFQNFISNADPSTLASLNTGQSKGLPPDMIRTASNMIGKMSPEELQKMLDMAASFQGDNPFARGSPDSHFNPGSIPPNVTPDMFKTASDMISKMPPDELQKMFEMASSLKGNESIPSSSAVAKDERNVSQSNFPSSSTNGTNDFGESSSFLDAFSNMRNASQPNFPSSSTDLQEQMRNQMKDPAMRQMFTSMIKNMSPEMMANMGEQFGVKLSKEDAAKAQQAMSSFSPESLDKMMVWADRVQRGVEGVKKTKNWLLGKPGMALAIFMLILAVLLHRLGYIGA >OIW08758 pep chromosome:LupAngTanjil_v1.0:LG07:12849:15899:-1 gene:TanjilG_16339 transcript:OIW08758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNRLVVQVVDAIDLKPVDGEGSASPFVEVVFDDQQQKTETKHKDLNPYWNHKLLFNINNPTHLPHKTIQISVHNDRKLGHRKKCLGRVIISGHFVPLSESEAAVRRYPLEQRGLFSDPKGEIGLRFYAFYDPSTTNTAPPQPQSYEAHDNETPLQQTSTNMLNAQESMVGDFEKKNKKIEKEVRTFHSIGAEKTTSAAPTFTRPPPPPSAAARPFSQAASIEMRADFAKAGPPSAMLMQIPKQNPEYALVETSPPLAARLRYRGGNKVETTYDLVEQMHYLYINVVKAKDLPVMDISGSLDPYVEIKLGNYKGVTKHLEKNQHPIWKQIFAFSKERLQSNLLEVTVKDKDIGKDDFVGRVMFDLTEVPLRVPPDSPLAPQWYRLENKKGWKDSNNGEIVLAVWMGTQADESFPEAWHSDAHNVSHSNLANTRSKVYFTPKLYYLRVQVIEAQDLVPSEKGRAPEAVVRVQLGNQMRYTGPSPMRGTDSPIWNDELMFVAAEPFEDFIIVTVEDKVGPSNVEILGREIISVRNVPLKHETGKLPDARWYNLRRPSAVDEEETEKKKDKFSSKIHLRIVLEAGYHVLDESTHFSSDLQPSSKHLRKKNIGILELGILSARNLLPMKAKEGITTDAYCVAKYGNKWVRTRTLLDTLSPRWNEQYTWEVHDPCTVVTVGVFDNCHVNGSSESRDQRIGKVRIRLSTLETDRVYTHYYPLLVLQPNGLKKNGELHLAVRFTCTAWVNMVAQYGRPLLPKMHYVQPIPVRHIDWLRHQAMQIVAARLARAEPPLRREAVEYMLDVDYHMWSLRRSKANFHRIMSLLSGVTGMCKWLNDISTWRNPITTCLVHVLFLILVCYPELIFPTIFLYLFVIGIWNYRFRPRHPPHMDARLSQAETTHPDELDEEFDSFPTTKPSDIVRMRYDRLRSVAGRVQTVSGDLATQGERAQAILSWRDPRATAIFIIFSLIWAIFIYVTPFQLVAILVGLYMLRHPRFRSKMPSVPVNFFKRLPSKSDLLL >OIW08726 pep chromosome:LupAngTanjil_v1.0:LG07:843474:846694:-1 gene:TanjilG_03402 transcript:OIW08726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVLFETPAGFALFKVLNEGKLNKVEDLWKDFSSADSARQVVKLKAFSKFENTSEALEAASLLIEGKASKGLRKFLRVHCENETLAVADSKLGNMIKDKLKIDCLHNNAVMELIRGVRNHLAELISGLAVQDMAPMSLGLSHSLSRYKLKFSADKVDTMIVQAIGLLDDLDKELNTYAMRVREWYGWHFPELTKIIQDNIHYAKAVKLMGDRINAAALDFSEILAEEVEAQLKEASVISMGTEIGELDLANIRELCDQVLSLSEYRAQLFDYLKSRMNTIAPNLTALVGELVGARLIAHGGSLMNLAKQPGSTVQILGAEKALFRALKTKHATPKYGLIYHASLIGQAAPKLKGKISRSLAAKAALAIRCDALGDPQDNSMGLENRLKLEARLRNLEGKELGRFAGSAKGKPKIESYDKDRKNGSGGLITPAKTYNPSADSVIGQLTNSAIDEDTALPVITDKKKEKKEKKKKGEKNEEDATLQADGVVGEEPEQEVVKKEKKKKKKDSADNAEVQNGDNGLDGGEKKKKRKKHAEQEESLELPSKKKGKKKKNES >OIW07912 pep chromosome:LupAngTanjil_v1.0:LG07:7316759:7317141:1 gene:TanjilG_20013 transcript:OIW07912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVYTPLLDIILNPQKYGHKVGDRGCCGTGTIEVTFSCNYLNPTCPNVLDYVFWDGFHPTESVYRKIVVSVLNKYLYQLL >OIW07842 pep chromosome:LupAngTanjil_v1.0:LG07:10597273:10597887:1 gene:TanjilG_32698 transcript:OIW07842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLACCLVLISLCLCLVLEPAFAAKKGHKTLKVKSTKHIAPASAPFPSAIQTEQALIQQLCQDTRKSKLCRKIVQGERVALEPVAEAKIAIDIATSMASRVGAYMSTQLKTNRVKILSRGFVKVCKFNYDNAIVDLNLSYINFESNTKKAIESLKQAEIKIGFCVNSLKSASKNAEIPPVHEANKVIQSLIKAAESVAKKQTH >OIW08553 pep chromosome:LupAngTanjil_v1.0:LG07:2048358:2048940:1 gene:TanjilG_03229 transcript:OIW08553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHHHRKELRIEMLQFWYTFMDSHYASQLFRHPHRTSRHDHFIIILRGFPGSGILNLSSSSLQQKTCSFLKWELAMLPLSRRSLRHVEGVKLKLRSNIRWFFLDVLASKTKQQQQPNLNIS >OIW08146 pep chromosome:LupAngTanjil_v1.0:LG07:6262630:6270284:1 gene:TanjilG_06689 transcript:OIW08146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAAVGITLAERSEKLASEKGIKWIEASKRDLSVWMHGCLRFKCLDGLPSTDDESGGVDGRFGYDHYGGYERGLGGRGGYVDEKSYGRFGRRSGGGYQNGFPDVESNRGYANLPSGGAQREGLMSYKQFIQELEDDILPAEAEHRYQEYKSEYISTQKRAYFNAHKDEEWLKDKYHPTNLLTVIERRNKNARQRAKDFLLDLQSGTLDINPGLDASKSSKSGQASEPNSEEEAENGGKRRRHGPNKDNDFSAAPKAHPISSEPRRIQADIQQAQALVCLLDKEKGIEDNILCSSDHNQNGDKSHSGSVGPVVIIRGQTSVKGLEGVELLDIIITYLWRIHGVDYYGMIETNEAKDLRHMRPEGTVHEKTDKSSSEWEKKIDLFWQGRLNGQDPLEVMTGKEKIEAAAAEVLDPYVRKIRDEKYGWKYGCGAKGCTKLFHASEFVQKHLKLKHPELVVEQTSKVREDVYFQNYMNDPDAVGGTPVMQQSQKEKPLPLRRRFGLEGRLRDDRGNRIDYDQNDRINGDRPDNSPSRDRQLKAHEMGNHDETMYDTYGGPGVPPFASDMPPPPQVLMPVPGAGPLGPFIPAPPEVAMQMLREQGGPSSYDNPVRKLQSGPHMGGPTRIISVPPGFRPDPRQMRSYQDLDAPDDEVTVIDYRSL >OIW08548 pep chromosome:LupAngTanjil_v1.0:LG07:2095723:2099343:-1 gene:TanjilG_03224 transcript:OIW08548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLYSFTASLHRLCHLRFIAKPRSFLSPPPLIPFFSPITPKSKKAFGSMAAESPSNNPGLHTTQDDATKGYIMQQTMFRIKDPKVSLDFYSRVLGMSLLKRLDFPEMKFSLYFLGYENTAEAPSDPVDRTIWTFSQKATIELTHNWGTESDPEFKGYHNGNSEPRGFGHIGVTVDDTYKACERFQNLGVEFVKKPDDGKMKGLAFIKDPDGYWIEIFDLKAMRKITQGDA >OIW07815 pep chromosome:LupAngTanjil_v1.0:LG07:10724195:10724383:1 gene:TanjilG_06154 transcript:OIW07815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSNDIVTAAIRPILTNANNKLNSENKIVCIKVGMAKEQKRLFVYPPLRTVQLTDFCGNRVA >OIW07640 pep chromosome:LupAngTanjil_v1.0:LG07:16442326:16444009:-1 gene:TanjilG_03748 transcript:OIW07640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQGDSNGSSKHHARRVPTLGKATILAIGKAFPSQIIPQECLVEGYIRDTKCEDAYVKEKLERLCKNTTVKTRYTVMSKEILDKYPELATEGTPTIRQKLEIANPAVVEMATKASLACIKEWGRPVKDITHIVYVSSSEIRLPGGDLYLANELGLKNDIGRIMLYFLGCYGGVTGLRVAKDIAENNPGSRVLLTTSETTILGFRPPNKARPYDLVGAALFGDGAAAAIIGANPLNQESPFMELNYAVQQFLPETHNVIDGRLTEEGINFKLGRDLPQKIEANIEEFCKKLIAKSNTKDFNDFFWAVHPGGPAILNKLENTLKLSTDKLECSRKALMDYGNVSSNTIFYVMEYMRDHLKENGGEEWGLGLAFGPGITFEGILLRSL >OIW07419 pep chromosome:LupAngTanjil_v1.0:LG07:19544229:19547259:1 gene:TanjilG_19260 transcript:OIW07419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYHKETLKFEMKEVVFVKPSSSIPSSILSLSDFDHRTDCNGIGQIIFVYQSSNIDSSNNKLDPFFVIKEALSKALLYYYPLAGRLVENGDGKLSVHLEPNHGVPLIEAIANCYLSSLQYLDGNDVEIANKLAFDLPLQDKNGQQYPLVMKVTKFLCGGFTIGLGISHVVSDGFGVAQFFKAMNELACGKSEPSIKPVWERERVLMGSINKQPFQDPMGIDTGAISPYLPTSNLVHGCIKVNGEDIRRLKMSLMKEFVYNDKTMKQNITALDCVMAYVWRSRAKALNQSYDGKTRLSIMTGVRKYLVDPPFPKGYYGNAVVDVYVVLTVKELNGKPLSEIAMLINMSKKGASNSDYIKKSINTIYPSLDDFNHESGAVVSLTDWRHLGYMEMGDLAGNKLVNMVPAPCNLFGMVDLFILTPPSKLDSSMKEGVRVFTSLPSDAMQKFIEEMNNLNKTIDSFTTQSIWWPNAKL >OIW08833 pep chromosome:LupAngTanjil_v1.0:LG07:424357:438086:-1 gene:TanjilG_16414 transcript:OIW08833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRQTPTTAFTKSKTLDNKYMLGDEIGKGAYGRVYKGLDLENGDFVAIKQVSLENIAQEDLNIIMQEIDLLKVFLLLISFMNLNHKNIVKYLGSLKTKSHLHIILEYVENGSLANIIKPNKFGPFPESLVAVYIAQGLVKLADFGVATKLTEADVNTHSVVGTPYWMAPEVIEMSGVCAASDIWSVGCTVIELLTCIPPYYDLQPMPALFRIVQDEHPPIPDSLSPDITGFLHQCFKKDARQRPDAKTLLSHPWIQNCRRVLQSSLRHSGTLKNIEEDDSADAEVSGGDNKSAGESSFVEKEDSAKEFSNVVADGSKSQEDNASDSNLTLKGGDVPSDKVPTLAIHEKSFLKTGSSKFSSNREVLSSEPTGDHEISNAKDLHEITMNGEVGSLQLRGVAKNLEGKDSCINDSNKSFAFGPRGQDNGSIKAVKIPPAVEGNELSRFSDPPGDAYLDDLFHPLDKQPGEFLAEASTSSSTSHMVKGNTSMNDGGKNDLAKELRATIARKQWEKESEIGQANSGGNLLHRVMIGVLKDDVIDIDGLVFDEKLPGENLFPLQAVEFGKLVGSLKPEESEDVVVSACQKLIGIFHQRPEQKIVFVTQHGLLPLTDLLEVPKARVICSVLQLINQIIKDNTDFQENACLVGLIPAVMSFAVPDRPREIRMEAAYFLQQLCQSSSLTLQMFIACRGIPVLIGFLEADYAKYREMVHLAIDGMWQVFKLQQSTPRNDFCRIAAKNGILLRLINTLYSLNEATRLASMSVGGGFLVDGSTQRPRSGILDPKHPFIVQNEALLSALDQQGLHKVRRGLLDHQLEPSHASTSNPRRSDANHPVDADRPRSSNATAEVPAVEKISNLASRESSVGAFKERDTVDRWKTDPSRADIEPRLQRISISASRKSTDRPPKLTEPGSNGLSVTGATQQDQVRPLLSLLDKEPPSGRFSGQIEYVRQFSGLERHESVLPLLHASEKKTNGELDFLMAEFADVSQRGRENGNPDSSARVSHKVAPKKLGTDGAASTSGIVSQTASGVLSGSGVLNARPGSATSSGLLSHMVSSLNADVAREYLEKVADLLLEFAQADTTVKSYMCSQSLLSRLFQMFNRVEPPILLKILKCINHLSTDPNCLENLQRAEAIKYLIPNLELKEGSLVSEIHHEASLAELTVLNALFNLCKINKRRQEQAAENGIIPHLMQFITSNSNLKQYALPLLCDMAHASRNSREQLRAHGGLDVYLNLLEDQIWSVTALDSIAVCLAHDNDNKKVEQALLKKDAVQKLVNFFQCCPEQHFVHILEPFLKIITKSARINTTLAVNGLTPLLIARLDHQDAIARLNLLRLIKIVMYRFATAYEENAICLLNLDANLLMSQASKIWFKAVYEHHPQPKKLIVENDLPEKLQNLIGERRDGQVLVKQMATSLLKALHINTVL >OIW08471 pep chromosome:LupAngTanjil_v1.0:LG07:2642250:2643659:1 gene:TanjilG_03147 transcript:OIW08471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVSLLLSSPSIASILNKGTIKFCNYGSLHSHKSHVKGFKVRALKEKTEEIKIPSQSSSAEEVTKKYGLEAGLWKIFSSKEEGNNGSEQQKSKGDQAKELLAKFGAAYLATSITVSLISFALCYALIDAGVDVQTLLQKVGISTNETSGKVGTFALAYAAHKATSPIRFPPTVALTTIVAGWMGKIAEKD >OIW08748 pep chromosome:LupAngTanjil_v1.0:LG07:690941:691273:-1 gene:TanjilG_03424 transcript:OIW08748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIRLLPEMVHHAKQILRLRSHQSSKQYQFSGQSGSNNIFNVPKGHFAVYVGDENEYRKRFVVPISYLKQPMFQDLLSKAEEEFGFEYRMGNVVIPCPIDHFVNLTSHFN >OIW08659 pep chromosome:LupAngTanjil_v1.0:LG07:1290151:1291251:1 gene:TanjilG_03335 transcript:OIW08659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQILLLPLTVLLLLAASSIVQSCLPSERAALLAFKSALQESHVGVFNSWTGNDCCNNWFGVACDQNSRQIAIIDLRAGTLYETMEKAHRPGYMTGHISPEICKLTRLSSIIITDWKAISGEIPHCITTLTYLRIIDLAGNRLSGNLPSNIGKLQQLMLLSVADNLITGNIPVTLPSVAGLTHLDLRNNEITGPIPQWLGRLKILSRALLSGNKISGPIPSSISSMSRLVDLDLSKNELSGPIPESLGRMAVLGTLKLDMNKLSGSVPATLLVSGITDLNLSHNSLEGDIPDSFGARSYFTSMDLSYNNLKGPLPKSISLASYIGYIDLSHNHLCGSIPSYSGLENMDQSSFANNDCLCGKPLKAC >OIW07791 pep chromosome:LupAngTanjil_v1.0:LG07:11147305:11150665:-1 gene:TanjilG_31983 transcript:OIW07791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITVSKLLNASVPIWNIINEPKPCSWNGVTCDSSGSFVISITLSKYTLSSNNFLPVLCQIETLENLDVSNNQLTSIPEGFIIECGKNKGLKLLNFSRNNLQGVLPTFHGFVGLESLDLSYNQFLGGAIGLELDELVSLKSLNLSFNSFNGSIPTNLGKSMVLEKLMLSVNNFSGKIPDKILSYRNLTVIDLSANNLSGSIPSDIGKLSKLESLILSSNKLDGEIPISLRNITTLARFAANQNKFKGAVPPGITTYLTSLDLSYNYLMSIPEDLLSKPKLQIVDLSNNQLSGPLPVNISSSLIRLRLGSNFLTGNIPSDACSRVKSLTYLELEKNQLTGVIPAGLGSCRNLTLLSLAHNQFSGELPPELGNLSQLQVLKLQMNKLNGIIPVQIGQLQKLSILNLSWNSLEGSIPSVMIKLSSLNILNLQQNNLSGSIPTSIGNLKSLLELQLGKNQLSGVIPSMPSSLQIALNLSSNFFTGPIPSSFGYLTNLEVLDLSNNGFSGEIPKDLGRMLSLTHLLLSNNQLSGVIPNFASYVHVESGGNRNLVSNTRQDNSTSAKKGISVAVAVLIAIAAAIFLIALVMLLAVSVTRHIYRANGEHIQPSEDNHLPQVIQSNLLTPNGIHRATIDFSKAMEAVSETSNVTLKTRFSTYYKADMPSGSVYFVKKLNWSDKILAVGSSNDKFVKELEVLAKLNNSNVMIPLAYVLSNDIAYVLYEFVSNGSLFDVLHGSMRESLDWASRYSIAVGVAQGLSFLHGHASSPILIDLSSKSIMLKSFKEPLVGDIEHYKVIDPSKSTGNFSTVAGSVGYIPPEYAYTMTITKAGNVYSYGVILLELLTGKPAVTEGTELVNWVLCNSTNQDYILDFNVRRTSQAVRNQMLAILKVALVCVSTSPEARPKMKSVLRMLLNAR >OIW08074 pep chromosome:LupAngTanjil_v1.0:LG07:9894429:9902029:-1 gene:TanjilG_20175 transcript:OIW08074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQKHVLLSALSVGVGLGVGLGLSSGQKWVGGNGDYDEISEEQIVVELKNHVVDGKDSKVTFDDFPYYLSERTRVLMSSAAYVHLKSKDFSKHTRNLSPASRAILLCGPTELYQQSLAKALAHCFESKLLLLDITDFSLKIQSKYGCSRKDPSFRRSISEVTLERMSGLFGSFSILPSTGETRGTLRQQSSAVKSSNNPHKFHRNSSAASDISSTASQCGPTNPAPLKRTSNLCFDEKVFVRSLYKVTVSISETGSIILYIRDVEKLILQSPRLYNLLQKMIKKLSGSVLILGSQMVDSQDDCKEIDERLNILFPYNIEIKPPEDEAHLGSWKAQLEEDKKALQFRDNRNHIAEVLEANDIDCDDLTSICHADTMILSNYIQEIVVSAISYHLMNTNDPEYRNGKLVISAKSLSHGLNLFQEGKSSGNLKTNEPIKDNSGNDVRSDNQAPENKNETEKSVPVTKKDAENPAPAKSEVPDNEFEKRIRPEVIPANEIGVTFADIGSLDDIKESLQELVMLPLRRPDLFKGGLLKPCRGILLFGPPGTGKTMLAKAIANEAGASFINVSMSTITSKWFGEDEKNVRALFTLAAKVAPTIIFVDEVDSMLGQRTRVGEHEAMRKIKNEFMTHWDGLLTRPGEQILVLAATNRPFDLDEAIIRRFERRIMVGLPSADNRELILKTLLAKEKHENLDFKELATMTEGFSGSDLKVDIVNEKNVRALFTLAAKVAPTIIFVDEVDSMLGQRTRVGEHEAMRKIKNEFMTHWDGLLTRPGEQILVLAATNRPFDLDEAIIRRFERRIMVGLPSADNRELILKTLLAKEKHENLDFKELATMTEGFSGSDLKNLCITAAYRPVRELIQQERRKDIEKKKKEPEGQGSEDALGNEQDKEELEIMLRPLSMEDMRQAKSQVAASFASEGAVMGELKQWNDLYGEGGSRKKEQLTYFL >OIW07612 pep chromosome:LupAngTanjil_v1.0:LG07:17109341:17112799:1 gene:TanjilG_31171 transcript:OIW07612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASYLWRKYADYLFTKWEKTFLWDMVEPYRKPKSFTPLVTIYIAAFYSGVIGAAITEQLYKEKYWEEHPGKAVPLMRPSFYSGPWRVKAGQVPPSE >OIW07441 pep chromosome:LupAngTanjil_v1.0:LG07:19725743:19727998:1 gene:TanjilG_19282 transcript:OIW07441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIFRVRIISFIFLLILTETLCSNAFGGSMKNEEDLKEGQNDIVNSISNYGEMKEDEWEMVQKRGNQFVVNEQPFYVNGFNTYWLMVFAADESTKGKVTDVFKQASSMGLTVCRTWAFNDGQWRALQKSPSVYDENVFKALDFVVSEAKKYKIRLILSLSNNWEAYGGKAQYVKWGKEAGLHNLTSDDDFFSHPTLRTYYKAHTVLNRVNTFTNITYKEDPTIFAWELMNEPRCNSDPSGDKLQDWIQEMAFHVKKIDPKHLVEVGLEGFYGPSTPQRVQFNPNTYAQQVGTDFIRNHLVLGIDFASVHMYADSWISQQISDTHIPFVKSWMEAHIEDAEKHLNMPVLLTEFGVSSKDPGYNSSYRDTLISTVYNTILNSTRKGGSGAGSLLWQVFPDGTDNMDDGYGIVFSKFTSTSSIVSLQSNRMKLFNSLCSSKCNWSCKKKNVLEKTLYHDEL >OIW07560 pep chromosome:LupAngTanjil_v1.0:LG07:17652532:17656868:-1 gene:TanjilG_08447 transcript:OIW07560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETFKKEANVSIDQTKIDDPADFFWGWWTVFWDLANAKENNKHSESAAVYTKIQKMKEREQHKMHQSLLMQRRDNNHPAFGGSVNGMNSGGMMRLPPDYVAMKIYEERMKKSNSMYSEASQTRFGANRMVFPNSETSHQGFNQIVHGNPGNISTVQQIERLVSALDRIDPTNYHEMDPSIFSGLGGGSLSAKDGQSSKNGVPIGTKVQQGSTKDNKKRRRRSSSRAANAIVAQTKHKSGNGMMASSSLQHDNNVQNCAGIVFGTEGAQGLTSPSISLENMENLGDVGILDNDFESFLLSNIEDVEIDDLAEFVDDNFESFLLSNIEDICNLNDTIKQSQLSEQQEQISKDFTFSEFACARTSNNKVTCCHFSSDEKFLASAGHDKKVVLWNMETLQTESMPEKHQSAILDVRFRPNSSQLATASMDKSVRIWDAANPSRCVQQYTGHNSAVMSLDFHPNKTDLLCFSDSENEIRYWNMTTSSFTNTLKGGNAQVRFQPGAGELLAAAYDKGISIFNVETGRQIYSLQGHPEEVNYICWVANGDILASVSRNFVKFWSLSSGEYIKGLRSSGEQYYSCVFHPSYSNILVIGGTTNIELWNLAEDKSMTIPTDQNIISCLVQSPVTGIVASASHDCTVKLWR >OIW08526 pep chromosome:LupAngTanjil_v1.0:LG07:2279734:2295537:1 gene:TanjilG_03202 transcript:OIW08526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPIPSENGVEGGDEREEVEEEEEDGEDEEDGEDEEEPRLKYQRMGGSIPSLLSNVAASCIAVSERMIALGTHDGAVRILDFLGNQVKEFSAHKSVVNDLSFDIEGEYIGSCSDDGSVVLNSLFTDEKMKFEYHRPMKAIALDPEYARKGSKRFVVGGLAGLLYLNSKKWLGYRDQVLQSGEGPIHAVKWRASLVAWANDAGVKVYDAANDRRITFIERPRGSPRLELMLPHLVWQDDTHLVIGWGTSIKIASVRTNHNKASNGTYRQVPLSGMIQVDIVASFQTNYFISGIAPFGDALVVLAYIPGEEDGDKDFSSIAPSQQGSAQRPEVRIVTWNNDELSTDTLPVHGFEHYKANDYSLAHTPFSGNSYAGGQWAAGDEPLYYIVSPKDVVIAKPRDTEDHIAWLLQHGWHEKALAVVESGQGRPELLDEVGSRYLDHLIVERKFCEAASMCPKLLQGSASAWERWVFHFAHLCQLPVLVPYMPTENPRLSDTAYEVALVALATDSSYHKDLLSTVKSWPSIIYSALPVISAIEPQINTSSITDSLKEALAELYVIDGQYEKAFSLYADLMKPDIFDFIEKHNLHDAIHEKVELYAEYDPKMLLPFLRSSQHYTLEKSYEICIKRDLTREQVFILGRMGNSKQALAVIINKLGDIEEAVEFVTMQHDDELWEELIKQCLHKPEMVGMLLEHTVGNLDPLYIVNKVPNGLEIPRLRDRLVKIITDYRTETSLRQGCNDILKEDCVNLLIKYYKEAKHGISLNNEDGPRIKRSHSQASQVLEKSLSLRTGEVGMLLEHTVGNLDPLYIVNKVPNGLEIPRLRDRLVKIITDYRTETSLRQGCNDILKEDCVNLLIKYYKEAKHGISLNNEDGPRIKRSHSQASQVLEKSLSLRTGEVKSKASGGGRCCICFDPFYIQNVSVTVFFCCHAYHTTCLMDSSYTSSSSKKENESTSQGAEMYDGYNGYMDNDSNDDEETESGGPFMRCILCTTATS >OIW07972 pep chromosome:LupAngTanjil_v1.0:LG07:8053871:8054041:-1 gene:TanjilG_20073 transcript:OIW07972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMKKVACAVLFAAASVSAVAAAEVPAPAPGPNSSANAVGSFVGATILSFVAYYLF >OIW07848 pep chromosome:LupAngTanjil_v1.0:LG07:10715411:10717221:1 gene:TanjilG_32704 transcript:OIW07848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLETVAHHGDDEHDGVSSRTSGSQGMNQVMEQEEVTMLDSSVVSTLTNVVSGSRHGGSEWVQVQGSGGSPLMSGFGHASPSSPSTLSSFPSRSALVSGSWVGNKRRRDEESGAPHQFMQQDDPRHFRTIGDFRVPKQGESSSEAASTTTTAITVTVTTLATPSTETASNEETIERRRYRGVRQRPWGKWAAEIRDPHKATRVWLGTFNTAEAAARAYDEAALRFRGNKAKLNFPENVRALPPPPIHTFPATTMLAATLSDSSDILRQPSAATMTPPQFQQQPLLQGSSDMIRDYWEYSQFLQSSGGFQQQLQLQQQQPSSLFQQLYYNSQLGALQSSLLLSSSPSLSSSMSATASFSPPTQFSSASCPMFSSQQMGYFGPPGNQTQGGGVTRSVFPPPTWSDNSGHQPPPS >OIW07644 pep chromosome:LupAngTanjil_v1.0:LG07:15292916:15296280:-1 gene:TanjilG_17659 transcript:OIW07644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALDLPLKPEKIAIVSGGYIALEFAGIFNGLKSGVHEFIRQKKVLRGFDEEVRDFVGEQMSLRGIEFHTEESPQSTIKSADGSFSLKTNKGSSEGFSLFFLQQDTDLILRVVALQASATFKN >OIW08694 pep chromosome:LupAngTanjil_v1.0:LG07:1051017:1052492:1 gene:TanjilG_03370 transcript:OIW08694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIQHLQRFKIESQLVKPFSPLFFFSSSSSTTTTTSNDDTKTQTLISILTHQRSKSRWNALRSLCPNGIDPIQFSQITLQLKNNPHLALRFFNWTQSNSICHHTLSSYSTIIHLFARARLYKHAYHTIRIAIRTSEYDDEHCRFDSPPLNLFETLVKTYQRCGSSPFVFDLLTKACLESKKLDPSIEIVRMLLSRGISPKVTTLNSLIFRVCKSRGFDAGYAIYREIFRLDRSKCEISKRGSGFKVNPNVHTYNELMLCCYQDALFEKVGEIWNEMECARNAYSYTLMMASLCDEGRVEDAEKLWEEMRNEGIEPDVISYNTMMGGFCRIGDVGRAEEFYREMTSGGIESTASTYDHLVNGYCIIEDVDSAVLVYKDMYRKDFCPVASTLDAMTSLLCDKGRGKEALKLVRNAIGKFGLVPKGNSYEVLIKGLCAEGRVEEAFKVQAEMVGKGFQPNSEIYGAFIDWHVRQGNEELAGALREEMLQTQIQS >OIW08003 pep chromosome:LupAngTanjil_v1.0:LG07:8710366:8712729:-1 gene:TanjilG_20104 transcript:OIW08003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVVDAGKSITGTPTNYDRFKELKAFDESKSGVKGLVDAGITHVPRIFLRPPEDLAAGNSVSGEPAQTQFKIPVIDLKDISSGDRSEMVAGVRRAAETVGFFQVVNHGIPTKVLEEMVSAARGFHELPQEVKAEYYTRERMKKVKYGSNFDLYKSRYANWRDTLFCVMDPEPLDPQELPPICRNETMEYSRQLRVLGKHLFQLLSEALGLNHDHLEGMDCTKGHLILSHYYPACPEPELTMGTTRHSDPDFLTILLQDHIGGLQVLCQDEWVDVPPVPGALVVNIGDLLQLISNEKFKSVEHRVLANHRGPRISVACFLTPHLYPTTRVYGPIKELLSQNNPPVYRETSLQDFIAYYDNKGLDGKSALDHFKLLQ >OIW07850 pep chromosome:LupAngTanjil_v1.0:LG07:6762102:6762371:1 gene:TanjilG_19951 transcript:OIW07850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELKCGEDMKIELRVDNKSSINLAKNPVSHGRSKHIETKFHFLRDQVGKKKVELIHCKTEIQIADTMTKPLKGNRFEKMRTMLGVIRV >OIW08185 pep chromosome:LupAngTanjil_v1.0:LG07:5446910:5448622:1 gene:TanjilG_24380 transcript:OIW08185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSVMASVTIKPVPFTAQKLSPVRGLPSLARTSSFKVMASGGKRKIKTDNPYGINGGLSYKEGVDASGRKAKGKGVYQFVDKYGANVDGYSPIYNTDEWAPSGDVYVGGTTGLALWAITLLGLLAGSALLVYNTSALSQ >OIW07558 pep chromosome:LupAngTanjil_v1.0:LG07:17635277:17638785:1 gene:TanjilG_08445 transcript:OIW07558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKYMKKSRTSGDVAVVMDVASSSLGVRTRANTLKPPPSPPQIPDGSAYLQLRSRRLLKVMPPLRKESTAAVSNSAKTASLSVEAEEAEEEEEEENVDLGMEGSFGENFLEGEVRDRSTRESTPCSLIRDSNLITAPGSTTRQRTHQINHENVQRIIPSAHEIEEFFAYAERQQQAMFMEKYNFDIVNDVPLPGRYKWVPVLH >OIW07645 pep chromosome:LupAngTanjil_v1.0:LG07:15375072:15388135:1 gene:TanjilG_17660 transcript:OIW07645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNLHRSNAAQRGSAKFDRPIKPRPPQGSATLRRSTTPSRNGDAVPGRVRVAVRLRPRNAEEMVADADFADCVELQPELKRLKLRRNNWDSDTYEFDEVLTEFASQKRVYEVVAKPVVESVLDGYNGTVMAYGQTGTGKTFTLGQLGEGDTSNRGIMVRSMEDIFANLSLNTDSVTVSYLQLYMETLQDLLNPANDNIPIVEDPRNGDVSLPGATLVEVRDQQCFLELLRIGETHRIAANTKLNTESSRSHAILMVYIRRSVMESEDIVSSENGDGSHLTKHPKPLVRKSKLVVVDLAGSERIHKSGSEGHMLEEAKYINLSLSSLGKCINALAENSNHVPFRDSKLTRMLRDSFGGTARTSLIVTIGPSPRHRGETSSTILFGQRAMKVENMLKIKEEFDYKSLSRSLEVQLDKLIAENERQQKTFEDEVEKINFEAQCHIAEVERNFADALEKERLKSQMEYMELVKELEQKLVLNQERHDSNGFVADPGQGPAASSQDKVAEVKMLLETESNRRKAAEEELENLKRQLERYTHLEAGGGDMENIKLRKILEDEANQKKKLEEEIIILRSQLLQLNFEADQMRRCLDSGSFGNAFSATDSSTAQVRLSQFKDTGNDQKSSVATLFEQVGLQKILSLLESDDANVRIHAVKVVANLAAEEANQKRIVEAGGLTSLLMLLRRYEDETVRRVAAGAIANLAMNEANQELIMAEGGVTLLSMTASDAEDPQTLRMVAGAIANLCGNDKILTKLRSQGGITALLGIVRCGHPDVLSQVARGIANFAKCESRASSQGITNTRSFLIEDGALPWIVQNANNEAAPIKRHIELALCHLAQHEVNAKDMISGGALWELVRISRDCSREDIRSLARRTLSSISTFKSELRRLRIEC >OIW08546 pep chromosome:LupAngTanjil_v1.0:LG07:2110080:2110325:-1 gene:TanjilG_03222 transcript:OIW08546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMAARIIPRSAPSTVTRPLILSDAETYLKPNVDHKQKFIQGRQFKNCLPKGFRHNSTPSRFVNYNTLGSSGCSGIPSPKP >OIW08765 pep chromosome:LupAngTanjil_v1.0:LG07:37052:38722:-1 gene:TanjilG_16346 transcript:OIW08765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDLQHALEAVRWGSDYFLKATNKEDSIVAQVGESKVDHGCWERPEDMDTSRTTFVLSKEKPGSDVSGEIAAALAASSIVFLNTDATYSKQLLDRAKKVFDFANKYRGKYSDSVGDACPFYCDDNYMATKQTDNYYGDFVQQNIQSIGYGFAEFGWANKDAGINVLVSQWVIKDKSKSSPFVDSANRFICSLLPQSKQKSVWYSKGGLMFKPGGSNLQHATSISFLMIVYASYLRSAGQQVNCEDKSVSATPDQLITLARSQTDYILGQNPLGMSYMVGYGNKFPQKIHHRGSTLPSLSIHPQKIECGEGYNYFKLTTPNPNILTGSVVGGPADDDSFLDSQYNISQSEPTTYINAPFVGVLAYFNKP >OIW08789 pep chromosome:LupAngTanjil_v1.0:LG07:177944:178681:1 gene:TanjilG_16370 transcript:OIW08789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLLLNRSLSSSLLPSLRSLSSLSRSFNTNAVRHFDNTTDQNRNLDHTDRSLPRRRDDSFPDVFDPFSPTRSLSQVLNMMDQLIENPFLNASRGIGAGGGAGFRRGWDAKETKEALLLRVDMPGLGKEDVKVSMEENTLSIKGESGKEGEDEESGRRYVSRIELPQKLYKVDQIKAEMKNGVLKVVVPKMKEEERRDVFNVNVQ >OIW07536 pep chromosome:LupAngTanjil_v1.0:LG07:18883632:18883964:1 gene:TanjilG_14482 transcript:OIW07536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRKSKRVLFFNPYANEKPKIFLKHGEGGYNKKRVIVKEPVRFLLQIGAKVASAIKDVSFRSRSSRKVSSSTLFRSHSLSYSTDSHRAEAVEDCIMFLHSSSSRERSSFS >OIW08662 pep chromosome:LupAngTanjil_v1.0:LG07:1257005:1259421:-1 gene:TanjilG_03338 transcript:OIW08662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATAAHVFKDKKNNNGNQEITRHEVQSAIAKAVELRALHAALMRGNNPTNAIFSFPSPAPRSVSHFSAHDYPVFTPIYEDGLVYNQNSVKSLTISTGWDENVEGNSLETIVTDYKEKSSLRNGLSHHLCPADDAASVTGSCADQITVLQTSPANDYFKCRWTNDSEDFRSVSSCNKGKPTIKASEFENARNSKSSNIVVSVTESQSSFQSQTKGKGLISRMFPQLKRNKNGSSPNTAESEDVSQVLKDLGVMSLETLKKELVEANENRDAALMEVSEMKSLLMEMKQKLEYLESYCEELKKASKQAINARDSQLCEQLNNLPQRGKSFHENGESLMPMSEEVMVEVFLQIVSESRLSVKQFCKTIINNIGETDRSLAQNLNLTLQPNKLPMKSKYSKAVLYHFEAFINQSLYQDFDNCVFQKHGCPKFLDPQQDRQAQFSSFVSLRNLSWNEVLRKGTKYYSEEFSKFCDQKMSCIVTRLSWKRPWPEQLLQAFFVAAKCMWLLHLLAFSFNPPLRILRVEENRNFDPHYMEDMCPRSQGPSRVKIMVMPGFYVQDRVLKCKVLCRYKSAA >OIW07824 pep chromosome:LupAngTanjil_v1.0:LG07:10082904:10088433:-1 gene:TanjilG_32680 transcript:OIW07824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERVLDVVEGVGESSPPPRSFTGVSNYDMSIDVYNRLLEIGHDQAISNPDFKEQLEAHFNRLPPRSWLWQIEDPNLKKQNVVNIEVALVEKYMTGGSLYDYLHKNQNVLELSQLLKFVIDVCKGIEHLHKNNIAHRDLKTAKLSHICAVKVEAGPVHLWSASRTPKHGHPELLDLMQRCWEGVPNNWPSFHEITVELENLLQEVESGLDSQANGA >OIW08349 pep chromosome:LupAngTanjil_v1.0:LG07:3686092:3687657:1 gene:TanjilG_03025 transcript:OIW08349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFSANGSEESELYHAQIHLYKYAYNFLSSMALKSAIELDIADVIHNHGKPITLSELASALKLPPSKVTVLNRFMRLLTHNGFFAKTTIPFQNGKEGGEEEAYILTPPSKLLIRSEPICLAPYAMGVLKSSYIERWHHSKKWITEDNELSLVESVTGKNVWENLNGDPDALIRFQESMAADSQIFKLALKECKHVFEGLGSLVDVGGGTGETTRFIHEAFPHIKCIVFDQPQVVANCTGTQNLSFVGGDMFESIPSGDAILLKRVLHDWNDDLCLKILKNCKEAISDKGKVIIIDIKIDEENDDRELTELKLNFDLVMLTLMNGKERVKKEWEKLIYETGFSNYKITPIPVCGLMSLIEVYP >OIW08227 pep chromosome:LupAngTanjil_v1.0:LG07:4785793:4787599:-1 gene:TanjilG_15188 transcript:OIW08227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEEDRPQKYSISNGGRITYSRSSKRQKQNKVPQRGLGVAKLEKMRLEEEQRMKASSSSNSSFNLPLSLPNFHPSSQIPLPSATTVPLSSSTVSVPVNRNGGFAGLLGYKSVPKDFGMDPGLGFLSSLLYKSNPNWTLPNVIQRKRQPQQPSSSSSSSMANLSSGTSSTLLPQVSMEPPLIQNNSHRYVPIRPMEKMIGIKRQNPFSMDVLPAPSFSFNFPTFAAPMNTNEEISSGSGSGVNLDHAGKTTFSSEAPSYSASNSEMIYKGNENFNRDFDFLKLSHPHSTSSLHLKTTSTLTPYQVWVQKTHKPFSPFYLFHYACIHNFHFIKFAIGSSGKPNSFPIRVYLHPSCSKCGTN >OIW08472 pep chromosome:LupAngTanjil_v1.0:LG07:2638716:2639309:-1 gene:TanjilG_03148 transcript:OIW08472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNKKGFLRTVFTSNGSCGCGKAKPFEVHEPIPKSKFSIHHNQNTNPSCMSSSTTTSGDCNGGVSSRENEEFTSAATSEPDTTITHDLHHNNNDNVVLKKSPLMDSVAIEKNSSDPYHDFRHSMLQMIFEKEIDSEEDLQDLLQCFLQLNAPRYHDVIVEVFNEICEDAFSDKVSSTAEPAASMSSGNHIIDGKGK >OIW08068 pep chromosome:LupAngTanjil_v1.0:LG07:9659086:9662580:1 gene:TanjilG_20169 transcript:OIW08068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFFGGSEISPSPPALTASGNNGHMMYVFNRNGVCLLYREWNRPLRTLNAQQDHKLMFGLLFSLKSLTAKMDPTSAEKGNLGVPQLPGQGCSFHSFRTNTYKLSFMESPSGIKIILVTHPRTGDLRESLKYIYNLYVEYVVKNPLYTPGAPIRRTGQDLIRFSPWASGHWLTWRVSFDTIVRLLAL >OIW07732 pep chromosome:LupAngTanjil_v1.0:LG07:12029539:12031474:-1 gene:TanjilG_11890 transcript:OIW07732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCRWRNSRLMSHSPNLLVVHESLMVELKPIKEYRRYMPQRLSRDVFLQWIFTVARRRDLTKGDSGVPTQNCSTKTKSF >OIW07555 pep chromosome:LupAngTanjil_v1.0:LG07:17606408:17608027:-1 gene:TanjilG_08442 transcript:OIW07555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQGLTRGKGDSMLENDFVDPGFVQEPMTSSRVQPHLLYLEKNLIDRISETMEDLISEILEEIKAPAKPQTDEKQEDTVDLPSPSMVRAKDVGDSTIIKIYHHLLSGKIFRSKPEVVQFVLTEACPPKPGTSGKKPGKGRKRSNKSNKTPKTSRNKRTKVEEVTSQHVVEGVVSNQLNDAATINQDPILGSIASTINTNVSSNHEELKIHDLMSTEEMLNEYSIAYQRGEL >OIW07496 pep chromosome:LupAngTanjil_v1.0:LG07:18428862:18429122:1 gene:TanjilG_14442 transcript:OIW07496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGIIPFVYKAIMQYKNGKEGPIGSWICDSPSYSYMRLPGDSGRFQTSASFSVSSPSFSPASSTQVLVSSSVKSPHHCFSHSQVAT >OIW07846 pep chromosome:LupAngTanjil_v1.0:LG07:10652198:10652812:1 gene:TanjilG_32702 transcript:OIW07846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLACCLVLISLCLCLVLEPAFAAKKGHKTRKVKSAKHIAPASAPFPSAIQTEQALIQQLCQDTRKSKLCRKIVQGERVALEPVAEAKIAIDIATSMASRVGAYMSTQLKTNRVKILSRGFVKVCKFNYDNAIVDLNLSYINFESNTKKAIESLKQAEIKIGFCVNSLKSASKNAEIPPVHEANKVIQSLIKAAEFVAKKQTH >OIW07829 pep chromosome:LupAngTanjil_v1.0:LG07:10144195:10147687:-1 gene:TanjilG_32685 transcript:OIW07829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASITEEESAVKEPLDLIRLSLDERIYVKLRSDRELRGKLHAYDQHLNMILGDVEEVVTTVEIDDETYEEIVRTTKRTVPFLFVRGDGVILVSPPLRTA >OIW07457 pep chromosome:LupAngTanjil_v1.0:LG07:19115549:19118467:-1 gene:TanjilG_24319 transcript:OIW07457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFVVSECGLNPLPPMITRPRTGAVLLSSKIRFLNTNKEVKGVNFQKGRSFRERKWGLKVSVPLRVDPIENDESNGVGVKLPEFDPSAPPPFNLADIRASIPKHCWVKDPWKSMSYVVRDVVVVAGLAAAAAYLNNWFVWPLYWAAQGTMFWALFVLGHDCGHGSFSNDHKLNSVVGHLLHSSILVPYHGWRISHRTHHQNHGHVENDESWHPLSEKIFRSLDTMTRSLRFTPPFPMLAYPVYLFSRSPGKTGSHFHPDSDLFVPSERNDIITSTLCWSAMVAILVGLSFVMGAGRVFMLYGVPYWLFVMWLDLVTYLHHHGHEGKLPWYRGKEWSYLRGGLTTIDRDYGWINNIHHDIGTHVVHHLFPQIPHYHLIEATEAAKPVLGKYYREPKKSGPIPFHLVGDLLRSLKKDHFVSDTGDIVYYQSDPNLSGSSK >OIW07843 pep chromosome:LupAngTanjil_v1.0:LG07:10617607:10618332:1 gene:TanjilG_32699 transcript:OIW07843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLACCLVLISLCLCRVLEPAFAAKNYNKTKKNKRKMARLACCLVLISLCLCRVLEPAFAAKKGHKTLKVKPTKHIAPATAHFPSAIPTEQALIQQLCHDTRKFKLCRKIVQGDRVALESVAEAKIAIDIATSMASRVGAYVSTQLKTNRVKVLSRGFVKVCKFNYGNAIVDLNLSYINFESNTKKAIESLKQAEIKVGYCVNSLKSGSKNSEIPPVHKANKVIQSLIKAAESVAKKQTH >OIW08045 pep chromosome:LupAngTanjil_v1.0:LG07:9445394:9445591:-1 gene:TanjilG_20146 transcript:OIW08045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTLTQGTHQTAISQEQRIHQTITIVTQGQGRQGIHQTTMPHGPDQAAINNQALHTDKTIINFLY >OIW08859 pep chromosome:LupAngTanjil_v1.0:LG07:598647:599654:-1 gene:TanjilG_16440 transcript:OIW08859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSPCCEKAHTNKGAWTKEEDDRLISYIRAHGEGSWRSLPKAAGLLRCGKSCRLRWINYLRPDLKRGNFTEEEDEVIIKLHSLLGNKWSLIAGRLPGRTDNEIKNYWNTHIRRKLMSRGIDPATHRPLNDADNPAQDQEIQPSVAVTNTISFASSASAAAVVVKQEQDTSITSKSSMFCGVVKINNNNNNNEDGKGTMLEHCPDLNLELTISPPRLNESDEPLKNMERSLCFGLKNSSVDGNIGNTSSGIRNNSTGASYDFLGLKTTGVWDYRRLEMK >OIW08549 pep chromosome:LupAngTanjil_v1.0:LG07:2088282:2089750:1 gene:TanjilG_03225 transcript:OIW08549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAPCCDKANVKRGSWSTEEDSKLKDYIQKHGTAGNWIALPHKAGLRRCGKSCRLRWLNYLRPNIKHGQFSYEEDMIILSLYVNIGSRKAPFLASNHQNPPPSSPLSSIYSDYNFSSYYSTTPTALSFTDLEPISYPSTNFTSTSCTATSLSLPFYQNQDSTMVSASAISPMQCYYSMFGSEGSCSSSDGSCTQIKQEEKGYPSYMSTSFDELNKFMLNYNIYGIGENFNQWDEKSNVLGFAQTHTPLENYYNVEDIKQLISSGCSSFINIDENKTEEKGMY >OIW08039 pep chromosome:LupAngTanjil_v1.0:LG07:9341147:9344185:-1 gene:TanjilG_20140 transcript:OIW08039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAPIPKVILGSIAFAIFWVLAVFPAVPFLPIGRTAGSLLGAMLMVLFQVLTPDQAYAAIDLPILGLLFGTMVVSVYLERADMFKYLGKLLSWKCKGAKDLLCRICLISALSSALFTNDTSCVVLTEFILKIAKQHNLPPHPYLLALASSANIGSSATPIGNPQNLVIAVQSKLSFGAFLTGILPAMLVGVVVNASILIAMYWKLLSTHKDEEDPVSEVAAEEEVNSHQFSPATMSHFSSLNSQEWNASIESINFQNSQVQTLRNRASPIETEIHRVPSSTTDSTRNSNASKEGPNHTPSPTREETIAMTVVAVDKPREAHLMNSSEGKDCLDAKWKRILWKSCVYAITLGMLISMLVGLNMSWTAITAALALVVLDFKDARPCLEKVSYSLLIFFCGMFITVDGFNKTGIPSAMWDMMEPYSQVDRTSGIAILALVILVLSNLASNVPTVLLLGARIAASAAAISKEDEKKAWLILAWVSTIAGNLSLLGSAANLIVCEQARRAPNLAYTLTFWSHLKFGLPSTLIVTAIGLILIR >OIW07518 pep chromosome:LupAngTanjil_v1.0:LG07:18667003:18669584:1 gene:TanjilG_14464 transcript:OIW07518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLKWKKLPLNVVFLIHVAQHIRVCDTCGDAGIEDCLAICNKCNDGAEHIYCMRVKMAEVPKGQWTCQECVPMEGTGKLVQVKFEEATRRSKKPSCARKRTNDTSFTSNLSHEKDRVALKSQSVRKGADIGPSESEPCNDSLVRKDLSCEKLKGAKTKSLNDIVYSGLQSSCDPEERAKATEVNDSANTLVSKSLELEDGVESGRVDEVTESDNICTRPLGNTNFVIGEGSKGKGVEEKSEGAGMDQLDMEAADILILLKESNS >OIW08122 pep chromosome:LupAngTanjil_v1.0:LG07:5951326:5958920:-1 gene:TanjilG_06665 transcript:OIW08122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGKPGIGLADNGDKSDTRFAEFCKNGLALDEKSCKEAMNLFGQTKHLLLSNVSSVGIGTSEEAERYWFAFILYSIKRLNQKNEEIGKEEIDYTGLTLCRIMRAAKLNIADFFRELPQFVVKAGPILSNIYGTDWENKLEAKEMHANAIHLKILSKYYKRVFGEFFVVTDSNVEKSSSATVHASEYLRFGWLLFLALRVHAFSRFKDLVTSTNGLISILAILVIHVPARFRNFNINDSTRFVKRSNRGVDLLASLCNIYNTSEDELRKTMEKANNLIANILKKKPCLASECEIENLENFYKDDLTYFNDLMEELSLLSNLNILEKDYDDMIGNKGELDERLFINEEDSLLASGSLSGGSVSASGVKKKFDLMSSPVKTIPSPLSPHRSPASHSNGVPGTANSKMAGTPVSTAMTTAKWLRTVISPLASKPSQELERFLTLCDKDVTSDVVRRTQIILQAIFPSSPLGERCVTGSLQSANLMDNIWAEQRRLEALKLYYRVLEAMCRAEAQILHTTNLTSLLTNERFHRCMLACSAELVLATHKTVTMLFPAVLERTGITAFDLSKVIESFIRHEESLPRELRRHLNSLEERLLESMVWEKGSSMYNSLVVAKPALSAEINRLGLLADPMPSLDEIAMHINFSCGGLPPLPSLPKLESSPTQNGDMRSPKRLCTEYRNLLVDRNSFTSPVKDRLLHFSNLKSKLPPPPLQSAFASPTKPIPGGGGETCAETGINIFFSKIIKLGAVRISDMVERLQLSQQIRENVYRLFQKILNQCTSLFFNHHIDQIILCCLYGVAKISQLNLTFREIINNYRKQPQCKLQVFRSVFVDWSLTHRKGRAGQEHVDIITFYNELFIPSVKPLLVELGPGETNMKSNRIPEVNNKNDGHLAQCPGSPKICPFPSLPDMSPKKVTSSRNVYVSPLRSSKMDALISHSSKSYYACIGESTHAYQSPSKDLTAINNRLNRKVRGPLNFDEVDVGLVSDSLVANSFYLQNGTCASSSGAPLKPEQPDSN >OIW08264 pep chromosome:LupAngTanjil_v1.0:LG07:4516954:4517160:-1 gene:TanjilG_21730 transcript:OIW08264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFFCFLVDQRRKVKRSKPVAGSCSRCGGGASVADMMTQTRFCCVPFYWKSWKAIMCTFCGAMLKSYR >OIW08556 pep chromosome:LupAngTanjil_v1.0:LG07:2012400:2017176:-1 gene:TanjilG_03232 transcript:OIW08556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSQWRKVKLALGFNTCVHIPRDFDDSSSSPNSAPERFSGSISSSDVVTPAGDSSGDRRSTSRPSSSGFRLPKSGPKSPKGTCAICLNIMKPGQGHAIFIAECSHSFHFYCITSNVKHGNQNCPVCRAKWKEVPFHSPAYNVPHNMARINPLTPRDVDWTTLLRIPSQQLDAGQTSSSLNHAPEPAIFDDDEALDQQNSNTHNKNEDDHGIVSTLEIKTYPEVSAVPKSTSHVNFAVLIHLKAPPSGRQQNGGGNNTEQPPSDQNSRASVDLVTVLDVSGSMGGTKLALLKRAMGFVIQNLGPSDRLSVIAFSSTARRIFPLRQMTDAGRQQALQAVNSLVANGGTNIAEGLRKGAKVFVDRRCKNPVSSIILLSDGQDTYTASSRPNVETNYQLLVPNSIHRNNGTGLSIPVHAFGFGSDHDATSMHSISDISGGTFSFIEAEDVIQDAFAQCIGGLLSVVVQELQVKVECVHPSLQLGSVKAGSYHTSLMANARMASINVGDLYAEEERDFLVTVNVPVDSSSDEMTLLIVRGLYRDPITREMVDLEETSEVKIQRPNIGRTELFVSIEVDRQRNRLRAAEAMAEARVAAERGDLSAAVSVLESCHKALFETVSAQAGDRLCIALSAELKEMQERMASQRVYEQSGRAYVLSGLSSHSWQRATARGDSTDSTSLLQSYQTPSMVDMVTRSQTIILGTPQRRRILRPAKSFPERHGREQ >OIW07618 pep chromosome:LupAngTanjil_v1.0:LG07:16968106:16968447:-1 gene:TanjilG_16599 transcript:OIW07618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNKFSIPSFLIALAFLSMSFTLEARHLLQTNAPNFPNIPTLLKPTTLPPLPSITTLPQGTLPPLPSIPTIPTIPKLNFPPLPSTSLPNIPSIPTTIPSFPSFSPPPSSSSP >OIW07852 pep chromosome:LupAngTanjil_v1.0:LG07:6775078:6779086:1 gene:TanjilG_19953 transcript:OIW07852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKDTTYYDILGVNFDASAADIKKAYYIKARTVHPDKNRGDPKAAENFQKLGEAYQVLSDPDKREAYNKYGKEGVQQDSMIDPATVFGMLFGSEFFEEYIGKLALASLASIEIEEGSQDPVVHRQIIQEKMKDWQKEREEKLVTILKDRLQPFVDGRADEFTAWANSEARHLSKAAFGEAMLHTIGYIYTRRATKELGKDIRLMNVPFLAEWVRDKGHRIKSQVTAASGGAVSLIQIQEELKKLNQGENKEENIVKAIEDKKDAMINSLWQINVIDIESTLSHVCQAVLKDPSVSKDVLKSRAKALKKLGTIFQGAKAAYSRENSLRHESDKTAEVASSS >OIW08519 pep chromosome:LupAngTanjil_v1.0:LG07:2352465:2355328:-1 gene:TanjilG_03195 transcript:OIW08519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVPMEFWGAEVKVGQVVKVDQNELLGVTIHLSQIALGESKKDKANEPVIISLKVDDKKFVLGTLTRDGVPQFSLDLYLDSEFELSHNSKNSSVYFSGYKVENDIDDVSDFSGNKVETADPKKDNENESDDDSVDEDDFGSSDELGANSDDEIDSDEDESEEETPVKKVDQSKKRPNGPASKAPIPAKKAKNATPEKTDGGKKGVQKSTPHPVKKGGKNFNKKGQRS >OIW08511 pep chromosome:LupAngTanjil_v1.0:LG07:2404643:2406344:-1 gene:TanjilG_03187 transcript:OIW08511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPKESYENQQLATVQQVRNSGMISSNPSPLIDDKEEEMSRSALAMFRAKEEEIERKKMEVRGKVHAYLGRVEEETKRLAEIREELEGLTDPLRKEVGIVRKKIYNVNKELKPMGQTCQKKEREYKEALEAFNHKNREKARLVTNLMELVNESEKLRMKKLEELSKNLDTMK >OIW08487 pep chromosome:LupAngTanjil_v1.0:LG07:2551737:2560638:1 gene:TanjilG_03163 transcript:OIW08487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPIQLPEPPSPTGRKGTPDIFESGVHTFVRRAVVIGNGFPASENQSIGLVRALGFSDKHLLYRVTRPRGGINEWLQWLPVSLHIKIKYIVTMISGYSPLLLRSQQKKFMPLPSENGTSAGLSTVLEADVKQIVNLARETYEKEGPLLVVASGRDTISTASSIKRLASDNVFVVQILHPRLSLNRFDMVITPKHDYYPLTPQGQKQVPQFLRSWITPRDPPDSHVVLTMGALHQIDFTSIRSAAAMWHDEFAHVPRPLLVVNIGGPTRNCQYGIDLAKQLAANVHNVLDSCGSVRISFSQRTPQKVSNIIVKELGNNPKVHIWDGQESNLHMGHLAWADAFVVTADSVSMISEACSTGKPVYVVGAECCRWKFTEFHQSLREQGVVRPFTGSEDISESWSYPPLNDTADAAKRVHEALAVREDIIYFIDTVNRKHRCYSCNFFHKTDFEMEGPLLVVASGRDTISTASSIKRLASDNVFVVQILHPRLSLNRFDMVITPKHDYYPLTPQGQKQVPQFLRSWITPRDPPDSHVVLTMGALHQIDFTSIRSAAAMWHDEFAHVPRPLLVVNIGGPTRNCQYGIDLAKQLAANVHNVLDSCGSVRISFSQRTPQKVSNIIVKELGNNPKVHIWDGQESNLHMGHLAWADAFVVTADSVSMISEACSTGKPVYVVGAECCRWKFTEFHQSLREQGVVRPFTGSEDISESWSYPPLNDTADAAKRVHEALAVRGWKVKN >OIW07523 pep chromosome:LupAngTanjil_v1.0:LG07:18744176:18744568:1 gene:TanjilG_14469 transcript:OIW07523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRKHPNATAAAAASPEEKVTLRILVDEKKNKVVYAEAGKDFVDVLLSFLTFPLGTIARIVSNDSNMKKVNVGSLTSLYQSVANLDVSHFWTDTCKEMLLHPRNSSEDYCQNMKLNIDDTEKTKYFICDD >OIW07738 pep chromosome:LupAngTanjil_v1.0:LG07:12186917:12188768:-1 gene:TanjilG_11896 transcript:OIW07738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHVTNSIIGRYVLAIVIAMVVFLPNFTYAKKSKIIGLKMNVIDKCWRSDPEWRKHRPQLTTCSIGYVGKMTNNIGEGLIHYKVTDPSDDPINPKYGTLRYGASVIQGKVWITFQRDMVIRLVRPLLISSFTTIDGRGVDIHIAHNACLMIFKATNIIIHGLRIHHCKPQAPGMVMGSNGKVMPLGQVDGDAIRLVTASKIWIDHNTLYNCQDGLLDVTRGSTDVTVSNNWFRFQDKVMLLGHDDGYIRDQNMKVTVVYNHFGPNCNQRMPRIRHGYAHVVNNMYLGWLQYAIGGSMEPSLKSEANLFIAPPSGSKEVTWRKGNRANGDKWEFHSVKDIFENGASFKTTKGGYVRKPNYTKDQAFNVADAKSVRSLISSSGALRCSKTSIC >OIW08332 pep chromosome:LupAngTanjil_v1.0:LG07:3800624:3802912:-1 gene:TanjilG_03008 transcript:OIW08332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNPNPQIPDETQNPNPQCEEAGEERAPSTPRPDSQSPKTLTLPDQDTRIDYLPDSDAANPNPNQNTKDEDTVMKDPTHAQNVEDPKPKAPSIFSALSSTAACRGPRRKKWSKRIKARERQLCKRLKFLVETLKPIPFAPSKTLDFESHKTLLKRLGLWDFVHIKFDTAIRVDLVTQLIASYGMTSWCSYVNGCRINVNKVDLARALSLPVKKIPAAAVESVELVESIKFVEELVFNWMLFHDNIHVMMDDVLAYLKVIKEGHFEKVDWADLIWNMLERELEKEELVNCYYASHLQQLIKVQHGELLGEVPKVEEVEEAEVKGEGEEVGVKVEEELDGRSDVKMAGVDESQVRKLEENKIELSLGQDNVARVEVEKEQEQVGGDQTMNFEPAEEKEHGMWLLDLKNNVGEPFLRPCHTGDVKVMDCGEMSAAEGGEEQEQEEREEQEEEEDAEDQQEGGFHLSHKCIPMEGMPSGTGSLIQAMDGGQLTFGSGIDLRDNHIGYFLSSRDDDQLIAGSSLFGNGHKRDIGMDNHNPHHSLNGSNKRLRSDSPGSSKPVDMELCLDNMRHWMGKATMLYAAKEQASIESTNYQQVLLNELQRRDAMIDHLHKVKIDDSHKINLLEKELHMMTSLVEGYRKALKEAQKTFAEYRAHRSQSDEPLYKDVPGSGGLVLSVKEFEKERLRKEEEERMKMRDYENKFREIEGAWFTKLEGHVSTVQSLGNRLLAIGDQVKHLNEMVAKRKVADSPGCAPTVKHKLLSKD >OIW07695 pep chromosome:LupAngTanjil_v1.0:LG07:13729348:13732142:1 gene:TanjilG_30772 transcript:OIW07695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERGVLDAIINRLLSVRGRPGKQVQLSEQEIKQLCMVSRDIFLKQPNLLELEAPIKICGDIHGQYSDLLRLFEHGGFPPHSNYLFLGDYVDRGKQSLETICLLLAYKIKYPENFFLLRGNHECASINRIYGFYDECKRRFNVRMWKLFTDCFNCLPITALIDEKILCMHGGLSPNLHSLNQLRTLPRPTEVPESGLLCDLLWSDPCKDIRGWGVSERGVSYTFGADRVAEFLEMHDLELICRAHQVVEDGYEFFSSRQLVTIFSAPNYCGEFDNDGSMMTVDETLMCSFQILKPLEKKPKFSFGSTTLKTSAPSKIKVIF >OIW07424 pep chromosome:LupAngTanjil_v1.0:LG07:19581482:19583767:1 gene:TanjilG_19265 transcript:OIW07424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPTTEVNPHKPLQEISLQSKDPTIFSSSKRLEGKIAIVTGGARGIGEAIVREFVNHGAKVVIADIDDEEGTKLETSLSPSATYFHCNVSSEEEIENLIRFTVSHHGQLDIMFNNAGVLGNQSKNKSILNFDPNEFDKVMSVNVKGMALGIKHAARVMIPRGVGCVISTSSVAGVMGGLGPHAYTASKHAIVGITKNTACELGRYGIRVNCISPFGVGTSMLVNGWKTKNGDEDEDDDDINNFGVPSQEEVEKMEEFVRGLGNLRGATLRPKDIAEAALYLASDESKYVSGHNLVVDGGVTSSKNCIGI >OIW07906 pep chromosome:LupAngTanjil_v1.0:LG07:7271036:7272365:-1 gene:TanjilG_20007 transcript:OIW07906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPPTKPLLPWKTRLAIYFLSTISSASRRSDGTINRRLFDFFDSKLPPNPKPVNGVSSSDVVVDPTRNLWFRVFTPSSSDADAKLPVIVFFHGGGFSFLSPASAAYDGVGRLFSRSFPAVIVSVNYRLTPEHRYPSQYDDGFDVVKFLDENGVVLPKIADVSKCFLAGDSAGANLAHHVAVRVCQQKLQVVNVIGLISIQPFFGGEERTESEIRIKEAPIVTPEIADWHWKVFLPEGSNRDHEVTNVSGPNGVDISGLNYPNTLVFTGGFDPLQDWQKRYYEWLRICGKEASLIEYPTMIHGFYIFPELPEGAQLISQVKDFITRQVSIVE >OIW08030 pep chromosome:LupAngTanjil_v1.0:LG07:9145161:9149070:-1 gene:TanjilG_20131 transcript:OIW08030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPTTLTALLRSAADRFPSRRAISLVGKFDLTHSRLHELVDLAAARLLSAGVKPGDVIALTFPNTVEFVIMFLAVIRARATAAPLNAAYTAEEFEFYLSDSESKLLVTSEEGNKPAQAAASKLSIQHVTASLTESELKLNLSLNQTESDPNPNSVSELTNDPSDVALFLHTSGTTSRPKGVPLTQHNLASSVRNIESVYRLTESDSTVIVLPLFHVHGLIAGLLSSLGAGAAVTLPASGRFSASTFWKDMVNYNATWYTAVPTIHQIILDRHQSNPEPVYPKLRFIRSCSASLAPAILRRLEETFGAPVLEAYAMTEASHLMCSNPLPEDGAHKAGSVGKPVGQEMVTLDETGRVLEKEVNGEVCIKGENVTKGYKNNAEANTTAFQFGWFHTGDLGYFDSDGYLHLVGRIKELINRGGEKISPIEVDAVLLSHPDVAQAVAFGVPDAKYGEEINCAIIPREGSNIDEEEVLRFSKKNLASFKVPKKVYITDSFPKTATGKILRRLVAEHYVSQN >OIW08023 pep chromosome:LupAngTanjil_v1.0:LG07:9108223:9109170:-1 gene:TanjilG_20124 transcript:OIW08023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNMLEVRVTNLDCEGCASKLKKALFKLKGVDEVEVEMEAQKITIRGYSLEEKKVLKAIKSAGKVGEPWPFPGHAPFASFYKYPSYIVNHYYDACKSEATNGVHTFFHTPSVYSVAVASDEEFASLFSDDNPHACTIM >OIW07783 pep chromosome:LupAngTanjil_v1.0:LG07:11488956:11489375:1 gene:TanjilG_03570 transcript:OIW07783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEENKAETLSLMMEKCEPHHSKVFSEVSFNSIDNFDYLMKIDESEFDKFDLPVLPSLHLSSSRLSTIEIEDVAKMGVIDSISSRGMSDWGSIFTPKNSTSGYARSLYMNSDFNLNAENVKKNSGKKVVESNVDSLPHKK >OIW07482 pep chromosome:LupAngTanjil_v1.0:LG07:18259892:18261211:-1 gene:TanjilG_14428 transcript:OIW07482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFAFSLIGNNIKRGFQLDVVTFNTILNGLCNNGSVKKALEFHDHVRAQGFVFNQVSYITLINGLCKVGQTSAAVELFLKVERLAVRANLKMYSALIDGLCKDRLVAEALDLFSEMIGGGISPDVVTYNSLIHGLSVAGQLKEATQFLDEMLRKNINPTVRTFNIVIDALCKEGRVGEARDVFDMMAKMGYKPDVFTYSALIDGYFLMKDVKKASQLFKNMDKNGVEPSIHTYNIMIDGYCKVKRLDDAMTLFNEMRHRNVVPDTVIYSSLIDGLCKSGRFSSAQNLVDEMHNSGQPVGLITYTILIDALCKSQDLDKAIELFMRIVNKGIRPDVCTYTVLIDGLCKGGRLKTAKEFFHHILTKGCRLDVRTYYVMLNGLFKEGLFDEAMTLLSKMDDNGCPLDSITVEKVISTLLEKNEIEKAEKFIREMKAKGSVHE >OIW08363 pep chromosome:LupAngTanjil_v1.0:LG07:3602830:3603992:1 gene:TanjilG_03039 transcript:OIW08363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMENEEVSFADTVFGFWEDFQVQSENSSNSSYDEQENYDDEEETFCTKEKNKAFWEEQDQLLQATLCRTSSIETKVRHATKEALKELNASERRCICGQLEVAKVKSCCRYCLERDIRDRLLSLGFNCYICKSKWNNSPGIPSGEHTYLEVIDKSNTKRGEVKVVIELSFRAEFEMARANEEYNQLVMKLPEVFIGKEERLRVLVKILCSAAKKCMKEKNIHLAPWRKQKYMLAKWLGTYDRSTLEILPRVYTNRPQKPKASMLTFDLLENIPGLHCTTAVEVV >OIW08321 pep chromosome:LupAngTanjil_v1.0:LG07:3867616:3867930:-1 gene:TanjilG_02997 transcript:OIW08321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASILLVPPSYFLLVILLLIPNMAITVVAFSRTQNMMIIHYHQGHYNHEKARTFVSISLAMLSKGIQVPDSDHSHRHKDLRHRFPRGKPSSTVYSVSNKKLGGI >OIW08143 pep chromosome:LupAngTanjil_v1.0:LG07:6239932:6244285:1 gene:TanjilG_06686 transcript:OIW08143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTPSLSFFLLFLFVPLLLGQPPTPPPSPSSVCKSTLYPKLCRSIISSIGSSPSDPYHLGKFSIKQSLKQAKKLAKVFQDFLKKKHNSSSLNHAEVAALDDCSELNHLNVDYLESVSEALKSADYNSSSSEELVETIETYLSAVATNHYTCYDGLVVTKSEIANALAVPLSNVTQLYSVSLGLVTQSLNKNLKKHKTRKHGLPTKDFKVRQPLEKLIKTVRKEFHCKKSSNCTRSERILKQSESKGILLNEFVVVSLDGTHNFTSIEDAVSAAPNDTKPEDGYFLIYVTEGYYNEYVIVPKHKKNILLIGDGINNTVITGNHSVIDGWTTFNSSTFAVSGENFIAVDVTFRNTAGPTKHQAVAVRNNADLSVFYRCSFEGYQDTLYVHSLRQFYRECDIYGTVDFIFGNAAVVFQKCNIYARKSLPNQKNAITAQGRNDPNQVTGISIQNCKIDAAPDLAAEINSTENYLGRPWKQYSRTVYLQSYIGDLIHPLGWLEWNGTVGLDTLFYGEFKNHGPGSNTHKRVQWHGYNLLNATQAWNFTVLNFTLGNTWLPNTDIPYAEGLH >OIW08679 pep chromosome:LupAngTanjil_v1.0:LG07:1145383:1146180:-1 gene:TanjilG_03355 transcript:OIW08679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKPLFYEILEKPATSCIIGICSAIWFYIQKKNIGYSHVGLSYETAIEGHYWRIITSAFSHISAIHLVFNMSALWSLGVVEQLDHMGLGVGYYLQYTLVMVVSSGMLGLAMYHLLIQRFKIEYFRRVTVVGYSCVVFGWMTILSVKQPSSKLELFGFLSLPISFAPFESLIFTSIIVPQASFIGHLSGIIVGYAIAWGFIHGMNSYWALSLLGWIALVFVWSLKKSGAVDLNFLEIESVTEPSLPVRFLASGSRRTLSNGNVGIV >OIW08550 pep chromosome:LupAngTanjil_v1.0:LG07:2076852:2085440:1 gene:TanjilG_03226 transcript:OIW08550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKMMNILKPKANPQQLLRDWQRKLRQECRNIERQIRDIQREEKTVQKAIREAAKRNDMGSAKALAKELVSSRRTVNRLYENKAQLNSISMHLGESVAIARTVGHLSKSAEVMKIVNNLMKAPEMAVTMQEFSKEMTKAGVIEEIVNDAVDSALDSEDIEDEIEEEVDKVLTEIAGETAAQLPEAVRKEKMKQPAQRVGTADEEEAIAEGVDDEEEMEEIRARLAKIGEGNLLTLLMRNKTEIGHSLNVNYNEVLQTVDPNSKHLGTTVWDSSLVFAKFLERNCRKGRFSPAKLKGKRVIELGAGCGVSGFGMALLGCDVIVTDQKEVVPLLQRNVDRNISRVMQNNPKSFGSIKVSELYWGDESHIKAVDPPFDYIIGTDVVYAEHLLEPLLQTILALSGPRTTIVLGSEIRSTSVHEKMLQMWKENFEVKTVSKSKMDETFQHPSIQLFIMGFKHSAESTKISGQVTGEKVDAEIGVEDKSNEEHAAVEGSGVNEENVEDECNTRIPQNAKLSEWEARRYGSMAARILRDVKIS >OIW08126 pep chromosome:LupAngTanjil_v1.0:LG07:6027969:6030178:1 gene:TanjilG_06669 transcript:OIW08126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIILIFLCLYLATNDYCTVDGKITSISRDHEDLELERQLKLINKPPLKTIQADGYIVDCIDINKQPAFDNPLLKNHKIQLKPSFEVTNTNSTRLSSIGFEEDLCPKGTVPIRRTTKDDLIRTKQLSYMNVGILNKDIPGRHVHPKVFGDGKTYFFTRWADKSKNKGCTNLLCPGFVQVEPSFHLGKPVADTSTYNGKQFEMMVDISHDPETNNWWVRLNNRNLGYYPGILFSNLAFANLGGWTGMTSTPAGIPSPPMGSGHFPDNNLYRSCFIRQMNFQTDTRKHLGPINYEYAVASSDSPNCFGVQYEGWNDDIQGYAMIFGGPGGNCGE >OIW08440 pep chromosome:LupAngTanjil_v1.0:LG07:2925770:2928299:1 gene:TanjilG_03116 transcript:OIW08440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGSGLSMDSDPLGTFFLHKLPTVLNSFPSHDQTIWTLSPNNTNMETTLITSSPSRATTTAAAIPFHVNNHISPSHDRIIATEMDFFSDKNNNINDDNVASVPDHNHMHDHSSTPAMLEFEVNTGLNLLTTNTSSAQSMVDNDASPNSEDNKRTKNEKDVLKAELERMKVENQRLKQTFDEVNTNYKALQMHLVNLMKDQNGEDGGGKVEERMKRKQIENNEVLVPRQFMDLGLATNIAETENEEPSSSSKGKGQDRSGSPGNNNIEVASKELVTTSKNGNGSGSDEGLVFDQEKKDISNGRGIESPPKNVDQSAEATMKKARVSVRARSEAPMITDGCQWRKYGQKMAKGNPCPRAYYRCTMASGCPVRKQVQRCAEDRTVLITTYEGNHNHPLPPAAMAMAQTTSSAARMLLSGSMSSTDGLMNANFHNRTLLPCSSSMASISATAPFPTVTLDLTNSTSPLQFPRPLSQFQIPFPAQNFVNSSASLMPQILGQALYNQSKFSGLQMSQDGLVNPSQLRDHQAPPPHLADTVSAATAAIAADPNFTAALAAAITSIVGGGSQLNNNSTNNNCSNSNGNITTTNNNGKQ >OIW07700 pep chromosome:LupAngTanjil_v1.0:LG07:13900827:13905786:-1 gene:TanjilG_30777 transcript:OIW07700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHVINGKFKLGRKIGSGSFGELYLGVNVQSGEEVAVKLLLRDQLYCYIAFENCPSTLRYAINYYAEPVKTKHPQLHYESKLYMLLQGGTGIPHLKWFGVEGDYNVMVIDLLGPSLEDLFNYCSRKFSLKTVLMLADQMINRVEYMHSRGLLHRDIKPDNFLMGLGRKANQVYIIDYGLAKKYRDLQTHKHIPYRENKNLTGTARYASVNTHLGIEQSRRDDLESLGYVFMYFLRGSLPWQGLKAGTKKQKYDKISEKKMLTPIEVLCKSHPSEFTSYFHYCRSLRFEDKPDYSYLKRLFRDLFIREGYQFDYVFDWTILKYPQIGSSSIVQPSGKPVLNPGPSGERSERISVGKEIRDRLSGAVKVFSRRNSSGRGPSTDRSRHRASDDVPSSKDVQPDIERAHSSSQNSSALKRPVISSSRLSSSGEPSENHSSRLVSNSGRISTTTRRIQPSFESKSSFTRIAGTQGGRHDALRSFELLSIASGKKK >OIW08437 pep chromosome:LupAngTanjil_v1.0:LG07:2947141:2947629:-1 gene:TanjilG_03113 transcript:OIW08437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAQPKGDEVYNPNQSQTTLVFYLHDVTTGPNATVAPVIGLTGKVWSYTSFGTIFVVDDPVHLSPSISSTQVGRAQGILTASAIDGSNVNVVISVVFNNLQYSGSTIEIQGVSRQRENYRELSVVSGTGKFRYARGFASFETSFYDAATTRSIIRLTLNMIL >OIW08213 pep chromosome:LupAngTanjil_v1.0:LG07:4923126:4928400:1 gene:TanjilG_15174 transcript:OIW08213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPYSPSMTAEYAKSNRSSCKGCSKTIDSKSLRLGIVTKDPRGYEAVKWHHPSCFPLPFHLSSSPQRSIKGFSSLQSSDQEAVKELLAGQDNLQEKDDKATKDIENELQQTKASDPKKRKDDKATRDMENELQKTEASDPKKRKLYTSEGTVDINFLFSDVKSKYKDATLLPNWKAFQTVIFLERDDGLNDSSRIAAFDFDGCLAKTDVRRVGADAWSLMYPSIPDKLQSLYNDGFKLVIFTNESNIERWKNKRQAAVDSKIGRLNNFIERVKVPIQVFIACGVGNSGKGKAAIKEDDPFRKPKPGMWQLMEKHFNSSISIDMDQSFYVGDAAGREKDHSDADIKFAEAIGLKFYVPGKYFDA >OIW07894 pep chromosome:LupAngTanjil_v1.0:LG07:7153951:7155534:-1 gene:TanjilG_19995 transcript:OIW07894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTMNPLPTVKDFNLLVSFVVKMKHYETSISLIKHFCSLDVKPNVITLNIVINCLCRLRHTPFAFSVLGIMFKIGLEPTVVTLTTIVNGLCVEGNVAEAVNLVDHMEKMGYQPDSHTYGAIINGLCKTGDTSSAVRYLRKMEERNCKAQVIVYSTIIDSLCKDRLVSEALNLCSEMVGKGIQPNIVTYTCLIHGLCTSSRWKEAATLLNEMMQKEIYPNVQTFNILVDALCKEGLLLGAKSILAYMVQMGEEPNVVTYNSLISGYCLQNQMKEAMKVFDMIAVKGCLPDIVTYSSLIHGWCKVKDIDKAISLLGDMVNKGLHPDVVIWNTLIGGFCNARKPLAAKELFFTMHKHGQLPNLQTCGIILDGLFKCDFHSEAISLFREMEKMNLDLNIVIYSIMLREMCSSGNLKDAQKLFSCLPAKGLKANVYTYTIMIQGLCKEGLLDEAEDLLMKMEEDGCLADMCAYNVLVQGLLRRKDFSRSRKYLQIMKARGFLADATTTELLIHHFSGNEVHNDLQEFLQKTV >OIW07827 pep chromosome:LupAngTanjil_v1.0:LG07:10125143:10125799:1 gene:TanjilG_32683 transcript:OIW07827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTETTLNQTKFVLPNNDLEQQGTTLEIETKDFDYSRRSQWLRAAVLGANDGLVSTASLMMGVGAVKQDIKAMILTGFAGLVAGACSMAIGEFVSVYSQLDIEVAQMKRNNESGRGNENIEEKESLPNPLQAAAASALAFSVGAIVPLLAASFIREYNLRLGVVVAAVTFALVIFGWLGAVLGKAPVLRSALRVLVGGWLAMAITFGLTKLIGSSGL >OIW08449 pep chromosome:LupAngTanjil_v1.0:LG07:2861393:2861779:1 gene:TanjilG_03125 transcript:OIW08449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRFREEASKYFDKDKQKPKTEKETPEHPAKRKNVKDMQMPCPSKKIHEADEDEYALPTSKNKLDDSTPTKKLKSGSGRGTPQKSAELEENDDKGTVTPAKSGVKGRGGRGASTPSTGGRGRGGGMDS >OIW08344 pep chromosome:LupAngTanjil_v1.0:LG07:3715611:3718053:1 gene:TanjilG_03020 transcript:OIW08344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKCSDPENNNMIVEGRKDSLIRACPTCGHHIKCQDQGGGIHDLPGLPAGVKFDPNDQEILEHLEAKVRYDIHKLHPLIDEFIPTLEGENGICYTHPEKLPGVSKDGMIRHFFHRPSKAYTTGTRKRRKVHSDEDGSETRWHKTGKTRPVYISAKLKGYKKILVLYTNYGKQRKQEKTNWVMHQYHLGSDEEEKEGELVVSKVFYQTQPRQCGKDSVPAKIMKGHGVHDEIINNNKNNGFVDYYHSNFISFGQGGQHRSSSEVISHFPGHGGAPFIP >OIW08515 pep chromosome:LupAngTanjil_v1.0:LG07:2382969:2384637:1 gene:TanjilG_03191 transcript:OIW08515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLEKCWFCSSTVYPGHGIQFVRNDAKIFRFCRSKCHKNFKMKRNPRKVKWTKAYRRVHGKDMTQDSTFEFERKRNRPERYDRNLTENVLKAIPKIDKIRVSREEQHHKNRMKGKKQKLHKEAARELEQSISLVKAPSVFQQDQSLTLPKIVVSVSQQQSENRMEE >OIW07507 pep chromosome:LupAngTanjil_v1.0:LG07:18535331:18535590:-1 gene:TanjilG_14453 transcript:OIW07507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKINLEIKAEEGHDEMKWVHDSSVDYKGRVPLRASTGSWKASIFIVGVLKV >OIW08280 pep chromosome:LupAngTanjil_v1.0:LG07:4349639:4350900:-1 gene:TanjilG_21746 transcript:OIW08280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPTTLDSDPQLPQIKIHHPSSPRHHPSSAATPTPTAGARRKIGVAVDLSDESAYAVRWAVQHYIRPGDAVILLHVSPTNVLFGADWGSIDLSINTDPNTDEETVNSVNHSDHNKRKLEDDFDAFTATKSADLAKPLKDAQIPFKIHIVKDHDMKERLCLEVERLGLSAVIMGSRGFGAVRRGSDGKLGSVSDYCVNHCVCPVVVVRYPDDKDDVEVGPGGGAGVAVVAVKEDEEEEPVIKPVDEHKKAGIAACFNARRIVAITAGHIQ >OIW08008 pep chromosome:LupAngTanjil_v1.0:LG07:8830245:8832545:-1 gene:TanjilG_20109 transcript:OIW08008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRRDLESGVETKDNRALNNNNLNNYSAAPNSYVYDPETHWTSWLVPLFVLANVVVFIVAMYINNCPSKNHGDCVAKFLHRFSFQPLSENPLFGPSSLTLTKMGALKWDSVVNHHQGWRLITCIWLHAGIIHLAANMFSLVFIGIRLEQQFGFVRIGIIYLLSGFGGSVLSSLFIRNNISVGASGALFGLLGAMLSELITNWTIYSNKAAALLTLLVIVVINLAIGILPHIDNFAHIGGFLTGLLLGFILLARPQYGWLEQRHLPAGVRRKSKFKAYQYTLWIVSLVLLIAGLVIASVMLFRGENGYDHCHWCHYLSCVPTSKWKCDDS >OIW07775 pep chromosome:LupAngTanjil_v1.0:LG07:11373416:11373796:1 gene:TanjilG_03562 transcript:OIW07775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTDLQLPHELPKLQISTAKTILPDRTATIIHVKVESDGDGGDDNSYQTQQDGSGGGGDENSYQTPTSKESKIPAIILCPRAPRKAKQISCKRKLMDEYQFFEVVNKEEMDAFSMSNFPKRSCRCK >OIW08133 pep chromosome:LupAngTanjil_v1.0:LG07:6127703:6131181:-1 gene:TanjilG_06676 transcript:OIW08133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKKLDTRFPAARIKKIMQADEDVGKIALAVPVLVSKALELFLQDLCDRTYEITLQRGAKTMNSLHLKHCVQSYNVFDFLRDVVSRVPDYSHGHSEAGADDRAISKRRKAAAEDGNDSDEEAKRGKMRELSHSGSTGRGRGRGRGRGRGRGARTAERETHHQEAEPEPCTIVQHGSEHNTDTIMTMHDGSESKELLKENISVPVENNESFQNIDLNANMNENEDKNASTAANAPLSEPATEIKHEEIPGWSLSDVSKMAIDTMQLAHLGRGLEDEDEDYDEEG >OIW08687 pep chromosome:LupAngTanjil_v1.0:LG07:1096100:1096924:-1 gene:TanjilG_03363 transcript:OIW08687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDGGSVEFLDLPSSTPPALPNNGSKLNSINWGEGGGVLSSRDADSLDRQLTRDIGVQFNAVEFKRSTEELKSCASIEEKVKKTVRKKQKSGLQAQDPSTEHGEETEVRNRFYESASARKRTVTAEERESAINAAKAFEPDNPFCHVVLRPSYLYRGCIMYLPSCFAENHLNGVSGFIKLQNSDGRQWSVWCLYKGGRAKFSRGWFEFALENNIGEGDVCF >OIW08269 pep chromosome:LupAngTanjil_v1.0:LG07:4475801:4477135:-1 gene:TanjilG_21735 transcript:OIW08269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMTKSSSILIMLLVFVSSLMETKAYYFRQCSTKGSKCYGQYIRCPAECPSSESNDPKAKVCLIDCDKPICKAVCRHRIPNCNAPGSGCHDPRFIGGDGRVFYFHGKSNEHFSLVSDSDLQINARFIGHRPAGRTRDYTWIQALGIMFNSQTFSLEAFKTPQWSDKVDHLKFTYNGNDIVLDESSLSTWYSPNKDVKVERVADKNSVIVTLEDVAEIMVNVVPVTKQDDAIHNYKVPNDDCFAHLEVQFRFFALSPKVDGVLGRTYRLDFENTAKPGVAMPVVGGEDKYKTNSLLSSNCASCVFSKDSSYEKETTNVTDFGTLDCTKFSNGMGIVCKK >OIW07989 pep chromosome:LupAngTanjil_v1.0:LG07:8305161:8313557:-1 gene:TanjilG_20090 transcript:OIW07989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKNNKMKENGKEHGNLTVFVSNLPYSFSNTQLEESFSEVGPVRRCFMVTQKGSAQHRGFGYVQFAVEEDANRAIELKNGSSVGGRKIAVKHAMPRPTREERQSKPNQVSKTDDHTELKNDDKDGRSSEADKPVSVLKEDEVQVSSKQKNSRKPTEMRKADLCNDIPDEGGCSEKQRVARTVIFGGLKNSDMAEDVHHQAKEIGTVCSIKYPLPRKDLAHGLLQDGCVLEASAVLYTSVKLARAAVASLHKKEIGGGTVWARQLGGEGSKTQKWKLIVRNLPFKAREDEIRNIFASAGYVWDVFIPQKSDTGLSKGFAFVKFTCKQDAENAIQKLNGSTFSKRLIAVDWAVPKKIFNSDANAALASEEGQQEMKDEDGSATESDGDDSDIDSTSAVEEDGVPSEIDFDKEADIARKVLSNLITSSTKGTSVDNDSVLRENEERKSNEIVKDADNKASNESEKVADVSKPEISIKSKLPNPKQTDEADLQRTVFINNLPFDCDNEEVKQRFSGFGEVEYFASVLHQVTKRPRGTGFLKFKTVEAADAAISAASTASGTGILLKGRPLKVLKALDKKSAQDKEVEKAKNEIQDHRNLYLAKEGLILEGTPAAEGVSASDMLKRQELERKKKTKLQSPNFHVSTTRLIIYNLPKSMSEKELKKLCIDAVKSKATKQKPMVRQIKFLKDGRKGNAVPERYSRGVAFVEFSEHQHALVALRVLNNNPETFNSEHRPIVEFALDNAQTLKLRKEKLQYQQQAPRDDNNSKENGEPGKEQGHTHTKDRKRKSQEDGKPVAKESGTNTNSESSGKSTEGHKFKRQKGNNKNKSAEDSPLKQNSDALSRKPKTVKGTENRGNRSHEAENTATIDTNRVKTRNKDDVGFRKRKMQNQEEPGQDASRKRSKKKKAPVGKEAVDKLDMLIEQYRSKFSHKGSQGNDGDKKPSKQLRKWFES >OIW07803 pep chromosome:LupAngTanjil_v1.0:LG07:10860054:10862574:1 gene:TanjilG_31995 transcript:OIW07803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKALKIYFLPFFAGGHVIPMVNLARLMASKGHQVTIITTPSNAKIFDKTIDDEDTCFHVHIIKFPSNQVGLPVGVENLLEAYDKQSVRKVMMAANLIQSEIEAFMKLNPPDIFIPDMVFTWSEATTKILRIPRLIFKPIPIFYFCMIKAYKSIDDPKEEALLLPNNTKLDPNYVKFYESVVNSANNCDGVIVNTFLELEVEYTQLYEKLIGYKAWLIGPTSLMVQKTIGTCMNNDEHECFSFLSSKEQNSVLYISFGSMSLLSDEQLFEIASGIEASGHQFLWVVHIKNKRTDDKTKEEEEEEELKWLPKGFEEKMMKEKRGLIIKGWAPQVSILNHSSIGGFLTQCGWNAALETIGAGVPVITMPIFSDHYFNEKFITEVQGFGVEVEEGGEWSLTPYDPKKKVVSRESVEKAVRKLMDGGEESMKIRSKAKELQEKAWKAVEEGGSSQNTLIALINHLHTLLPNPHNQ >OIW08663 pep chromosome:LupAngTanjil_v1.0:LG07:1249187:1255970:1 gene:TanjilG_03339 transcript:OIW08663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPVRSIDDQFSKLHPSFRVNTRIGIVGAGPSGLSAAYALARLGYNNVTVLEKHHTLGGMCESLEIEGKIYDLGGQVIAANSAPVIFHLAKETGSALEELDSHKLAVIDPSTGKFQDIKVADDYVSVMSLTLEIEEKVRNSGRIGVHSVADIASDLTSEYLKCRGLESVPKSVAYGYTASGYGFVQDMPYAYIHEFTRTSMAGKIRRFKEGYTSLWQKIAESLPLNLRYDTEVLAIRRSLDVVTVNIKSLSEVETLEFDKIIISGSFPLKYGRTYRSLPSTCIECETEVMDASDLEKDLFSKVETNDYYTTVLKSKGLDHLPVGFYYFSEYMEDPSTIGYPVALQKFYADSNIFLLWSYGNATDITGPTVMELAIKIVEAMGGEVENFILQRRFKYFPHVGSRDMKKGFYEKLESELQGSRNTYYVGGLMAFELTERNSSYAMALICKKFANSNDFSLFPYTKSLFPLQTECQRKEPKELGELPRVQFPNLPNLNSYLEHWGTHPITQNRTLYTWINEEGIPICQRTYREQHFNASCIAHKLLTSQKPVIKPGDKVLLVYVPGMDFVDAFFGCLRAKVIPVPVLPPDPMQRGGGQALMKIENIAKSCGIVAILSSVAYHSAVRAGSLKNLISLAVKNGKSSAQWPNLPWLHTDTWVKNSKSMVLEHLYDQSESQLGDICFLQFTSGSTGDAKGVMITHGGLIHNVKLMRSRYKSTSRTVLVSWLPQYHDMGLIGGLFTSLVSGGSAVLFSPLTFIKKPLLWLETISKYKATHSAGPNFAFELLIRRLESDKDKLQNLDLSSLTFLMVAAEPVRQKTLKRFIKLTIPFGLSQKVMAPGYGLAENSVFVSCAFGEGRPIIIDWQGRVCCGYIHPEDADIDIRIVDPETCEEVQKNEKEGEIWISSPSAGIGYWGREELSQTTFRNELQNHPGRKYTRTGDLGRIIDQKLFITGRIKDLIIVAGRNIYSADVEKTVESSSEFIRPGCCAVIGVSEEVLSAKGILVPDGSDQVGLVVIAEVREGKLVSKDVIEKIQTRVAEEHGVSVASVKLVKARTISKTTSGKIKRFECIKQFTDETLNLAPQSPQPFLTKKCLVRPFSTGTCKEGKMPRPRLVRSIPLAPWKRISKNEIVDFLKGLISEQTGIPIRNISVSDNLTSFGMDSIGVVKATQKISDFMGMPVAAVDVFTSSCILELANFCEDLLSKSKPQLTSNPSNVPERSKPAPCKRISKNEIVEFLKRVISEQTGIRTSDISVKDNLTSYGIDSIGVVKATQKLSDFLGTPIAAVDVFTASNILELANFSEDLALKPRPQLSSTTSYVPDTEIDSPELAVEVSKSRQLGIHLLQLVALLYISFMLTSPAYLSITAFLNSIPSVSKSVDWIPGSEYLISLTFAPLAWILCIASTCICISLFGSPFLRPNYAITPEISIYSMDFVKWWALYKIHGISSKVLAVHLRGTVFLKYWFEMMGAKIGSSVLLDTIDITDPNLVSIGDEAVIAEGALVQSHEVKSGILSLCPIKIGKHSSIGPYAVIQKGSVIGEGAEVQALQKVEGDHDGNKHAKFNNVNKNVELPIVYYFMGIYLVGFLSSLASAIAYFLYIIFSQQSPLFQHFSFVCICGAFHWIPFTIVAYATMFSNVPSNPISFAISFASANLLHGLILIILTGAFTRLFISNQKQTQFKTWLRHQMIVSCHLRFPRLLSGTEAFCIYLRLLGAKIGKHCSIRAINPVSNPELLSIGAGVHLGDFSRIITGFHSSNGYACGKIEVQDNSVVGSQSLILPGSLLQKNVILGALSICPMNSTLQEGGVYIGSQSQVGNLAAALHEKVTLTRTWYQIFSALFTQPMLQTVLPYAVVGLAAYAPLNLAVQLKNVWLLPLFWVLSGALAAFGCVIAKWILVGRKKAGETVAIWSIRIIMDSTWQAIRTLVGDYFMDMTSGSFWFVLWMKLMGADVDMDHPVYVDSNGALLNPEMVKIERGGCVGREALLFGHIYEGKEGMVKFGEIKIGEYGFIGSRAVVMPGVKVENEGNLSALSLAMKQEIIRPI >OIW07914 pep chromosome:LupAngTanjil_v1.0:LG07:7382201:7384835:1 gene:TanjilG_20015 transcript:OIW07914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHHDPLVSDIFATGVSGVVALSFLRLWQETAKRGIFDQKLNRKLVHVSIGLVFMLCWPLFSTEGSGSILAALIPAVNIIRMLIIGLGLWKDEAVVSSMSRFGEYRELLKGPLYYAATITFAAVIYWRTSPISIAVICNMCAGDGMADIVGRRFGGKKIPYNKNKSYAGSIAMASAGFIVSIGYMFYFSSFGYIERSWNLVLGFLIVSIVTAVVESLPISTELDDNLTVPLTSILVGSIVF >OIW07726 pep chromosome:LupAngTanjil_v1.0:LG07:12870269:12873133:1 gene:TanjilG_11853 transcript:OIW07726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSGEYESVNQVQSLSSADGRGKHRIQAELKRVEQEAKFFEEELENLEKMEGASVLCKE >OIW08600 pep chromosome:LupAngTanjil_v1.0:LG07:1698933:1699244:-1 gene:TanjilG_03276 transcript:OIW08600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >OIW07804 pep chromosome:LupAngTanjil_v1.0:LG07:10846422:10846649:-1 gene:TanjilG_31996 transcript:OIW07804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESWQHSIWRPRNSIDASVRFRSPTLVLGPPLDCSRVILNTWICLQVGPRERPGSKPFPIYRGSFPSSFITLLLG >OIW08854 pep chromosome:LupAngTanjil_v1.0:LG07:562359:565454:-1 gene:TanjilG_16435 transcript:OIW08854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQDIPFFCLIVFLLIGSSLVSPLYDGPIYDSTAYTECKEQPEEALYQGGIFQSQSQSWVYEDGISFYGYMPSFLLHNLTQDTMYCFSVWVKIEGSSSGKIRASLQTENETHNCIGTVSAKSGCWSFLKGGFVLNQPSNFSILFFQNTNGEDISIELASPSLKPFTKEQWRVNQQYIINTKRKQAATVHVSDIKGRRVQGATMTIEQVSKEFPFGSAIANTILGNLPYQNWFVKRFNAAVFENELKWYATEPNQGKNLTGIQLQAAIDSRIQSLLSQYKEEFIHWDVSNEMLHYDFYEQRLGPDATLHFFETAHKLDPLTTLFMNDFNVVETCSDVNSTVDAYISRLRELQHNGVFMDGIGLESHFTVPNLPLVRAILDKFATLDLPVWLTEVDISKTLDKDAQGIYLEEVLREGFSHPSVNGIMLWTALHPEGCYEMCLTDNDFRNLPAGDVVDKLLQEWQTGFIEGVTDEHGSFSFYGFLGDYRISVRYENRTENSTFSLSGGQETKHFTIGL >OIW08664 pep chromosome:LupAngTanjil_v1.0:LG07:1236122:1241832:-1 gene:TanjilG_03340 transcript:OIW08664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMPQSYLSNSTPSPCCFTHIFQKPCLHGTSYYPSFQVGSRPWFQNKRSVRRARNGYNNSSNKIKAVAVSEQAEKKTVKVKATITVHLTVGGFFSDLSLERGADDITDLVGKSLLLELVSTTLNPKTKTEKDTIKGFAHRTFQLVDNVKYEAEFEVPHSFGEIGAIYVENEHHKEMFINDVLFEGFPTGPFHISCNSWVHSKNDNPAKRVFFSNKSYLPSETPEGLKRIRGEKLVELRGNGEGERKPFERIYDYDVYNDIGDPDTNVELKRPVLGGKQNPYPRRCRTGRPRSKTDPLSEKRSDSVYVPRDECFSEVKQLTFSTKAVYSVLHGLVPSLQTAIIDKDLGFPLFSSIDDLFNEGVNLPILKGKGLIRNIIPRLVKVIQDTENEILRFETPATMDRDRFFWFRDEEFARQTLAGLNPCSIQLVTEWPLKSKLDPDVYGPAESAITTEIIEEEIRGFMTVEEAIKQKKLFILDYHDLLLPVVEKVRELEGRTLYGSRTLFFLNKDNTLRPLAIELTRPPINGNPQWKEVFKPAWHSTSVWLWRLAKAHVLAHDSGYHQLVSHWLRTHCATEPYIIATNRQLSAMHPIYRLLHPHFRYTMEINALAREALINANGIIESSFSPGKYSLLLSSIAYDKEWQFDLQALPADLINRGLAVEDPNAPHGLKLTIEDYPYANDGLVLWDTIKGWVTDYVNHYYSEPGQVESDNELQAWWEEIRTVGHGDKKDEPWWPVLKTKEDLVGIVTTIVWITSGHHAAVNFGQYHYAGYFPNRPTITRCNMPTEDPSDPEWELFLKKPEVALLSTFPSQIQATTVMTVLDILSTHSPDEEYLGETLEPAWGEDPIVNSAFEKFKGKLMELEGIIDERNADRTRKNRNGAGIVPYELLKPNSEPGVTGKGVPYSISI >OIW08093 pep chromosome:LupAngTanjil_v1.0:LG07:6697125:6699202:-1 gene:TanjilG_21073 transcript:OIW08093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPYDCMLLFKPNVRKEALIDLVARVGKHVLRRNGVVTSVKSLGDVQLGYGIKKLDGRFYQGHLMQLSMMATPDINKELHYLNKEDRLLRWLLVKQRDVNFGLDFFGDDGRLELSRLSQIRKPEDEDDDEDEDNDEYEVEEEE >OIW08465 pep chromosome:LupAngTanjil_v1.0:LG07:2687500:2690125:-1 gene:TanjilG_03141 transcript:OIW08465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMVSANTTQEKGVLGRVWERIITLTKAFKEKVWEICRMTKKIAQDDPRKVIHSLKVGLAISLVSLFYYYQPLYENFGLSAMWAVMTVVVVFEYTVAAIVSFIRFIPKVKARYDYGFLIFILTFSLISVSGFRDDEVLEMAHKRLSTIFIGGSACVVISIFVCPVWAGEELHYSIALNLEKLSDFLQAFICEYFTTLEDGDSTNIMSCLERYKSVLNSKSSEESLANFARWEPGHGKFRFRHPWDQYLKIGTLSRQCAYRMEALKGYLNSDNQGSSEIRSMMEEASLEMTMESTKALKELGLSIKTMTKPFSADIHIANSKAASKRLKSLLQSSLREETDLLSVIPVGTVASLLIDIADCTEKLADCVNDLASLTNFDDVESNKCPKISQSSYCECAEPDPKIDASDVVILVEDSALAMPDCEKTKSDQHNIV >OIW08230 pep chromosome:LupAngTanjil_v1.0:LG07:4768000:4768179:1 gene:TanjilG_15191 transcript:OIW08230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFSSIILRSNTTDAAKFALLYAVQYFHIPKCHTVYLDDKDNVYISEACNRVCPFGDIR >OIW07606 pep chromosome:LupAngTanjil_v1.0:LG07:17171203:17172953:-1 gene:TanjilG_29979 transcript:OIW07606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEEGSHVTLDLLKQIMDKFAKDRDWEQYHSPRNLLLAMVGEVGELSEIFQWKGEVAKGLPDWKEEEKVHLGEELSDVLLYLVRLSDMCGVDLGKAALRKVQLNAIKYPSKVIKEEQEVSTNEKDKNGTDTEVV >OIW08627 pep chromosome:LupAngTanjil_v1.0:LG07:1492528:1495299:1 gene:TanjilG_03303 transcript:OIW08627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNGVGKLTVCFTGNGGRRRRHHEISSCTTEPLDEGLGHSFCYVRPDPSRLSSSKVHSEETTTFGTISGASVSANTSTPLSTAFVDLYSYGSIDRAAAFESSTSFASLPLQPIPKGLMNSGPFSGSVTGFPGSGPLERGFLSGPIERGFMSGPIEKDVNGSDQFQRSFSHGGLGLVFKPRKQKGRWIRLLQRAISKTLSRGQNSIVAPIKGVIVKEHDWVVATVEKQNHNENLTVNSVNFSCEGSLEDDDSMESQNLQWAQGKAGEDRVHVVVSEEHGCVFVGIYDGFNGPDAPDYLLSNLYTFVHKELKGLLWDDNSEQVVVKENQNLEVQDDDGDYCSQCFDNNNRPCTSGNDACRGKRVKNCKNKSKGSAKIWEENQRRWKCEWDRERLELDRRLKEQLTRSASGEKNMNTSSVNHFDVLEALSRALRKTEESYLDVADKMVMENPELALMGSCVLVMLMKGEDVYVMNVGDSRAVLAQKAEPDYWLGKIRQDLERINEETMNDLESWEDADRSNLVSTLSALQLTKDHSTSVEEEVQRIKKEHPDDPNAVVNDRVKGSLKVTRAFGAGFLKQPKWNDALLEMFRIDYVGTSPYISCQPYLKHHRLGPKDKFLILCSDGLYQYMSNEQAVAEVELFITLQPEGDPAQHLVEEVLFRAAKKAGLDFHELLEIPQGDRRRYHDDVSIIVISLEGRIWRSCV >OIW08326 pep chromosome:LupAngTanjil_v1.0:LG07:3841226:3843844:-1 gene:TanjilG_03002 transcript:OIW08326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEQMPRREKVETEIHVEKHMHGGGENRKEHVGRAREAHEMRTHLESLADKEKERGLYSDRTRDANVVGDREAKRDGGGFHNVGKIEMKAEGKGLGEEARRSREREELERRKVESEKAIRAMESRGEAKARDRAEIKDVKGGLSGGEVLGRGREDKEVVEGRDKAGGVTAVITCRLEGGEQKGRGTGEVLEGREKSEKRSEESSLEEISKHRAQAQQNSMDAISAAQERYERAKQAASEKLSGATQTAQEKSVQAKDTAMEKAAQARNIAAQAKDVTVEKGQQGYVAAKDTITGVAKTAVDYTAPIAEKAKDYTFQAAEKAKSATGTTAQYVGEKAVQAKDVSVESGKTGAEYAGKMAADLKDKAAVAGWSAANYSADKTVEGTKAAANVVQGAAGYAGQKAYELGAKSLEVVKNLAATAGETAKEYTARKKEEVERELEAKRAAQNQVGNIAGQVQGQQGNAPQREQKPFENINEKSFQGEQEQIQGRREGEVGKTIAQLHGQQDNSAQREHKPFENISGKSFQGGAGQLQGRREGEVGQTIGQVQGQQDNAAQWEQKPFENISDKSRFLGGQDQLQGRREGGVGKTVGQTQGQKDYAAQREHKPFENISRERFQREQDQHQGRREYGISNTVGQAQGHQGRTVGNGAHTEQKPFENISGTTFRGRQEQLEGGREGEVGEVVGQVQGQQGRKETEAMTTIGETVTNATQTMKKSLDNATEGGSEVLGAVGETVAEIGETMIKPAERVQQQGEEGKGDGVLSAIGETIAEIAETTKVLVVGEGETESRKNIESGSHVPSEKREFKRE >OIW08109 pep chromosome:LupAngTanjil_v1.0:LG07:5820181:5821634:-1 gene:TanjilG_06652 transcript:OIW08109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTSKHDSEKDIECLEHNKQEEDIVQKEDLMIFEDGEDLTICDILDAPGEVIGKSNYGTLYKALLQRSNKVRLLRFLRPLCTTKAEELDEMIQFLGRIRHPNLVPLLGFYTGPRFEKLLVHPFYRHGNLTQFIRDRNGECYKWCNIYRISFGIAKGLEHLHTGQEKPIIHGNLKSKNILLDRSYHPYISDSGLHLLLNPTAGQEMLESSAAQGYKAPELIKMKDASEESDIYSLGVILLELLSGKEPINEHPTPDEDFDLPNFMRNAVLGQRISDLYHPVILLRNGRDDRIPVSEECILKFFQLAMACCSPSPSLRPNIKQVLKKLEEVAC >OIW08084 pep chromosome:LupAngTanjil_v1.0:LG07:6621533:6622366:1 gene:TanjilG_21064 transcript:OIW08084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASAKTLTINNCTSKEDWTVVLPRRGRKGRKATKVEILEEKQEPWAPTDSQIDLSRETKLKGKMERCIDKIGVSQFYHAFRDQIETTVFYHIHRVLGSETKMQMVMYGIGSIELYEPPCLQLSLAILMKRDFSWIGNIEVFDPILSVTETRVLEAFGCSVISINEHGKREAVKPTMFFMPHCEAELYNNLLQANWKPKPLSNMVLLGNSFEEYEEHASLCKNSPVLNSMVNILAVRRFTHEIKIEKISDDYYNAFHDSSWHFFSPDHDIDLPCIKS >OIW07786 pep chromosome:LupAngTanjil_v1.0:LG07:11525012:11528877:-1 gene:TanjilG_03573 transcript:OIW07786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPPPQFPLDSQENPNPNPNPLLDPDPDSISIWDQNPNPNPNPTLDHYLPFSVPKKRRRGRSQRIPASFRVPLNFNASSFSSNNDLPSVVSARNPSSLVQSSKGPDVSDEIIVINKEAKTEALIALTAGFPADSLTEEEIEGGVIPVIGGIEQVNYTLIRNHIIARWRENVSNWVTKEMFIGYIPQHYHTLLDSAYNYLVSHGYINFGVAPLIKERIPAEPSKPGVIVIGAGLAGLAAARQLMRFGFKVTVLEGRKRAGGRVYTKKMEGGNRLCAAADLGGSVLTGTLGNPLGIVARQLGEMLYKVRDRCPLYSLDGKPVDPDMDMKVESAFNRLLDKASRLRQLMGEVSVDVSLGAALETFRQLYTHAVNDEEINLFNWHLANLEYANAGLLSNLSLAFWDQDDPYDMGGDHCFLPGGNGKLVQALSENVPILYEKTVHTIRYGSDGVQVIAGSQVFEGDMAVCTVPLGVLKKGSIKFIPELPQRKLDGIKRLGFGLLNKVAMLFPHVFWEMDLDTFGHLSDDPSSRGEFFLFYNYATVAGGPLLIALVAGEAAHKFESMPPTDAVTQVIQILKGIYEPKGINVPEPIQTVCTRWASDPFCFGSYSNVAVGASGDDYDILAESVGDGRLFFAGEATTRRYPATMHGAFLSGLREAANMAHYANIRSLRMKVDRTPSNAYSSASLLADLFREPDIEFGSFSVILDPKNADPKSPAILRVTFGEPKKKSHDIAKHDQHSNKLLFQQLQSHFNQQQQLHVYTLLSRQQVLDLREVRGGDEMRLNHLCEKLGVKLVGRKGLGPSADSVVASIKAERGNRKPVSTSVSIKPGMSKLKAGIMKRKLIRKAKVVKKSNGPILHADMNGGSAAKVPEETRITDQVLPDVLVSEDEPQTTVRPLLSDQEVMFVNPENNLPTCKDKVVYIYLFKPY >OIW08841 pep chromosome:LupAngTanjil_v1.0:LG07:492531:494500:-1 gene:TanjilG_16422 transcript:OIW08841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METVTNPLFEKRPKQFGIGGALPPKRDLTRFVKWPKTVQLQRKKRILKQRLKVPPALNQFTKTLDKNLATSLFKILLKYRPEDKAEKKERLLKRAQAEADGKPLEGKKPIVVKYGLNHVTYLIEQNKAQLVVIAHDVDPIELVVWLPALCRKMEIPYCIVKGKARLGTVVHKKTASVLALTTVKNEDKLEFSRVLEAIKANFNDKYDEYRKKWGGGIMGSKSQAKTKAKERVIAKEAAQRLT >OIW08533 pep chromosome:LupAngTanjil_v1.0:LG07:2213192:2213614:1 gene:TanjilG_03209 transcript:OIW08533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGEKRPAEKKPVAAVAEKSPAEKKPKVEKKVAKEGTADKKKKKSKKSVETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAQESSRLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSS >OIW07708 pep chromosome:LupAngTanjil_v1.0:LG07:13170012:13171626:-1 gene:TanjilG_19651 transcript:OIW07708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFNNDEEKSDDYLFKIVLIGDSAVGKSNLLARYARDEFYPNSKSTIGVEFQTQKMDIDGKEVKAQIWDTAGQERFRAVTSAYYRGAVGALLVYDVSRRQTFDSIHRWLNELQTHSDMNVVTILVGNKSDLKDAREVSTAEGKALAEENGLFFMETSALDSSNVVAAFQTVVKEIYNILSRKVLISQEFNKHDVPLVGNGKTVVLQGEEDQDSLQGQKKGCCSS >OIW07486 pep chromosome:LupAngTanjil_v1.0:LG07:18292473:18295960:1 gene:TanjilG_14432 transcript:OIW07486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKGHGIRQIRSGWADGPAYVTQCPIQTNQSYTYNFTIIGQRGTLLWHAHISWLRATIYGPIIILPKHNDPYPFQKPYKEIPIILGEWFNVDPEAVISQALKVGGGPNVSDAYTINGLPGPFYNCSNKDTFKLKVKPGKTYLLRLINAALNEELFFSIANHTLTIVEADASYTKPFETETLLIAPGQTTNVLLKTKPYYPNATFLIAARPYFSGQGTPPNNTNVNNGTKLVVLKFNTSVELVLQSTSILGTESHPLHLHGYDFFVVGQGFGNYDKNKDPAKFNVVDPVQRNTAGVPAGGWIVIRFRADNPGVWFMHCHLDIHTSWGLRMAWLVLDGPGPNQKLQPPPSDLPKC >OIW08255 pep chromosome:LupAngTanjil_v1.0:LG07:4582000:4586262:-1 gene:TanjilG_15216 transcript:OIW08255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSISPSPSSSSSSSSSSSSSSSLESWNNGKTWIINGIVAGVAIAAAVGARTYLNRFNKFRSRVVGIIPARFASSRFQGKPLVQILGKPMIQRTWERAKLAATLDHVVVATDDERIADCCRKFGADVIMTSESCRNGTERCNEALQKLGKKYDVVVNIQGDEPLIEPEIVDGVVKALQAAPDAVFSTAVTSLKPEDAHDPNRVKCVVDNRGYAIYFSRGLIPFNKSGKVNQQFPYLLHLGIQSYDAKFLKIYPHLQPTPLQLEEDLEQLKVLENGYKMKVIKVDHEAHGVDTPEDVQKIESLMRERNLS >OIW08115 pep chromosome:LupAngTanjil_v1.0:LG07:5871400:5874617:1 gene:TanjilG_06658 transcript:OIW08115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVLVARTGRHQQRYENGYRLVAGCVPFRYKSSDDCVDCNSEKIVEVLLISSPSGPGLLFPKGGWENDETVEEAAAREAIEEAGVRGDLVGFTGYYEFKSKTHQDEFSPEGLCKAAMFALFVKEELELWPEKSSRDRTWLAVSDALGSLRHAWMRDALECFCKWHKEKFVDGTR >OIW08101 pep chromosome:LupAngTanjil_v1.0:LG07:5762931:5763982:1 gene:TanjilG_06644 transcript:OIW08101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWKWIVRRTKESKPFFFAFATICGVVPGVIGYCVMQVTNTRTDNLESHLRRNARPDSLMMGQVNKERLAEYLGELQRKENTNDRYVAALRGETLTRKPYVRIQPVPEKTDTSAEKDQKK >OIW08770 pep chromosome:LupAngTanjil_v1.0:LG07:63195:63419:-1 gene:TanjilG_16351 transcript:OIW08770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFHPSVHAAKYTVHLSLHFMPNNIDHQQDQMITSVTNERLHNCLYHHTRAWWSLNHVSQNLIRQNVEMTLMSDK >OIW08274 pep chromosome:LupAngTanjil_v1.0:LG07:4419620:4432996:-1 gene:TanjilG_21740 transcript:OIW08274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KMDPAQIILWGSTLCIMIAVHFSMKLLSEHVLNWKKPNEQKAIVIIILMAPLYAVDSYVGLINFFGSEAFFTFLDSIKECYEALPHTTHLDHHTLKLLKSWTWQFVVLRPILEGLLKLPENKECADCKTKGPRWASVNLGIFICMQCSGIHRSLGVHISKVRSATLDTWLPEQVAFIQSMGNEKANSYWEAELPPRYDRVGIENFIRAKYEEKRWVARDGRPASPSRLQEEKSSSHWQRPVERVGNGYGITSENNFEERKKIQPSNAIPAIRISVPAPPKGPGQVTPVTKPQHIEKVEPMAAQQQAETSNQATGSYKNTPPRVDFATDLFDMLSVDGPSEKERGSEAAGATDDDNNWAGFQSAAEASTAEKMDTPSAVESTPQPTSLIEDLFKDSPSVTPSLAPEKPQKDIKNDIMSLFEKTNVVSPFAMHQQQLAMLAQQQSLLMAAATKSAGGDPKYPASVQHQQQLAMLAQQQSLLMAAATKSAAVDPKYPASVQQPGFNIPVQSWPAAGYPVPGVMPMGGQGDLQKLMQTMNTTAAHPAGGSVQYPPSSFYPLGQVAPVNGTITTGVSKPQSAASASSTTSQTGKDYDFSSLTQGMFAKQ >OIW07709 pep chromosome:LupAngTanjil_v1.0:LG07:13176442:13180124:-1 gene:TanjilG_19652 transcript:OIW07709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVIEKIRAASSDPDRVVFSFEFFPPKTEDGVDNLFERMDRMVSHNPSFCDITWGAGGTTADLTLEISNKMQNMICVETMMHLTCTNMPVDKIDHALETIKSNGIQNVLALRGDPPHGQDKFVQAEGGFACARDLVKHIREKYGDYFGITVAGYPEAHPDVIASDGLAPLEGYQNDLAYLKSKIDAGADLIVTQLFYDTDIFLKFVNDCRQIGITCPIVPGIMPINNYKGFIRMTGFCKTKVPADIMAALEPIKDNEEAVKAYGIHQGTEMCKKILSHGIKYLHLYTLNMEKSALGILTNLGLIEESKIYRSLPWRRPTNVFRIREDVRPIFWANRPKSYISRTIGWDQYPHGRWGDSNSPSYGALTDYQFMRPRARDKKLVEEWAIPLKSIEDIYERFKLYCLGKLRSSPWSELDGLQPETKVINEQLEKLNTKGFLTINSQPAVNGEKSDSPTVGWGGPGGYVYQKAYVEFFCSKEKLDALVIKSKDRLSLTYMAVNKEGAWKSNVSPTEVNAVTWGVFPAKEITQPTIVDPVSFKVWKDEAFEIWSRGWAALYPEGDISRKLVEEVGASYFLVSLVENDYVNGDLFGVFADF >OIW07430 pep chromosome:LupAngTanjil_v1.0:LG07:19678132:19678644:-1 gene:TanjilG_19271 transcript:OIW07430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVAKPEVPQKSSLQMKQDDKFFSMLLSKETSISNPSFRVPSVSVPFVWESQPGTPKYTFSHHTLPPLTPPPSYYFNTINNNPLKKNNNMFMALFQKLNLKKTIMSPSSLSSSWPSSNNSSSKVGSIGKNGRRGSLSYVPSIEFRGDGEDGVISPTSTLCFGIPRMMGRA >OIW07445 pep chromosome:LupAngTanjil_v1.0:LG07:19746649:19755463:-1 gene:TanjilG_19286 transcript:OIW07445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEKNNLKVTSPKSLKGVYECAIGNFGIPKYGGTLIGSVVYPKVNQKGCKSFSDVDTSFQSKPGGFPTFLLVDRGDCYFTLKAWNAQNGGAAAILVADNKAEQLITMDTPEGGNAVNDDYVEKITIPSALISKSLGDSIKTALSNSEMVNINLDWREALPHPDDRVEYELWTNSNNECGLKCDTQINFLKSFKGSAQLLEKQGFTQFTPHYITWYCPEAFLLTKQCKSQCINHGRYCAPDPEQDFNKGYDGKDVVIQNLRQACFFKVANESGKPWQWWDYVTDFSIRCPMKDNKYTEECSDQVIKSLGVDLKKIKDCVGDPHADVENPVLKFEQEAQIGKGTRGDVTILPTLVINERQYRGKLTRAAVLKAICAGFQETTEPSMCLTTDMETNECLENNGGCWQDKSANITACRDTFRGRVCECPIVQNVKFVGDGYTHCEASGALRCEMNNGGCWKGAQGGKAYSACIDDHTKGCTCPPGFRGDGINSCEDIDECKEKSVCQCPECRCKNTWGSYECKCSSGLFYMQENDICIGKYSASVSSGSFIWMFILILAGAGAGVYAFYKYRIQFAVPEKLFIFLFLTTTKFKTFQLKASFWESIKSGLIKNKTTQVIDPPSTEEEEEEDEEALGQEFVLVEKTEPDGTIEQIIFSSGADIDVYDIQALCDKVGWPRRPLSKLAAALKNSYIVASLHSCTCPSYLTEGNEEKRLIGMARATSDHAFNATIWDVLVDPSYQGQGLGKALVEKLIRTLLQRDIGNISLFADSKVVEFYSNLGFEADPEGIKGMFWYPNY >OIW07433 pep chromosome:LupAngTanjil_v1.0:LG07:19688487:19689821:1 gene:TanjilG_19274 transcript:OIW07433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRQQARRVVDRISSLSDELICYILSFLMTKDAVVTSVLSKRWYPLWRSVPIINLSDEHVTDEETCLRFGQFVFSVLLSRDVMQAIKRFRLKSVYKGNNYSNNQNLNKWVSLVLDRRVEHLELLVHTVPKLFPMDILSCRTLVVLKLSGFRKVNENDVSSVELPSLKILHLGAIGFRRDRCLLRLLSGCPNLEDFKVISVVSASHDSCEEFKSLTKLIRAEILCCNINFIVEALYNVQYLFLRVNKEVSVYSNHLPVFSNLTRMVLSFDKYMWRWLVKMLKHCPKLQILEVIEIGIGDFDENWVCPKFVPECVSLQLRDCAIRGFEGSHDALQFARYIMGNASALQTMRIYSNKKLGSNAKVKVNEKLSQCKKHSATCQLYFFN >OIW08116 pep chromosome:LupAngTanjil_v1.0:LG07:5884249:5890331:1 gene:TanjilG_06659 transcript:OIW08116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSFDSQLPISNAGYTERSTIDSFHVSDFGAFDQSYRIENTVNLSRNSTFNSLSSQSILPGPVDKLTTSLDKSPLTNQTEPHRLQFQKEKVQSSNPLTILIGNTENWEESAMADASPRTDISTDADTDDKNQRFDRSQSLAGVASDSSDRSKDKTDQKSLRRLAQNREAARKSRLRKKAYVQQLESSRLKLTQLEQELQRARQQGVFISSSGDQAHSTSGNGAIQFDAEYARWLEEQNRQINELRAAVNSHASDTELRMIIDVILAHCDDIFRLKGIAAKVDVFHLLSGMWKTPAERCFLWLGGFRSSEVLKLLINQLEPLTEQQVADITNLQESSQQAEDALSQGMDALQQSLAETLSSGSQNSSGSSGNVANYMGQMAMAMGKLGTLEGFVRQADNLRQQTLQQMHRILTTRQSARALLAIHDYFSRLRALSSLWLARPRD >OIW08051 pep chromosome:LupAngTanjil_v1.0:LG07:9510415:9512812:-1 gene:TanjilG_20152 transcript:OIW08051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFCKKYQEYMLQEQEKKLPGVGFKNHKMILKKCRRRDCHSHKGHTESHAVKPIHDHCSVCDGTFFPSLLNEMTAIVGCFNQRAQTFLELQLASGFKKYLSWFLGKLLGNNHAAMVQEGKDIITYALINAIAIRKILKKYDKIHYSKQGQLFRSQAQSMHKEILRSPWLYELLAFHINLRETRVNSMKEPALFEGFSLTFKDGKPSLTCEFFDSIKIDIDLTCSICLDTVFDPVSLTCGHILCYICACSAASVSIVNGLKTADHRKKCPLCRERGVYEGSVHLEELNILLCRNCKEYWEQRLQIERVERVKQAKEHWELQCRMFMGV >OIW07527 pep chromosome:LupAngTanjil_v1.0:LG07:18812898:18815054:1 gene:TanjilG_14473 transcript:OIW07527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQIRLSKARATEGSAGAKLSLAETVMVACPDHLVLADLPVAKGIGGATAVSLVRTLGRRSRRQLGERVHFCVRCDFPISIYGRLSPCDHAFCLDCARSDSLCYLCDERIQKIQTIKMMEGILICAAPHCLKSFLKKADFESHIQDRHVSLLRPNADKEDGNESEAQSVRQSTASDSTARGPQRPVFSPGLNPQLYDQEEKTLRQPPRDQPPSRPNLQSKPPYFGQQHHPSDTPSGSFGGIQQGLHQQSFDMHHPSQETSQFSDRQQSVGPETQISEHPPMHSGQPPNIPAQVTSNPMLNPPMPFGYPPYPQERGQPFYAATYDNIPRQDSTSDIGGDKSSLLGLPQGGVPSGPNFPGNYPQPWHAGMAGAPFEQGQGGMVVDPRDAKGVLAPQHVALPPPPPPSHMSNMKRSYYSGEGGHDDGQSYGWQQHDNLDSFGGQG >OIW08034 pep chromosome:LupAngTanjil_v1.0:LG07:9297230:9303503:1 gene:TanjilG_20135 transcript:OIW08034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASITPTSSPTSLQLRFAFNAPIFKKFPITHTRFSTFNPRFPLTCNASERVPGESSWVGSDSKSDGLSGWTGSESDKESNNNSQKKELYGGVVRVGVAGVILSGIAFAAFALSKRTGSRSEQQLKPLTVQQEVFLSSGDHYDEITEQVNAGFKFEQGNSNTESQIDTSNDYSSPEPDNIQGGYRNVDDSDEIRVTSNDIRNATKHISVPEDIHHESTLNDKLGESENTADSSNAYGLRDVDTDPAVDTTDSTAELEEIPFSVEPGNIPNDDAKPTRLSTEQQDEITSSSGHNISDISNTSSSGADNETETGSVAVNSDSSDTISDPEFYPQDDQKNFQPATSKESPDANKTPKVSDVGNKAYLEEQRILENDLFREPSINTLIDGKIANDNDDVNESISESLNSGSFFSVPGIPAPSVVSATIKVLPGKVLVPAAVDQVQGQALATLQVLKVIEPDVQPGDLCTRREYARWLVSASSALSRNTISKVYPAMYIDNVTELAFDDITHEDPDFCYIQGLAEAGLIESKLSRFDIQLSTDEDNSPFYFSPESPLSRQDLVSWKMALEKRQLPEADRKKLYHLSGFIDTDKIHPSACPALVADLSAGEHGIIALAFGYTRLFQPDKPVTKAQAAIALATGDASDIVSEELARIEAESMAENAVAAHNALVAKVEKDVNASFERELLIEREKINVVERMAEEARLELEKLRAEREEENISLMKERAAIESEMEVFSRLRHEVEDQLQSLMNDKVATAYEKERINKLQEQAEVENKEISRLQYELEVERKALSMARAWAEDEARRVREQAKTLEEARDRWERHGIKVVVDDDLRKEASAEVTWVNAGEQFSVEGTVDRAESLLDKIKKLATEIRGKSRDTIDKIIHAISLFISKLTEWACKTGKQAEDFKEAAILKAGKSAHELQQNAFEVGFAIKEGAKRVADDCREGVEKLTQKFKT >OIW08475 pep chromosome:LupAngTanjil_v1.0:LG07:2623275:2626135:1 gene:TanjilG_03151 transcript:OIW08475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSCQIQTNNLNEVQVLKENNNSYNKIRKRGCSSSSSSSLVRRRRYRFKRAILVGKKGGTRTPVLPMWMTKSNSPSMATQQPLHSSGIPFKDKEVSSVSARKLAATLWEINDLPPSRTKKDFEVDKMRSYKETMIRSREKVVSMSGSGLFRPHMSDPSHSPTSERMKGFEGDGCKRRVSALSHQHHSGDYYLKGLDSHSSACFTEEAENQQRNKKNRLKESRSGLSTSKKLLKVLNQVCHREQQSSSMSLILALGSELDRVCHQIDQLIREQDSSQNGIEHVMKQFAEERAAWKKREREKIHEAIKNVAEELEVEKKLRRQTERLNKKIATEMANVKASHLKLSKELEREKRAKEIMEQTCDELARGIGEDRARVQELKRESAKAQEEVEKEREMLQLADVLREERVQMKLSEAKYQFEEKNAVLEKVRNELEVYMRTKEDEKGDVSPDFMRIKDLVSYFNEFNGRFQNAEKEDDLTAKDGEEHERDESDDGSDLHSIELKLDDDSSGFKWSFAHGNVAQDDSKRVSVDKESIGRKSLSEIIQWGNICFNKGTTCCGKSDFGISIQESSHHFDLESSTKILSRPQIQDDDDETESYSSMIGPQDSMSCSNPVPRNDRSLIMQYTGEAGGNSLVLEGENLK >OIW08458 pep chromosome:LupAngTanjil_v1.0:LG07:2785807:2790009:-1 gene:TanjilG_03134 transcript:OIW08458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKNQKIGLGRSLVKQHNHMVQQTKEKGRIYKKKFLESFTEVTDIDAIIEKSENPDADSDSDSDADADVPYLPAAPPTLRISMDPRSESADMTPEEMKMQQKREEALHASSLRVPRRPPWTTDMSVDELDANERQSFLTWRRSLARLEENKTLVLTPFEKNIDIWRQLWRVVERSDLLVMVVDSRDPLFYRCPDLEAYAREVDEHKRTLLLVNKADLLPTSIREKWAEYFRAHDILFIFWSAKAATAVLEGKKLGSSWEADNMGGTNNPDTMIYGRDELLARLQSEAEEIVERRRNSGSSDTGPSNVKSSGENAAGSSSSGNVIVGFVGYPNVGKSSTINALVGQKRTGVTSTPGKTKHFQTLIISEKLTLCDCPGLVFPSFSSSRYEMIACGVLPIDRMTEHRESVQVVADRVPRHVIEATYKIKLPRPKSYESQVRPPLASELMRSYCASRGYVASSGLPDETRASRQILKDYIDGKLPHYAMPPGVSNEELTVEDPEGPDSDNLQEPDSSGTEDSSDVENETAPANLEHLLDDLNSFDMANALATSKKSSVKKSKESQKHHKKPQRKKDRTWRAGNDGGDGTPIARVFQKPVNTGPSKV >OIW07918 pep chromosome:LupAngTanjil_v1.0:LG07:7525837:7526811:-1 gene:TanjilG_20019 transcript:OIW07918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNSISTDDEVAYDFAPFIKVYKNGRVERLIGEEFIPPSLDPTTNVESKDVVISNEEAISVRLFIPKTILDDSSNQNQKLPLFVYFHGGAFCIETPFSPNYHNYLNKVVSKANAIGVSVHYRRAPEHPLPFAYDDSWLALKWVASHLGGNGPDGWLNQHADFENVFFSGDSAGANLAHHMGIRVGLEGLPGVKLEGIVLIHPYFWGVDRIGSESSQEFAPKVDQLWRIASPSTSGSDDPLINPDKDPNLVNLGTKRLLVFVAEKDLLKDRGYYYKESLEKREWNGIVNVIETKGEDHVFHLFKPTSEEALTLLNDVVTFIKHD >OIW08742 pep chromosome:LupAngTanjil_v1.0:LG07:721153:723367:-1 gene:TanjilG_03418 transcript:OIW08742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDELNIPQLSPSQMRTSRHHFQHSFDGNKRVGIPPSHPNQIPPISPYSQIPISQRSQTSPTPTPTHSRSLSQPSFFNLDSLPPLSPSPPFRDSSSTSLSDPPADVSMEDRDVSSSHSLLPPSSPFTRANNSNNYLSLPPRKPHRRSNSDIPFGFSTVLQSSSPFIPLGGSVPKQPAQLVKKEASWDRSVDHNEDESGEKSPDGEVMDDLFSAYMNLDNIDALNLSGTDDNKIGMENHDDLDSRASGTKTNGGDSSDNEAESSVNESGDRIQRQGKTGSEKREGLKRSAGGDIAPNSRHYRSVSMDSFIGNLNFNDDSPRLPPSPGHRPGFVSPTNAIYGNSDAFSLEFGHGEFSGLELKKIMANEKLAEIASSDPKRAKRILANRQSAARSKERKMRYISELEHKVQTLQTEATTLSAQLTLLQRDSVGLTNQNSELKFRLQSMQQQAKLRDALNEALTAEVQRLKLATAELNGDSHPSRS >OIW08410 pep chromosome:LupAngTanjil_v1.0:LG07:3215088:3220113:-1 gene:TanjilG_03086 transcript:OIW08410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELTRTMNYAVVYVTLLCALANGGSEGKRGEDDGFSKHASAAAFSSSSLHLHDNQEDVVWKHCRKELLDMKNDIVRDFYWHPLEGSSDIRSILLTENNIHKKTKSQPLHVKRNFLDCIIRSTSSPSDPVSEVKTGSRYLLSKHSGSSFDHGRNLIAESPNDQFPPSPFDVPPPGPALETPNHGPSPASSTIPSPTPDQSGDGFSLPPNMFSPFPPSPRQPQPPPSFGNFPPVLYAPDPPPHNDDEKNKRTIIIAAGIAGVIILIGLFLCYREIKSNKVDKDNRPLLALTSKDYSSGSQKVIRLGNTDKGSSSIKNGKDPSNAGNLSIKAKYNNNSLVETISSSEGKGETPAPPTGEQAPPAPSPPPPPPPKLPALAPRPPPPPRAGHPPPAPPKPINGKNKLAPLHPKDGHSSEGGESGAPKPKLKPFFWDKVAAKPDQSMVWHEINAGSFVFNEEKMESLFGCTNQNRNERKKDSPAVDTSVQYIQIIEPKKAQNLSILLRALNVTTAEVIDALKEGNEIPVELIQTLLKMAPTSEEELKLRLFTGELSQLGPAERFLKLLVDIPFAFKRLEALMFMFILPEESTSIKESFATLEVACNKLRKSRLFMKLLEAVLKTGNRMNDGTYRGGAQAFRLDTLLKLSDVKGTDGKTTLLHFVVQETIRSEGIRAVRTDRASRSESSVATEDCFEEGSEESAEHYRRLGLQVVSGLSNELGDVKKAAVIDGDALTDTVSKLSYALIKTREFLNNELKDIEEDSEFKQCMEKFVEKARDDVTWLVEEEKRIMALVKSTADYFHGNAGKDEGLRLFLIVRDFLIILDKVCKEVKDTTMKEAKASYKKLEAPSTPSSPDTARQNPSPADLHRRLFPAIAERRVDYSSSDDDDDDFTT >OIW07705 pep chromosome:LupAngTanjil_v1.0:LG07:13105835:13106122:1 gene:TanjilG_19648 transcript:OIW07705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSQEFLVYGQECMLYDLMWLVRLACGLVRLALLYDLMWLVRLACGLVRLACGLVRLACCLMCLMRGLAESAYPDLLMRGQYEYWLIHKFNVDDL >OIW07878 pep chromosome:LupAngTanjil_v1.0:LG07:7021664:7024565:-1 gene:TanjilG_19979 transcript:OIW07878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNSVCLFGGCIPQSNVGVVEQWGRFQRLAQPGFHFFNPFAGECLAGVLSTRISSLDVRIETKTKDNVFVQLLCSIQYRVIKENADDAFYELQNPKEQIQAYVFDVTRAIVPRMNLDELFEQKGEVAKAVLEELEKVMGEYGYNIEHILMVDIIPDDSVRRAMNEINAAQRMQLASVYKGEAEKILLVKKAEAEAEAKYLGGVGVARQRQAITDGLRENILNFSHKVEGTSAKEVMDLIMITQYFDTIKDLGNSSKNTTVFIPHGPGHVRDIGEQIRNGLMESASAQLNVE >OIW07913 pep chromosome:LupAngTanjil_v1.0:LG07:7342263:7343997:-1 gene:TanjilG_20014 transcript:OIW07913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDFGSSYGWNGGGWQQIPPGPSNINEINGSNNNVIPIGEGGVSNPFMGDVANDPVQRRRAANRQYSETYRRKKEEQVQHLEHLEKSLNVSLSDNTPQLGYHRGMESHYDAEGSSLAQTYYTMNSNYQYVEADIDIYVTQLKDQKLMHEVYEALKPEMKKYQDK >OIW08291 pep chromosome:LupAngTanjil_v1.0:LG07:4210739:4216078:1 gene:TanjilG_02967 transcript:OIW08291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFIITIIFVHLIAQLFVIVKTESISRADFPEGFIFGTASSAYQFEGAVNEGNKGVSIWDTFSKKPGRILDFSNADMAVDQYHRFEHDINLMKDLGMDSYRFSISWTRIFPNGTGEPNAEGIIYYNRLIDALLEKGIQPFVTLYHWDLPQMLEDKYEGWLSTQIIKDFEHYAYTCFQAFGDRVKHWITFNEPHNFAIHGYDLGIQAPGRCSLLGHLMCKKGKSSTEPYIVAHNILLSHAAAYRSYQLHFKERQGGQIGIALDAIWYEPITDLDEDKDAAARARDFSLGWFLDPLFFGKYPISMKNLVAERLPEISEATSNLLVGSLDFIGINHYTSLYTRNDRTRSHKLVMQDALGDAAVITTAYRRGAAIGEKAASSWLHIVPWGIRELVKYVKIKYGNTPIIITENGMDEKSKPFMTLENALKDDKRIMYHRDYLSNLSAAIREDGCNVHGYFVWSLLDNWEWNLGYTVRFGLYYVDFKNNLTRIPKASVQWFKDMLKLETEGVNEM >OIW08463 pep chromosome:LupAngTanjil_v1.0:LG07:2730818:2735235:1 gene:TanjilG_03139 transcript:OIW08463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATYFHGGSSSEIQSSAEGLQTLYLMNPNYVPYSDSPQHPTPNMFLINPNTAPTTTPHALNLANFSHAPPPQSPSNNNHNHHHQQQQHMIGVTIPSSSIIGSTNDDEISGYHGLSSTASRVQYNLWGFDQNPSSAAAAVTIAATPPNSSGYATTATAATDISPQVGFNRPNNHQQGLSLSLSSQQPIYRSVSGEISPAIRGSGISGMNNSNVILGSKYLKAAQDLLDEVVNVGKGIFKGESIESTVNREKMKANIESTSGIGGDGSSGGEQNSGGKQGVELSMAQRQELQMKKSKLVSMLDEVEQRYRHYHHQMQIVISSFEQVAGYGAAKSYTALALKTISKQFRCLKDTISSQIKATNKTLGEDDCLGVKVEGSRLRYVDHHLRQQRTLQQLGMIQHNAWRPQRGLPERAVSVLRAWLFEHFLHPYPKDSDKVMLAKQTGLTRSQVSNWFINARVRLWKPMVEEMYLEEIKEQEQNNGSKDNTNRAKESNKELWTSAANTAVQESSSGANIRLDQNNVLQSKAESFNNNHNISPTEISNSNNSMGGSTLQSQSSNFHIAGSSHDMQNSPNKRRNSEMHNSPGSGIFSVDMDMKPAETNREISNTKFGIENHGGGYGAFSIEDIGRFNNVTEQLAPRFHGNGVSLTLGLPHSENFPLSGTQHEFLSQNIHLGGVGRLHEMETNENEFCAINSTPPPSFHSGTSYESIDIQNRKRFAAQLLRDFVA >OIW08303 pep chromosome:LupAngTanjil_v1.0:LG07:4135365:4141120:1 gene:TanjilG_02979 transcript:OIW08303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLCCYLTQRKRKAGKTLSARKWHAAFSPEGYLDIGKTLSRIHRGGIHPSIRGEVWEFLLGCFDPKSTFEEREELRQQRRLKYAALKEECRHLFPLVGSGKFITAPVITEDGIPILDPLVLLETSTDNGVVLPPQDNSAGSTMGPSSAGANVEKVTDKKIIQWMLTLHQIGLDVNRTDRTLVFYEKKENLSKLWDILAVYARIDTDVGYGQGMSDLCSPMIILLNDEADAFWCFERLMRRLRGNFRCTDNSVGVEAQLTNLASITQVIDPKLHEHLEHIGGGDYLFAFRMLMVLFRREFSFCDSLYLWEMMWALEYDPDLFNIYEDSEDEKSEESKGRLKSIRHYGKFERENMKNGAKNGEETPLPISVFLVASVLKEKSAILLQQARGLDDVVKILNDVNGNLDAKKACIAALKLHKKYLKKAKKP >OIW08354 pep chromosome:LupAngTanjil_v1.0:LG07:3663926:3664570:-1 gene:TanjilG_03030 transcript:OIW08354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVKERKCEKNNEKPNNNVIEPHFRGVRKRPWGRYAAEIRDPGKKSRVWLGTFDTAEEAARAYDKAARQFRGPKAKTNFPSELNNNENRQNNNNRSPSQSSTVESSTPEREITPRRDINMDRFPFLPLQPSAPQIMAFGCGAAAVEGMLTAAPGNPVFFMGQRFPVRFEPVPVLFSNGFGGGAQSDSDSSSVVDCQQPKRTLNLDLNLAPPMDC >OIW08002 pep chromosome:LupAngTanjil_v1.0:LG07:8705898:8709363:-1 gene:TanjilG_20103 transcript:OIW08002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHQLSMEHRVAQVEAAKQRCKCVIDSIQRLPGSTKITDSCIRTLLKSARAELAFLSRPSSSLVPLSVNIGHLEAVVHILQQPFITGVSRVCKSVPLLPSVRNDERHGSSLKDIHVDVVCTLNRKPVWIIVSDRNPKYISWNSCHRNKGLKLRIQEVIAAAQSTLTLRPSSIILFFANGLADHVYKKLRDEFGASEIGLEFSVFSYDTLEETGDWINVIARSYRDACVLEINHADVENVVSNSICKVQDSTVESSQLELSVAKSEAQLHLLEESVNGMGSSQPGLSVEKAETHLQPSEENAESNLGDTFCTMVTGMKLSSLNTKNSESTKPGYLLGESNLLNFDTTALIALVSGISNGGSKKLLSTPESELRQRFKGNTDFVVGQIMSELQNPIHVEFGKLLCGKIGIICDSVRMEFKELVSMCGGPNEKLRADKLINCLRVVPDTPSKRMMSLPTTRKLALKNKLVFGTGDHWHAPTLTANMAFVRAVSQTGMSLSTIEHKPRALTGD >OIW08289 pep chromosome:LupAngTanjil_v1.0:LG07:4256535:4259150:-1 gene:TanjilG_21755 transcript:OIW08289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDDNQFQASGNWWESSRNMRFESGDQSHSSSSGLTNIDNFCWQQQQQHDMVVDHMKTRSNSSMEGGTTSVQVFHDNLEHQQQDSCATNSTSDHPNLHMMGLGLSSQAVDWNQASLLRGEKASESSFRSMLQENLSSSNTNFQHETGIGLSQRVQWRPENSTNEFKQVNNRSFSLDQTQFSPQYSSGDSNVTSQGIPSTFHTDHYPSMLQGLLGPESNQHPQQGSFENIRPMTFPYSARHGLNSNELIHSWSASKVPQFLRASPPKQQLTNNQLHFTNNAPFWNASEAPVKDARPSFFPSMQPPFSTPNFDVQSKNISEVRDSGAVVKKSGSEPPPKRPRSETSSPLPAFKVRKEKMGDRITALQQLVAPFGKTDTASVLSEAIEYIKFLHEQVTVLSTPYMRSGVPTQHQQNSGKSKEGEGPKQDLRSRGLCLVPVSSTFPVTHEPSVDFWTPTFGGTYR >OIW08696 pep chromosome:LupAngTanjil_v1.0:LG07:1046270:1046701:-1 gene:TanjilG_03372 transcript:OIW08696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCASTEYASKGGNFIWLSTVNIVHLDGRSQQLKEPTKAWVVLSQNPNSFICCSESMYVGSPMPPMAPTQELQLGHVYFIVLRSKSRILLSLEDLCSLTIKVDAALVHSQPTYQIFNSPSPVTQKKFKVHPVLSSVSQGYSH >OIW08413 pep chromosome:LupAngTanjil_v1.0:LG07:3174733:3193728:-1 gene:TanjilG_03089 transcript:OIW08413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTAMRYQASYCSELQCLANPVTSHAQSAEQHNHDVTQLALISARKTAEAVDILKLMSATYLVALCQAIDLRHLEENLKNTGSGILNLSSSSLQQKIAELKKLVPLLKKKPSEFNPSWEWGPAAVISFCAKYLSFLIRYMENGLVEVYSRNAEWNTGKLLDVAAGYYRYAETTNPGVWFETSEEQDSLEKCLNNNLLVFILKRRCKIRAPCCDEVFDCRHCHNEAKNSVEINHLNCHDFPRHELKTVICSLCDTEQDRNNTTVTNVAYAEPEAGITSFIVSDVKIEEGHPCVESAITIAPFALEVICSLCDIEQDVSEVKVADLTISLIYHVAIDVLDSNKNSVEINHLDRHDIPRHEVKKVICSLYDTKQDVQQYCTSCGVGMRKYYCGTCKFFNDDISKEQYHCDECGICRYVTWKMAVEVYIRNAKRDTGKQVSVYMLTH >OIW08795 pep chromosome:LupAngTanjil_v1.0:LG07:203736:206500:1 gene:TanjilG_16376 transcript:OIW08795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMYLKKALWSEGIIKSGNGDSDSDVSDVSDVAVTELVNSLHHQRLYREIILSLQTGLRDARAEFSFLRLRSLRSILNFLRSLSHSHSTIHIFNITQSIPHFQVVPVLFQHSLKQTLDDYNENKVVGDLNHIFGVEPIKLTSPATDAEVALALRVLEGCCLLNPNSAALAHQHKAIKVLMNILSNRGVLEQGACLDALISLMVDSPSNQMDFEECNGIMEVADLIRDKQVDENLRLKCGEFLLLLIGHVNGRDKPPLASIHEDIRRLLGEKSASLIWAASQFGSTLDPEQRLTALQIQARRVLESLDLY >OIW07838 pep chromosome:LupAngTanjil_v1.0:LG07:10490859:10495642:1 gene:TanjilG_32694 transcript:OIW07838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNQTSSQSEVNNTDNPYELFKLVYNPNNQTLIRMVEYPFTSPTSDLTLPISVLSKDVTINQSNNTWARLFLPRRIALAPNHKKLPLIVFFHGSGFIIGSASNTMFHDLCVEISDTNEAVVASVKYRLAPEHRLPAAFDDAMETLHWVTKSQDEWLIRYVDYSNCYLMGNSAGTTIAYQAEEVDDLEPLKIRGLILRQPFFGGTKRSESELRLINNKVMPLCVTDMMWDLALPIGANRDHEYCNLWVGNDPKKLYKIKDLGIWVLVSGNGGDILVDYAKDLVQLMIENGVNVVSDFQEGGHHEVEYDDPLEAKRLIGLVKSLIFNIDA >OIW07413 pep chromosome:LupAngTanjil_v1.0:LG07:19324315:19325028:1 gene:TanjilG_19254 transcript:OIW07413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEGNASHPDADMDIVQRRLMFDDECILVDQNDRVVGHDSKYNCHLMENIEAKNLLHRAFSVFLFNSKYELLLQQRSATKVTFPLVWTNTCCSHPLYRESELIEENALGVRNAAQRKLLDELGILAKDVPIDQFVPLGRMLYKAPSDGKWGEHELDYLLFIVRDVNVTPNPDEVADVKYVNRQLKELLEKADAGDEGLKLSPWFRLVVDNFLFKWWDHVEKGTLEKVADMKTIHRLT >OIW08634 pep chromosome:LupAngTanjil_v1.0:LG07:1445107:1450401:-1 gene:TanjilG_03310 transcript:OIW08634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSPKKNEESKGFFAAMSSGFSMFSNAMHRSVNGMLGYEGVEVINPEGGKDDAEEEAHRGRWRKEERDGYWKMMHKYIGSDVTSLVTLPVIIFEPMTMLQKMAELMEYSYLLDKADESEDPYMRLVYATSWAISVYIAYQRTWKPFNPILGETYEMANHGGITFLSEQVSHHPPMSAGHAENEHFTYDVTSKLKTKFLGNSVDVYPVGRTRVTLKRDGVVLDLVPPPTKVHNLIFGRTWIDSPGEMIMTNLTTGDKAVLYFQPCGWFGTGRYEVDGYIYNSSEEPKILMTGKWNEKMSYQPCDSDGEPLPETELKEVWHVADVPPNDKFQYTHFAHKINSFDTAPRKLLASDSRLRPDRYALEMGDLSKSGNEKSRLEEKQRAEKRTREAKGQNFSPRWFDLTEEVTATPWGDLEIYQYNGKYTEHRSAVDNSSSIDGGDVKSIEFNPWQYGNLSTE >OIW07811 pep chromosome:LupAngTanjil_v1.0:LG07:10801780:10802097:-1 gene:TanjilG_32003 transcript:OIW07811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLVSIPQYIVERHAVIAERQLTAIEKRNEFFQKQLNIIQHTRLCVYREAEVWDLLTELDVIDPYRMRCYEYLCINEQKKRQLFGVPPHIRMQALIQMMNESGYH >OIW07591 pep chromosome:LupAngTanjil_v1.0:LG07:18068695:18069948:1 gene:TanjilG_08478 transcript:OIW07591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYTSIPAYIDPANWQQQQPNHHVGNNVTSSHLLPPPPPPLAQSDGAGAGIGSIRPGSLADRARMANIPMQEAPQKCPRCESTNTKFCYFNNYSLSQPRHFCKTCRRYWTRGGALRSVPVGGGCRRNKRSKGTSSSNNSSKSSPVSSDRQALSANSNNSISSSDMVAGLGPAVPPQLRYMSPLHQLGDNFASGGEISLNYGLNYGNISSQMGGVGDLSFHIGNALGHGGGGGGGGSILSAAGLEQWRVPQTHQFPFLTGLESSSHGLYHQFEGGSTSPATGYGGVRPKVSTSGVISQMASVKMEESGQQLNLSREFLGMNSSASEQYWSTSGGGTTTPAWTNLSGFNSTSNQL >OIW08170 pep chromosome:LupAngTanjil_v1.0:LG07:5650492:5651986:1 gene:TanjilG_06583 transcript:OIW08170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIPQFYSNNTFSTTDITEFNSNTSVMDNTMWCEDNMNFIPVYDHYGALNNHHAVLQDWMPAISDCKMDEGGIGSYQNFSYGYQPHISDFDEDIKPPNNATQNWGIHGDQMPAGEESNNIKVERYSEEERKERILRYLKKRNQRNFNKTIKYACRKTLADRRVRVRGRFARNNNDLSAEDKATKNLENNNNHKEDLYGDHSIQFQLKINDDGDDWLYEAMASLVYLSHSSMDDI >OIW08005 pep chromosome:LupAngTanjil_v1.0:LG07:8784754:8790621:1 gene:TanjilG_20106 transcript:OIW08005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGDSTPPYHPTAKPSKPSSFFNINKKTNLYSLLALLCIISYLFGAYQNTTPSTAATTTTKSQTTTKCPQTTTTFPTATNTNLDFISHHNATSTTTTALKRYPPCAIKYSEYTPCEDHDRSLRYSRKNMIYRERHCPEKKEILKCRIPAPHGYRNPFPWPESRDVAWYANVPHRELTVEKAVQNWIRFNGDRFKFPGGGTMFPFGADKYIDDIGKMINLKDGSIRTAVDTGCGVASWGAYLLSRDILTVSIAPRDTHEAQVQFALERGVPAIIGVLASKRLPFPSRAFDMAHCSRCLIPWGENDGLWLNEVDRILRPGGYWILSGPPIHWKKYWKGWERTKEDLNEEQTKIETVAKSLCWNKLEERGDIAIWQKPKNHLDCKANRKISQKRPFCQAQSNPDKAWYTDMQTCLSPLPEVSNKEESSGGALENWPKRVKATPPRIYKETIKGVTPETFKNDNKLWKKRISYYKNVNNQLGQAGRYRNLLDMNAYLGGFAAALVDLPVWVMNVVPVQAKVNTLGAIYERGLIGTYHDWCEAMSTYPRTYDLIHADSLFSLYSHRCELENILLEMDRILRPEGSVIIRDDVDILVKVKSIINGMDWDSQIVDHEDGPLEREKLLFAVKKYWTAPASSEQDS >OIW07647 pep chromosome:LupAngTanjil_v1.0:LG07:15449760:15452302:1 gene:TanjilG_17662 transcript:OIW07647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLLQSSWLLLCLVFVLNSFLTIPPCHCQIISPQNIETFYPNETSASKPTPQNLAPPPPRPQPQPSPQAQELAPGPVAASTSTSSKGKIAIAVAASAASTVVVCGILFILYQRCLRKRRRIEMKNTNIVVQGNVFERIDGNLKGMIVDEDGLDVIYWRKLEGKNSKRDLHKEVFHSPKNKEKEDEENDHEENQVTNFKSIQEIPLHRGKSSTSHIKEDEPYRITRIPHNASSSSSSSSASTPLPTFVASDKKQESPIQPYTATLQSSPSPASTLPPLPPPPPITATKSPSPPPPPSKEGGNLKSSSKPVPPPIKQRNSSGKGSMSETRNDEVKLKPLHWDKVNTNNADHSMVWDKVDGGSFSVDQDLMEALFGYVATNRKSPKGKNDSMSPSKAGIPTATRIFLLDPRKSQNIAIVLKSLGATQDEILEALIDGRGLNADTIEKLARVFSPTQEEQSLILEYKGDPTRLATAESFLYHILKSVPSAFKRLNAMLFRLNYDSEILDIRESLQTLELGCKELKSQRLFVRLLEAVLKTGNRINAGTARGNAHAFNLDSLRKLSDVKSTNGETTLLNFVVEGVIRLEGKHIAFNRSLSRSSSRNSNSDVNANSKNNATPSNEDKEREYTMLGLPIVGGISSEFSNLKKAALIDYDSLVGSISALSTRIVEIQKLVSECGNEGGNFVKEFNHLIRGANEELKLVRDEQTRVMQLIKRTAEYYQGGASKGTSGHKFQLFVIVKDFLGMVDQACIEIARNLQKKKTHKASSG >OIW07676 pep chromosome:LupAngTanjil_v1.0:LG07:14917507:14918611:-1 gene:TanjilG_07718 transcript:OIW07676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGKVSKRGRNFSEKYVMHLKDKVKSLQEKIRDITYEKENESRNYEREIMVFTIKEADWKQEWKKMKEEVKELRKLVEEKEEKIREMEEVEKNSEKEWELMGTKVLVEKMKEERARRDEAVEKWKQLYLAIKTELDELIQRTINGDGLYLKTEENDIQMENLKWELQDKEETIKSLKAQLISMEQEKKKKEREFDLLRQSLRIMNGKKNSLQTKEKFLKSKVRR >OIW08203 pep chromosome:LupAngTanjil_v1.0:LG07:5028772:5069771:1 gene:TanjilG_15164 transcript:OIW08203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPARFRQTGMNWAVITAGHFFDSGRSDYYTWSNTTKLLMDNAELYMDARVSPNTLTYYGFCLGNGNYTVNLHFAEIMFTNDETYYSLGRRVFDIYIQRKLVVKDFNIAKEAGGVGKPVIKKFTVAVTSNALEIRLYWAGKGTTTIPFGSVYGPLISAISVDSDFTPQSESGSSISAGVVVAIVAAGAIFIIFVFGMLWWKGCLGLKSSVAKDLKGLSSQSGVFTLRQIKAATNNFDESFKIGKGGFGPVYKLYNITKMQGILSDGTVVAVKQLSSKSRQGNREFVNEIGMISALKHPNLVKLHGFCVEEDQLLLIYEYMENNSLAHTLFALYSLYINCGGKLVISNGSKTYDDDSSEMGPARFRQTGMNWAVITAGHFFDSGRSDYYTWSNTTKLLMDNAELYMDARVSPNTLTYYGFCLGNGNYTVNLHFAEIMFTNDETYYSLGRRVFDIYIQRKLVVKDFNIAKEAGGVGKPVIKKFTVAVTSNALEIRLYWAGKGTTTIPFGSVYGPLISAISVDSDFTPQSESGSSISAGVVVAIVAAGAIFIIFVFGMLWWKGCLGLKSSVAKDLKGLSSQSGVFTLRQIKAATNNFDESFKIGKGGFGPVYKLYNITKMQGILSDGTVVAVKQLSSKSRQGNREFVNEIGMISALKHPNLVKLHGFCVEEDQLLLIYEYMENNSLAHTLFVTKEDPGNRHLRLDWKTRQRICVGIAKGLAYLHEESRLKIVHRDIKATNVLLDQDLNPKISDFGLAKLKEEDSTHIDTRIAGTYGYMAPEYAMHGYLTDKADVYSFGIVALEIVSGANSAVSHPQEECFSLLDWALVLKERDNVMELVDKRLGEDFNEREVVVMIKVALLCTKFSPALRPTMSSVVSMLEGRRVLEEIVSDRSMVLDDKKFEHFVSVNIFSVIQGSGFSGPIPSGISLLKNLTDLDLSYNKLSGQIPSSFDSLQNMNMLGNVSCLANVQCPKTMYSLYINCGGKLITSNGSKSYDDDSSDMGAARFRQTGTNWAVMTGGHFLDSGRSDYYKWSNTTKLAMDNAELYMDARVSPNSLTYYGFCMGNGNYTVNLHFAEIMFTDDKTYSSLGRRVFDIYIQRKLVVKDFNIAEEAGGFDKAVIKKFTAAVTSNALEIRLYWAGKGTSSIPFRSVYGPLISAISVHSDFTPPSENSTSISARAVVAIVAAGVIIIILVFGILWWKGCLGQKRSVERALEGLDSNNGLFSLRQIKAATNNFDRAFKIGEGGFGPVYKGILSDGRIVAVKQLSAKSRQGNREFINEIGLISALQHPCLVKLHGFCMEEDQLLLIYEYMENNSLARALFVRNDDPEKSPLRLDWETRQRICVGIAKGLAYLHEESRLKIVHRDIKATNVLLDKDLNPKISDFGLAKLNEEDNTHISTRIAGTYVLKSQNLSGTLPPELVRLPYLQEIDLTRNYLNGTIPRQWGTLNLVNISLLGNRVTGPIPKELGNITTLKSLVLECNQLYGNLPPELGNLTQIERLLLTSNNFTGEIPATFAKLTTLKHVRVGDNQFSGAIPSFIQSWINLEILVIQGSGFIGPIPSGISLLKKLTDLRITDLSGSDSPFPQLNNMINLTTLILRSCNITGALPEHLGNLISLQLLDLSYNKLSGPIPSSFDSLQNMNMLGNVSCLANVHCPKTLYSLYINCGGKLITSNGSKSYDDDSSDMGAAKFHRSPTNWAVMTGGQFLDFNHPDYYKWSNTTKLAVDNAELYMDARVSPNSLTYYGFCMGNGNYTVNLHFAEIMFTADKTYSSLGRRVFDIYIQRKLAVKDFNIAKEAGGFGKAIIKKFTAVVTSNALEIRLYWAGKGTSSIPFRSVYGPLISAISVHSDFTPPSENSTSISARVVVAIVAAGVIIIILVFGILWWKGCLGQKRSVERALEGLDSNNGLFSLRQIKAATNNFDRAFKIGEGGFGPVYKGILSDGRIVAVKQLSAKSRQGNREFINEIGLISALQHPCLVKLYGFCMEEDQLLLIYEYMENNNLAHALFVRNDDTEKSPLRLDWETRQRICVGIAKGLAYLHEESRLKIVHRDIKATNVLLDKDLNPKISDFGLAKLNEEENTHISTRIAGTYGYMAPEYAMHGYLTDKADVYSFGIVALEIVSGRSNTISQLTQECFSLLDWVHVLKAKGNLMEVVDKRLGEEFNEEEAMLIIKVSLLCTNFSPTLRPAMSSVVNMLEGKSIVQEVSDTSEALDDKKFEMMKQYYQ >OIW07489 pep chromosome:LupAngTanjil_v1.0:LG07:18339040:18339360:-1 gene:TanjilG_14435 transcript:OIW07489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQASHTCLTEEIASATHQNAQPDRGRHTNLTEEIASTTHQRRTNLTENSTSATHQRRINLTKAPTLHNRTTPDVNQGATDNMHQGTSNNQVGVPDCQLGLYTLIT >OIW08173 pep chromosome:LupAngTanjil_v1.0:LG07:5694090:5695284:-1 gene:TanjilG_06586 transcript:OIW08173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVEARHLNLFSSQLIPNREIMMNPMDANMNHYHNNHSSVLPLSGTTTTTVSETLFHPALPYNSLIADSFPPQKTITAMKSDVSTHNNNVHVSRKRSIDSINYAHISYNKNRATNFSFLGEDMSLQIHHQQLDLDNIVSQHMEKVRIELEEKRKREARTLMNAIEVGITKRLKTKDEEIDKIEKLNYALQERIKSLCMENQIWRDLAQTNEATANALRTNLMQVLSQARENPVEYGGATVEAAESCCGSNDENEGWRMVAGGAQDKEEERVASTRSRVMNNENGRLCRNCGKEESCVLILPCRHLCLCTVCGSTLHTCPICNSFKNASLHVNLT >OIW07975 pep chromosome:LupAngTanjil_v1.0:LG07:8086865:8089258:-1 gene:TanjilG_20076 transcript:OIW07975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDPRSNLFDGWFNFMPLHPSHCSYDSHDQSSFFSSSSSYPLSYSSNNMFGIQSEASSSSNIACPSSPPLREALPLINKLSLTNPHQNIEPSNGTYIAVEEDKEVESFFTGTEYDDGDGKAVTMSLNIGLPKMNTSSDLRSRKVSSTSMEIAAKEGVNMISGHPFDGLNKGHYWIPTPSQILIGPTQFSCHVCSKTFNRYNNLQMHMWGHGSQYRKGPDSLKGTQPTAMLRLPCFCCAPECKHNIDHPRSKPLKDFRTLQTHYKRKHGIKPYMCRKCSKAFAVKGDWRTHEKNCGKIWYCLCGSDFKHKRSLKDHIKAFGYGHGVFGMDSLQEEDEATSEIEHYGESSL >OIW07414 pep chromosome:LupAngTanjil_v1.0:LG07:19447715:19448011:1 gene:TanjilG_19255 transcript:OIW07414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRKEGYWGKGSDPKMMHIVENVIEELKTRGLNVEIVNITQLSEYRKEGHPSIYRKQWEPLTQTQISNPNGYADCIHWCLPGVPDVWNQFLYAYIFNQ >OIW07725 pep chromosome:LupAngTanjil_v1.0:LG07:12800717:12804021:1 gene:TanjilG_11852 transcript:OIW07725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATHLGSCASGAPYRSIHLLAPEPTHPFLNLLHFPNYQKPSQLTTTRRCLRLRAAVSGSGGGGDSYLDMWKKSVERERKITHFKRIAEQVLNNDDGGGGDIPSVEELEKKTQEFQKLLEVPSDERDRIQRLQVVDRAAAAIAAARAILKERDGARSGGSDGGGSKSRNREEMGKRQNGTQTGSILVPQSNTQKNGGVPGPDFWSWAPPPQPPDSGVPSESDTGLQLNTKSTVSSSLPNPVVEKERSPQFLSIPFESLLSQSKLNPSLPPLQSSLEVEQVEASAFNQESPSLEEEQSRGALSSAHAAEAAQALNKANELSPMGVNPDGSRWWRETGIEQRPDGVICRWTMTRGISADKAFEWQEKFWEAADEFGYKELGSEKSGRDANGNVWREFWRESMRQENGLMYMEKTADKWGKNGNGDEWQEKWWEQYNAFGQAEKWAHKWCSIDPSTPLEAGHAHVWHERWGETYDGHGGSIKYTDKWAERSEDGGWEKWGDKWDENFDLNGNGVKQGETWWEGKHGDRWNRTWGEQHNGSGWVHKYGKSSSGEHWDTHEKQDTWYERFPHYGFYHCYENSVQLKEVPKPSEIQEA >OIW07565 pep chromosome:LupAngTanjil_v1.0:LG07:17730262:17730615:1 gene:TanjilG_08452 transcript:OIW07565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIEPFWAFGGWFFLSKCMALPKTSTPISESFTKSSIFLQILTLFFILLILVNLSHQPNPSTKASFEPVKSSDQPTMSTTNFHPQKAKNTHSSSKDVGRDFGADAHEVPSGPNPISN >OIW08302 pep chromosome:LupAngTanjil_v1.0:LG07:4143846:4144283:-1 gene:TanjilG_02978 transcript:OIW08302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNGNSKGSKSKVHHGQRAKVGSSELLSHHVPRVKTEPVVVVGFPTNEGSLRLKGHSEEEEQYGKKSSSLLDNDDTFTEFIRHVKYKTRNFSNIGREQSNPAPEPEPETNKYNENQKDQFDDFIKVSKKKLKTTSRVGKNSSFRD >OIW07461 pep chromosome:LupAngTanjil_v1.0:LG07:19073820:19079657:1 gene:TanjilG_24323 transcript:OIW07461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIEPSSGSPERFNLYDELQLLEFQDKFVIKSHQSPNHGFSIDRRDGNINLLYGDTNLESPSNTSTIYGVVGTIRLVVGTYAIVITSRKEVGSFLGFPVYHLMSMRVLACNEALRFATGQEKKDEAYFMTLLKAVESMPGLYYSYETDITLNLQRRSKLVEGWMSKPIWKQADPRFVWNRHLLEELIECRVEGKRNTFYSNINFTEMYTAPRYVTMMLTNNNIIMNLEWLVIIRQHGDIGTRMWRRGANLDGDTANFIETEQLFENEDFSSSFLLVRGSIPILWEQIVDLSYKPHLRPNIVVRHFNDLNQRYGGIIAVDLTDKHGEEGQLSAAYAAEMQNQQNVRYVPFDFHCHCGSSNFDNLKILYDEISEDFEKQRYFLIDSKGNELEEQKGVVRHNCIDSLDRTNVTQCYLAEKSLNLQLQRIGVLTLSEGISMFDEEYGKFRTLWAEQGDEISLEYAGTYALKGDLVRYGKQTIFGMIKDGMSSISRYYLNNFHDGDALDLISGHYTVSRNSDSPLLQNSLEPFPKTVSTQIFPVASALIIGGLTATSFTLQQGGRNEHRYVSSVLFAGITAGVVAIVKSNGRHLCSRPRLCGLL >OIW07996 pep chromosome:LupAngTanjil_v1.0:LG07:8497548:8504959:-1 gene:TanjilG_20097 transcript:OIW07996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAATTLCLPPLPYTLRTLKPTLSPFLSSQQSLSFSHSTLLTTTTKLSTATPRAYVTGPVSDPIFGDPDPNIDGSGPEKVKPRGVISRELLWTLLMKHKLRLVVLVATLLACTTCTLSMPIFSGRFFEVLIGSRPEPLWKLLTKMGVLYALEPILTVIFVINMNIVWEKVMSTLRAQIFGRILIQKVEFFDKYKVGELTGLLTSDLGSLKGIVSENVSRDRGFRAFTEASNSTPMKSGSLAYHNFVFGTIFILFALSPQLAPILGVLMLAVSVSIAVYKRSTLPVFKEHGLAQASISDCVSETFSAIRTVRSFGGEKRQMFSFANQVLSFQSSGIKLGIFKSVNESLTRVAIYISLIALYCLGGSKVKAGELSVGHMASFVGYTFTLTFAVQGLVNTFGDLRGALAAVERINSVLSGVQIDDALASGLERELKQKSGGDDNYKLFFSTNSDEKNQSNYLQYMSALKTSSNLVSLTWSGDVCLEDVYFSYPLRPNVEILRGLNLRLKCGTVTALVGPSGAGKSTIVQLLARYYEPTTGCITVGGEDVRTFDKSEWARVVSIVNQEPVLFSVSVGENIAYGLPDDDVSKDDIIKAAKAANAHDFILSLPQGYDTLVGERGGLLSGGQRQRIAIARALLKNAPILILDEATSALDATSERLVQDALNHLMKGRTTLVIAHRLSTVQNAHQIALVSDGGIAEIGTHLELVAKEGQYASLVSTQRLAFE >OIW07754 pep chromosome:LupAngTanjil_v1.0:LG07:12558044:12565964:-1 gene:TanjilG_11912 transcript:OIW07754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGKVEVKGGVSAAVLAKVPVVADPLAEKPDEIASNISYHAQFSPHFSPFKFELEQAYYATAESVRDRLIQQWNETYLHFHKVDPKQTYYLSMEFLQGRALTNAIGNLNIQDAYADALRKFGLELEEITEQEKDAALGNGGLGRLASCFLDSMATLNLPAWGYGLRYRHGLFKQKITKEGQKEFAEDWLEKFSPWEVVRHDILYPIRFFGRVEVNPDGRYNDNKYLQFRMYVSRKWVGGEVVQALAYDVPIPGYQTKNTISLRLWEAKARAEDFDLFLFNDGQHESASVLHSRAQQICAVLYPGDATEDGKLLRLKQQFFLCSASLQDIISRFKERRQGPWNWSEFPTKVAVQLNDTHPTLAIPELMRLLMDDEGLGWDEAWDVTTRTIAYTNHTVLPEALEKWSQAVMWKLLPRHMEIIEEIDRRFTVLIKTTRLDLESELSSISILDNNPQKPVVRMANLCVVTSHAVNGVAQLHSDILKSELFANYVSIWPTKFQNKTNGITPRRWLRFCSPELSSIITKWLKTDQWVTNLDLLTGLRQFADNEDLQAEWLSAKKANKQRLAQYVLQVTGESIDPDSLFDIQVKRIHEYKRQLLNILGVIYRYKKLKEMSPEERKNTTPRTVMIGGKAFATYTNAIRIVKLVNDVGAVVNSDPEVNSYLKVVFIPNYNVSVAEVLIPGSELSQHISTAGMEASGTSNMKFALNGCLIIGTLDGANVEIREEVGEDNFFLFGATAEDVPRLRKERENGLFKPDPRFEEAKKFIRSGVFGSYDYNPLLESLEGDSGYGRGDYFLVGYDFPSYMDAQERVDEAYRDRKRWLKMSILSTAGSGKFSSDRTIAQYAKEIWNIQECRVP >OIW07939 pep chromosome:LupAngTanjil_v1.0:LG07:7764417:7766747:-1 gene:TanjilG_20040 transcript:OIW07939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEKENEEVMPLLTPYNMGNFNLSHRIILAPLSRSRSYNFVAQPHAALYYSQRTTKGGFLIGEASGVSETAQGYPNTPGIWTREQVEGWKPIVSAVHEKGGTFFCQLWHAGRVSDHSFQPDGQAPISCTDKRIQKDISNSAAATNRYTPPRPLRTNEIPMIVNDFRIAAKNAIEAGFDGVEIHGANGYLLDQFLKDKVNDRDDEYGGNIQNRCRFPLQVVKAIVDEIGADKVGVRLSPFADYNDCGDSDPQALGIYMAQSLSQLGILYCHVIEPRMVTQFQKFDTKWSLMPIRKAFNGTFIVAGGYNRSEGNQVIASGDADLVAYGRLFLANPDLPTRFEVDAELNEPDPNTFYTHDPVIGYTDYPFLQSAS >OIW08300 pep chromosome:LupAngTanjil_v1.0:LG07:4153157:4155622:1 gene:TanjilG_02976 transcript:OIW08300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVAPRSGDAIFASVERVNAELFTLTYGAIVRQLLTDLEEVDEVNKQLDQMGYNIGIRLIDEFLAKSNVSKCVDFKETTDIIAKVGFKMFLGVTASVTNWDADGTCCSIVLDDNPLVDFVELPDNCQGLYYCNILSGVIRGALEMVSMKTEVTWIRDVLRGDDVFELQVKLLKQVSEEYPYKDDE >OIW08653 pep chromosome:LupAngTanjil_v1.0:LG07:1313490:1314903:1 gene:TanjilG_03329 transcript:OIW08653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFGQSYISALPLLLLLLSTTCNAELSTNYYSDTCPNALSTIRTVIRSAVSAERRMAASLIRLHFHDCFVQGCDASILLDDSSSIESEKTAIQNDKSIRGYDIIDKAKAEVGGPSWSVKLGRRDSTTANKNLAISDLPLFTDNLETLISRFSKKQLSARDMVVLSGAHTLGQAQCFTFRNRIYDNASVIDGGFASTRRRGCPSLSNPENNKKLAALDLVTPNSFDNNYFKNLIQKKGLLHSDQVLFSGGSTNNIVSEYSENPTTFKSDFAAAMIKMGDIEPLTGSNGIIRRICSAIN >OIW08029 pep chromosome:LupAngTanjil_v1.0:LG07:9144244:9144642:1 gene:TanjilG_20130 transcript:OIW08029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRGKMSTKEVDEQMLNVQNKNSSYFVEWIPNNVKSTVCDIPPSGLKMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATADDEGYDYEDEEEVQVED >OIW07437 pep chromosome:LupAngTanjil_v1.0:LG07:19703462:19706158:1 gene:TanjilG_19278 transcript:OIW07437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFTVLKSKKKKSDQIAYVKRVSHNDNVPTVLPKPQTHTRSLQSAPPSFRTRVKPIQTVKKINNNRARALSAPSTLDAAEQDALASVEYEDQEKPKHQTGAMKEQQSSTPHPLPLPSPQGGAALKAMGSFKSVTASGPLSASGPLPLPPTGSLRNFQYDEIAAACLNFSSDRCMSECLSSTIYKASFGDDVSSSKRFEATVTRLHPSTQGLKEFMNEVNTLASLQHPNLCKLLGFHARDGSEQRLLVYERLYHGSLDRLLYGRSDGPSIDWNSRIKIAKCAAQGLTFLHEEGPFQAMYNEFSTANIQIDKDFSAKLSGYGCAGHIPNEEISSSSSAVGNLSIETLEKGMLTPKSNVWSFGIFLLELLTGRKNLDSRHPKEERNLVKWSWPFLADEYRLSLIMDHQLKGRFPAKAARTIADIAQRCLQKEPSERPTMRTVVKHLKMIQDVKYSCRFPLQEPAAISGKHMWRSPSLNGIICPVPRLSFSPSPPSSGTLLSVSPPRWSGVPIVLPPRACLKELDRQESRKSSSSASRRPSVEGF >OIW08086 pep chromosome:LupAngTanjil_v1.0:LG07:6642633:6644690:-1 gene:TanjilG_21066 transcript:OIW08086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLSLKLDEWTDEQVDALAKFGGNRILNKKYEACLPSYIPKPKPHSSIEERSDFIRRKYELLQFQEGDDHSPCPILPYQGRISSLAQSSSSCNNSHPDKKPYDKRSTKHRVGHPFRNSWGRKDSEHKSSSKKSTSLAGMVEFVGLIKVNVVKGTNLAVRDVMTSDPYVILSLGHQSVKTRVIKNNLNPVWNESLMLSIPENIPPLKVLVYDKDTFSTDDYMGEAEIDIQPLVLAAKAYEKSSINESMQLGKCVASKDNTLVRDGVISLDQGKIKQQISVKLQHVERGVLEVELECVPLTQ >OIW08398 pep chromosome:LupAngTanjil_v1.0:LG07:3349474:3349740:1 gene:TanjilG_03074 transcript:OIW08398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSASAKKVCIVAASVGVVEALKDQGQCKWNNTLISAQQHAKSHVGSLSQAKKKIPSSAMVSNSCMVKSKKSEESLRTIMYLSCWVPN >OIW07494 pep chromosome:LupAngTanjil_v1.0:LG07:18396993:18401438:1 gene:TanjilG_14440 transcript:OIW07494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKITALLVLKCNGGDGSDPVILANASDLNHFGYFQRSSVREFIVFVARTVAKRTPTGQRQSVQHEEYKVHAYNTNGLCAVGFMDDHYPIRSSFSLLNQVLEEYQKGFGESWRTVQADSTQPWPYLNDALTKFQDPAEADKLMKIQRELDETKIILHKTIDSVLARGERLDSLVEKSSDLSAASQMFYKQAKKTNQCCTIL >OIW07823 pep chromosome:LupAngTanjil_v1.0:LG07:10073004:10076401:1 gene:TanjilG_32679 transcript:OIW07823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMDPLLYWRGQYQPKIICTTSTPSPPLPFFSQFLNMAGTLVPSNGYIYSSPPPPVHSPPPPYYYHSPPPPVHSPPPPYKYSSPPPPHKKPYKYSSPPPPVYKYKSPPPPVYSPPPPYKYPSPPPPPYKYPSPPPPVYKYKSPPPPVYKYKSPPPPVHSPPPPYKYSSPPPPPKKSYKYSSPPPPVYKYKSPPPPPKKHYKYSSPPPPKKHYKYSSPPPPKKHYKYSSPPPPKKHYKYSSPPPPIYKYKSPPPPVHSPPPPYKYSSPPPPPYKYKSPPPPPKKPYKYSSPPPPVYKYKSPPPPAHSPPPPYKYSSPPPPPYKYKSPPPPPKKPYKYTSPPPPVYKYKSPPPPVHSPPPPYKYSSPPPPPYKYSSPPPPPYKYSSPPPPPYKYSSPPPPPYKYSSPPPPPYKYSSPPPPPYKYSSPPPPPYKYSSPPPPPYKYSSPPPPPYKYSSPPPPPYKYSSPPPPPKKPYKYSSPPPPVYKYKSPPPPVHSPPPPHYVYASPPPPVHSPPPPHYIYASPPPPHY >OIW07517 pep chromosome:LupAngTanjil_v1.0:LG07:18651964:18654731:1 gene:TanjilG_14463 transcript:OIW07517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPSEGMGFSLDLRKIGSKRQSRSKTGKESDLPMESKKNIGSSCTDPYVIGKIRNQESVKGSSTQRDELVKYMSNLPGFLQHSDRGENMQEKALNVGVLDWSQLEKWKNKRTFMPGVASSFTSFNSSGESSSRSTRKKGLYSSSIKASYKEDFPESSKVSSEDIKLYQYFEAEPIETKIIEDKNRMRPWESIGKNRSDTSHRNEKRNDYDKIITLGEYFASNSRDHSVSLVLDENASPDVEATKIKEVLQQHSLKKNERNHKSSSHKGLSSLKSKDKGVSYGSRQKMISSRSKTKKKMDKQKESDFDFPEKRSHRKPSNIVLLRPGQVPQSSSQDYYHLSHFRTSSDEIFSESSGSSLSFSSLPEEVYTEDACSEIPHSSTLPFSAELASSSASETMQHSVNTDQDIDHSSVVSRKPVCSNMMSSLQFEDTSIEKEILDIKLRNQCAFSNTQESLYQETAGMTDQRRGNESSHHRLSFSFNRIGKSLSFKEGSTLPQFSSVNVKAKSGPLTFESSASLVNSSKEKANAHNRTRSSSFRWLLDPILKPKASNIHRSAEIIPSTKSNLNSINLHAEKGSSIQAVLQLTIKNELPLFKFVLSSERKVLVATMKSSREKDDIGCYFAFYHLNEIKKKSGGWISRGSKEKSCGYVYNIIGQMKFSRSKIAEPKNQNSERQCVVKEYVLSGVEVDQTDEGPPIKSEELGAAVIKIPCENFGHEEPDRNDLLEKGSLKCLADEKCFRSSGENDISFSPTVILPGGVHSSSNQGEPSPLIHRWKSGGLCDCGGWDVGCKLLVLSNQKQCSNVSRSRFQLFVEEGADHNTPLFSLAPSTDGFYSVEFSSTITHLQAFFISVVLLSSQKLPPSTMNKNELQEKASINYNPIPPLSPVGRV >OIW08331 pep chromosome:LupAngTanjil_v1.0:LG07:3805818:3807061:1 gene:TanjilG_03007 transcript:OIW08331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRKESFVFSLIILIITLSPFCDGGSGGIPPQELWCVAKNNAEDSALQDALNWACGPGGADCGPIQQGGPCYDASNVLNTASFAFNDYFRKHGLTDDSCNFNNNAAITTLNPSHDNCKFPSSMAAVSNGSFSGSVPAAGSRPNDNMNENDCSKVSWSWWFWPLSIISHLLLMVSPSGVVYG >OIW08506 pep chromosome:LupAngTanjil_v1.0:LG07:2435509:2442168:-1 gene:TanjilG_03182 transcript:OIW08506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAEKPTWVRHEGMQIFSIDVQPGGLRFVTGGGDHKVRIWNMNSVRTDMENDESSQRLLATLRDHFGSVNCVRWAKHGRYVASGSDDQVILVHERKPGSGTTEFGSGEPPDIENWKVAMTLRGHTADVVDLNWSPDDSTLASASLDNTIHIWNMSNGICTAVLRGHSSLVKGVTWDPIGSFIASQSDDKTVIIWRTSDWSLAHRTDGHWAKSLGSTFFRRLGWSPCGHFITTTHGFQKPRHSAPVLERGEWSATFDFLGHNAPIIVVKFNHSMFRRNSSNSQEVKPAPVGWANGASKTESKDPQPYNVIAIGSQDRTITVWTTASPRPLFVAKHFFTQSVVDLSWSPDGYSLFACSLDGSVATFNFDVKELGERLNDTELDELKKSRYGDVRGRQANLAETPAQLLLEAASANQTPNKKVSVVQQNQKMAKACVDAGITTKKAEIHVDDSKKSGGPVGDGSNKATTSGRISSPVKQREYRRPDGRKRIIPEAVGVPVQQEIISSAVQSQALDLPIICSDIRKGTNAVVSNDDVIRASTLGGAPGRNLDLKERSGVTARATISESLVIEKVLDSAGRDGNINVEQLGNSKASSSFTGSSSALSIRVFDKKGGEDTSPICLDAQPKEHSVNDIMGVGNASTMRETEISCTRGSQVLWSDRISGKVTVLAGNANFWTVGCEDGCVQIYTKCGRRAMPTMMMGSAATFVDCDECWKLLLVTRNGSLYLWDLFNRTCLLQDSLASLVALSPSSSAKDTGTIKVISAKLSKSGSPLVVLATRHAFLFDMSLKCWLRVADDCFPGSNFASSWSLGSIQSGELAALQVDVRKYLARKPGWTRLTDDGVQTRAHLEAQLASSLALGSPSEYRQCLLSYVRFLAREADESRLREVCESFLGPPTGMARDTSSYSNSLAWDPLVLGVRKHKLLMEDILPSMASNRKVQRLLNEFMDLLSEYEIADTDQRNPVLAKTSSPVTTDKVDTTPPKLDPKYLKHAAIVSKENAQVTNDQTNGVSADALLADQVVQDTEETEGGS >OIW08058 pep chromosome:LupAngTanjil_v1.0:LG07:9566802:9567460:1 gene:TanjilG_20159 transcript:OIW08058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKIILKVELHDDKIQRKAMKSVSGLSGVESLLVDRKDQKITVIGDMDPVKVVNKLKKFCYVEIDSVGPAKEKKKGEKNHLTGLVNQSGTPCLCPYPCYYYKIIEDHPNGCVIC >OIW07662 pep chromosome:LupAngTanjil_v1.0:LG07:14623016:14625415:1 gene:TanjilG_07704 transcript:OIW07662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRGPRSEKVRRIFNQFDANGDGALNREEMASLVVAVNPRVKFSDEQISAILDEVFKTYSDFIDGEEKGLTYEGLLRTYDDGAGDVDRDYDALGLELNFDDKAPPHVSEASSSSIVDERMAVETQKKQRTAAWAVSPNHGIVFDDTWKIVDDLEILVKRLKVKQAKGGKFKGENFDAYSDAGWSRELGPSAEISEKRVVWEESGHDFAVFLKELVGLRDRADGARSREEAFDGHMAIGRVLYEHQLFKEALVSFNRACELQPVDVRPHFRAGNCLYALERYKEAKEEFLLAIESAEAGGNQWAYLLPQMYVNLGIALEGEGMVLSACEYYREAAILCPTHFRALKLLGSALFGVGEYRAAVKALEEAIFMKPDYADAHCDLASALHAVGEDERAIEVFQKAIDLKPGHVDAFYNLGGLYMDLGRFQRASEMYTRVLAVWPNHWRAQLNKAVSLLGAGETEEAKRALKEALKMTNRVELHDAISHLKQLQKKKTKPNGGAPGESPFVIVEPSKFRTVGEKTTVRQELNYALQIRALQRITRLSRCNVELLKKEMSENEVPVSYSGRGDPEKSIRKPSLEEILHRLLSFLKPETFQGAVKAINERILSVLDESGSGRVDLGMFFAILAPICGGPPERRKRIAFDALLWRPLDGDGANVKKADATLYIKLLRAVYVPSQGVSELLEIHGDSDTSMVSFSEFLVMFDDPDWGFGIMPTLVKLETGDRNRHGNTVCSVCCYPIIGSRFKEVKSHFSLCNQCYSEGKVPSTFKQEEYKFKEYGNEAEAMKDKCMCFNLQSRNEK >OIW08141 pep chromosome:LupAngTanjil_v1.0:LG07:6221725:6224463:1 gene:TanjilG_06684 transcript:OIW08141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTVSITAANPSAARRRAVVATEKTTSTNIELLPVDSALSTPAATSGAGEGRNPTSAGNARDISHHSIRGEAVLERSAKDLTPAKKSSVNGVIAGGPNSNGLATRRTRKPNGKAEKARWTTVLSIFAKNFALIVVLLGLVQLIRRLALKSGDGVSGDNVGLFDIEGRISDMEGSLKRTAKMVQVQVEVVNKKIVDEVGGLRKELSDKIDEKGAILESGLKKLEAKNDELERYLNELKTEDWLSKEEFEKIFEGLKNAKGNESNVGGLDEIREIARGMIEKELEKYAADGLGRVDYALASGGARVVKHSEPFDTRWGDWFMSAATNGVHHNAERMLKPSFGEPGQCFPLKGSSGFVQIKLRTAIIPEAVTLEHVAKNVAYDRSSAPKDCRVSGWLQGRNTDALIDTENMYLVVEFTYDLEKSKAQTFNVLNSTGSGLIDTVRLDFTSNHGSPHTCIYRFRVHGHEPDLASLMALQS >OIW07896 pep chromosome:LupAngTanjil_v1.0:LG07:7168002:7176496:1 gene:TanjilG_19997 transcript:OIW07896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGHGIIEDEEKWLAEGIAGIQHNAFFMHRALDDSNLRDALKYSALMLSELRTSRLSPHKYYELYMRAFDELRRLEMFFKDESRHGVAIVDLYELVQHAGNILPRLYLLCTVGSVYLRCKDAPVKDVLRDLVEMCRGVQHPIRGLFLRSYLAQVSRDKLPDIGSDYEGGDSESVKDAVDFVLQNFTEMNKLWVRLQHQVPGRIRDKKEKERNELRDLVGKNLHVLSQIEGVDLEMYKNTVLPSVLEQVVNCKDELAQFYLMECIIQVFPDEYHLQTLETLLGACPQLQPTVDIKTVLSQLMDRLSSYASSNSEVLPEFLQVEAFTKLSTAIGRVIEAQVDMPIVGAIALHVSLLTFTLRVHPDRLDYVDQVLGSCVKKLSGKPKLDDSRATKQVVALLSAPLDKYYDIVTALTLCNYPRVMDHLDNETNKVMAMVIIQSIMKNNTCISTADKVEVLFELIKGLIVDLDGAAVVEVDEEDFSEEQNSVARLIHMLHNDDPEEMFKIISTVKKHIMGGGPRRLPFTVPSLIFSALKLVRQLQGQDGDVAGEEIIEALSSVSSPELALRLYLQCAEAANDCDLEPVAYEFFTQAFVLYEEEIADSKAQVTAIHLIIGSLQRMNVFGVENRDTLTHKATGYSAKLLKKPDQCRAVYACSHLFWVDDQDGIKDGERVLLCLKRALRIANAAQQMTNVTRGSSGPVTLFVEILNKYLYYFENGNPQITSATIQGLIELITTEMQSDCASVLPVSDPFFASTVRYIQFQKQKGGVMGEKYDSIKV >OIW07724 pep chromosome:LupAngTanjil_v1.0:LG07:12779202:12780584:-1 gene:TanjilG_11851 transcript:OIW07724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQSFFKSILLNSDFSVTNEPSVGEDVFVWLGSLLPLVSDVANGRFTFETLTATTCFRLYFPAYDMFLKEMDK >OIW08125 pep chromosome:LupAngTanjil_v1.0:LG07:5990769:5991104:-1 gene:TanjilG_06668 transcript:OIW08125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLGGGKHIPKDKESPYVLHYSGYYGKTGGVGEKRTLKVDVVIGADGANSRVAKAIDAGDYEYDIAFQVIALVSF >OIW07425 pep chromosome:LupAngTanjil_v1.0:LG07:19632284:19634463:-1 gene:TanjilG_19266 transcript:OIW07425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPPFTTAVIGPQYCAPYPVDLAIVKKVMTISDGNFVVTDINNNVVFKVKGSLMTLRDRRVLIDAAGNPIATLRRKMMSAHDRWQAYRGESTESKDLIFTLKRSSLIQFKAKLDVFLANNTKEDVCDFKVKGSWFERSCTVYAAESNNIVAQMHKKHTVQSILIGKDNFMVTVYPNIDYAFIVALIVILDEINEDERDD >OIW07629 pep chromosome:LupAngTanjil_v1.0:LG07:16517530:16517745:-1 gene:TanjilG_16610 transcript:OIW07629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSRKGNGNKIPNCQLLLLASLHLHRRGGARWLTDLAGGSLPARVGGDRRRESLSLQDASNEISLFSLLR >OIW08530 pep chromosome:LupAngTanjil_v1.0:LG07:2251687:2255724:1 gene:TanjilG_03206 transcript:OIW08530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIAGLHNVSVRDSSFLRESLSQSSRRRGDGRRGSTQSSLLQIWREIEDEHVASQVHGRPGEVLIEQRSDGLIVDLSHADTPRSRERGRGNMLEEAVLADNDSEMWSQSQSQNESHDDHEDLNNSSCENSSDLGEVERERVRQIFREWMNSGAREYGSNISRRNSSPMGEWLGETEQERVRIIREWVQLSSQQRGVSSVENRKEQFAEIDTQIERVRDGFILNQSEHTRRGIRKLCGRQVMLDMLKKAERERQREIQELLDHRAVSHFPHRNRIQALLRGRFLRNDRCGDNNMPTSTARSELGLLRQRQTVSGLREGFFSRKDDTGCNQATSNLSDTASISDIDFNSSEQTGDNSSQLVPPIHSEPNNRGSDGLHISADRNYLHGVTFENVDTQDSTLHVEDQLQSMQIESLHSQPSPSVDVKGRGSTEQNVDIALTEDSADELTQESLRIGNSENSNLQKFSEAYNEQSELGDIHNGENNHMEDNVVDDMNWSESNALQGDELEEVIDSEGSDWHQSNTEGRNSSELGDIRNGENNHMEGNVVDDMNWSESNALQGDQLEEVIDSEGSDWHQSNTEGRNSTEENVDDNHISSTANEWPENRLGYEDGDNTRLQVAPEVWQEDSGFQEAMENWFGGPSGHEDTPVGRIPGFYFPDDDNVYSVELRELLSRRSVSNLLHSSFRESLDQLIQSYVERQGGNAHIEWELQETTPSSASVEQDLEQQSRDQIVGEEGTVNSPHGLPALPIPPPLPLWGRRPHRDNWSQNDVNNQRPGMDWEVNDLRLDMARLHQRMNSMQRMLEACMDLQVELQRSIRQEVSAALNRSADSSGTNVCEPSYDESKWECVRKGICCICCESSIDSLLYRCGHLCTCLKCANELLQSRRKCPMCQAPVVEVIRAYSML >OIW08279 pep chromosome:LupAngTanjil_v1.0:LG07:4358371:4364412:1 gene:TanjilG_21745 transcript:OIW08279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDDNSIRTLKEFYIPDYILVPGAKIDSVSHIPACPVIVFINGKSGGQLGGELLVTYSSLLNKNQVFDLELKAPDKVLHQLYATLEKFKYRGDNFAAEIQKRLRIIVAGGDGTASWLLGVISDLELPQPPPVATVPLGTGNNLPFAFGWGKKNPATDRPSVASFLNQVKDAKEMKIDSWHIVMRMRAPKEGSCDPIAPLELPHAMHAFNRVSSTDKLNLEGYHTYRGGFWNYFSMGMDAQVSYAFHSERKLHPEKFKNQLYNQRTYLKLGCKQGWFCASLFQHSRNIAQLTKVKIMKKQGQWEDLHIPHSIRSIVCLNLPSFSGGLNPWGKPNRKKSIYRDLTLPFVDDGLFEVVGFRDAWHGLALIAPNGHGTRLAQASRIRFKFHKATADCTFMRIDGEPWKQPLPLDDDKVVVEISHHGQVSMLATPSCRSKSVHDLSSHTVDSEEDSSDEESSENSEERRKFGAADTFKYND >OIW07735 pep chromosome:LupAngTanjil_v1.0:LG07:12093548:12098257:-1 gene:TanjilG_11893 transcript:OIW07735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLAPMDPLPPPPPLSSDSVSLRSENQRFGDLRGLKWRINLGVLPSSTSLDNLRRVTADSRRRYASLRRRLLVEPHIPRSRSADLVMDNPLSQNPDSTWSRFFRSAELERMVDQDLSRLYPEHGSYFQTPGCQGMLRRILLLWCLRHPECGYRQGMHELLAPLLYVLQVDLGRLSEVRKLYDDLITDRFDGLLCQENDLCYSFDFRKSQDSVEDETGYHEKAMKVNSLDELDPEIQTIVLLSDAYGAEGELGIVLSEKFMEHDAYCMFDALMTHGSVATADFFSQSPLAGSLSGLPPVIEASTALYHLLSLVDSSLHSHLLDLGVEPQYFSLRWLRVLFGREFSLDNLLIIWDEIFASDNNSKVEKSANDDIKCGFRILHSPRGAFISAMAVAMLLHLRSSLLATENPTKCLQRLLSFPEDINIEKLLEKAKPLQALALSVDISSSSPLFLGSHHQGKSTFPRSVTLQPESVSPKTPLNLLPDSYWEEKWRVVHNTDENKQDDVQKSIPTRKKGWTEKVKFSLRRTESDPPPSRIQGGKRLPKASVRRSLVEDLRKALGSEEDTEQTNSHEILCQQDNLSKAVEVERQDGNRKGDNNCSSDDRCPSGSAGSEDDLSDQTSPPNETNDHEITSVKSSVASNSSVDESNESSQTSLNDQCVLISVPPVNIPETQGSKDNNEANPAIDPKERKQNKFQWLFKFRRNTVELISEKIGGASDAAKSANSHSNQSSTPSPASSTTNTHGSSVTCKGDTVDQNMMGTLKNIGQSMLDHIQVIESVFQQDRSQGTSVENLSKNVLVGKGQVTAMSALKELRKISNILSEM >OIW08680 pep chromosome:LupAngTanjil_v1.0:LG07:1144044:1144883:1 gene:TanjilG_03356 transcript:OIW08680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPSDEEKSEWIDRIRCEGSIPFLKPDNCSNGWASPPEAAFMVRGPEYIKTKAKIPADDYLLNPIGFDWIKSSVKIGEILNHPDSRVRMVIDNEFQGVDKPFVWAFNLQLPSKDNYSAIAYFTTKDPIPEGSLMDKFLKGDDAFRNSRLKMIANIVNGPWIVRKAVGEQAICIIGHALSCKFCVAENFVEVDIDIGSSMVATAIVHLAFGYVSTLTVDLAFLIEGQAESELPEKLLCGIRFSNLDPASARPIEPSPTMSASSLQKSLSSRLWKSFRFT >OIW07849 pep chromosome:LupAngTanjil_v1.0:LG07:6760257:6760664:1 gene:TanjilG_19950 transcript:OIW07849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMVRCMLKCKGLPHHLWGEAVTTSTYVLNRCPTQRLNNMVPEEVWTGKKPLVNHFKVFGSLCYRHIPDQIRRKLDDKGELMVFVSYNSTGSYKLLNHVTKQTVFNMDVKFDEMSTWNDLLKKLDHKESMAPIPI >OIW08803 pep chromosome:LupAngTanjil_v1.0:LG07:240706:245211:1 gene:TanjilG_16384 transcript:OIW08803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNWAKEAWRCVSKKNILCRRFANAPFSDHQLTRDFLVKLWVADTKITNPNNQIRSRRFDEDDDVKAKRVLKQPPISQSSLSNPQSLQEAKVAPLLARSNLLITRDIEWANLVFGFEQENRYAIVDVCYPQSPVGFIREQSSIIARQLLRTRRPFVAQITDAVGSELFTVRRPIWWITSSIYAEIDGKEIGVVHRRWHLWRRIYDLYLGNKQFAVVENPGFWNWTFTMKDINGEVLAQVDRDWRGFGFEILTDAGQYVIRFGSSDPSSKIGLASAIEDLEVSRPLTLAERAVAVALAISLDNDYFSRHGGWGLPFMAVGE >OIW08341 pep chromosome:LupAngTanjil_v1.0:LG07:3753599:3755280:1 gene:TanjilG_03017 transcript:OIW08341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVLPVVKLGTMFLRTVCKPIANRLKKEAGIHPKFRHLITTIAQANHRFSTTIQRRIYGHSTDVAIRPLNEEKAVQAAADLIGELFVFTFTSIKVAGAAIVFEVQRSSRSEARKEEQRRQEIQAIKIRNEELAAEVQLLIQKLEELEQLARLRGLSGIINFRQNHASKDIKS >OIW07792 pep chromosome:LupAngTanjil_v1.0:LG07:11142417:11144369:-1 gene:TanjilG_31984 transcript:OIW07792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKQVFDGERGSEHRRQLLPSYKAHRRKFTRYSSSPQRYSRGHFGKSHQVINDVLGKCNVPPLHHVGVGVVDKVLKVDGHEADDVVATLAGQVLNKGFQVVIASPDKDFKQLISEDVQLVMPLPDLQRWSFYTMKHYRDQYDCDPQSDLSLRCIVGDEVDGVPGIQHVVPSFGRKTALKLIKKHGSLETLLNAAAVRTVGRPYAQDALTKYADYLRRNYEVLALKRDLDVQLCDEWLVKRDTHNDTIALSTLFKHLEESKELAYTGRPKPL >OIW07767 pep chromosome:LupAngTanjil_v1.0:LG07:11830118:11830490:-1 gene:TanjilG_12893 transcript:OIW07767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKVAYVNDLNLKATELRLGLPGREENEEKTKSDVRTNKRSLIETSEECGSNAQNMNNDAAPPSKAKIVGWPLLQK >OIW08792 pep chromosome:LupAngTanjil_v1.0:LG07:190843:192396:1 gene:TanjilG_16373 transcript:OIW08792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIWFILIILCLLLLIILKTVGNLFFSHPPLPPGPAKFPIIGNITLLQFVKDPETLLRNLQAKYGPIFTLHIGSQTAIFIADRFLAHQALIQNGTNFADRPKPVPTNKIISSNQHDIVFSFYGPLWRLLRRNLTSRILHPSQYKSYAHARKWTLHLLLDRFKCDVDADNSINYIEHFRYGMFCLLVFMCLGDKLDEKQIREIEDTQRQMLVKIGRYSVLNLWPPITTILFRKRLQEFLQLRRDQEAALIPHINARRKLKEERVGNIDKENNDSDKYIESYVDTLLDLELLEEGSKLDNSKICTLCAEFLNAGTDTTATALEWIMANLVKYQDIQERIVEEIKGVMGEREDKEVKEEDLQKMPYLKAVILEGLRLHPPVHFLAPHRVTEDAVLNGYSVPSSATIYFIVAEIGKDPTAWDDPMSFKPERFMFMNDEDENGDTTFDIMGSKEIKMLPFGAGRRMCPGYALGILHLEYFVANFVWNFKWKTMGGDHIDMSEKLQFTSVMKNPLKVHLVPRL >OIW08605 pep chromosome:LupAngTanjil_v1.0:LG07:1654798:1656957:-1 gene:TanjilG_03281 transcript:OIW08605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISQPLLLAFIIFLSAIFLKLLSSKRKNKSEQHLRHPPSPFALPIVGHLHLLQPIIHQAFRDLSLKHGPLIYLKLGYARFVVASSPEVAKEILKINELTYSSRKMSTAINLVTYDNATFAFAPYDTYWKFIKKLSTTELLGNRTLAQFLPIRTREIHEFVRTLAQKSEAKERVDLTEELLKLSNNIISQMMLSIKSTDDQSGEARALVREVTQIFGEFNVSDFIGVFKNLDLQGFRKRAMHIHKRYDALLEKIISDREESRKIQKGTKPEGGVEDGEDRLKDFLDILLDVSEDKDCEVKMTRNHIKSLILDYFTAATDTTAISVEWTISELFNNPRALKKAQEEVDRVTGRERLICEADSVNLPYIHAIIKETMRLHPPITMIMRKGIEDCVVNGYMIPKGAVTCVNIWAMGRDPKIWENPLEFKPERFLEGEGNSIDIKGHNYELLPFGTGRRGCPGMPLAMRELPTMIGVLVQCFEWNMFDSNGKIVEHGKTIDMDERPGLTAPRANNLICIPVARLNPTPFLHV >OIW08813 pep chromosome:LupAngTanjil_v1.0:LG07:302672:303363:1 gene:TanjilG_16394 transcript:OIW08813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGSSDIAATGEKASELEALQKEHEEKTLKIQELKIQIELTKNRLEKRKKEVNEENIGGFNTLSNKYNSLREEYNAMLAEKPKE >OIW07663 pep chromosome:LupAngTanjil_v1.0:LG07:14630962:14631240:-1 gene:TanjilG_07705 transcript:OIW07663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKQGKKRNDAGIITKVSNSTVVRHTKQAASDAAFVTKKLLRSTGKAAWIAGTTFLILVVPLIVAMDREQQINELESQQASILGTPPLAPAK >OIW08692 pep chromosome:LupAngTanjil_v1.0:LG07:1058324:1059567:-1 gene:TanjilG_03368 transcript:OIW08692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKRMDFQEEFVQLMNSDLKELSVAANKLAQHAIQLGGRGFGVSFFGLIAAIAAIYLLVLDRTNWKTNILTSLLIPYIFFSLPSIIFGVFRGEIGKWIAVVAVVLRLFFPKHFPDWLELPGALILLIGVAPSLLAVTFRGDIVGVAVCLIIACYLLQEHIRAAGGFRNSFTKANGLSNTIGIVLLLVYPIWALVIFIF >OIW07435 pep chromosome:LupAngTanjil_v1.0:LG07:19697282:19697692:1 gene:TanjilG_19276 transcript:OIW07435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNPMVMKLTLLGMMLLCMFLGAIPIAYGAVPCGEVQFKVAPCLGYIRGPGGVPPAPCCNGLRALNNEAKGTPDRQGVCRCLKSTVLSIPGLNLATVAAVPSKCGINLPYTVSPTTNCNMYVYNTLNIYIPYLFNT >OIW08168 pep chromosome:LupAngTanjil_v1.0:LG07:5634699:5638250:1 gene:TanjilG_06581 transcript:OIW08168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLLLGDICHNGTYQGGSQIFSEETPEDGSRWYFSKKGIEENSPSKQDEVSNQMLELYEQNRIAPSNDVEGPAGSGNRATSKAPTSNDEITTTKSGLFTEAATSKNTFSLSSVNHVGRPVSNHGKNGDCETTEMKHRMEDDAKGNQHSKLEPPLHKENLQEASEIKEDAELNDKYSSRNGTHAQPHYEAIEKIDKDKLKAAIEKRKKATGHIPKKMEFMDDDDLIERELEDGIELAAQNDKSIHDRRQSWSGKHQDHGEKHVHEMKVQPSFETDPSAVEEGEVAAVDEIGLGLRSPKLNNHKRKAGSSPDRILEGKKWHTNGSGPPHHGRFDYVDDRNKVSRLVHAERDRKSYVQESHV >OIW08087 pep chromosome:LupAngTanjil_v1.0:LG07:6649992:6652955:1 gene:TanjilG_21067 transcript:OIW08087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLSMESLVLQLHEISAVKFGNFKLKSGISSPIYIDLRLAISYPSVLRQISQTLISSVSKTSFDLVCGVPYTALPIATCVSVTQNIPMVMRRKEIKDYGTCKAIEGDFKTGQSCLIIEDLVTSGTSILETAAPLRSIGLKVSDAVVLIDREQGGRENLESNGINLHAILKLTEMVKILREKGKVDGEMVGIVMRFLEENRKVAAMVTRAKVKALSFLERANLSKNPTGKRLFEIMVEKESNLCLAADVGTAAELLEIADKVGPEICLLKTHVDILPDFTPDFGSKLRSIAEKHNFLIFEDRKFADIGNTVTKQFEGGIFHILDWADIVNAHIISGPGIVDGLKLKGLPRGRGLLLLAEMSSAGNLAKGDYTTAAVKIAEDHSDFVIGFISVNPASWPGASINPSFIHATPGVQKVACSDGLGQQYNTPYSVVHDRGSDIIIVGRGIIKAENPSEAAREYRLQGWDAYLAKCG >OIW07704 pep chromosome:LupAngTanjil_v1.0:LG07:13049552:13072571:-1 gene:TanjilG_19647 transcript:OIW07704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYSQFILAKKGPLGTIWIAAHLERKLRKNQVADTDIGVSVDSILFPDVPIALRLSSHLLLGVVRIYSRKVNYLFDDCSEALLKVKQAFRSTAVDLPPEESTAPYHSITLPETFDLDDFELPDNEIFQGNYVDHHVSTREQITLQDTMDGVVYTSSQFGLDERFGDGDASQIGLDLDEVLLTDKAATMEHDDLSASPQFSYQEDEMKDEIDDLPVAADAGECAQGPSTPGLEEPDLFGTQVDQVNNEIDDHNSADLVSVKSMQEVSPSQQRDGDAIDCSLQNNVQPVGVDLHHEGDDSLPIELDRKREQKEHLACMVVTTDQDSLIPNDHCSTSSPLTISSNIECPTALIPECAGGMISASGIPEMMEDFNGLVLMNNKQPHSDQTITNCVVTGGVNVNETAVSLSCSHVTSDQENISCKHLLNMDGSRGPESDAPMKDDHTSPKHEVLNAIEMSHNEGLSCPIDEAQVSNVISPRGSVGEPQVVDEEVQVSQELIETESSNHISHEVAHPTESHLRPCTSQLSHPSLSSVEDPAIGHHETIEPFVCEGNPELGTSDVQIESHIFCDKVEIISKSAASDMPEPEKMLSLGYQHDGETNNNLPMESTPNNQVVSDDHTDPAGIKCISSKKRSFTESTLTMQSVDFVESYGGTQSKRTRESIPDDDDLLSSILDGRRSSVLKLKPSPVAPEIVSTKRSRSAPHTSALKRKVLMDDMMVLHGDTIRQQLTDTEDIRRIRKKAPCTRHEIFMIQRQSAEDEIFHEPIFTCLSADLTILRNGSFDLTGIKVCDNGLDTSFLEKTNDKESHSRTNTETHGVETNIETKALQLQGDAEAQPTGIPVLSESHQSEANLESHDLDVHGHANIVSHVGELDNSQNVEMNNAGRNIDVSVAKKNSVGAGLESSSLTVSENNHSLPNDCVASLPLMGKMNILVGSMHTDIPSMPTDQNLNTSSILEDEFVEEKCNRSGVDAIEITEHSVEIRTKVQTDGLETNNLCASMVTGFKETDEYNDNRASFNGDLPLEENGSSMPGGLNENQIVASDLGSMGCDDKESRSGPIFSENTKVDCLDTVAPVLDEKETSLNEGNPVCEEAGQHSVALVLDEKEAILNEGNPVCEEAGLESTLLFPEIPAIESPFVDRNDENLIHANAYDTGFLNVGDDEIIEDDDFMPSAEESSLENSGWSSRTRAVAKYLQILFEKEDLHGRQNLCLDNILAGKTRKEASRMFFETLVLKTKDYVHVEQTNPFSSINIKPRMKLMKSDF >OIW08378 pep chromosome:LupAngTanjil_v1.0:LG07:3490013:3495318:1 gene:TanjilG_03054 transcript:OIW08378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENIKHSHVEVKGLKLHVAEIGSGSKAVIFLHGFPEIWYTWRHQLIAVANSGYRAIAFDFRGYGLSEHPAEPEKEIIYDLVDEIIGLLDALTISKAFLVGKDFGAIPGYLAAAVHPERVDAIITLGIPFILPGPDSLQIHQHLPKGFYITRWREPGRAEADFGRFDVKSVIRNIYTLFSGSEEPIAADDQEIMDLYDPSAPLPPWFSEEDLETYASLYEKSGFKYALQVPYRSFDTEGGLSDPKVTVPALLIMGEKDFVCKFPGIEGYIRSGAVKNIVPNLEIIYIPEGSHFVHEQFPEKVNQLIIEFLDKQRI >OIW07935 pep chromosome:LupAngTanjil_v1.0:LG07:7737082:7737984:-1 gene:TanjilG_20036 transcript:OIW07935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGVFRRTLSFPNKPPHNNKPHLSHHIRSISLPCRSHPLISHIKDEVNNLTTITTTPSSSNLSQCLNHLKDVHDTLHHILQLPQTQESLRRHPLFFEKLLEDFLRFVEVYGMFQTSILSFKEELSAVQVGIRKRDSTKVVLYVKAKKKMVKEMKKLVSCIRNVVTQHEIMFRVPMFGDAELVGIIEDVINVTVSVSVVVFNRIMVLFASKKLTWTQIVKITRTKKGMKTDEEIVEELQDQDDGVESFIENMKKIEIEEMRSVLKRVKDLEECICGIEIVSHKVLRAFINSRVALLNTLSQ >OIW08755 pep chromosome:LupAngTanjil_v1.0:LG07:648707:658556:1 gene:TanjilG_03431 transcript:OIW08755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METRSRKRAEASSSSSGPTTRSSKRSRLNSSSATAIAATTTTASTITPSRSVNTRSRASRTKETKETLPSRITTPMDSNNESSGSRGRRGGKNTDRDNSDKGKEKEHDVRIREAERGVGLNMESSGVGDEDDNDSEGGVGMFHQNLTSASTALQGLLRKLGAGLDDLLPSAAMGYTLSPHQSGRLKKILAGLRADGEEGRQVEALTQLCEMLSIGTEESLSTFSVDSFVPVLVGLLNHESNPDIMLLAARALTHLCDVLPSSCAAVVHYGAVSIFCARLLTIEYMDLAEQSLQALKKISQEHPTACLRAGALMAVLSYLDFFSTGVQRVALSTAANMCKKLPSDAADFVMEAVLEHASVCLTRIAEAFASSPDKLDELCNHGLVTQAASLISTSSSGGGQTSLSTSTYTGLIRLLSTCASGSPLGAKTLLLLGISSILKDILSGSGVSSNASVSPALSRPPDQIFEIVNLANELLPPLPQGTVSLPVSLNLFVKGPVVRRSPAGSSVKQEETNGSVPEVSAREKLLNDQPELLQQFEMDLLPVLIQIYGSSVNGPVRHKCLSVIGKLMYFSTAEMLQTLLSVTNISSFLAGVLAWKDPHVLVPALQIAEILMDKLPGTFSKMFIREGVVHAVDQLILVGNPTNVTTQASSAEKDNDSVSGPSSRSRRYRRRSGNSNPDGNQLDELKSPVSVNVGSPPGSVDVPTTTTNSSIRLSVSAAARAFKDKYFPSDPGAIEVGVTDDLLHLKNLCMKLNASVDVERMMGKQKSKTSAFGLEENSDNKEEYLIGVISDMLKELGKGDGVSTFEFIGSGVVAALLNYFTCGCFSEDRISETNLPKLRQQALKRFKSFIAVALPASTGDEAIAPLSVLVQKLQNALSSMERFPVVLSHSARSSSGSARLSSGLSALSQPLKLRLRRAQGEKSLRDYSSNIVLIDPLASLAAIEEFLWPRIQRSESSQKSTLPVGNSESGTTDGTGISSPSTCPPSATRRLSTRSRSSVNIGDTPKKDKIQDKSASSSKGKGKAVLKSAQEEARGPQTRNAARRRAALDKEAQMKPVHGDSTSEMLSDVSLPVCLPDKVHDVKLDDSAEESIVAPVTSDGQTDAASGSSTKLGTAKRSDTAVFRGGHSSSSRGALSFAAAAMAGLGSVNTRGIRGGRDRHGRPLFGGSNDTPKLIFNAGGKQLNRQLTIYQAIQRQLVVDEDDEERFTDNDFVSSDGSRLWGDIYTITYQRAENQMDRASAGGSILNVSKSGKSGSSSNSSSEAKLHQISVLDSILQGELPCELEKSNPTYNILALLRVLEGLNQLAPRLRAQVVTDSFAVGKTSDLDELGVTSGARVPPEEFISSKLTPKLARQMQDALALCSGSLPSWCYQLTKACPFLFPFETRRQYFYSTAFGLSRALHRLQQQQGADGHGSTNEREVRVGRLQRQKVRVSRNHILDSAAKVMEMYSSHKAVLEVEYFGEVGTGLGPTLEFYTLLSHDLQKSRLQMWRSDSSEQYQMEIDGNEKKSKSIDSSFAGDGELVQSRLGLFPRPWPVNSNASEGSQFYKVIEHFRLVGRVVAKALQDGRLLDLPLSVAFYKLVLGQELDLHDILVIDAELGKTLQELNAIVCRKSYLEPGGSYADKIAHLHFRGAPIEDLCLDFTLPGYPEYILKPGNEIVDINNLEVYISMVVDATVKTGIMRQIEAFRAGFNQVFDISTLQIFTPLELDYLLCGRREMWKADTLGDHIKFDHGYTAKSPAIVNLLEIMGEFTPEQQRAFCQFVTGAPRLPPGGLAVLNPKLTIVRKLSSAAANVSSNGNGPSESADNDLPSVMTCANYLKLPPYSTKEIMYKKLLYAISEGQGSFDLS >OIW07509 pep chromosome:LupAngTanjil_v1.0:LG07:18561628:18561993:1 gene:TanjilG_14455 transcript:OIW07509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMNKPHVFFMLMIMVVFISEKSLVIEGRTLSLISNKGYSKIFATLGVICKCCDETGSACTSTWTESCNNLQCLPWKLH >OIW07674 pep chromosome:LupAngTanjil_v1.0:LG07:14821989:14822939:-1 gene:TanjilG_07716 transcript:OIW07674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQQNFNAGQAQARTENSMQYAKENASAAADRAHAAANTSGDTAQQHKDEAAGFLQQSGEQVKSMAQGAVDTVKHTLGMDKK >OIW08360 pep chromosome:LupAngTanjil_v1.0:LG07:3615717:3622333:1 gene:TanjilG_03036 transcript:OIW08360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCLGFDMVQRLELCLVKWRITFGWCVFLLFLSPVVGLRPLRDRTGKWGDEWLFSRRDESDIGPFSQWNITGTYRGTWKLLDITNGSSKYPDIRKTNGNSVIELASTPTKITGVHYVHGIVIFHDVFDKEYNVGGAQIRIEGVYIWPFRQLRMITNSGKGGLDQDDDFLLSNPYHLLGVFSSQVFQESSRDKTWRRKSSLLHDLEKHCNVEIAAKVSRLPSSKHGARDSFHLEGLVESPLVDDDGYCFSPLQFNASSINVEAYYNKAVNYTLMVTFLSFLQVLLLIRQMEHSNTQSGAAKVSIVMVGQQAILDAYLCLLHLTAGILVESLFNAFATAAFFKFVVFSIFEMRYLLAIWRATRPLSNGEGWETMRRELSVLYSRFYGVLLGGILLMYEFHYYLRPILLLAYSFWIPQIITNVVRDSRKPLHPHYIIGMTVTRLAVPLYIFGCPNNFMRIESDQKWCVYLAILIGLQAVILLLQHYLGSRWFIPHQILPEKYSYCRRFAQDTSHAADCVICMAAIDLSQRSNDCMVTPCDHFFHSGCLQRWMDIKMECPTCRRPLPPA >OIW08510 pep chromosome:LupAngTanjil_v1.0:LG07:2408587:2409925:-1 gene:TanjilG_03186 transcript:OIW08510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYLHNLRDPEAREDQKAVVLRFLTLKSQSLARPSFHPEMSSEGPFRPREKLVEKQRYYQNVQKYTHLKGPLDKLTSVAIPLALASSCIFMIGRGIYNMSHGIGKKE >OIW08425 pep chromosome:LupAngTanjil_v1.0:LG07:3048728:3049911:1 gene:TanjilG_03101 transcript:OIW08425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHHSLNSSRGKAISSANKNLVSNCQEEESGWTSYFEDFSKGIEPSYCSSLGGSSLVSDAASCVSWKFSHQNHHKGSSAPNLLKKLNFKKARAKQISEDDPLEDTASSPVNSPKVGYFNPTETVSRRIDDRLNGSMVKGFTSSENYSELQTDHEHGEAKLNGTNIDYTDLKKRGLCLVPVSMLVNYLG >OIW07417 pep chromosome:LupAngTanjil_v1.0:LG07:19529728:19530662:-1 gene:TanjilG_19258 transcript:OIW07417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCLNLSTNVNLDGVDTSSILSDATSSVASIIGKPQAYVMIVLKGSVPISFGGNEQPAAYGELVSIGGLNPDVNKKLSAAIASILETKLSVPKSRFFLKFYDTKAHQSQEYAQCLHALHQH >OIW07992 pep chromosome:LupAngTanjil_v1.0:LG07:8409604:8412681:-1 gene:TanjilG_20093 transcript:OIW07992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKEWYWASKSSKKGAEVEIQTQTQTENTSGCMCAFFQFFDFHPFHFPTINNQQQQTTFNSASYIQEDHNTIPKGAEAPRNSLESEGGTISFPSKEENFKIPKNIQIKTSRGTKTMTSGGNLNDLSNSPGIKTPTLVARLMGLDLLPHSPSSSSSSCIPTPNLNHLRPKQHIQTKPRNSIDSEIGATRSLPETPRISSARRSDVEYHHRLSLQINKENNIVLGEDLDLPKSSFSRRRYDENNSISPSHYARQIVKQVKESVARKVGQDITNTIKSKEQTKEEFLGQLRIKKSPKTSENSNSNHSSYSPRLRFMDNTNKHKQSTTPSSPLIPKDQNQNTQQPQLPRVLTKPKTPQQALLPYQEELQKQKSVPKCQKVSNEIFNSRIKRPPQTTDIIRNKQEESFNIIRPTSATRFNDIKPTKSKKTRSLSSNVLDNINSVPNVLPVKTDPSPPATKIPQKQLSDGSSHKYKQEGETLATRESRNNEEDKPNGTASTIVAQEHKPEFQYITEILSRRTITTTKTVSFNQWFSLTHPLDRSIFPQLEQHHNDKVFNFTAKHQLGHRWNRKLLFELVDEVLLEIIRPRKCEKKLWFLHGCCWYQGNVEGLVECVWKRIEKFPCANCEVLEDIDGLIKSEDMEKVKVESEEGLEEEEEKLVAEIEGNIWDKLVHETIMAMDNRGKSFTSRSTITRGTR >OIW07537 pep chromosome:LupAngTanjil_v1.0:LG07:18905589:18907357:1 gene:TanjilG_14483 transcript:OIW07537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGNKHSEEAIVSTNLSETENEGSVNGAMEVEKEQDQSMFDVKSFLWHGGSVWDAWFSCASNQVAQVLLTLPYSFSQLGMLSGILLQIFYGLLGSWTAYLISVLYVEYRTRKEKENASFKNHVIQWFEVLDGLLGSYWKAIGLAFNCTFLLFGSVIQLIACASNIYYINDKLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLGMTTYTAWYMAIAALIHGQVENVSHSGPKKLVLYFTGATNILYTFGGHAVTV >OIW07658 pep chromosome:LupAngTanjil_v1.0:LG07:14479382:14480615:-1 gene:TanjilG_07700 transcript:OIW07658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEEVAAVEVVAVPAAVPESETGSINKLERQWTFWLDNQSKPKQGAAWGSSLRKVYTFDTVQEFWSLYDQIFKPSKLTGNADFHLFKTGIEPKWEDPECANGGKWTVSSNRKTNLDTMWLETLMALIGEQFDDAEDICGVVASVRHRQDKISLWTKTAANEAAQV >OIW07888 pep chromosome:LupAngTanjil_v1.0:LG07:7111072:7112334:-1 gene:TanjilG_19989 transcript:OIW07888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVDIQGKLRLALGSVKDHASIGKAMIYHHQHDGLSSIEIAVLRATGHDNGTIDDKYMHEILFLVSNYPGSIPFLAERISRRLGKTKDYLVALKTLVLIHRLLRGGNRSFEKELCKAHVSGHLQISIRCFTKNDSDPSLSFLHKYAAYLEERMNWLINQAGKLEPVMSKGLEFLRYDEKSIDMVFRTLPKCQVLIDRVLECSPTHDILHSDHNLAQAAMSNTLRESFQVYMTFSEGIAALVNMYFDLTASAKGLACEILKKASMQSQKLHDLYESCKKIVENKNLEYPFVQIISMDHIMALDQFGSPQNQFAASHISKLPQISSHFKRSKDIELVLAAKEDQKNEEKIDINFSPTLYSWTLETKISKVWVLFEDEAPKESQVFPEQQKHGDVYALNDIEIEYNRASVFLNPFSSSIDTKV >OIW07561 pep chromosome:LupAngTanjil_v1.0:LG07:17663556:17668191:-1 gene:TanjilG_08448 transcript:OIW07561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDFYEWDADKMLDVYIHDYLLRNKLHKSANAFKTESKLPDRTPPIENTPQGFLYEWWFVYWDIYLAKRFNQQCSAPAAAYIETLKTKARERQLQMQQSQVTPKHTEQLQERDLNAMNSKGMMRPPPAIVLAAKMFEERMQHSNSMSSAASLTPMDAYRMNLPKPATSHQGQLVRDLSGNASTSVQQVQTQSGVEREVNLGGTPTSFPMDQSGFQQTMLQSDSVLGDAGNTSLPLKGLTRAGIDRPTSSLGVQVQMPNLRNKNKILDSEWQRVTARAQAILSSKYGQSDRTRLQSGSPENDRKRKQSTSLGAANINVGGNRVNPSPISPPLLDTPVDGINTESSMQHVNNVPKSMIMDDINLLENMEWFAEIGTSGDNMELFQSNDGEGGNAYGTIKQSDIISGFSFAELNCIRTSNSKITCCDFSSDGKFLASAGHDKKVFIWNMETLQTEITPEDHKSVISDVRFRPNSSGLVSSSFDNVVRLWNAANPKYCVEEFSVHNSAVMSLDFHPKKTDLLCVADIESEIQYWDITTFSFIRSFKGGNAKVRFQPRVGQVLAAAYDNGVSIFDAETGIQIYALQGHPDAVSYICWDANGGTLASMSPNMVKIWSLTSGECVTEYNSSIQNQFHSCAFHPINSTMLVIGGNSYLDLWDMAVNKNMTIPSHEDVISALVHSPVTGMVASASYDGFVKLWK >OIW08180 pep chromosome:LupAngTanjil_v1.0:LG07:5420340:5421564:-1 gene:TanjilG_24375 transcript:OIW08180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEVAVLGFNLSMFDIRVRIALTEKGVKYEYKEEDLVNTKSALLLQMNPIHKKVPVLIHNGKPICESLIIVEYIDEVWKEKAPLLPTDPYQRAQARFWAKFVDDKVHEVAKRIWTGKVGEHEADKKELIENLKHLEDFLGDKSYFGGDKFGFVDIALIPFYKWFSSYEKVGNFKLDCPKIILWGERCLKNVESVSKFLSHEKDVYEFVQMYRKMTGMD >OIW07899 pep chromosome:LupAngTanjil_v1.0:LG07:7212559:7214771:-1 gene:TanjilG_20000 transcript:OIW07899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCYINLFTDKGAIGATFTLNNKCDYTVWPGILANVGSSGLGTTGFELLPGGSRSFQVPPNWSGRIWGRTGCNFDPNTQQGTCTTGDCGSNQISCNGGGASPPATLAEFTIGSGTQDFYDMSLVDGYNLPMMIDPRGGIGACGLTGCVTDLNQQCPNELRVGDGSACKSACEAFRSPEYCCSGAYASPTTCKPSVYSEIFKSACPKSYSYAYDDATSTFTCMGADYTITFCPSNTSQKSARDSPPPPPPPPPLAIGSETRTGEIPLIVNSPWFSNFFTGLSSKSHSCASSFIFTLIMLIFLSLQ >OIW07796 pep chromosome:LupAngTanjil_v1.0:LG07:11029997:11032437:1 gene:TanjilG_31988 transcript:OIW07796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENFPTKDLTTSSLNVESQEPAPSPLRKMVAVASIAAGVQFGWALQLSLLTPYVQLLGVPHTWSSIIWLCGPISGLVVQPIAGYYSDRCKSRFGRRRPFICAGAIAVAISIILIGFAADFGHSLGDDLTLKTRPRAVAFFVIGFWILDMANNMLQGPCRAFLGDLSAGNQSKIRTANSIFSFFMAVGNILGYAAGSYSKLYKVFPFTETKACDIYCANLKSCFFISIVLLIVLVIIVLVYVKEIPLTSSAIVDDENKEGTTTLCIGSLFGAFRELKRPMWMLLLVTCLNWMAWFPWVLYDTDWMGKEVYGGDVGQSVYDKGVHAGSLGLMFNSIVLAVMSLAIEPLSRLLGGVKRLWGMVNFILAIGLAMTVLITKQAEAHRQFTVAANGVREALPPPASVKGGALGLFSVLGIPLAITYSVPFALASIFSSTSGAGQGLSLGTLNLAIVIPQMIVSAISGPLDGAFGGGNLPAFVMGSIFAAVSGVLSIVLLPTPQPQELAKAATVGGFH >OIW08480 pep chromosome:LupAngTanjil_v1.0:LG07:2596622:2598976:-1 gene:TanjilG_03156 transcript:OIW08480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNLLQVLKFTLIFFFINRVVISAPPEDPIKCFSKNTNCTITNASGIFPDRSTCKVGKAMYPTTEEELISIVASATKNKIKMKVATRFSHSIPKLVCPDGQKGLLISTNNLNKILKIDKEAKTMVVESGVTLREIISEAAKVGLALPYTPYWWGITIGGLIATGAHGSSLWGKGSAVHEYVVELRIVSPSNSQDGYVKVRSLNESDQDHLNAAKVSLGVLGVISQVTLQLESMFKRSLTYLMKDDTDLGDEIISFGRKHEFGDVTWYPSQHKVAYRIDDRVPINSHGDGLYDFIAFRPILSAELALTRAKEEVQEATGDANGKCNGAKLLTSTLASSGYGLTNNGLFLGYPIIGFNNRMQSSGSCLDSLNDGMITICPWEGQFYHQTSFSIPLSMVKNFIEDVQKLVELEPYSLCGLELNNGILMRYVTASSAYLGKTEDAVDFFFTYYRSKDPLRPRLFEDIVEEIEQIGLFKYRGLPHWGKNRNLGFVGAIQKYPNAEKFLKVKEEYDSLGLFSSEWTDQVLGLKEGVTILNDGCALEGLCICSQDSHCAPNYGYFCKPGRIYKDARVCAPRDVKKTEGQNVLKDEL >OIW08807 pep chromosome:LupAngTanjil_v1.0:LG07:263918:267182:1 gene:TanjilG_16388 transcript:OIW08807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPFLSNSNDAEEDHHQFQPPPPTTTTTTAEISTQPHIDVKSEIDPSDNEPSSEEEPNKSPKRSLGNEHEENLPKKQKNLSSLTVEAESPSTLPPDSVINNNGSVNAAITLPLVTSNSNSKKSKKKNNNMWATKSKKGKKKKSKVNNNNNHPKTEDTVLITPVQRFPDKSDDIPEMKICLSKVFKAEKVELSEDRMSAGSTKGYRMVRATRGVVEGAWYFEIKVLKLGETGHTRLGWSTEKGDLQAPVGFDGNSFGYRDIDGSKIHKALREGYGEAGYKEGDVIGFYINLPDGDKHAPKEPHLVWYKGQRYVHAQDAKEDPAKLVPGSEISFFKNGVCQGVAFKDLYGGRYYPAASMYTLPNEPNCTVKFNFGPDFEFFPGDFNDRPIPKPMIEVSYHGFDNRVENGESTDKKSSKE >OIW08832 pep chromosome:LupAngTanjil_v1.0:LG07:412069:423619:1 gene:TanjilG_16413 transcript:OIW08832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYFRRIQGRDRRWALVLQPCFFRPRFSGYAAFRSLSSKTTLKEYVSHCSIIRRHLFGSYSSGCASSSNSSIGLDRRPTLWSTHLRAYSSESGGRNASEDKHVHVNDGANLDKGQNRQEMLGKDVKCCNAHSHAQIGEQDQQEWLNNEKLSIESKKRESPFLTRRDKFKNEFLRRIVPWEKINISWDTFPYHINEHTKNLLVECAASHLRHNKFASSFGTRLTSSSGRILLQSIPGTELYRERVVRALAQDLQVPLLVLDCSIIAPYDMDDDLSDYESDDDNADSGEEGSIESENEDDNDASNEEEWASSNEVKSDASDNDDALAHAEAALKKVKAAVQKLVPYNIEEFEKIASAGSESTDSSKFSDAKSSDKSGCQLRKGDRVKYIGPSVQVTADDRPLTSGQRGEVYEMNEDQDRVAVILDINEDSANEGEVENLNDKHRKPAIYWIHVKDIEKDLDAQAQDCYIAVEALCEVLKSRQPLIVYFPDSSQWLHKSVPKSNRKEFFHKVEEMFDKLCGPVVLICGQNRVQSGSKEKDQFTMILPNLGRVAKLPLSLKRLTDGFKGAKSSEDDEIHKLFSNVLCVHPPKDDNLLTTFKKQLDEDRKIVISRSNLNELRNVLEEHQLSCTDLLHVNTDDVILTKQKAEKVVGWAKNHYLSSCLLPSVKGERLCLPRERASNLILISVNQSLAKDEFESNFISAVVPSDEIGVKFDDIGALEDVKKALNELVILPMRRPELFSRGNLLRPCKGILLFGPPGTGKTLLAKALATEAGANFISITGSTLTSKWFGDAEKLTKALFSFASKLAPVIIFVDEVDSLLGARGGSFEHEATRRMRNEFMAAWDGLRSKENQRILILGATNRPFDLDDAVIRRLPRRIYIDLPDAENRMKILRIVLAQENLVPDFEYDKLAKLTEGYSGSDLKNLCIAAAYRPVQELIEEEEKGGNNGISSVLRALNVDDFVESKAKVGPSVAYDATSMNELRKWNEMYGEGGSRTKSPFGFGS >OIW08423 pep chromosome:LupAngTanjil_v1.0:LG07:3083468:3084222:-1 gene:TanjilG_03099 transcript:OIW08423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEFDTNIPTAFDPFAEANAEDSGAGTKEYVHVRIQQRNGRKSLTTVQGLKKEYSYSKILKDLKKEFCCNGTVVQDPELGQVIQLQGDQRKNVSTFLVQAGIVKKENIKIHGF >OIW07707 pep chromosome:LupAngTanjil_v1.0:LG07:13151654:13155833:1 gene:TanjilG_19650 transcript:OIW07707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKRERVEDEGLKPESKKKRLDEDSPPFPPPDSIANPLSGLANNYADIDEEEDYERRARVTISGEKNDGSLKNGHGYEEDDSDEDDDSRDQPFGGRNSRQVEVRKDCPYLDTVNRQVLDFDFEKFCSVSLSNLNVYACLVCGKYYQGRGKKSHAYTHSLEAGHHVYINLRTEKVYCLPDGYEINDPSLDDIRLVLNPRFNTREVELLDKNKQWSRALDGSSYLPGMVGLNNIKETDFVNVTIQSLMRVTPLRNFFLIPENYQHCKSVLVHRFGELTRKIWHARNFKGQVSPHEFLQAVMKASKKRFRIGRQSDPVEFMSWLLNTLHADLKTSKKNTSIIYECFQGELEVVKQIPNKGITSKKENSEDQNNIEKLSDGGTERDAFLTETSKMPFLMLGLDLPPPPLFKDVMEKNIIPQVPLFNILKKFDGETVTEVVRPHIARMRYRVTRLPKYTILHMRRFTKNNFFVEKNPTLVNFPVKNLELKDYIPLPTPKENEKLQSKYDLIANVVHDGKPGEGFYRVFVQRKSEEQWYEMQDLHVLEVVPHLVALSETYMQIYEQQEQ >OIW08238 pep chromosome:LupAngTanjil_v1.0:LG07:4718007:4720096:-1 gene:TanjilG_15199 transcript:OIW08238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSYYHHNFDNNDALLPPGFRFHPTDEELITYYLLKKVLDNTFTSRAITEVDLNKCEPWQLPGKAKMGEKEWYFFSLRDRKYPTGLRTNRATEAGYWKATGKDREIYSSKTCALVGMKKTLVFYKGRAPKGEKSNWVMHEYRLEGKFAYHYISRSSKHWSGAFRA >OIW07651 pep chromosome:LupAngTanjil_v1.0:LG07:14032749:14033561:1 gene:TanjilG_07693 transcript:OIW07651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSINESSSRSGGGGGRDEDEEKDNGDEPKEGAVEIGNRRPRGRPPGSKNKPKPPIFVTRDSPNTLRSHVLEVAGGADVAESVAQFARRRQRGVCVLSGSGSVANVTLRQPAAPGAVVALHGRFDILSLTGAFLPGPAPPGATGLTVYLAGGQGQVVGGSVVGSLVAAGPVMLIAATFANATYEKLPLEDDDEGGGGGGGGNSGVQGGGRGVGGSPPPGIGNSGGAHQLQGGIPDPSSLPLYNLTSNLIPNGGQVGHEAFAWAHGRPPY >OIW07810 pep chromosome:LupAngTanjil_v1.0:LG07:10803241:10803558:-1 gene:TanjilG_32002 transcript:OIW07810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLVSIPQYIVERHAVIAERQLTAIEKRNEFFQKQLNIIQHTRLCVYREAEVWDLLTELDVIDPYRMRCYEYLCINEQKKRQLFGVPPHIRMQALIQMMNESGYH >OIW07688 pep chromosome:LupAngTanjil_v1.0:LG07:13590584:13590826:-1 gene:TanjilG_30765 transcript:OIW07688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTRAPPSLQINHNMNRKLIIPLLSPIALSSPPPPLDVKVEEPLPPSRLSEDQEKVDFKKWQNPAEPFYYDPTKEARNFY >OIW08151 pep chromosome:LupAngTanjil_v1.0:LG07:6328620:6329663:1 gene:TanjilG_06694 transcript:OIW08151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSLLSNYVPEAILEQILLHLPSTTLIRFSSVSKSWFSLISSLSFTLQRSFSNPNPTLLLIQPTPSSHSTTFTTTPPPYSTHHPYSLRFISSLYGVVCFIEQSVGHDHAITLFNPSIRTYLRIQTPAVKHTALGFGFDTGNSDFKVIRIFNGVELYSLNQGGWRGIHCPYRKLEELQFSNTQCFLHGNVHWVVSPSYATKSYVLIFNMVQEKFKRMGFPPNLVFDALCTLQLKVTVIQGYLSVFDCCGIWMMREYGVAESWTKMFTLNLERGCISKVFGFRSSAKVLMLLHKKGETSLRSFDTKTQEIKDLRIKGEIIAACEDTGSLVLLDRFACSVSKSQAKRRR >OIW08682 pep chromosome:LupAngTanjil_v1.0:LG07:1117299:1123212:1 gene:TanjilG_03358 transcript:OIW08682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGITNGTHGLASTFVIIVLIFPEIAAHTVFLRDSAPDNVSEPIRKHNNTIRADPLDNLKKYRGGFNITNKHYWSSVVFTGVYGYAIGVLWLLCGIVYGVFLVATNFCCLSDRENRTRKIFPCNCKGCGLSPIPLAILLTILAMAASGFVLAGSSKFHSQARTSLDIIINTANVASETIHNATGALRDIQGDLVESSVNVDESGKLNSTIESFDATAENIVKRARKNRRLINKVFKVVFVITIVIISLNLVAVIASTVSGVLKLRRVLYLLVILCWLMTVICWLLLGVYFFLENFSDDVCTALNNFQENPYNNSLSSIVPCDELLSAESVLSEISAGIYNLVNKVNANISNRQGKLLPNLVYICNPFSGPPEYTYQPENCSANTIQIGDVPKVLEPYTCFDDESCGNGDFLTGSEYELVKAYTSSIQKLLDVYPRTEHLLGCQLVKDAFSQILQKHCKPLKKFSRMTWIGLVVLGSIMVFTVVLWTPKEIHEIKDFLLTARRKDARSVKIKRSKDVVKFKVRCSKYLYTLSVFDTEKADKLKQSLPPGLTVQDL >OIW07498 pep chromosome:LupAngTanjil_v1.0:LG07:18438809:18440693:1 gene:TanjilG_14444 transcript:OIW07498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKITTVACKSFATKTSADDNLTQVLDSEINCALEDDNAQRQIEIPDDFPFEIEDNPGERTIQLNRQYQDETIKVQVDIPNVGPEENEGDDDDHDGEKHGYEASIPLVVSVFKGKSGVSLEFGVTAFPDEISIDSLSVKQPEESEEQLAYEGPEFNDLDENLQKAFHKYLEIRGIKPSTTNFLQEYMFSKDNKEYLEWLKNLKNFVEK >OIW07467 pep chromosome:LupAngTanjil_v1.0:LG07:19042465:19047861:-1 gene:TanjilG_24329 transcript:OIW07467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIDRILKDEASEEKGERARMASFVGAMAIADLVKTTLGPKGMDKILQSTGRGQAVTVTNDGATILKSLHIDNPAAKVLVDISKVQDDEVGDGTTSVVVLAGELLREAEKLVAVKIHPMTIISGFRMAAECARNALLHKVVDNREDAEKFRSDLMNIARTTLSSKILSQDKEHFAKLAVDAVMRLKGSTNLESIQIIKKPGGSLTESFLDEGFILDKKIGIGQPKRIENAKILVANTAMDTDKVKIYRARVRVDSMSKVAEIEGAEKEKMREKVQKIIGHGINCFVNRQLIYNFPEELFADAGVLAIEHADFDGIERLALVTGGEIASTFDNPESVKVGHCNLIEEIMIGEDKLIHFSGVAMGQACTIVLRGASHHVLDEAERSLHDALCVLSQTISDSRVVLGGGWPEMVMAKEVDELARKTPGKKSLAIEAFSRALSAIPTTIADNAGLDSAELISQLRAEHQKEGCTSGIDVISGSVGDMAERGISEAFKVKQAVLLSATEAAEMILRVDEIITCAPRRREDRM >OIW08250 pep chromosome:LupAngTanjil_v1.0:LG07:4631937:4632590:1 gene:TanjilG_15211 transcript:OIW08250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISSRLQLHDREKNQSPMNSPKTSFISSNHSIGLQIPTTQPLTPKTNPKSDSNNPYPTTFVQADTSSFKQVVQMLTGSQETTKPTPLPTSRNFNIPPIKTASKKQQGFKLYERRNSNLKNSLMINTLVPNLSYNKSEILSPSLLDFPSLSLLSPVTPMNDHDPFDKSSLSSLRSSSEEDKAIAEKGFYLHSTPREFEPQLLSLFPLSSPRVSDSSS >OIW08236 pep chromosome:LupAngTanjil_v1.0:LG07:4732830:4734305:-1 gene:TanjilG_15197 transcript:OIW08236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLSSMSIFSPCHISREPPPPPQMPLSSSSFNKKLVTNGQASPMFTTVSVGSKRGSGFDKPEPSSPKVTCIGQVRVKAKKRGAISKSKRRSSSGGEARFRIAEVGVNQNLHQQQQQQQDRNQRWVHLPLTICEALKELSCMFPCTREKEDKVEDAGRWKVTMQEGKREIELVMGEEEEEEEEEEEEEEDRTRHRRRHVFEDIDLDDIEKVKNKKEEEEEEEEKEEERGSVSICIPPKNALLLMRCRSDPVKVAALTNRFLQPDFSKGDDEEVPMEEDKEVQESENGIDRVNTKEEEVTNQVETNEEKEHKAGEDGNGNEQVETVSENDVKGEEEEGKGSEERENKSNIERGSGSLPECLLMMMCEPKLSIEVSKETWVCTADFVRWLPPRPAVKTGGGERHSKKRVAVESKPPLEPPPLPVIQLGRSSCSFPAQVVVPNVCESDVLKRCKSEPRESAAAKLAPEGCLWNNRKLMEPYSSARLGIGAAGVGF >OIW08801 pep chromosome:LupAngTanjil_v1.0:LG07:233076:233923:1 gene:TanjilG_16382 transcript:OIW08801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATNRHEDVVTVDVYAAKDLLNSAAYTYLDVRSVEEFNKSHADNAHNVPYMFITEAGRVKNPDFVGQVSALFNSEDNLVVACNSGGRSLKASVDLIDSGFKHIVNVGGGYSAWVDAGFAGNKPAEELKTACKFRP >OIW07802 pep chromosome:LupAngTanjil_v1.0:LG07:10879871:10882495:1 gene:TanjilG_31994 transcript:OIW07802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKALKIYFLPFFAGGHVIPMVNLARLMASKGHQVTIITTPSNAKIFDKTIDDEDTCFHVHIIKFPSNQVGLPVGVENLLEAYDDQTVRQVLMAANLIQPEIEAFMKLNPPDIFIPDMVFTWSEATTKTLGIPRLIFNPISIFYFCMIKANKSIDDPKEEALLLLNDTKLDPSYVRFYESVVNSANNCDGVIVNTFLELEVEYTQLYEKLIGYKAWLIGPTSLMVQKTIGTCMNNDEHECFSFLSSKEQNSVLYISFGSMSLLSDEQLFEIARGIEASGHQFLWVVHIKNKRRDDNTKEQEEEEELKWLPKGFEEKTMKEKRGLIIKGWAPQVSILNHSSIGGFLTQCGWNAALETIGAGVPVITMPIFSDHYFNEKFITEVQGFGVEVEEGGEWSLTPYDPNKKVVSRESIEKAVKRLMDGGEERMKIRSQAKELQEKAWKAVEEGGSSQNTLTILINHLHPLILLTSETPLC >OIW07946 pep chromosome:LupAngTanjil_v1.0:LG07:7825000:7827069:-1 gene:TanjilG_20047 transcript:OIW07946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENEKKTSSISNVGAWGMNIVSSVGIIMANKQLMSNGGYAFTFATTLTGFHFAVTALVGLVSNATGYSASKHVPMWELLWFSLVANTSITGMNLSLMLNSVGFYQISKLSMIPVVCVMEWILHSKHYSKEVKIAVMVVVVGVGVCTVTDVKVNLKGFVCACVAVLSTSLQQISIGSLQKKYSIGSFELLSKTAPIQAISLLVFGPFADYYLTGKLITNYKLSSGVIFFILLSCSLAVFCNVSQYLCIGRFSAVSFQVLGHMKTVCVLTLGWLLFDSELTLKNIMGMVIAVAGMVIYSWAVEREKHANAKTHSHAKNSLTEEEIRLLQERIEKNPLKDVELAEARS >OIW07771 pep chromosome:LupAngTanjil_v1.0:LG07:11243570:11245152:-1 gene:TanjilG_03558 transcript:OIW07771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLQPRTMESIRLGPDDKIFRPDNFVFGQSGAGNNWAKDHYTEETKASHNSSCVRYQGKENGRSYYDYKAGLKELHFGSPITNIILSNFPYQNWFVKRFNVVVFENELKWYATENYSDVNSTVDAYIPRQRELQHNGIFMDGIGLESHFTVPNLPLVRTILDKFATLDLPIGLIEVDISKTLDKNAQAILLRGIGGQRIEEGLQISR >OIW08831 pep chromosome:LupAngTanjil_v1.0:LG07:404620:409908:1 gene:TanjilG_16412 transcript:OIW08831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSTKKSATKVDAAPAAVLPPSKSAKKGKRQPEDEIEKVSAKKQKIEEVTQKQKKETKLQKIKKESSSDDSSSDSEDEKPAAKLVAPSKKQPAAEKPKVQKKESSSDDSSSESEDEKPAAKTQPAKTGTLAKKGKPAPSSSSSDESSDDDSDEDETPKSKVAAAAKNGSAPTKKTVQQSESTDSDSDDSSDEDDATKSAKKLPAASGKNVPAKKVEADSTSESSSDEDDDTKPTVTAVSKASVPKKKVDTSDSDDSSSDEDDENAAKTVSKAKAVPVSKQAAKKSKSSSDESDSDEEEDSSDSDAESKKNAKASNGNKKPAPVVKAAKKVSSDSSSDDEDEMDVDEDDSSDESDDEPQKKKPVKDSKESSDSSEDSEEENEKEPSKTPQKSPKTPTTPKANNGGTKSLFIANLSYNVQRSDVENFFKDVGEVVDVRLAVDDDGRFKGFGHVEFATSEAAQNALELHEQELLNRPVRLDLARERGAYTPNSGPNSGNWNNSSQSGGRAQSQTVFVRGFDRSLGEHEVKSSLEEHFGTCGQITRISVPKDYDSGEVKGFAYLDFSDGDSLSKALELHESELGGYTLTVDEAKPRDNQGSGGGRGAGRSGGRFGGRGGRFDGRGSGGRFGGGRGGGGRFGGGFDGRGRGRGGRGGPSRPSFAAEGKKTTFADDE >OIW08192 pep chromosome:LupAngTanjil_v1.0:LG07:5307515:5309295:1 gene:TanjilG_26481 transcript:OIW08192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHTLSLPTAHVASKRLIHKLDFKVLVWPSRFLPKPTKISSSTIKAMGSSASSQSQKADNIEFDAGTGTVDYKSFSDEEWKKRLTDEQFYITRKKGTERAFTGEHWNTKIKGTYHCICCDTPLFESSTKFNSGTGWPSYYQPSGNNVKSKLDLSIIFMPRQEVLCAICDAHLGHVFDDGPPPTGKRYCINSVALKFNPSK >OIW07931 pep chromosome:LupAngTanjil_v1.0:LG07:7691925:7701223:-1 gene:TanjilG_20032 transcript:OIW07931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADKGSNEVSSSGNISAESSDSTLQLNIKTLDSRIYSFQADKNMSVSSFKEKIVNETGVPVSRQRLIFRGRVLKDEHSLSEYYLENGHTLHLVERHPNQSQVSGTSSAEPTVTNGNQGNDAGSGVPRFGQISHNVVLGPFNLGEQAGGMVPDLSGVIGAVLNSIGIGGQSRNSVSNATQTSAPPGNETEGIHAGNQNLAGNQAQLGQTFRGQTFQSLPQIVQTPVPAGATPIPSLNAPIPHSLTTLSEFINNMEHTLSQTGHRPNLSSTNHRDQRVELPTNAQGLPTLESLSAILRRTGQLLSDNTVAALCHIAGHIEQEGTSSDLSVRGQIQSETMQTGIAVQHLGALLLELGRTMLTLRMGQSYAESSVNAGPAVYISPAGPNPIMVQPFPLQTSSLIGGPVPSSTPPTLGTVGVGRVPRNVHLHIHAGTSRAPIVSLIGSRPNNRERRRGEHHIELGSGVGVASGTETGFAVSTSQPPSGATPLSSVLAGINSRLRNFVGNMQGDNTVLSGQIESTSQDLSAGSDLTPALGNEQQDTMEMNGSRAATVSSVGCISESGLQKPQTEAAPTCSSGERDVSVDKFVSDQGCSSRETVAKSEKVQDVAEPAKAAPLGLGMGGLERKRQTRPQLAVSKGSGDGSSGSSANQSQQTRTDGQNLLQTLNSHGSTVKLGNPNGPSQQPLPTDDSQIDMAGLMSQVLRSPAMNGLLSGVSQQTGVDSPDGLRNMLQQFTQNPQMMNTVNQIAEQVGSQDIGNMFAGMGSGQGGGIDLSRMFQQMMPIVSRALGGGTPPLFSAMGPQPQAPSRDGTLDRDDNQSLQLNLQPVVERIERVSPPADVFRAVAENAVHASGNRSDSNDLLEELCSNESLANEYAEMLRNDVKQRFKGHSEIDKC >OIW08111 pep chromosome:LupAngTanjil_v1.0:LG07:5829543:5833410:-1 gene:TanjilG_06654 transcript:OIW08111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKDLTSLVKAWETTVRKSAGPKKRANSIFTPMSVAHVDDDNDVSQIVEKVLSNGDIYKGQWHENSPHGHGKYLWSDGCMYVGEWKKGDIIGKGIFSWPSGATYEGDFKSGGYMDGKGTYIGPVGDTYKGCWVMDLRHGEGTQSYLNGDFYDGDWKKGLQSGQGRYQWKNGNHYIGQWRHGVFYGNGTIMWSNGNRYDGCWEEGLPKGNGTFRWGDGSFYVGVWSKDPKEQSGTYYPSSGSSGGNLEWDPQELYSVDLNDCKVCPCEKVSIFPSRKILNMLELENDGASLMRPKKMSVDGRISNYSSEDGSYDGLESHQVGNSIPRVPHLRLKAPKKQGEAISKGHKHYDLMLNLQLGIRHAVGRPAPSTSLDLKSSAFDAKEKVWTKFPPEGSKHTPPHQSCEFRWKDYCPVVFRALRKLFKVDPADYMISLCGDDALRELSSPGKSGSFFYLTNDDRYMIKTMKKAEVKVFLRMLPGYYKHVRAFENTLVTKFFGLHCVRLTGASQKKVRFVIMGNLFCSKYPIHRRFDLKGSTFGRSTDKPEAEIEPTTTLKDLDLNYIFRLRKSWFHEFCRQVDKDCDFLEQERIMDYSMLVGLHFRGISCSDNVSPSGNGNSDDGATCLSGVDVDHLVVDPSRWTQLGINMPARAELTRRRSICDTPQLVGEPTGEFYEIIIFFGDTHF >OIW08808 pep chromosome:LupAngTanjil_v1.0:LG07:267726:272467:-1 gene:TanjilG_16389 transcript:OIW08808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGNSNSNDIEYEMRVRDNKKGMMYLVWEDLSVIVPNFGNGHTRRLLNRLSGYAEPNKIMAIMGPSGSGKSTLLDAFAGRLCRNVIMSGKVLLNGKTRRLDYGGVAYVTQEDILLGTLTVRETISYSANLRLPATMTKEEVNNTIEGTIMEMGLQDCADRILGNWHLRGISGGEKKRLSIALEILTRPSLLFLDEPTSGLDSASAYFVVQTLRNIAHDGKTVISSIHQPSSEVFALFDDLFLLSGGQTIYFGAAEKALEFFAKAGFPCPSRRNPSDHFLRCINSDFDTVTTTMMAFQRMHEPKSTASLTNLSTATIRAKLIEKYRWSEYATTAKARIKEISNIEGEDAESKIMSQDNWWKQLSTLTRRSFLNMSRDLGYYWIRVAIYIALSVCVGTVFFEVGTSYSAIFARGACGAFISGFMTFMSIGGFPSFIEEMKVFYKERINGHYGIAVYIVSNFLSSFPFVAMMSIATGTITYYMVKFRPEFSHLVYICLDLIGCIAIVESSMMIIASLVPNYLMGLIIGAGYIGVMMMTAGYFRQISDLPKIFWRYPISYINYSAWGLQGAFKNDLIGLEFDPLIPGGPKLEGEIILTTMLGMRADYSKWWDLAAVIVILILLRVLFFFVLKFKERASPLLHSIYAKQALQHIKKRPSFRNVAPSFPSKRHQSLHPLSSQEGLNSPIHQNLEASFADTNTKELHTISECYM >OIW08822 pep chromosome:LupAngTanjil_v1.0:LG07:346780:349023:-1 gene:TanjilG_16403 transcript:OIW08822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPQRTPIHTITTWVRKQPPKVKAFLAVVSGMAALVLLRFIVHDHDNLFVAAEAVHSIGISVLIYKLMKEKTCAGLSLKSQELTAIFLAVRLYCSFVMEYDIHTLLDFATFATTLWVIYMIRFKLKASYMEEKDNFAIYYVVIPCAVLALLIHPSTSHHLLNRISWAFCVYLEAVSVLPQLRVMQNTKIVEPFTAHYVFALGVARFLSCAHWVLQVLDTRGHLLVALGYGLWPSMVLISEIVQTFILADFCYYYVKSVFGGQLVLRLPSGVV >OIW08080 pep chromosome:LupAngTanjil_v1.0:LG07:6579568:6582648:1 gene:TanjilG_21060 transcript:OIW08080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPFVIACVEPHLVPIQFVFIRLLNRVIDSVKHDVAHTFSAVFLSQPNVFTHQRICFEEGDIALSPAKDIMLAIRNKCQVNSEDWSSKDKNFGNKNAPYAVFNALDYMLKDSLERLRTMRENISSVKIGLQGYTCENNYTEHTVTLRYLCLEGKLGAALWLRRKMVQKGILPDVFTHNHIVNGLCKIGLVEKADWLIREMLEFGPHPSCATFNNLIKAYCVVNTVDKALSLFSTMANIGIQPNRITCNILVHSLCKKGLLKEAKKMLEDILHDDDDKDVPDLVTSTILMDNYFKNGETIEALSFWNEMLQKCTKVDVVAYNVLINGFCKSQQTKFAYGIACEMLKKGLLPDAFTYNSLIGALCKKGNTNEACYIFQVMSKMGIMPDQISYKIMIQGLCFKGDIARAKELLRCMLDNLMVPKPLIWNLIIDFYGRCRDVSNAFFTRDQMLAFGVRPNVFTYNALVVALLKSGDIYDACSLKEEMPLKGLFPDVVTYNLLIGAACNLGRVGFALQLHGEMLQRGYEPDLITYTELLKGFCIRGKMKEAEELYAKILKSGLLTDHVPVQILFSMYCKRKDPVVAFNFYQDWLASKGRSYPY >OIW07952 pep chromosome:LupAngTanjil_v1.0:LG07:7880760:7895098:-1 gene:TanjilG_20053 transcript:OIW07952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIDELSELAVSMRQAAALLADEDVDDANSSKRPSTFLNVVALGSVGAGKSAVLNSLIGHPVLPTGENGATRAPISIDLQRDANLSSKSIILQIDNKSQQVSASALRHSLQDRLSKSSSGKSRDQIQLKLRTSTAPPLKLVDLPGLDQRIVDESMVSEYAEHNDAILLVIVPAAQAPEIASSRALRTAKEYDGEGTRTIGVISKVDQAANDQKSLAAVQALLLNQGPARASDIPWVALIGQSVSIATAQSGSAGSENSLETAWRAESESLKSILTGAPQSKLGRIALVDALAHQIQSRMQLRLPNILSGLQGKSQIIHDELARLGESMVSTAEGTRAVALELCREFEDKFLAHITSGEGTGWKIVACFEGRFPDRMKQLPLDRHFDINNVKRIVLEADGYQPYLISPEKGLRSLIKGVLELAKEPSRLCVDEVHRVLMDIVSAAANATPGLGRHPPFKREIVALATAALESFKNEAKKMVVALVDMERAFVPPQHFIRLVQRRMERQRREEELKGRSSKKGQDAEQSLLNRAASPQTGGSMKSLKEDKKEKEKDKSGQAEKEGQEGPALKTAGPEGEITAGFLLKKSAKTNGWSRRWFVLNEKTGKLGYTKKQEERHFRGVITLEECNIEEVPEENPPSKSSKDKKSSGPDSGKVNLVFKITSRVPYKTVLKAHSAVVLKAESAADKAEWINKISNVIQAKGGQIRLSSESDSSMRQSFSDGSLDTMARRPADPEEELRWMSQEVRGYVEAVLNSLAANVPKAVVLCQVEKAKEDMLNQLYSSVSAQSNAKIEELLLEDQNVKQRRERYQKQSSLLSKLTRQLSVHDNRAAAASGWSNGSAESSPRSSSGAGDDWRSAFDAAANGPVGRSGSMRSGSNGHNRHSSDPAQNGDSNSGSNSASRRTPNRLPPAPPGSSGYKY >OIW08400 pep chromosome:LupAngTanjil_v1.0:LG07:3331389:3332592:-1 gene:TanjilG_03076 transcript:OIW08400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAPCCNKNNELKKGPWTPEEDVKLINHIQTHGPGNWRNLPKIAAARLPGRTDNEIKNYWNTHIKKRLIRSGIDPVTHTPRLDLLDMSSILRTVLGNPSLLDLQALVGAQALINPELLKLAATASLFASQNNNTQQQQQQHYNGNFQAQEFNQFQTPNQTNNVDGFIGNMGNLRCSSSIQNTIPTYLDENFVLQQNQVDDLLGNDQGLVQCLNNANEKMGYDSVISSPNHLNNNSSSTYVNSSTAEEERDSYCSDLFNFEIPHDLDISDFL >OIW07668 pep chromosome:LupAngTanjil_v1.0:LG07:14684373:14685534:1 gene:TanjilG_07710 transcript:OIW07668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYWEGVMVNHMGWSVLEEDVWRKGPWTAEEDRLLVDYVRLHGEGRWNSVARLAGLKRNGKSCRLRWVNYLRPDLKRGQITSQEESIIVELHNKWGNRWSTIARSLPGRTDNEIKNYWRTHLKKKVKRPFDAAEKAKNNLMRKHKFHQQQQQLQQHQQVQQQQQLQFNLDMKGVMALLEENSHRVPYMPQMRQEMVKVYPNTTEEQGYFYSMLNGNNNASAPEVSNEEVSWGGLWNMDNVHGNFSFASATNKVSLQSLVAPFC >OIW07927 pep chromosome:LupAngTanjil_v1.0:LG07:7669163:7670020:1 gene:TanjilG_20028 transcript:OIW07927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENKQNKLKNKILNIIPKAAAAISVSFQNSTFSPGRDHNTTKWYKSTTTKGFSGPMIPDEARRKPKDGGVETQEPTSPKISCMGQIKQKEIQIQKAKAKAKANTMSLPKSYSTRHPSGAADVGSDYSSTPKELDPEVIKKKHPPNMFQKMFFHAAKPKTGSRKKLSESSVSVIGKGTDLNKDVVSDRTPPMGDMRRFASGRETFANFDWTAQIAPEEMDHRDCLTDVEEEEDEVRVPFSEPILVSGGSGRYSDLNLQPRKEINIWKRRTMAPPKPLQLKPVLTAK >OIW08451 pep chromosome:LupAngTanjil_v1.0:LG07:2843515:2845691:-1 gene:TanjilG_03127 transcript:OIW08451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASLQPFPPPQDFHQQKQHLSIDESSSNEELGSSSKCNKIMAFEPVEHEQPRIPPIRPPEKRQRVPSAYNRFIKEEIQRIKTGNPDISHREAFSTAAKNWAHFPHTQFGLKLDGKNKQAKFDQGTEATQKSNRMMG >OIW08214 pep chromosome:LupAngTanjil_v1.0:LG07:4919836:4922050:-1 gene:TanjilG_15175 transcript:OIW08214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNRYNYNEQQLIDEVIYLHSLWHQGPPSTSYIPNPIPPIPIPSIPIPYSEPFFPHNPIPTRFHHPLAPAHTRSFPFPPHTSKKRKKNKRTRRNPYSRPDPGIEWPCPPSPDPSPKGWAPFNSHSTTTVSLQPSSQEKEKLSALRSQTKASQAIKNFLINDSDEEDEDEDEDEDDYDLEEIEDLIVGIFMEDDEMRGYYQKCYESGEFCCFVCGAIGKKKSGKRYKDCSSLVQHSMSISRTVKKTAHRAFGQAVCKVLGWDIDRLPIIVIKGVPLGMKEVEGVPKENTDGDGNVRDGKVVEHAQGCSSKKGGDDIGLENAGGRAIDEVNYKPLGSGAEWVCENPPCDSSSTASGWPSFNTESSSQTRAVLAEAQTSVAGLQRQQHKALEACKEYLVGNAGSDCDNDTDNEDEDESIDCSDPVECEELKFFVRLFTEDSDLRRYYENNYEEGDFCCLVCEGVGKKMGKRFKGCVSLVQHSIAVKRTKRMRAHRAYSQVICKVLGWDFDRLPTIVLKGEPLGSSLAG >OIW07801 pep chromosome:LupAngTanjil_v1.0:LG07:10885016:10887760:-1 gene:TanjilG_31993 transcript:OIW07801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSGEGYASKEHRELHRSATGNADTSSVSPLKLSIKSPRSIKSPRSPKSPRSPKSPRSPNVQGKGSTLSPKHYKQSHSPIDGRPKKGGSGGKGTWGGLLDIDDMNSLDPNDPNYDSTEEYDNSNEKKKNTDLDDYKKKATIIVEEYFTTDDVVATINELKELGKQQYGYYFVKKLVSMSMDRHDKEKEMAAILISALYADTFEPSQVYKGFSKLVESADDLIVDIPDTVDVLALFLARAVVDDILPPVFLKKKMISLPKDSKGVEVLKKAEKSYLSAPLHVEIIERRWGGSKNMTVDDVKGRINNFLIEYVVSCDKKEVFRCIKDLNVPFFHHEIVKRALIMAMERRQAEGPLLDLLKEAAEEGFINSTQMSKGFGRLIDTVDDLSLDIPNARGILQQLISKAASEGWLCVSSLKSLSVEPEKNSIEDSRAKNFKMKTQSIIQEYFLSGDISEVNNCLEQENSSNCGELNAIFVKKLITLAMDRKNREKEMASVLLSSLCFPADDVVSGFEMLIESADDTALDNPVVVEDLAMFLARSVVDEVVAPQHLEEIGTQCLGLDSIGSKVIQMAKSTLKARLSGERILRCWGGGGSSRPGWAVEDVKDKIGKLLEEYESGGEIREACRCMKELGMPFFHHEVVKKALVAIMEKKNERLWGLLKECFESGLITMNQMGKGFGRVAESLEDLALDVPDAENQFTYYVEQAKIQGWLDNSFSFSKQEHANATENGTC >OIW07719 pep chromosome:LupAngTanjil_v1.0:LG07:12696833:12699606:-1 gene:TanjilG_11846 transcript:OIW07719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTTSAVSFGNNFTQCFTKINTYSSKELSFVTSQLSGLRISFNPSLKSATPIVSSPIIPVLKPVARRVCPFTGKKANRANKVSFSNHKTKKLQFVNLQYKRIWWEAGKRFVKLRLSTKALKTIEKNGLDAVAKKAGVDLRKK >OIW07807 pep chromosome:LupAngTanjil_v1.0:LG07:10837285:10837860:-1 gene:TanjilG_31999 transcript:OIW07807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNTLHSNTMIPWTFLANLDTSASAEVIIPKFTAPKIPEIQTKKSFAQALKATCDISISQLPQPCIKGDAIAIKIPEEEYQAGLQRCKTHLYGRLILSKGDTPIKFNELRAKLQGLWTMIGTWSMISLSHGFYDFSFSSVEDMMTVCAVGSWSLKPGFLRLSLWTPDFNPNLQKMSHSQCWVRILGLPLEY >OIW08709 pep chromosome:LupAngTanjil_v1.0:LG07:953671:959399:-1 gene:TanjilG_03385 transcript:OIW08709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQPLVKKDDDRDDEDEYSPFLGIEKGAVLQEARVFNDPQLDARRCSQVITKLLYLLNQGESFTKVEATEVFFSVTKLFQSRDLGLRRMVYLIIKELSPSADEVIIVTSSLMKDMNSKTDMYRANAIRVLCRITDGTLLTQIERYLKQAIVDKNPVVASAALVSGIHILQTNPEIVKRWSNEVQEAVQSRAALVQFHALALLHQIRQNDRLAVSKLVTSLTRGTVRSPLAQCLLIRYTSQVIRESGNNNTQSGDRLFYDYLESCLRHKSEMVIFEAAKAITELNGVTSRELTPAITVLQLFLSSSKPVLRFAAVRTLNKVAMTHPMAVTNCNIDMESLISDQNRSIATLAITTLLKTGNESSVDRLMKQITNFMSDIADEFKIVVVEAIRSLCLKFPLKYRSLMNFLSSILREEGGFDYKKAIVDSIVILIRDIPDAKESGLLHLCEFIEDCEFTYLSTQILHFLGVEGPKTLDPSKYIRYIYNRVHLENATVRASAVSTLAKFGAAVDGLKPRVFVLLRRCLFDNDDEVRDRATLYLNTLGGDGSVVETDKDVKDFLFGSFDIPLVNLETSLKNYEPSEEAFDINSVPKVVKSQPLAEKKAAGKKATGLGAPLSAPPSTADAYERLLLSIPEFANFGKHFKSSPPVELTEAETEYAVNVVKHIFDRHVVFQYNCTNTIPEQLLEDVIVIVDASEAEEFSEVFAKPLRSLPYDSPGQTFVAFEKPEGLPTAGKFSNILKFIVKEVDPTTGEADDDGVEDEYQLEDLEVVAADYILKVGVSNFRNAWESMDPDSERVDEYGLGPRENLAEAVNTVINLLGMQPCEGTEVVPPNSRSHTCLLSGVFIGNVKVLVRLSFGLDGPKDVAMKLSVRSEDEAVSDAIHEIVASG >OIW07689 pep chromosome:LupAngTanjil_v1.0:LG07:13605365:13606096:-1 gene:TanjilG_30766 transcript:OIW07689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSIEKQGSLFILILNGEEDDQHRLNPTLISSILSALSQIKSQATAGSALVTVARGGSGNFFCNGFDFRWAQAAGSQSGARQRLRHMSDSFRQVVAAFVSLPMPTVAAVSGHVAAAGLVLAFAHDYLLMRSDRGVMYMPEVNLGITLPDYFAAAMRAKIGSPVALRNVVARGVKVRAKEGVELGIVHSAHDSVEGTVEAAMRLGEELAGKEWVGESYAEIRKSLYPQMCSTLSLTHKLIISKI >OIW08661 pep chromosome:LupAngTanjil_v1.0:LG07:1261952:1276438:-1 gene:TanjilG_03337 transcript:OIW08661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLISQSRSDSITRDPKVLSIECLRGSYKAEEWTHDMLQTGDIVEEIRIGNFTNSMIRFRSPFKNGKNGVNKILQDSYKKKETSIIIRVRRGTHEFIELQACIVPNDFSLMKSYVLRSIKDPNYVLGFLDRSETECFNLQASRTTRMVNALTRTKLQDGYVSYQWEKRMQEMLPVPNSSNFLSILLLPKASDMEASRYNDVEDTLARANAWLNAAQASGVPIVFMNIQTESLLTKISGETASSTVNAGSLSDLSNVANVSLYGFEDYHGVDIGVVRAIRLWYAPIGGEFSIEIKLKEDDTKLGFAISRTEEGFIFISSVIDDDRENVAATRSGLSNLYNLAKSTSRLLVVSRLSNQRVLPWMVSSTGAIKCYDTVSLSQKLSLHRHTKVPILLHVFLWDRALASSSRPSTTTRLRDLSPPVLPLPIEVQLAHQPNDNQIQPWHSEASDSSDGSQPMQLERDTAGDISFRFQDFSLSSNWRKRGKMDKFLVPLKPSIEERVKPSHRRRWKRCSVELNGKFEPNYRHEMMNLLMRSYSEVGVFPHLYHQYEAVPCRSHMSRVVSEANGDGISLFRQGISAVDFDNKGIYLASVTKSGCLTVHDFEALYSQKRELTCLKEDESKHILHLSRNRQLDAVKWNPLNQDEVVCASVQSNEALIFDIGYVSSEPVEVLRTRQASTVHGSTIYKGLSDIAFTTNDPRIIASDTHGAINIWDRRVKALPCLELTSTSHDTLNSIQLNEENQIIFGAGRHGVVHVWDIRGGRASTTFQSLKEICHPPLTSFKLATLLEKIGSLKAQTDIVPKEIHSIDFNPSCLNQLAFHLNDGWEDMQGISRVNNQNNQNKFIPLSERVISCAAHPLYNAIVAGTERTSLLVISQRHESCRGED >OIW07808 pep chromosome:LupAngTanjil_v1.0:LG07:10816199:10820034:-1 gene:TanjilG_32000 transcript:OIW07808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRRRTLLKVIVLGDSGYVHKKFSQQYKATIGADFVTKELQIDDRLVTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNVMKSFDTLDNWHDEFLRQANPSDPRTFPFILLGNKIDIDGGNSRVVSEKKAKDWCASKGNIPYFETSAKEDYNVDAAFLSIAKTALANEHDQDIYFQAVPDPTPETTEQRGGCAC >OIW08113 pep chromosome:LupAngTanjil_v1.0:LG07:5857094:5859572:1 gene:TanjilG_06656 transcript:OIW08113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIPLLLTTPLFLLFSLVQGYNNPNCDTQDHGSTLQVFHVFSPCSPFKPTKALSWEESVLQLQAKDQLRMQYLSSLVAGRSVVPIASGRQIIQNPTYIVRARFGTPSQTLLLAMDTSNDAAWVPCTGCVGCSPITPFAPAKSITFRNLGCGAPQCKQVPNPTCSGSACSFNLTYGSSTVAANLVQDRLTLATDPIPGYTFGCIQQTTGSSVPAQGLLGLGRGPLSLLAQTQNLYQSTFSYCLPSFKTLNFTGSLRLGPVQPNKLRFTPLLKNPRRSSLYYVNLVAIKVGRKIVDIPPAALALNPTTGAGTIFDSGTVFTRLVQPAYIAVRNEFRRRVGRKVTVTSLGGFDTCYTVPIVAPTITLMFSGLNVTLPQDNILIHSTVGSTTCLALAAAPDNVNSVLNVIANMQQQNHRVLFDVPNSRLGVAREVCT >OIW08208 pep chromosome:LupAngTanjil_v1.0:LG07:4966734:4968095:-1 gene:TanjilG_15169 transcript:OIW08208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPVKPSVVTPRKSKLARTFAKVLHVRSVSEIAQVDRLKNAKTDANLKDDIRNTQSESFNKDDEELQKKMATEALLAKLFASISTVKASYAQLQYAQSPYDPDEIQAADGLLISELKTLSEIKRCYLNKQFDPSPERAILAAESKELLSVIKTYEIMEKKLQSQLQLKESEIIFLREKLEETNKQNRSIEKKLNQSGSLSVLDNLHISGLSPSHFTTVLRQTVRSIRSFVKLMVNEMRSTGWDIDASVNVVTEQNVVYWNEDHKCFAIESFICREMFDSFNTPNFSLPNESLPDKSKLQQLFFGRFSELKSLKAKEFLALKPRSPFAKFCRVKYLKLIHPKMESSFFGNLDQRNLLNIGEFPDTNFFTLFAEMAKRVWLLHCLAFSFEPQVSIFQVENGCRFSEVYMDCVNDEMAVEESEPQVAFTVVPGFRLGKTVIQCQVYLSQHQSKRSN >OIW08047 pep chromosome:LupAngTanjil_v1.0:LG07:9479723:9482826:1 gene:TanjilG_20148 transcript:OIW08047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDVILHIYDVTNSGSDKTNNTILQINKIFKDGIGLGGIFHSAVQVYGDDEWSFGFCEQGTGVFSCPSGKNPMYTYRESLTLGKTNLSIFKVNQILRELSREWPGSSYDLLARNCNHFCDEFCERLGVQKLPGWVNRFANAGDTAMEVAGNTALRLRQAKTEIVSASKVAYRFLLGVTNNVKTDLESPSNSNREGGSPRFQATWLKNIITNGAKPSTSSEAVNQNGVVPQPPI >OIW08355 pep chromosome:LupAngTanjil_v1.0:LG07:3652638:3653804:1 gene:TanjilG_03031 transcript:OIW08355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVGAEQHKFQPSHQLLSLKKSLRDIDIPPRKLLTRRAAAATYDGAADMYSDETFFQKYLPHNNMNIIVDESDDDDSDPYSSDHFRMYEFKVRKCTRSRSHDWTDCPFAHPGEKARRRDPKRYRYSGSVCPEYRRGGGSCSRGDTCEFSHGVFECWLHPDRYRTEACKDGKNCKRKVCFFAHTPRQIRVLPVNSHTTSSNEIMNSCKNNNKNSKFLNPSNIKHSCLFCHCNSCVSTCSPTSTLFGMSRFFSPPMSPSSPASPANGVSPLSRYVGSEKSHHGVGVLSYKGMLNELRYSLEGLNYNEANNNSPVSSASNVQKNLNWLVDGSINCEDQQRFVLSPSSAPSSDFGNFNNGRYSTSKILKNEAKFIDDVNAPDLGWVNELLM >OIW08594 pep chromosome:LupAngTanjil_v1.0:LG07:1738793:1742241:-1 gene:TanjilG_03270 transcript:OIW08594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENENVDESEKVKGVPIHGGKFIRYNILGNFFDVYHKYAPPIQPVGRGAYGIVCCATNSETKERVAIKKIGNAFDNRIDAKRTLREIKLLCHMDHDNVIKIKDIIQPPDKENFNDVYIVYELMDTDLHQIIQSNQALTDEHCQYFLYQLLRGLKYIHSANVLHRDLKPSNLLLNANCDLKVCDFGLARTTSETDFMTEYVVTRWYRAPELLLNCSEYTAAIDIWSVGCILMEIIKREPLFPGKDYVQQLALITELLGSPDDSDLGFLRSDNAKKYVKQLPHVEKQPFAQSFADMSPLAIDLAEKMLVFDPSKRITVEEALNHPYLSSLHEINEEPTCPTPFIFDFEQATLNEEDIKELIWRESLNFSQDQRLE >OIW08798 pep chromosome:LupAngTanjil_v1.0:LG07:221831:224223:-1 gene:TanjilG_16379 transcript:OIW08798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDSGKPTPNDNASLDNNSEQSEKAMNKIEPIRLPTVEEIRGQDIWNNCAVRSVVSGVMGGGLGFFMGLFLGALDNPIMQSEMTGRQQLIYQAKQMGQRSLSSAKAFAVMGLVFSAAECVVEKGRAKHDATNTVVAGCATGGAMSAKGGPKAACVGCAGFAAFSVLIEKFLDRHD >OIW08700 pep chromosome:LupAngTanjil_v1.0:LG07:1017600:1020765:-1 gene:TanjilG_03376 transcript:OIW08700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSAHLCRLPFTRTDLSLPESHIRIQSRKPFSLRCSGDSSPAAVDSEFDAKVFRKNLTRSKNYNRKGFGHKEETLQLLNREFQASDIIPTLKKNGFEYTWGNVTVRLAESYGFCWGVERAVQIAYEARKQFPNESLWLTNEIIHNPTVSKRLEEMKVQIIPLEEGKKQFEVVNKGDVVILPAFGAAVDEMLTLSDKNVQIVDTTCPWVSKVWNTVEKHKKGDYTSIIHGKYTHEETVATVSFAGKYIIAKNMAEAEYVCDYILGGELNGSSSTKEAFLEKFKFAVSEGFDPDTDLIKLGIANQTTMLKGETEEMGKLIERTMMRKFGVENVNEHFISFNTICDATQERQDAMYKLVEKDLDLILVIGGWNSSNTSHLQEIAEERGIPSYWIDNEQRIGPGNRIAHKLNHGELVEKENFLPKGPITIGVTSGASTPDKAVEDALIKVFDLKREEALQLS >OIW08513 pep chromosome:LupAngTanjil_v1.0:LG07:2396951:2397585:-1 gene:TanjilG_03189 transcript:OIW08513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAFNHYVIVKFKDSVAVEELVQGLEKMISGIEHVKSFEWGKDIESHEMLRQGFTHAFLMTFNGKEDFNVFQSHPDHIEFSKLFSPAVENIVVLDFSSNPVKASASE >OIW08714 pep chromosome:LupAngTanjil_v1.0:LG07:927286:930355:1 gene:TanjilG_03390 transcript:OIW08714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHKEKVQLLELSLVRKRTPQFPGSIYAQSPSDPDVGSSLPPLRTLFQSTSSHIDDDDEIIMRAIEIRRKVTEEVFKEAMMRKGKFGITYTTNLITRLQGFIDFIMIEAANMKKLPEYSHSTFNLRAKTVVEDSQVVPLIRWLKHNSVSYPRIAKLILMSRGKFESIRNRVMWLKSIHVRGDYLGVALNNAGENILQRSNEELDEIVDYLESNGVRKDWMGFVMSRCPQLLSYSLEEVKNRVQFYLDMGLNQKDFGTMVFDYPKVLGYLTMEEMKQKVNYLKEFGLQTEDVGRLLAFKPELMACSIDEQWKPLVKYFYYHGITRDGMRRMLTLKPMVFCIDLEMTIVPKVRFFQDMGVRDDAIGSMLVKFPTLLTYSLYKKIRPVIIFLMTKAGVSERDIAKVVAMGPELLGCSITDKLEVNVKYFLSLGIRLGQLGEMIANFPMLLRYNIDVLRPKYSFLRRTMVRPLEDIIEFPRFFSYSLDGRIIPRHKVLVENQINIKLRYMLACSDEEFNKLVKDLIRKRQRFQSAGMTEDTTHPQHVITRNNSTTERHPQ >OIW08569 pep chromosome:LupAngTanjil_v1.0:LG07:1896898:1900439:1 gene:TanjilG_03245 transcript:OIW08569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSEEKKPETLSDEEHDAATFSCRRIVSLPVQTVDDPMGITEETTHVPPRKRQNLLLEIPSRTPEECSQDFVAIKMPMTPSPTPTPKRVNFLVTSRSVDAPTNNAHMPPTPNSRGKSSRRSILPKLSFRYRTHTDIEQATAAAPEGSSSGYRVKPSISRSLSLSKIFTPRIKRTSSSPVDEIGHSNTESVHGGSVGGLLNKRDAQPQKKIARSLSVPVNNKDKSIKRMDSFFRIIPSTPRVKEGNELITSPTNDTENDDGDGEDIAEEEAVCRICLVDLCEGGETFKLECSCKGELALAHQECAIKWFSIKGNKTCDVCKEEVRNLPVTLLRIQSVRNQNSGARSQLEHVNGYRVWQEVPVLVIISMLAYFCFLEQLLVGKMGTGAIAISLPFSCVLGLLSSMTSSTMVKSRFIWIYASFQFALVVLFAHIFYSLVHVQAVLSILLATFAGFGVVMSGSSILVEAFRWRRRWQALSEQQHSLQLMTQPVQNSRPVNTPHSDPADDNSQPVVQDQQNSSQG >OIW07928 pep chromosome:LupAngTanjil_v1.0:LG07:7675662:7677711:-1 gene:TanjilG_20029 transcript:OIW07928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLTNFMFKPSISFSTNPTLLPLHSHRSFLPFQASQYSQQTHLKASHHFRFIPKATAASGAKKTLSDERVQKVHSIEEFDEALRAAKNKLVVVEYASRNSEESSNIYPFMVNLSKSCNDVEFILVMGDESEKTRELCEREKIDKVPHFSFYKSLEKIHEEEGIGPDQLVGDVLYYGDSHSAVEQLHSREDVEKLIEGHKIDQKLIVLDVGLKHCGPCVKVYPTVIKLSRQMADTVVFARMNGDENDSCMQFLRDMDVVEVPTFLFIRDGQIRGRYVGSGKGELIGEILRYQGVRVTY >OIW07694 pep chromosome:LupAngTanjil_v1.0:LG07:13691746:13692444:1 gene:TanjilG_30771 transcript:OIW07694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCSSIHHSSNSDFSSESSLGSPDLISLNLNNDHFLPFNENDPDEMLLYGMITSQQQLQPFGTCIVNKEDEVSSKEKNNSGGDNNSRMNKEKAYRGVRRRPWGKFAAEIRDSTRHGIRVWLGTFDSAEAAALAYDQAAFSMRGSAAILNFSVERVKESLREIMNYNCEDYDDDDECSPVVALKKKHSMRKKMGIKKKETSVRNRIDNVVVFEDLGPEYLEQLLMSSENPSNW >OIW07656 pep chromosome:LupAngTanjil_v1.0:LG07:14460750:14461088:-1 gene:TanjilG_07698 transcript:OIW07656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTDRNNDANGSIMNKAVLGSCLDDEEVEEAHDNEEEEDTDSKSLLPPRKGGMSKKYDEKRRKVQWKDSNGSLLADVLKSGETHRKVHWKDTNGNMLAEVLIYEPRYAFCCC >OIW08587 pep chromosome:LupAngTanjil_v1.0:LG07:1783556:1784861:1 gene:TanjilG_03263 transcript:OIW08587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENCDTNTTLASNSLLSSLSVTPQVVLSPCAACKILRRRCAQKCVLAPYFPPTQPAKFIIAHRVFGASNIIKFLQELEESERADAASSMVYEATARLRDPIYGCAGAICHLQNQVNELEAQLAKAQAEIVNMQVQQTNLMNLICMEAAQSPQELSPQQSLDNFYEENTSLLWEPLWT >OIW07921 pep chromosome:LupAngTanjil_v1.0:LG07:7601762:7603925:-1 gene:TanjilG_20022 transcript:OIW07921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESIATIVMLIMVSFTSVANALSVNYYDHTCPKVESIVVGAVQKATFNDKTVPAAMLRMHFHDCFIRGCDASVLLESKGKNKAEKDGPPNISLHAFHVIDNAKKAVEAVCPGVVSCADILALAARDAVTLSGGPSWDVPKGRKDGRISKAIETKQLPAPTFNISQLEQSFSQRGLSLDDLVALSGGHTLGFAHCSSFQNRIHNFSPKQNTDPSINPLFANKLQKICPFHNKVNNAGAQLDSSSTRFDNAYYKLLLKGNSIFSSDQALLTHPNTKALVSKFARSQEEFERAFVTSMIKMSSIITSDSGKEIRLNCKHVR >OIW08645 pep chromosome:LupAngTanjil_v1.0:LG07:1386390:1390791:1 gene:TanjilG_03321 transcript:OIW08645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASTTSQVYIQVIEDVVNKVREEFVNNGAPGDEVLKELQAMWESKMIQAGVILGPIERSSAPKPTPGGPITPVHDLNMPYEGTEEYETPTAEILFPPTPAQTPIQTPLPGTVHNSMYNIPTGPSDYTSSGNGSGGNTEMKGGRPSPYMQPPSPWMNQNAPLDVNVAYVEGRDEADRGTSNQPLTQDFFTLNSGKRKRDEVASQYNAGGYIPQQDGAGDAASGFFEIELYGGSVSIDAGHSTKGKMSANLERSAPRIPQLDGPIPYEDDVLSTPNIYNYGGAYNEDYNIANTPAPPEVPVSTPALVAQNEVEEEEDDDDEPPLNEDDDDDLDDLEQGDDQNTHHLVLAQFDKVTRTKSRWKCTLKEGIMHINDKDILFNKATGEFDF >OIW07777 pep chromosome:LupAngTanjil_v1.0:LG07:11394440:11395205:-1 gene:TanjilG_03564 transcript:OIW07777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIPNGNEEEKENSKIKWVIKKPTNADQNLTPNRTMEPEMNHVAEASTSKNPTTVATPQTNGGISSEGCSCNEGVKPKLVNNLKKNRAKDEKENEQQESTVAPKNSLPWNLRTRRSKCEKANELKKDNKIQRSELKVELTKKEIEEDLKAMLGHPLRGKPKKRPKVVQNQLDNVFPGLNLKGITAKSYKVIEPSS >OIW07891 pep chromosome:LupAngTanjil_v1.0:LG07:7139510:7142736:1 gene:TanjilG_19992 transcript:OIW07891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRAFKKVKIMVGMEVEDQEQQAAALEDNNANFMDDFNCNSTLSTIQSMLVFLKPIKFAITFTLGNLLSHGSTALLIGPKKQVTVMLDPVRIYATAIYLANIVIDWYSLSTFARSMTTNSSGIA >OIW08018 pep chromosome:LupAngTanjil_v1.0:LG07:9051641:9061764:1 gene:TanjilG_20119 transcript:OIW08018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPTPLQPAMLLGSPSYPNAIAWSDENLIAVASGHIVTILKPELPNGPRGVIKVSASDPLPIGLVERKDLLSGCLLSTCLYRDDKPGVRSISWSPVGMAPNSGCLIAVCTTEGHVKIYRPPFCDFCAEWIEVVDITEMLYGYLQCTEFQDNGIPSSEFSKVPKPNRKSLKEMPGNSNLSLISAGEYASRSAMMCSLVISWSPLLHVASELYPAPDTCSSISLLAVGGKSGKVSLWRFCSPDCYTIEDNKVPTAVEFVEMLQAHNSWVTTLSWLFLDFDASNPQILLATGSSDGSVKVWLGDNDKLLKSSGTNQSPFVLLEVINGNAVPISVLSVTAHVQYPSKMLLAIGKGSGSFEIWLCDIHSREFDKLGSYAVHDYVVTGLAWAFGGRFLYSCSQDNVVHSWILRERHLDEVTIVSDMPRANNATSITRDAFDSCYGAAVSPGNLVIATVHCFDVEKLNRMYEGRILRAAIEFFWIGGLQVDVLLKSPHSFSIEGHFSFPEKELTYWGANILWSLNHFQHVDKSLVLWDIIAALLAFKDSKSKYVEDLLIKWLSLSFLRSHKDLPTEKVLSCVSSSFSNIPSRLLHLLNIICRRVMLLELDADQISRINSKVQNLEGKCPATEKQIAKWVEILLNSERELRERLVGFSLLNFQSRTPFPETSISQSGRWHPVGLAQMEQWIALEQDHVRDRLKFIASEVNHDKRFTPSKCLATEQCSFCSAPVPFESPEFGFCQAENCSGGDSKCHRLLRCAVSMQVCPITPLWFCVCCRRSAFRLTPEPLLRMSSFQVDLDSSTKSSSEAVSSKPLCSFCGILLQRQQPDFLLSPMPV >OIW08583 pep chromosome:LupAngTanjil_v1.0:LG07:1815676:1816029:1 gene:TanjilG_03259 transcript:OIW08583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSMLNLRAIHGVPICLSGITNPLSLSLSKEIADHDRRRKDMRKQRSLAREGVHANQEFIEIKGGDLDDILNDLYLGQLDFTAKAA >OIW08334 pep chromosome:LupAngTanjil_v1.0:LG07:3794371:3796706:1 gene:TanjilG_03010 transcript:OIW08334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQLPPRVPNMSPSWPEFSSHQKMPSFTSMPSNAANSNHQHHPQQQNNTTSWVDEFLDFSSARRGAHRRSVSDSITFLEAPKGDDHNEFERFDDEQFLSMFNDEVSGNHTMMPPTTLSTSNPSSPSDHTSINDHKGKTNQEHEKEEKEQHQQKQLKSESEDDDESQCKQEITEGPNDNNNSTTFSNDRITDPKRVKRILANRQSAQRSRVRKLQYISELERSVTSLQAEVSVLSPRVAFLDHQRLLLNVDNSALKQRIAALAQDKIFKDAHQEALKREIERLRQVYHQQNIKNMDNAAGPPSPSPSPKPKCDTQTEKEQIN >OIW08438 pep chromosome:LupAngTanjil_v1.0:LG07:2939631:2939876:-1 gene:TanjilG_03114 transcript:OIW08438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKFSVAWWAYSFPLTALALASSEYALEVEGLMAHAIMLVLSTLSVLVSLLLIVFTALNTSITLTVAPKLDLTHKSNCEAA >OIW07513 pep chromosome:LupAngTanjil_v1.0:LG07:18592866:18594045:1 gene:TanjilG_14459 transcript:OIW07513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENQGEVKLLGVGESPFVCRVVIALKLKGIEYEFIEENLGNKSDLLLKYNPIHKKVPVLVHNEKPVSESLVIVEYIDEAFKGNPILPTEPYQRALARFWSKFIDEKIVPAVRKAVFTLDEVEREKGIEESLEALQFLENELKSKFFGGDEIGFLDIAATIIAYWVPIFQEVTGLQIFTSDKFPKLYNWSQELINHPIIKESLPPREPLLAFFKGRIEALSASK >OIW07533 pep chromosome:LupAngTanjil_v1.0:LG07:18859727:18862264:-1 gene:TanjilG_14479 transcript:OIW07533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEEDIYLQDGTTNIYGKPANKKKTGTWKACWFILGNECLERMAYYGMCTNLVNYLRDRFNHGNATAANHVTTWSGTSYATPLIGAFIADAYLGRYWTIAIFSTIYVFGMILLTLSASAPGLKPSCDANGCNPTSTQTASGFIALYLVALGAGAIKPCVSSFGADQFDETDEKERKNISHFFTWFYFSINVGGLVAFSVLVWIQVNIGWEWGFGVPSAAMVIAIIFFFGGSGFYRLQIPGGSPFTRICQVIVAAAKKSNLRVPADKSLLHETIDVESSIKGSRKLDHTNTLKCLDKAAMKTQNDIKELPNPWSLCTVTQVEELKSIIRMLPVWASLISFAGVYSQKYTMFVLQGNTMDQTIGPSLKIPSASLALFDTISVIFWVPVYDLIIVPCARRFTGHSQGFTQLQRMGIGLIILSISMFTAGILEYIRLDIVKKNNYYDLKTIPVSILWQIPQYVLIGCAEVFTCIAQMEFFYGQSPDAMRSLGAALSLTTVAVGNYISTLLVTIVAKVTTTYGRVGWIPDNLNRGHLDYFYWLLSFLCFLNFLMFLWIAKSYKYKKVAEISIA >OIW07924 pep chromosome:LupAngTanjil_v1.0:LG07:7625520:7629252:-1 gene:TanjilG_20025 transcript:OIW07924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRYQKVEKPRAETPIDENEIRITSQGRMRNYITYAMTLLQEKGSNEIVFKAMGRAINKTVTIVELIKRRIVGLHQNTSIGSTDITDTWEPLEEGLLPLETTRHVSMITITLSKNERDTSSVGYQSPLPADQVKPSTDFDYEGEVSPSGRGRGGRGRGRARGNGFIPADYEDGGWDRNRGRGRGRGRGRGFRGRGRGGYNGPQNDFQHDGGYNQDVPQGRGRGRVAYRGRGRGFRANGPIQAAA >OIW07937 pep chromosome:LupAngTanjil_v1.0:LG07:7754893:7756854:-1 gene:TanjilG_20038 transcript:OIW07937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVAIKQLNLDGQQGSHEFVTEVIMLSLFDHSNLVKLFGYCTDGDQRLLVYEYMPMGSLEHHLFDPERKTLSWNIRMKIAVGAARGVEYLQCRAYPPVIYRDLKSANILLDHDFNPKLSDFGLAKLGPIGDKTHVSTRVMGTYGYCAPEYAMSGKLTLKSDIYSFGVVLLELITGHKALDLSRKSGHQNLVAWFRPYFNERRKYSKMVDPRLQGNYPLRSLHHAIAITAMCLQEDANRRPLIRDIVIALEYIASKSNCDFNTCQQQEPETDIN >OIW08433 pep chromosome:LupAngTanjil_v1.0:LG07:2984480:2984770:1 gene:TanjilG_03109 transcript:OIW08433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSNKAPSWSDQWGTGGIDNDNYEYEGDKLKKSGSSNKLSGAKAAASAGMDKAKSVALVGADKAKSAAAVGAQKVKSGTFAGLKWVKNQYQKRSSK >OIW08741 pep chromosome:LupAngTanjil_v1.0:LG07:727973:730770:1 gene:TanjilG_03417 transcript:OIW08741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPFSGDETAPFFGFLGAAAALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKSYYLFDGYAHLSSGLACGLAGLSAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRAD >OIW07741 pep chromosome:LupAngTanjil_v1.0:LG07:12258216:12264993:1 gene:TanjilG_11899 transcript:OIW07741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSLNNYPPQPLSDSDTDSDGGDPSSTSLRRHSDLSDSIFKSYFQFTGHSSSATASPSDLSKIQSFLTSSSSGALSCLICLERIKPSDPTWSCSSLCFSIFHLICIQSWAQQSSNLFASRASTRLPISPETASETAIWNCPKCRVEYPKSQIPKGYFCFCGKLKDPYSDDPWVLPHSCGDVCEKPLMNNCGHRCLLLCHPGPCPACPKLVKVRCFCGSNDDVRRCGFKEYSCNNQCSKLLDCGVHECVEICHIGDCPPCRKIGVYRCQCGKKNEERECFNRVYRCEEPCEKKLRCGKHVCERGCHYGECGECPLQGKRTCPCGKRVYEGLPCDVPVQVCGDTCGKMLSCGYHRCPERCHRGQCAETCRTVVKKWCRCGSHRKDIWYVKGSVSRCGIVGGMLVNDVAVTGIALPAQRYVAEGFDVRTINARPHATEVDALMILGSLATSKFDQQVPCGTEKDQKPPKCPKRCHIAPLCRHALKCKPHKCHYGACPPCRLPCDEEYPCGHACKLRCHGAKPPPNPEFTLKPKKKKIIQNESVPGTPCPSCPELVWRSCVGQHIGTERMEREPRCQHHCPRPCHPGDCPPCKVLIKRSCHCGSMVHVFECIYYNTLSAKDQEAVRSCGGPCHRKLPNCTHLCPETCHPGQCPNPEKCCKKVTVRCKCQTLKKEWLCKDVQAAYLRNDCDSSDIPKNQFGAGLIPCNSECKIKVQVAESELQLRKSRVTEKIISRTKQLLLYVFILVILLVASYYGYEGLLRLNDWMNEVDEQRQRYSRTK >OIW08216 pep chromosome:LupAngTanjil_v1.0:LG07:4908249:4908788:-1 gene:TanjilG_15177 transcript:OIW08216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTKGKNIVEGSSASGAGGGGSDDQQQQLPLSRYESQKKRDWNTFGQYLRNQRPPLALSQCNYNQVLDFLKYLDQFGKTKVHVEGCLFFGQPEPPGPCTCPHKQAWGSLDALIGRLRAAYEENGGLPETNPFASGAIRVYLREVKDSQAKARGIPYKKKKKKKRNLIKPKGDTSNSTMQ >OIW08209 pep chromosome:LupAngTanjil_v1.0:LG07:4961970:4962284:1 gene:TanjilG_15170 transcript:OIW08209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLSEASSESPSSSSVETSLSCSTPTSPCIQMVTKSVSERLLGKFFDASQFDFDYEQSGLWSPLIKRTVFLTSPGTICTDDEMLRKLKKAKKAWKINRLIICFN >OIW07499 pep chromosome:LupAngTanjil_v1.0:LG07:18442514:18450020:-1 gene:TanjilG_14445 transcript:OIW07499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIMDHTRQFVKSVKRVVVKVGTAVVTRSDGRLALGRIGALCDQLKELNTNGYEVILVTSGAVGVGRQRLRYRRLSNSSFSDLQRPQGDDDDGKACAAVGQSSLMALYDTMFSQLDVTSSQLLVNDGFFRDAAFRKQLSDTVNSLLHLRVIPIFNENDAVSTRKAPYEDSSGIFWDNDSLAGLLALELKADLLVLLSDVEGLYSGPPSDPKSKLIHTYVKEKHQSEITFGDKSRLGRGGMTAKVNAAVSAAYSGTPVIITSGYVTDNIIRVLQGERIGTVFHKDAHLWINTKEVSAREMAVAARDSSRRLQVLSSEERSKILLAVADALENNESMIRSENEADIVAAEGAGYEKPLISRLSLKPEKARTSLISNLAKSVRMLADMEEPIGQILKRTELADNLTLEKISCPLGVILIIFESRPDALVQIAALAIRSGNGLLLKGGKEAKRSNEVLHKVITSAIPDTVGDKLIGLVTTREAIPDLLKLHDVIDLVVPRGSNELVSQIKDTTKIPVLGHSDGICHVYVDKKANIDMAKQIIKDSKTDYPAACNAMETLLIHKDLSTNGGLDQLVVELKNQGVELYGGPRASALLNIAESSSFHHDSHTECIVTEDLEVAETFLCQVDSAAVFHNASTRFCDGARFGLGAEVGISTGRIHARGPVGVEGLLTNRWILRGNGQVVDGDRGVTYSHKELPIKA >OIW08348 pep chromosome:LupAngTanjil_v1.0:LG07:3690267:3690458:1 gene:TanjilG_03024 transcript:OIW08348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSFLSFPLLVRSVILIPILIAAPRCPNYPPPSTPSSPLLQRKPPHSKKRKFPISLLRPKPKP >OIW07814 pep chromosome:LupAngTanjil_v1.0:LG07:10766722:10773785:-1 gene:TanjilG_32006 transcript:OIW07814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRTIFTLSFSSSNFSSFTRLSHHVNLTLSKPIIRFFPRFRPLCSIATAAPETADLMKHSMLLEKLRIRHHKDKLKPPEPKKKSLSSNKDSSGEIKKKSFEEKEKLIGSFEELGLNDEVMGSVREMGIEVPTEIQCIGVPAVLQEMSVVLGSHTGSGKTLAYLLPIVQLLRRDEQLNGMVLKPRRPRAVVLCPTRELSEQVFRVAKSISHHARFRCTMVSGGGRLRPQEDSLNNPIDMVVGTPGRILQHIEEGNMVYGDLKYLVLDEADTMFDRGFGPDIRKFLGPLKRRESKSDGPGFQTVLVTATMTKAVQTLVDEEFQGIVHLRTSTLHKKISSARHDFIKLSGSENKLEALLQVLEPSLAKGHRVMVFCNTLDSSRAVDHFLGENQISTVNYHGEVPAEQRVENLKKFKSNDGDCPTLVCTDLAARGLDLDVDHVVMFDFPKNSIDYLHRTGRTARMGAKGKVTSLIARRDTILATRIEEALRKNESLEAITSESVRKDVTRTQITEKRGRNKNFVSVAKVKKNSAGRPSSANESGTKSRKGSPSAKPMKKGINVSKPIKSSSASSSRKASSGNKQTSKRANATNNQQQQSRPFAVRTSKPSASKSSDSKLSVVGFRGRNSSSNNTQSL >OIW08568 pep chromosome:LupAngTanjil_v1.0:LG07:1904514:1909570:1 gene:TanjilG_03244 transcript:OIW08568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMRAMPASFSVINSNDSSLGFVRSSDFVRFSDLKKRTQYVRTKVSVIRNSKPGQDNIVELQPASEGSPLLVPRQKYCESLHKTVRRKTRTVMVGNVALGSEHPIRVQTMTTTDTKNVAATVEQVMKIADQGTDIVRITVQGKKEADACFEIKNSLVQKNYNIPLVADIHFAPSVALRVAECFDKIRVNPGNFADRRAQFEKLEYTEEDYQKELEHIEQVFTPLVEKCKKYGRAIRIGTNHGSLSDRIMSYYGDSPRGMVESAFEFARICRKLDFHNFVFSMKASNPVVMVQAYRLLLSEMYVQGWDYPLHLGVTEAGEGEDGRMKSAIGIGALLQDGLGDTIRVSLTEPPEEEIDPCRRLANLGMGASKLEKGVAPFEEKHRRYFDFQRRTGQLPVQKEGEVVDYRGALHRDGSVLMSVSLDQLKKPELLYKSLAAKLIVGMPFKDLATVDSILLRELPPVNDNNARLALKRLIDISMGIITPLTEQLAKPLPNAMVLVNLKELSTGAHKFLPQGTRLVVSVRGDEPSEELEVLKDVDATMIFHDLPYAEEKISRVHAARRLFEYLANNSLNFPVIHHIQFPNGIHRDDLVIGAGANAGALLVDGLGDGLLLEASDKDFEFIRNTSFNLLQGCRMRNTKTEYVSCPSCGRTLFDLQEISAEIRERTSHLPGVSIAIMGCIVNGPGEMADADFGYVGGVPGKIDLYVGKTVVKRGIAMEHATNALIELIKENGRWVDPPTEE >OIW08337 pep chromosome:LupAngTanjil_v1.0:LG07:3780624:3782582:-1 gene:TanjilG_03013 transcript:OIW08337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYGIFTAHALINLDSCATLLSRCWCSCSQRSNKEQFPSPFPHKTKTNVLLNRDNVHSTLSKCPSDLIALSFFLWSAQRHGCSHDSLALDRMVTVLQSLTNRYKTVKAILCELESIGRVTTPKTFLLLLRVFWRGGMYVMVFEAYHLMETYGFVPNTFARNLLMDVLFRIGRTDLALRVFEHTHAPNFLTFNTALLHLSKLNDVTHINPIARSMLRMGYHPNPNTFEMLLNCFCKLHRLTEAYTVLGLMITLGVQISVNIWTMLIREYCSLGRLDVASTLLRKMAETSCYPNVVTYTILFKAFMESNMVTEAFDLLNNMLSNGQFPDLILCNVLIDCLSKVGMYREAVEIFVSLSKQNIKPDSYTFSSLLSTISLSRMFHLLPKLVCGPIDADLVVCNALLSSFIKAGIPSLALKFYNHMIDEGFAPDKYSFAGLISGLCAAGRVDEAFNVYRGVVMTYYDIDAHIHTAITGGLVKVGRYHRAASVFRLAVTEKCPLDSVAYTVGICALLRGGKTQEACTLYNQMKDNGLTPNDHTCNMMLSTYLKEKDLEMAKQMLQDMIDLRKELSDRNLFNFCKYPYRLEDYLSVLKLLAEMEDLGLLSAKALHALSFDENAEGVPTKYEHYPEAITECNQAVDSSCSEDLSDVAASVG >OIW08153 pep chromosome:LupAngTanjil_v1.0:LG07:6344332:6357332:-1 gene:TanjilG_06696 transcript:OIW08153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKTLSASFTNPVVSLNREPMVTPYNVLITGSTKGIGYALAREFLKAGDNVLICSRSHERVETSVQNLREEFGEHHVWGTKCDVKNGEDVKNLVSFAQEKLKYIDIWINNAGSNAYSYKPLAEASDEDLIEVVTTNTLGLMICCREAMKMMASQPRGGHIFNIDGAGSDGRPTPRFAAYGATKRSVVHLTKSLQAELQMQDVKNVMVHNLSPGMVTTDLLMSGANTKQAKFFINVLAEPPEVVAEYLVPNIRSILANGSMKPTYIRFLTVSFIPLEEKMAKLVFHSQLLLLILFLAIHVSEQLEFSQSETLVKVQNLLGYPSVLSSLNSNTDYCNIEPTTYFTLVCYEDNLTQLHVVGNNDFTTPLPQNFNSETLFDTLGSLSSLKVLSLVSLGLWGPLPGSIAQLSSLEILNISSNYFSGIIPAQLSYLRNLQSMVLDDNNFNGQIPSWVGSLQGLAVLSMKNNFLSGNVPTSVNGLQTLRVLDLSSNQLLGVPNLHNLANLQVLQLANNTFGPHFPSLPTKLVSIVLRNNSFRLGIPSNLSSFYQLQKLDLSLNGFVGPFLPSLLSLPSINYLDISSNKLTGMLFNNLSCNDDLHFVNLSSNLLKGELPTCLKPKTKVVLYAGNCLSSEDQDQHPSNFCNNEALAVKIIPHQQKHKETRSKSVLVSSVGGVVGSALIVGLVILVSSWFHKKHVPKSPSKFTLEQVISQVQVHDEDEVKTPTRSTLELVISQVHDGDEVKPTPTRSIMEHIMKRVPDKDAVKTLTISIKEHVMSLAHNNHVMRTPTRFIKEHSSSVNTAKLLTDARYISQTMKMGASLPAYRTFAFEELKEATNNFDASCFISEGPYGQIYKGVLSDGMHIAIRGLKMRKRHSPQSYMHHVELISKLRHSNLRIAAAIGVGKGIQFLHTGIVPGLYSNNLKITDTLLDNNLNVKINSYNLPLSAETKRMASNGTSPGLKGNVQARIKDADKNDVYDIGVILLEIILGRPIMFHNEVGTLKDLVSIKTDDIARRSIVDPAIHKECSDESLMKMMEICVRCLSTEPSVRPSVEDVLWNLQFAAQVQNSWKRDSNDNKDSPTSPSQDI >OIW07531 pep chromosome:LupAngTanjil_v1.0:LG07:18854158:18855028:-1 gene:TanjilG_14477 transcript:OIW07531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPLKSIHPSFISTNVKYTIHCTRILNARGSILCLCKSNDSDSETPQPGDTRKQDLLAQIAMLQARKVRVTDYIDDRSAYLTQFGDEAKTELDKIGEDALKGLDEAGERIIANIESQMLEFEESAKLNKQEIQNRENKLEEFEVQMEDDRNEGLFFKNLRKKEPVDIAKAKEESEKIKQVTREKVGSKTRKIIYLIFIGLLTFGIVESIASSSTDWRKVAFLGAILAALISQFIYEQNMVSETGTTKKTNNDEKRE >OIW08571 pep chromosome:LupAngTanjil_v1.0:LG07:1885671:1890339:1 gene:TanjilG_03247 transcript:OIW08571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGLQSPFPSFEIQELLLGDDIDDDDHSYNASATNTGSGNDSDVQARPKQSQDILNLRVQNGMMCKQFTVKKTHKLVLSKSQSDTDPYSLYSMALYRSFVGGNHYAIKAFHKSHLLKLQVAASETAMPNVLLLEYVEDKWIYQGSGPACGLDEETARRYLRDIVCGLMYLQAHNIIHGDIKHDNLLITHHGTVKIGDFSVSQAFEDDNDELRRSPGTPVFTAPECIIGRTYHGKAADTWAIVKNAIVLPNDMNPQLKNLIEGLLVKDPRLRMALGDVAEHSWVIGDDGPSPGYLCWCQRK >OIW08117 pep chromosome:LupAngTanjil_v1.0:LG07:5891819:5894110:-1 gene:TanjilG_06660 transcript:OIW08117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTALRNVKVPPNSASLEEARHRLFEFFREACRSLPTVMDMYNLYDVVTVSDLRSFISTQIRKNTHVTDPKVIDMLLFKGMEELRNVVEHSKQRHHIIGQYVVGRRAFEQEELGIKNLGTSTFLKNFYDTNYF >OIW07892 pep chromosome:LupAngTanjil_v1.0:LG07:7143725:7145061:-1 gene:TanjilG_19993 transcript:OIW07892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIESVDSIDKGSEEKEKNMGLKLKETFFISHGSPTLAIDESIPAWKFLNSWKEVFPEKPSSILVISGHWDTNVPTVNVVDQNETIHDFYGFPRAMYKLKYPAPGAPNLAKKVKELLLASGLDHVDEERKRGLDHGAWVPLMLMYPEADIPVCQLSLSSKRGATYHYNMGKALAPLKDEGVLIIGSGSATHNLSTIAPRTTPPAPWALAFISWLKDSLLHGRYEEVNEYEEKAPYAKVAHPWPDHFFPLHVAMGAAGEKAKTQIVHDSWDGGSFSYASFGFTAATTT >OIW07428 pep chromosome:LupAngTanjil_v1.0:LG07:19666272:19670345:-1 gene:TanjilG_19269 transcript:OIW07428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHERNLKLSSVSRIIPGQRTAVFRRYLQPEKDYLSFSLVYNNGERTLDLICKDKEESELWFEGLKTLISSGKFNWRTTSNLSYFQDGADLIANGHSFGAALEITSSIVGGRVSISSFSRQPSSRSIRSDVKSERTNMQPRTSIGDGFRISVSSTPSTSSVGSGPDDIESLGDVYIWGEVWSDGVAPEGYGTQVPSTTDVLVPKPLESTVVLDVQQIASGVRHIALVTRQGEVFTWGKESGGRLGHGSDKDFGHPHLVEFLAVTNVDFVSCGENHTCAVSTSDDVFSWGDGTYNVGLLGHGTEVSHWIPKRVCGPLEGLQVISIACGTWHSALATCNGKLFTFGDGTFGVLGHGDRQSVSYPKEVQLLSGLKTIKVACGVWHTAAIVEVMFQSGSNVSSRKLFTWGDGDKYRLGHGNKETYLQPTCVSALIEYNFHEIACGHTMTVALTTSGHLFTMGGAENGQLGNPLSDGKIPILVQDKLVGEFVEEISCGAHHVAVLTSRSELYTWGKGANGRLGHGDVEDRKTPTLVEALKERHVKNISCGSNFTSCICIHKWVSGVDQSVCSGCRQPFGFTRKRHNCYNCGLVHCHACSSRKAMKAALAPTPGKPHRVCDPCYSKLKAVESGIAAGVNKRVATTQQQSIDGRERLGYGEVRSSRLLSPMMIEPMKFFDTRTNKLGSRYDYSSSMSRASQVPSNLQLKDVAFPNSFGSIQNVLRPTITPSLQQTQPQVSRPASPYARRPSPPRSGNPGFSRSVIDSLRKTNEVLNQETSKLQNQIRSLKQKSDMKDVDIKKLHKNVKEVALLAAEHSSKNKTVKDYFESMIEQLKEITEKLPAEISDTENMRTMLTKAEEFLKENSEDEKTYSILEEPEQQNETNTPISSSNSCKVDEQNETISNSESCKVEEERIEENNEEVETESNNRSSDAKEESSVPSQSTGNESSKLMDSSKEGEVQVIEQFEPGVYVTLIVKNGGIKVFKRVRFSKRRFREHQAEEWWTKNKDKVLRKYSNPQPTNHVPTTTPPPPPPPPPAEENIEAAAS >OIW08144 pep chromosome:LupAngTanjil_v1.0:LG07:6245001:6248212:-1 gene:TanjilG_06687 transcript:OIW08144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSQFYGKVDESEHAMLQVRQRTRKRITIIALSTIVLVAVVCAAVFGTVAHKNAHDNSNGNGNNGEPISNAVKAVCDVTLYKDACYRSFGPLVQSDHLTPEDLFKLSIQVALVEVSNAVQKFSDQGYFEGLNSDNRTKEALNNCKDLLGLAVDHLNSSLSSEGNSSLFDVFDDLTTWLSAAGTYQQTCIDGLEETKQTLKNTVESYLQNSTEFTSNSLSIITWINNAANTVNLRRLLSLPYQNEEPKWLHSKDRKLLQTVDLKKKADIVVAKDGSGKYRKISDALKAVKDKNKKRTVIYVKKGIYYENVRVEKNKWNVMIIGDGMSASIVSGSLNFVDGTPTFSSATFAAFGKNFIARDMGFRNTAGPQKHQAVAVMTGSDQSVYYRCQFDAFQDTLYAHSNRQFYRECNIYGTVDFIFGNSAVVIQNSNILPKAPMKGQQNTITAQGKSDPNMNTGISIQNCTISPFGNLNSVQTFLGRPWKTYSTTVFIQSHIASFINPKGWLPWVGNSAPDTIFYAEYQNDGLGASTKNRVKWKGLKTTLNSNQVNKFTVKAFLSGDKWIPASGASYKLSL >OIW08181 pep chromosome:LupAngTanjil_v1.0:LG07:5425400:5426280:-1 gene:TanjilG_24376 transcript:OIW08181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEVVVLDTRVSMFGMRVRIALAEKGVQYEYKEQDLQNKSQMLLQMNPIHKKIPVLIHNGKPICESIIIVEYIDEVWKDKAPLLSSDPYQRAQDRFWTDFIDKKVYDDGKRIWTKKGNEIEVAKKDFINTLKQLEERLGEKPYFGGDKFEFVDLALIPYYTWFYAYEVIGNFKVEAECPKFIAWAERCKQIENVSKSLADEKVVYDFVVALRKRFGLE >OIW08728 pep chromosome:LupAngTanjil_v1.0:LG07:830505:833996:-1 gene:TanjilG_03404 transcript:OIW08728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDALLKMKESMKGKGAKVEALQDWKFSTSVSAQCSFSGVKCDQNLRVISLNISFIPLFGSVPAEIGLLGKLVNLTIAQSNLTGELPKELAKLTSLKILNISHNVFFGHFPGEITLGMTQLEVLDAYDNNFTGPLPKEIVKLKKLKFLHLGGNYFSGPIPDSYSEFQSLEYLSLTYNSLTGEIPRSLGMLKTLKELYLGYSNAYEGGIPEELGFIQSLQLLDLANCNLSGKIPPSLGALTNLNTLFLQMNNLSGTIPPELSSMISLMSLDLSINDLTGEIPETFSQLKNLTLISFFQNKLRGSIPEFVAELPNLETFQIWENNFSYVLPPNLGQNGKFKFFDVTKNHLTGLIPKDLCKGGRLQTFIFTDNFFYGPIPKEIGNCKSLLKFRVADNFLDGPVPAGIFNLPSVSIMEFGNNRFNGELPSEISGNSLGILTLSNNMLTGPIPSALKNLKSLQTLSLDANQFVGEIPREIFELPMLTKINVSGNNLTGQIPTTLIRCFSLTAIDLSRNMLIGEVPKGIKNLKDLSILNVSRNNISGEIPDEIRFMTSLTTLDLSNNNFIGRVPTGGQFLVFNDRSFYGNPNLCSSHQPSCPSLMYQNDNVHKTHSSKSTKIIIIVITLSTALLLIFVTIYMLRKRKIHKSMKWKLTAFQKLDFKAEEVVECLKEENIIGKGGAGIVYRGSMGNGTDVAIKRLVGQGSGRNDYGFKAEIQTLGRIRHRNIMRLLGYVSNKDTNLLLYEYMSNGSLGEWLHGAKGGHLTWEMRYRIAVEAAKGLCYLHHDCSPLIIHRDVKSNNILLDGDFEAHVADFGLAKFLHDQGASQSMSFIVGSYGYIAPEYAYTLKVDEKSDVYSFGVVLLELIVGRKPVGEFGDGVDIVGWIKKTESELSQPSDAASVLAVVDPRLSDYPLTSVIYMFNIAMMCVKEMGPARPTMREVVHMLTNPPHSTPHIVINL >OIW08639 pep chromosome:LupAngTanjil_v1.0:LG07:1416590:1421341:1 gene:TanjilG_03315 transcript:OIW08639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFSRIGSSLSRSSRARGNGKFGTLVGALRINGASYSDGGENGLGFLRGYIAPASNGFNSNFSDLKSIGESRRLLRLFSSEAPKKKNYENFFPKEKKEVPKRDESKQESKDDSKTKTGDREKFEDFFMKHFPSIVPLLALMAFFTLSHGPPEQQQISFQEFKNKLLEPGLVDHIVVSNKSVAKVYVRSSHHNLADGEVVQDTLPGQRSGGQYMYYFNIGSVESFEEKLEEAQEGLGIDPHDYVPVTYSSEMILFQELWRFIPTLIFLGTVLYMGRKMQGGLGAGGPSGGKSDRGIFNIGKAHVTKVDKNAKNKVFFKDVAGCDEAKQEIMEFVHFLKSPKKYEELGAKIPKGALLVGPPGTGKTLLAKATAGESGVPFLSISGSDFMEMFVGVGPSRVRNLFQEARQCAPSIIFIDEIDAIGRARGRGGLSGSNDERESTLNQLLVEMDGFGTTAGVVVLAGTNRPDILDKALLRPGRFDRQITIDKPDIKGRDQIFQVYLKKIKLDHEPSYFSQRLAALTPGFAGADIANVCNEAALIAARGEQRQVTMEHFEAAIDRIIGGLEKRNKVISKLERRTVAYHESGHAVAGWFLEHAEPLLKVTIVPRGTAALGFAQYVPNENLLMTKEQLFDMTCMTLGGRAAEQVLIGRISTGAQNDLEKVTKMTYAQVAVYGFSDKVGLLSFPQRDDTFEMSKPYSSKTAAIIDNEVREWVGKAYERTIHLIEEHKEQVTKIAELLLEKEVLHQDDMVRVLGERPFKSAEPTNYDRFKQGFQEEGGKIIERPVNDPEESGGSSPLDPQVVLT >OIW08251 pep chromosome:LupAngTanjil_v1.0:LG07:4626362:4631034:1 gene:TanjilG_15212 transcript:OIW08251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPTTTTVTENNFLTTPTKTPSDKSSSKSRRRNHLDSTSSDSNKSRFDAYNRLQAAAVAFGEKLPIPEIVVLGGQSDGKSSLLEALLGFRFNIREVEMGTRRPLILQMVHDSSALEPRCRFQEEDSEEYGSSVVLASAIADIIKSRTEALLKKTKTSVSPKPIVMRAEYAHCPNLTVIDTPGFVLKAKKGEPENTPEEILSMVKSLASPPHRILLFLQQSSVEWCSSLWLDAIREIDPAFRRTVIVVSKFDNRLKEFSDRWEVDRYLSASGYLGDNTRPFYVALPKDRGNISNDEFRRQISQVDSEVIHHLRESVKGGFDEEKFKSHIGFACLRDYLESELQKKYKEAAPATLALLEQRCIEVTSELARVNSKIQSTSDISHLRKFAMVYAASISNNVGSLIDGAADPSPEQWGKTTTEERSQSGIGVWPGVTSDVNPPNATLRLYGGAAFERVMHEFRCAAYSIECPPVSREKVANILLAHAGRGGGRGVTEAAAEIARDAARSWLAPLLDTACDRLAFVLVNLFDLALERSYGHDSEYGIKTGNMDGYVGFHAALRCAYNRFIKDLATQCKLLVRHHLDSVTSPYSQVCYFNDFQPSSGLNAPSFNKFNQVSASSFFLELSDTSSPSHDIMRNQENIPPEKNIEDNTPGKAPEARDALRESQMTIPETPSPDQPGEPVYVGGKKELGICNDMGPRKRASRVTANSKVSDYIRQQNSGILFGNGNSSGSAYSEICLSAAQHFARMREVLVERGVTSTLNSGFLTPCRDRLVVALGLDLFAVSDEKFMDMFVAPGAIDVLQNERELLLKRQKTLHSCLNEFKNIARAL >OIW08204 pep chromosome:LupAngTanjil_v1.0:LG07:4998951:5005934:-1 gene:TanjilG_15165 transcript:OIW08204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIESVLVDESWFILCVAVNVLQTISDKLENLNWKVTQSSCIEDQGFNAKININDDIVRNITCDCTFQSSTVCHVTNMSLLGNRLSGSIPSEVGEIANLEELNLEDNLVGGPLPPSLGNLSNLKRLVFCLKKNLPCPGKSQYHSLFINCGGPEGEFEGYSYVGDLQTNGVSSFDLRNDDRWAYSSTGIYLGNDYANHLAANTFSLNISGPEYYQTARLAPLSLKYYGLCMMKGNYNVKLHFAEIMFSDDQTFSSLGRRIFDVSIQGIKYLKDYNIMEAAGGVGKGITEEIDVDVNDSTLEIHLYWAGKGTTAIPDRGVYGPLISAITVTPNFKIKSGGLSAGAIGGIVAASCVFVVLILVALRKMGFLGGKDTTDKELLDLKTGYFSLRQIKAATNNFDPANKIGEGGFGPVYKGVLPDGEVIAVKQLSSKSKQGNREFVNEIGMISALQHPNLVNLYGCCIESNQLLLIYEYMENNSLARALFGKEEQKLNLDWPTRMKICVGIARGLAYLHEESRLKIVHRDIKATNVLLDKNLNAKISDFGLAKLDEEENTHISTRIAGTIGYMAPEYAMRGYLTDKADVYSFGVVALEIVSGKSNTNYRPKEEFVYLLDWAYVLQEQGNLLDLVDPGLGSRYSSVEAMRMLSLALLCTNPSPTLRPPMSSVVSMLEGKTPIQAPIIMRGERGESSEHARFKALKLISQDSQTFVSSQLSQESMHQRDKSADGPWIDSSISLQSLDDSSSTSKLL >OIW08311 pep chromosome:LupAngTanjil_v1.0:LG07:3966381:3972999:1 gene:TanjilG_02987 transcript:OIW08311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSALKLLLSETRRHYLTKHFHSPLPLTIHRSLSSSNESDSKPPPTTTTTTTTATRFNSVPIQPVSYPVKPKETSPQQTQPSEPPLPPSEPQIPAPSADGAQSRQGWTREDIRYVKDGPSIAPVSYPIKVAPLPDDKAPAGSEEMEIERRKIEAEDKLHRKIVRAAEEEKMKVPFPMLIKPKQKEKPPVFDLNEAIRQVKASAKAKFDESVELHVRLGIDPKRTELAVRGTVILPHGAPKAVSVAVFAEGAEAEEAKAAGADIVGGKELIEEVASGNNKLKVDKCFSTPGMAPHLGKIAQYLRKRRLMPDKKLGTLTSDIGGQLKELRQGRVEFKMESKSILHLGVGKVSYTEESLRENIGAFMNAVLLAKPAGLKKTSKYAGYVLSVDICSTMGPGLPVSIQSLSKAADNYKKVHVV >OIW08640 pep chromosome:LupAngTanjil_v1.0:LG07:1410646:1410960:-1 gene:TanjilG_03316 transcript:OIW08640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEKWKLSKKERGSSNQASTSSKSLFSRSSSTRGSSSNSPLLLRSMSSKSSSTSKCNLPRSYSQKNNSSIGKKCTNIAKEHKARFYIMRRCVAMLVCWHKHGDS >OIW07548 pep chromosome:LupAngTanjil_v1.0:LG07:17538634:17549895:1 gene:TanjilG_08435 transcript:OIW07548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGCSSESALHVNAEVDSMGGVVEGGVGIGVKTSPRRAAIDKAQAELRQEYDFREERRKQLEFLEKGGNPLDFKFGTAASVSVQSTSLTDQHHEQFVTRLTVRFLFLSLFYHLEAKGSFALTASPHGDSVDSSARPGALFNEPNTADNLLLFDGENELPEGKKRSLHSNKRNNIAPSEQCSQIGVSQNAKETEDSAIVRPYARRNRSRLNHGPRGVSRDVKVLVSDMNKQKDHNVPSSSKPKPASVNGVILIKDPASNNPLDNDMVGVREHQTASGSASVPEDKLDINFNRKFKGDQRILPFQDDTAQKPVVSTSAEAKAVEERDPGASADVDSLPYLASTQLGKEFCCGPPNGCDNIKLEKKGVPNEGQDSSAASGVKNFDSESSCAQTSLARDVNNDSDMSTNRKNVDANGNTMEKTLEFQKTVNLTGFEVVKERNKTNGSESGATVNYEHGSGYLDHSGNGNMVKREEDIHINSSCMENKVNDSSNIKGAHHNDSTISKVDKEDSIVVVDHSNSMKENSCERHQVPVDVSISETCQTALAENVTAAAPDCQPCSTHHLKFADKAHEDSILEEAQIIKVKRKRIAELSLHALPTQSHRKSHWGFVLEEMAWLANDFAQERLWKLTAAAQLCHRASISSRLRVEKQNKLIGRNILSHNMAKAVMQFWHSVELLLDNNDHDCSCIGGSVKSEKDDSNEASEEKRKNSDMKTSKYLEGQNPRKNTTLKVHAYALRFLKDNRSCGIPSQVETPTTPDKISDSCTVDMSWEDQLTEENLFYTVPPTAMEAYRKSVESHFLQFEKTGSSIQEEVETSMYDTAAEFRSEEITYDEDEGETGYYLPGVYVGSRSSKSVHKKHKHRMKSYTHKSSEVGTDLAYAHYTSGTQPSAVFVKRPASLNVGAIPTKRMRTASRQRVVSPFAVATGTVQTQTKTDASSGDTNSFQDDQSTLHVGSQIQKSVEVESVGDFEKQLPYDCGETSVKTKRKKPKTMGSAYDQGWQLESVPLNEQRDHSKNRLDNYHFESNGSSGLYGQHNVKKLKTMKQSLDNSSDNIAPITSSIPSPAASQISNMSNPSKFIRIISGRDRGRKAKGQKISAGQPGSGTIWSLFEDQALVVLVHDMGPNWELVSDAINSSLQFKCIYRKPNECKERHKILMDRSAGDGADSAEDSGSSQSYPSTLPGIPKAQILSFGSAMQLFQRLQGPMEEDTLKSHFDKIIKIGQKQHYRRNQNNNQDLKQLVPIHNSHVIALSQVFPNNLNGGVLTPHDLCDTNATNPDGTTTGCQGSHAAGLGLSNHGSVPSAHPTSGPNSSNPLSSGMTLGNNLSSPSAPMAASIRDNKYGVARTSPLTVDEHERIQQYNQMISARNMQQSGISVPGSVSGSDRGVHVLPGGSGMGMVGGINRNIAMSRPGYQGMSSSSMHGSGGMLSSSMVGVPSPVHMHPGVVAGQGNSIPRPREAVHMRPGHNQEHHRQMMVPESQMQATQGNSQSNPAFNGMSSAFNNQTVSPHAQQPQQQSHLSNPRPHLQGQNHATSSQQQAHAIRMAKERQLRQQQRYRQHQLQQKQFSTSNAMVPHVPAQSQHPISSPLQNNSQAKPQNSSQQVSLPPVTSSSPSTPMSSQQHHLPQHGFSRNPGASGLTNQAVKPRQPQQRPYQQSGRQHLNQPLHAQSQQQAKLLKGIGRGNMSVHQNPSVDPSHLNGLSVPPGSQTVEKGDQIMHMMQGQNLHSGPANPSSKQLQSVASPSDNSIQGQASAADHIMSPPQPAAPAANHHQLQQQSQPQSKQINQTRANVQRLLQKNCQSHSETSSMSRSDSPQVDQHPANCASDVSTSTAMSPGCLDSASVVTVIPTVSSQWKTSEPPFDSKTPNPVTHMSSLGGAAVGNSADKELPTISQGLGPQQLSGSLTSHTRNSVVHRQPPPLQKQSSLHPILSQQSYQPPEQQQKEQEQHSPEDAALQHQPQQKVQNLQPGQNSLLVRPPNSKAE >OIW08503 pep chromosome:LupAngTanjil_v1.0:LG07:2456932:2458236:1 gene:TanjilG_03179 transcript:OIW08503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRVSENRDGSETETLVPKILTTIIGSFPYLAPPTTNSSLKNMFPMKRTVPSLFWLLLLVVFVTTATYCAMVSRGLISIDLKSKILIQDPEFLLNVTLLKHAAIEIGEEQTKQEIQNLLDGNFGSQARHRTFVSWRRFIHHDVDKSSRNVNLPATLRSPLFYRYWMDFRKVLNEWARKKRFQPGIMLDLTRLVKVPVDRHNGLFDTGERRYASCAVVGNSGILLNKDYGGLIDAHDAVIRLNNARVDNFEHKVGKKTTISFVNSNILHLCARREGCFCHPYGANVPIVMYICQAVHFLDYTVCNSSHKAPLLVTDPRFDVLCARIVKYYSLKRFVEKSGKGLEEWGNAHDGAFFHYSSGMQAVMLALGICDKVSIFGFGKSTSARHHYHTNQKAELHLHDYEAEYAFYHDLVDGYKPIPFLLDTFKVPPVVLYQ >OIW07713 pep chromosome:LupAngTanjil_v1.0:LG07:13325023:13340301:1 gene:TanjilG_19656 transcript:OIW07713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILYLSLYFIQRVTHQKFFDQIIIVLQAILPRVLQILSATSLPLACVSNTLNQPRPVHLDVSLPSFHDISSSLAKVLYLFNIQLERNVAIFFAVLLVACFSFVLIGGLLFFKIRGNEQSLEDCVWEAWACLISSSTHLKQHSRIERVIGFILAIWGILFYSRLLSTMTEQVRLNKYHGSSVRLGSATVRKQKIVLMSDLPRKQIDRIADNIVKELNHIDIISKSCSLSLTKSFERAAANKAHAIIILSSKGERYEVDTDAFLSVLALQPIPNMHSVPIIAEVSNSNTSELLKSISGLKVEPIENVASKLFVQCSRQKGLIKIYRHLLNYRKNVFNLCSLPSLEGMTYKEIRHGFQEVVVAGLYRSGRINFHPNDDEILQQTDKVLFIGSLQNTKSPHVKYPNLKGNGVQNQEVHKKESSKIRLANTVKRSNRSGSKASDGNVGPKECILLLGWRPDVVEMIEEYDNYLGPGSVLEILSDASLDDRVRASNIIGHKKLNNLRVSHRIGNPLDYDTLKETILNIHGSLKNEDIPLSIAVISDRKWLLGDPSKADKHSAYSLLLAENLCTKFGVKVQNLVAEIVDSNLGKQITKIKPSLTYIATEELMSLVTAQVTENNELNEVWKDVLNAEGDEIYVKDISLYMREGENPSFSELYERAHLRREVAIGYVKHNKKIINPAPKSEPLSLELTDSLIVISELEGEQPIIL >OIW07864 pep chromosome:LupAngTanjil_v1.0:LG07:6871807:6873868:-1 gene:TanjilG_19965 transcript:OIW07864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKQVLLLLLFLAYGLTLSVSESKSGPPVPHAADPSFTVGYIQMKSAANCSYRVVLTTSCTSPKFTNDQIGIVFGDAYGNQVYAPKLGDPVSKTFEQCSSDTFQIDGACASKICYVYLYRSGANENNTWKPESLKIFGIDTKPINFDFNTSIPNETWFGYNLCKFPIAPPPPHFDPFPPTTPPPPHFDPFPPTTSPPPPPFHPFHPTTPPPPPPPPTTTPPPPTTTPPPPTTAPPPPTTTPPPPPPHSSSYKVVTPKWIIYVVLGFVLSFYV >OIW07847 pep chromosome:LupAngTanjil_v1.0:LG07:10713872:10714075:-1 gene:TanjilG_32703 transcript:OIW07847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTICSVLDKQGQTTTSNTPEVVAEVQKEATKAPEAAIKTPGCIISSNQNSHSYTRQQDASTPSGIQN >OIW08218 pep chromosome:LupAngTanjil_v1.0:LG07:4882341:4886239:-1 gene:TanjilG_15179 transcript:OIW08218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSNLPRRIIKETQRLLSEPAPGISASPSEENMRYFNVMILGPTQSPYEGGVFKLELFLPEEYPMAAPKLGRICLDILKDKWSPALQIRTVLLSIQALLSAPNPDDPLSENIAKHWKSNEAEAVETAKEWTQLYASGA >OIW07755 pep chromosome:LupAngTanjil_v1.0:LG07:12569189:12572348:-1 gene:TanjilG_11913 transcript:OIW07755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKTTGPSLNQLNQRKRNSAFQDKGARNGTGSHNVKNHTVLTYLKSAVRRCVGLFLPGKRKTSSKVVGNDERKNTDKVRELSSSTDLSSNNSNKGSSKSNFSHSYASSSSSSNQIGPGNFSFEEIYRATSKFSLDNKIGEGGFGTVYKGKLSNGPLVAVKRAKKDVQNKHLSEFKNEIYTLSKVEHLNLVRLYGYLEHGEEKIIVVEYVNNGTLREHLDGTRGQGLEIGERLDIAIDVAHAVTYLHMYTDHPIIHRDIKASNILITDNLRAKVADFGFARLAAIDPSKTHISTQVKGTAGYVDPDYMRTHHLTEKSDVYSFGVLLVEMITGRHPVEPQRQLNERVTIRWAMQMWKQGEAVVAMDPRLRRNPASNKAIEKIFRLAYQCLAPMRKSRPSMKNCAEVLWGIRKDFRDKALTHHSSNFPERNARNNKHKTLVSA >OIW08593 pep chromosome:LupAngTanjil_v1.0:LG07:1747689:1749575:1 gene:TanjilG_03269 transcript:OIW08593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSYPTVSAEYQNAVEKAKKKLRGFIAHKGSAPLILRLAWHSAGTYDVKTKTGGPFGTIKHAAELAHGANNGLDIAVRLLEPIKEQFPILTYADFYQLAGVVAVEITGGPEIPFHPGREDKPESPPEGRLPDATKGSDHLRDVFGKALGLSDQDIVALSGGHTLGAAHKERSGFEGPWTSNPLIFDNSYFKELLSGEKEGLLKLPSDTALLSDPVFRPLVEKYAEDEDAFFADYTEAHLKLSEVGFAEA >OIW07797 pep chromosome:LupAngTanjil_v1.0:LG07:10944777:10945088:-1 gene:TanjilG_31989 transcript:OIW07797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLACLVCHSVDTPSPSHSFRSYSVSSAENEGRCHAIVACLNKKLSPPPPTIHSIASSSSKVTPQPSVSSNNGISSVPRLVRSHAVTRDRVRDWNFDEVAMEV >OIW08455 pep chromosome:LupAngTanjil_v1.0:LG07:2814714:2816839:1 gene:TanjilG_03131 transcript:OIW08455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGRAPKKSDNTKYYEILGVAKSASPDDLKKAYKKAAIKNHPDKGGDPEKFKELAHAYEVLSDPEKREIYDQYGEDALKEGMGGGGGGHDPFDIFGSFFGGGGSPFGGGSSRGRRQRRGEDVVHPLKVSLEDLYSGTSKKLSLSRNVICSKCSGKGSKSGASTKCAGCQGTGMKVSIRHLGPSMIQQMQHACNECKGTGETINDRDRCPQCKGEKVVQEKKVLEVVVEKGMQNGQKITFPGEADEAPDTVTGDIVFVLQQKEHPKFKRKAEDLFVEHTLSLTDALCGFQFVLSHLDGRQLLIKSNPGEVIKPDSYKAINDEGMPLYTRPFMRGKLYIHFTVEFPESISLDQVKSLEAVLPPKPASKLTDMELDECEETTLNDVNMEEESRRKQQQAQQEAYDEDDDMPGGAQRVQCAQQ >OIW08325 pep chromosome:LupAngTanjil_v1.0:LG07:3845355:3849355:-1 gene:TanjilG_03001 transcript:OIW08325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLTMLLQALLLTLFLFSHQTKAEEFDSLSNRSSLRGREVAGTCNWFSGKWVYDASYPLYNPSSCPFIDPQFNCQKYGRPDKLYQKYKWTPFSCPLPRFNGLKFLERYRGKKVMFVGDSLSLNQFNSLACMLHAWAPKSRTTYGQRDALSSVTFEDYGLELLLYRTAYLVDLDREKAGRVLKLDSIKSGDAWRGMDVLIFNTWHWWTHTGNAQPWDYVEVNGKLLKDMNRFIAYYKGLTTWARWVEKNVNPSQTKVFFLGISPVHYQGRDWNQPAKSCMTEKQPFFGLKYPAGKPMAWTIVNKVLNKITKPVYFLDVTTLSQYRKDAHPEGYSGVMATDCSHWCLPGLPDTWNELLYAALLG >OIW08725 pep chromosome:LupAngTanjil_v1.0:LG07:853335:864041:1 gene:TanjilG_03401 transcript:OIW08725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNQFDRFAIPCFDGDVKKERRFDFENDEDERRNKIGNLKKKAINASSKFKHSLKNKNSRRKSDGRVCSISIEDVRDVEELQAVDAFRQSLILDELLPEKHDDYHMMLRFLKARKFDIEKAKHMWADMLQWRKDFGADTIMEDFEFKEIDEVVKHYPQGYHGVDKEGRPVYIERLGKVDPNKLMQATTLDRYVKYHVQEFEKTFATKFPACTIAAKKHIDSSTTILDVQGVGLKNFSKTARELLMRIQKIDGDNYPETLSQMFLINGGPGFRLLWNTVKSFLDPKTASKIHFLGTKYQSKLLEIIDASELPEFLGGTCTCADHGGCLRSDKGPWKNPEILKMIMSDEARRPRQVVKVQNSDGKVIAYAKPGHPIMGKGSDTSTAESGSEVEDIASPKAAHNYSHLKLTPVHEEAKVVGKSSYAASGNLSGDDEYVPMVDKAVDAVWTKQAPLQWSHASKAAPPPLDTPKSPEGIRARIWIALTVFFLSLFTLFGSFTSRVTKKLPAESSNDCQGTLEPTSDATNKDDVHPASPTPAHTEENPHSSMMKRLCELEEKVDTLKSKPPEMPYEKAELLNAAVCRVDGLEAELIATKKALYEALIRQEELLAYIDSQEEARSRAPKKEKAPPPSSKPAKSGGGKQKKKKWSKGKQKEKVNNMVLFDQASYDKLLTEAPKFKLITPSILSDRLRVNGSLARKAIRDLMARGSISFPSAPHPLVSQIHDHLRILKDSEVATAYFSSSSISQKLNGLQDLHDFADKLLQLPTTQQDLASECSEKWIDGLLERSLRLLGICGIAQDYLLQSKENMYEIRSALRRKGADTGFAVEGGKYLASRKKMKKAIHKSLANLKGMKFESNKDKEAFSVFSILKDAEAITMILRIFVGVYI >OIW08490 pep chromosome:LupAngTanjil_v1.0:LG07:2534126:2534713:-1 gene:TanjilG_03166 transcript:OIW08490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKEKWCWSSALIGAASAIAASTLISAKPKDPSFHLISISFKSFKPNLPIPDAEVILNIHVTNPNIAPIKYSDSTMSIFYQDSLIGKAHLEAGSQPAHSCKLIRLPARLNVLQFAQHAATFVSDVAKREMLLDATVDIGGTAKVLRWDQNFKIHVDSHITVDPMCLDVLDQENTSKLQLFTGSTCPIGDGKCMH >OIW07835 pep chromosome:LupAngTanjil_v1.0:LG07:10398799:10400327:-1 gene:TanjilG_32691 transcript:OIW07835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEEKHHHLFHHKKDEEEKFVESGYAEEVDYKKEEKHHKHLEHLGEISTAAAGAYVVHEKHKAEKDPAHAHKHKVEEEIAAAAAVGSGGFTFHEHHEKKESKKEDEEAHGKKHHHLFG >OIW07643 pep chromosome:LupAngTanjil_v1.0:LG07:15272295:15275555:-1 gene:TanjilG_17658 transcript:OIW07643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMLKSYSGICTTRSGFPRNSYWKPIQAAVVPSFHLPMRSFEMKNRTSTEDIKSLRLITAIKTPYLPDSRFDLEAYDDLVNIQILNGVEGVIVGAQLVIGNTGSNSTREAIHATEQGFAVGMHAALHINPYYGKTSLDGMVFHFDSVLSMGPTIIYNVPSRTGQDIPPHVIQTLAQSVSLAGVKECIGNQRIKHYTDNGISVWSGNDDQCHDARWGFGATGVISVVSNLVPGFMRELMFAGNNPTLNSKLLPLIDWLFHEPNPIGLNTALAQLGVVRPVFRLPYVPLPVEKRKEFVNLVKNIGREHFVGEKDVEVLDDNDFIIVSRY >OIW07990 pep chromosome:LupAngTanjil_v1.0:LG07:8330761:8332078:-1 gene:TanjilG_20091 transcript:OIW07990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQLPKNQDHKEVDESSLVFDASLLRHQLHLPKQFIWPDEEKPCLKNVPELVVPLIDLGGFLSGDPAAALEAARLVGEACQKHGFFLVVNHGIDSKLISHAHSYMDEFFELPLSQKQRAQRKTGEHCGYASSFTGRFTSKLPWKETLSFQFSAEKNSPTIVKDYLCNTMGKDFEQFGNVYQEYCESMSTLSLGIMELLEISLGVGKAYFREFFEENSSIMRLNYYPPCQKPDLTLGTGPHCDPTSLTILHQDQVGGLQVCVDNEWHSISPNFNAFVVNIGDTFMALSNGRYKSCLHRAVVNSKTTRKSLAFFLCPRSDKLVTPPSELVHDLSPRMYPDFTWPMLLEFTQKHYRADTKTLEAFTNWVQQKRS >OIW07863 pep chromosome:LupAngTanjil_v1.0:LG07:6867934:6870315:-1 gene:TanjilG_19964 transcript:OIW07863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKQVLLLLSLASGLTLSVSESKYISLLPQGAESFSVGYIQMKNAANCSYMVVISTSCTSPKFTNDQISIAFGDAYGNQIYAPRLNDPLSRTFEQCSSDTFQIDGPCASQICYVYLYRSGANENNGWKPESVKFYGIDTEPVTFNFNTSIPSDTWYGYNLCDTPTPPAPSSSDQLFIPKWLIYMVLGYLLSFWL >OIW07526 pep chromosome:LupAngTanjil_v1.0:LG07:18797607:18799670:1 gene:TanjilG_14472 transcript:OIW07526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGGGNSNSDISFAGTFAASAFSACFAEICTIPLDTAKVRLQLQKQAVGDTVIPKYKGMLGTVGTIAREEGLSALWKGIVPGLHRQCVYGGLRIGLYEPVKNLYVGSDHVGDVPLSKKVLAALTTGAVGIAVANPTDLVKVRLQAEGKLPAGVPRRYSGSLNAYSTIIRQEGVSALWTGIGPNIARNAIINAAELASYDQVKQTILKIPGFTDNVVTHLLSGLGAGFFAVCIGSPVDVLFS >OIW08156 pep chromosome:LupAngTanjil_v1.0:LG07:6368047:6377695:-1 gene:TanjilG_06699 transcript:OIW08156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDREDRKKEHRSSKDYDREKHRDKDRDRDRDRDRDKKDNDREKDKHRDKHRDRGERERERERESHRDHGDNKVRDKDKDRATRNVNVVDQPKDEHAQSETHQLEERILKMKEARTKKQAETASEISSWVNKSRKLEKERVLQLSKIFEEQDNIAVEGSDDEDTDQHIKENLAGVKVLHGLDKVVEGGTVVLTIKDQPILADGDINEDVDMLENLEIGEQKRRDEAYKASKKKPGIYDDKFNDDPSVEKKMLPQYDDPTTEEGFTLDERGRFSGEAEKKLEELRRRLTGVSTNNFEDLTSSGKVSSDYFTSEEMLKFKKPKKKKSLRKKDKLDINALEAEAVSSGLGVSDLGSRKDGKRQAMKEKQEKLEAEMRNNAYQSAYAKADEASKLLRLQQTQKVKTEEDETPVFADDDEDLRKSLAKARRLALKKKEEEGASGPQAVALLAASNQTNEAVDDQNPTAGESGENKVVFTEMEEFVWGLHIDEEARKPESEDVFMRDDDDATAPDEAKSDAPGGWAEVIETREDEQPDKEDKEDIVPDETIHEVAVGKGLSGALKLLKERGTLKESIEWGGRNMDKKKSKLVGIVGDEGKEEQKKREIRIERTDEFGRILTPKEAFRTLSHKFHGKGPGKMKQEKRMKQYQEELKMKQMKSSDTPSLSVERMREAQARLQTPYLVLSGHVKPGQTSDPKSGFATVEKDLPGGLTPMLGDRKVEHFLGIKRKAESSSSDAFKRPKS >OIW07955 pep chromosome:LupAngTanjil_v1.0:LG07:7930107:7932566:-1 gene:TanjilG_20056 transcript:OIW07955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKKFIDKKNSITYQLIARDSSDPYFAQDTPGADRVFVRVDNNPVSDSIFDDAPDDEDYDGGDDVMERQIAVDKAAALPDDVRKEILELGFPDDGYNYLTHLREIKNAGGGSSFHHNPKFRFHDVPHDVKAYDASRLRISEVEGEPEEKSMYTVASRTKTVRVQKAIDPEVAVLLDDSDLSRFGSDVEDLEEDFVVQANLYEGEEEEKVEEAEDKAHISNDVTLLEESAVNESNAQVLQVSAHSEVTGDLGDQPRARRLLDEQFDLLESKEYRTDDDEEDYYKHYHAEDDSLAEMHNLSLNNRVMGGLEFEEKLEEPAADVILRCKEYAEGYEDEDGDKDVVLVEESSDESEVWDCETIVSTYSNLDNHPGRIGAHEGSRKKKLAQTVSAVLSSSSQIISLKGKEKLPIDFLPGSRKPTTENVKGSGTARTEQYKRKKHGQESKEEKKERKSAVKEERHEARRIKKEMKGLYRGEAHRAQRAAAVSGPSSIHLIHCIPLNLKGGISLA >OIW08166 pep chromosome:LupAngTanjil_v1.0:LG07:5593179:5625603:1 gene:TanjilG_06579 transcript:OIW08166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQWCLLSSHILAFALAAVCIFSCLINIAQAANATTDPSEARALNAIFSKWSISADKTKWNISGELCSGNAIDSSTTIDDNTFNPFIKCDCSFNNKTTCHITALKVYAIDVVGEIPEELWTLTYLTNLNLGQNYLTGSIPAAIGNLTRMQYLSMGINSFSGELPKELGNLTSLIVLGFGSNNLSGSLPSELGNLVKLEQLYFDSSGISGPIPSTFASLKNLATVWASDMELTGSIPEFIGNWSKLKSLRFQGNSFGGPIPSSFSNLTSLTELRISELSNGSSSLDFIRNMKSLTILDLRNNNISGSIPSVIGEFHNLTQLDLSFNNITGQIPGSIFNLTSLSYLFLGNNKLSGTLPTQISSSLANIDLSYNDLSGSFPSWVNQPNLQLNFVANNFTVSSNSSGLPTGLNCLQKNFPCNSGTGRYSDFAIKCGGPQITAPDGTVYETENATLGPATYFVTDTNRWGVSNVGLFTGNSNPLYKSFVSNQFTGTVNSELFQTARLSASSLRYYGLGLENGFYNITLQFSETAILDTTTWKSLGRRVFDIYLQGSRFLKDFDIQKEAGGVSYRSVQRQFRVQVSENYLEIHLFWAGKGTCCIPSQGTYGPLIQAISAIPDFIPTVSNKPPSSNENRAGLIAGVVIGVGVVCFLSVIAIFYVIRRRKRLEDEEELLGIDTKPYTFSYSELKNATNDFNLDNKLGEGGFGPVYKGTLNDGRVVAVKQLSAGSHQGKSQFITEIATISAVQHRNLVKLYGCCINGSKRLLVYEYLENKSLDQALFGKSLNLNWSTRFDILLGVARGLTYLHEESRLRIVHRDVKASNILLDYELVPKISDFGLAKLYDDKKTHISTGVAGTIGYLAPEYAMRGHLTEKADVFSFGVVALELVSGRPNADTSLEGERMYLLEWAWKLYENDRILDLVDSGLSEFNKEEVKRLVGIALLCTQTSPTLRPPMSRVVAMISGDIEVSAVTSRPGYLSDWKFDDVSHMTSMMTDTTTKGSDTSYYQSSSGTSIVGGTDHSRKDDSKAMLHEILNEGSSKGGDIPMGEGAKFRDCYGRLEACAALLLSLLGDIEPLVISNLRIKMQLVHQNHVFTRDLVRALNAIFSKWGISANKTQWNTSGDPCSGKAIDPTTTIDDFTYNPFITCNCSYDNKTVCHITALKVYALTVVGEIPEELWTLTHITNLNLGQNYLTGSLPTAIGNLTRMQYFTVGINALSGELPKELGNLTNLIALSFGSNNFSGSLPYELGNLIKLEQLYFDSSGISGPIPSSFANLKNLTVVWATDMELTGSIPDFIGNWSNLQKLRFQGNSFEGPIPSSFSNLTSLTELRINDLSNGSSSLAFLRNMKSLTVLELRNNNISGSIPSIIGEFQELSQLDLSFNQLTGQIPESIFNLSSLSYLFLGNNKLSGTLPTQKSSTLLNIDLSYNNLSGSIPSWVNQPNLQLGLPTGLNCLQKNFPCNSGTGRYSDFAIKCGGPQFTATDGTVYEMDNATLGPATYFVTDTKRWAVSNVGLFTGRSNNVFKSSVVSDFFQTARLSASSLRYYGLGLENGFYNLTLQFSEIAILDSTTTTWKNVGRRVFDIYVQGTRVFKDFNIQKEAGGISYRSVQRQVRVQVSENYLDIHLFWAGKGTCCIPEQGTYGPLISAISAIPDFKPTVSNKPPSSDEPPSGNEPTVTDKPPNSKSSRAGLIAGIVGGVGVASFLSILAIFYINHRRKRRDDNEELLGIDTKPYTFSYSELKNATDDFIIGNKLGEGGFGPVYKGTLDDGRVVAVKQLSVGSHQGKNQFITEIATISSVRHRNLVKLYGCCIEGSKRLIVYEYLENKSLDQILFGNSVTLSWSTRYDICLGLARGLTYLHEESPLRIVHRDVKASNILLDNSDFAIKCGGPQFTATDGTVYEMDNATLGPATYFVTDTKRWAVSNVGLFTGRSNNVFKSSVVSDFFQTARLSASSLRYYGLGLENGFYNLTLQFSEIAILDSTTTTWKNVGRRVFDIYVQGTRVFKDFNIQKEAGGISYRSVQRQVRVQVSENYLDIHLFWAGKGTCCIPEQGTYGPLISAISAIPDFKPTVSNKPPSSDEPPSGNEPTVTDKPPNSKSSRAGLIAGIVGGVGVASFLSILAIFYINHRRKRRDDNEELLGIDTKPYTFSYSELKNATDDFIIGNKLGEGGFGPVYKGTLDDGRVVAVKQLSVGSHQGKNQFITEIATISSVRHRNLVKLYGCCIEGSKRLIVYEYLENKSLDQILFGNSVTLSWSTRYDICLGLARGLTYLHEESPLRIVHRDVKASNILLDSELVPKISDFGLAKLYDDKKTHISTRVAGTIGYLAPEYAMRGHLTEKADVFSFGVVALEVVSGRPNSDSSLEGDKIYLLEWAWNLHERNSITELMDPRLSEVNVEEVNRIVGVALLCTQTAPSLRPSMSRVVAMLTGDIEVSTVISRPGYLTEWNFNDVSSFMTDMETKESGTSNYNLSPSTATVDSANRSPIDDSKPILHETWYG >OIW07970 pep chromosome:LupAngTanjil_v1.0:LG07:8045003:8049755:1 gene:TanjilG_20071 transcript:OIW07970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSVDNRTFKANFTAGGAAMLKERVNEKLKEFLGDYTDDSLVEYVIVLLRNGRSKGQAKDVLDVFLGDDNDSFVSWLWDHLALNIDLYVQPKELLDEAPKRKLISEVQVGGDGFQDLNSEGKSRKLSTSRRNRDWKGLLGREAKAPTLCSFVVDDTNLEEKTRSNVNCTPRSSSPPPFQRKRGRDDEQQKTKRDADSQVKIDAPRRLLQFAMRDAVATSRPSNLGTPVVPSLKRLRSVVSTSSGESSLVERPQRMPTLSRVVNPMTTLIKAVSEAAEDVVKSKSCGSVFDRLGCGVNPADGNRQLEDNYLHQEQSKSLYLNKTNYDDPYAENMTMLEHESGYPFDSNSDNEGCENMNVTSHGVTGASHFGTSAGNRGDDSLMVQYSVAKNSDDQMHLRHDRDLEQPAAAPSTSKIVNISVNVNTWKSPGLPQYQKLREIAELDGRQALDSENGAPRSGRQLINANPGFQKEPQTAQLSNTSSNVAGCHFEDADSRTIFASNVHFAATKDSLSRHFNKFGEVLKVVLVTDAATGQPKGAAYVEFMRKEAADNALSLDGTSFMSRILKVVTKSAAHLESVPAVPLPRTVRGSPFPSARFPGIPIARGSPGAFRARPPIKLGARSMQWKRNAQGTSRGNVSSSSNSSIFAPAPRGFTYVRPESNIEGS >OIW08102 pep chromosome:LupAngTanjil_v1.0:LG07:5765210:5769871:-1 gene:TanjilG_06645 transcript:OIW08102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVYLSSLQLNWLLELFGLAEKKATISDDSSNINHPCLIFLFILLIILIGIGLYFNHLAENTEYNNNSSEDEVDQSLKEDHYFDGGQQLRHRNATRRGFVRRYGACALCGNLSSKRCSRCKVARYCSVECQISHWRSGHKHECFERETTADQARPIHAKKSEGESTSNGVKLASDIGEVDVSNGSDFNNLHCSCEVEVLKNSREEEENVHSSGTLYLHFYLVVEGTTNSKSPIKGSKDPTKEAQEHGTAKMGQSEDEATKYRNRILLLQSERDHWIKRANFARERFHSLKKESEHRLFVLKSEKESISNAEKKASNMVHNLHERLHNMQIAVQKCMSEKRKLEEHLQMVESDWAKANNELQKEKKHVQCLTVECDKSCENAQIAKIAAEVVRQELQEERERVQRLKENVRIAQSRASFAEAKLSDLHKKIKSKDYKVAVWTDSLGKSAMACAICLTNEKDLAFGCGHMTCRDCGSKLSKCPICREHITSHIKLFPG >OIW07999 pep chromosome:LupAngTanjil_v1.0:LG07:8608279:8609796:1 gene:TanjilG_20100 transcript:OIW07999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIKPLISKQEQNKTHLSDAFKEVISINKIALPMILTGILLYCRSMISMLFLGHLGELALAGGSLALGFANITGYSILSGLAVGMEPICGQAFGAKRFNLLGLCLQRTILLLLLTSIPITILWFYMKQILLFCGQDEAIASQAQLYLFYSIPDLIAQSLLHPLRIYLRSQSITLPLTLCATFSILLHIPINYFLVSYLQLGLKGVALSGVWTNFNLVASLILYIIFSGTHKKTWGGFSYECFTQWKSLLNLAIPSCISVCLEWWWYEIMILLCGYLINPTATVASMGILIQTTSLLYIFPSSLSFSVSTRVGNKLGAQKPSKAKLSAIVGLSCSFISGLLALVFAVMVRNIWASMFTRDKDIIRLTSMVLPIIGLCELGNCPQTTGCGVLRGTARPKVGANINLGCFYLVGMSVSVWLAFFAGYDFQGLWLGLLAAQGSCAVTMLVVLNQTDWEVEAQRAKKLTEIGGGVDENQEEVVDDEKKPTKLESKEDSFPLLINSHEDEQ >OIW07492 pep chromosome:LupAngTanjil_v1.0:LG07:18371828:18373519:-1 gene:TanjilG_14438 transcript:OIW07492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFNTQNTNDGHGHGHVKSYSCSFCKRGFTNAQALGGHMNTHRRDRARLKQSYEENMLSLDISIKNTNNNDHEHVLDKDNDHAPQKGKVIGTENLPQYIPSFVGMITAINEIKGGDSVLMQMIEDKKGYLDLELKLGSDMEEESNLSTRKNCKKPFTFCDKDNDHGPLRGKRIEGKKVELDLELRLGIEPHETPTLSTIEFF >OIW07903 pep chromosome:LupAngTanjil_v1.0:LG07:7235795:7237006:1 gene:TanjilG_20004 transcript:OIW07903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYQVRFGILEAGAFGVSQSRKRAFIWAASPEDVLPEWPEPMHVFSAPELKISLSEGVQYAAVRSTANGAPLRAITVRDTIGDLPPVGNGAAKSNMEYGQEPVSWFQRNIRGDMVVLTDHISKEMNELNLIRCQKIPKRPGADWRDLPEEKITLSTGQVVDLIPWCLPNTAKRHNQWKGLFGRLDWDGNFPTSITDPQPMGKVGMCFHPDQDRILTVRECARSQGFPDSYQFSGNIIHKHRQIGNAVPPPLAFALGRKLKEAVESKNSN >OIW07951 pep chromosome:LupAngTanjil_v1.0:LG07:7873534:7878139:1 gene:TanjilG_20052 transcript:OIW07951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRSRKALLWRRRAIATTSTAQYHLYKVSLSLVFVLWGLLFLSSLWFSHIHGQTDGSEQHPDGVSNWNKDRHGKCKSYNFVDEILIKETDAYSPSDAPHSNEAETNGFVGESLPKENSNSPTREEHQAESHESAVKLENGVQKSDHLSWAVPVGLDEFKSRAISSKIKSGTGPSGNVIHRVEPGGAEYNYASASKGAKVLASNKEAKGASNILSRDKDKYLRNPCSVEDKYVIIELSEETLVDTIEIANLEHYSSNLKGFEIHGSLAYPTDVWVFLGNFTASNVKHAQSFVVQEPKWVRYLKLNLQSHYGSEFYCTVSFVEVYGVDAVERMLEDLIHAQDLKVSGESNGDKRTPYPNPAESDDVQQNALTDINSDPASVISSANHETVNSKVADPVEEIRQQVGRMPGDTVLKILMQKVRSLDIDLSVLERYMDDLNSRYVNIFKSYRKDIEEKDIFLQKIKEDIRNLHEQQDVITKYVSDLSSWKTDISMQLDHLLRDNAVLRLIGL >OIW07932 pep chromosome:LupAngTanjil_v1.0:LG07:7706701:7710794:-1 gene:TanjilG_20033 transcript:OIW07932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQKIDPRSGFCSSNSIYYSKRKPLPLIQNPFLDITTFISSHTHNGNAAFIDSATGHQFTYHQLWLAVDAVSSSLSSIGIRKGDVVFILSPNSIYFPVVALSVMSLGAIITTTNPLNTANEIAKQINDSKPVIAFTTFQLVPKITASSPNLPIILMDEEEEEGKPQQQSKTVSTLLNMIRREPSVNRVKERVNQDDTATLLYSSGTTGPSKGVLSSHKNLISMVQIVHRRFDAVDPQTFICTVPMFHVYGLAVFATSLLAIGSTVVVLSKFEMHDLLFSIQKFRATYLPLVPPILIAMMNNADAINRKYDLSSLHTVLSGGAPLSKEVTEGFVEKYPKVTILQGYGLTESASIGSSTDSLEESRRYGTAGLLSPSMEGKIVDTESGEALPVNRTGELWLRGPFIMKGYFGNQEATASTIDSEGWLRTGDVCYIDNDGFLFIVDRLKELIKYKGYQVPPAELEALLLTHPAISDAAVIPYPDNEAGQVPMGYIVRNTGSSLSEKEVMDFVAKQVAPYKRIRRVAFISSVPKNASGKILRKDLIKLATSKL >OIW07762 pep chromosome:LupAngTanjil_v1.0:LG07:11736956:11742569:1 gene:TanjilG_12888 transcript:OIW07762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYMAYGWPQVIPLQQGLCPSSQRVVFLKNVNRLLLIVSPTHFELWSTSQVMSSIEGNGCYSDLKAMSSAVCLQQPQHRVRLGKHMRDSDSVEREGENLQAVWSPDTKLIAILTSSFFLHIFKIQFSDKRIYIGGKQPSALCLASISLLLSEQVPFAGKNLSVSNIVSDNKHMLLGLSDGTLYSMSWKGEFYGAFQFDPYPLASIEDSLLPRTLDNGLSPNSQPKILMPNHIIPNKFEINQLELCLPLRLLFVLYSNGQLVSCSISKKGLKQVDCIKAEQRLACVDAVCASVAVEQQILAVGSRRGTVDLFDLAESVTLIRTVSLYDWGYSMDDTGPVSCIAWTPDNSSFAVGWKLRGLTVWSVSGCRLMSTIRQIGLSSASSPIVKPNHDCKYEPLMGGTSLMQWDEYGYRLYAIEEGSSDRILSFSFGKCCLSRGVSGTTYIRQVIYGEDRLLIVQSEESDELKMLHLNLPVSYISQNWPVQHVAASKDGMYLAVAGLHGLILYDIRLKKWRVFGDVTQEQKIQCKGLLWLGKIVIVCNYVDSSDTYELLFYPRYHLDQSSLLCRKPLLAKPMVMDVYQDYILVTYMPFDVHIFHLKLFGELTPSGNPDLQLSAVRELSIMTAKSHPAAMRFIPDQFPRKSISNNYSSPSSDSLSREPARCLILRANGELSLLDLDDGRERTLTDSVELFWVTCGQCEDKTNLTEEVSWLDYGHRGMQVWYPSPGANSFKQEDFLQKSLPS >OIW07866 pep chromosome:LupAngTanjil_v1.0:LG07:6889345:6898260:1 gene:TanjilG_19967 transcript:OIW07866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMVIVPRKKWSLFGFWCFFLFIAICARVGVPARFDKETRERFYGTMLNSSAPETNDGTLAKMFDRVLEKEFSENDQPEAPDKSSFNSSVADQQAVLETVAKITHEKGKRNDTNEGNGTRPFQLQDVFSLENEDSDDVTTLIDKKDNVFVMSNKKSRYPILQVDLRLSVLTIIFVFNGLFYEFALNLDKMLSVLLNYGMCYFNAMLISDLVVAIVSAAIGGILFSCLGQPVIVGYLLAGSLIGPGGLKFISEMVQVETVAQFGVVFLLFALGLEFSLAKLKAVGPVAVIGGLLQIVIFMFLCGILAKLFGAKLSEGVFVGSFLSMSSTAVVVKFLGVDRNSNNALHVHVTIGTLIFQDCAVGLLFALLPVLGGNSGLLQGIISMGKLLLVLSLYLTATSILSWSFVPRFLKLMMQLSSQTNELYQLAAVAFCLLSAWCSDKLGLSLELGSFMAGVMISTTDFAQHTLDQVEPIRNLFAALFLSSIGMLIHVQFLWTHVDILLASVILVIVVKTAVVAIVTKAFGYSIRTSFIVGISLAQIGEFAFVLLSRASNLHLVEGNKLHSMPASLSAARRSTELIS >OIW07671 pep chromosome:LupAngTanjil_v1.0:LG07:14727997:14729090:-1 gene:TanjilG_07713 transcript:OIW07671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEACSTFHPKPQPCPWRPTLFNPKPISHYRISCSNNNNNNIPRWDSNAETSSPRNFSFNNFKAKRPPQQDQQEEFGKKRRWWSDEAESPSFEEETSGGWEEVIDSLWIFKASLEQSSKSNAPGQHCLG >OIW07427 pep chromosome:LupAngTanjil_v1.0:LG07:19651877:19665091:-1 gene:TanjilG_19268 transcript:OIW07427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSRLSQSMVLILPNHHSNSLTAFLHDDLHSHNRTDSEPPDIAVTSTYAVNDSTAIATPTSMPYLPHTVVLCELRHDAFEASVPTGPCDSGLVSKWRPKDRSYTQYIPLPITELDSWLKTPSIYVFDCSAAGMIVNSFIELHEWSASNSSGSTKDCILLAACEAHETLPQSAEFPADVFTSCLTTPIKMALRWFCTRSLLCESLDYSLIDKIPGRPNDRKTLLGELNWIFTAVTDTIAWNVLPHELFQRLFRQDLLVASLFRNFLLAERIMRSANCSPVSHPTLPPTHQHHMWDAWDMAAELCLSQLPSLVEDPNAEFQPSTFFTEQLTAFEVWLDHGSEHKKPPEQLPIVLQALSVGIFPYVLKLLQTTTPELRQILVFIWTKILALDKSCQVDLVKDGGHIYFIKFLDSMEAYPEQRAMAAFVLAVIVDGHRRGQEACIEAGLIHVRAAAVFALGTLLDVGFGSCRGVGRDEEYDDDDKFRAEVSIIKSLLSVASDGSPLVRAEVAVALARFASGHNKHLKSIAATHWKPQTNSLLNSLPSLANINGSGGGYPSQNKHTPHGSILSSQIGPVLRVGNDSSSVVRDGRVSSSSPLMSSGIMHGSPLSDISSHHSDSGILHDGFSNGVVNRTGPRPLDNALYSQCVLAMFTLAKDPSPRIANLGRRVLSIIGIEQVVAKPSKSTGVRSSEPVASPSLAGLARSSSWFDMNGGHLPLTFRTPPDSPSRPSYITGMRRVCSLDLRPHLMSSPDSGLADPLLGSSGASGTSDRSFLPQSTIYNWSCGHFSRPLLTGADDSEEVLARREGNEKTALEHIAKCQHSAVSRITNPIAKWDIKGTQTALLQPFSPIVIAADENERIRVWNHAEATLLNSFDNHGFPDKGISKLCLVNELDDSLLLAASSDGNIRIWKDYSVKGKQKLVTAFSSVHCHKPGVRSLNTVVDWQQQSGYLYASSEISSIMLWDLDKEQLLNSIPSSSDCSISALAASQVHGGQFAAGFVDGSVRLYDVRTPEMLVCGLRLHTQRVEKVVGIGFQPGLDPGKIVSASQAGDIQFLDIRNHSGAYLTIEAHRGSLTALAVHRHAPIIASGSAKQLIKVFNLEGDQLGSIRYYPTLMAQKIGAVSCLAFHPYQVLLAAGAADGCVSIYADDHT >OIW08759 pep chromosome:LupAngTanjil_v1.0:LG07:19076:20912:-1 gene:TanjilG_16340 transcript:OIW08759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTHSNPSPPHPHPHPPSVTVTETDLSSTLVTPQLQQSTETTTTTTTTTTGFNDVVEEKQQVSADTATAASSASSSSTSNKMIIPHNLLSFKEESNKVSDLSDPQRNSLQQLKNLLTGDPKYEVSIWGVPLLKDDRTDIILLKFLRARDFKVKDALTMITNTLKWRKDFDIDALIDEDLGDDFDKVVFMHGYDREGHPVCYNVYGEFQNNDLYHKAFSNQERTTKFLRWRIQFLERSIRNHLHFTQDGVNTILQVNDFHNSPGPAKRQLRIATKQALQLLQDNYPEFVSKQVFINVPWWYLAFYTMISPFMTHRTKSKFVFAGPSKSPDTLFKYISPEQVPVQYGGLSVDFCDCNPDFSMSDPITEIPLKPNTKQTVEIAIYETCIIVWELRVVGWEVSYSSEFKPDAKDGYTVIIQKTTKMSPTDEPVVSNSFKVGELGKLFLTIDNPTLKKKRILYRFKIKPYSD >OIW08357 pep chromosome:LupAngTanjil_v1.0:LG07:3633456:3633629:1 gene:TanjilG_03033 transcript:OIW08357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERFGEVCDDPIAKLMQLRQKGSISEYCEEFDAIITRLDLPPQYILELFLGGLKNEV >OIW08671 pep chromosome:LupAngTanjil_v1.0:LG07:1183651:1184430:1 gene:TanjilG_03347 transcript:OIW08671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNSLHSIQHHSLIKPHYPSNTPSTTLFSSLFLKPTTTNTNLTLSSYSSSSNSYVTFSVSQTTSSTTPLTSEFNISYDLLIQHLSTKNFQLADEETRRLIIVLAGEAAQKRGYVFFSEVQFISETDLKIIDELWREYSDNKFGYSVQKRIFEKVNGDFTKFFIKVGWMKKLDTEIEQYNYKAFPTEFIWELNDDTPEGHLPLTNALRGTQLLYNIFKHPAFDVAQEEQAEIAVENKDSNSSSSSTPLANRIFKTDYSF >OIW07933 pep chromosome:LupAngTanjil_v1.0:LG07:7715077:7718602:1 gene:TanjilG_20034 transcript:OIW07933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFTSHTFLSTQFIGTNILLSPPTPKITKSPSFLFKTRFLNFQKHKPNSNSQKQKSLTSQAALSALIFSSLTPQAFALDNPNTPPTIVIEAQQPNPSNTNNSSSFSENLLLTAPKPETQNTPDIPDGSQWRYSEFLNAVKKGKVERVKFSKDGSVLQLTAVDGRRANVIVPNDPDLIDILAMNGVDISVSEGESGNGLFNFIGNLLFPILAFAGLFLLFRRSQGGPGGPGGLGGPMDFGRSKSKFQEVPETGVTFADVAGADQAKLELQEVVDFLKNPDKYTALGAKIPKGCLLVGPPGTGKTLLARAVAGEAGVPFFSCAASEFVELFVGVGASRVRDLFEKAKSKAPCIVFIDEIDAVGRQRGAGMGGGNDEREQTINQLLTEMDGFSGNSGVIVLAATNRPDVLDSALLRPGRFDRQVTVDRPDVAGRVKILQVHSRGKALAKDVDFDKIARRTPGFTGADLQNLMNEAAILAARRDLKEISKDEISDALERIIAGPEKKNAVVSDEKKKLVAYHEAGHALVGALMPEYDPVAKISIIPRGQAGGLTFFAPSEERLESGLYSRSYLENQMAVALGGRVAEEVIFGEENVTTGASNDFMQVSRVARQMVERLGFSKKIGQVAIGGPGGNPFLGQQMSTQKDYSMATADIVDAEVRELVETAYSRAKEIITTHIDILHKLAQLLIEKETVDGEEFMSLFIDGQAELYVA >OIW08009 pep chromosome:LupAngTanjil_v1.0:LG07:8890016:8893699:1 gene:TanjilG_20110 transcript:OIW08009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSSDLSLLGENFEMGRRKDDGYESRSGSDNFDGASGDDQDVGEDTPRNQRRKKYHRHTSTQIQELETFFKECPHPDEKQRNDLSNRLGLSNKQVKFWFQNRRTQMKTQLERHENMMLRQENERLRAENSLMKEAVANPMCQTCGGTALPGQIIFDEHQVRIENARLKDELTRICTLANKFVGRALSPLVGPMGLQSPNSNLDLAIGMNGFAGPSNHGMMPLPMGLDFGEGLMETPPPAMSGNIVRPPMGIMGNQIQNERAMLIELGMVAMGELIKMAQPDSPLWVKSSDGRNEVLNQDEYERILVPPCLGPKPNGYVTDASRESGLVIGNGLGLVEMLMNVDQWSEIFPAAIARAVTLEIISTGIPGNKNGAMQVIHAEVQLPSPLVPIRQFTFLRYCKQHTEGLWAVVDVSVEIGHNPTNAPYHIMNCRRMPSGCIVQDTANGLSKASKCSVTWIEHNQYDETLIHHLYRPLISSGIGFGAQRWIASLQRQFECLSTLLSPPIPTEDPTGMSQEGKRGMMKLAQRITNSFFTGLCASAAHQWESLCFDALDDNMKVMARKNVAGEPIGIVLSAATSVWMPVSQQRLFDFLRDERLRGEWDVLANNGPMQQLFRISKGQGNGNCVSVLRSGANASESSMLVLQDSWTDISSSVVVYVAIDVDSMNLVVNGGESAYLPLLPSGFIIHPDGTGGSGGSLLTVGLQILLSTLSNGNKLSLESVVSVSNLITESIQKIKVSLRVA >OIW08781 pep chromosome:LupAngTanjil_v1.0:LG07:138793:140391:-1 gene:TanjilG_16362 transcript:OIW08781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSPVSKDPMNSPVSKDPMNSPVSKDPMNSPVSKDPMNSPVSKDPMNSPVSKDPMNSPVSKDPMNSPVSKDPMNSPVSKDPMNSPVSKDPMNSHVSKNKLSSTMISPVSKTSFSNSSPPFSAPSSSSGNSSFSSSYSNSETDENPLSSQRFSIQDVKYQIPTKCISLPNSHSHRSLAVLSGHVGTVSCLAVCGEFILSASQGKDIIVWQQPDLRLFAKFGHGDGSVKALVSIGNKVFTAHQDSRIRVWKVSRSSENVFKLADTLPTTKDYWGKFMKQSNYVQTRRHHKRLWIEHADSISCLSVYNGLIYSGSWDRTLKVWRVSDLKCLESIKAHDDAINGLVAGKGVVYSASADGKIKAWGKEGKKDSHCLKGVLQGHKDVSFNSVVVSDDGKWVYGGGSDGFVIGWEVDGSFESWKLVSKTKAHEMAVLCMCLMGEFLCSGSADKNISIWKREAFGNLCKVGVIGGHEGPVKCLQASCNRIRDGFMLYSGSLDRSVRVWWVPIPKYNNNIQVEEDNSKTLNTMNQCIISSC >OIW08835 pep chromosome:LupAngTanjil_v1.0:LG07:456420:462331:1 gene:TanjilG_16416 transcript:OIW08835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKAAKLISPGTLNPLLNPCHTSVVAAKLNILSNFHTYNNANSITASTKRNDKLHPPLIRKNTSKNPRRLITISPGDGKYHGDWTSDYIVSLQDLQLHDLIEVDDDPHKDAKVFINLTIHKHASFGLSIDGRIMTSFTRKCGNCSSPYCREIDTKFNVWVLMASRDKRNIQQLPEIGGDPSVIYARPGYEVDLDHLVQDTIRLNSTIKDTCSELCEKSEATIQYEAVLPLSQVFDAAKDLGYDEAHLSHPLIVTSASTLTKLPTDSSSVDTVILIWQSLDCPTSQLIQEILRVLKAGGTILIRKSSQSAEGLVDKVISDLQNKLLLAGFSETQLLQSTGIKAKKPSWKVGSSFALKKVVKTSPKVQIDVDSDLIDEDSLLTEEDLKKPQLPVDDCETGSTRKACKNCTCGRAEEEEKVLKLGLTAEQISNPQSACGSCGLGDAFRCSTCPYKGLPPFKLGEKVALSTNFLAADI >OIW08035 pep chromosome:LupAngTanjil_v1.0:LG07:9305897:9307155:-1 gene:TanjilG_20136 transcript:OIW08035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKQPSTGLFVGLNKGHVVTKRELPPRPSDRKGKTSKRVHFVKNLIREVAGFAPYEKRITELLKVGKDKRALKVAKRKLGTHKRAKRKREEMSSVLRKIRAGGGGGDKKK >OIW07543 pep chromosome:LupAngTanjil_v1.0:LG07:17503821:17505400:1 gene:TanjilG_08430 transcript:OIW07543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSETGGGASQEEKKPVDQGGHINLKVKGQDGNEIFFRIKRSTQLRKLMNAYCDRQSVDFNSIAFLFDGRRLRAEQTPDELEMEDGDEIDAMLHQTGGGNHFL >OIW08858 pep chromosome:LupAngTanjil_v1.0:LG07:589705:591629:1 gene:TanjilG_16439 transcript:OIW08858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPSSTDTAQIPFEHVLVTVPGVILHLIEKDSSVQLASGDLTIVSLGEGDKVVAVLARIGDQIQWPLAKDVSTVKLDQSHYFFTIRVQEESEVLNYGLTIATKGQEGIVKELDDVLEKYSFLSKENVEGLRRWEVLSEETSPEELNKSEEKRELVEETSAAYWTTLAPNVEDYSSGFARWIAAGSGQMVRGILWCGDVTVDRLNWGNDFLKKRMQPGSDSQVTPQAMERIKRVKKLTKMSEKMANGILSGVVKVSEFFTSAVVNSKPGQKFFSLLPGELVLATMDGFNKVCDAVEVAGRNVMSTSSVVTTGLVSHKYGEEAGKATNEGLDAAGHAIGTAWTVFKLRKALNPKSSIKPSTLAKHAAKATISRSKG >OIW08177 pep chromosome:LupAngTanjil_v1.0:LG07:5390586:5392493:1 gene:TanjilG_24372 transcript:OIW08177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVLSKQTTEQYAYIRHCNKTSAFSSTGIIPVVDLSKPEAKTLIVKACEEFGFFKVINHGVPMEAISKLESEAINFFSLPNNQKEKAGPPNPFGYGNKKIGENGDVGWVEYLLLKNNQDYNSMRLSVFGQTTDKFRNVLNDYICAVRKMGCEILELMAEGLNIEEKNVFSKLLMDKESDSLFRLNHYPPCPEMALDNKNGENLVGFGEHTDPQIISLLRSNNTSGLQICLKDRSWVSVPPDYNSFFINVGDSLQLSNVQYLGLVGDPQVMTNGRFRSVRHRVLANGFDSRLSMIYFGGPPLSEKIAPLPCLMKENEESLYKEFTWFEYKKSAYASRLADNRLGHFEIFSAS >OIW07581 pep chromosome:LupAngTanjil_v1.0:LG07:17854818:17855105:1 gene:TanjilG_08468 transcript:OIW07581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPRGQRLSGMQKQVLSLYRGFLRAARSKSDEDRHKVESIVSEEFHRNSKEVDRKNFLYIEYLLRRGKKQLDQLKNPGTTGLSSLQVDLSKADN >OIW08028 pep chromosome:LupAngTanjil_v1.0:LG07:9142236:9143788:-1 gene:TanjilG_20129 transcript:OIW08028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIQIVEKEAGIKFSVKLFVSCVDLCLFGEKISPIEVDAVLLSHPDVAQAVAFGVPDAKYGEEINCAIIPREGSNIDEEEVLRFSKKNLASFKVPKKVYITDSFPKTATGKILRRLVAEHYVSQN >OIW08642 pep chromosome:LupAngTanjil_v1.0:LG07:1401113:1402756:1 gene:TanjilG_03318 transcript:OIW08642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTKNSTCIKNLVTNGTSYRWFRSEASAYAELIGIYARDGALHQGKKLHAHLITTGLYRFTHIATNLITFYACCGQLSHARKLFDKIPQTNVHRYIALIGTCARCGFYDHALAVFSEMQATQMLNTHSIFVIPSVLKACSHVGDRVTGEKIHGLVLKCSFDVDAFVNSALIVMYSKCERVEEACKVFDGMPVKDLVSLNAVVSGCAQLGLSNEALSLVRSMELHGLKPNIVTWNILISGFAQKCDLAMVSEIFSLMVEDGIEPDVVSWTSVISGFVHNFRNEEALNTFKQMLGHMLCPTSNTISTLLPACATAARMRHGKEIHGYALVIGVEEDIYVRSALVDMYAKCGFISEARTLFYKMPEKNTVTWNSIIFGYANHGYCEEAIELFNQMEVEGRAKLDHLTFTAALTACSHAGEIELGQRLFEVMQEKYSIEPRLEHYACMVDLFGRAGKIDEAYCIIKTMPIEPDLFVWGALLAACRNHGNAELAEVAAQHLLKLEPESSGNRLLLSSVYADAGKWGKVEGIKKTIKKGRHRKFQGLSWIDNI >OIW08064 pep chromosome:LupAngTanjil_v1.0:LG07:9619073:9623428:1 gene:TanjilG_20165 transcript:OIW08064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQPSQPQRRRRHRHHHITSFFQSTASNFISLFNPPPTSPTSYFPQPHSILISLPLLSTSTTESTRPDSVKSVRVTRVRSNGKGGGPAFVGQVFSMCDLSGTGLMAVSTHFDIPFISKRTPEWLKNMFSAITKSERNGPVFRFFIDLGDAVSYVKKLNIPSGVVGACRLDLAYEHFKEKPHLFQFVPNEKQVKAANKLLKTMPQDGARKKVDGVPVFSAQNLDIAIATKDGIQWYTPYFFDKNMLDNILEESVDQHFHTLIQSRHVHRRRDVIDDNLAAEIIEEMGDSLGDPPEVQEVLDEVGHPSIPLSVISKAAELQFHYTVDKVLLGNRWLRKAIGIQPKFSYMVDSFERRSEASFLRLSEPSCSLDSPKVEDDRKDSECLGSSNYNLDNINEAIQDSHSNSRQPFGGWFHQLWPKQRHEKVGLSRKDAKEDLKPNPFIPKITMVGISTEEAGHMSKTSLKKTMDDLTKELEKTELGNMSSGDNEYKVEDRDPLFVANVGDYYSGVTRTGSNSWIRGGTN >OIW08422 pep chromosome:LupAngTanjil_v1.0:LG07:3086798:3087097:1 gene:TanjilG_03098 transcript:OIW08422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVVVENLTGTLFYIQVGNDATIEDLKKEIETQQKLPCDRLILVLDADRSPLMRKDEEKVSLVDCGVQDGSHIYLFFIPLDNNDSENQVMFTRPDFFIG >OIW08623 pep chromosome:LupAngTanjil_v1.0:LG07:1515326:1517847:-1 gene:TanjilG_03299 transcript:OIW08623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQGLSCRGSHEHGLFHAVQHGDFETFATLLETHPSLMNHTTVYDRHSPLHIAAANGQIQILTRLLNGSVNPDVLNRRKQTPLMLAAMHGKIACVEKLLDAGANVLMFDSVYERTCLHYAAYYGNSSCLKAILSTAQSSPVAASWGFARFVNIRDGRGATPLHLAARQRQPECVHILLDSGALVCAPTGRYGCPGSTALHLAARGGSLDCIRALLAWGADRFQRDASGRMPYIVALKHRHGACAALLNPTSAEPLVWPLSLKVISELNPETKALLEHALMEANREREKNILKGSAYSLPSPLHSDLVDDNISEVSETELCCICFEQICTIEVQDCGHQMCAQCTLALCCHGKPNPATACLTPPLCPFCRSAIARLVVIKTEENYDDIDQDGFDINCSKISKSRKSHNLNEGGSSSFKGLTTIGSFGKMGGRNSGRIAAENECVDNKEQ >OIW08163 pep chromosome:LupAngTanjil_v1.0:LG07:5558949:5560209:1 gene:TanjilG_06576 transcript:OIW08163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMIVPESNAGHAGEIIEELQNVAAERNLKMIVRGHYIPKGDPERPRGEDAFFILEEEQTIGVADGVGGWSVHGIDAGDYARELMKNAMKAIETEPKGLVDPKSVLNKAFLSTKSQGSSTASIVTLKNNMLHGVNVGDSRLRLFRKNSLFYESPIQQHKFNKPYQLGNHESSDKPNIADEYQVSVKDGDIVVMGSDGLWDNLYPNEIVKTLWQNSLGGMLDIQGLATFIAKLAFNKSKQTDIATPFSVAAQEAGYASTGGKVDDITVFVAYIDFV >OIW07925 pep chromosome:LupAngTanjil_v1.0:LG07:7648082:7648501:1 gene:TanjilG_20026 transcript:OIW07925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSATGINMLTSVLLAIFLPCIGMSTVFIVYMCLLWYTASHQPAHSGPPAKPVIEKGLSTSELDKLPRITGKELVMGSECAVCLDEIGNEQPARLVPGCNHGFHIECDDTWLSKHPVCPVCRTKLDSEFFTSSTNQSPC >OIW07625 pep chromosome:LupAngTanjil_v1.0:LG07:16873504:16881368:-1 gene:TanjilG_16606 transcript:OIW07625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLPSTLFLKAHIPSTTLLFSSSKGKSNAKMLPFICFCKMIQSSSYEVANGSYHPTLLQEKENNIVEKASRKIESIGAFQKLPIVMPSIDILGSALKKARRVSATKGISNIAKREKNKGAKQLDALMKELAVPLRTYVENFPKKTYLHPYESSLIELTLGDGYYEKVLGKVDALRKRVVSVGKEHASICAKSTSKREAEERLVEGLKKIEEIFAQERKVVDDLLVIAKTLRAMPVVDLETSTLCLVGAPNVGKSSLVHVLSTGKPEICNYPFTTRGILMGHIVFNYQKFQVTDTPGLLKRHDDDRNNLEKLTLAVLSHLPTAVLYVHDLTGECGTSPSEQFSIYKEIRERFTGHLWLDVVSKSDLMKSSPVVYATDEPSEQVDLEKYRKSGPDGAINVSVKTEEGLDELKHRVHELLNLQMAKIITIDDDNDNNNKQEK >OIW07679 pep chromosome:LupAngTanjil_v1.0:LG07:15006466:15018669:-1 gene:TanjilG_07721 transcript:OIW07679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAQVLPNLRKQEHLEAGRRRLEEFRKKKAAERAKKASSASNIPYSDASLNQKQPSEIENVRVNESDGVTTSDGITGAVVHTVPSGMGNDKSLDMVSQSSNQGSLADRTNFDRNDLNTLSTSLVEAHSDIDETKRYNASVATAYADVNKKNEANNANDIYGIHTGGSGGIHYGMTNNQRLHLHLQESQEYGSNTTQSSLHGINEYQSNKTNSSLKDRAVSDHSSSPYFPSKISPQNSVDTSLQIKPTNSSSSDSYAHGSHSGGFSDSFRSKFRETITSSDSNLSNLHGASIPMFNSKVYEASNSNDHTPVNSISTESNSKRSRPSFLDSLNVTRPSSGSPFQQPKQDSSMPNHSEPSNKDISGYFHKPSEETKTVVPFSNFTTASSHSTFESASPSVYNNNSQDRLMASANENGMDKKHDYYAPSKNEDFTALEQHIEDLTQEKFSLQRALDASRVLAESLAAENSSLTDNYNQQRSVVNQLKSDMEKLQEDIKAQLVELESVRNLYTNAQLECNAADERAKLLASEVIGLEEKALKLRSSELKLEKQLENAQAEISSYRAVNKIRAHEYFRKKMSSLDKDRQDMKSTIGSLQEEKKVLQSKLRKASGIGKSIESQINKRDASTSTEDIASQNTAENSSNQEINDSAAVHDAEVSSLSSVPEFGHSSFGVSPVNIPNDQMRTIENINALISELALEKEDLTKALTSESSECSRVKAINKELSRKLEIQTQRLELLTAQSMVSEHIPANQPDSHIIYENNPYADEGDEVVERVLGWIMKLFPGGPSKRRTSKLL >OIW07512 pep chromosome:LupAngTanjil_v1.0:LG07:18588222:18589606:1 gene:TanjilG_14458 transcript:OIW07512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENQGEVKLLGVRGSPFVCRVVIALKLKGIEYEFIEENLGNKSDLLLKYNPIHKKVPVLVHNEKPVSESLIIVEYIEEAFKGNPILPTEPYQRALARFWSKFIDDKVFAAVRKAVFTLDEVEREKGVEESLEALQFLENELKSKFFGGDEIGFLDIAATIIAYWVPIFQEVTGLQIFTSDKFPKLYNWSQELINHPIIKESLPPREPLLAFFKGRIEALSASK >OIW08502 pep chromosome:LupAngTanjil_v1.0:LG07:2461901:2464983:-1 gene:TanjilG_03178 transcript:OIW08502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLRECNNIVEDKKLYLHSPTFIEWLKPSNSSPSYQTPTVSSSISSPFSMTQNELDHETIQFLPILSGKPSQEDLKMEGFEVNEEKVEQMTVTLHIGLPHSNSTTDHEYPDEKKKVFHVKEEEEEEEDTLIKTNFHGSSERRFWIPSPAHILVGPMQFACNICSKTFNRYNNMQMHMWGHGSEYRKGLNSLRGTQPAEMLRLPCYCCAQGCKNNINHPRAKPLKDFRTLQTHYKRKHGTKPFTCRKCAKTFAVKGDWRTHEKNCGKLWYCTCGSDFKHKRSLKDHIISFGKGHNPRPNSLKEFDCITGSDEDHEVVHNTII >OIW07861 pep chromosome:LupAngTanjil_v1.0:LG07:6853632:6855649:-1 gene:TanjilG_19962 transcript:OIW07861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVQTSSLVFQVKRYPPELVAPANPTPREVKLLSDIDDQKGLRYNIPLVLFYHYEASMAGKDPVDVIRQALSKTLVYYYPFAGRLREGPNGKLVVDCNGEGVMFIEADANVTLDQFGKDLYPPFPCFDELLYNVPGSDGMINCPLLLIQVTRLKCNGFIFALRMNHTMSDGSGISQFMKAIAEIACGARNPSILPVWNRELLCARNPPKITCIHHEYEQLPLDNKSTFIPHHRSFFFGPTEIAAIRSLLPHYLAQSTTSFEVLTAFLWRCRTIALQWVNPNQEIRLLCIVNARYGNCSFNPPLPEGFYGNAFVYPAAVTTVGKLCNQPVGYALELVKKAKNEANEEYVHSVIDLMGTKGRPYFTRAGSFMVSDLTKAGFRDVDFGWGKAMYAGLAKGGLGDIPGVSFYVPYTNSKGEQGRVIPICLPEEAMERFEKELDDVLKIKVNNPMILMSNL >OIW08372 pep chromosome:LupAngTanjil_v1.0:LG07:3519956:3520696:-1 gene:TanjilG_03048 transcript:OIW08372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMDQDFEEAVHEIGSFEDKPYFGKPKSSSDAIADSDRNGSGGFDCNICLDCVEDPVVTLCGHLYCWPCIYKWLNFRNISSEEQQKQQCPVCKSEVSQSSLVPLYCRSQTRRVSEGKDRQIGTVIPRRPLAPRSYNTTYASHPTSQSYHRHHPYQPQQFNSIPSSYTSPMLTPSGLQDMPFGLFGGMIYARVFGNQVTDIYAYPNSYNNSGNSNPRIRRHLMEVDKSLSRICFFLLCCLVMCLLLF >OIW07530 pep chromosome:LupAngTanjil_v1.0:LG07:18839998:18853496:1 gene:TanjilG_14476 transcript:OIW07530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSHRLPKPLNCVALSRDGRFVAAGEAGISSSVLVWDSSTFSIVSELKGHLYGAACICFSPNGKHLVSVGGYIYLWDWRSGELVTKLQVTSSCSAISSVSFSSDAKFIVTAGKKHLKFWGLGSSGRTQLNGEMRRSATLAIHEKHANLAIHKGSSFISVVSSVWSNSSYDNCKQAGDCFPIHALTDSGNLYLIHSGLSVKKSVALKVQKAFALSASGKLIACACNNGVVLLLTPMSLECVGTILYSKAKKFYEGNNTVFQAKVPKGDFQEPLALPDAVACQFSALERLVVIYGDHSLYIWDIHDLNQATRCFVLVSHSSCIWDIKNLCCENMHDQSLACTARGCSGGMSFATCSADGTIRLWDLALQSDLSKDAEEHQTLKAELLGSSCLVSAGTFERDVVKADLISQEFRSLAVSSDGMYLAAGDCKGNLHIFNLQTSDYTCFQGAHDAEILTLSFNLATQDVSEEIAKQCYFLASGGRDCVIHLYDVRRNFDLVDRIDDHSAAVTSIKISSNSCRILSCSADSFLVLRDVEVADNGYKILQQHRQKASQCGAVYDMAVDPSCETVVTVGQDKKIKAFDMSAQKLIRSYNHDKFFGEPIKVIMDPSCSYVVCSFSNKSICIYDFLTGEMVAKAMGHAEIVTGVIFLPDCKHIVSVDGDGCIFVWKLPAPLSSKILGRLMERSNPLSPRSLAQPPACSHLSFCEGECQHPKINPENVWPLGNSSQSEDGSLYPESNHTEASSFKFSASRLPKWAQAKVISSSVCKNLNASSETYALSSPEVQVPSNHASSSPKTENSRCSSRLGGTLRNTAVDNRWHSVYTVCMDALSSPELQNLMDTKFPEVPSSLRQDNPVITKGHNPFGLISHCKNETMNLVSEKHVGCNSNDISCSPEEISDKEAEQLHLHEPGTESETTLHTNLESLQNEEDSDMFKQHFGSLSNTHKIKSKNTPVRRFSAAYVVQRDYTGDLNKLFSSPIRNRNDKSKNSKDGNETHVISENRSLHLMENVEMKNSLEQDLKNSTQPSEETIAACKEAFRSLGAAADSAVQSFLKLENGSGEEVSSGAGAQFLSEAAELLPLIAEKVNAVARLVQHRKKNNQGGIDELLKPNLSNAMVELPKSLSPNLLLTLLKSQKNNLSALKLFHNATHHHNYTHSSTVFHHILRRITTDPTLFPHLPRIFETIKAHKTKCTEDVALTVFKAYAKNNMPNEALNLFQNMEQVFGCCPGIRSFNSLLNAFVESNQWDRAEKFFAYFEVVGVKPNLQSYNVLLKVLCKKKQFEKAKGLLKWIWEVGMVPDRFTYGTLINGMVKSGDLSGAVEVFDEMSERGVVPDVMCYNMIIDGYFKSRDFSKANEMWERLLRGESVYPNVVSYNVMISGLCKCGRFSESLEIWERMKRNERKCDLFTYSSLIHGLSETGNLDGARRVYKEMVGSGINPDVVTCNAMLNALCKAGKVDASFELWEEMKKYGSHNIVSYNIFLKGLLENGKVDEALSLWEVLHDTACSADSTTYGILIHGLCKNGYLNKALRVLEEAEQKGGDVDAYAYSSMVNALCKEGRLDEAAGVVNLMNGHGCKLNPHVCNALIDGFIKHSKIDNAIQFFGEMRTKGCSPTPVSYNILINGLCREDRFREAYHYIAEMLENGLKPDIITYSTLIDGFCQSNMVDAALRLWQQFLDMGFKPDIRMYNIVLHRLCSSGKMENAMQIYSTMRQRKCANLVTHNTIMEGFYKVGDCEKASQIWDHISQDRLQPDIISYNITLKGLCSCGRTTNAIGFLDDALARGVLPTAVTWNILVRAVTFIGA >OIW07776 pep chromosome:LupAngTanjil_v1.0:LG07:11387707:11392432:1 gene:TanjilG_03563 transcript:OIW07776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTKFETKSNRVKGLSFHIKRPWILASLHSGVIQLWDYRMGTLIDRFDEHDGPVRGVHFHNSQPLFVSGGDDYKIKVWNYKTHRCLFTLLGHLDYIRTVQFHHESPWIVSASDDQTIRIWNWQSRTCISVLTGHNHYVMCALFHPKEDLVVSASLDQTVRVWDISSLKRKNASPADDILRLSQMNTDLFGGVDAVVKYVLEGHDRGVNWASFHPTLPLIVSGADDRQVKLWRMNDTKAWEVDTLRGHMNNVSCVMFHAKQDIIVSNSEDKSIRVWDATKRTGIQTFRREHDRFWILVAHPELNLLAAGHDSGMIVFKLERERPAFTVSGDALFYTKDRFLRFYEFSTQRETQVLPIRRPGSLSLNQSPRTLSYSPTENAFLLCSDVDGGSYELYIISKDSYGRGDVQDAKKGSGGSAVFVARNRFAVLDKSTNQVLLKNLKNEIVKKSVLPIVTDSIFYAGTGNLLCRSEDRVAIFDLQQRLVVGDLQTPFIKYVVWSNDMESVALLSKHAIIIASKKLAHQCTLHETIRVKSGGWDDNGVFIYTTLNHIKYCLPNGDSGIIKTLDATIYITKVSGNTIFCLDRDGKSRSVIIDATEYIFKLALLKKRYDHVMSMIKNSQLCGQAMIAYLQQKGFPEVALHFVKDERIRFNLALESGNIQTAVASATAIDEKDHWYRLGVEALRQGNAGIVEYAYQRTKNFERLSFLYLVTGNMEKLSKMLKIAEVKNDVMGQFHNALYMGDVRERIKILENVGHLPLAYITASVHGLHDVAERLAAELGDNVPSLLEGKVPSLLMPPSPVMCGSDWPLLRVMKGMFDGVFDNTGAGSADEEYEAADGDWGEELDIVDVDGLQNGDVTEILNDGEVAEENDEEGGWEMEDLELPPEAETPKVSISSRSSVFVPPTPGIPVSQIWIQRSSLAADHAAAGNFDTAMRLLNRQLGIRNFAPLKSTFLDLNTGSHSYLLAFSFAPEITLAVERGWTESSSPNVRGSPALPFKLSQLDEKLKAGYKLTTAGKFTEALRTFVNILHTIPLTVVESRREVDEVKELIIIVKEYVLGLQIELQRREIKDNPARQQELAAYFTHCNLQLPHLRLALLNAMVVCFKEKNLATAYNFARRLLETNPTIEIQVKRARQVVAAAEKNMNDATQLNYDYRNPFVICGATYVPIYRGQKDVSCPYCTSRFVPSHEGQLCTVCDLAVVGADASGLLCSPSQLR >OIW08779 pep chromosome:LupAngTanjil_v1.0:LG07:121154:125986:-1 gene:TanjilG_16360 transcript:OIW08779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKYLRDNFSVEPKHPSDTALLRWRSAVSVVKNPHRRFRMVANLAQRAQAEKKRKNLQEKIRVALYVQKAALHFINAGSRSGGYMLSKEVQEAGFGIEPDEIGTIVRSLDRKCLESHGGIDGLARHVCVSLQDGVNSCDVSHRQNIYGVNRYAEKPPRSFWMFVWDAMQDLTLMILMLCAVISAGVGISTEGFPKGMYDGVGIILCILLVVFVTSISDYKQSLQFKDLDKEKKNVSIQVTRDGTRQKVSTYDLVIGEIVHLSIGDVIPADGLFISGYSLLIDESSLSGESEAVNVDQQKPFLLCGTTVQDGSAKMLVTSVGMRTEWGRLMETLNEGGDDETPLQVKLNGVATIIGKIGLGFALLTFLVLTGRFLMEKIAHHDISKWSLNDASQLLDFFATAVIIIVVAVPEGLPLAVTLSLAFAMKKLMNDKALVRHLSACETMGSAACICTDKTGTLTTNHMVVDKIWICEQSKAIKSRDSEDALKPSISEEIFDLLLQSIFQNTGSEIVKGQDGRNKVMGTPTESALLEFGMLLGGDSKSYNEKYKIVKVEPFNSIRKMMSVLVTFPNGTNKCRAFCKGASEIIVEKCDKVVNAQGKVEELKEEQRKIITEIINGFASEALRTLCIAFKDIEGSSEGNNIPEDNYTLIAIIGIKDPVRPGVKEAVKTCLAAGITVRMVTGDNINTAKAIARECGILTDGVAIEGQEFRKLNHQQMEEIIPKIQVMARSLPLDKHTLVTHLRNDFGEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKENADVIIMDDNFTTIVNVARWGRSVYINIQKFVQFQLTVNVVALMLNFISACLLGSAPLSAVQMLWVNMIMDTLGALALATEPPHDGLMKRPPIGRNAKFITGVMWRNIIGQSIYQTIVLLVLEFHGKQILKLNGPDANSVLNTVIFNTFVFCQVFNEVNSRDMEKINVFKGIFSSWVFIMVMISTIGFQAIIVEFLGAFAQTVPLSKELWLTSVVIGAVSLLVAVILKCIPVPVTSYTATHHHHYQQLPTGPDLA >OIW08017 pep chromosome:LupAngTanjil_v1.0:LG07:9025641:9027136:-1 gene:TanjilG_20118 transcript:OIW08017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKKHGDVFSLWFGSRLAVVISSPTAFQECFTKNDVVLANRPRNLSGKHIFYNYTTVGSCSYGEHWRNLRRIIATDVLSSQRILSFSEIRKDETHRLVQKLAKESSSEFAQVELTSTFRDMTYNNMMRMIAGKRYYGDDSELKDVDEAKEFRETVTELLKLAGVSNKADYLPFLKWFDFEGLNKKLTTTHKRFDVFVSALIKEQRSKKQREDSMIDHLLILQESQPDYYTDQVIKGLTLAMLFAGTDSSGATLEWAFCNLLNHPEVLKKARYELDTQIGRDRLLNESELSKLPYLKNIILETLRLYPPAPLLIPHVSSEDITIGGFNIPSDTLVIVNGWGMQRDPKLWSDATSFKPERFEKEGEDKKLSAFGLGRRACPGEFMALHGVSFTLGLLIQCFDWKRVSAEEIDMQEQTWFNLTKLHPLKAMCKPRPIVNKALN >OIW08426 pep chromosome:LupAngTanjil_v1.0:LG07:3044219:3046064:1 gene:TanjilG_03102 transcript:OIW08426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLDSDVAMVPAGEPSSSAGPSSKKPKRFEIKKWNAVALWAWDIVVDNCAICRNHIMDLCIECQANQASATSEECTVAWGVCNHAFHFHCISRWLKTRQVCPLDNSEWEFQKYGH >OIW08226 pep chromosome:LupAngTanjil_v1.0:LG07:4794540:4796435:1 gene:TanjilG_15187 transcript:OIW08226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPRKWFKRILKLKKQKKDKPKQAKEQSSSAEKSDESSAEKHETRDEPSSIPNEDLIIEQQTVTTRLIEDIAATRIQNAYRSFMARKTSHRVRGAVKFEAFFQDHTDGDQTATALNYIHSWSRIQNQIRARRLSMIAEARMKQKKLENQLKLEAKIHELEVEWCGGSETMEEILSRIHHKEEAAVKRERAMAYAFSHQASYSLGKESWGWSWKERWIAARPWEVRVRVRPPMTKKLDDHHQQRTKIDMKHHNEAPLAKTDLSNGKEIGKGKENNTL >OIW08797 pep chromosome:LupAngTanjil_v1.0:LG07:213070:219892:1 gene:TanjilG_16378 transcript:OIW08797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKATSNGAFQHENPLDYALPLLIIQIILVVVFTRFLALICKPLRQPRVVAEVIGGILLGPSAIGRSQKFLDTIFPKKSLTVLETLANIGLLFFLFLVGLELDMRSIRKTGPKALGIACAGITFPFALGIGTSFAFRATISKGVDTTPFLVFMGVALSITAFPVLARILAELKLLTTDVGRIAMSAAAVNDVAAWILLALAIALSGSETSPLISLWVLLCGAAFIIFAVVAIKPLLGLMAKRSPEGEPVKEIYICITLTLVLACSFITDTIGIHALFGAFVIGIVVPKDGPFAEVLTEKIEDLVTGLFLPLYFAASGLKTNVATISGGTSWGLLVLVIFTACFGKIVGTVVVSLLFKVPFREALALGFLMNTKGLVELIVLNIGKDRKVLNDQSFAICVLMALFTTFITTPIVMAVYKPARSGAPYKHKTIQRKVHDTELRVLACFHSTRHIPTLINLIESSRGTRKKGKLCIYAMHLMELSERPSAITMVHKARRNGLPFWNKKQNEDHRVIAFQAYGQLSSVNVRPMTAISAFSNIHEDICTSAHQKRAALILLPFHKHQRFDGTMESLGHAFHTMNGLVLSHAPCSVGIWVDRGLGGTSQVQASDVSYNVVVAFFGGRDDREALAYGMRMAEHPGILLTVIKFVAPVGKTLTFGAKLVGVTANKDRKVIKVADGNNHSEDKEEDDQFWYEFLSVSAKSEGSLVYEERLVESKEDVISVLGEKNRSNLILVGRMPPVAPLVERSDCPELGPVGSYLASSEFSTHASIIVFQQYDPSTDIYPLVMEEMIGESVNGGGGGGVVAPFLSKTYEMVDDSSTNRVVSWGDNNNTFIVWDVSQLSSLILPKYFKHNNFSSFVRQLNTYGFRKVDPDRWEFANEGFLRGQKQLLKSISRRKSAHVNGNSQQPSQVQNSPVGACVEVGKFGLEEEVERLKRDKNVLMQELVRLRQQQQMTDNQLQTVGQRMQVMEQRQQQMMSFLAKAMHSPGFMAQFVHQQNESNRHITVGSKKRRLQRQEEDSLASKNVHIAHDGRVVKYQPSINEAAKAFFKILQMNNSTMMESSIKNPDAFLIDDVPMAIPVDSSSSTTQVSSVTLSEVPPTSGQSYMAVESQFPVNCMLNSTTEVQSSPAVLTDCVKAAQVPHLTAHNCQDNVLDFCDLQGIGPGSSFMNPGLNFVGPDTRNAGEIDMMSTVLDGTLSVETDALSPNADGISKLPGINDEFWEMILSSSPVRGDADEGTCSSLGCGLTQDHELSSEKEIQQENMDKKPQIDHLTQKMGLLASRS >OIW08052 pep chromosome:LupAngTanjil_v1.0:LG07:9532387:9535139:1 gene:TanjilG_20153 transcript:OIW08052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFEINNDFVQVGGVISAILLLASLRVKVSCDEYPAMSCRKHSAFLTDFGGVGDGKTCNTKAFEYAIRNLSQYACDGGALLVVPPGKWLTGSFNLTSHFTLFLQKDAVILASQDESEWPHLPVLPSYGRGRDAPGGRFSSLIFGTNLTDVIITGFNGTIDGQGSYWWDKFHKGELKLTRPYLIEIMFSDHIQISNLTLINSPSWFVHPIYSSDIIIQGLTIFAPVDSPNTDGIDPDSCTNTRIEDCYIVSGDDCVAIKSGWDEYGIKFGMPSQHIIIRRLTCISPDSAMIALGSEMSGGIKDVRVENITALNTQSAVRIKTGAGRGGYVKDIFVKGMSLNKMKYVFWMTGSYGSHPDPGFDPKALPNITAINYRDVRADHCKYSARLEGIPNDPFTGICISNVTITGGKKKLQWNCTHVGGVTSKVLPKPCKLLPEKKKTFDCPFPEDKLPIENVQLKTCCF >OIW07497 pep chromosome:LupAngTanjil_v1.0:LG07:18434388:18438054:1 gene:TanjilG_14443 transcript:OIW07497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKHKITTLVFTIVVLLLSCAMAAPRCGNCGPNPVPYPLSIGPGCGDPWYKIRCTTGMLWFDALAGSSYMIRSIDLITRRIIIRPAILDRGVCVSTDFRSEGLHLNETLPFSIAAGNTIFEFNCTLNAPHAPPLMNCTINSLCHNYISDNPGVGACGRVSTCCEYKTGGVRKEYVIGVHGGGCAAYQSFVDFNGTVAPPGKRWPEPGIAIEWVVPMEPICKGPMDCKEVVNSQCVVGPTSGGVKRCLCNATFKWDPTNGLCQPLTQNTKCSGHKKDKHCKVKKKKKMLMAAVAASLGVIVSIGIIVGVLFYKKHNDQLKKQTQNTKMKKKMKEVSNTKANALSSRIFTSKEIKKATNNFSQENLLGSGAFGEVFKGTFDDGAIIAIKRAKLGNTKGIDQIQNEVRILCQVNHRSLVRLLGCCLELEHPLLIYEYVANGTLFEYLHRIPSDTRQPLKWHNRLKIAHQTAEGLSYLHSAAVPPIYHRDVKSSNILLDEKLDAKVSDFGLSRLVELAEENKSHILTSAQGTLGYLDPEYYRNFQLTDKSDVYSFGVVLMELLTAQKAIDFNREQENVNLAVYGKKKMIEDKLLDLIDPLLKEGVNNLELDTMKSLGYLAAACLDEQRQKRPSMKEVSNEIEYLINIVKGGEGSSKVSFSIK >OIW08131 pep chromosome:LupAngTanjil_v1.0:LG07:6113270:6115782:1 gene:TanjilG_06674 transcript:OIW08131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEKLSSCVKFLETSKHYFAMISLQFGYAGMNIITKVSLNQGMSHYVLVVYRHAIATAVIAPFAFIFERKGQPKITFKIFMQIFILALLGPVIDQNFYYAGLKLTSPTFSCAMSNMLPAMTFVLAALCRMEKIDMKKVRCQAKVVGTIVTVAGAMLMTLYKGPIVEMLWAKHSHSHDKSNATNNTGPSDKDWFLGCTFLIIATLAWASLFVLQAKAIETYKNHQFSLTSLVCFIGTIQAIAVTFVVEHNPSVWRIGWDMNLLAAAYAGIVTSSMAYYVQGLVIKTKGPVFATAFSPLMMIIVAIMGSFILAEQIYLGGVIGSVLIVVGLYSVLWGKHKDSVESKVDEIPLHVKDAGNATLITDSTNYLIESRSSEEGETIKKLSSSVVIKNET >OIW07578 pep chromosome:LupAngTanjil_v1.0:LG07:17834059:17834346:-1 gene:TanjilG_08465 transcript:OIW07578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPRGQRLSGMQKQVLSLYRGFLRAARSKSDEDRHKVESIVSEEFRCNSKEVDRKNFLYIEYLLRRGKKQLDQLKNPGTTGLSSLQVDLSKADN >OIW08681 pep chromosome:LupAngTanjil_v1.0:LG07:1125933:1139736:1 gene:TanjilG_03357 transcript:OIW08681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLVPGVLLKLMQHMNTDVKVGGEHRSCLLQVVSIVPALAAGGELFPSQGFYLKVSDSSHATYVSLPDEHDDLILSDKIQLGQFVFVDRLEAASPVPLLHGVRPVPGRHPCIGTPEDIVATSSLGFLSNNANNVNKNMEIRDKEKKEKVRLNDEVQVDKKLVALARCKSQAQKTKPAALDVIDVKKVHLARLKSLNLKSIPSSPTSCYSLPTSFEKFANGVKQHANSKGVVEPGKGARGSSPTTKKIAVGNPIRNWVHGIELGAKALRKSWEGSIEVKGQEGSKLKAAKCDPKPELRVSTPRRSTSSEKMPSKEQSKIQAPTKFTKEEHKIQTSTKKVSANGTMEEREKSSKRRNPSGKKSSEGSNTGLIGNLVKVSPNSRKVTDASVQWSSLPSSIAKLGKEVMKHRDAAQLAATEAVQEAAAAESLLQCLRIYAELNSSANKYNPQPAVEQFLTLRTSLNSVRTISESLFKLNPDGSSPDHEISTMEEALAIKSDRQKLAAYWVQAALATNLSSFSVFTREPQSSKLPISNASQNHKNILGSKPILVLESSSEDASSKSHGKPRQKANPKHALQGTPRKVGDGLTNGHKQLVEPLPPWVGGNGLGEVVSLAGMLQLRSRDWFLGFVETFLNTDGDNTSMSSNGQVADMLSQLKSVNDWLDEISGKDEGESPDIPAETIDRLRKKIYEYLLTHVESAAAALSGGSQPSPQIQTTEIKAKRSEGKAGTFKYTAGKWHGDPDDKGIQTYNDAKHYAISAKIPELSNKNKTLVLQYSIQFEQDIECGGGYIKLLSGYVNQKKFGGDTPYSLMFGPDLCGSQTKKLHVILSYQGQNYPIRKDLQCETDKLTHFYTLILRPDATYSVLVDNRERDSGSMYIDWDILPPKKIKDVNAKKPIDWDDREYIEDPNDAKPEVKAGSIFDNILICDNPQYARQVVEEFMSDNREAEKEAFEEAEKERKAREEEARNGFCMWPDVRQICFGKGLLHGFMRLLSTPLKTLRGASRSLRVDQFCSVDQFCSVVNLSSSLQIELVQCLRDNYAYLLHDVDTGTVGVVDPSESAPIIDALSRKNRNLTYILNTHHHHDHTGGNTELKEKYGAKVIGSTIDKERIPGIDIYLNDGDTWMFAGHEVHIMSTPGHTEGHISFYFPGSAAIFTGDALFSLSCGVFFEGTPGQMQSSLKKIMSLPDDTSIYCGHEYTLSNSKFALSIESGNKELQSYAAQVAHLRSKDLPTIPTTMKIEKACNPFLRTRSIEIRRKLNIAVTADDAEAIGVIRQAKDNF >OIW08538 pep chromosome:LupAngTanjil_v1.0:LG07:2183199:2188213:-1 gene:TanjilG_03214 transcript:OIW08538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein CMHYRRRCKIRAPCCDEVFDCRHCHNEAKNSVEINHLNCHDIPRHELKTVQQYCTRCGVCMSKYYCGTCKFFDDGVGSILFQRNNTTVTNVAYAEPEAGITSFIVSDVKIEEGHPCVESAITIAPFALEVICSLSDIEQDFNNTAPAVEYAWASIIVISKEQYHCDECGICRTRGSDNFFYCKRCGRCYSKKIEEGHPCVESAMHHNCPICFEVKQTTKCVREMEQNHRYSCPVCSKSMRDMTNLWKKLDNVDVEFTCEYKYDGERAQIHYMENGLVEVYSRNAEWNTRKLPDVVVAV >OIW07633 pep chromosome:LupAngTanjil_v1.0:LG07:15957619:15958170:-1 gene:TanjilG_03741 transcript:OIW07633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPSTFLSILLTLSFIFIYHATLSASTINFKLYQVVCIQYGIRVSPNIKGCLTTLESDPQIPQAKTYVELNILIIQQAIKNSTISQIFLKNLMRHDHSPAIKECANEDYDGIIKAFGNALANVIHNPQTAINYMRRDLAYAEGKCSGALNDDPKPYFEVNVLIHNLYFYKEVAELSLNHLIDQ >OIW08477 pep chromosome:LupAngTanjil_v1.0:LG07:2612975:2614000:1 gene:TanjilG_03153 transcript:OIW08477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASESLYHETKNKNTASSTTITAVHPDIIHTHILTRLDGATLSTVASVSSHLRHLCSGQDIWENLCTATWPSLMDPIVRHVISTFPNGHRSIFSDAYPSLHHFSPSLPNHRRPSPPEELISAVDIYYKGNPIFSRVQRTETSENLFLTSPLCFEVLQPNEFIQTPVNFVRKDEEWMSQLQESFTLSWILIDPIQKRAANISSRRPVSVKRHWLTRDLEIIYAVVMAGEKGRSTEKVQCMVKVTCCGKVGGELHVKEVNLVMEDMEGKQVMGKKGVMILQRAMENGERKKVDEVRAMERFVKFSRVIKERREMKHRREKAHGVVCMLLVFIALVLFCFIAGF >OIW08165 pep chromosome:LupAngTanjil_v1.0:LG07:5589247:5590623:1 gene:TanjilG_06578 transcript:OIW08165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDTDPAKKLSFNRSITNGDLVIVYERHDNMKAVTISEGSVLQNRFGVFKHSDWIGKPFGSKVFSNKGGFVYLLAPTPELWTLVLSHRTQILYIADISFVVMYLEIVPGSVVLESGTGSGSLSTSLARAVAPTGHVYTFDFHEQRAGSARDDFERTGLNNLVTVGVRDIQGAGFPDEFSGHADAVFLDLPQPWVVIPSAAKMLKQDGTFCSFSPCIEQVQRSCETLQTSFTDIRTFEVLLRTYEVREVKMDGVEGNESGSNGSHPCKRRLCSDGSNVVSSSISSVMARPCGEARGHTGYLTFARLKCLS >OIW07998 pep chromosome:LupAngTanjil_v1.0:LG07:8547499:8553657:1 gene:TanjilG_20099 transcript:OIW07998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFEKVTFTVLVVDDNDTSLTIVANMLSSWDYKVLTANSSDNALKTLREFEGFFDLVITRVHMSGMNGYEFQQRVKEEFHIPVIMMSTDSMKKEVMTKSEENEAALCLLKPICADDLKEIRKYALAARKGKVVIENESNSSEGESSQAEKSSIEDVKPCAPSTMTHGTKRKKRYTKTKSSGMFNENQGAENRRVQKKPKIVWTTQLHNLFMTAIKQLGYDKAVPKKILEVMNVPYLTRGNIASHLQKYRIFLRNIAERGLVEGLSGKILKSNFASGLTLSVIKDIQTRSEKLRVPVQQYLQNMAHQAENRSTSNASVPFNQGQISPLNLPVQRNAYLTTEHGRDQFSFPMYKGPIHQNQQAFNGYNSLNPGIHGQSSFGNNIVNTGPNEMQQKMLGSNANPNPVYNSGPSNFPLYGIGHGLMTSTSGLTRSLSQNYGSSFGNQSFQYGLGNGNMASLSNSNVPWNKSNCYPPRNNSYGIQQNGGSEMVGTGVKGGFNVGAKNYRSGLVMNETKSGNMHMARAVPPLGNNNNSFGLVNGTQNANMHVAPLGHNNISIDMVNSTQHANGVGNEHHYNGSSITEGDVSRLEDDISELFMMVHNTDLLNEKEETHDVSESLNSHFSSSSTVLQPPEQDWDMSLIEAFCDAGKKVEGK >OIW08810 pep chromosome:LupAngTanjil_v1.0:LG07:284774:288001:1 gene:TanjilG_16391 transcript:OIW08810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLVKPIFRKHQRPSSTLWLLHHTFSSSAAAVQAEKAIKDGPRNDWTRDEIKSVYDSPILDLLFHGAQVHRHAHNFREVQQCTLMSIKTGGCSEDCSYCPQSSRYDTGLKSQRLTNKDAVLQAAKKAKEAGSTRFCMGAAWRETVGRKTNFNQILDYVKDIREMGMEVCCTLGMLEKQQAVELKKAGLTAYNHNLDTSREYYPKIITTRTYDERLKTLEFVRDAGINVCSGGIIGLGEAEDDRVGLLHTLSTLPTHPESVPINALIAVKGTPLEDQKPVEIWEMIRMIATARIIMPKAMVRLSAGRVRFSMPEQALCFLAGANSIFTGEKLLTTANNDFDADQLMFKVLGLIPKAPSFNEDQNSEAEDLKEAASSS >OIW07833 pep chromosome:LupAngTanjil_v1.0:LG07:10341829:10346335:1 gene:TanjilG_32689 transcript:OIW07833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKILQGCMVSLERNKPYVAMIFVQFVYSGLALLSKAAISKGMSPYVFVVYRQAFASLALSPFAYFDSKHDAPISCNLLCKLFLVSLVGLTASSNLYYVSINYTTATFAAAATNTVPAITFIMAVFIRVESISIKHTHGLAKILGSILSLAGAIIYVLVKGPSLHFMIPENQKHNSHSLTMVHSKGDCIRGSLMMLSANTSWSLWLILQGFIVKQYPAKFRLTVMQCFFSFIQSTILAAAMERNTSAWRLGWDIHLLSVAYCGVIVTGICYWLQVCAIETKGPVFTAMFTPLALIMTATISALLWNESIYWGSIGGTILLVIGLYSVLWGKNKDSVKMENNEDGLPKEETILECIIQN >OIW08778 pep chromosome:LupAngTanjil_v1.0:LG07:115431:118407:1 gene:TanjilG_16359 transcript:OIW08778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGYEATRTVFSRIQNLDPENASKIMGMLLIQDHGEKEMIRLAFGPEALVHSVIVKARKDLGLRSNSPPSPPTPPSSSSSASSPFLSRQSSITSASRLGGIKLPPALTIPNSTSSNSPSWTTMSDLNNPDELIMSPNNPNSLLSSSLPFYHHANGGVSDPIDESQLQDQLSFLNDGSPHKNQDLFYPHHSDLSSSPTGGAADPNLFPSYGWGGSLHRRSCSVNDACLGSEDPNSGFGWKPCLYFARGYCKNGTTCRFLHGGGGTLGDADAAMVGSPSKIEMMDELLRSKTAQQQRLAAASQLMASSFPYSPKGMNFLLQHQQNDSPRGAVAALMMNEELHKFGRSRLERNDFSLNSTGIVNPASRQIYLTFPADSTFREEDVSNYFSIYGPVQDVRIPYQQKRMFGFVTFVYPETVKLILSKGNPHFVCDARVLVKPYKEKGKVPDKKQQQQLDRGDFSPCGTPTGLDARDQFDLQLGGRMFYNTQDMLWRRKLEEQADFQQALELQSRRLMGLQLLDFKKQHHRALSTGSPIPSPTHSPSMFNQNIVFPPFHSSSETPQDNGSSSAPASSTVSVNTFIGKEVVGNGENGYNDGNGKSSSHEECDLHECLEHNLPDSPFASPTKAAAGNDYMAAFSNGPNEAIHSDALAASANSKFGTSTLLPSPSALDMASFKSFNCQIPRFSSGPGTIGMVSGTGGPIGI >OIW07639 pep chromosome:LupAngTanjil_v1.0:LG07:16320350:16338065:-1 gene:TanjilG_03747 transcript:OIW07639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWSMMGESDRKRRHFSSISPTTVAAPHKKLPILPISRDKTFDIAVLQFKNQKLTEKLETQKLEYTSLENKLSQLKLRQQPYDSTLAVVKKSWEQLNNDLELCSERTRESSCKLDSKFSSITGDGSPSTVQDDFLSRLLQTGATESSSAYNFATQMEENRKITTEKAKSSFKNIMTAVNNLWCLKDGLHTLVLKKLPGDVSCRQNLSIDLEEVRNLRLTFSELHLKHKSLASELLCRRDLAIKNKADLERLKGELESTVAELEESNQSLATLKEEREAAKGVVLPVLSGGSTQVASDKARDKQKDLHDMESNLKELLDQVSSQLVALRSLHEERIRILQQSCDLQNTLKNMKCITSSRAFQLVRDQIEKSKSRVLEYQALFEKLQVDKDILAWREKEWYIKNDIADIFQRSVAVSDSRVADLRTEIQKKIDERTVIENKLEEEAREPGRTQVIAEFKSLVSSFPKEMESMETELSKFKESASDIHTLRADLASFDSIVERKVKECNVLSVKSAGQLAEINRLHAVVRGLRQIEQQDNLFLEMYRYESIDSRNVLEAREAEYKARALVQMLTSSLDEHKLELRVKTAIEAEARSQQRLAAAEAEIVEMRQKLDASKREIFTLSEVLKSKNKENEAYLSEVETIGQAYGEMQGQNQHLLQQITERDDYNIKLVLEGLRARQKLDSLVMEKRSIELAIQQANVSRSLYDTKAARIEDQLKFCSDQIHRLARDKLQSSVTLEFIQSKLFDVRRTSLQARDTLDEVQSKVSSSRVTRMELQVEHEKERFAKKRIEEELEAGRRKFSRLKAQNEGSSLIERLQHELEEYREIVKCTICRDRTKQVVITKCFHLFCNRCVQAVTGSRHRKCPLCGTSFGANDVKPVYM >OIW07646 pep chromosome:LupAngTanjil_v1.0:LG07:15433536:15434501:-1 gene:TanjilG_17661 transcript:OIW07646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHVAAQGRPLPSFLTRDLQLNPFHQFQPHHQDNNTDEDNNNSKHNNNDNFLNRSLNRGPNENTTTNNNAATSATADGKELSSTMSPGDGEMGTIRRSRGRPAGSKNKPQQPIIINKDSANALRSHVIEISDGCDVMEGVTAYARRRQRGVCILSGSGAVTNVTLKQPASAGAVVTLHGRFEILSLSGSFLPPPAPPAASRLAIYLAGSQGQVVGGCVVGPLLASGPLVIMAASFGNATYERLPLEEEGSSPVAGGGGLGLGSSGMVQQEHQQQHLEADHNTFSVYNGVSHNLLNSFELPASNGAAEAGFWGGNGSGRSSF >OIW08488 pep chromosome:LupAngTanjil_v1.0:LG07:2547009:2549106:1 gene:TanjilG_03164 transcript:OIW08488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAILYALVARASVVLAEFSAVTGNTGAVARRLLEKLPAEDDSRLCFSQDRYIFHILRSDMANDNFGRGIPFSYLEDIQMRFMKNYGRVANYAPAYAMNDEFSRVLHHQMELFSSNSSTDTLNRVRGEVGEASAFQRKLCPSIFCLPHVS >OIW08552 pep chromosome:LupAngTanjil_v1.0:LG07:2054555:2055462:-1 gene:TanjilG_03228 transcript:OIW08552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNQRNPLLSWAYYCQGKSMEELRQTLLYTTVELEQTRATVQDELRKRDDQLLNIKELLNKVIRERDEAQEKCQKLLMEKFVLHQAAPVSGISSIEDDPRRGINSNNGLSLSDCEESIVSSPVIDHLSQPSHLQQPLPETMMIELTPDKPLPEKGKLLQAVMKAGPLLQTLLLAGPLPQWRHPPPPLESFEIPPVTIPSPPPPQLIHQDSFVNNNTNENSITLTPTNCGRVRGKRVLSEDSGLPSENKYQRIVLH >OIW08760 pep chromosome:LupAngTanjil_v1.0:LG07:21460:22265:1 gene:TanjilG_16341 transcript:OIW08760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNIRKEEVDAKWDACADLTLRRFIYSTLAGAFGGLLLFRSPTSRWASVAFGAGVGIGSAYSHCSPLFNAQDPSPPIQLKIEYNGT >OIW08385 pep chromosome:LupAngTanjil_v1.0:LG07:3425952:3428439:-1 gene:TanjilG_03061 transcript:OIW08385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGALMGLAMLGIMVVFGEESLNSILNAFKFQMQVDEDEIYWRHRKEDEELECSHNFTHLISQLVQCFINAMVVSRSWIGGIFSCTNTRRSSSEKFVNYPLRPVEASSLVHFWVLLKAHFAI >OIW07439 pep chromosome:LupAngTanjil_v1.0:LG07:19714032:19716052:1 gene:TanjilG_19280 transcript:OIW07439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCLNKSQSKDSDSDYHVEFAAGNVKLITTKEAWDQKSEEAKRDGKIVVANFTATWCGPCKMIAPLYSELSEKYTSFMFLLVDVDELADFSTSWDIKATPTFFFLKDGKEIDKLVGANKPELQKKLASVNDLIPQHDNQ >OIW08066 pep chromosome:LupAngTanjil_v1.0:LG07:9642315:9645347:1 gene:TanjilG_20167 transcript:OIW08066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLRAVARPLMAKVKQTTGIVGLNVVPNAREVLIGLYSKTLNEIKAVPEDEGYRKAVESFTSHRLKVCEEEQDWEDIEKKLGCGQVEELIEEAQDELKLISLMNEWKPWGVPDDYECEVIENDAPVPKHVPLHRPPPLPTEFHKTLESLQSGKDTPAISSGESKA >OIW07490 pep chromosome:LupAngTanjil_v1.0:LG07:18341498:18345021:1 gene:TanjilG_14436 transcript:OIW07490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRIAAKLSRTSSSTAFVAPSATKLSPISCTRFLHYAPSSFNSYNNALELDKNIAKPDVINMMNYAMKQARSDKSVGSYGLGMLVLKHCLTTELTIGNDLKTENSKGITLLALSTLLSERGEYDDAIEKLESVQELTNSHLGIRLAAFEAQVGLHLELGQDAIASAVAEKGVEFMEKHETGENDSLTIHAKALKGLIELVNGDIKSAEASFDKSLPDVVRDGSVALLYGEFLQTTQDYSRAREVYQNVIQGASDIKNNGNSLYLGAGNMNLEGLMVGAMCSLGQLESHLGKFGNAEDWLTKALNTAEETYGTTHPKVGVVLTSIALMYRRKAIEERSSSLLIQEGLYRKVIDILKVPAVETRSEGAAPSVDRSDIAALARGAYAEVLCVQENRKDEGQKMNNLAESIWKNRRMSLADALGNTESNLSTVIDARISRLL >OIW08769 pep chromosome:LupAngTanjil_v1.0:LG07:57946:61641:1 gene:TanjilG_16350 transcript:OIW08769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSNPNPLSAPHAASEAPPPPETPDANGNGSHPRAPKPLISDSAAVTSGTTEKDQSGGEEETTSKRRRRKSRWDPQPDSNEQNGSDSGTKKRKSRWAEEPTPVSIHLGDLMGLGGIELDSEIPVLNSRLLEISRMMQSGLPLDDRPEGARSPSPEPVYDNMGVRINTREYRARERLQKERQEIISAIIKKNPAFKPPADYRPPKLHKKLYIPMKEYPGYNFIGLIIGPRGNTQKRMEKETGAKIVIRGKGSVKEGRLQQKRDYKPDLSDNEDLHVLVEAETQESLDAAAGMVEKLLQPVDEVLNEHKRQQLKELAALNGTIRDEEFCRLCGEPGHRQYACPTRNSTFKSEVVCKHCGDGGHPSIDCPVKGATGKKMDDEYQNFLAELGGSVPESATTQTNVLAIGSGNSGSNPPWANNFGSSGGTQHAGLGFNPAKKEIDDTNLYIGYLPPTLEDDGLIQLFQQFGEIVMAKVIKDRLTGLSKGYGFVKYADVQMANTAIASMNGYHLEGRTISAAVTSGTTEKDQSGGEEETTSKRRRRKSRWDPQPDSNEQNGSDSGTKKRKSRWAEEPTPVSIHLGDLMGLGGIELDSEIPVLNSRLLEISRMMQSGLPLDDRPEGARSPSPEPVYDNMGVRINTREYRARERLQKERQEIISAIIKKNPAFKPPADYRPPKLHKKLYIPMKEYPGYNFIGLIIGPRGNTQKRMEKETGAKIVIRGKGSVKEGRLQQKRDYKPDLSDNEDLHVLVEAETQESLDAAAGMVEKLLQPVDEVLNEHKRQQLKELAALNGTIRDEEFCRLCGEPGHRQYACPTRNSTFKSEVVCKHCGDGGHPSIDCPVKGATGKKMDDEYQNFLAELGGSVPESATTQTNVLAIGSGNSGSNPPWANNSGSSGGTQHAGLGFNPAKKEIDDTNLYIGYLPPTLEDDGLIQLFQQFGEIVMAKVIKDRLTGLSKGYGFVKYADVQMANTAIASMNGYHLEGRTIAVRVAGKPPQPVVPPGPPASAVPTYPVQTQPHSAYPSQQYSVGGPLGSAPPGSYGRNPVPWGPPVPPPYAQYPPPPPGSTVYPPMQGYPMAPHGVQYPPPPGAPPQPATTSGEAHQSYPPGVQTENSTSSTQPVLANVYGNSVTSMPPPAQNTFPASYGYPPYYAAVPPPLPVPVSAADQPHNMANVPWASNPPVPPSTASPAENQSQSTGNVPWATNPPVPPPPSSAEKSSYGADSEYEKFIAEMK >OIW08496 pep chromosome:LupAngTanjil_v1.0:LG07:2505353:2505841:-1 gene:TanjilG_03172 transcript:OIW08496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENDSNRIVSRIQDGVISRRNVYLGLHLEILYAEDIDNPSIYPNVFNREYRLVVWVDPNQEFSTIPVEGLWNPVWNASGVILLKKFPEYHTFLNVEVLRVNSVNDPGTSSGVVIVGKVKIPLPRVLYGEKIGRFELVREMGEGFKLEGHIHLSMKLRKYIYV >OIW08233 pep chromosome:LupAngTanjil_v1.0:LG07:4752542:4756283:-1 gene:TanjilG_15194 transcript:OIW08233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEDPIAGGSDFNYLSGFGNHFSSEALPGALPPSQNSPLICPYGLYAEQISGTSFTTPRNFNLCSWFYRVKPSVTHEPFKARVPSNERILSEFNRSNTCINPTQLRWKPTEIPNLPTDFIDGLSTVCGSGSSFLRHGYAVHMYTANKSMDNCAFCNADGDFLIVPQQGRLLITTECGRLKVSPGEIAILPQGFRFTVNLPDGPSRGYVAEIFGTHFQLPDLGPIGANGLAAPRDFLVPTAWFEDKSYPGYTIVQKFGGELFTAAQDFSPFNVVAWHGNYVPYKYDLSKFCPYNTVLFDHSDPSINTVLTAPTDKPGVALLDFVIFPPRWLVAEHTFRPPYYHRNCMSEFMGLIYGGYEAKVDGFLPGGASLHNCMTPHGPDTKSYEATIARGNDVGPHKITDTMAFMFESCLIPRISRWALESPFLDHDYYQCWIGLRSHFSVTGTSKTSTLHNEHEE >OIW08780 pep chromosome:LupAngTanjil_v1.0:LG07:126616:135866:-1 gene:TanjilG_16361 transcript:OIW08780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLTGFTIFFLSCITIHLNFCLSTIPSSLNSLPLGGHFSFDEVTLSHAATDFGNRYQYNPMAVLHPESVSDIAATIKHIWLMGPSSQLTVAARGHGHSLHGQAQAHGGVVINMESLKVPEMQVHVGNSPYVDVSGGELWINILHETLKYRLAPRSWTDYLHLTVGGTLSNAGVSGQAFRHGPQISNVKQLEIVTGTGEVVNCSKEQNEELFHSVLGGLGQFGIITRARILLEPAPDMVKWIRVLYSDFTAFTRDQEQLISAENSFDYIEGFVIINRTGLLNNWRSSFNPQDPVQASQFNSDGKTLYCLELAKYFTLEQINLVNQEVEMHLSYLNYIPSTLFLTEVKYLDFLDRVHVSEMYSAIFGCQFPLIKPPPFFIFSTGSSSFTSLPHNPHPLSGSTMFATTESTTHTQNNHSNRSLLNGIGSCSLENKVDELRELMGKVDGDPLRIVGVGAGAWGSVFTAMLQEAYGGLRDKVLIRIWRRPGRTVDRATAEHLFEVINSREDVLRRLIRRCAYLKYVEARLGDRILYADEILKDGFCLNMIDTPLCPLKVVSNLQEAVWDADIVINGLPSTETRQVFEEISKYWNDRITVPVIISLAKGVEAELSPQPRIITPTLMINRATGVPIENILYLGGPNIASEIYNKEYANARICGAEKWRKPLAVFLRQPHFIVWDNGDLVTHEVMGGLKNVYAIGAGMIAALTNESATSKSVYFAHCTSEMIFITHLLAEEPEKLAGPLLADTYVTLLKGRNAWYGQKLAKGELSLEMGDSIKGKGMIQGVSAVKAFYELLSQSSLNVLNPEENKLVAPVELCPILKMLYKILIIRESPVEAILRALRDETMNDPRDRIQIAQSHVFFRPSLLGQ >OIW08704 pep chromosome:LupAngTanjil_v1.0:LG07:988020:990304:-1 gene:TanjilG_03380 transcript:OIW08704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDMFPKTSKTSEPQNESPNNPLPPCSWSSNMQTYITPQSTSAGFCSYPSGMLSQGSSAMSFSEKLLRVYGGKNLDSIEKSDENLQPKRLATNECEENVKRDSTPEINCAASETGGSQHEHHDANSGYRSTKKVLQQETEELRKRVENLRDEVSELRKELLGISEQSEEVQKENESLMQELVEKYGEESIADLINKKPAAP >OIW07994 pep chromosome:LupAngTanjil_v1.0:LG07:8451516:8452187:1 gene:TanjilG_20095 transcript:OIW07994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METNRTQDLKKEHTVKEQVLDHSLSLVAPPPSTAVPSKKQTRDRHMKVEGRGRRIRLPPLCAARVFQLTRELGHKTEGETIMWLLKQAEPAIMAVTGTGNSPAMAVSINGELKIPTLPPEKRKREKEETHVNKLRCRRGSSSNNEFIELNDSNEVALSCGVAPNSSMCPLGGSSNGASAWSLYQPQTHYWTVPHSGYVYGMQKSESIVVPNLSSGTSASSADI >OIW08501 pep chromosome:LupAngTanjil_v1.0:LG07:2471687:2480518:1 gene:TanjilG_03177 transcript:OIW08501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSGNKLNISSSSGITSSDMAPLPHCLQLDPITLGSQKYTRSGELRRVLGVSSGTTSEDHSFAVSHPKPTAPVASGELKHFKESVQYASRKARFTEALTSKKRRRTDLSSERGGGVNLTKTSNQHQKTNIDILTQRSDSKTSNSMLNKRIRTSVADTREESRSAAIGRHQMATEKDGNLIQTLGVGSVRNEEKTCRLLAGGDGLDQKIKKKRSVGTVGNRVITGERDIKRATLPKANADPKMRFFDTQGFRLKSLPGSSGSNKSEGSSEPSSTGVRTMLACEQEGASLHRDHIAEQRVVAKGNNRANTQEDCPVSSPNTLIKNKVSRAPRTSSVSALDLSNIQPSSGTFPGSSMHPMSQWVGQRLPKNSRSRRLKVVSPVSRTLEIQVSSEGCLTSDSNVKPSGENNGFQMASSTENSTPKYKRTLDDISSPFGLSENEESGARENKIKEKGVNSNDFAMAPEKAGPSMLHTRKNKIPTDEFGDYVQKQGRTGRSLSLIKPGLPSGREKSENLPITKPVQDMRPNEKSKIKYGRPPSKKQKDRKVLTRVGKQLNISSSDFGGESDDDHEELYKAANAARNASSLFCSGQFWNKIEPIFASISLDDASYLKQQLKFSKEFDESLSHMFGIDHDMLGAGVSNKTTLGSEESKASRFDEESTKFDAFGGKSEMATTLFQRLFCTLIVEDESEESYYQSEVKNISRQSASDDSHCGSCNQIDFEPKDRDRIDSEVESNTFRYPNTSSSLQSTGVWQGDEELSISDITHTGEICSDDLDQLQPAELSIPCFPSTDGQYQMMSLDDRLLLELQSIGLYPETLPDLAEEDEVINQDIVKLEKALYEQNGRKTKKLDKIDRAIEKGRDVERRTIEQVAFDQLIEVCYRKRLACRGSKNSKGPIPKASKQVSLAFVNRTLGRCKRYEEAGISCFSEPALRNIMFSLPSHENDSQPMDCIVSGTASNTFTKASHQAEALKSGAVSSASEKYDCHKDYLDKGLLDTFQGSIHSSEQTASKNGPAFIKEEKREMLVNGSVNGSSSRASNLDGVVHGGVKGKRSDRERSQSKRERLSLDSSRSENKTKAKPKQKIAGSGHQYRSIAATESVGGASNSGSKEGTALSGSQNTSKVKESDDFRNLPLDDLSSIDEFGVGGELGGPQDLSSWFSFDEDELLDHDNSIGGLEIPMDDLSMIL >OIW08088 pep chromosome:LupAngTanjil_v1.0:LG07:6653888:6655503:-1 gene:TanjilG_21068 transcript:OIW08088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSNPFFDDIRSHSDVDPPQIEELTDVSELVNDPTQTVLKPNGTVSSSVRELLECPVCLNAMYPPIHQCSNGHTICSGCKPRVHNRCPTCRHELGNIRCLALEKVAASLELPCKYQGFGCIGIYPYYSKLKHESQCAYRPYTCPYAGSECSVMGDIPYLVSHLKDDHKVDMHNGSTFNHRYVKSNPQEVENATWMLTVFSCFGQYFCLHFEAFQLGTAPVYIAFLRFMGDDNEAKNYSYSLEVGGNGRKMVWQGVPRSIRDSHRKVRDSFDGLIIQRNMALFFSGGDRKELKLRVTGRIWTEP >OIW08401 pep chromosome:LupAngTanjil_v1.0:LG07:3320557:3322040:-1 gene:TanjilG_03077 transcript:OIW08401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSSFTSIIAYLVLLILLRFSHAGLLSQPVQPLEPGNYPSPNTVPAFPVQTQTQTCRLDLSNELFGGVNAACGKNLDRSRCCPVLAAWLFAAHARTALELSPSPSPAPSASSGVGELPMMPDDSQKCVNSLQDSLLSRNIRIPQPNASCDAILCFCGIRLHQISSLSCPAAFNVSGSFKNATPTAAVRNLEKNCRNSSYAGCSNCLGALQKLKGNKNETKGNESRVKKMFNRDCQLMGLTWLLAKNKTAYIPTVSAVLRAIMYSAHPHESKCSPDQENMPLAVDSLQFDTAHASSWPSNFCVTLLPLIMLIYAFV >OIW08499 pep chromosome:LupAngTanjil_v1.0:LG07:2489290:2491714:1 gene:TanjilG_03175 transcript:OIW08499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYHQGKNIHPSSRISIPSSERHMFLQTGNGSSDSGLVLSTDAKPRLKWTPDLHARFIEAVHQLGGPDKATPKTVTKLMGIPGLTLYHLKSHLQKYRLSKNMHGQSNNVTHKITTNPGAETRERSFEKNETSMNNLDLAPEANNDLHISEALQMQIEVQRRLNEQLEVQRHLQLRIESQGKYLQAVLEKAQETLGRQNLGIVGLEAAKVQLSELVSKVSSQTLNTAFSELKELQGFSPNQTQKDFYIDSCITSCEGSKKDHEFKWCNDQVKKNNFLSSLGNNVERSPSNLSMSIGIEGGKENGSSMYPERIVLIGNQLDDEYRQRNSNRTEPVKLVVADDKVSQDYRLSSYFAEAKLDLNKLEDNEPEINCQKLDLNGFSWS >OIW07978 pep chromosome:LupAngTanjil_v1.0:LG07:8136103:8136303:-1 gene:TanjilG_20079 transcript:OIW07978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLELFFTVAFSAAPLVLYVPPIRSLNLFVETMEDMVRETRTYTIRVYPRLREAWFRIMDCILCNTR >OIW07889 pep chromosome:LupAngTanjil_v1.0:LG07:7119045:7121734:-1 gene:TanjilG_19990 transcript:OIW07889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHKMIWKWKPSFMLPLTFNSQSKHVIRFHHHPFSPLKIHHPIINYTTAAAAANTSNNGDSVKAKEFLELTDEELVRQCEMDFLKSSGAGGQHRNKRESAVRLKHLPTGIIAQASEDRSQHMNRDSAVKRLRALIALKVEGSISEAAKYIGLSTGALSRLILSDDSLRKEVNDLRASKVRNMDSCILEAQNL >OIW07817 pep chromosome:LupAngTanjil_v1.0:LG07:10746635:10747150:1 gene:TanjilG_06156 transcript:OIW07817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPSLFFTLSLILTSYAIILPASGANLFDELCKKVNDNGRCLQILKADPKLVTAKNYGELSKYILQFALKKSIEGQNFLKGVMKTNPSSAAIKECATFDYDGVVGSFRSALGELKEDSMTASYDAKVSGDGPTTCDRALAAEKISNPAISALNKDIFLLSNLASFATDMLP >OIW07701 pep chromosome:LupAngTanjil_v1.0:LG07:13916104:13917555:1 gene:TanjilG_30778 transcript:OIW07701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGILSLKRTALVQQHSEKLGIGFRLFSTQGASTASTPQPPPPPPPPEKTHFGGLKDEDRIFTNLYGLHDPFLKGAVKRGDWHRTKDLVLKGTDWIVSEMKKSGLRGRGGAGFPSGLKWSFMPKVSDGRPSYLVVNADESEPGTCKDREIMRHDPHKLLEGCLIAGVGMRASAAYIYIRGEYVNERINLEKARQEAYAAGLLGKNACGSGYDFDVHIHFGAGAYICGEETALLESLEGKQGKPRLKPPFPANAGLYGCPTTVTNVETVAVSPTILRRGPEWFASFGRKNNAGTKLFCVSGHVNKPCTVEEEMSIPLKELIERHCGGVRGGWDNLLAIIPGGSSVPLLPKHICDDVLMDYDALKAVQSGLGTAAVIVMDKSTDIVDAIARLSYFYKHESCGQCTPCREGTGWLWLIMERMKVGNAKLEEIDMLQEVTKQIEGHTICALGDAAAWPVQGLIRHFRPELERRIREHAERELLEATA >OIW08827 pep chromosome:LupAngTanjil_v1.0:LG07:369875:384151:1 gene:TanjilG_16408 transcript:OIW08827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKPSISNFNLTSGQFNTGKFFSRRLFLLQDIQTLEILTAIIVFIVIHSVRQKKHHGLPIWPVLGMLPSLIIGVRTNTYEWLTDILIHQNGTFTFKGPWFSSLNGIITSDPRNLEHLLKTKFTNFPKGPYFRDTVRDLLGDGIFNADNETWQKQRNTASVEFHSTKFRLLTADSLYQLVQSRLLPVLEETVKQSTAIDLQDILLRLTFDNVCMIAFGVDPGCLSLELPAIPFAKAFEHATEATVLRFVTPTCIWKGMRFLNLGVERKLKESIKRVDEFAENVIRTRKIELSLHCDEYKKQRSDLLTVFMKMKDENGQAYSDKFLRDICVNFILAGRDTSSVALSWFFWLLHQNPEVEDKILEEICRVVRQRKNMKKEEFENSLTFKPNEIKNMDYLHAALSESLRLYPSVPVDHKEVVENDIFPDGTVLKKGTKVIYAIYSMGRMEAIWGKDCNEFKPDRWLRNNGHFMSESAYKFTAFNAGPRLCLGKDFAYYQMKYVAATIIFHYHVKGFILLRRRIVVMGPTRRRGGNKSKANGGLSLGDLVLAKVKGFPAWPAKISRPEEWDKPPDPKKYFVQFFGTKEIAFVAPVDIQAFTIEAKDKLSGRLQGKTKYFTQAVKEICAAFDEIEKQKGSGLTDDTDDSHIGSEALSVDEGVGDQKDATDGVVSNAEKDNINMGNIDTNLEHCAERIGESDSQDEILSVHGHPNESSSVLSPVIRRKLSLDADLKKNASKSSLKRPINANGFGQDDNGHSVLTNGSKPRKLGTSSKRNGSADDKNKNGGSSAGIIVKDSSSAGVVDLSRSGETLKGEKKWKNVLATKSGSPGTINSNSNGNTGKKDHNLPKVKTGLEVKKELQEILVDSEEADGKNYSKQKKAQFHVKHNPLANEPLHATKKLKRVDSKDDKTFGSLPKDVKSASFGPTVVKAKAFKNLELERSTSHFKTEKSKTSRGQIGVALSDNSVLEVLPGAKFHSQVHQAMPDSARVASDERTGKSSLRLKGDANNVNVKQEKRKRKAVCLVDDDDDDEPKTPVHGGAAKNIKSPFVSDVKSNNAHSEKSNVAQLAPTNSSELEDIHLKEPSSQSHDGTPSTRQPLKEKDRTVVPADVPHSPDKLDSKQFPSKVAKLSFASPAKSPQTIPVAKSNAERHKLSKPLLKVSSNATEKKVDHEPSKSVRSVTSSQSQVAIQNKKLASSTDISKITPKTSAQAVEVPSSTVGFKETDAFHVDRLEVSMEEKSSVYADSRTPESAKSMKHLIAVAQAKRKLAHSQGLPHDIHNVQAGTPSPRTVQPFRPVSSNFVQADVQGVYEHPSLASPYTNNNHSGSKSQVDAEDIEERRVGSVQRGLGGSLSGGTEAAVARDAFEGMIETLSRTKESIGRATRLAIDCTKYGIANEVVELLIRKLENETSFHRKVDLFFLVDSITQCSHSQKGIAGASYIPIVQAALPRLLGAAAPPGASARENRRQCLKVLRLWLERKIFPESVLRRYMDDIGVSNDEMTVSLSFRRPSRAERAVDDPLREMEGMLVDEYGSNATFQLPGFFCHAFEDEEDEDELPVNSCKDTYGASPADPTATLGESDTSTVTPSDKRHCILEDVDGELEMEDVSGHLKDERPVLFNSSVEIVSHREGSDRNLNPTSNISAEISPMLNGSPPSPLDSPPPLPPLPSSPPPPLPLSPSPPPLPPPLLQPPPPPLPPSGVPLSQEPSIFNSSRQLEYGQHDMYLKAQIPQPNHQFQHGNPQFAQRHMHQPVPLNPSNQYSYHNPTVQQHLPHSFHSSFSLPSLPDGLRQFAPDEQWRMSSSEFKTNNQHGVWVGRNPSSTGQPFGQGHFQPSVERPPVSSTGFQHTISNNLPAVPPVTGHGVSQMLPCRPDIPALNCWRPS >OIW07649 pep chromosome:LupAngTanjil_v1.0:LG07:15675445:15682895:-1 gene:TanjilG_17664 transcript:OIW07649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSAPVNWETLDTLVIDFAKSENLIEDSSHVQSSSSPSSSYQLRLLIRQIRRSLESGSIDAAVNLLRFHAPSILNDHRILFRLHKQKFIELLRKGTVEDRDSAIDCLRTALAPCALDAYPEAYEEFKHVLLAFIYDKDDTTSPVANEWSERRRFDLAGFMSSMLRAHLHAYDPIFSMALRYLISIHRAYCLRQGITSPISDLTERLLLEERDPPATPQDTLYEVPPFDEVDIQALAHAVELTRQGAIDSLRFAKGDLVMAFQNELCRMRLDVPLVDQLVHEYCVYRGIVDPTFEKQTIPEPVKFNQHDRWYCSSRDCSVELDCNAGKHCDGETSVANFHTDGSPENNADVTSMRGIDIEVRHTSEITSSHEDCSTSGSQQVGNPSVLQRSRLLGTGERSKRKRWRGRYDDNSYLADACLEENDKQEHSISTAVSKLSKVKQGSEKHFALDVSNVEDKFEILLGTKELASKGLAAEAVEEVNAIVPNFFAQNSVLLFQLKQVEFLKLVSSGDYNAALRVACSHLGPLAANDPALLKPLKETLLALLRPNEDALGTALPLNALAASLQVAVGRRLGVEEPQLMKIMRATLYTHNEWFKLQMCKDRFEGLLRIDSLKEVNTPFISPVSMSKSHGDSCTNGSSQATVSSVTRMSEDGSSPSQESSRDIICDEGAILKVMEFLALPRADAIHLLAQYNGNAETVIQQIFT >OIW08537 pep chromosome:LupAngTanjil_v1.0:LG07:2192581:2195408:1 gene:TanjilG_03213 transcript:OIW08537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTALTTMASHTLLSPFPNQLLKSSFSGLSFKLSPQSITLSATTAAASKPLTVVAAAKKAVAVLKGTSAVEGVVTLTQEDGGPTTVNVRISGLAPGLHGFHLHEYGDTTNGCISTGPHFNPSKLTHGAPEDEVRHAGDLGNIVANADGVAEATIVDNQIPLTGPNSVVGRALVVHELQDDLGKGGHELSLTTGNAGGRLACGVVGLTPV >OIW08394 pep chromosome:LupAngTanjil_v1.0:LG07:3364452:3369451:-1 gene:TanjilG_03070 transcript:OIW08394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLSIKFLGNKVSVSLSLSSLGNYSGGGVVAYSELQSETQRPTIDAIAPPKKKVVVLGTGWAGTSFLKGLDASLYDVQVVSPRNYFAFTPLLPSVTCGTVEARSIVEPVRNIIKKRKGEIKFWEAECVKIDAVNKKVFCRSNIEHLVGSGEFSLEYDFLVVAAGAQVNTFNTPGVKENCYFLKDVEDAQKIRLSVIDCFEKAVLPSLSEEERRSNLHFVVVGGGPTGVEFAAELHDYVLEDLIKLYPTVKDIVKITLIQSGDHILNTFDERISSFAEQKFGRDGIDVQTGSRVVKVSDKEITVNVKSTGEVCSVPHGLVVWSTGIATLPFVRDFMEEIGQAKNRVLAADEWLRVKGCESVYALGDCCTINQRKIMDDISDIFKAADKNNSGILTIEEFKGVMGDVILRYPQVELYLKKEHLLDLTNLLKDSQGNQRKEIDIEGFKQALSNVDSQVKVLPATAQVAAQQGEYLARCFNCMDKCEDHPEGPRRFRGPGHHQFRPFQYKHFGQFAPLGGEQAAAELPGDWVSMGHSTQWLWYSVYASKQVSWRTRVLVISDWTRRFIFGRDSSGV >OIW07553 pep chromosome:LupAngTanjil_v1.0:LG07:17581908:17584298:-1 gene:TanjilG_08440 transcript:OIW07553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLPRTTEVKPNSITLQQLMKEGGEGTPSSSSSSWNRPNNQTNSFHPLPQNKRSSKHDDSDEDMFTVPDVEGTESVHCEVTNRNNSKVNQSNESLQTGKKRRGRNPVDKEYKRLKRLLRNRVSAQQARERKKVYVNDLESKAQELEDKNSKLEERISTLINENTMLRKVLMNTRPKVDESNEA >OIW07638 pep chromosome:LupAngTanjil_v1.0:LG07:16270825:16271337:1 gene:TanjilG_03746 transcript:OIW07638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNWGKWVSEIREPKKKTRIWLGTYPTAVMAARAHDVAALAIKGNSAFLNFPNLAQEFPRPETTSPKDIQAAAAKAAFTIFEEDTMHCEDDNDAENKLNQLEEHVTSSSTLFSDNSLESSSSPSTIDDDTLFDLPDLFPDGNNGLFSYCSTSWHLCTLENGLEEQLWENY >OIW08618 pep chromosome:LupAngTanjil_v1.0:LG07:1543630:1544785:-1 gene:TanjilG_03294 transcript:OIW08618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESDNNGHSHNENEEVGGSSGSSRWNPTKEQISMLENLYKQGIRTPSAEEIQNITARLRVYGHIEGKNVFYWFQNHKARQRQKQKQETIAYFDRFLHRPQAIFTTPISQNVLCAPYCMPQAQDEIGYYPQHSKVLVPVSIRSPSEKILPMGMSSTCNIPMVYESMQPRISGYNFSYSNNQETLDLFPLHPTGILEEKTTNGHVSSLALVSPDSSTDTPFGSSDHVNENGDCSGNRPFFDFLTSGHGSQVSD >OIW08014 pep chromosome:LupAngTanjil_v1.0:LG07:8977477:8977704:1 gene:TanjilG_20115 transcript:OIW08014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKLKMKRLRKFLNNLTSHNSYFRKDSLFNKFVPQILSSPDTSIPIRSLLFCQQKVNIGIIVRLFAYYLEIKFKS >OIW08020 pep chromosome:LupAngTanjil_v1.0:LG07:9076572:9079309:-1 gene:TanjilG_20121 transcript:OIW08020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGVPAFPFTFVVHFVGIVAIVLVLFWNIHFRGGLAWESSNKNLIFNIHPVLMIIGLIIFGGEAIISYKSLPLRKEVKKLIHLVLHAIALILGIIGIVAAFKNHNESGIANLYSLHSWLGIGVIVLYGIQWLYGLVIFFYPGGTSIIRRQSLPWHVLLGLIVYVLAIGTASLGFLEKLTFLEFGGLAKYGSEAFLVNFTAIATILFGAFVVITAISQAPPAEDDYAPI >OIW08665 pep chromosome:LupAngTanjil_v1.0:LG07:1220110:1224558:-1 gene:TanjilG_03341 transcript:OIW08665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMPQSYLSNSSPSVSCVTHTFQKPCLHGTSYYPSFQVGSRPLFQNKRSERIRARNGYNNSSNKIKAVAVSEQAEKKTVKVKATITVHLTVGGFFSDLSLERGADDITDLVGKSLLLELVSTTLNPKTKTEKDTIKGFAQKTCQIVDKVKYEAEFEVPHSFGEIGAIYVENEHHKEMFVTEVVFEGFPTGPFHISCNSWVHSKIDSPAKRVFFSNKSYLPSETPEGLKRIRGEKLVELRGNGEGERKKFESIYDYDVYNDIGDPDTNIELKRPILGGKQNPYPRRCRTGRPSCKTDPLSEERVVGVYVPRDECFSGIKQLNFKGKSIYSVLHALIPSLEAAIVDKDLGFPVFSAIDDLFNEGIKLPLLQGEGFLSNILPRLIKFIKDVEQNILRFETPATMDRDRFFWFRDEEFARQTLAGVNPFSIQLVTEWPLKSKLDPNIYGRVESAITTETIEEEIRGFMTVEEAIKQKKLFILDYHDLLLPVVEKVRELEGTTLYGSRTLFFLNNDSTLRPLAIELTRPPINGEPQWKEVFTPAWHSTGVWLWRLAKAHVLAHDSGYHQLVSHWLRTHCAAEPYIIATNRQLSAMHPIYRLLHPHFRYTMEINAFAREVLINANGSIENSFSPGKYSMLLSSIVYDKQWQFDLQALPADLINRGLAVEDPNAPHGLKLTIEDYPYANDGLILWDALKGWVTDYVNHYYAEPRQVESDKELQAWWEEIRTVGHGDKKDEPWWPVLKNKEDLVGIVTTIVWITSGHHAAVNFGQYHYAGYFPNRPTITRCNMPTEDHSDPEWELFLKKPEATLLNTFPSQIQATTVMTVMDILSTHSTDEEYLGETLEPAWAEVPIVKSAFEKFKGKLMELEGIVDERNADRTRKNRNGAGIVPYELMKPKSEPGVTGKGVPYSISI >OIW07661 pep chromosome:LupAngTanjil_v1.0:LG07:14563337:14563774:1 gene:TanjilG_07703 transcript:OIW07661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQDADDGTTHADIEGRPSRLGLGAKVSRQSKVGPSDDPVDRKLYAKLGAEKRKRAKIAEESTTVARDDLDDSEDDEREESRTSAFSKKKAVPLRLPILGNKKQK >OIW07429 pep chromosome:LupAngTanjil_v1.0:LG07:19675220:19676963:1 gene:TanjilG_19270 transcript:OIW07429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDSSIRFLLMLGLIGIVSGELSSTFYLTKCPTALLTIKAAVISAVNKEPRMGASLLRLHFHDCFVQASEKTAFPNVNSLRGFDVIDKIKSLVEFLCPGVVSCADIVAVAARDSVVALGGPSWSVQLGRRDSTTASLNIANSDLPGPTLDLNSLITSFSNKGFTSQELVALSGAHTIGKARCKLFRTRIFNESNIDSSFAKSLQGNCPSTGGDDNLSPLDVTTPNTFDNAYFKNLQSNKGLFHSDQELFNGGSTDSQVNTYSTNFATFRTDFANAMIKMGNLNPLTGSNGQIRTDCKKIN >OIW08715 pep chromosome:LupAngTanjil_v1.0:LG07:918804:919637:-1 gene:TanjilG_03391 transcript:OIW08715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGDVEYRAFVGGLAWATDSESLEKAFAPYGEILDAKIINDRETGRSRGFGFVTFATEQSLKDAIEGLNGQDLDGRNITVNEAQSRGSGGGGGGGRGGGGYGGGGYGGGRREGGGGGYGGGGGGYGGGRREGGGGGYNRNGGGGGYGGGGGGGYGGGRDSGYGGERYSRGGGESDGGWRN >OIW07617 pep chromosome:LupAngTanjil_v1.0:LG07:16993958:17000994:1 gene:TanjilG_16598 transcript:OIW07617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLRHRIVTLTHRLSSSAATTTTTTTAPFSSSTSKIPLLYPSTNNTTTTNPTDQPDTVTLQLFSWGRGASGQLGGGIEEIRMYPSPVANLIVPKSSFSLSETPGKLGMSTMMKKKNEKKIVEIGISCGLFHSSVIVDGLLWIWGKGDGGRLGFGHEDSLFVPTFNPHFEDVRSVALGGLHSVVLTSAGEVFTWGYGGFGALGHSVYHRELFPRLVKGSWEGIIKHIATSGAHTAAVTESGELYIWGRDEGDGRLGLGPGRGPDHAGGLSIPCKVKELPLPVAAVSCGGFFTMALTKDGQLWNWGANSNYELGRGDKIGGWKPKPIPSLENVRIIQVASGGYHSLALTDDGKVLSWGHGGQGQLGHGSINNQKIPKVVEALAHEHIVYISCGGSSSTALTDTGKLYVWGNATDSQLGVPGLPAAQSSPVEVNFLMEDDGLGPHKVLAVANGASHGMCLTLRESC >OIW08637 pep chromosome:LupAngTanjil_v1.0:LG07:1425008:1427149:1 gene:TanjilG_03313 transcript:OIW08637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKREISSTLKNLKFMQRASLREEKAKKEEDEAIVKPDANFPTTSTISRKCVVIVEGDPCPGAVKGRMSFQSFNPSIDKLHEEEAKASQPAPKTTVSKNQSENVSFRENNSGVEGPESASIGKRRHEVNGNVKRKQVEVVGEEQYPNKSPKDDQGNRPSSQKNSLGSFKKPNGDKLDWNILRPSKCQTD >OIW07412 pep chromosome:LupAngTanjil_v1.0:LG07:19323226:19323643:-1 gene:TanjilG_19253 transcript:OIW07412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIQCAAVSSGSESGDSTTIESRIRNEIAVEETEASGGLNGGSEKIARHEQEGVTREGGSIFEEAQSGDGRRFSDRRSE >OIW08050 pep chromosome:LupAngTanjil_v1.0:LG07:9496962:9499505:1 gene:TanjilG_20151 transcript:OIW08050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLGITSLAVLSVYYRFSWQMEGGVVPWSEMFGTFALAVGAAVGMEYWARWAHRALWHDSLWHMHESHHRPREGPFEMNDVFAIINAVPAIALLSYGFFNKGLVPGLCFGAGLGITVFGMAYMFVHDGLVHRRFSVGPIANVPYFRRVAAAHKIHHTDKFNGVPYGLFLGPEELEEVGGLEELEKELIRSTRSYNRS >OIW08267 pep chromosome:LupAngTanjil_v1.0:LG07:4487801:4490101:1 gene:TanjilG_21733 transcript:OIW08267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRCVNLEAVSTKKATLQNYDENLMDAQFGDDLKRKSRTQEDIEKENENEAMIAFSLGFPIDALGEEEIKADVIKELGGKEQNDYIIVRNHILSLWRENVNIWLTKAYIRESVNSDCEHLINSAYDFLFYNGYINFGVAPSFNSHIPETSFEGGVIVIGAGLAGLAAARQLMSFGYKVAVLEGNDRPGGRVYTKKVGSEGNVASVDLGGSIINGIDANPLAVLARQLSIPMHRIRDICPLYKPNGELVDKEIDSHVESVFNNLLDRVIELRQIMGSGFSRETSLGSVLEKLKLLYGAVGSIDEKQLLEWHFADLEYAKAGCLSNLSVAYWDHNDPYEMDGDHCLLAGGNWRLINALSQGIPIFYGKTVKTIKYGSDGVYVIVAGGQVFRAEIALCTVPLGVLKKNTIKFEPELPTRKLEAIERLGFGLLNKVAMVFPHVFWDQYLDTFGCLNRQSHQRGEFFKFYTYHTVSGGPTLIALVAGEAAQTIESTHPHILLHRVLSVLRGIFQPKGIIVPDPIHSVCTKWGVNPCSFGSYSHVKVGSTGGDYDILAENVGNKLFFAGEATSRQYPATMHGAFLSGLREALHIYKLTHVPHNHPRKNAPKDIGPISDILTDLFKKPDLEFGRFAFIFDHSFESHQSMGILRFWYPIPEQLPLPLYQIISREHVHQLQQITGDESVLQYMSNSLGLSLMGTSAVCDAGNSLITSIASTRKNKGKNRSTTSNSMT >OIW07712 pep chromosome:LupAngTanjil_v1.0:LG07:13279325:13281860:1 gene:TanjilG_19655 transcript:OIW07712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSTKSHHISIPLIFYLITILHHQVHHSHAQTETQNNNTGYTCSNTNQTNYPCQAYAFYRATSPDFLDLASIGDLFSVSRLMISNPSNISSPSSSLVPDQPLFIPLTCGCNTINNTFGAISYANISYNIKLNDTFFLVSTINYQNLTTFPSVEVVNPSLVPTNLTIGESVIFPIFCKCPEKNNMTQVNNYMISYVVQPSDNISSIASRFGSQEQSIRDVNGNDFQVYDPIFVPVTQLPILSQPSVVPSPPPTTTPTSENSNDDRTGTVRGLAIGLGVTGFLLILVTGLWVYREVLLKDRVWLVRDEEEQKKQNMYMGGKEGKGNKQRDLNLMANVSDCLDKYRVFGIDELLEATDGFDESCLIQGSVYKGEIDGEVYAIKKMKWNAYEELKILQKVNHGNMVKLEGFCIDEEETNCYLVYEYVENGSLYSWLHEDHKENLNWKTRLRIAIDIANGLQYIHEHTRPRVVHKDIKSSNILLDSNMRAKIANFGLAKSGMNAITMHIVGTQGYIAPEYLADGVVSTKMDVFSFGVVLLELVSGKEAIDEKGNLLWVSALKTFEVDDEQEKGRRLKEWLDKEILRETCSMESLMGVLDIGIACLNKEPSKRPSIMDIVYALCKSEYTGFDISYDGIDSPGVIAR >OIW07628 pep chromosome:LupAngTanjil_v1.0:LG07:16797500:16799107:-1 gene:TanjilG_16609 transcript:OIW07628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPSFLLRFFRKPKPHSFPSTLVSQFSSLPNDAVSTNLNHHHILHQISHLFPIIPKPGSHKPKPLVDGFLPPEDKLRGVFLQKLKGKSAIEHALSNVDVQINVDILGKVVDSGNMSGESMVTFFNWALTQPLIPKNIETYCVIVKALGRRKFFKFMIQVLHNMRVEKVEGDLFILSIVIDSYVRAGHVSKAIHVFMNLDDYFLGMSRDTEALNVLLLCLCRRNHAGAANSVLRSMKGKVVFDVATYNSVAGGWCRLGRVSEVERIMKEMVDDGFSPNCVTFSFLIEGLGREGRMDEAVEVFCGMKERNCAPDTACYNSMIFNFVSVGDFGECMKYYNEMLSGKCEPNVETYTRIINAFLKVRKVADALQMFDEMLMRGVVPSTGTITSFIERLCSYGPPFAALMIYKKASKLGCTISMDAYKIMLMRLSKFGKCGTMLSMWQEMQECGYISDVEVYEFIISGLCNLGQLENAVLVMEESLCKGFCPSRLVYSKLSNKLLASNKEETAYRLFLKIRHARTLANARKYWRSNGWHF >OIW06428 pep chromosome:LupAngTanjil_v1.0:LG08:11529277:11530779:1 gene:TanjilG_05199 transcript:OIW06428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKEKVHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIVKEVSSYLKKVGYNPDKIPFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQINEPKRPTDKPLRLPLQDVYKIGGIGTVPVGRVETGVVKPGMLVTFGPTGLTTEVKSVEMHHEALQEALPGDNVGFNVKNVAVKDLKRGFVASNSKDDPAKEAANFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFGELLTKIDRRSGKELEKEPKFLKNGDAGMVKMIPTKPMVVETFSEYPPLGRFAVRDMRQTVAVGVIKSVEKKDPSGAKVTKAAAKKK >OIW05822 pep chromosome:LupAngTanjil_v1.0:LG08:23465022:23468551:1 gene:TanjilG_23608 transcript:OIW05822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRSGYGRDGIYRSLRPSLILPKDPNLSLVSFLFSSFSSFSNKTALVDADSSESLTYAQLKSKVIKIAHGFHHHLSINKNDVVLLFAPNSINFPICFLAAASIGAVVSTVNPIYTVAEISKQVKDSNPKLIITVPELWDKVKGFNLPILSLGTKTKNMVSSVKITTLNELINMVGSPTELPVKDVKQSDTASLLYSSGTTGVSKGVVLTHGNYIAASLMIGMDEDLVGEEDNVYLCVLPMFHVFGLAVITYSVLTRGNKLVSLGRFDFEVFLKTIEKYKVTNLWVVPPIVLALAKQSVVKNYDLSSLKRIGSGAAPLGKDLMEGVSKRFPHATIAQGYGMTETTGIVSVENPRVGIRHSGSTGMLVSGVEAQIVSVDTQKPLPPREMGEIWVRGANMMQGYYNNPQATQSTIDKNGWVHTGDLGYFDEDGHLFVVDRIKELIKYKGLQVAPAELEGLLISHPEILDAVVVPYPDDEAGEVPIAYVVRSPNSSLTGDQIKKFIADQVAPFKRLRRVTFINSIPKTTSGKILRRELTDKARSKI >OIW06881 pep chromosome:LupAngTanjil_v1.0:LG08:7495739:7496730:1 gene:TanjilG_19530 transcript:OIW06881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHSNVWNSHPKNYGPGSRTCRVCGNPHGLIRKYGLNCCRQCFRSNAKEIGFIKYR >OIW05877 pep chromosome:LupAngTanjil_v1.0:LG08:22915629:22916327:-1 gene:TanjilG_23663 transcript:OIW05877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLNAPNRFPPRKPTPTDRFLGVSSSSPPTATTTTTTEELHEDDVVFFTNDYYNTQPNHNSSVNRHHRSFPFTPPDSFGILAALPENETSPNLRNGSQLFHNHKASISSSSPTSSSSSSSSRLIPRPLLQDRNSSSSSSFSLKLHHQSAPVNVPILSEEMMKARRRHREFDEHDGDEEKEEEMLPPHEIVARNSATAPMLACSVLEGAGRTLKGRDLRRVRNAVWRQIGFLD >OIW05682 pep chromosome:LupAngTanjil_v1.0:LG08:24522160:24523035:-1 gene:TanjilG_23468 transcript:OIW05682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATLHQDRPKSLPSTPHPLILQCNQHLGNLEASVATSTSSSSSLFSRKLTGLLALHDCVEKLVLLPLTQQVIVKEGQEKWVDELLDGSLRLLDTCAVAKDALLHTKECARELQSIIRRKRGGEIEVTAEIKKFLASRKVVKKAILKALGNLKGTSKRGKFSPSNKDHQTVTLASMLKDVEVVTLSILESMLNFISGPAQSRSSNWSLVSKLMLNKNISCRQEQDPNEFTKVDAALQSFVFHVAGKSDNMNHLQNQLENLESVIQDFVEGLETLFKRFIKVRVSLLNILNH >OIW06572 pep chromosome:LupAngTanjil_v1.0:LG08:9669810:9678286:-1 gene:TanjilG_03966 transcript:OIW06572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCHYCAASLTESNVANKKLGNDSSLKLNGEVLKNYCKFCGEKLERENVKRDSTSPHFTPNIRPTASLTSTDSCVSTCSDLSVDVSSPDRISQEESTVEGVMEDLNYKLNGESQKVVANNAQESNNDNEGYTMRDVEILQGNNCLEAKADGSENTTASYAEETEYSLPDDLDTQTWEPPEPENPQDDMENSVTCNDDDDDEGIGIAYLGEPTFMSSSKDEVRFKEEKQRAMEEVMNGKFKGLVGQLLKSMGVSSCDEGDKSWVDIVMSLSWEAASFLKPGTIGGNAMNPDGYVKVKCIATGSCSQSELVKGLVFKKHASHKHMLTEYKNPRLLLISGDVGHSISGLSSFDFMDQEKECLKSKMGLIEMCHPNVILVEKTVSRDIQESILAKKMTLVLDMKLHRLQRISLCTGSPILSCDNLNGQKLRHCNFIYFKKFMEEHDGAGDGGKRPIKTLMFIEGCPTRLGCTILLKGTHSDELKKIKCVTRCAVVMAYNLILETSFLFDQKAMFSTIPAVKLADILPANQESFGRACVDSIIPSLEYSTENGLVSTDIPMCNGIHDKSTNDLNLESKEFSPLSFEPYNPAVFSGFSAISSSMKKVMGDSFPFASSAPYQSLSAYLGFNGRKPNDEVNKSISILDSLEVDENTKIEAKDDSNGVKILNGGQSLPTSVHFASNARKIKDGDIDRKEIQSKDDIDAVLDSQSILVLMSSRNALKGTVCQQSHFSHIMFYKNFDIPLGKFLQDNLLNQTRLCDTCQEFPEAHFYDYAHHNKQLTIQVKRLPQEKCLPGQAEGKLWMWSRCVKCKSNSTKRVLISTTARSLSFGKFLELSLSRYSSTRNFSCGHSLDRDFLYYFGLGHMVAMFRYSPVTTYTVSMPPHKLEFSGTIEQEWLSKETEKVYMKGISLFTEVANCLKTIRLDRSTLNLGGSIREFSEVEKMLKQEREEFEVNIKNAVFKKGDPDQAAFKLLSLNRLMWDLLIESCVWDRRLYSLHSHDRLRSESNVSEKVMQEHGYSKVEGTAGREIASTGNVMENSNINGGANVEILSDTSLEVNELPIKEIPISVSLLEGSEQDVPQNVKIPVVDDLRSRRSSDKKLNLSIDVFTQSPSEGGNLLEKDFVTSNHLQVESTDIQSSLLVNNSASLHSPVSILQDSNEWFWKPFGEIRQIGVRDFQKILLSKFESLSSSIIEYLPTANQLIIEEGTRLHIPLRTDNHIVSDYEGEPSSIIACALAFLKDSYAVRKLDEDDSRENGGTSTSIESLHDFIHGATLTSPNTFSSATDSDSVHSTGSTSSEESLSSRSLENHSGVEIAMGYAKSLGKEKYTVTCHYVNQFRELRNLCCLSELDYIACLSRCRNWDAKGGKSKSFFAKTFDDRFIIKEIKKTELDSFLGFSSRYFKHMRESFESGSQTCLSKVLGIYQVTNRHIKSGKEVKHDLMVMENLSYNRNITRQYDLKGALFARYTSAADGAGDVLLDQNFVNDMNSSPLYVSHKAKRLLQRAVWNDTTFLNSVNVMDYSLLVGVDSQKRQLVCGIIDFLRQNPPILANFVAPGKMILPNKVPSTRMPNDFS >OIW06371 pep chromosome:LupAngTanjil_v1.0:LG08:14841883:14848219:1 gene:TanjilG_15016 transcript:OIW06371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNMDDVDRLFACFKCGLSPPFIKTILMGKEGSSRLHIQNSGKGFLHIFFCHVIHFLLLMSNDRDGSLTLYVHIADDCTPRYKNMDSKFRHHYEVIREGLPCHLYFDLEFNKKVNIGKDVDKMVDLLLSVVLEALHEKYAIQGDHDWVVELDSSTEEKFSRHLIIRIPKAAFKDNSHAGAFVSEICSRVLNARGKDGRFEELFVMKDANCDVSDRQLFVDTAVYTRNRCFRLFLSSKAGKSSVLLPKERFKCMNMGEEDVFMASLICNTDVDCEKVLVCKPNLECVKTLHFDTEENSNFGNFCQIPPEFTSNTCTSDVSATYFMGKSPFPFLDEFILSVASIGNVAGKIHSWYLLSEFGLMVYSMTKNRYCERIGRQHKSNNGYRSPLRPIPVHVFSNPSVLFDSSGMLDDKQLVDGDNNQTFLHCEDNVGDNSNDSWRIEAIKVVEDIESKQTKTEPSTTVSAS >OIW06458 pep chromosome:LupAngTanjil_v1.0:LG08:11862631:11865659:1 gene:TanjilG_05229 transcript:OIW06458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEKQAALAATPPSNADSPTIFDKIINKEIPSTVVYEDDKVFAFRDITPQAPTHILIIPKVKDGLTGLSKAEERHCEILGHLLYTAKLVAKQEGLDDGFRIVINDGPKGCQSVYHIHVHLIGGRQMNWPPG >OIW06124 pep chromosome:LupAngTanjil_v1.0:LG08:21139608:21140786:-1 gene:TanjilG_29880 transcript:OIW06124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVVGTNTAHAAAVSELPLRHKISQLLADLVKFAVGSAIDGSRKILPGKKHADKMVPEGLINIPLPTPLKTMKKPDLNVAKGIHFETKVEEVEEDMNNIKQMFITSTKRVQESYPPKESNDGFKEINLMKNNGRRVFIRSRL >OIW06045 pep chromosome:LupAngTanjil_v1.0:LG08:22149529:22150470:-1 gene:TanjilG_11732 transcript:OIW06045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDEDEDEELEDEDEDEELEDEDEDEELEDEDEDEELEDEDEDEELEDEDEDEELEDEDEDEELEDEDEDEELEDEDEDEEMRMRIG >OIW05862 pep chromosome:LupAngTanjil_v1.0:LG08:23038558:23042751:1 gene:TanjilG_23648 transcript:OIW05862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSNFKEQAIEYVKQAVQEDNAGNYAKAFPLYMNALEYFKTHLKYEKNPKIKEAITQKFTEYLRRAEEIRAVLDDGGPGPASNGDAAVATRPKTKPKDGEGGEGEDPEQAKLRAGLNSAIIREKPNVNWNDVAGLESAKQSLQEAVILPVKFPQFFTGKRRPWRAFLLYGPPGTGKSYLAKAVATEADSTFFSISSSDLVSKWMGESEKLVSNLFQMARDSAPSIIFIDEIDSLCGQRGEGNESEASRRIKTELLVQMQGVGNNDQKVLVLAATNTPYALDQVHLGDTPHNLTEGDFEHLARKTEGFSGSDVAVCVKDVLFEPVRKTQDAMFFFKNHEGMWIPCGPKQQSAVQITMQDLAAKGLASKILPPPISRTDFDKVLARQRPTVSKSDLDVHERFTKEFGEEG >OIW06054 pep chromosome:LupAngTanjil_v1.0:LG08:20215881:20217192:-1 gene:TanjilG_29810 transcript:OIW06054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAGISPQILMKSAYRSVMFYIGQKELEMQFKMNRIIAQCRQKCEVMQEKFTEKLEQVHTAYQKMAKRCQMMQQEIETLTKDNQELQEKFAEKSRQKRKLDEMYDQLRSEFESVKRSSIQPASNFYSRSEPDLFSNAPNIMDDRETGRKGRPVFTPETPGPREDVWPARQNSNNSGHFDISVGSPAKQAVIVGDAGNRRGGAHPVFGPGPTSNPSMTLRNLILSPIKRPLLSRNRTQLFT >OIW05891 pep chromosome:LupAngTanjil_v1.0:LG08:22788654:22790274:-1 gene:TanjilG_23677 transcript:OIW05891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETEIPQYFVCPISLQIMKDPVTAVTGITYDRESIEQWLLKSKYCMCPVSKQPLPRSSEFLIPNNTLFRLINAWCSANEAKAIDQIQKLKTPLDKVYVQKLVRDLEVPHLYQTTLEEMHALAIESEMKRTCMVEEGVTQAMIMVINKSFKEGKTTCLEEAIGILRLLWNVPTMINNMKPLVGENMDFVNSLTWTLKLQVHNNVKMVNEAMLLLKSTMEVADSTGSLNIEFFREMVSVLRNNKSLSQQAIKSALHVLIQTCPLGINRTRIVEAGAVKELIELELEKPEKSITELIFNLLAHLCSRPDGRKQFLLHAASIAMISKRILSVSPATDDRAIHIFALIAKYPGSNEVILEMLRVGAVSKLCMVMQAACASYLKEKARDILRLHSKVWNNSPCILCIC >OIW05600 pep chromosome:LupAngTanjil_v1.0:LG08:25081731:25089312:1 gene:TanjilG_23386 transcript:OIW05600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQPSSNPNATAPFTFNTTTTTTTTTATTTSRNLSRPRLVKLRKPNNAPSFNFNPFNGNSSFVLPDSLFSIPDSIHDQFNSLNIVNAASKLPEDMMSKLKIQTKGNTESENLHNELKQKLKIGSGIDNSVISQMKNLNVNESLGNTHTHTHTISNNDINFGKVTDPVLLRKMDNLNLVNSVESNLFNPSRSGGSQKLKEDIGMHQSAPSSLSSSSSLLFQNVGSGVSSIKRDGFVFTGKQDNPGGSSFVEFKTPAPAKSTLFGGVDDKLIFTTSRKEQNNNTRMNKGRAKMKHHTTSASPWHAQGFVLKESVPQEDPQGTSEACSPMDVSPYQEKLAENRNSRENSVTSNDSLSIDNNSIANDSVGTTSVDPIDEDLVLATKCLNINAGDVTCGETKEETSECNRDENICAEDSKYESFSGVETESFHSANDDVDITSNVADISAESEARDSDRILHLGSGLSSSNASGSPFTFAAFSSAEAQSSSPKRHQKKKNLVNVSYDTFNYNPNIKVPYSSSTVAFSPFSGTSSIFTSGQSLKARVSSPQPRTRDSGVNKEQGIKEASGSISAANIAIQEACEKWRLRGNQAYKNGDLSMAENYYKQGISCVSKEEASQSCLRSVVLCYSNLAATHMSLGRMRDALEDCMMAAEIDPHFLRVQLRAANCYLALGEVEGASQYFKRCLQSGIDACVDRKIAVEASDGLQKAQVRPPLHELIRVCDGWQKVLDFINHSAEILRRRTSSDAERALEHISEALMISSYSEKLLEMKAEVLLMLRRYEELTQMCSETLGSAEKNSYPVSAGSQVTDPDNSQFSKSFHFRLWRCSMMLKAYFYLGKLEEGLSFVEQQEEKVSLINKNGSKVLESLIPLAGTVRELLSHKTAGNEAFQAGRHAEAVEHYTSALSCNVESRPFAAVCYCNRAAAYKALGQITDAIADCCLAIALDGNYLKALSRRATLYEMIRYYDQAASDLRRLVSLLSKEEEDNGNQLGMSDRLINYTNDLKQNRVRLSEIEEEARKEIPLDMYLILGVEPYVSTSEIKKAYRKAALRHHPDKAGPSLTRSDNGDDHIWKIIAEEVHKDADRLFKIIGEAYAVLSDPAKRAQYDAEEEMRNSQKRRHGPMARNNVDTQSGPFEQSSRRQWREVWRSYGNTSSRGSEPGRSSWK >OIW06646 pep chromosome:LupAngTanjil_v1.0:LG08:10159302:10159719:1 gene:TanjilG_04040 transcript:OIW06646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNKNVALLVVCLVLVAAVKGEMSTEEKAARCNDYCFQACMFPSRFCSWMCHSRCDNPVLWDESENAASLMSVGTRDVGSRSGKLRAGLRAMSVAPAQAPIPRKIIYQLH >OIW06251 pep chromosome:LupAngTanjil_v1.0:LG08:17850856:17852040:1 gene:TanjilG_23308 transcript:OIW06251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDDSPIYLLPHDTLHHIFSTLPLRQIIICRSLSKFFNHLLSSPSFLHLHSTTHPTLNLLALRLPHHRNHNHNPHPSSLHLFDPSLHHWLTFSLQFLPFHSPLPVASSLGILYLWAESPNSTESPKSTESTHSNTITNTNSKSLVACNPLTKQFRVLPHLGSAWSRHGSVLVDSVNSLNRVMVLTELAALYFSDGVNRWLKFSSNLPSKPRSPVLVGDSTFALCNVGSPWRSQWKLFHCDLAGASESAPAQRWSRVERHEWGDVFDILKRPRLVRGTGNRILMVGGLKSSFSLNSPCSTILILRLDLDLMEWDEAGRMPPEFFQCFQESSKFKVFGGSDRVCFSAKRIGKLALWDRCDVKRGGEEWRWIDNLPGNGEGMYRGFVFEGRLNALP >OIW07356 pep chromosome:LupAngTanjil_v1.0:LG08:838685:842960:-1 gene:TanjilG_10191 transcript:OIW07356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSETVNAGGDEVPKNVTIYINNLNEKIKIEKLKKSLVAVFSQFGKILEVLAFKTLKHKGQAWVVFENVSSASDAIRQLQGFPFYDKPMRIQYAKTKSDVIAKADGTFVPRERRKRHDDKGKKRKDQHDANLAGMGINPAYAGAYGATPALSQIPYPGGAKSMVPEAPAPPNNILFIQNLPNETTPMMLQMLFLQYPGFKEVRMVETKPGIAFVEYGDEMQSTVAMQALQSFKITPQNPMLITYAKK >OIW06378 pep chromosome:LupAngTanjil_v1.0:LG08:14984360:14985671:1 gene:TanjilG_15023 transcript:OIW06378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHEDWDLFAIVRSCKAATFTTPTTTTQTPLITTTNITRTPSQKEATTFIANQENGPLFSFPTNIVQPRTTGFQELHPLFMNFNPTSTINTTSTSGNSINPNFIFSDFSGSIEQQQHHHTTVLSPTTTYTSIRVPTSGFEIFQQQQQHLEEPQQHQQQQEQKQHNQLHVQVPQTTSMVLPTTQTQTPKSRKRKIQQKKTVSHVTADNLSSDLWAWRKYGQKPIKGSPYPRNYYRCSSCKGCAARKQVERSTTEPNMFILTYTGEHKHPKPAHRNSLAGSTRNKTTTTRLHQTQENGSPSSIDAVSPTQAITTSLSMNMDGEKLEHELGSGSDDEDVLIPNSMGISETVFLSPNTVPGLVLDCSETSSNNTRLNPG >OIW06798 pep chromosome:LupAngTanjil_v1.0:LG08:8574396:8577540:1 gene:TanjilG_11523 transcript:OIW06798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSQLMNFFRACFRPNSVIYTRTGSDARGRQDGLLWYKDSGQHFHGEFSMAVVQANNLLEDQSQVESGSLSSNEFGPHGTFVGVYDGHGGPETSRFINDHLFHHLKRFTSEQQSMSTDVIRKAFQATEDGFMSLVARQWSVKPQIAAVGSCCLVSVICNGTLYVANAGDSRAVLGSIVKATGEVLATQLSTEHNASIESVRQELHSLHPDDPNVVVLKHNVWRVKGIIQISRSIGDVYLKKAEFNREPLYAKFRVREPFKRPILSSEPSITVHQLQPHDQFIILASDGLWEHLSNQEAVDIVGNNSRNGSARRLVKFALQEAAKKREMRYSDLKKIDRGVRRHFHDDITVIVVYLDSNLMSRASTVKFPSISVRGGGIHLPSNTLAPYTTPTEIGGT >OIW06026 pep chromosome:LupAngTanjil_v1.0:LG08:22004726:22007763:1 gene:TanjilG_11713 transcript:OIW06026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHELFSSFDDELFIFSLSNDYLLKDALKFLMQVDKDDIYWRCRKKNEELEWLRNSTLLISQLAKCFTNAMVGSGSWIGGLFSRTNTRRLSISEKFVDYPLSPVEEKSLQRLQERLQVPYDETHPNHQEALIALWHCSFPNVSLSGLISDQWKDMGWQGPNPSTDFRGCGFISLENLLFFARTYPATFHRLMLKKDGRRATYEYPFAVAGINISFMLIQMLDLCSGKPRCIPGMNFVKLLGGKCDAFLNMSLSKNEEAFNILYCIAFKMMDAQWLAMNASYMNFNEVLHATRMKLERELSFGDINTIEYLPAYNLLYK >OIW05715 pep chromosome:LupAngTanjil_v1.0:LG08:24328416:24328799:1 gene:TanjilG_23501 transcript:OIW05715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPDFNTFQSWPIFTDLNEYWPNLNGKNINLWQDEWKKHGSCGTLDPLGYFTLALELYKNKLNVPNLLPNLRQHCKKLSFITLISFFWSFSIYYLFKSFVIVIISAVVPNSTTTYTSAKIQEAIKIP >OIW06755 pep chromosome:LupAngTanjil_v1.0:LG08:8964538:8966285:1 gene:TanjilG_11480 transcript:OIW06755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASQSVSEKSIHEFLVKDARGKEVSLNTFRGKVVLVVNVASKCGFADANYTQLTQLYSTYKDKGLEILAFPCNQFLKKEPGTSQEAQDFACTRYKAEYPIFEKIRVNGPETAPIYTFLKAQKSGILGSRIKWNFTKFLVDEEGHVIQRYSPTTEPLSIENDIKKALGVA >OIW05770 pep chromosome:LupAngTanjil_v1.0:LG08:23909767:23912658:-1 gene:TanjilG_23556 transcript:OIW05770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPISTLYLLAYNSLQAIGWTVSLTKILYNVVSTSSLQGTYASAGYLISFLQCAAFLEVIHGAIGLVPSGALLPLMQWGGRTHFLLAVVAKLDEVQELPSVFITFLAWSISEVIRYSHYAFSCTGNCPPSITYLRYTAFIVLYPLGVGPGEMWLMYQALPIVKKKNTYADSFSGLPFSYYDFLRGVLLVYPFLWLKLYLHLFKQRRTKLNRHHVKKRA >OIW05698 pep chromosome:LupAngTanjil_v1.0:LG08:24420979:24422820:-1 gene:TanjilG_23484 transcript:OIW05698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNERVVSGTMLVERNTYHRPKVGATLHSDPTSLYSPDHNDDDDYSTTRHSNVSAATPTGSSSNASPLMMSPWNQVQDTSSPYNKSPWLLTSSTINLFNHPEDEDNNDNSNQLENGLIGSLVREEGHIYSLAVSGGLLYTGSDSKNIRVWKDMKDYTGFKSSSGLVKTIVISGEKIFTGHQDGKIRVWKGSHKNPSSYKRVGSLPKFKDYVKSSMNPKKYVEVRPHRNAVKVKHFDAVSSLSLDEEEGLLYSGSWDKTLKVWRVSDSKCVESITAHDDAVNAVVSALKGYVFTGSADGTVKMWKREPRGKKTKHDLDRVLLKQENAVTSLAVNRSSTVIYCGSSDGLVNYWERDDKNNGLTHGGVLKGHKLAVLCLAAAGGSLVFSGSADKNVCAWKREDNGSHICLSVLTGHMGPVKCIAVHEERERYHDKGVQRWTVYTGSLDKSVKVWRVTEHAPELHMSSPTYDSSPPGSKRSSDGKSITTATSSSFNSRMSDHAPSESFDSSPTTQINSVRTIGKSNLNMGKFEHAPSHGGLSFDSPVAGVLSGSTASTNTISVSNESNNNNNCSNNTWQNLSSKFGKGEENRTGGDFDATSSSYLKSNADNYQNWRF >OIW07258 pep chromosome:LupAngTanjil_v1.0:LG08:2713582:2720088:-1 gene:TanjilG_08373 transcript:OIW07258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPTGCWFNKFKLKDKMQSSKHKESAGIAKVGSNPPTDEEAPSNVTKQKVEAAKQFIENHYKKQMKDLQERKERRNMLEKKLADAEVSEEEQHNLLKYFERKEREYMRLQRHKMGADDFEPLTMIGKGAFGEVRICREKTTGHIYAMKKLKKSEMLRRGQVEHVKAERNLLAEVDSNCIVKLYFSFQDEEYLYLIMEYLPGGDMMTLLMRKDILTEDEARFYVGETVLAIESIHKHNYIHRDIKPDNLLLDKNGHMKLSDFGLCKPLDCSNLQEKDFSIGGNRSGALQSDGRPVAPKRTQQEQLQHWQKNRRMLAYSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYSDEPMLTCRKIVNWRTHLKFPEEARLSAEAKDLISRLLCNVDQRLGTKGADEIKAHPWFKGIEWDKLYQLKAAFIPEVNDELDTQNFEKFEEVDNQTLTPSKSGPWRKMLSSKDVNFVGYTYKNFEIVNDDHLPGIAELKKSTKSKRPSIKTLFDDESTRAANQPAQGNSAGSS >OIW07208 pep chromosome:LupAngTanjil_v1.0:LG08:3649610:3652801:1 gene:TanjilG_06335 transcript:OIW07208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATREMIHTPSSALNMDQEKIEKDEDFEDQIIEEGGDFKGHKWRDGITVRGLFVSMMIGIMYSVIAMKLNLTTGMVPNLNVSAALLAFVFIRSWTKVLQKAGFVSKPFTRQENTIIQTCAVACYSISVGGGFASYLLGLNRKTYELSGVGTEGNSPSAVKEPAFGWMTGFLFVVCFVGLFVLIPLRKIMIVDLKLTYPSGLATAVLINGFHTQGDKMAKKQVWGFMKYFSISFLWGFFKWFFSGIENCGFEQFPTFGLQAWKQTFYFNFSMTFVGAGMICSHLVNLSLLLGAVLSFGVMYPLIDQLKGHWFPNNLEEANMKGLYGYKVFLSIALILGDGLYNFIKILVATVASVYDRMKSYKTKNHKHAVVADQHRNNPTGDKQTELFLRENIPMWIGIVGYLVFAVISIIVIPFMFPQLKWYYVVVAYIFAPSLAFCNAYGSGLTDMNMAYNYGKVALFVLAAINGKENGLVAGLVGCGLVKSVVSVSCILMQDFKTAHYTCTSPRAMFISQVIGTAMGCVTAPLSFFLYYKAFDVGNPHGEFKAPYALIYRNMGIIGVEGFSALPNHCLQLCYGFFAFAIGANMVRDLSPQKIGKWMPLPMVMAVPFLVGGYFAIDMCLGSLIVFVWHKLSKKAEFMVPATASGLICGEGLWTLPAAILALAKVHPPICMKFVPS >OIW06326 pep chromosome:LupAngTanjil_v1.0:LG08:13963552:13965013:1 gene:TanjilG_14971 transcript:OIW06326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPKSLFSLRSHVAVLLLLVVTLGTKMGMAQNICTTQLSNLNVCAPFVVPGALGAPSAGCCDALQAVNPDCLCNTLRIASQLPSQCQLPPLDCVTFQAQINAYEVDAACGE >OIW07105 pep chromosome:LupAngTanjil_v1.0:LG08:5331235:5333324:-1 gene:TanjilG_02739 transcript:OIW07105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGGNEGKKNRIAIISVSAFLLVAMVVAVTVGFNYSKDGFEDDGLEDNQRHHITSTAKAVKTLCAPTDYKQECEESLIPAAGNTTDPKELVKIAFNITITKISDKFKETNILHGLEKEPRSKSALETCKQLMDLSIGEFIRSLETISDFNLTNLDEILGNLKVWLSGAVTYQDTCLDGFENTTSEASKKMKELLTTSMHMSSNVLAIITEMADTVTDWNVTRLLGGRRLLEESKNENSFNLPTWVDDAASVHKILAETPFKIKPNVTVALDGTGDFKSINKALKKVPSDNEKPFVIYIKKGIYHEYVHVTKDMTNVVFIGDGGDKTRITGNKNFIDGVNTYNTTTVAIQGDHFIAINMGFENSAGPHKHQAVAIRVQADKSIFYKCQFDGYQDTLYAHTHRQFYRDCIISGTIDFIFGDAIAVFQNCTFIVRKPMSNQNCIVTAQGRKDRHQPTGIVIQGGSIVADPMLQAAKLDHKSYLARPWKNFSRTIFMDTFIDGFIDPEGFLAWQGEQGPMHMNTCFYSEYHNYGPGSDKSKRAHWAGIWNLNSKAAHLFQPSKFFHGDDWIEDAGIPYFSGIPKHYRHKMTVRNWLPEKEDKEDKKEDKKDKEEKKDKEEKEDKKDKEEKEDKKDKEEKEDKKDKEENDDKKHKEEKSSRR >OIW06939 pep chromosome:LupAngTanjil_v1.0:LG08:6920469:6921053:1 gene:TanjilG_18327 transcript:OIW06939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSATNLNGFHEQSKKMMINGPRPSPLKINKDSHLIRKASVSDTKQHNQHRVPIIIYTQSPKVIHTTAQDFMALVQRLTGMSSSNQNITHQPNQEAYDGSKYDNISTEDQGASEICVKREDDGDTLVKWDSNVDQNSSPSNILRFVDMPFYTPNSSDFFCTSRSTFKCSDHPYGILGSLISPSGLDFMKELPEY >OIW05609 pep chromosome:LupAngTanjil_v1.0:LG08:25003871:25005188:1 gene:TanjilG_23395 transcript:OIW05609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEEITLPGFRFHPTDEELVDFYLKNMVANKLRYDIIGFLNIYHHDPWNLPGLSKVGEREWYFFVPRDKKHGSGGRPNRTTEKGFWKATGSDRRIVTLSDPKRIIGLKKTLVFYEGRAPRGNKTDWIMNEYRLPDTCNLPKEIVLCKIYRKATSLKVLEQRAALEEEIKQLVSSPTNSMDTMSFIEDQNMLQQHVILKKESEGNEEMLSVPMQENTRTQMPIIENKNKMTCGTLLQLPLGNDKLPELQLPMMITDWTQLTQDNFWAQLNSPWLQNFTPSYSNILNF >OIW07236 pep chromosome:LupAngTanjil_v1.0:LG08:3158164:3161726:1 gene:TanjilG_08351 transcript:OIW07236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENASELVHFPLLINTNYTASTIPWRLPSDDIRIPTPTELSWINLLLNLIPSYKKRAENDTSVPDAANKAESFAQRYGEILENYKKDPSCRGSPPNLILLCQIREQILKEVGFRDIFKSVKDEENAKAISLFENVVRLNDAIKDEGARLENLVRGIFAGNVFDLGSAHLAEVFSKEGISFLSTCQNLVPRPWVIDDLNTFKMKWINKPWKKVIIFVDNSGADIILGILPFARELLRRGSQVVLAANALPSINDITYLELVEVISKLKDEEGRLMGVSTSNLLIASSGNDLPIIDLTRVSQEIANLANDADLVILEGMGRGIETNLNAQFKCDSLKIAMVKHPEVAEFLGSRMYDCVFKYTEA >OIW06164 pep chromosome:LupAngTanjil_v1.0:LG08:19462689:19465150:-1 gene:TanjilG_01791 transcript:OIW06164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREIKVQKLVLNISVGESGDRLTRAAKVLEQLSGQTPVFSKARYTVRSFGIRRNEKIACYVTVRGDKATQLLESGLKVKEYELLRRNFSDTGCFGFGIQEHIDLGIKYDPSTGIYGMDFYVVLERPGYRVGRRRRCKARVGIQHRVTKEDAMKWFQVKYEGVILNKSQNIS >OIW05752 pep chromosome:LupAngTanjil_v1.0:LG08:24028911:24031064:-1 gene:TanjilG_23538 transcript:OIW05752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMANVLLCIASIMFLLMWIRVEARIPGVYSGGAWQGAHATFYGGSDASGTMGGACGYGNLYSQGYGVSTAALSTALFNNGLSCGACFEIKCANDREWCHSGSPSIFITATNFCPPNFALPNDNGGWCNPPRPHFDLAMPMFLKIAEYRAGIVPVAYRRVPCRKNGGIRFTVNGFRYFNLVLITNVAGAGDITRAYVKGSRTSWMPLSRNWGQNWQSNAVLVGQALSFRVTGSDRRTSTSWNIAPSNWQFGQTFTGKNFRI >OIW07198 pep chromosome:LupAngTanjil_v1.0:LG08:3874730:3876483:1 gene:TanjilG_17746 transcript:OIW07198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATSNAMQSILSTSSPVTRVSSTSRGNQFCTPAMYISSLRNHSSLRVRSMAEKEQKEKPATRVTPPTLPKIPPQSVSTSSPKEEEKEQPPTPVTPPPLQPPLPQFPPLLASTRSRKLSTRFMDVMAFDGPAPERINGRLAMIGFISAIAVELAKGQGLFEQISNGGIAWFWGTSVVISIASLIPLLRGVSVESTSGRIMTSDAELWNGRFAMLGIVALAFTEYIKGGPLV >OIW06673 pep chromosome:LupAngTanjil_v1.0:LG08:10371912:10373598:1 gene:TanjilG_04067 transcript:OIW06673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSENSLPDFNTIPTTERKSESSSKACLTKPSVDIAGEKIEEQQNKKNGSLLISPPVNGNQTVTISSGIETGNGVAEVEYIESENLNDLEDIDTCLKNLLAGLDSKDWIVVCDALNNVRRLSLFHKEAMLDMLGDVITLVAKSLKNPRSALCKTAIMTSADIFSAYNDLIIDSLDPLLVQLLLKASQDKRFVCEAAEKALVAMTTLISPMALLPKLQPILKHRNPRIRAKASMCFSRSVPRLGAEGIKTYGIDRLIQVAASQLSDQLPESREAARILLIELQNVYEKVYDPTPATTVSEHPEIGSWESFCQSKLSPLSAQAVLRVTSIAREGLVS >OIW06169 pep chromosome:LupAngTanjil_v1.0:LG08:19688572:19698647:1 gene:TanjilG_01796 transcript:OIW06169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDSPTSSKSNSLIHRPTTTTRGGWHAAIFIISIYVASIGDGGHKPCVQTFAADQFDEDTMKERENKSSFFNWWYMGVVAGSVIPVFLIPYLQQNGWWAMGFGLVGGVLALALAVFVLGINSYRKEGPAGSPFTRLAQVFVAASRKWRVNHTLNYCYEEMDNQEPPHIHAHKFTTLLHTHEYRFLDKAMMIDEVDATSKTRDPWRLCSVTQVEELKLILRIIPIWLSCIMFTVVQAQGHTYFVKQADTLNRSIGPNFLVPAAVIQGLVGIIILIVVPIYDKVFMPLARKFTGHPTGITVLQRIGVGHFLSILTMVVAALVEAKRVGVARDHNLLDNPKAMVPMTIWWLLPQYIITGVSDAFTIVGLQELLYDQMPEGMRSMGAALYISIIGVGNFASIAIIDTVEEITLRVGGPWLGNNINRAHLDYFYWLLAVLSALSLCVYLWLAKLYEYKKVDVVETSNQMRLGEYNHNYGV >OIW06762 pep chromosome:LupAngTanjil_v1.0:LG08:8874307:8895809:-1 gene:TanjilG_11487 transcript:OIW06762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSFRVASKSNGKLLRRSCTSFAASNRWWRPLQLYAGFNIFLLYMYQLRVEFPSLIHWVADLIGLYKISANSEWPKIYSSLSLMFYYIMVSLFALSFWSFHFASLCAFGLLAYVGYVIYAFPSLFRLHRLNGLLLVFILLWAVSTYIFNVAFSFLNLKLGQDMKIWEMVGLWHYPIPGFFLLAQFCLGILVALGNLVNNSVFLCLSDEGGQSSNDSTSVKEEGETKVLIVATIAWGLRKSSRAIMLALIFLIALKPGFIHAVYMIFFLIYLLSHNVSRTVRQAMILLCEIHFALLYVLQINLISTALEKKGSVTMEVVTQLGLLEEDSAWDFLEVALLGCFCSIHNHGFDMLFSFSAIIQHAPSPPFGFGILRAGLNKSVLLSVYASSSVRYSDDSFSYERRIALYLSAIGQKFLSIYHSCGTYIAFLTILLTVYMVRPNHISFGYLSLLLLWITGRQLVERTKRQLWLPLKVYAILVFIFIYSLSSFSSLERWSSKLIDLYFYLGYDSNVSSFQNVWESLAVLIVMQLYSYERRQSKQDRQDYLDQLEPGVVGFIRRFLIWHSQKILFIALFYASLSPISAFGLLYLLGFVVCSTLPKTSSIPSKSFLAYTGFLVTAEYLFQMWGMQAEMFPGQKYSDISLFLGFCVFKPGFWGLESGLRGKVLVIVACTLQYNVFRWLERMPNTVLNKGPSEEPCPLFVSIEDAFNDVTTCNEESKPSCNSQPPSAMHEGVSNKSLRILTSDLSQTLDTPSSKTRGSDSSSKYSFGFIWGSTKESHKWDKKRIVSLRKERFEIQKSVLKVYLKFWTENMFNLFGLEINMIALLLASFAILNAISMLYIALLAACILLNRQIIRKVWPTFVFLFASILILEYFIIWKDMLPLNSRVPRITVDDPRILISYFVVFMLACFKLRADRFSSFSGSSTYRQIVSQRRNTFVWRDLSFETKSMWTILDYLRLYCYCHLLDLVLILILITGTLEYDILHLGYLAFALVFFRMRLEILKKKNKIFKFLRIYNFAVVILSLAYQSPFVGGSSSGKCETENYIYEIIGFYKYDYGFRITARSAIVEIIIFVLVSLQSYMFSSQEFDYVCRYLEAEQIGAIVREQEKKAAWKTAQLQHIRESEEKKRQRNMQVEKMKSEMLNLQIQLHSLNASTIDGFSHSGEGLRRRRSTSLTPNNDIGILDKELVLGKLDQTIREDSIFPIEVHESSACVNVETPLADEYMNHSVDSPLCEITEIDDTSSDSGKKDKVRGQAKENPLKSAVQLIGDGVSQVQSIGNQAVHNLVSFLNISQDDSDSNEHTNIDDQIYDEMESQKTRHIYMDRSSSVLSDKSSDAASLQLGRIFRYIWYQMRSNNDVVCYCFFVLVFLWNFSLLSMVYLGALFLYALCVNTGPSYIFWIIMLIYTEVYILLQYLYQIIIQHCGLSIDPFLLRELGFPSHKIMSSFVVSSLPLFLLYLFTLLQSSITPKDGEWVSSTDFKFKRNDLHRKDHPTSYNWQERAWNLLNQMSNMVKLIIRSSFRYWKSLTQGAESPPYFVQVSMDVNFWPEDGIQPERIESGINQLLRVVHNDKCKEKNPNLCPFASKVNVQSIERSQESFNVALVVFEVVYASPVVECSSAEWNKSLTPAADVAKEILKAQSAGFVEEVGFPYRILSVIGGGKREIDLYAYIFCADLIVFFLVAIFYQSVIKNKSEFLEVYQLEDQFPKEFVFILMAIFFLIVLDRIIYLCSFASGKVIFYIFNLILFTCSVTEYDWQMDPSQQHGARLALRAIFLAKSVSLALQALQMRYGIPHKSTLYRQFLTSEVSRINYLGYRLYRALPFLYELRCVLDWSCTTTSLTMYDWLKLEDINASLYLVKCDSDLNRSTHKPGEKQTKMTKCCNGICLFFVLICVIWAPMLMYSSGNPTNMANPIKDASFQIDIKTVSGRLTLYQTTLCERIQWDSLNSDVNLDPNGYLDAYNKNDIQLICCQADASTLWLVPHVVRTRLITSLDRYTDDMEIFFTWILSRDRPKGKEVVKYEKPVDPQYLPRQSDVRKVLNSSMNSFRIYNVYPRYFRLTGSGDIRTLNEDTAVSADLILNREQFEWWAFRDILASNFSRVCGGLTGPMAIIVSEETPPQGILGDTLSKFSIWGLYITFVLAVGRFIRLQCSDLRMRIPYENLPSCDRLIAICEDIYAARAEGELGIEEVLYWTLVNIYRSPHMLLEYTKPD >OIW07124 pep chromosome:LupAngTanjil_v1.0:LG08:5183096:5187037:1 gene:TanjilG_10097 transcript:OIW07124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METSSDYGAYVDKFLLSPPTSSPHAALPLNSLTFAVKDIFDIEGYVAGFGNPDWARTHPVATSTAPTVLALLRAGATCVGKTVMDEMAYSINGENIHYGTPRNPCAADRVPGGSSSGSAVAVGALLVDFSIGTDTGGSVRVPASYCGIFGFRPSHGIISTSGVIPMAQSFDTVGWFARDPTILSRVGRVLLRMPELVPVKPSSIIIAEDCFQLSSIPYDLVNRVVIKAVEKLYGGNVLKHEILGEYVKANVPSLNHFLTQENTDQLYNIPSLAALSSAMRLLQRFEFKNNHAEWVSEVKPDLGPGISERVADALKTTGEGIDICHSIKGELRDALTALLGDLGVLVIPTVPGPPPKLQTNASELEIFRARAFSLLSIVGVSGFCQVNIPLKMYNNLPLSISLVAGHGADGFLLQLVESLSGKIKEEEITQSKA >OIW06887 pep chromosome:LupAngTanjil_v1.0:LG08:7544547:7546268:1 gene:TanjilG_19536 transcript:OIW06887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPSEEWSSLSGLYTVDEADFMSQLLDNCSVPEQLYGNFDMEIPSALWDDHESTLAGVTGLNKCSYYPEKVANTNFLHSSNNFPSTSGGNYYFNDPVTNTGYVPMGFSFADSEFSPYSFQGNENQCINENIEEFGVEVVADQNLQARKECEEVLVSEPAEEDITCNLENSGKRSRRSTEVRKNKKSVKPKKKLKSASMSNTEEDTSSGFQGLSLSNYCSEDDSNASKEKNEEGSSSLSSKVSKDLKSNGKPRCSRGSATDPQSIYARRRRERINGRLKILQTLVPNGTKVDISTMLEEAVEYVKFLQLQIKLLSSDDLWMYAPIAYNGMNIGLDLNLNKTTIGNT >OIW05624 pep chromosome:LupAngTanjil_v1.0:LG08:24910182:24913559:1 gene:TanjilG_23410 transcript:OIW05624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATSFSGLQLAMLRSCIPSSQRFADAGTVILGGKAKVGSWNKLASVSHVSSVQPFQQSFTSSSLKSEKIVTKAMSESSANAPVSGLPINLKGKRAFIAGVADDHGYGWAIAKSLAAAGAEILVGTWVPALNIFESSLRRGKFDESRILPDGSLMEITKVYPLDAVFDNLEDVPEDIKTNKRYAGSAKWTVQEVAESVKEDFGTIDILVHSLANGPEVTKPLLETSRKGYLAAISASSYSYVSLLKHFLPILNPGGASISLTYIASQRIIPGYGGGMSSAKAALESDTRVLAFEAGRKRKIRVNTISAGPLRSRAAKAIGFIDKMIDYSLVNAPLQKELSAEEVGNAAAFLASPLASAITGTVLYVDNGLNAMGVGIDSPIFKDLDIPKDQH >OIW06362 pep chromosome:LupAngTanjil_v1.0:LG08:14670381:14673463:1 gene:TanjilG_15007 transcript:OIW06362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAETFAFQAEINQLLSLIINTFYSNKEIFLREIISNSSDALDKIRFESLTDKSKLDGQPELFIRLVPDKANKTLSIIDSGIGMTKADLVNNLGTIARSGTKEFMEALQAGADVSMIGQFGVGFYSAYLVAEKVIVTTKHNDDEQYIWESQAGGSFTITRDTDGEQLGRGTKITLFLKEDQLEYLEERRIKDLVKKHSEFISYPIYLWTEKTTEKEISDDEDDEPKKEEEGAVEEIDEDKEKDSKKKKKIKEVSHEWELINKQKPIWLRKPEEITKDEYASFYKSLTNDWEDHLAVKHFSVEGQLEFKAILFVPKRAPFDLFDKRKKMNNIKLYVRRVFIMDNCEELIPEWLGFVKGVVDSDDLPLNISRETLQQNKILKVIRKNLVKKCIEMFNEIAENKEDYNKFYDAFSKNLKLGIHEDSQNRAKLSDLLRYHSTKSGDELTSLKDYVTRMKEGQKDIYYITGESKKAVENSPFLERLKKKGYEVLFMVDAIDEYAVGQLKEYDGKKLVSATKEGLKLDDETEEEKKKKEEKKKSFEDLCKTIKDILGDKVEKVVVSDRIVDSPCCLVTGEYGWTANMERIMKAQALRDSSMGSYMSSKKTMEINPENGIMEELRKRAEADKNDKSVKDLVLLLFETALLTSGFSLDDPNTFAARIHRMLKLGLSIDEEETVGDDVDMPALEEDGAEESKMEEVD >OIW07354 pep chromosome:LupAngTanjil_v1.0:LG08:867431:872015:1 gene:TanjilG_10189 transcript:OIW07354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLKNLCDGIVAAKFSCKSSTDTVDNNQVSACSKAESSDSKFPKASLWSSLFTSGYSVCETYSESSATEKKSVNSTNNGWADAVRKVVTVGSMRSLQECVLGPSKTEVSRSDGDVWVLGVCHKISLHESTGDVDNSNSFSAFEDDFFSKILITYRKAFNAIGDSNYTSDVNWGCMLRSGQMLVAQPQDKEYINILRLFGDSEASAFSIHNLLEAGKGYGLAVGSWVGPYAMCRTLEVLARSQRERNDLGERLLPMAIYVVSGDEDGERGGAPVVCIEDASKCCSEFSQVLAAWTPLLLLVPLVLGLDKINPRYVPLLQSIFKFPQSLGILGGKPGASTYIIGVQNEKALYLDPHDVHPVVNIIGDNQEINTSSYHSNVIKLMPLDSIDPSLAIGFYCRDKDDFDDFCSRASKLVEESDGAPLFTVAQSRSLSMQVNSNDTIGANCRFQEDDGRGLDLVNEDTNEDDWQLL >OIW07382 pep chromosome:LupAngTanjil_v1.0:LG08:224250:227359:1 gene:TanjilG_10217 transcript:OIW07382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKRRFYKLEHSNRDGADPSSSSDSEIEADVSEEESDDDVIPEVEQEDEAGSISSGYETDDGSANAVDVKSSAPGLLFSEDDAGTINKRPTLNNKELSSESDSEESERKSSVLVEKEPLPLDMPTPHILQCKSVFKCRICPKVICLSEDTLRDHLQSKRHARSEKQLNEGRLKAMLNSDGELEEQEVPEIRTNDSEDDEKKIPKEKKQNKKRMRKKRNEKGGKRKRNRSDRKEKAKNEK >OIW07077 pep chromosome:LupAngTanjil_v1.0:LG08:5724093:5726044:-1 gene:TanjilG_02711 transcript:OIW07077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVDTVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEVNRRPSMHYSPCICQQKDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIANKP >OIW06575 pep chromosome:LupAngTanjil_v1.0:LG08:9693737:9697329:-1 gene:TanjilG_03969 transcript:OIW06575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAMGLMRRIPAKHTDTALSALLSLMPNHSSDLLSQIDQPLQVLCDVDSGKEFILCEYNRDADSYRSPWSNKYHPSLEDGSLPSLELRKLEVEANDIFAIYRDQYYEGGISSVYMWEDDNEGFVACFLIKKDGSKTGQGRRGYLEEGSWDAIHVIEVGPEEEENTNYRLTSTVMLTLTTNNESSGTFSLSGSIRRQMTMRLSVADGHLCNMGRMIEEMESKLRYSLDQVYFGKTREMVCTLRPPSEVAQIRIPES >OIW06938 pep chromosome:LupAngTanjil_v1.0:LG08:6906076:6907005:1 gene:TanjilG_18326 transcript:OIW06938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAAATTFHHHRRKDKVVVIMGATGSGKSRLSVDLATLFFPFSEILNSDKMQVYSGLDITTNKIPLHQRRGVTHHLLGDVDPSGGEFSPSDFRHHAGEIISDVTNRRKLPIIVGGSNSFIHALLVERFDPELNVFDTESSSSSLVISSELRYNCCFLWVDVSFSVLSEYLIKRVDDMLDSGMVDELAQFFDPNATEEMNRTGLRKAIGVPELDRFFKEHPPGSIKDEDRVWKGAYKEAVRAIKDNTCKLSKNQIRKILRLKRAGWDLQRVDATAAFTAALTSDDSWSDIWERNVLEPSVKIVNRFLME >OIW05872 pep chromosome:LupAngTanjil_v1.0:LG08:22940941:22941408:-1 gene:TanjilG_23658 transcript:OIW05872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTMTAVKVSPQCMFQTAIQNRSSVATIAKFPSSIGSVKSVSRSFGLKSSSSFRVTAMAAYKVKLIGPDGKENEFEAPDDTYILDAAENAGVELPYSCRAGACSTCAGKIVSGQVDQSDGSFLDDNQLKDGYLLTCVSYPTSDLVIETHKEEALY >OIW07138 pep chromosome:LupAngTanjil_v1.0:LG08:5005805:5007515:-1 gene:TanjilG_10111 transcript:OIW07138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQEQPQRPEEKEAIKYGDVFEVKGDLASNVVAPKDAAMMQKAENDMIGKTKKGGAAAAMQSAAMKNVKSGVVGHNDMSEIAGDGGVNLSESNDGTGNRVISESVAGQVVHKVDEKEKERKICKLAEVVEQFSQKVPVSIMAPSSIVQEVDIGDGGNLGITIGEALEATALTAGKKPVEWSDAAAIQAAEVRATGRTNIVPGGVAAAAQSAATLNARLTKDEEKTKLRDILADATSKLPSDRAVTRRDAEGVVSAELRNDRYLTTHPAGVAASVAAAARLNQNNHN >OIW06834 pep chromosome:LupAngTanjil_v1.0:LG08:8318479:8320233:1 gene:TanjilG_03729 transcript:OIW06834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFWIWVFNLFLIFSFLSEFSESVAKAPMCSEEDRASLLGFKASIVEDTTETLFSWIGRNCCDESWEGVQCNPSTGRVIVLQIQRPERDSGSYMKGTLSPSLGNLHFLEVMVISGMKHITGAIPASFSNLTHLTQLVLEANSLGGYIPPSLGRLSLLQTLSLNGNRLKGQVPQTLGNLRNLVQINLARNLLSGTIPLSFRTLQNLQNLDLSYNLLCGSIPDFVGEFKKLTYIDLSYNLLTGTIPISLFSLVNLQDLSLSNNKLTGNIPDQMGNLKYLASLQLSANHLTGHVPLSISKLQNLWYLNISRNGLSGPLPSIPIKGIPSLLSIDLSYNNLSLGSVPGWIRSKQLREVNLAGCKLKGNLPIFTNPEYLTSIDLSHNYLIDGISNFFTNMSSLQTVKLSNNQFKFDISQIKLPTGLSSLDLHANRLVGSLSAISNNNTSSSLEVIDVSNNFISGHIPELVEGSSLKVLNLGNNKISGPIPVSISNLNDLERLDISRNHILGTIPLSLSQLLKLQYLDVSINALTGQIPGSLSQLTNLRHASFRANKLCGAIPQCRPFNIFPAVAYAHNSCLCGKPLQPCK >OIW05756 pep chromosome:LupAngTanjil_v1.0:LG08:24011100:24012355:-1 gene:TanjilG_23542 transcript:OIW05756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTPLFHEFKKQASFFLKEKIKTARLALTDVTTAELMTEEATKGNPCAPDTPTLISISKAAFELDDYWRIVEILHKRLLKFEKNNWRMSYNSLIVLEHMLTHGPESVADEFQCDKDVINQLKVFQYIDDTGFNWGLTVRKKSEWIMKLLDEGTLLKEERNRARRLSRGIQGFGSFSQPSIPAQGILAREKSLPITSGRCNSEINYHENHENLYSCSYNCVDTTAVDKSLSHQGGIFKSLDNVETKSYRDDPNNNQMLQRSETSSKENMEPSIEEFHLWNLRGESNSLLDCNEEDSKLGKFIAEDDHPFNSTTETHGTASLLSC >OIW07089 pep chromosome:LupAngTanjil_v1.0:LG08:5522986:5528376:-1 gene:TanjilG_02723 transcript:OIW07089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDIFKMNPTLLSCPDNVVRVGNVAFTSELHLGPAANDYLQLEEPEPGSGNSDRLIKNQIANHPLYPDLVSAYIQCQKVGAPPELASVFEEIGRESHPTGARGEIGDDPELDEFMESYCEVVHKFKEDLSKPFNEATLFLCNMESQFNNLCKGTLTMPLDINNNRSDEEAGTSENGLNCENVESVEAYESSSTHPSDDKELKEMLLHKYSGYLSSLRKEFLKERKKGKLPKDARMALMDWWNTHYRWPYPTEEEKVKLSEMTGLDQKQINNWFINQRKRHWKPSEDMRCAIMEGVSGGGPM >OIW05935 pep chromosome:LupAngTanjil_v1.0:LG08:22407773:22408724:-1 gene:TanjilG_07211 transcript:OIW05935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHNSSGGKALSSANKSLVSNCPEESGWTSYFEDLSKGIEPSYCSSLGGSSLVSDAASCAAWKFSHQNHGNGSSAPNLLKKLNFKKARAKQISEDDPLEDTASSPVNSPKVKGFASSENYSELQTDDENDQVNLNGEKNDCTDLKKRGLCLVPMSMLVNYFG >OIW07210 pep chromosome:LupAngTanjil_v1.0:LG08:3623075:3626186:1 gene:TanjilG_06337 transcript:OIW07210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYCATFNVGACLNLDGASKLRGFRYHKSSPVFLNTMNLSYTHHSFGRVTHSRPFYSLFKSAAENSQPSAFVGIEAKNNSQEKVVVLVIGGGGREHALCYALQRSPSCEAVFCAPGNAGISSSGYATCISDLDVYDGAAVVSFCRKWGVGLVVVGPEAPLVAGLANELIKAGIPTFGPSAEAAALEGSKNFMKHLCDKYNIPTAKYKAFTDPYAAKQYIQEQGAPIVIKADGLAAGKGVTVAMTLEEALEAVDSMLVKGDLGSAGCRIIVEEYLEGEEVSFFALVDGENAVPLESAQDHKRVGDGDTGPNTGGMGAYSPAPVLTPELQSIVMDSIIIPTVKGMSAEGCKFVGVLFAGLMIEKKSNMPKLIEYNVRFGDPECQVLMVRLESDLVQVLLAACKGELSGVSLNWSPGSAMVVVMASKGYPGSYEKGTLIKNLEEAELVAPSIQIFHAGTAFDSEGRFIATGGRVLGVTATGNDLEEARDRVYRAVEDINWPGGFYRRDIGWRALPHTQFTRKG >OIW06832 pep chromosome:LupAngTanjil_v1.0:LG08:8291260:8296267:1 gene:TanjilG_03727 transcript:OIW06832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDKWDNFKSLFQRNNNSSSSPPPSSSPPSSPKLIPQLSPLANSVLSSCSKILETSPQELQHAFDSELPMAVKELLTYARNLLEFSSFKALRKLFSSPHYLNDKHFRRLTFDIMLAWEAPSVHSDQENPSFSKDESGDEDEEGSLFYSTSTSMALQVDDNKTVGLEAFSRIAPVCIPIADIITVHNLFDALTATSARRLHFLVYDKYIRFLYKVIKNSKNVLANSVATLQLAEDEIVLDVDGTIPTLPVLQHIGISAWPGRLTLTNYALYFESLGVGVYEKAVRYDLGTDLKQVIKPDLTGPLGARLFDKAVMFKSTSVAEPVYFEFPEFKANMRRDYWLDISLEILRVHKFIRKYYLKEAQKSEVLARAILGIFRYRAVREAFKFFPSHYKTLLTFNLAEALPRGDIILETLSNSLTNLTAVSSTVDTRRQVAVSPASAIALSCLGFKSNIIYEETTFTGDIRVGEVNPLELAVKKSLLDTGKAQAAQASVDQVKVEGIDTNVAVMKELLFPVIESANRLQLLASWKDFYKSTTFLLLVCYMIVRGWIQYLVPSMFLFIATVMLWRRHFRRGGSLEAFRVTPPPNRNAVEQLLTLQEAITQFESLVQAGNIVLLKIRALLLAILPQATEKVAQLLVLLAAVLAFVPPKYIFLVVFVECYTREMPLRKESSDRWVRRIREWWIRIPAAPVQLIKHDDCKKRK >OIW06752 pep chromosome:LupAngTanjil_v1.0:LG08:8976534:8977436:1 gene:TanjilG_11477 transcript:OIW06752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQIQKEKVVLVMGATGTGKSRLSIDLATYFPSEIINSDKIQVYEGLDIVTNKISKEQQRGVPHHLLGTHKPNTEFTATDFCDMSLASIESITSRKRVPIIVGGSNSYLEALIDDDDYRFRSRYDLCCLWMDVSIPTLHSYVAKRVDQMFEHGMVDEVRPFFNPNGGYSRGIRKAIGVPEFDEFFRREAFLDEETKQRLLEEAINEMKANTCKLGMKQLGRIHRLRNVKRWKIHRLDATSVFRKHGQEANEAWKKLVAEPSAMIVANFLYNSNTTSSITINAFSDLRMLPSQSVIAAATC >OIW06431 pep chromosome:LupAngTanjil_v1.0:LG08:11548761:11552178:-1 gene:TanjilG_05202 transcript:OIW06431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSACLMQPFCYASGITNEANESNTFHALGQSISFGRFMSESMAWEKWSSFSHNRYVEEAERYAKPGSVAQKKAFFEAHYKKLAAQKAAAAAALLEQANNAAAPNNEGEVVDSISTLDSQTSPNSKMIVNEEQDSKVLNLISEDQDTMLKVKTIAHDSNSDIKASNIPETSNNVDEKKMEEKPLIGNSMKVELQNQLEVVDTHKEQIEKLSGTITPQIMTPILKQGSTFDQEVSASIGKKKPPVSFSKLLKATGTSKFTSTPVKSTTPISSKRDNITTPMNNNKPSSDKKRYTPKSLQMPNFTPIREINRLTASVMKKFESTRAGAGSSKASKDSLTPLRTPTMASKDMQKYPSLTPLTEKNRNKTRSPVISSPFNLRTEERAARRQKATLISLFFSSGSKLEEKFNAYEAQKVKLHTKVKEKKETEIMSKLRRSFCFKARPLPDFYKERKASKDETKKDTLPHSESLKEGRKNTPRHNLVESKISLPLNKPSLRYNVNKKFHGNSSDTMIHPMTSYCRMVTNLENTSPNILHGNQNDRNYKY >OIW05643 pep chromosome:LupAngTanjil_v1.0:LG08:24762066:24764033:-1 gene:TanjilG_23429 transcript:OIW05643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSIVKEILASPIQMADQVSKMADEVQNFRQECLELKAKTEKLAALLRQAARNSNDLYERPTRRIIEDTEQVLDKALTLVSKCRANGLVKRLFTIIPATAFRKTSMQLENSLGDVQWLLRVSASADERDDEYLGLPPIAANEPILCLIWEQVAILLSGASLEERSDAAASLVSLARDNDRYGKLILEEGGVPPLLKLLKEGKMDGQENAARAIGLLGKDPESVEHIVNAGVCSVFAKILKEGHMKVQLVVAWAISEMAAHHPKCQDHFSQNNAIRLLVSHLAFETIEEHSKYAIVSKQNMSSIHSVLMASNDPNKKNLQENDDKKMAHPTANQTTSQMHSVITNTLAIGGQGDQRSQQLNPMNQRGNNNVKANNAVVKHNNNQQGNSHVSIAGTSIKGREYEDPETKGQMKAMAARALWQLCRGNVTICRHITESRALLCFAVLLEKGYEDVRSYSAMALMEITYVAEQHAELRRSSFKPNSPAAKAVVEQFLKIIDKGDSDLLIPCIKSVGNLARTFRATETRFIAPLVKLLDEREAEVTTEAAIALNKFACTDNYLHETHCNAIIEAGGAKHLIQLVYFGEQMVQIPSLILLCYIALHVPKSETLAQEDVLIVLEWCTKQAHLIGDSSIETLLPEAKSRLELYQSRGARGFH >OIW05545 pep chromosome:LupAngTanjil_v1.0:LG08:25419837:25421248:1 gene:TanjilG_23331 transcript:OIW05545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQRKTTTLGRPSGTDGSDYSYRMVVDSRYQLVAKWNKHLSLLFIIQALFLFIGLIIAILSPTQNNNLNTIAFSSLILTLISLIIAEIGRRRSRPSLLRFYVVVSSIALFLFIVSLATHYSLHQAIKDFSFGKTRKLDTVGFSGFQAGKFYFQFVIRGSLLLDKEV >OIW06276 pep chromosome:LupAngTanjil_v1.0:LG08:16680358:16680801:1 gene:TanjilG_19714 transcript:OIW06276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLEIQFNVSEMWVKWQTRDIAYDHFLKKSFPLNYVIWNLHGEKQVFHSTTTEDVMQEACHYENPMETMMNDAFGHYRQQVNNEGESEQCGTDEILIEGPRDDHRFIDEFLKDGNHKLYEESNYTKLAFIIKLYHIKVLCGFERCI >OIW07344 pep chromosome:LupAngTanjil_v1.0:LG08:1085824:1086808:1 gene:TanjilG_10179 transcript:OIW07344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLKYCFVIHVLLLFFSDKAFADEIGIPFLETSAEDATNAEQAFMAMTASIKTRMASQPANNAKAPIVQIRGQPVVQNSGCCSS >OIW06506 pep chromosome:LupAngTanjil_v1.0:LG08:11303630:11306134:1 gene:TanjilG_26695 transcript:OIW06506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHGSFSDQSKSTFSLVDEDHTFANSVRFTLNQDPRVTFVGYSIPHPSDNRVNIRVQTTGDPAREVLKDGCQELMLMCQHVRSTFDKAVSDFKTKAAKTKTIDEDTDDDMDSE >OIW06422 pep chromosome:LupAngTanjil_v1.0:LG08:11466807:11467586:1 gene:TanjilG_05193 transcript:OIW06422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVELCSENCGVVSMSPRISFSHGFSQSDVIPVEIQHPLRSNSSGLNSSIDFDFCVQGTLELESSSADELFSDGVILPIEMKKKKQNIVPENQTAKKCPQPICENASTNKNFKKDTNPTKETKDFNDDVDEKHISKSFWRFKRSSSCGSGYGRSLCPLPLLSRSNSTGSTSPTVKRMPLSKEGSNNIKQSSHKHSSTTTRSNSQSFVPNNHQKPPLKRTHHRNNGTNSMRVSPVLNVPPANLFGFASIFSNNRGKSKKK >OIW07285 pep chromosome:LupAngTanjil_v1.0:LG08:1552179:1555043:-1 gene:TanjilG_11919 transcript:OIW07285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASIDMSLDDIIKRSTTATATASRRRSRDRPHGPGPDRRFEVRKQAKTTPYFIPQERALLSRKVLQPNLIVPEMGFDGNGADAEIDNESVLYISNLHSEVTNYDIKLLFSEEGDLKRYCIHYDKNGKSKGTAEVVFARRSDALAAIKKYNNMKLDGKTLQIELVGTCSVTPPVMPPFQSSLLGPIPNDGRVRLSSFTGSVTPDVMPPFQSSLLGPIPNDGRRRFHNGFAHGRLSRGPGEGKALTRKVSARDLDEDLERYRRLSKGSGQVKGHAGKVSARDLDDDLERSETLSTGLW >OIW07351 pep chromosome:LupAngTanjil_v1.0:LG08:914447:922290:1 gene:TanjilG_10186 transcript:OIW07351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNSNSSPPNTTITVNGTIPVATIIPPYNPHPSTTTSGDRKPIALWPGMYHSPVTTALWEARGKIFERLLDPPRDAPPQSELFTKMPSKSRTSILYNFSTDFVLREQYRDPWNEVRIGKLLEDLDALAGTISVKHCSDEASTTRPLILVTASVDKIVLKKPISVDIDLKIVGSVIWVGRSSIEIQLDVTQSKEEGGDSDAVALTANFIFVARDSQTGKAAPVNRLAPETAHEKLLYEQAEARSNLRKRKRGEERRDIENGEVSRLKVLLAEGRIFCDMPALADRDSILLRDTRLENALICQPQQRNIHGRIFGGFLMHRAFELAFSTAYAFAGLVPCFLEVDHVDFLRPSCVLYTENHNPDQPLINVEVVAHVTRPELRSSEVSNNFYFTFTVRPEAKAMKDGFKLRNVVPAAEEEARRILERIDADKLQ >OIW05976 pep chromosome:LupAngTanjil_v1.0:LG08:21578296:21582001:1 gene:TanjilG_11663 transcript:OIW05976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPKAASSWNFATHSAKRRGFGRVRVTSAEDSFSPTDTVADDYYAVLGLLPDATPAQIKKAYYNCMKACHPDLSGNDPETTNFCTFINEVYAVLSDPVQRMVYDEIHGYSLTSINPFLDDSSSKDHVFVDEFSCIGCKNCANVAPDVFAIEEDFGRARAYTQCGKSELVEQAIESCPVDCIHWTSAAQLSLLEDEMRRVERVNVALMLSGMGAASNDVFRMASSRWQKRQSKVLEQAKLRMMKQNGSNNTDSYWDNLWGKPKEYQSSEEEVNERAKRAAAAARRWREYSRKGVDKPPTFKLPEGASTNKDN >OIW05718 pep chromosome:LupAngTanjil_v1.0:LG08:24266826:24272678:-1 gene:TanjilG_23504 transcript:OIW05718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFNSFFLLSLLPLILVSSFQITFTDASSSPTLDIGFLNRSSFPPGFIFGVGSSAYQFEGAAREGGRGPSIWDTFTHDHPEKIEDGSNGDVAVDQYHRYKEDVGIMKDLNLDSYRFSISWPRILPKGTLSVINREGIDYYHNLIDELLAKGIKPLVTLFHWDLPQALEDEYGGFLSSRIVKDFQDYADLCFKEYGKKVKLWTTLNEPYIFSTNGYATGMFAPGRCSDWLKLNCTGGDSGTEPYIVSHNQLLAHAAAVNIYRTKYQAIDKGTIGITLNTNWFIPLSEISPHDIKAARRTLDFQYGWYMEPLTKGEYPENMRALVGNRLPSFTSEQARLVNGSFDFIGLNYYSSAYSFPAPPTNGEPSYQTDFLANSTFERNGRPLGLRAASFWLYFYPKGLRDLLIYTKNKYNNPLIYITENGMSEFNDPTLSLDEALVDTYRIDYHYRHLFYLRYVIEEHGVNVKGYYPWSFLDNLEWSSGFTVRFGLVFVDFKKGLERHAKTSALWYKDFLQK >OIW06919 pep chromosome:LupAngTanjil_v1.0:LG08:6630672:6631394:1 gene:TanjilG_18307 transcript:OIW06919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKSLKLPSLFKTKEAPPRKQKHHPWQLLPSCSHPKTLSFRAGDEIFKTVNSVFFDPSSETNTGTPESWFTTSSESPSFSTESEDYCNYDGESLDMLVRGVRSERLFFEPGDTSSILEKAKAISFPFKESVVLAMESEDPYEDFKRSMEEMVESHDVKDWDGLEELLGWYLRVNGKNNHGFIVGAFVDLLISMAASNSCSDSTTYSSAVSSFSTSSPLCLREGQSEIIELEEHEDTITS >OIW06483 pep chromosome:LupAngTanjil_v1.0:LG08:12303358:12307633:-1 gene:TanjilG_05254 transcript:OIW06483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDISAANSTQPHPTAVDGADHDSALAKSRFLTREELIRRRLRRVRQLGRFYRDHYWTLMEDIRSKYRDYCWNFGKSPFICNNNENGNGNGNDVVLDGVGDDIVRCRFSGCKSKAMALTTFCHAHILSDPKQNLYMGCRTVAKNLPTGPSFCNKPVLKSMVPPACPTHYDLGTRCLVRALRKSGLGNTFPTNHKTNVKLHVLTSEFVRQIQNKRKIAMRETVPKAEIE >OIW06882 pep chromosome:LupAngTanjil_v1.0:LG08:7504466:7508569:1 gene:TanjilG_19531 transcript:OIW06882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVATISEASKQQQQQTDNGNGNGSNIPRRTKGRQVSSRYMSPSPSSISSSTTTTTTTTSSSSSSSSSSRRFQSPLISRSTNSTPLVPKRSQSVDRRRPRPMTPVPDNAAAEVSTAAAKLLITSTRSLSVSFQGEAFSLPISKAKVPVSGNARKTAVTPERRRVAPVRGGGDHGENSKPVDQQRWPGRTRNQNSGPNNLSRSFNVGNGFGKVVRALESSVGGRRASFDAIGRGLSLDLGRKDHKDEFFKGSNGNSNSLNQCSLPLPPCDVIASDTDSVSSDSTSGGQGCAGAAKGLREPHGNVVSSRFWQETNSRLRRLQDPGSPSSTSPGSRMGVQNKSNTAQLKRYNSDVPMLSPRTMEKASPIRGNARPASPNKLWASSPSRGNASPARVRSAVASSINSGSSNPPSILSFSAEVRRGKIREDRIYDAHMLRLLYNRYVQWRFVNARADATFMMQKLNAERHLWNAWVTISELRHSVTLKRIKLLLLRQNLKLTSILKGQISYLEEWALLDRDHSSSLLGATDALRASTLRLPVVEKAIADVPNLKDALGSAVDVMQGMGSWIYSISSKVEETNCLVAEMLKITSKERFLLKQCKDSLSTLAAIQVKDCSLRTHMLQLSCVPTSST >OIW05563 pep chromosome:LupAngTanjil_v1.0:LG08:25294684:25296572:1 gene:TanjilG_23349 transcript:OIW05563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQSRYVKLTKDQDHSPHEDITPGELNQPIHIPQLAVRKCSECSQPLPEDYAPPADEPWTTGIFGCAEDRESCLTGLFCPCVLFGRNVESLDEVTPWNTPCICHAIFVEGGISLAIANVAASFIFPGINPGTSFLITEGLFFTWWMCGIYTGQVRQNLQKKYHLKNSPCDSCCVHCCLHWCALCQEHREMKGRLSDNAFSQMTVLNPPPVQEMKDNPETSSSSANNKEHIALEMQAI >OIW06975 pep chromosome:LupAngTanjil_v1.0:LG08:7236051:7238109:1 gene:TanjilG_18363 transcript:OIW06975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIHHHHINDHLEQQQHNDNVSSVLEALYCDEEEGDLSSEESYVTTTNNDNTCLLFPMLLLEQDLFWEDEELNTLFSKEKNEHETYYKNNNNEYDNDINKNVGLDDSSLCVARVEAVEWMLKVNGYYGFSALTATLAVTYFDRFLSSFHFQREKPWMIQLVAVTCISLAAKVEETQVPLLLDLQVEDTKCMFEAKTIQRMELLVLSTLKWKMHPVTPLSFLDHIIRRLGLKTNLHWEFFKRCEHLLLSVLLDSRFSGCLPSVLATATMLHVIDQIEHKDGMEYKNQLLSVLKISKEKVDECYKAILDLKLKDSNANNYANKNPLKRKYDQIPGSPSGTIDATFSSDVSNDSWSVGSSSLYSSPETKLVLKKSRTQGQQMKLSPLNRVIVGIVATSP >OIW05586 pep chromosome:LupAngTanjil_v1.0:LG08:25160728:25165054:1 gene:TanjilG_23372 transcript:OIW05586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLTGAALNDQRNEDSVYFGFGDYYCFVVRPGVFAGAAILTLSSVAFGIVYYITLTVRKNGSNSGGDSSNQGGIVMGQPQLPRQSQDPVFVHEDTSAAAKSAEKASPSTVVSEKMMQSTTQNHVIVHTDTDADASNHHDQNQINNNEDNDVDVDDSSQREQQQQQQQEVTHILQLIASTGNFWHDWDKLKSMLSFQLKQVLSEYAEAKMTSEEQYASLGESYSELVNKLDEALSSFIEGPPFTLQRVCEILLDAKSIYPNLSKLALALEKNLLVTSTLTISADPYPQTVVQEQADQENASEEQKQEPDNAAQNGIEPVVADKDEVMVEADVDDDMKVDTEAIEDINKSSETDSEPNANSA >OIW05928 pep chromosome:LupAngTanjil_v1.0:LG08:22325194:22328971:-1 gene:TanjilG_07204 transcript:OIW05928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIDENVDIWTTLELIDDVKRLGLSYHFEKEIAKVLDRIQRMEAQWYIDAYGRRNDANKVLLDAAKLDFNIVQSTLQKDLQEMSQWWKGTGLASNISFSRDRLMETFYWTVGIVFEPQFSHVRKGLTKVCSLMTIMDDMYDVYGTLDELELFTAAVESWDIKSIQLLPQYMKICFLSIYNTVNEIAYDTLKDEGEYILPYLTKAWTDYLKAILQEAKWSKDKNLPKFDDYLKNAQVSVAGVIMLIHVYFLLNHNITKEALVSLKNYHELLHRPSIIFRLCNDLSTSKSELERGEEAKSILCYMSENGVSYEDAYKHIHSLLDENWKRLNKDRVTSSPFPKHFVEIAINLARISRCFYLYGDDFGAPDNAAKNRIWSLIIEPIATRETNA >OIW05910 pep chromosome:LupAngTanjil_v1.0:LG08:22632259:22636841:-1 gene:TanjilG_23696 transcript:OIW05910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETLSPNPGGQKQRLPMAARIQSPTSPFFLGSNDDQLERARARAARAAAIRSRNVAANLNSQSQDSDPCLDKQQILDLFQNCIKLASENKINQKNTWGLNLIDHLTDIIKAEEEGDSTETNFQKASCTLEAGVKIYSLRVDSVHSEAYKVLGGMNRAGQEAEQETPLEVANVESGQEESRKETNKKLSPLSTLESSFEVLNVKKFDAAFAVDPLYRQTTARFDEGGAKGLLMNNLGVYGGCRVLFDSQEVPARCMASQNQHDVYDTIDLSFMKDCVDQMVSDMCMKDEISPTIRTIVNQFDENNRRPTNFQIDGQNSAEDLDAAFDCEIESDRVEYENYTAWTDDHDNQTFVADLGSNDADPSFPSYLEENEGFPSQGQDPDMDDRFENVDGYLFFSLGFSSKQNAWAGPDHWKYRKSKVTEVHPTNEDGPTLKTTQPRSKKQAEVDLNFTSSLEKKMADIFAPPKNPKSLLLPECRLPCNTKLPEDCHYQPEDLIKLFLLPNVKCLGRRAKRFSDGSREQPNDYEAFPSWDNGSACGGEPGDYEGDFHSDIEDSGTLITQPRQVNKIEVQYDKTSKRIDVQALKITLWDHVQESAKLPLQDQNGTVSFKNMLANFPGECNAAAAISDISPHLCFICLLHLANEKGLSIQSCSNLDDLAICLPHVDDAISGAV >OIW05863 pep chromosome:LupAngTanjil_v1.0:LG08:23006164:23007348:-1 gene:TanjilG_23649 transcript:OIW05863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDCNHRPHEEQQQPPTSSSPPIGTCCKCGGPTTFAPPPPSPSFSEISPPPTYRPIRAPAIPPDPTSQRAIMLAPIPQSHPVPPLQGYNFVTPTKRIRSTDDISHFHNSDSGKHFLGFIVSLSDSIRGCKISDPCHVSQTVTAIVSILETLTLWVDEIPPVQQAARYGNIAYRTWHEKLVENAESLMMKILHEDVKQAKVEIAAYFTDSFGNASRIDYGTGHETNFVAWLYCLARLEEIVEEDYTAVVSRVFVKYIDLMRKLQLVYCLEPAGSHGVWGLDDYQFLPYIFGASQLIDHKYMKPKSIHNTDILDNFSKEYMYLACIVFIRKVKKGAFAEHSPMLDDISGVPNWNKVNTGLLKMYKAEVLEKVPIMQHFLFGSIIEWYVGFSIPASM >OIW05594 pep chromosome:LupAngTanjil_v1.0:LG08:25117461:25123879:1 gene:TanjilG_23380 transcript:OIW05594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIEQDHGSNGNSSVTNIDYEMRIRDNNNKKGVMYHLVWEDLSVVVPNFGNEHTKRLLNGLSGYAEPNRIMAIMGPSGSGKSTLLDALAGRLSRNVIMSGKVLINGKKRRLQCGGVAYVTQEDILLGTLTVRETISYSANLRLPATMTKEEINDIVEGTIMEMGLQDCADRILGNWHLRGISGGEKKRLSIALEILTRPNLLFLDEPTSGLDSASAYFVVQTLRNIAHDGKTIISSIHQPSSEVFALFDDLFLLSGGQTIYIGPAESAVQFFANAGFPCPSRRNPSDHFLRCINSDFDTVTTTMMASHKMHDPKSTASLMNLKTEEIKVKLIEKYRWSEYATAARARIKEISNIEGHDAESKSKSQAKWWKQLSTLTKRSFVNMCRDVGYYWIRVTIYIALSLCVGTVFFEVGTSYSAIFARGACGAFISGFMTFMSIGGFPSFIEEMKVFYKERINGHYGIAVYIVSNFLSSFPFVAMMSIATGTITYYMVKFRPEFSHLMYICLDLIGCIAIVESSMMIIASLVPNFLMGLIIGAGYIGVMMMTAGYFRQIHDLPKIFWRYPISYINFSAWGLQGAFKNDMIGLKFDPLIPGGPKLEGEIILTTMLGMRVDYSKWWDLAAVIIILILVRVLFFFVLKFKEKASPLLHSIYAKQALQCMNKRPSFRKVPPSNFTSKRHQSLHPMSSQEGLNSPIH >OIW07085 pep chromosome:LupAngTanjil_v1.0:LG08:5628454:5628816:-1 gene:TanjilG_02719 transcript:OIW07085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNRAAAYGNHGGGRRKPLGPCQSCREVAGGTLTDRAAPAVALKLTVIIRANRDEPEHILCVGGEEKTRSGTSLDCMAACVSALLASRHPEPIFGGVSIVQKCFVQGCTSNEFRTPLFMA >OIW05904 pep chromosome:LupAngTanjil_v1.0:LG08:22686848:22687039:1 gene:TanjilG_23690 transcript:OIW05904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMDMMATERVCYVHCNFCNTILAVLTYIISILFFLLSPSFIFSILLPLVYLFIYLFIVASLS >OIW06726 pep chromosome:LupAngTanjil_v1.0:LG08:9157343:9163075:-1 gene:TanjilG_11451 transcript:OIW06726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDMFEKQTSSDVSNYASSSGLSGDDKEIGYGVATFKHGYLSAKNSVFHFLPFKIDLNFARMVIAEMMGTFILMFCVCGIIATTQLQNGAVGLLEYAATAGLTVVVIIFSIGPISCAHVNPAVTIAFATLGHFPWIKVPIYIIAQTIGSVIATYIGSLVYGIKSEVVMTKPLQGCNSAFWVELIATFIIMFLIAALTSESQSVGHLSGFVAGMAIGLAVLITGDQTCSTLSSPNISDVGHPIPFCAMAPESCSPQDGKTTSLTQIMVASSIGLMFAFAMHYRLKNIRDRKIIPRLRLSKHAQPPKLERFSHYVARQMGFKDRRSCPHLCKLASEYIRQCEGCEDDIYTFFENEPDADSLFVKLVEEFERCILSYFAFHWKHGDVLISQVLSSEIEPKRKLKNIVMAATREQRVERVTKNLKVARVFNTLVEEMKAMGLVSADDSQCTEVMAPVAHSDRSPVLLFMGGGMGAGKSTVLKEILKEPFWAGAAGNAVVIEADAFKESDVIYRALSSRGHHDMIRTAELVHQSSTDAASSLLVTALNEGRDVIMDGTLSWVPFVVQTITMARNVHRRRYRMGAGYKMNGDGTVTENYWQRIEDEEPEKVGGKKRKPYRIELVGVVCDAYLAVIRGIRKKVQVYRSVRMPPLDRYIHQYITALPLTSTFFPPVHGRVLRFIDSI >OIW07238 pep chromosome:LupAngTanjil_v1.0:LG08:3139012:3143231:1 gene:TanjilG_08353 transcript:OIW07238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKENFVGAGIAHSVVGGEATNSFQVAPRIESNLDFSMATVPAPSPATEGKKKRGRPRKYGPDGKVAAMALSPMPISSSIPLTGDFSAWKRGRGRPVESIKKSTYKYEVESPGQGGGIAYTVGANFTAHVLTVNAGEDVTMKVMSFSQQGSHAICILSANGTISNVTLRQPTSSGGTLTYEGRFEILSLSGSFMPTDNGIARSRSGGMSVSLAGPDGRVMGGGLAGLLIAAGPVQVVVGSFLPGHHLEHKNNQRRVEHISTITPTHVNPISNDDGIKVSFGGFKPIMTPAAFQEEHIASYNNVQDSRNSSADDK >OIW06524 pep chromosome:LupAngTanjil_v1.0:LG08:10874091:10877286:-1 gene:TanjilG_29945 transcript:OIW06524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDEIDANGETIINEENAPKEKRKVKHDKPKPWDDDPNIDHWKVHKFDPSWNEGGMLEVSSFSTLFPQYREKYLQQAWPLVKSSLKEFGVSCQLNLVEGSMTVTTTRKTRDPYIIVKARDLIKLLSRSVPAPQAIKILDDEVQCDIIKISGMVRNKALEILTGCYILVQGNTVAAMGSFKGLKQVRRIVEECMLNKMHPVYNIKILMMRKELEKDPALAQENWDRFLPKFKKKNVKQKKVNTKQKKPYTPFPPPQPPSKVDIQLETGEYFLSDKKKSQKKWQEKQEKQAEKTAENKRKRDEAFIPPKEPAKLADKSEVANNNVADIAMSLKKKAKKFGERKSEENLNAETYIIGSSEQVSRKKSKKQRT >OIW06227 pep chromosome:LupAngTanjil_v1.0:LG08:18459500:18461859:-1 gene:TanjilG_03852 transcript:OIW06227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHNSEASNTLQHHSYDHEHESDANASLLHVSNQTHQHTHYHYHVTIPKVNNHCGTTTLSHKPTVRIFTKADTNYSLTIRDHKVVLALSNPNDDYQHWYKIEKYGSIVKDEEGCQAFSLVNKVTGQAIKHTEGGTSTHPSPNSIIRNPLFEDGLNHWSGKGCNIELHDSLADGKILPLFGNSFVSASNRRHSWDGVEHEITGRVELNVAYEVIASVRVSGKNDTSTDVILRATLWIQTKCFSVETIGIATVQASDKYWATMKGKFVLNSYPNKVFIYIEGAPSGMDILLNALSVKPVTKTSPSSPSDAKVCLVPYNPCKFDDSILWTESLECYDGYRAIRVVNNIQLNVDAFGGGEVHDGTPVILWDWNNGDHQKWKILPYCK >OIW05881 pep chromosome:LupAngTanjil_v1.0:LG08:22888441:22890374:-1 gene:TanjilG_23667 transcript:OIW05881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKSKTSSPSMATQSLHSSGSGVPFKDKEVSVSARKLAATLWEINDFSPSRANKDFEVDRTRSCKETMPRSREKVSSMSRSGLFRPHMSDPSYSPTSERMKVFEGDSCKRRVSALSHQHHSGDYYLKGLGAHSSALLIEEAENQQRNKNCLREARNGLSTSKKLLKVLNQVCLREHQSSSMSLILALGCELDRVCHQIDQLIEQQHSNQNEIEHVIKRFAEEKAAWKRREQEKIHDAIKNVAKELEVEKKLRRQTERFIKKIATEMANVKASHLKMSKELEREKRAKEIMEQICDELARGIGEDRAQVQELKRESAKVREEVEKEREMLQLADVLREERVHMKLSEAKYQFEEKNDFLEKARNKLEGYMRTKEEENGDVSPDFKRVEGLENYFNEINGRFQIAEEENDLNAGDGVEHEGDDSDDGSDLHSIELKQDDDSSGYKSSFAHENVAQHDSKRVSTDKEESTGRKSLSEMIQWGSICFNKGTTSCGKRDFGINFKEISNDPERSTKFLSQAQKQDDDKDEP >OIW05964 pep chromosome:LupAngTanjil_v1.0:LG08:21444723:21446507:-1 gene:TanjilG_11651 transcript:OIW05964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTVWFSLKKSLHCKSEPSDVHDPKSRKHLSTILTKKTGRSGCSRSIANLKDVIHGSKRHIEKPPSCSPRSIGSSEFLNPITHEVILSNSRCELKITGYGGFQEGVGNNGGASNNNAVGGASGGSTFVGTLRPGTPGPGGHPTMHYFNPSFRTSSTPPRKSPFLLSEGSTFHGAGAGLHSSNRMSLETDSNGSSTVTCHKCGEQFNKWEAAESHHLSKHAVTELVEGDSSRKIVEIICRTSWLKSENHCGRIERVLKVHNMQKTLARFEEHREMVKIKASKLQKKHPRCLADGNELLRFYGTAVACSLGHNGSSSLCLSEKCCVCRIIRNGFSAKKELKDGIGVFTTSTSGRAFESIEILGDDPSLRKALIVCRVIAGRVHRPLENIQEIAAQTGFDSLAGKVGLYSNIEELYLLNPRALLPCFVVICKP >OIW07270 pep chromosome:LupAngTanjil_v1.0:LG08:2566172:2571234:1 gene:TanjilG_08385 transcript:OIW07270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDIGMAKRYCYNDLLPFGAMVIMETINVALNTLFKVATLRGMSYHVFIVYSYSVAAILLLPAPFISQRSRVLPPLSYPILCKIGLLGLIGCSSQIVGYTGISFSSPTLSSAISNLVPAFTFLLAIMFRMEKVVVKSASTQAKILGTIVSISGAFAVTLYKGPPIIIISHTPSISLHKPINTLNSLDRNWAIGGLLLTAEYILVPLWYIVQVQIMKVYPNELTVIFFYNLCVSILASIVAIFTERNSSAWKIGLDTALASILCSGIFGSLLNNAVHTWVLRIKGPVYVAMFKPLSIAIAVVLGVIFLGDTLHLGSLVGATIISIGFYTVMWGKATEQVNEVVPSQESPTIENVPLLQSYKNEKKMHGNV >OIW06354 pep chromosome:LupAngTanjil_v1.0:LG08:14501422:14502792:1 gene:TanjilG_14999 transcript:OIW06354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLHHGEVSEIDCVSYPICEQVVEIENKESRRWFLTWLLENIDNIRDMG >OIW07152 pep chromosome:LupAngTanjil_v1.0:LG08:4797512:4800831:-1 gene:TanjilG_10125 transcript:OIW07152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPEPVCRKCIYEKFSDEEVDCCPVCNIDLGCLPAEKLRPDHNLQDIRAKIFPFKKKNIKTPEVVSSISLPAKRKERSLSSLVVSAPKVSMQTGFTGKRTKTGTRKATALRGCSFILEETIKKETTCEDNMDSSAAEPSKKHKPDEDIDDSVELTEGKADLWTPLNCLVEAANRTKFYRSNSHATPSSKLDSPTTPRGDVDMSTNANNPELPASVDSELSISKTKNKDNGHKTKFGDDKDGSSLPSRPVKRKRLRPSGQKRVEASEMSASAQVALDASKGKYNRKNSPIWFSLVASEDQKGDVPLPQISACYLRIKDGTVPVSFIQKYLVKKLDLANEAEVEIMCRGQPVLPSLQLHNLVDLWFRTASTSKKIPASVGSSAKDFVMVLSYCRKPLPT >OIW06840 pep chromosome:LupAngTanjil_v1.0:LG08:8381938:8396203:1 gene:TanjilG_03735 transcript:OIW06840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSHKCVCVIAVIFVVVIGIAECRQFQKNELVDEFEGGGLGSGGGFEGGKRGGSGVAFGGGHGGGIGGGGGGAGGGTGGGNGVGIGGGGGAGGGTGGGIGGGRGGGIGGGGGVGGGIGSRHGGGIGGGGGAGGAGGGGGVAGGGGVGGGTGGGRGGSIGGGGGACGYVGGGVGGGAGGGVRGGGAGGGVGGGLGGGGGVGGGTGRGAGRGSGGGGGGGVGGDLGGGGGAGGGAGRGAGRGSGGGAGGGSGGGGDGGGGVGGGGGFGGGAGGSAGGGF >OIW07142 pep chromosome:LupAngTanjil_v1.0:LG08:4941781:4942656:-1 gene:TanjilG_10115 transcript:OIW07142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSQLVSWIFSSTSSSSSSSEEYSIEINTNELNHENNNVIANEDNNHNDHVVDQNQNNNHCISSCNVDDEAIPVALAIPNASPDVTVAFPNIDERNIVIAATTTTMVTHPKRQRVERITSYSSLGKQHQRLWTKQDETELLKGYLDYIRNHRKGTTTLQNDVALLYDHVKPKLNVDFNKNQLVAKLRRLKRKHKVSIDKIYNSASGSSTFKNLQDKAIFEISHKIWGNDKDQDGVFESNGSTPVTENHDLDNGNIEKEKIEQVENNCDEIDNKVSKKLRLCNEDNNGDNKV >OIW06947 pep chromosome:LupAngTanjil_v1.0:LG08:6975172:6977270:-1 gene:TanjilG_18335 transcript:OIW06947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMVLLLHVFCLLLFSSPIQLVSARKCLPALNCGDLGNISFPFTDTRRPDCGILIIHGCDDPEPDITKSIKNNNKWFDVVKIQHNTIKITDNDLRQLLMTKSCDVFNYDSAFTVSSPFASSQTDYYSNLLTCNHTLDPQNYNFAYNSTGCGNATFYDIGKANNRFIGCSMVQLPVTYLSGSSDLFDVITYDVQFEIDLTPDCLTCHDVHEGQCRVDSSGRFYCDQGNRAKIYHIVAPVASVVGALGVLIVLAWCFRRRIFNKENPTHQIIEMFLKDHGHLAAKRYSYLEIKKATNSFRNKLGKGGYGSVYKGKLHDGSLVAVKVLSESKGNGEEFINEVASISVTSHVNIVTLLGFCLEGSKRALIYKYMPNGSVEKFIYKVKEPSNINLHLTCKAIFNIALGVARGLEYLHKGCNTKIVHFDIKPHNILLDEDFCPKISDFGLAKICNRQESIVSLVGTRGTAGYIAPEVFSRNFGGVSHKSDVYSYGMMVLEMVGGRNNVNVEVEDVDECSSDLYFPHWIYKRLELNQDPGLQIVESESDKEMVLKMIIVSLWCIQTDPSNRPTISKVVDMMEGSLESLQIPPKPYLCSPLRSTSGSIDHLTETCQTSYPSEC >OIW07296 pep chromosome:LupAngTanjil_v1.0:LG08:1657427:1678766:-1 gene:TanjilG_11930 transcript:OIW07296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEIITNIEARVATWTFFPIENGEPIQILRYEHAQKYEPHFDFFRDKHNIQFGGNRVATVVMYLSHVRKGGETIFPITNSSLSQTKDDSWSECAKMGYAVKPIKGDALLFFNIRPNATADTSSLHGSCPVIDGEKWSATKWIRARNFDIPHKKLRQIPESKMLQLGVDESYTLKVSKPKRKSILGEAIIEANTVYGALRGLEWHLDGKLRKVLVIDTFNLGKRKLNYCCLLMMHQTFSQLCSFDYTTKTVQIHKAPWSIKDKPRFSYRGLMIDTSRHYLPIDVIKQIIESMSYAKFILFFLHNFRCEREFINAQWNKLKYIIYQILAKIIFASNFPSADNAGINVMAEVDVPGHGESWGVGYPEIWPSPSCREPLDVSKTFTFDIISGILSDMRKIFPFELFHLGGDEVNTTCWRETPHVKEWLHNNNMTAKDAYQYFVLKAQDIAISKNWTPVNWEETFNTFPAKLHPQTIVHNWLGGGVCAKAVAKGFRCIFSNQAFWYLDHLDVPWDMVYTAEPLDGIDTDSEKELVIGGEVCMWGETADASDVQQTIWPRAAAAAGKHVLLMRKSITLTILPRFKHFRCLLNRRGVPAAPVTNFYARRAPDEPGSCYDQ >OIW07194 pep chromosome:LupAngTanjil_v1.0:LG08:4314758:4315928:-1 gene:TanjilG_10167 transcript:OIW07194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSNDEEKTEDYLFKIVLVGDSGVGKSNLLARFARDEFYSNSKSTIGVEFQTQKMDINGKEVKAQIWDTAGQERFRAVTSAYYRGAVGALLVYDISRRLTFDSVGRWLNELHIRSQGCKEVTTAEGKGLAEAQGLFFMETSALDSSNVAAAFQTVVKEIYNILSRKVMMSQELNKQDATRIENGKTVVLQGEEEQEADVESKKKGCCSS >OIW06589 pep chromosome:LupAngTanjil_v1.0:LG08:9782461:9783687:-1 gene:TanjilG_03983 transcript:OIW06589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPATDSQGSSPSSLSHFGRSILSLRHRDHHQVHSMEGISLELELESFQQHITNRLLELSSVDDIDFLSLSWVRKLLDSFICCHEEFRAILQTHRVQILRHPMDRMISDYFERSVKALDVCNAIRDGIEQIRQWQKLLEIVVYAVGPQRSIGHGQFRRAKKALIDLAIGMLDDKESAASIANRNRSFGRNNASKDHHQNQNNNGNHNISNLHQHHRSLAHFRSLSWSVSSTWSAAKQIQAIGYNMYPPKPNDIVATNGLAMTVYIMNSVLLFVMWALVAAIPCQDRGLQVQFNTPKNSLWAAPMFSLHDRITDESKKRDRKNTCGLLKEIHQIEKYARMMNEFADSVHFPLTEEKEREVRKRVQEISQVCNALKDGLDPLERQVREVFHRIVCSRTEGLETLGKPNHSE >OIW06080 pep chromosome:LupAngTanjil_v1.0:LG08:20450113:20450693:1 gene:TanjilG_29836 transcript:OIW06080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVPLAPSFSSNLPTFVAPMNTNEAISCGNGSKVNLNHAGTTTFREVPSCSASNKGNENFNGDFDFLKLALPDPTSSPHFKSTSTLPSCQGTKENQTPSTSEHSFFTLAAKAAQIGKSTARLQNSNVVGGFDQT >OIW06742 pep chromosome:LupAngTanjil_v1.0:LG08:9029336:9032522:1 gene:TanjilG_11467 transcript:OIW06742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSFSSTTQIRSSIFHNPNPSITKTFASLPFPLASSRRSFTSASHYVTLKLCPTFKPFQIRRTPFVKAATIEEIEAEKASIEKDVKNRMEKTIENIRSNFNSIRTGRSNPSMLDKIEVEYYGSPVSLKSIAQISTPDGSSLLVQPYDTSSLKAIEKAIVSSDVGMTPNNDGEVIRLSIPLLTSDRRKELSKIVAKQAEEGKVALRNIRRDGLKAYEKLEKEKKLSEDNAKDLSSDLQKLTDEYMKKVETIFKQKEKVCTWLMDKRSESPLSSFGHVDLGLSDI >OIW06631 pep chromosome:LupAngTanjil_v1.0:LG08:10065478:10067175:-1 gene:TanjilG_04025 transcript:OIW06631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSVVAEIGADGIPREGSVITYTEKVFPHSLFSSSSQLFSLLLILVARKAALELLRKKIEVSTDKIRVTKLKEEQARKAWESASNAVKNEEAIKQKLCGDVSNLDGGPTKSSQDGTKDNSSVPNNATESSGGSAKSVANQSNGQKVVVNGPNQQPPNEGEGINKKKVNLQSKGKG >OIW06372 pep chromosome:LupAngTanjil_v1.0:LG08:14852413:14858546:-1 gene:TanjilG_15017 transcript:OIW06372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIEPPIAVVRFPTGATARSAAGLPPNRSMWSSTEDVNGAGYVASSRLTCCSCSFDVPWVRSKKYTGAPFTRRNKLVKNRVRASSEHLSSAQDPEKKNEKTSYHPSEEIIASTSENGGDARLTAAETSRTIIEVNSKATLMFSSLINDENIIWPDLPYLTDEHGNMYFQVKRSEDILQSLNSENNFVQVIVGVDAMEMISEMDLSGDDFGIEEIDDQDTDDSDDSDEEEEDEAEDEDYDSEWVVSLSDEDAQEDYDETPADWAKLETMRSSHPMYFAQKLAEIASDDPIDWMEQPPACVAIQGVLRPAFIEEHSTMQKHLSANQSSNTDISKSIETKGEKSSAINGHLNNSEASKDNAAQQVENNGNSDIPFNETSFIKLEMTKIQVISAHGHPTVLELEDYMKAQPDAIAHSASKIISHLKAGGEKTLQALKSLCWRYKGLEVEEAQLICVDSLGFDLRVCSGTQVQTLRFTFKKRVHQLFYIATYISQVMIDVSYAIYYASKHLQVKPGETIFFIFRV >OIW06443 pep chromosome:LupAngTanjil_v1.0:LG08:11654945:11658050:1 gene:TanjilG_05214 transcript:OIW06443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCSSILKTTDTTTTTNMKLKFSSFGSKTEKLVIHPSPIKEKQTQNGNLGWQTPRSTTIHNGSNEEAFFDSKAWLDSDCEDDFYSVNGDFTPSRGNTPIHHTFGTPSRNKTPFENRSHGSMIVPSPEKKKNLLDLFRESIRDDRDVANGKKEAKPTIQDVLPKSAQSTPISGANSAWSSERITSEDRASMKEKSVKSAQWCIPVPGLSSCRSFSERRRKSSPAIAVNGKH >OIW06287 pep chromosome:LupAngTanjil_v1.0:LG08:17058540:17065919:1 gene:TanjilG_19725 transcript:OIW06287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAKTETAAAAVAMEEREIINNVMCLLTDPDATPLGAPMYLPQNAGPQQLQQIVNKLLNNEERLPYSFYISDEELVVPLSTYLQKNKVSVEKALPIVCQPQAIFRIRPVSRCSATISGHGEAVLTVAFSPDGRQLASGSGDTTVRFWDIGTQTPMYTCTGHKNWVLCIAWSPDGKYLVSGSKSGELQCWDPQTGKSLSNPLTGHKKWITGISSEPAHLNTPCRRFVSASKDGDARIWDISLKRCVIVLSGHTLAVTCVKWGGDGVIYTGSQDCTIKVWETTQGKLIRELKGHGHWVNSLALSTEYVLRTGAFDHTGKQYSSPEEMKQVALERYNKMRGNAPERLVSGSDDFTMFLWEPFVSKHPKTRMTGHQQLVNHVYFSPDGQWVASASFDKSVKLWNGTTGKFVAAFRGHVGPVYQISWSADSRLLLSGSKDSTLKVWDIRTRKLKQDLPGHADEVFSVDWSPDGEKVASGGKDKVLKLWMG >OIW06555 pep chromosome:LupAngTanjil_v1.0:LG08:9443766:9445637:1 gene:TanjilG_03949 transcript:OIW06555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGTVKKVSDVAFKAGKAIDWEGMAKLLVTDEARKEFSNLRRAFDEVNSQLQTKFSQEPEPIDWDYYRKGIGNRLVDMYKEHYDSIEVPKFVDNVTPQYKPKFDALLVELKEAEQKSLKESERLEKEIADVQELKKKLSTMTADEYFEKHPELKKKFDDEIRNDYWGY >OIW06364 pep chromosome:LupAngTanjil_v1.0:LG08:14694700:14696586:1 gene:TanjilG_15009 transcript:OIW06364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAETFAFQAEINQLLSLIINTFYSNKEIFLREIISNSSDALDKIRFESLTDKSKLDGQPELFIRLVPDKANKTLSIIDSGIGMTKADSKKKKKIKEVSHEWELINKQKPIWLRKPEEITKDEYASFYKSLTNDWEDHLAVKHFSVEGQLEFKAILFVPKRAPFDLFDTRKKMNNIKLYVRRVFIMDNCEELIPEWLGFVKGVVDSDDLPLNISRETLQQNKILKVIRKNLVKKCIEMFNEIAENKEDYNKFYDAFSKNLKLGIHEDSQNRAKLSDLLRYHSTKSGDELTSLKDYVTRMKEGQKDIYYITGESKKAVENSPFLERLKKKGYEVLFMVDAIDEYAVGQLKEYDGKKLVSATKEGLKLDDETEEEKKKKEEKKKSFEDLCKTIKDILGDKVEKVVVSDRIVDSPCCLVTGEYGWTANMERIMKAQALRDSSMGSYMSSKKTMEINPENGIMEELRKRAEADKNDKSVKDLVLLLFETALLTSGFSLDDPNTFAARIHRMLKLGLSIDEEETVGDDVDMPALEEDGAEESKMEEVD >OIW05776 pep chromosome:LupAngTanjil_v1.0:LG08:23872129:23873550:-1 gene:TanjilG_23562 transcript:OIW05776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFLTLVYVVSALYLCSLVWKFFVQRRDQECYILDYQCYKPTNDRMLGTEFCGKIIKRNENLGLNEYKFLLKAIVSSGIGEETYAPRNIFDGREANPTLMDSITEMEEFFNETIAKLLARSEISPSEIDVLVVNISMLAAVPSLSSRIINRYKLRHDVKVYNLTGMGCSASLISLDIIKNIFMSQRNKYALLVTSESLSPNWYSGNDRSMILANCLFRSGGCVILLTNKSSLKHKAMFRLKCLVRTHHGARDEAYNCCVQKEDDQGRLGFHLGKTLPKAATRAFIDNLRVLSPKILPARELLRFLIMSLIKNVMKSNTPKSSSGGGSNINTTKSPLNFKTGVHHFCLHTGGKAVIDGIGMSLDLCEYDLEPARMTLHRFGNTSASSLWYVLGYMEAKKRLKKGDKVLMISFGAGFKCNSCMWEVMKDLGDSNVWGDCIHDYPPLCLTNPFMEKYSWINEVEDPNSYELPDFLK >OIW06425 pep chromosome:LupAngTanjil_v1.0:LG08:11501384:11501852:-1 gene:TanjilG_05196 transcript:OIW06425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNSSLVILSLVVVFGVIVHRGEARPRAFFVFGDSLVDSGNNNYLLTTARADSPPYGIDHPTHKPTGRFSNGFNIPDLISQKLGAESPLPYLSPELTGEKLLIGANFASAGVGILNDTGAQFVS >OIW05840 pep chromosome:LupAngTanjil_v1.0:LG08:23275305:23289560:1 gene:TanjilG_23626 transcript:OIW05840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQPNLFPFLSLIGNPFIFNGDDLSDGIEGSRVFFLLPFLFLSQGGAMDLSKVGEKIFSSVRSARSMGLLPAFSDRPEVPARAAAAAAVARALAGLPPHQRYSLSSSSEELSSIYGSSPHGDAVEELEDGFYEEGFDPIRHVLELVPADENELTYFEKQAALRLIQLDRVAERLSRNVMEHHEVMVKGMNLVRELEKDLRVANVICMNGRRHLTSSMNEVSRDLIVNSCSKKKQALMDVLLILTELRRALDMQSALESLVEEGNYCKAFQVLSEYLQILDSLSKLSAIQDLSCGVEVWLGRTLQKLDAVLLGVCQEFKEDGYITVIDAYALIGDTTGLAEKIQSFFMQEVISETQSVLKAVVHEDEEGLSQNSRLTYSDLCLQIPDSKFRQCLLRTLAVLFDLMCSYHGIMDFQPERKDSAAQTPNQCNEAISCSPGQEVDSNVRACNNSMTTSGDVIHDSSSREESTKVSSLTETTGTTGSPHSGSHNPVNEARKEDSAASTIDSPWYHLRKEATTFVSQTLQRGRRNLWHLSASRVSVLLSSAAACHASIHQFLKNYEDLNVFILAGEAFCGFEAVEFRQKLKVVCENYFIALHRQNMNALKMVLEKETWLRLPPDTVQIISFAGLVGDGAPLISLSSGKSVNVSAAHSNKSMSMVHTGPRRSGFSHWIKSGNPFLQKITISKEGHGYSQPNGSIYGEFDGGSSKNFQGDKVSPRKNDSNNMNGANSVSEDENEDLLADFIDEDSQLPSRISTSSHSRSNSLHGNEEENTIQTGSSLCLLRSMDKYARLMQKLDVVNVEFFKGICQLFKFFFYLVYDTFGKQNTSSSGKTSTNSLNYRLRTVLSKINEDCDEWIKPQSSSPMSFGSSFVHAELTPASPPSTNFAHSSGSSFGLKERCVAVDTMSLVARILNRSKAHLQSMLLLSNSNVLEDFYSHLVDAVPDLIEHVNRTTVRLLLHINGYVERIANTKWEVKELGMEHNGYVDLLLGEFKHYKTRLAHGGIRKETQDLLSDYGLEIVAETLVEGLSRVKRCSDEGRALMSLDLQVLINGLQHFVSLNVKPKLQTVETFIKMFETFIRCNQHFITYAYGHLYFGLLNQAYYLPETEYVHWARAHQEYTKSQIVGLVNLVATMKGWKRKTRLEVLEKIE >OIW07245 pep chromosome:LupAngTanjil_v1.0:LG08:2924002:2928473:-1 gene:TanjilG_08360 transcript:OIW07245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHGGFNKRRSVNTASRRPSNSKPKGVATKKPKSKPSVSLKNQIRSTERMLQRKNLPPEVREAQEQKLEGLKKQQEIHTRLAAERKIFLRDKKIKFFERRKIERRIRRLEKQHRSSSVPAQTSEVADQLSSLKKDLQYVMYYPKTEKYLPLFTGGDDSEIADKRNGLRKQIEDRLVAAAASGKDLEETGSEDDGLLDLSEDDFFVGGSSSDEADADDEWTDKSTREQASSASGKVVSGMSSDEKNQRQISARALMPPPYPSNKKLSRFGSSSGQNSSIRRSEISTSSNTSNSKSSSDIRVRGWFGSSSGQNSSIRRSEISTSSNTSNSKSSSDIRVRGPSGSGTGHGSSLSSNSDAHKPRRKRRPKKKKNQA >OIW07116 pep chromosome:LupAngTanjil_v1.0:LG08:5268350:5269512:1 gene:TanjilG_02750 transcript:OIW07116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQTAKFNFNRIHIAQCCNQEEQIKFNNGKRGGSPAEEFAPLATKFQRRLLLGVGSASLVAVGANFGGITSFLLGFSPQNSRNLKLDVLYPIQGYSRCIDTTEGFEFIYPANWVGDQTLVYRAAKKRELERSLDPPPLGDNQRRRSNINEPVVAFGPPGSTGELNVSVIVSPVPQDFSIEAFGNPEEVGEAVIRTVTGAGLRPEVKGTLIRSSLRDDSLTNAKYYELEFRIETPSFRRHNVFVCCGRGGRLFTLNAQAPESAWPGLKSDFYRIADSFSLTA >OIW06135 pep chromosome:LupAngTanjil_v1.0:LG08:20044813:20047489:1 gene:TanjilG_22357 transcript:OIW06135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKTKLQELCHDRKLGLPKYYTKKDGPDHMPTFKATVYVNSVTCTSLATFTSSKQAQNQAAMLAFNTFSSPPHGSSTPTAECDTKQHIETEKPLNVPSDSSVILNVINTQLIHPDTGRPCKSQLQNYAQWSNPDPSISSSKTEAEQAAAEVPFMSLSVDISEKAMDSKEFHGKAAKSKKEAQQNAAKVAYLALKEYELKEVKLEDISSVNIKLSSNEQYYNVSKRRSSYLLCNRFKMYTCFPNIAAAFSEGITVMPIADNKWVAMSLEFPNEGL >OIW06983 pep chromosome:LupAngTanjil_v1.0:LG08:7296175:7297358:-1 gene:TanjilG_18371 transcript:OIW06983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESKRISVHHALGGGFVADVLLWKNWCGGVAALVSSSALWYLFEHAGYNFLSFIANVMLLLVVIVFLWAKAANLLNRPLPPLPDLEISEETIAKVADAMQIWINRALSIAHDIAIERNLLLSLQVAGVLWVISYIGSLFNFLTLIYIGVLLSLSAPLLYDKYQDKVDEKLYLANGIIQTQYRKIHGIVLSKIPKEKKVQ >OIW05627 pep chromosome:LupAngTanjil_v1.0:LG08:24879146:24879674:1 gene:TanjilG_23413 transcript:OIW05627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEERLKKYICEMPESRICELENTLELKFKVLERSFRESVKNLVEESFHKHKEEEISKRRSKHHESHRVQDGVPDFRTNRITGRKKPPLRILIDNKYMLGDEIGKGAYGRVFKGLDLENGDFVAIKQVSLENIAQEDLNVIMVQN >OIW05838 pep chromosome:LupAngTanjil_v1.0:LG08:23302034:23302327:1 gene:TanjilG_23624 transcript:OIW05838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASRDSKQAEEELTKLKLNEGEEEVVEEFKTPTRLRNKIPSIQTFPPAPRKKRTFSLFMKRSSASDLSLFVRDEEVEPFFLSMFELSRVHKRCRSI >OIW06637 pep chromosome:LupAngTanjil_v1.0:LG08:10091310:10092452:-1 gene:TanjilG_04031 transcript:OIW06637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNETLRKKHVREAPSVPFLWEVKPGIPKKDWKPEVEPSVTHFPKTPLKQIASVPFVWEEKPGTPLPNYHFSVPLKPHAMLIHVASSSGNSVAFNYSSSDESQSINSTMGLANCLELSAKVSNAIPVNGNSFCNYSCDQLQTPLSPTSSETDSSTSSYATGISSPVGVSFLESLFPLYIPKTKRDVHSEKVVSSIPKEQSPEDNNISDMVRRPPTLEELIMMSRRRSNRRKAFQKWDPPKKMKINEAFGCCSFVTNSNMIEGLIKRKYFPRLKLA >OIW05593 pep chromosome:LupAngTanjil_v1.0:LG08:25128690:25134754:1 gene:TanjilG_23379 transcript:OIW05593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPNKIINNSSGDANMACSQSENGFVSSFSLFPEKAVQELLQSPVQGSDDHLIEFSETLRTVAKALRRVAEGKASAQAEAAVWKHKYELERARHQQFENRGKSCPELQPDHDDMRTNNPINRHTLCNETKERSERCCSRNGICSHEVLRDGSPDSDSKMIRKASFKLSWCCKGDQSDQQKHDIVSFERGNITTAQRSSKQISLKWESSPQTVLILTKPNSVSVQILCAEMIRWLRQQKELHIYVEPRVRVELLVESSYFNFVETWKDDKEISALHTKVDLVITLGGDGTVLWAASMFKGPVPPVVPFSLGSLGFMTPFSELNHTSDSEHYKECLESILKGPISITLRHRLQCHVVRDAAKNEYETEEPILVLNEVTIDRGISSFLTNLECYCDNSFVTCVQGDGLILSTTSGSTAYSLAAGGSMVHPQVPGILFTPICPHSLSFRPLIMPEHVTLRVQVPFNSRSPAWASFDGKDRKQLEPGDALVCSMAPWPVPTACLVDSTNDFLRSIHEGLHWNLRKTQSFDGPRES >OIW06076 pep chromosome:LupAngTanjil_v1.0:LG08:20391687:20394012:-1 gene:TanjilG_29832 transcript:OIW06076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSFLILGTVRLVLDGLKSSQSNVFRVYGRPRCGKNKVPLVVLPYDRIDEKCNVFEGTWVWDNVSYPLYEEENCPYLVKQTTCHKNGRPDSFYKNWKWQPGGCKLPRFDPLKLLHMLRDKRMMFIGDSLQRGQFESMICLVQSVIPEGKKSLQRIPPMKIFKAEEFNATIEYYWAPFIVESISDHATNHTVHKRMVSLDSIANHGKHWQGVDILVFESYVWWMHKPMINATYGSPHNVQEYNVTTAYRLALETWANWLESNINPLTQKVFFMSMSPTHLWSWEWKPGSDENCFNESYPIQGSYWGTGSNLEIMNILHDALQELKIDVTMLNITQLSEYRKDAHTSVYGERKGKLLTKEQRANPKSFADCIHWCLPGVPDAWNEILYAYMLKDYQNFS >OIW07047 pep chromosome:LupAngTanjil_v1.0:LG08:6001879:6004717:-1 gene:TanjilG_02681 transcript:OIW07047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKTIGSAISLILVVGVTIGIVVLNDDKKGVTNEELNTHSKTVDALCQNTDDNKLCHETLYPVEAKAPSDYVKTVMKHSMNSLIKAFNMSDKLNVENNNNSVGIKMALEDCKDLLEFAIDELKASNIVFSDKNKNDVAAELKNWLGAVIAYAQSCLDGFNTDGEKKVQSQLQTGSLDNVEKLTALALDVVTGISEMLASLNLTLNVKPTSRRLLNDLDKDGYPIWVSIKDRKLLANRDLVVKPNAVVAKDGSGQFKNVLDAINAYPKKHKGRYIIYVKAGTYDEYIIVDKKKTNILLYGDGPTKTIITGSKNFKDGVKTLRTATFSTQAENFIAKSIAFENTAGPEGHQAVALRVQGDRSTFFDCAMHGYQDTLYAHAHRQFYRNCEISGTVDFIFGYSTTLIQNSKIIVRKPGPNQQNIVVADGTVQKNMPTGVVLQNCEIVPEKSLIPDRLNVKSYLARPWKAYSRAIFIENTIGDFIQPDGFLPWQGNQFLNTCYFAEYGNNGVGANAKNRVKWGRGVLQKNVAAQYTAEQWLQADTWLPTTGIPYQTGFTRA >OIW06965 pep chromosome:LupAngTanjil_v1.0:LG08:7154987:7155535:1 gene:TanjilG_18353 transcript:OIW06965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNYISCTFIPPLTKNTKAARVIFPTGEVKQFKEIVKVAELMLELPNYFLSNSRSLHVGRRFHALGADDELEFGNVYIFFPIRRFNSFVTAADVAVLLMAANSAAKRISGRKTRVQPDNGGGEQPQVEESLQENNKNGVARLSLEGVESIGFHNRLSYCRSRKPVLETINEESIKIRGRIQC >OIW07389 pep chromosome:LupAngTanjil_v1.0:LG08:146312:156741:-1 gene:TanjilG_10224 transcript:OIW07389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISTYVFANDYTKCNDAYSCGSIINLTYPFSGGNRPDYCGEVSVSCESNVPKFSVNSVTYRILGWDLKTQNLTVARDDLWDNLCLTSYHTTTFDGTIFRFDGGLQNVTLLYDCTSNNPPASNSKTCGDKSVYYSLGNFAFEYCTSVYVPILLSQVGSVNSNMDLIPEALRVGFGLDWENYDECNTCMNNNGGVCIVYDGKFSCCNDGTSCPGSGAAAGAVALVAILGIVWFMVKRKKKVAAAKQPRSEDLFTPPSSNGAFTSTTNMSQSVPSYTSSKTDSVPPMKSFYFGVQVFTIDELEEATDNFNPSRELGDGGFGTVYKGELKDGRVVAVKRHYESNFKRVRQFMNEVEILARLRHKNLVTLFGCTSRHSRELLLVYEFISNGTVDDHLHGNRANSNFVSWPVRLNIAIETAEALAYLHASDVIHRDVKSNNILLDDNFCVKVADFGLSRLFPVDATHVSTAPQGTPGYVDPEYYQCYQLTDKSDVYSFGVVLIELISSLQAVDVSRHRNDVNLANMAVNKIQNQELHDLVDPNLGYDKDYGIRRMTTGVAELAFRCLQQQRDMRPSMDEVLEVLRGIKSDEFGATREADVVNVRTEEVMLLNNVHYPLSSTDSFDDKQDNICSFIPSYFLYEGSFGYNFIGPGINPFKLDDTMRISYFNCSNMIIDDDKYVNVDATPCGSRGHIYVVLDNSSNRNFRLSWLYVICEDKCGKGFECHVLDESIGEVQCNQDQLCPYVYDGPNKFTYNCGGSGIWYKIGDFIVGYAVGLYAGLQHVKRGDEYDGIGANIGEAMGRYIPPYIIVRYLIGIVAFIALLIYKWRIRHKSIYENIEHFLEGDIFMPIRYSYKEIKQMSHGFKEKLGQGGFGLVYKGKLRSGSFVAIKMLNKSKDDGQDFISEVATIGRIHHANVVRLIGFCVEGSTKALVYEFMPNGSLDKYIFSKQDNVLLTCEKMYEISLGVAHAISYLHNGCEMQILHFDIKPHNILLDENFVPKVSDFGLAKLYPTDKNVVTVTGVRGTLGYMAPELYYHNIGGVSYKADVYSFGMLLMEMASRRRNFISSVESNIESSDQLYFPMWIYDELDKDKDIELENLTKEENRVTKKMIIVALWCIQLKPSDRPSTNKVVEMLEEESESIEMPPKPSLYPQQKIEEDIEKISEQTQSDDSTCSTSYIE >OIW06629 pep chromosome:LupAngTanjil_v1.0:LG08:10046576:10047226:1 gene:TanjilG_04023 transcript:OIW06629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPYNHFHFLDQHPSNLHILSSGSRPAAVSDEEVLLAASHPKKRAGRKKFKETRHPVYRGVRRRDSGKWVCEVREPNKKTRIWLGTFLTAEMAARAHDVAAIALRGKSACLNFADSAWRLPVPATSEAKDIQKAAAEAAKAFRPVTDEGRLVNEVAVTSAPVTMAEEQEEESSSVPEWLRNMVLMSPTHYYNMGSEYGSVDVEFDDAEVSLWNYSI >OIW05696 pep chromosome:LupAngTanjil_v1.0:LG08:24436459:24436899:1 gene:TanjilG_23482 transcript:OIW05696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSVVDSINNAYQDFIAAAATVLEAKESAGAVKTTATDTALENFKQKWELFRVACDQAEEFVESAKQRIGSECLVDEATGPVAGRPGQATTTGLSPISAVRLEQMSKAVHWLVIELQNGSGASASNAALSHPSAPFDARFSEDAAP >OIW06786 pep chromosome:LupAngTanjil_v1.0:LG08:8674440:8701023:-1 gene:TanjilG_11511 transcript:OIW06786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVRVAVQSRLLRSRCVWRKVHRSTVIAKPNPISLMSLELVASAGYGLDHTVAVDIFDTVRSRNGLTVAIVLKPFNFEGLRRQEEVKALLGKLKANTDLLIEIDIDALLKKDLLTLDEAMKTTNDAVLLAIKAIFILKSILECYKEAEIGFGAAYNIGTSILNSIFDCPFLGVRLKDPNSIVICILASSVPINDSDIAAFVRTFRQTTEYKRDIILSTVHEPNAEPNQLITTVLTLGFWERHNQQQIATKKENAVSPHEVTDSNDIDEGATRIASDLIDENPSMDYEELEPAVSSSSNSELPASRGSEKSEDLFDSMAKYSIHYDSINEGVAVDDYAFQRERLENWNLGPGYEVAKEWAQERAADATPVVDKISIFHLPVGVRPSEEFKDYSKDPFMRKQHEPEIDNDAKVPTTNGGMSSWSTVTDAGLEAVMEFAYSLLKGNNANKPKKHGVLSVRAASMLEAERDLPKKWSPVVEMPYRGGRYKGRCQGGLPEGKGWFYFHTGDRWFANFWKGKANGEGRFYTKSGDAFFGNFKDGWRHSQFLCINSNGTRPTGPPPGQPPSFASRPSPNVAAPFSGVPPPGGSLPNRPLVASPPTMGARPGPTPFNSSPISTPPVMPPTSAPSHFMNNGPPAFSGGALPGPQRFPAQQPIGPPTMRAPPGPAVQPQPPYPMPSQGAMQPPASPFGAPWQMQSQQVAPPPPVPVPSAPRMFHMPPALPNQSMSTTISTAVGQTGAPMTGHSKIDPNQIPRPSPGSAVILHDTRQGNQATIPPVVDFGESGPVRCSRCKAYINPFMKFVDQGRRFICNLCGFSDETPREYHCNLGPDGRRRDADERPELCRGVVEFVATKEFMEGPRTMVGIATFDSTIHFYNLKRALQQPLMLIIPDVQDVYTPLQTDVIVPLSECHQHLELLLESIPTLFQNSRTSESAFGAAIKAAFLALKDSGGKLLVFQSVLPSIGIGALSAREAEGRTNISAGEKEANKLLQPADKAFMELAVEFAEYQVCVDVFVTTQTYVDIASISVIPRTTGGQVYYYCPFSALSDPAKLYNDLRWNITRPQGFEAVMRVRCSQCISFNYYGMFNFNLWPNFSFVQIDCDKTFMVTLKHDDKLQDGSECAFQCALLYTTVNGERRIRVITLSLPVTSMLSNLFRAADLDTQFCCFLKQGWRHPVHFLEHVYKCIQLKFLDERILTASLLSVAANEVPSRPLPLVREKVTNLCINALFSYRKYCATVSSSGQLILPEALKLLPLYTLALTKSTGLRTEGKIDEFSFWLNYVSSLSTQLAIPLVYPRMVAIHDLDSKEDEESVIPSFLPLSSEHVSDDGVYLLENGHDCLIYIGDSVSPDIVRRLFGVATVEEIPLLQYDNSLSKKLNEVVNEIRRQRCSYLRKAFIERKNVFAENLPNWQCTLKTIFFD >OIW06610 pep chromosome:LupAngTanjil_v1.0:LG08:9939476:9943636:1 gene:TanjilG_04004 transcript:OIW06610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSWWGKFSSNSKETKKKANKESFIDTLHRKFKIPSEAKLSGKNGGSRTRRHGNDTISEKADNSPANSRSPSPSKVARCQSFAERPHAQPLPLPGLHPSSLGRVDSEISISSKSRLEKGSKPSLFLPLPKPACMRGRPNPSDFDGDLVTASVSSDCSVDSDEPAESRNRSPRATESETGTRTAAGSPSRLTHKDQSAAVSQLNSREAKTPANILSNHMASTSPKRRPLRNHVPNLQVPPHGAFYSAPDSSLSSPSRSPLRAFGTDHVLSSAFYSGKPYSEVNFIGSGHCSSPGSGQNSGHNSMGGDMSGQLFWQPSRGSPEYSPVPSPRMASPGPSSRIQSGAVTPIHPRAGGTPNESQTGWADEGKQQSHRLPLPPLVITNSSPFSHSNSAATSPSVPRSPARADNPMSPGSRWKKGKLLGRGTFGHVYLGFNNDSGEMCAMKEVTLFSDDAKSKECAKQLNQEINLLSRLRHPNIVQYYGSETVDDKLYIYLEYVSGGSIYKLLQEYGEFSEPVIRNYTQQILSGLAYLHAKNTVHRDIKGANILVDPNGRVKLADFGMAKHITGQTCPLSFKGSPYWMAPEVIKNNGLNLAVDVWSLGCTVLEMATTKPPWSQYEGVAAMFKIGNSKELPTIPDSLSFEGKDFVRKCLQRNPRDRPSASKLLDHPFIKSTSPLERSCLGPEASDPVSEIHIPKNISCPVSPIGSPLLRSRSPQHINGRMSPSPISSPRTASGASTPLTGGNGAIPFSNHIKQSVYFQEGIGNMPKLSSNGVYMNMNGPVHHDSSIDMFRGMQMGSHITSEMVPNENDVLGKQFTRSHLTDPYDFQSVLASRVGRQLLGDQVKINPSIDLSPNSSLLSRGNGL >OIW06030 pep chromosome:LupAngTanjil_v1.0:LG08:22032631:22032951:1 gene:TanjilG_11717 transcript:OIW06030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYKASFFLALILVSNILLAVNVAGRSIGKNSNNPDKKEPQFFFRHEHIGFPPAFGIRPHNPFSGGAGTGTGRSYVPGGDDTFVPNPGFEVPIPGGGGRVTPGVHP >OIW06737 pep chromosome:LupAngTanjil_v1.0:LG08:9051929:9053255:-1 gene:TanjilG_11462 transcript:OIW06737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLRNRSRAVTKPALVADHTAQSSKTNPFQCLFGSPKFIDFTFKCLSGGDALRSPTSILDTRALLCSFGNPFSYESSSQSTQKRSSWDKIDSKGIGLALVKDELVDVNSANKTNRKVLFGKKLRVKIPPLLQPSTFSPFQTCSDDFGTKSKDSQHSDNVTKDSLHADEVVSFSEMELSEEYTCVINHGPSPRTTHIFNNYIVESYCSVPNKPHGSTLVNFLSFCYTCKKHLDQTKDIFIYRGEKAFCSKDCRYQEMVLDDGA >OIW05879 pep chromosome:LupAngTanjil_v1.0:LG08:22900564:22905011:-1 gene:TanjilG_23665 transcript:OIW05879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLPPGVRFFPTEEELVSFYLYNKLQGQINAIDRVIPVIDINAVEPSTLPALAGELCRGDREQWFFFTAGQEREARGGRPSRTTACGYWKATGSPGYVYSSDNNVIGVKKTMVFYKGKAPTGKKTKWKMNEYKAIQPSNQSTTATPQLRREFSLCRVYIISGSFRAFDRRPLENKRVESRFDEIEENRVVAVVDRSCSSQTPHLVGDYNGSMQEVCGSNSTNWNVNNDEIQLQEPLWEWEQLNCRKKLFANFPMAILYALVARGTVVLAEFSAVTGNPGAVARRLLEKLPAETDSRLCFSQDRYIFHILRSDGLTYLCMANDTFGRRIPFSYLEDIQMRFMKNYGRVANYAPAYAMNDEFSRVLHHQMEFFSSNTSTDTLNRIRTIMVDNIEKILERGDRIELLVDKTSTMQDNAFHFRKQSKRLRRALWMKNFKLLLLLTVLIVLFLYLIIAACCGGLTLPSCRS >OIW06191 pep chromosome:LupAngTanjil_v1.0:LG08:18884760:18886446:-1 gene:TanjilG_23071 transcript:OIW06191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYSQIDSDGGIDYMFKIVLMGDSGVGKSQLLHRFVKNEFNLKSKSTIGVEFLTKTVVMDHKVVKAQIWDTAGQERYQAITTAYYRGAIGALVAYDITRRESFDHVEKWLEELKIHADKNIAIMLVGNKSDLSSTREVPTEVAEEFARQQDLFFTETSALDSTNVESAFHGLLCQVYENVIKKHITADGHEPNWDKVNLELEGIKIKVQSEEHEYQKDKKKFECCTVI >OIW06551 pep chromosome:LupAngTanjil_v1.0:LG08:11151755:11152342:1 gene:TanjilG_29972 transcript:OIW06551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEVTGLNYLLPSPYPTNYNTIQNNKFQSQTFPNQLYGFHNNPFQIHENFSPQSSGISSNSTSDEADDQNLSLINERKHRRMISNRESARRSRMRKQKQLDELWSQVMWLRNENHKLLDKLNHVSECHDQTLQENAKLKEQTSELQQIIRDMQIHSPCPCPSFGPLEHVPCDSSYLRISHSSNQSISSNSMDFLG >OIW06429 pep chromosome:LupAngTanjil_v1.0:LG08:11537219:11537596:1 gene:TanjilG_05200 transcript:OIW06429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASALQKLVRKLPSTPTRFITSLHPSSQPPNPFNPIIHLHPESHSLIPPTETPDQSNASTIIFPSFPFGFSSKPIFDSGFCSPEVKEEGLEDSRTIWADSVKKKRKKKMNKHKYQKLRKRMRRQT >OIW06438 pep chromosome:LupAngTanjil_v1.0:LG08:11601845:11609592:1 gene:TanjilG_05209 transcript:OIW06438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSEWHIEKRSISSNESPISRDQESLENTSENGSLSIVVLGASGDLAKKKTFPALFHLYRQGFLPSDEVRIFGYARTKISDDELRNRLHGYLIKDKDASPEQLDVVSKFLHLIKYVSGSYDSEDGFRLLDKEISEHESLKNSAEGSSRRLFYFALPPSVYPSVSKMIKTCCVNKSDLGGWTRIVVEKPFGKDLKSAEELSTQIGELFDEPQIYRIDHYLGKELVQNMLVLRFANRLFLPLWNRDNIANVQIVFREDFGTDGRGGYFDQYGLELNFKSAPRVLLSVLKSPLAISRCLLDLVLSDIVNLVFCLVAMEKPVSLKPEHIRDEKVKVLQSVLPIKDDEVVLGQYEGYRDDPTVPDNSNTPTFASVILRVHNERWEGVPFIIKAGKALGARKADIRVQFKDVPGDIFKCQKQGRNEFVIRLQPQEAMYMKLTVKQPGLEMSTVQSELDLSYIQRYQGVTIPEAYERLILDTIRGDQQHFVRRDELKASWEIFTPLLHRIDKGEFKSIPYEPGSRGPAEADELLEKAGYVQTHGYIWIPPTL >OIW06427 pep chromosome:LupAngTanjil_v1.0:LG08:11524125:11524979:-1 gene:TanjilG_05198 transcript:OIW06427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIGNKCDLSHRRAVSKEEGEQFAKENGLLFLEASARTAQNVEEAFIRTAAKILQNIQEGVFDVSNESFGIKVGYGRSQGQSGARDGAVAAKGGCCN >OIW05832 pep chromosome:LupAngTanjil_v1.0:LG08:23342276:23344986:1 gene:TanjilG_23618 transcript:OIW05832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTLPSLSSTSSSLLTHTFTANSNGPNKSAGFLVFAQKKTKKIRKIILKEDVELLGKKGSLVDVRAGFYRNFLHPTGKASIVTPQLLKEMKVEEERIDAEKRRVLEEAQQLALIFETVGAFKVKRKGGKGKQIFGSVTAQDLVDIIKAQLQREVDKRIVELPEIRETGEYIAELKLHPEVTARVKVNVFAN >OIW06721 pep chromosome:LupAngTanjil_v1.0:LG08:9258449:9259555:1 gene:TanjilG_11446 transcript:OIW06721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFMVNSMERRSSERCLDSQLWHACAGAMVQMPPLNTKVFYFPQGHAEHAHGKVDFGQTRVPPLIPCRVSAMKFMADPDTDEVFVKMRLNPLRENELNFEDDCFLGKNGVVSQDKPASFAKTLTQSDANNGGGFSVPRYCAETIFPRLDYSAEPPVQTIIAKDVHGQCWKFRHIYRGTPRRHLLTTGWSNFVNQKKLVAGDSIVFLRAENGDLCVGIRRAKKGGIGGGTELSSCWNNNHAAPPLFGGGSGFLCGNEKNNSLLMRKGSHEFIGRVEAESVVEAVTCAVNGKPFEVVYYPRASTPEFCVKVSSVRAAMQIQWCSGMRFKMPFETEDSSRISWFMGTISSVQVSDPIHWPDSPWRLLQVYTI >OIW06195 pep chromosome:LupAngTanjil_v1.0:LG08:18952264:18952779:-1 gene:TanjilG_23075 transcript:OIW06195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHKTEKEETEFKKVTETITITPCVNNCGVTVNPSTNNNMCQKCFNATTAAISPPPSRFSDGEATATTRSATSSRSPKRSHPSDEDETATQPDQPRSNDLMLSEAKRVVNRCSGCRKRVGLTGFRCRCGELFCSQHRYSDRHDCSYDYKTAGREAIARENPVVKAAKIVKV >OIW05870 pep chromosome:LupAngTanjil_v1.0:LG08:22952536:22954114:1 gene:TanjilG_23656 transcript:OIW05870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVPNLSNRSIGSSNSNKQNICCFHCAGPLSKQMIGSGVGGTTSAFYGFNQGGVVPALAQLVSSSYHAAMSSPPSEDDEIQKSRTSSTI >OIW05941 pep chromosome:LupAngTanjil_v1.0:LG08:22466038:22471937:-1 gene:TanjilG_07217 transcript:OIW05941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSNSVHDHVSQPLLIPSEIPPPSITQSFSSNHESNGELERILSDTSVPLLKRFGLATWIEFKLLFFLAAPAVIVYLINYIMSMSTQIFSGHLGNLELAAASLGNTGIQVFAYGLMLGMGSAVETLCGQAYGAQKLEMLGIYLQRSTVLLTLAGVILTVIYAFSKPILIFLGESPRIASAASLFVFGLIPQIFAYAINFPIQKFLQAQSIVAPSAYISTATLFIHILLSWVVVYKIGLGLLGASLVLSFSWWIIVIAQFVYIVKSEKCKRTWRGFTVQAFSGLPEFFKLSAASAVMLCLETWYFQILVLLAGLLPNPEIALDSLSICTTVSGWVFMISVGFNAAASVRVSNELGAKNPKSASFSVLVVTLISFIISAIIALVVLALRDVISYVFTEGEVVAAAVSDLCPLLSLAILLNGIQPVLSGVAVGCGWQTFVAYVNVGCYYGIGIPLGSVLGFYFNFGAKGIWLGMLGGTVLQTIILVWVTFRTDWNKEVEEAAKRLNKWEDKTEPLLKN >OIW06239 pep chromosome:LupAngTanjil_v1.0:LG08:18020398:18020583:1 gene:TanjilG_32670 transcript:OIW06239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGRVILERIENKISRQVTFSKRRSGLMKKAFELSLLCDAEVALIIFSGRGKLYQYSSTE >OIW06087 pep chromosome:LupAngTanjil_v1.0:LG08:20579754:20585071:-1 gene:TanjilG_29843 transcript:OIW06087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIPTIDLSMHRSELSKHVVKACEEYGFFKVVNHSVPKNVILRMEEESAEFFAKPSSEKCQVGPATPFGYGCRNIGLNGDMGNLEYLLLHTNPLSIFEGSKTIANDANKFSCAVNDYIKAAREVAAEILDLVAEGLCVPDKFSLSKLITDFNSDSVFRINHYPPLKQKNQLSKHNNIGFGEHSDPQILTILRSNNVSGLQIYNRNGFWIPVTSDPNAFFVIVGDSLQVSF >OIW06988 pep chromosome:LupAngTanjil_v1.0:LG08:6594248:6596008:1 gene:TanjilG_14326 transcript:OIW06988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDANDDVARVSNLQYVLQLCAKTSSSIGGMSCHAQTIRLGLEMDILTSNMLINMYSKCALLDNARKKFDEMPLRSLVSWNTMIRALTQNAEEQEALTLFIQMRREGTPFNEFTISSVLCACALKCAIFECTQLHAFSIKAKIDSNCFVGTALLDVYAKCSSIKDASKIFESMPERSAVTWSSMVAGYVQNGFHEESLLLFHKARKLGFDNDPFMISSAICACAALATLIEGKQLHAISSKSGIGSNIYVVSSLIDMYAKCGCIREAYLVFQGLEEVRNIVLWNAMICGFAKHARALEAMILFEKMQQRGFFPDDVTYVSVLNACSHMGLHEEGQKYFDLMIREHNLKPSVLHYSCMIDILGRAGLLNKAYDLIKRMPFHATSSIWGSLLASCRIYGNIEFAEISAEHLFEMEPDNAGNHILLANIYAANKKWEDVARTRKLLKESDVRKEMGASWIEIKNKVHLFTVGERNHPQIEGVYAKLDNLVEELKKLGYKVDTNHDLHDVEDSRKQILLRHHSEKLAVTFGLMCLPSSDIPIRIMKNLRICGDCHNFMKLVSRFTSREIIVRDTHRFHHFRDGICSCGEFW >OIW06373 pep chromosome:LupAngTanjil_v1.0:LG08:14859997:14862626:1 gene:TanjilG_15018 transcript:OIW06373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLALRDSPLFIAKTFEAIKIPTSIRSTVVCAAKGPRPRYPRVWKTNKRIGTISKAAKLVKSIKELSNVKEEVYGALDSYVAWELEFPLITVKKALKTLEDQQEWKRVIQIAKWMLSKGQGRTMGSYFTLLNALAEDDRLDEAEELWTKLLMQYLESLPSKFFDKMISIYNKRGMHEKMFEIFADMEELGIRPRISVVAMVGDVFKELGMLDKYEKLHMKYPPPRWEYRFIKGKRVRIQVQGQPNRANNYREKDEKDLNEDHTSEDNSEVMDEQFQQGADVILMEPVQISDDL >OIW07055 pep chromosome:LupAngTanjil_v1.0:LG08:5920234:5925929:1 gene:TanjilG_02689 transcript:OIW07055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIIGVSSIYQTPSLQRPQQRNPSVSLKPLSFYNNNNDNSHFNTLLRAHLYNRRISAIATNNSSLLAEEALDGLGFDDVTDDNDDFDSFDYENENENPSATISTDELDVSKLDLPSRLVESLKKRGITHLFPIQRAVLVPALEGKDIIARAKTGTGKTLAFGIPILKGLTDDDRNPIRRGRLPKALVLAPTRELAKQVEKEINESAPYLQTVCVYGGVSYVIQQSALSRGVDVVVGTPGRIIDLLNGNSLKLNEIQYLVLDEADRMLAVGFEEDVETILQTVPSERQTMLFSATMPGWVQKLSRKYLNKPLTIDLVGDEEEKLAEGIKLYAMSATSNSKRTVLSDLVTVYAKGGKTIVFTQTKKDADEVSLSLTNIISSEALHGDISQHQRERTLNGFRQGKFTVLVATDVASRGLDIPNVDLVIHYELPNDPETFVHRSGRTGRAGKRGSAILMYTSSQRRTVRSLERDVGCKFEFISPPAIGEILESSADQVVATLKLVHPESIEFFTPTAQKLFEEQGTSALAAALAQLSGFSRPPSSRSLINHEQGWVTLQLTRDGDNSRRFLSARSVTGFLSDVYSPAADEVGKIHLIADERVQGAVFDLPEEIAKELLTKVIPPGNTISKITKLPPLQDDEPASDFYGRFSDDRGQRSSRNSRGGFKSSQSWGGGRNSDDDFGSSYGRGGGRSYRSGNNNNRSQTRRSSGDDWLIGGSGSSRSSSSNRSSYGGGSYGGGACYNCGQTGHKASEFYTADVKFKTCIVAVIFSKNSNSGPDLSIKRSCL >OIW07082 pep chromosome:LupAngTanjil_v1.0:LG08:5694753:5699406:1 gene:TanjilG_02716 transcript:OIW07082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPEKEETDEYGVLLYYNYVDIPDLNDMVTFYNSNCSSLGLLGRVRISPHGVNVTVGGKLSCLESHIDAVKSIHNGLFQSTDFKLATCHHPLNDQVAQESGFTSLSIRIVKELVTLSSHPLLKSPEISNAGKHLSAVEFHSSLHKADKESPENSLVLLDARNLYETRIGKFDVPNVETLDPQVRQYSDLSSWIDDKSDQLKGKNILMYCTGGIRCEMASAYIKSKGAGFENVFQLYGGIQRYLEQFPDGGFFKGKNFVFDHRISVGSSDANIIGTCLICHCSFDDYSSRCRCTNCRMLVLVCHSCQNEAALYVCELCQKQGKAVRSMQLIENGESKTSLPGVDFQDFSSDTILLPQMPRGDDVKTSRKLRILCLHGFRQNASSFKGRTASLTKKLKKIAEFVFIDAPHELPFIYQTPTPVPQGSCVMSSLPSSPPPPSENCRKKFAWFVAPNFDGGCGVDWKVTDSPFDPLQYQHQTDGYAISISHLETVFSQQGPFDGILGFSQGAAMTALISAQQEKLKGKMDFKFVILCSGFALNVKEMECGFIKCPSLHIFGNEHGKDRQIDNQASKELASRYDGGCSVIVEHDSGHIIPTRSPYIDEIKDFLGRFL >OIW06060 pep chromosome:LupAngTanjil_v1.0:LG08:20259821:20261197:1 gene:TanjilG_29816 transcript:OIW06060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METVKPSAVTPSKSKWARAFAKVLHIRSLSKIAQVDRLKNAKVDANLNYEGNTLSKSFNKNDEELQEKMATETLLAKVFASLSSVKASYVQLQYAQSPYDPDEIQAADRLLVSELKTLSELKQCYLNKQFGPSPEREFLEAESKELLSVIRAYEFMGKKLELQLRLKESEIIFLREKLEETNRENMSIEKMLNQSGSLSVLDNLHISGLSPSHFTTVLRHTVRSIRSFVKLIVNEMRSAGWEIDASVNVITEQNMVFWNEDHKCFAIESFVCREMFDSFNSPNFSLPNESLPDKSKLQKLFFGRFSELKSLKAKEFLAFKPRSPFAKFCRVKYLKLIHPKMESSFFGNLNQRNFLNTGEFPDTNFFTSFAEMAKRVWLLHCLAFSFEPQAFIFQVEKGCRFSDVYMECVNDEILVEESEPQVAFTVVPGFRIGKTIIQSQVYLSAPNQGKKLTATKQR >OIW06319 pep chromosome:LupAngTanjil_v1.0:LG08:15357357:15358790:-1 gene:TanjilG_17693 transcript:OIW06319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALETLLIKVKTAFSHSFNPVLPSNPKKLFLKTKPKNVGVLAFEIAGVVSKLLHLWRYLSDANIIRLRNDAVSLEGVLKLISNDEPFLLSLARAEFIDSIRLIADSVSRLSHKCYDPNLRSFHRVFTEFANSGFDPFMWTFACPKEIEDKRKILELYVSLTQTLRKEMEELSILENGLKKTLLSHNNSNEKIEAFSSSTGKDHHKICGLQNKILCQKQEVKELKEKSLWNKGYDGVVLLLVRFCFTVLARVKVVFFGIGPCLSRSLSASATVYPSATTFVSGSLKSSKLELEKREDFGIEFFESNCKLLKPEQNTLGDSALALHYANLVIVTEKMIKSPHLVGIEARNDLYDMLPSSIRSSLRSRLKGSIGFCASDPVLSCEWKEALVRILRWLSPLAHNMIKWHNERSFEHQNLVPKSNVLLLQTLFFANKDKTEAAITELLVGLNYIWRFEKEMTAKALFQCVNFNGLLNFQKSS >OIW06877 pep chromosome:LupAngTanjil_v1.0:LG08:7473589:7475765:-1 gene:TanjilG_19526 transcript:OIW06877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRLCYSTLDCCFRRGGGDGLLWHTELKPHAFGEFSIAVAQANYSLEDQSQVFTSPSATYIGVYDGHGGPETSRFINRHLFSHLHKFVTEQGGLSADVIKKAFSATEEEFYNLVKLSMHINPQIASVGSCCLLGAISNNVLYIANLGDSRVVLGRRGNESKRSPVVAERLSTDHNVADENVRREVEARHPDDSQIVVYTRGVWRIKGIIQVSRSIGDVYLKRPDFFRDPAFRKYGNPIPLKHPVMTAEPSIITRELGPEDLFLIFASDGLWEQLSDEAAVDIVCKYPRAGIAKRLVRTAIQKAAKKREMRYADIKKIDKGIRRHFHDDITVVVIFLDHHKGSSHGRFKQTTVSCTTAPADIFSLNSEEAEAEKSMLRSVG >OIW06736 pep chromosome:LupAngTanjil_v1.0:LG08:9060945:9065945:1 gene:TanjilG_11461 transcript:OIW06736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSKVKQMIKLIEEDADSFARRAEMYYKKRPELMKMVEEFYRAYRALAERYDHATGVIRQAHRTMSDAFPNQIPMMDETEAEPRTPDVGHPSRAFLETDELQKDASTHFPSMKRIGAHTEELDSSMNKTGSKQLVDHVITGEHVNVAKLAGGHARRGLNFIGTQESKGINNGSHDSRTRVLSERVTKAETEILALKKALAKLETEKEASLLQYQQSLEILSNMELEVSHAREKSEGLDERASKAEFEVQALKQALTILQAEMEASLNQYQECLEKISNLEKNISFAQKDAREHNERATRSESDAESLKQDLARVEAERDDALVQHKQCLESLSKLEERLEEAEEKARRINEQADKAESEIEALKLEVAKLTEEKDDAAVRYEQCLEIISSLEHKLSCAEDEVCRLNSKVDDGDEKLHSSEQKCLQLETSNHILQSELQSLALKLGSQNEELSEKQRELGTLWISLQYERLRFIEAMIEDFGSAGLDAQCFGASVKQFQDDNSKLKETCEAADKGEKASLLEKLEIMEKLLEKKSVLVPNLNAEMESVIGKVKVLEEKCQSLFEEKSTLMSEKATLFSQLQATAEKLEWLSEKNNLLENSIFDVNAEHDGLRVKSKILEDTCQLLDDEKSSIISEKETLLSQLNITQKTLKDLEKQHNELELKHLELKGERESALQKVEELLLLLYSEREEHSRVMKLNEDDIDEKELQIQTLQEDANSQKKEYEEELDIAVHAQTESFILQKCIQDLEENNLSLLVECQRPLEASKVSERMISKLDNDLDGRLKIMACKLEEAEMENSHLKESFVRSNVELKLVESVNDQLSCQIRTEKELLSQKENELLEASMMFCAIQTEKTELQRMVEDLKIKYNDAKGILEDQANQILKFSSDKDRQNEELRCLCEVNQKLESEMMQLHQELGETKLREKELSYELHKGTNEIEPWDTQAETLYAELLISAVNETLFEGKVSELAYVCENLESRNYSKEMEIELLKETVSKLEGENGKLHGHVAAYVPAVSALNDCITSLEMHTLAHARPHEYEESKVQDLVNHRYAESGRQTGEDHTFTAPDALMKFQDMRIRISAIEMAVKHINFEPEGEMREAKQQRHGFINEIEDQPKDIMLDQISECLHGINRRGALEADDKMLELWETTDKDDTIEVDKRHDMMAQRGATEEWKNKYPSSEFLVEKELSVDKLEISRRLTEPHEDGNKSEVLEMLDSDAQKLTKLQITIQELMKVKITEMGKKGKHVDYDSVKDQLEAAQETIRKLFEANHKLKKNVEEGRMSFDEKAAVESGEIGSVSRRRVTENLRRKSAEKLGRLQLDVKRLLFVLLKQGGVKDSKEKAKVADHRSPRVILRDYFYGGIRANKEKKRAPFCACMKPSTVEN >OIW05874 pep chromosome:LupAngTanjil_v1.0:LG08:22932661:22936911:1 gene:TanjilG_23660 transcript:OIW05874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRENANSSPVAKPSEPEKPAESDERVNFTDANDSDEEIEYEEIEVEEEVEEEEEEDPEEIEEEEEEEEVEEEEEVEEVEEDDAKVEDENEKKKHAELLSLPPHGSEVYIGGIPLDVSDEDLKAFCEHIGEVAEVRIMKGKDASENKGFCFVTFRSVELASKAIEELNNKEFKGKKIKCSTAQAKHRLFIGNVPRSWDEEDLRKVVSEIGPGVTSIELVKDMKNIKNNRGFAFIDYYNNACAEYSRQKMMSPSFKLGDNAPTVSWADPKNADSSASSQVKAVYVKNLPKNVTQEQLKKLFERHGKITKVVLPSAKSGQEKNRIGFVHFAERSNAMKALKNTEKYELEGQILECSLAKPQADQKSGGSNTQKPGLLPSYPPQVGGYGLLGGPYGALGAGYGAPGLPQPMMYGTGPTPAGMGMMPMLLPDGRIAYVLQHPGMQPQAQTPPSHQRSGRSGGGSSGSRNASSSNKGRHNNDNGQGRRYRPY >OIW07386 pep chromosome:LupAngTanjil_v1.0:LG08:184230:184517:-1 gene:TanjilG_10221 transcript:OIW07386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKKVYVVCGMVLVLLLLVELSFKVDAVNCNPVELSPCLQAITSNIPPPSICCQKLREQIPCLCGYLQDPNLRQYVNSPGARKVTSSCGVPFPTC >OIW06662 pep chromosome:LupAngTanjil_v1.0:LG08:10295749:10298410:1 gene:TanjilG_04056 transcript:OIW06662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNDDMTGVKEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEMGRAFVYVILGGGVGAGYAALEFVNRGLSNGQLCIISQEPVAPYERPALSKGFLLPQAPARLPSFHTCVGANEERLTPKWYKQHGIELILGTAVKSADVKRKTLLTATGETISYKILIVATGARALKLEEFGVTGSDAENVCYLRDIADANRLVDAMQSSPGGNAVVIGGGYIGMECAASLVINKINVTMVFPEPHCMARLLTPEIAAYYEEYYKSKGVDFIKGTVLQSLDFDSNGKVTAVNLRDGRKISVDMVVVGIGIRPNTGLFEGQLTLEKGGIKVNGKLQSSNSSVYAIGDVAAFPVKVFGEIRRLEHVDAARKSARHAVAAIMDGDKTGEFDYLPFFYSRVFTLSWQFYGDNVGEVVYYGDMSSGETFGAYWVSKGHLVGAFLEGGTKREYEAIAKTTRLRPEIEDLAELERHGLGFAVTVSQKPALVLPLVDVRTSDLLLEKPLFAWHATAGVILAASIAAFAYVYGRRRRRW >OIW06706 pep chromosome:LupAngTanjil_v1.0:LG08:10667838:10675998:-1 gene:TanjilG_04100 transcript:OIW06706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTASSSSSPPSPPATTGNITNLDDDSLAHCFTDLNLHDVCNLAMTCSNLRRAAYSDSIWQRLFRKQWHEHSLPVNGARDMYMARHNAVQQFKFTDPMDYHIYANPEPINHLFFNENYVFFSQGSLVHMLSLGSYLNDTTIDFHSMDTLRDHKARITCMRLFSLDETTLSRGQTQREQSILVTSSCDHSIRLSWEGSCLRCLRGHNGPVLSLSNKLLGDGRSKVLASGGEDGTVRLWSLSSKRGAHALKATLYGHEKPVSLMSVAGHKTSLLVSMARDSKVRVWDTASSSARSSCCVGMTSVPGAPIDMKCHESLLYVASGSSVTAIDLRTMQKVITTSVHKRKLYSFDIFPSKSLICTGSDGRAMLWDIRRNQEHLKPEPIAELDGHCGPVTLLHMDAYKIVTGGCKDEYINVWETETGKQINSLVACDSVEYGAKIGCNGMAVKGSRIVTVVEKQTNSLISFRDFQSATNLVSNLGKEQQSKFWDSMSGVNSDN >OIW06952 pep chromosome:LupAngTanjil_v1.0:LG08:7014274:7015769:1 gene:TanjilG_18340 transcript:OIW06952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSDNGVEVKRVFTGVGCNRIVNNVSWGASGFVSFGAHNAVAIFSPKSAQILTTLPGHNAVVNCTYWLPTTKFFFKAKQLEQHYLLSRDAYGVIILWELSLVDGKWRQVCRLPQSHKKGVTCINGILVSQNEALFAYASSDDSVCLWEVVFSLASGGECKISCLDSISVGSKSMVALSLAELPRSNVQLVLAMGGLDNKIHLYCGRRTGKLVQACDLKGHTDWIGIWTSRYLQG >OIW06470 pep chromosome:LupAngTanjil_v1.0:LG08:12087377:12090925:1 gene:TanjilG_05241 transcript:OIW06470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKVSIFLVGLMLLQCWVAMTDAEHLKYKDPKQPLNTRIKDLLNRMTLEEKIGQMVQIDRSVASADVVNKYFIGSLLSGGGSVPKPQASAEDWINMVNSFQKGALSTRLGIPIFYGIDAVHGNNNVYKATIFPHNVGLGATRNPALVRKIGEATALEVRATGIQYAFAPCIAVCRDPRWGRCYESYSEDHKVVQAMTEIIPGLQGDIPANSPKGVPFVGGKKKVIACAKHYVGDGGTVRGINENNTVIDRHGLLSIHMPAYYNSIIKGVSSIMISYSSLNSKRMHANHELVTGFLKNELNFRGFVISDWQGIDRITPSPHANYTYSIQAGINAGIDMVMVPLNYTEFIDGLTLLVKNKDIPISRIDDAVRRILRVKFVMGLFEDPLADYSLVHELGSTEHRQLAREAVRKSLVLLKNGENADKPLLPLPKKAKKILVAGSHANNLGYQSGGWTIEWQGVSGNNVTSGTTILSAIKNTVDKNTEVVYKENPDLNYVKSNNFSYAIVVVGELPYAETKGDSLNLTIPAPGPDTITNVCGGVKCVTVIISGRPVVIQPYLQTIEALVAAWLPGSEGQGVADVLFGDYGFSGKLPRTWFKTVDQLPMNVGDPHYDPLFPFGFGLETKPNKAI >OIW06241 pep chromosome:LupAngTanjil_v1.0:LG08:17931138:17937900:1 gene:TanjilG_26015 transcript:OIW06241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQKHVLLSALSVGVGLGVGLGLSSGQKWVGGNGDSDEISEEQIVMELKNHVLDGKESVVTFNDFPYYLSERTRVLLSSAGYVHLKNQHFSKHTRNLSPASRAILLSGPTELYQQTLAKALAHCFESKLLLIDISDFSLKMQSKYGCSRKEPSFKRSISEVTLERMSGLFGSFSILPATGVTRGTLWKHSSAVESSNNPHKLRRNASAASDISSTASQCGPTNPVPLKRTSILCFDEKLFVKSLYKVLVSISETGSIILYIRDVEKLILQSSRLYNLLQKMIKKLSGSVLILGSQIIDSDDDCKEIDERLSMLFPYNIEINPPEDEAHLGIWKSQLEKDMEVLQFQDNRNHIAEVLAANDIHCDDLTSICHADTMILSSYIEEIVVSALSYHVMNGKDPEYRNGKLVISANSLSHGLSLFQEGKSSGNLKTNEPIKDNSGNDVRSDNQSPENKNETEKSVPVTKKDAENPAPAKLEVPDNEFEKRIRPEVIPASEIGVTFADIGALDETKESLQELVMLPLRRPDLFKGGLLKPCRGILLFGPPGTGKTMLAKAIANEAGASFINVSMSTITSKWFGEDEKNVRALFTLAAKVAPTIIFVDEVDSMLGQRTRVGEHEAMRKIKNEFMTHWDGLLTRPGEQILVLAATNRPFDLDEAIIRRFERRIMVGLPSAENREMILKTVLAKEKHENLDFKELGTMTEGFSGSDLKNLCITAAYRPVRELIQQERRKDMEKKKKAAEGQGSVDASNNEEDKEEREITLRPLNMEDMRQAKSQVAASFASEGAVMSELKQWNDLYGEGGSRKKQQLTYFL >OIW06404 pep chromosome:LupAngTanjil_v1.0:LG08:13187859:13188716:1 gene:TanjilG_16816 transcript:OIW06404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQQKQNLTLQKRTNTTTTRSSRRITPSNHHTSDFDDVSNKLLIRQSSTSSIPSFHNHVVHAVADRNLKHCTRPASSNKLSYVIRSFLKLFTFPIIIPSWLTISSHLSITSSVPRKVTGTLFGNRHGHISFAVQLEPRSEPILLLQLAMTTFSLVKEMSSGLVRITLECEKSPATVKSGGRERMLFHEPTWTMYCNGKKCGYAVSRTCGDLDWHVLRTVQSVSVGAGVIPLLEENGGGSGESEGELTYMRARFERVIGSRNSESFYMLNPDGNGGPELSIFLLRI >OIW06284 pep chromosome:LupAngTanjil_v1.0:LG08:16967961:16978822:-1 gene:TanjilG_19722 transcript:OIW06284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQKEPQVEVSWLPATPAKPILIKPVPICEPEEQNQQLGCKHDNEAVACYEFSAGAVPGTTLANSISGNGKTCVQTASDNFSGWSKLGFSELLFLTEAGSGMLPDNHNGLDNPFIPSLMSQFNGDVQGTSHTACCSKETSQNMLPPDIAIRAESEQIASMHINVEEKGPHGEEENISAKTLGDNATSPSKELSEPVVEFAAVSTQLKENDNPDYGSSHDIDLSKTPQQKTKRRRKHRPKVIIEGKPKRTRKPATQKPAQAKETPTVKRKYVRKKGLNNTSISPTEMMGELTEEINPESAKQPCRRSLNFDIGPIDESSACRENATAHMGKETGVVEQQTNENLAHDLSTITSPSKENPPEKRKYVRRKKMSKTSAPTIEVTGELPKAVMTESAKTSCGKSLDFGIGASDQSLAGIENATVHLGNEIDVAAQETNVGLAYDLKTSTEKASNNYMPLSKDTQVPSTSSSRSTPPAAEAKEDATTKRKYVRRKGPNKSTLSSAEMAPALTEPVVPDSTNMSWRSSSGKQNLSEHITMDNNSKQEDIQAPRTCPARSNFSVANPEEKVASKRKYARRKRLSKSSTPPAEMTADLIQPTMLESTEIEQRRSLYFDAGARDESSACRQNLNVHNSKENSVVAEGTHAGLTYDQGTSMKHALYNFMSLPEDTQVPSTSSKSNPPGAKLNGNSVENRSRKNGQATIQDGHENSAKLLLKSVRESNPNDTNISNSQISMLRLQMVGSKRSHSGTIRFADTSSMNEIGAYYNGFPSYQNMFRIQFPNLQKRPRSENGKSSNAPITSSVTTIKEAELTSSHEVAQVHPYASSSRCWNFCSEYNAARVPTISEATGSVIDDDQAFEEFKLSLRRAQIYDCASLTRIRNFDTEPNYSAHELCIYGRQTFGNAQKSQTSIDALVTKTSASLTKNKNKSVVSRSSYSTINEMQQCQNLALGNYHQSLAAISSEVRRSIILHVNALSEKLSQLNINKEAKDLALVPYKKKKKKRSLVNGDGTIIPFNGSFSPIRKQLPRPKVDIDEETDRVWKLLMLDINSHGIDGTDEDKAKWWEDERNVFRGRADSFIARMHLVQGDRRFTRWKGSVLDSVVGVFLTQNVSDHLSSSAFMSLAARYPKKFSETYHGEGTGLVANEPQVHIVEPEESTEWDSKILDKSVYDHSSMTIDIAEHSGEKADNSSSRTTDGVISITYESSCRLSESSQRNTGKQYSPTRSGLISTTSEEGEEKSCYEGVRKELNDIVSSQGSVISSQISGDSSNDQNPEKIGSCSDSNSEVENLSSTAKHNSFYNSTSFSKLVEMASSSKLYEVNSKKCNSTEHLRDAFGLGMMHDQSAENLEKSNLIEGSLEASIITSHPIQKDISRNEINEISSAPVQLHSRGQAKDKNEDINWDILRIQAQAKAGKREKTKDTMDSLDWEAVRCADVNEIANTIKERGMNNRLADRIKNFLNRLVEEHGDIDLEWLRDVPPDQAKEYLLSVKGLGLKSVECVRLLTLHHLAFPVDTNVGRIAVRLGWVPIQPLPESLQLHLLELYELHYQMITFGKVFCTKSKPNCNACPMRAECRHFASAFASARLALPGPEQKSIVSTLGNNVNDQNPPVIFNQLYLQPAENTNQAEDIQQTELSRQLYSKPEINNCQPIIEEPTTPEPECSQLPQDDIEDAFYYDDSCEIPTIKLNMEEFTTNLQNYMQQNMALQEGEMSKALVSLNPEAASIPVPKLKNVSRLRTEHCVYELPDTHPLLEGWDTREPDDPGKYLLAIWTPGETADSIQPPGSKCNSLECGQLCNEKECFSCNSFREANAQTVRGTILIPCRTAMRGSFPLNGTYFQVNEVFADHDSSLDPISVPRSWIWNLNRRTVYFGTSIPTIFKGLSTQDIQQCFWRGYVCVRGFDKKTRAPRPLMARLHFPASKMPKTTEKTRKKSTLGTSQGLEPNLEHPELEPELITNGHNLQETASKMANTKEKKRKRSTPKNSKGLKPNPEQPQLITNGHRLQETDSKMASAKERKTNKSIPESSQDSKPNPENKELIPDGPNLEEAATA >OIW05938 pep chromosome:LupAngTanjil_v1.0:LG08:22442188:22443312:-1 gene:TanjilG_07214 transcript:OIW05938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMSVVLKLIDAILLLFFFLIAVLAPLIDAQTIFPISYFPEFFVQLKTNYAHNYGDYLVVDKPHFFVGLVWLDLLFQWPLSLLNLYAILASKPWFNTTCLIYGVSVSTSMVAILSELRGSNKASETLLKMYYPFMGLGVLAILRGLLGHSSKTTSSHSKRAALARKERA >OIW06794 pep chromosome:LupAngTanjil_v1.0:LG08:8603418:8616680:1 gene:TanjilG_11519 transcript:OIW06794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAITVWQPQEEGFKEICGLLEQQISHLSSADKSQIWNHLQNYSHLPDFNNYLAFIFSRAQGKPVEVRQAAGLYLKNNLRNTYKAMLPAYQQYVKSELLPCLGAVDKHLRSTAGTIISVVVQIEGIIGWPELLQALVNCLDSSDLNHMEGGMDALSKICEDIPQLLDSDVPGLAERPINVFLPRLFRFFQSPHASLRRLSLGSVNQYIMLMPSALYLSMDQYLQGLFILANDPNAEVRKLVCAAFVQLIEVRPSFLEPHLRNVIEYMLQVNKDTDEEVALEACEFWSAYCDAQLPPENLREFLPRLIPILLSNMAYADDDESVIEAEEDGSEPDRDQDLKPRFHVSRFHGSEEVEDEDDDVVNTWNLRKCSAAGVDILSNVFGDEILPTLMPIIEAKLVATGDEAWKDREAAVLALGAIGEGCINGLYPNLPEIVAFLIPLLDDKYPLIRSIACWTLSRFSKFIIQGIGHPKGYEQFDNILMGLLRRILDDNKRVQEAACSAFATLEEEAAEELAPRLEIILKHLMIAFGKYQRRNLRIVYDAIGTLAEAVGGELNRPGCLDILMPPLIEKWQQLSNSDKDLFPLLECFTSIAHALGTGFSPFAEPVFRRCLNIIQSQQFAKVDPVAAGAQYDKEFIVCSLDLLSGLAEGLGSGVESLVSQSSLRDLLLHCCVDKAPDVRQSAFALLGDLARVCPIHLHPRLSEFLEAAAKQLEISKVKEAISVANNACWAIGELAVKVRQEISPVILTVISCLVPILQHAKELNKSLIENSAITLGRLAWVCPELVSPHMEHFMQPWCTALSMIRDDVEKEDAFRGLCAMVKANPSGALSSLVYMCNAIASWHEIRSEDLHNDVCQVLNGYKQMLGNGAWDQCMSALEAPIKEKLSKYQV >OIW05811 pep chromosome:LupAngTanjil_v1.0:LG08:23565633:23576190:1 gene:TanjilG_23597 transcript:OIW05811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHSTLKFCVLLILVGFLVNVSFAKNDFENCKRIVKVWADSSLDQEIGEDKHKLRDLLFFLHVPRTGGRTYFHCFLKKLYPSYLECPRSYDKLRFDPRVRISAMVRLLLSDQEIMSLNPGNSFSTRGNKTAYMYHLRTREKNCRLLVTHDDYSMIPKLPRQKTSVVTILRDPIDRVFSTYEFSIEVAARFLVHPNLTSATQMTKRLRSKSKGVSTLDIWPWKYLVPWMREDLFARTLNGQRDARYSRGLNTTESNDSYDMEDFAMPLKEYINHPAAGDVVHNGATFQVAGLTNNSYIAEAHEVRHCVQKYKILGKFVLQVAKKRLDDMLYVGLTEEHRESATMFANVVGAQVISQLNAPNSSLDIGDKIEQRSFSDSDPHSSEHQNSTSDRGASKVTSSDSGEATELNMTVGELMNSYEGCTSNLRKSYSGRRIASLKRISPVNFSREARLEVSEEVLQEIRSLNDLDIELYEYARAIFYRQHKTTLQTFTEVIVCPRFSNNCCNCFGIGYIADMKDCWFLDNNFNGLSDGIFDDVVEFFDFPIEDAETDVVEEDWAAQFKHLEEPSVGVFSISSFGLCDTTQNVTPKLGAGSTSSASIRALEVLSNIFVAFWLREKIVERRCSSLGGKLDGDSNARLEKTAGPTYDKTTPNQNVPFNSKDVHKFRTYSPVSVFESSSSSSVENSNFDLPVIPLKRPRGKRLRLSSFNQLLSLPFISPSPDFETQASGKLKTRVKRQRKKDLLLLPDHCEMKRLLSQESDVFGKCTHCEVTETPQWREGPMGPKTLCNACGVRYRSGRLFPEYRPAASPTFVASLHSNSHKKVIEMRSGAIKKTIRGSVFSL >OIW07128 pep chromosome:LupAngTanjil_v1.0:LG08:5141065:5141919:1 gene:TanjilG_10101 transcript:OIW07128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIPLSAILLMGFFTIYTRYCAHSPSYNFDNFANGRRFRGTTRGLDPSVIETFPFLEYSVVKIHKIGKCDLECAVCLDEFEDTETLRLIPKCDHVFHPQCIDEWFESHTTCPVCRANLAPQPAELVHVNPILITHPECIEPQNNVVLTMSEQQERERSEQTNVILINQTLNRIRTRRSRSNRKSRFPRSYSTGHSMVQPRENTERFTLRLPFDVREKIMQNPKLNRARSMVTLRRDKSDPFVFTMTPPFLVRESSFKALRVTNNAVAPQQLPQPTTVDSACLPV >OIW06973 pep chromosome:LupAngTanjil_v1.0:LG08:7220964:7224887:1 gene:TanjilG_18361 transcript:OIW06973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKTLFHKILILFAIFCSIACSLASDNLIDASKLKMFVDKLPDMPRIRGYEVVDGVSKSKYLNIGMYKKKWKFHRDLPPTPVYAYGLNHLRATVPGPTIEAIHGVNTYVTWQNHLPPNHILPWDPTIPAAHPNKTKGIPTVVHLHGGIHGPESDGNANSWFTAGFKHKGPTWTNKVSRYPNNQHPGNLWYHDHAMGLTRVNLLAGLIGAYIIRHPSVEVPLRLPHGKRYDRPLIVFDRSFRTDGSIYMNSTGNNPSIHPQWQPEYFGDAIIVNGKAWPRLTVRKRKYRFRIINASNARFFRFFFTNGLRFIHIGSDSAYLEKPVETSETLLGPSEITDIIVDFSKSRSKVAILANNAPYPYPSGDKVNEANNKVMKFLILPKRTVDKSRIPKTLIKYPSVDLSSVSQTRYIAMYEYASSIDEPTHLLINGKPYEAPVTETPKVGSTEIWYVINLTEDNHPLHIHLGLFKVLEQTELVKVEEFKKCMTKLNDAIKCHVGNYTRGKKVTVLAHERGWKNVYKMSPGFVTKIVVRFSYIHTNVSYAFDATAEPGYAYHCHILDHEDNAMMRPFKVIK >OIW06650 pep chromosome:LupAngTanjil_v1.0:LG08:10175635:10182448:-1 gene:TanjilG_04044 transcript:OIW06650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPGTRSGAPSGCLIVRKKEDRVPGSSTSPKLYESKKMMKRPKVVVDSTDSESCDDELPMPPASRLGPETIRVCTGLTALQRRQERGGIDISRKRERVEQLGCNGDGMLEENNGSEGREMKRNKLDVFDFNEYDGTSAEMMRMRHFDNNKVDLGGRRRFMGGMHAARSGIHREFESGSSRNVVNKRKNSYNNRESGLYLGDNVDHSRLKTNIDGAKRPAPLLRDKLNSDESVRVQGKNGVLKVMVNKKKAGKLLEHSDHHKHVKSRHSLRTERTSKRNAHICPSSHLETKPVEEHCLLARPKKKQIASRKQLSCMDSKGGEQNSDNSDMSPSLGVKNSEVRKSSKKIISEDEQSPKHEKLPTTNTKEVKVKRGSGTEKQKLREQIREMLLNAGWTIDYRPRRNRDYLDAVYIHPGGTAYWSIIKAYDALQKQLNDDDHEAKPKGEASSFAPITNDVLSQLTRNTRKKMEKDLKNKERDDSESDSGEELHITRSSGRKRCKNDMDSDSNDEKLSSFLKQGSKSRKTRMTENAVTHHSSGGTEKSLSGNEPHLLHGRKSRRHGRCTLLVRNSNKGTNSEFGDFVPYTGKRTVLSWLIDSGVVQLSQKVQYCKRKRVLLEGWITRDGIHCVCCSKILTVSKFEQHAGSKLHQPYQNIFLKSGVSLLQCQIDAWNRQENYEKISFHSVDIDGDDPNDDTCGICGDGGDLICCDGCPSTFHQNCLDIQMLPPGEWHCLNCTCKFCGIASVTINKEDEPAAYALHTCALCEKKYHNSCTKEVDAVHTNPNMSGTYFCGKDCKELFEHLKKYLSTKYELDAGFTWSLIHRTDEDSEAASRGVTQGVECNSKLAVALTVMDECFLPVIDRRSGINLIHNVLYNSGSNFNRLNYNGFYTAILERGDEIISAASIRFHGTKLAEMPFIGTRHIYRHQGMCRRLFSAIELALCSLKVEKLVIPAISELIQTWTTVFGFTRLEESLRQEMKSLNMLVFPGIDMLQKLLMQEGKLDGNTTTADGVLATGAKRKVFNKPKMAGRLDVDFPAVHNPHGSDAASSNPANEINNECSAASQELNTQVLVDGTVCSKYCSEERLSDDSVSDKCVPSSSTSHGVLETDNKIAAGSPVNDKLHSSPKCQISSQNEKSVTGPPLDATDCHEIPFLGQETACSGPGSTEDLVEPVSDRKCQMAADINCDSFELGINPFLESRVAENALSSKEVGMNDAFDEVLEACPSVNLSQDKITKENNENIDVSGSVLGHAGDSFLQVRSDLNCDTAPEGKKNLHLGTGVASNKIHVDETGLNASGHSSETDPV >OIW07384 pep chromosome:LupAngTanjil_v1.0:LG08:202638:209087:1 gene:TanjilG_10219 transcript:OIW07384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDITDIGNRRRSGSVKDVVNIYADKVSDIDTTTLKKTKVDFSLSPSRTRDLHMARKDIGKYKESRWTAESAKADAESELSNAKNRVKDLSSLIDESSYKAKAQMTDVETLDRRGKREYGLLAEKRNENYEYAGMMKELGYVKKDLLKLKLDVAFVLDEKSRAEKEIEESSSKMIYCSRTAEALIKEIEEANEEQVLAELARLEALKELADVEAERETEANDYSSKLESTRMKLKEVMEEIDESKELEMKLSVTISDIDALQNELNLVKEMDKGVKGDESTKQVFASFRNEEESPDSSMLQTITKELEAAKKLLALVREEGFQFMASMDIIRNELKNLTDETSQLKKQKGKVDSTLQNLNSKLLRAKSKLQTVSAAEAKTKSIVISLSHTLDNLKTESDEAKKEKDLIRLEVTTTKSEIEKSEFKIDMIEEKIQGLMQELEVTKTSEVLALEKLKKLTESTMRERALEAQHCSMITISKFEYEYLTNRASAAAEVADKKVAAAEAWIEAFKASENEIMVNTKIAQRELIEGKMVEDMEVYAKGKLLARRVSNDELDNLPRKRERSSTKNLSRGMPRKTIKSNGSVTPSPRVKFQKSASPGYRHEFRMREVQRGSHTVASHGYTVARTHKHDWFILLLLVLIEIVLYIINPFYRFVGKDMMTDLKYPLKSTTVPFWAVPIYAVLLPMLIFLVVYIRRRDVYDLHHSILVRDNERKLFARAGLFFSILVTAVITEAVKNAVGRPRPDFFWRCFPDGKDVYDTFGGVICHGDKNVVKEGHMSFPSGHTSFDDYWHHWQDVFAGGLLGLVMATICYLQFFPPPYHSEVLSFNPCVSHMLDDISFPSEIARYSLKESLDATIKLIPCVLEATGLLADINLRWGPYAYFRTLEELRGTTQTPNAQTQLPEAQVENQNAQSNHGCMGLGLARDNHGSTLDEIETGRR >OIW07177 pep chromosome:LupAngTanjil_v1.0:LG08:4532903:4536034:1 gene:TanjilG_10150 transcript:OIW07177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAHDSTNHTNNNNNLSSYFHHFNHQPPPPPPPTNGVVPPTSDGSHSHMLYPHTTTTTTTVVPSVVSSQSQLQKKKRGRPRKYSSPEQAIAARKAATTSSSPSPSLSSNKKSTQSFSLGTAGQDFTPHFINVLAGEDVGQKIMFFMQQNKCEICILSASGFISNASLSQPATSGGSITYEGRFEIVSLNGSYIRNEVGSRSGGLSVCLSNTDGQIIGGGVGGPLKAAGPVQVIVGAFVIDPKKDASAGNKGDVSSTKLPPPPVSDPVSSFGFGRAVDSSTGNPIRGNEEHQTIGGSHFNIQQGGMQGTHSRPSDWGGHPDSRNADFELTGRTGHGAHQSPDENGYYE >OIW06640 pep chromosome:LupAngTanjil_v1.0:LG08:10114398:10116730:1 gene:TanjilG_04034 transcript:OIW06640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VISQGINAGDVRKLQDAGIYTCNGLMMHTKKNLTGIKGLSEAKVDKICEAAEKIVNFGYITGSDMLLKRKSVVRITTGSQALDELLGGGIETLSITEAFGEFRSGKTQLAHTLCVSTQVYLYPSSCHVSLILVHIEILVYTTDPSRSLQLPTSMRGGNGKVAYIDTEGTFRPDRIVPIAERFGMDPGAVLDNIIYARAYTYEHQYNLLLGLAAKMSEEPFRLLIVDSVIALFRVDFSGRGELAERQQRLAQMLSRLIKIAEEFNVAVYMTNQVIADPGGGMFVTDPKKPAGGHVLAHAATVRLMFRKGKGEQRICKVFDAPNLPEAEAISFTNYYAFENLENIASTVHMFFLDSVTFSR >OIW06389 pep chromosome:LupAngTanjil_v1.0:LG08:13526748:13527269:1 gene:TanjilG_13575 transcript:OIW06389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSSFLFTISLVLTSYAILSASSSSLFEELCMKVKETGSDEGQCLHILNVHPKLGSARNYDELSKNILQLALKKSIDAQNFLKEVIKTNPSAAIRECATIDYDGVVASFRSSLKELKEDSETANYDAKVGGDGPTTCDRALAAEKINNPAIAALNKDILLLSNIAFLATEKLS >OIW06504 pep chromosome:LupAngTanjil_v1.0:LG08:11328930:11330161:-1 gene:TanjilG_26693 transcript:OIW06504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAAKKTKKTHESINNRLALVMKSGKYTLGYKTVLKSLRSSKGKLIIIANNCPPLRKSEIEYYAMLAKVGVHHYNGNNVDLGTACGKYYRVCCLSIVDPGDSDIIKTLPGDQ >OIW06503 pep chromosome:LupAngTanjil_v1.0:LG08:11334226:11374095:1 gene:TanjilG_26692 transcript:OIW06503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKYNNNINNTNTRIMEVPLSRFGVLVAQLESIVASAPQQPPDQLLCFDLLSDLISAIDQDTKDSIMLWQRRCEDALYSLLVIGVRRPVRHLASVAMTMIISKGDTISIYSRASSLQGFLSDGKKSEPLKVAGVAQCLGELYKHFGRRITSGLLETTTIAAKLLKFSEEFVRRETLHMLQNALEGSGGSAASTAYTEAFRLITRSATGDKSFSVRIAAARCLKAFASIGGPGLTALEDPVSSVRDAFAEALGSLLALGMNPEAQVQPRGKGPNPQPKKLEGGLQKHLVLAFRKASGVRSRDVRVGLTLSWVFFLQAIRIKYMHPDTELQNFALQVMEMLHADATFDAHASACVLYILRVGITDQMTEPTQRSFLVFLGKQLESPELGASMKVAALRTLSYTLKTLGEVPLEFKEVLDSTVVAAVSHSSKLVRIEAALALRALAEVDPPCVGGLTSYGVTALAALRESISFEKDLEAEVFDILSLWATLFTGDPENEVKKTEDFMSRIHVWSAAIHALTAFIRCFISPDLVNEGVLLQPVLTYLSRALSYISVFRAKEISTVKPAVDIFIIRTLIAYQSIPDPLSYKNDHPQIIEICTFPFRHASGCEESSCLRLLLDKRDAWLGPWTPGRDWFEDELRAFQGGKDGLMPCVWENEFSSFPQPETISKTLVNQMLLSFGIMFASQDSAGMLSLLGIVEQCLKSGKKQNWRARSVTNICVSLLAGFKALLSFRSQTLGQEILGLAQSIFQTRSLLADLNGASDFNYAGSIALALGCIHRSAGGIALSTLVPATVSSISTLAKSSVANLQIWSMHGLLLTIEAAGLSFVSHVQATLGLAMDILLSDDNGLVDIQQGVGRLINAIVAVLGPELAPGSIFFSRCKSAVAEISCWQETSTMLESARFTQQLVLFAPQAVSVHSHVQTLLSTMSSRQPTLRHLAISTLRHLIEKDPASVIVEQIEDNLFFMLDEETDSEIGNLVRTTIMRLLYASCPSCPSHWISVFRKVVLATSMRSIGNNNIAANDNSDGDTLNFGDDEDMVSSSNNVQSYTFQGSVGAANREKYLRYRTRLFAAECLSHLPEAVGRNPAHFDLLLARKEHANEQAWLVLHLQELISLAYQISTIQFESMQPVGVGLLGTIVDKFEKAADPELPGHLLLEQYQAQLVSAVRTTLDTSSSPSLLEAGLHLATKILTSGIISGDQVVVKRIFSLISRPLNDFKDIYYPSFAEWVTSKWNLFLDGLESPMVSSKLRPCLEESWPVMLQALALDAVPVNSEVKNCSKASVENTSDIGSTSQYSMVELKLEDFKFLWGFSLLGLFQSQHPILCKPVIQLSSVNEMYDGDLPFNEVNPSVFKLYEIVLLVFQFLSTERFFHEGLLTIDICKELLQIFSYSTYMGNSWNSLAISILSKVVKNCPQEFFDSESFPIITTELCLHYLFKVFQSTDTNSEGYPNWEENVICTLFSTTKAVMNRVVTKTQKLSKSMVLAFVLIGYKCIREASTEVCLSEAIDMANSTSSLLKKVFDYESEPDDNILSLSKMFGSCLSMVVALTKDCIEGLHSQEVKSFNQRKLLQKKLAFSLEQHILIAKLALEVEHVEEGKERNPIYVSALSYCIRCIQTVLSDSNIQVQVIGLQFLKAKVQRSKNTEDDSFLMFLVGELITDIFTLIQKMLKNPITRESVTIACECLSLMVLFQTLQKDDDCQRGFMSILLAAIVMVFLSTGDGFSQEVTDLRSTAIKLVSHLAQIPSSSVHFKDVLLSMPPLHRQQLQGVIRASVTQHNNPAELKMPVLDIKIPKPASRNEEEHSAPLPTTTQTGEINKEDVVSEDDVSEDDWDTFQSFPVTTDDGGNESKVEHASVDGDPSVVKTSFDMDGSVGADESQECSASEHDDNENKLNSDEFLEAVKEEVISDTPNQPSFNRLKTEQYDIQTDDDANKPYYNENQERDEALQSSGVQNEAESIPRDEPVSLDYQADVEAKGSTEDFGADYLQKDYIPEPVSDSLAFQQGFSESDFNENANKENRINEDDSYDQQQGKCDSPVETEHIGAKQYDIQTNAVANKPDDNESKEEEEIKQSSGVQSEAESIRGDEQVPHDHQSKVEPKGSIEDLGAAVHLQKVDIPEQVVSDSLALKQDSREKDCYDQHQGKCESPVESEHISTKLESPVEHEEE >OIW06455 pep chromosome:LupAngTanjil_v1.0:LG08:11825771:11827905:-1 gene:TanjilG_05226 transcript:OIW06455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQLTDEQISEFKEAFSLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMARKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQINYEEFVKVMMAK >OIW06077 pep chromosome:LupAngTanjil_v1.0:LG08:20400553:20405075:1 gene:TanjilG_29833 transcript:OIW06077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKPSMLRSLHSAVSRRSSHLARRGYATEPVPERKVAILGAAGGIGQPLSLLIKLNPLVSSLSLYDIGGTPGVAADVSHINTRSEVVGYQGEDQLRKALEGADVVIIPAGVPRKPGMTRDDLFNINAGIVKSLAAAIAKYSPHALVNIISNPVNSTIPIAAEVFKKAGTYDEKRLFGVTTLDVVRAKTFYAGKANVPVAEVNVPVVGGHAGITILPLFSQATPQANLDDDVIQALTKRTQDGGTEVVEAKAGKGSATLSMAYAGAYFADACLKGLNGVPDVVEPSFVQSNVTELPFFASKVRLGKNGVEEVFGLGALSDYEKQGLENLKAELKSSIEKGIKFANQNVHVILNRVDQTVFVYDPELVIQFRRMYDVPGNISLVMELARRMVQDKGHPLLFETFLVISKRFSGAFRHMLWEVDALSDTNMVLLSETALRSPVKDN >OIW05844 pep chromosome:LupAngTanjil_v1.0:LG08:23229883:23232393:-1 gene:TanjilG_23630 transcript:OIW05844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSSERVDLDGNPIKPLTICMIGAGGFIGSHLCEKIMNETPHKVLALDVYNDKIKHLLEPDNLPWHGRIHFHRLNIKNDSRLEGLIKMSDLTINLAAICTPADYNTRPLDTIYSNFIDALPVVKYCSENNKRLIHFSTCEVYGKTIGSFLPKDSPLRQDPAYYVLKEDESPCIFGSIEKQRWSYACAKQLIERLIYAEGAENGMDFTIVRPFNWIGPRMDFIPGVDGPSEGVPRVLACFSNNLLRGEPLKLVDGGQSQRTFVYIKDAIEAVLLMIENPGRANGHIFNVGNPNNEVTVRQLAEMMIQVYSKVSGEQTPETPTIDVSSKEFYGEGYDDSDKRIPDMTIINKQLGWNPKTSLWDLLESTLTYQHRTYAEAIKKVIAKPIAS >OIW05853 pep chromosome:LupAngTanjil_v1.0:LG08:23158870:23159207:1 gene:TanjilG_23639 transcript:OIW05853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTEAPFRPREKLLEKQKYYQNAQRYTHLKGPLDKVTSVAIPLALAASSIFMIGRGIYNMSHGIGKKE >OIW06974 pep chromosome:LupAngTanjil_v1.0:LG08:7229138:7233549:1 gene:TanjilG_18362 transcript:OIW06974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESVPSDVSTSPPVNETGGQASSGVVSGQDNSAHEAPSRVSPTGISSWAKNLKVPQPFSGSQDEPSSGNTGKSAFSRITSNIGLRLSPKSPPVAEDSSSETAGQSNFFGSITKGLVDTSKNAVKAVQVKARHVVSQNKRRYQEGGFDLDMTYITENIIAMGFPAGDMSSGFFGYVEGFYRNHMEEVIKFFETQHKDKYKVYNLCSERLYDASLFEGKVASFPFDDHNCPPIQLIISFCQSAYSWLKQDIENVVVVHCKAGMARTGLMISSLLLFLKFFPTAEESMDYYNQKRCIDGKGLVLPSQIRYVKYFERVLTYFNGENPPPRRCMLRGFRLHRCPYWIRPSITVSDHSGVLFSTKKHPRTKELLPEDFWFSAPKKGVMVFALPGEPGLTELSGDFKIHFHDRQGEFYCWLNTTMTENRKVLNTNDLDGFDKRKLPSPGFLVEVVLVDYNGNVVTSKLDTVTKNSDESSSNNPTTVEASTPAMNVDKESGSTDKDDVFSDGETEHPASSRTKQTKAASEAVETVTNATSGTASNRVSNQITNLTHATEQISLGNKSSTPIHSAGEPKSDIDGRTISGLEAPSTESEFKAMAADASVFTFGDDEDYESD >OIW06607 pep chromosome:LupAngTanjil_v1.0:LG08:9921448:9922614:1 gene:TanjilG_04001 transcript:OIW06607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRTGRLFQDQNLNAHVNGLGTVSGKADFTGQSKGRTGGRKPLGDLSNAGKPINQAGGKKALDGSSKPGKSSASQASNKQLKSENLTVIMNDEAANAKAKNLDSNRSAANKPSEKSHTGSRNALFDISNSGKLHAPKIKNKNSLKMSSLTEEEPLHPNAIAEEGFLHDHQKCIKSQFETVMDAHQFYKIVGLENDSDDDMLIDLELPAIKLKSESMDLELEEVPEELLEVRSLSSPRGSPADSPKLRTYYSATLWEDYAVNFKLIESP >OIW05876 pep chromosome:LupAngTanjil_v1.0:LG08:22916860:22919773:-1 gene:TanjilG_23662 transcript:OIW05876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREEEKEVSLLAILVTLFRKSLMACNSVDRRELCAMEIGLPTNVRHVAHVTFDRFNGFLGLPVEFEPEVPRRPPSASATVFGVSTESMQLSYETRGNSVPTILLLMQRRLYDQGGLQAEGIFRINADNSKDQYYREQLNRGLVPDDIDVHSLAGLIKAWFREMPVSVLDSLSPEQVMQSQIEEDCAELARQLPHTEASLLNWAINLMADVVQHEHLNKMNAHNIAMVFAPNMAQMEDPMSALMYAVQVMNFLKTLILRTLRERKDSVVETSPGFCLQPSDDNEDHNDIFYSFEQDATTENEEAVETFVYEKDVLECSLQSLQNINSTEAKCSSLVRSSYENLIWNEDFCFWFPPKGKVGKSRVANQGVQQSEPNRFKIREDRSLVVKVAHF >OIW06452 pep chromosome:LupAngTanjil_v1.0:LG08:11769923:11770780:-1 gene:TanjilG_05223 transcript:OIW06452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSIQSLDFFTEISPEIFIDQIMKLHARISKLESPRNSEEVNNLLGNLAKLCSLLPSTTDIKDFPEEVLNIRESLNNFASQAEGILEVESSTLISLKPKPLDSVTEYPYYANYVRIARMESKILKENGMENAKKVAFVGSGAMPLSSILMATHHMKSTHFDNFDIDENANEVARRIVASDAALEKRMKFETQDIMEVKEKLGQYDCIILAALVGLNRKSKVKILGHIRMYMKEGGFLVVRSAKGARTFIYPSVEDGDLVNFELLTTFQPTHALVHSVLLRKKTKA >OIW05601 pep chromosome:LupAngTanjil_v1.0:LG08:25079260:25080603:1 gene:TanjilG_23387 transcript:OIW05601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANITNDEIAQPNIAPLKQPLPTLKTVDSQSVLRRLQSELMALMMSGDSGISAFPEEDNIFSWKGTITGSKDTVFEETDYKLSLSFPNDYPFKSPKVKFETTCFHPNVDVHGNICLDILQDKWSSAYDVRTILLSIQSLLGEPNISSPLNPQAAQLWSNQEEYRKVVEKLYKSASA >OIW06229 pep chromosome:LupAngTanjil_v1.0:LG08:18445223:18445639:-1 gene:TanjilG_03854 transcript:OIW06229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISLKRLIAIARKWQRVAGIKRRVVISQPRKNHKVVIANKGHFVVYTIDKGRFVVPLCYLRSKIFRELFRISEEQFGLPTDGPITLPCDTAFMEYVVSLVRKRVYLELENVVQLVASFSFGTNCQCLTYELAHSRVYL >OIW05731 pep chromosome:LupAngTanjil_v1.0:LG08:24167140:24170629:1 gene:TanjilG_23517 transcript:OIW05731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHNHNLRLAVLISGGVDSSVALRLLHAAGHSCTAFYLKIWFQEDFDNFWSQCPWEDDLKYAKHVCDQVDVPLEVVHLTDEYWNNVVSYIIEEYRCGRTPNPDVLCNTRIKFGAFLDAINNMGFDYVASGHYARVVHPSSDQMDEPSVLELSQDMVKDQTYFLSHLSQSQLKRLLFPLGCIPKDEVRRLASKFDLPNKDRKDSQGICFLGKIRFSDFVARHIGEREGIILEAETGDFLGKHRGFWFYTIGQRQGLRLPGGPWYVVEKDIKNNVVFVSRNYFSFDKRRRIFRVGSFKWLSGQPPSQTTQIQCKMEDGGDGIGDSAVVRISEDDQGLAAGQFAAFYEGRTCIGSGVILESWDDQSFPVCTKALEIAKMEDKSKLGNPVKIKVKPDSPQEVRSSTEVASKAS >OIW06526 pep chromosome:LupAngTanjil_v1.0:LG08:10890890:10892812:-1 gene:TanjilG_29947 transcript:OIW06526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDIIISAFQIGQSNLKITVFRLCRSVPTKRRVDGSKNLTLDHIRHCLIRLEDSIIFSLLERSQYCYNEDTYDPDAFSVDGFPGSLVEYILREMETLHAKMGRYTSPDEHPFFPAGLPEPLLPPLQYPKVLHPIADSININDKVWNVYFRVLIPQLAKEGNDGNCGSTAVCDTMCLQALSKRIHYGKFVAEAKFQAAPDAYKPAIIAQDKEKIMDMLTYLEVEEAIKRRVKMKAKTYGQEVAINMKEHRTKPVYKINPSLVADLYSDWIMPLTKEVQVAYLLRKLD >OIW07120 pep chromosome:LupAngTanjil_v1.0:LG08:5210395:5223858:1 gene:TanjilG_10093 transcript:OIW07120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKASSGTVNPHVRSGVRIVVAGDQGTGKSSLIITAAAENFPLNVPPVLPPTKLPEDIYPDRVPITIIDTSSRAEDSDKVAEELQRADAVVLTYACDRPETLENLSTFWLPRLRKLEVKVPVIVVGCKLDLRDENQQVSLELVMSPIMQQFREIETCIECSASRHIQVPEVFYYAQKAVLHPTAPLFDQESQTLKPRCVRALKRIFILCDTDRDGALSDAELNDFQVKCFNAPLQPSEIVGVKKVVQEKLSEGVNERGLTLTGFLFLHALFIEKGRLETTWTVLRKFGYNDEIKLADDLIPPLKRAPGQSVELTNEALDFLKAIFDAFDGDGDGMLRPRELEELFSTAPESPWTGAPYEDAAEKNAFGGLSLDAFLSEWALMTLLNPNFSVENLIYIGYTGDPSSAIRVTRRRHLDRKKQHSERNVLQCFVFGPRNAGKSALLNSFIGRSYSENSNPTTEDRYAVNVVDISTENKKFLVLREIPEDGVKALLSDKESLASCDIAVFVHDRSDESSWRASSELLVEIAGHGEDTGFEVPCLIVAAKDDQDSFPMAIQESTRLSQDMGVEAPIPISVKLGDFNSLFRRIVTAAEHPHLSIPETEAGRSRKQYHRLINRSLMVVSEVVPNQLSENPNCIGSENIMSNEIHENSPDQGHGTVPISKKILYLSTIVQDLKNQHLALSDEVKLTIESFPGPDFLKSVQLLGSENDLLKRKLLVESSERRHLYNEVIELKGNIKVFCRCRPLNESEIANGSASVVNFESLPDNELQVICSDSSKKQFKFDNIFRPEDNQEAVFAQTKPIVTSVLDGFNVCIFAYGQTGTGKTFTMEGTPEQRGVNYRTLEELFRLTEERHGITKYELRVSMLEVYNEKIRDLLAENSSQPTKKLEIKQAADGTQEVPGLIEAPVNGTEDVWEILKVGNGVRSVGSTCSNELSSPSHCLLRVTVVGESLINGQKTKSRLWLVDLAGSERVGKTEAEGERLKESQFINKSLSALGDVISALASKSAHIPYRNSKLTHILQSSLGGDCKTLMFVQVSPSTADLGETLCSLNFATRVRGIESGPARKQVDLTELLKYKQMAEKAKHDDKETRKLQDNLQNLQLRLAARDYHCKNLQEKVRDLENQIAEERKTRLKQESRSLAAVSAQPSSSKQTAVQKIITNKKPPLNPSKSRPPLRRITNLLRPSSPLTSKRYTAAMNGKENTVRRRNSMTTNTESFAKPRGRASIAVPVRPPASSTTTQILQPRRRVSIAALHPDTAYDMTTPLRTSPSRPSAAPTQVLQPRRRVSVATIRSDTTYDIKTPLRTSASQFNSGIGLQQSLKNNQRKDRYSSLFAQYSELRASSVETTPMSIGSSSKFRGSPVRADSRLARHPTGLALQRKPLVWSPLKLKASKNNRKLLWPSRPYTEMQ >OIW06094 pep chromosome:LupAngTanjil_v1.0:LG08:20683805:20686108:-1 gene:TanjilG_29850 transcript:OIW06094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLDLKVGKEIILFLQICISSIFPDGEDVNMSISHAADSIGLKEIPRYEVKINEDNEWMKKSQESFHPVEVTEGIWIVPKWITPPDAQATNIILNPGLAFGTGEHPTTKLCLLLLHSCIKGGEYVLDYGTGTGILAIAALKFGAAVAVGVDVDSQAITSASQNAALNNIGPDKLQLHLVANKTSLSSSDDWKYQNVEGENIYDIETATHKDKYDVVIANILLNPLLDLADQIISSAKSGAVIGLSGILSEQVERIIQRYTPFLEGIEVSKMDDWACLSGRKK >OIW05566 pep chromosome:LupAngTanjil_v1.0:LG08:25281589:25284850:1 gene:TanjilG_23352 transcript:OIW05566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSLAGSSRPLFFCSPFNYYTKSSSFSSSAIHVTSSAVPMPSQHPSFEILGGASHRFLPSLPYLSRPYNPFPFIASNRHFETIFASFFRSLPDVTLRRHCLRTQDGGTVALDWVSGDDKLLPHDSPLLILLPGLTGGSGDSYVRHMLVRARKNGWRVVVFNSRGCGHSPVTTPQFYSASFLGDMREVVSHVTARYPNANVYAVGWSLGANILVRYLGQESDNCPLSGAVSLCNPFNLVVADEDFRKGFNIVYDKALSKSLCKIFKKHASLFEDMGGEYNIPLAANAKSVRDFDDGLTRVSFGFKSVDDYYFNSSSSNSIKNVQTPLLCIQAANDPIAPNRGIPREDIKENPNCLLVVTPKGGHLGWIAGDEAPLGAPWTDPLVMDFIQHLEKTVKSSKTSSDSEKEEALHHVEL >OIW05993 pep chromosome:LupAngTanjil_v1.0:LG08:21753778:21754744:1 gene:TanjilG_11680 transcript:OIW05993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWSRGDWMCNACEHINFKKRDACRSCEYPKLGGPDPSSYRPNETETLPGDWFCTGMNCGAHNYASRSSCYKCYALKDDSSSGHGSNNSSLPPGWKTGDWLCPRYGCGVHNYASRSECFKCKMPRDFGVAD >OIW07012 pep chromosome:LupAngTanjil_v1.0:LG08:6394557:6398119:-1 gene:TanjilG_02646 transcript:OIW07012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRLVVVLLLVALCTAPECNGEDDHGPCKHKALDPRPHTVSILEFGAVGDGKTLNTVAFQNAVFYAKSFADKGGAKLYVPAGRWLTGSFNLTSHLTLFIERGATILAKEGYQNWSIIDPLPSYGRGIDVPGDEGTIDGQGSIWWEMMNSHSLNYSRPHLIELIDSNDIIISNLTFLNSPAWDIHPVYCSNIQIHNITAHAPAGSPLTSGIVPDSSVHVCIENSSISTGHDAIVLKSGWDQYGLAYGKPTSSVHIRGVYLQSSSGAGLAFGSEMSGGISDVIAEKLHIANSSIGIQLKTTRGRGGYIKGISISDAELENVYLGISMTGYYGSHPDEKYDPTAVSVIGNITFKDIVGANVAIAGNFSGIVDSPFTNIHLSNVTFSNSSESSPLWFCSSVWGFSEEVFPEPCSDLQSSYSNFSSIINPSASSVSSA >OIW07226 pep chromosome:LupAngTanjil_v1.0:LG08:3368926:3376429:1 gene:TanjilG_02546 transcript:OIW07226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGAPKRSHEETIHPSSKHPNEDSCTYSKLASSVSNQYHMPYDIGHDSRGAKAARTECRDADRRSPLHSMYRMPSSSNDSLPGHHVGTENRIESRDSKDSRDLRFENRDTKTEKKNLHGEARRDPQGAKSEQDVHVIGRVDHNKDVRHDRDSHNDSKGDNKTEKDGYGVVGCHLNWKDPKDYHRGKRYSDAPSGSLDTWHISRGSVQVPLEAGKESSTAQERDHVETHEAFGENKVDSKGDDGSKEKDRKRKESKDVKHRDWGEREKERSDRKNSTQVISTGGDRKESAKEDRDVERWERENKDLPKEKEDFKERGNDQNKKESWNGMDNGVSNNEKELDDGSIKQPEQEIVKPEQKKREDVDSWKIVDREAKERKKERDADLEGDRPEKQSRCIDKDSGDGGADGEGAEEKERESYNYNGQHRKRIQRSRESPQVPIREPRFRYRAQDNEESQGKIEVSSVVYKVGESMQELIKLWKEYESSQSHLEQNGGSSNYGPTLEIRIPSEHVTATNRQAALRHQYPYSANYLVRGGQLWGTDVYTYDSDLVAVLMHTGYCRPTASPPPAAIQELRATIRVLPSQDCYISTLRNNVRSRAWGAAIGCSYRVEQCCIVKKGGGTIDLEPCLTHTSTVEPTLAPVAVERTITTRAAASNALRQQRFVREVTIQYNLCNEPWIKYSISVVADKGLKKLLYTSARLKKGEVLYLETHICRYELCFIGEKMMKTTPATQLDDTGAEKSQNHLPHSENGEKNDSNNVMIDAFRWSRCKKPLPQKVMRTIGIPLPLEHVEVLEEHLDWEDVQWSQTGVWIAGKEYTLARVHFL >OIW06474 pep chromosome:LupAngTanjil_v1.0:LG08:12124609:12128535:1 gene:TanjilG_05245 transcript:OIW06474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTHQSMIPSPLSLRRFFFLCPKFYPFSSNFKNPFHLKPISINHKLFSWKLSFAAFSNTAVSYAYDELPERKSEQGSGKVIDFLHVMEQRGVCANSETYLWLLEGCINSGSFSDGWKLHGKILKMGFYSEVLLCERVMDLYIAFGDLDSAVKVFDDMQIRPLSCWNKIIHRFVAKKMGDRVFGLFRQMIKERVKPDEKSFAGVLRACGGNFVPLHYVEQIHAITITHGLETSPLICNPLIDFYFKNGFVNSAKKVFGNLRYRDTVSWLAMISGLSQNGYEEEAILLFCQMHTSGILPTPYIFSSVLSSCTKVEFFVLGEQLHGLILKQGFSSETHVCNALVLLYSRSGNMKFAEHIFNAMSQRDGVSFNSLISGLAQQGHGDKALELFKKMHIDCLKPDYITVASLLSACASVGALLKGKQLHSYAIKSGMSSDLILEGSLLDLYVKCSDIETAHDFFLTTETENVVLWNVMLVAYGLSDNLNESFEIFKQMQIEGILPNEFTYPSILRTCTSSGALYLGEQIHTLVLKSGFQFNVYVSSVLIDMYAKLGKLDTALNILRRVKEKDVVSWTAMIAGYAQHDMFAEALNLFEEMQDEGIQSDNIGFASAISACAGIQVLDQGRQIHAQSCVSGYSDDLSVGNALVSFYARCGKVREAYFAFNKILAKDNRSWNSLISGFAQSAHYDEALHLFAEMNKAGIEINSFAFGPAVSAAANVANVKLGMQIHAMIKKTGYDLETEVSNVLITLYAKCGLLDDAERQFFEMTDKNEVSWNAMITGYSQHGRGFEALKLFEDMKQLGLLPNHVTFVGVLIACSHVGLVDEGISYFKSMREVHSLVPKPEHYACIVDLLGRSGLLSRARRFIEEMPIQPDAMIWRTLLSACIVRKNIDIGEFAASHLLKLEPKDSATYVLLSNMYAVTGKWGCRDRTRQMMKDRGVKKVPGRSWIVVNNEVHAFFAGDQNHPRADTIYEHLSDLNERATENANFDTPSIDMNTQKEGPYNRLGGIVVDSSKFFLDHLHLTSST >OIW06132 pep chromosome:LupAngTanjil_v1.0:LG08:21233761:21234692:-1 gene:TanjilG_29888 transcript:OIW06132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEERCELCGKKAMVYCDSDQAKLCWYCDATVHSANFLVAKHIRVLLCRICNSHTQWKASGPKLIPSSSSCLGCVAKGGTRRLQHHVVDDDGGYSADDSESGSDSDDSDDDNNNNNNKEEDGDEEKHVMPLYSPSSLDSSASALKRHQDNSLSINSNNETGSSSSSAALPSGDKDSSSVSSFRALKERRTDK >OIW06230 pep chromosome:LupAngTanjil_v1.0:LG08:18432476:18434527:1 gene:TanjilG_03855 transcript:OIW06230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITRSNLVEQLREYQIRSKHDWSFFSSSSSSSNFATSRVDVVVFVIWELFVLAFLVFSAVSLYFRHIRLGFVLVCITVLLLLCMKIAKQVRLAKKKKRRMLLPLSM >OIW06199 pep chromosome:LupAngTanjil_v1.0:LG08:18996566:19008342:1 gene:TanjilG_23079 transcript:OIW06199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHELKKLVRRSRICVKNLPKYALEDRLRDFFSQKGGEITDIKLMRTKEGKSRQFAFIGFRTEHEAQQAILYFNKSYFDTCRITCEVARKFGDAELPRPWSRHSSKKDSKVVTAEQDATKKTKNAAGGKGRGDNAKDNVDIEDDPELQEFLQVMQPRVKSKMWANDTSIVTEVAEKKQPIADKGSKDNTSVSIQGEDIVESDSVVDDDDDDIENIREPEKIPEFGHDGLLSDTDYFKSRVTTEWSDSDSDDDEDDAEDSDGHDDGNEENGDKKSLEKTGRNGIKKLDLEEGQEDAIPKDVSHEKALMDAPEQGGQSSNSQDEKKVVESCRLFVRNLPYTTTEEELEEQFSKFGSVSQVHLVVDKDTKRSKGIAYILYSDPESAARALEELDNSIFQGRLLHVMPAIQRPSDNKENNVSKDQQSKSLKQRRVEERKAAEASGDTRAWNSLFMRPDTVVENIALKYGVSKSDLLDREADDLAVRIALGETQVIAETKNSFKNAGVNVEALEELTNGKVDGVKRSNHVVLVKNLPYGSTESELVKMFGKFGSLDKIILPPTKTLALVVFLEPAEARAAFRGLSYKRYKDAPLYLEWAPSNVLIPNSTSKNNGMDGAIGENDAKRQILEQHVERISDVDIDPDRVEARSLFVKNLNFKTTDEGLKSHFNEHVKGGKILSVKVKKHLKNGKNVSMGFGFAEFDSMETARNVCRDLQGTVLDGHALILQLCQVKKDGDVRKTVDKDKSSTKLLVRNVAFEATEKDLRQLFTPFGQIKSLRLPMKFGNHRGFAFVEYVTQQEAQNALKALSSTHLYGRHLVIERAKEGESLEELRARTAAQFSDEQNGFQNSTKKRKHVAILDERKMKFERFDD >OIW05805 pep chromosome:LupAngTanjil_v1.0:LG08:23646684:23648150:1 gene:TanjilG_23591 transcript:OIW05805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKDVEVHQEQGEYSAKDYQDPPPSPLFDLDELKKWSLYRAIIAEFIATLLFLYITILTIIGYNSQTDTNKGGTECDGVGVLGIAWSFGGMIFILVYCTAGISGGHINPAVTFGLFVGRKISLIRAFLYIVAQSAGAISGAGLAKGFQKSYFDRYGGGANFVHSGYNKGTALGAEIIGTFVLVYTVFSATDPKRNARDSHVPVLAPLPIGFAVFMVHLATIPITGTGINPARSFGSAVIYNKEKIWDDHWIFWVGPLIGAFVAAVYHQYILRAAAIKALGSFRSNN >OIW06036 pep chromosome:LupAngTanjil_v1.0:LG08:22074587:22080590:1 gene:TanjilG_11723 transcript:OIW06036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWKRSDLEYKKRVKIYGHVLFVFLFICFIQFSSAATDTTDVAAINSLHAALGSPALPGWVSSAGDPCGEGWQGVQCNGSSIQRIDLSNNHIGGSIPSTLPATLKNFFLASNQFTGSIPPSLSTLTGLTDMSLNENLLTGEIPDAFQSLPQLINLDLSDNNLTGELPPSMENLASLTTLRLQNNHLSGTLDVLQDLPLKDLNVENNQFDGTIPPKLLSIPNFRPDGNPFNDVNSTGAPASPPGSPATTPPRTPIFGASPGTNISGASPRTPVAGAPPSGRVPTKQADGPAAAKESNSGKSKSITKRVVLISIGSVLGFIVLVLALLLFIPTCSKRERVDGRSRRHQIGAYGGERQNPRDYVALVQQPSQTEKVPPIGDVTRPKEDHQAETKRVEAIPKPKVDPDNDVQRTAAIPKPRDHEIDMSPLEVYSMPPPPPPPPPPSTSPPPTERVIVEPTTFRRGANVNPPRKSSDPISTFAKSFTIASLQQYTNSFSQENLIGGGMLGSVYRAELPDGKLLAVKKLDKRTTDHQKDDEFLELVNSIDRIRHVNIVELIGYCLEHGQRLLIYEYCESGSLHDALHSDDEFKTKVSWNTRIRIALGAARALEYLHEQCQPPVVHRNFKSANILLDDDLSVRVSDCGLAPLIASGSVSQLSGQLLTAYGYGAPEFDSGIYTYQSDVYSFGVVMLELLTGRQSHDRTRPRGEQFLVRWAIPQLHDIEALSSMVDPSLDGRYPAKSLSNFADIISRCLQSEPEFRPAMSEVVLYLLNMIRRESQQSE >OIW07121 pep chromosome:LupAngTanjil_v1.0:LG08:5205040:5208048:1 gene:TanjilG_10094 transcript:OIW07121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDINLFREEKGHDPEIIRNSQRTRFSSVQVVDEVINLDKQWRKRQFELENLKRDFNKINKEISKLKRGGEDASKLIGESEETKKLIAQKEVEVRETFTLLNSKLETIGNLVHHSVPISDDEANNKVVKSWGEKRVEPKLKNHVDLVELLGIADTKKGADVAGGRGFYLKGDGVRLNQALINFGLDFLEKRGYTLLHTPFFMRKDVMSKCAQLAQFDEELYKVTGEGDDKYLIATAEQPLCAYHIDDWIHPTQLPIRYAGYSSCFRKEAGSHGRDTLGIFRVHQFEKVEQFCLTSPNDNDSWDMHEEMLKNSEEFYQALNIPYQVVSIVSGALNDAAAKKYDLEAWFPSSQAYRELVSCSNCTDYQARRLEIRYGQKKGNDQTKQYVHLLNSTLTATERTICCILENNQKEDGVEIPEVLVPFMGGKTFLPFKNQPVKEPRGKKSKA >OIW06391 pep chromosome:LupAngTanjil_v1.0:LG08:13537253:13542182:-1 gene:TanjilG_13577 transcript:OIW06391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIHSRRRRRHESSPGNFPPLPPPPSISIPLSPLHHLHHHRTRHQSSSGPLPPLSPPSSPPPPIPNTHHHEPKPLSSTPPTSPPPLSSRSRRDSYPGPFPSFLSPSSSDPPIFHTRNETCPGPFFDTHHHEESDPSTSYVDHYNCTNKRTLERYYHEQEHNLVWPFHKLNVHGIEHDDIRETAYEIFFTACRSSPGFGGRNAITFYSKHESNNGNEGKGSSVPVSHTSRVKRALGLKMLRSSLTQRITMSGGGGGSGGGGGSWSSAPCSPLSRGVTSPGKSPRQMTMADVMRVQMLVSEQSDSRLRKTLMRTLVGQLGRQAETTILPLELLRHLKPSEFNDLHEYHLWQKRQLKILEAGILLHPSIPVEKTNTFAINISDAIRDAEAKPLDTGKNSDTMRTFSNSVVSLAMRSPNGAPTNICHWANGYPVNVHLYMSLLQSIFDLNDETSVLDEVDELLDLMKKTWSTLGIDRPIHNVCFTWLLFQQYVVTGQIEPDLLCATHAMLNEVANDAKKEKQSLYVKMLTSVLNSLQGWADKRLLTYHDYFRGGGIRQIENILPLVLAATKILGYVTNLEGGLQEKGDKSILDSSGDRVDDYIRSSMKNAFEKIMEASNAKFEFETEKDTSEVMRKLAQETEDLAMNERYNYSPILKKWHTTASAIAALTLNNCFGDVLSKYLSEMSSLKVDVIQVLQRAKNLEDVLVQMVVENSADCEDGGKTVVREMVPYEVDSTILNLMRKWIDESLHKGAEYLQRAKETETWNPKSKSEPYGKSVVELMNKAKIMVQEFFQIPTEISEDLVQELAGGLEKIFSEYIMFVAACGLKENYVPSLPPLTRCYKDSKLQKLLKIASPCSVSCEEPHILGIEVDNHPHSCTSRGTQRLYIRLNTLHYLLSHISSLDKSLTLNPGVVPSKRRGLTNNQRAKGNNNGNSYYESANSSILAACKHVSEVASYRLIFLDSNFYFYDGLYVGDVASTRINHALKILKHNIKLMTAILTERAHALAVKEVMKASFDAFLMVLLAGGTSRAFNESDYNIIQEDFENLKHIFMEGVVAENVVEKEGEVVEGVIALMGMSTEQLMENLSIVTNETNGVGIIGNGHKLPMPPTTGKWNRTDPNTLLRVLCYRNDKATNHFLKRTFHIPKR >OIW06849 pep chromosome:LupAngTanjil_v1.0:LG08:7937873:7939862:-1 gene:TanjilG_18231 transcript:OIW06849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESTAEKIEEEVSTVIEEAKDLQDSVSAHISKTINDEQPLRQRALHLDSKIRSLRSSLYSILSNNHALADKLNEDLHRARCIVVDGDAATLLPGHAQGKFLRMFIGPINVRASKKDVQLKDKTALLFLLFPAILLILRSWVWDGCLPAFPVQMYQAWLLFLYTGLALRENILRVNGSDIRPCLGFLLLTQLKSQEVYHITFFLIFACSGSGYGPFSVLG >OIW06399 pep chromosome:LupAngTanjil_v1.0:LG08:13663539:13664021:-1 gene:TanjilG_13585 transcript:OIW06399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILISHSPIPASSQSLYESVCKETGQDAGLCLQLLKANPQISSAKNYRDLSKLILDLAITKGTQGQNVLLNLQKTNPSPAIRQCATNDYVGTIGSLKSAIRELPVDLQTAQYDARVAGDGPANCATAITAAKINNPTIFNINKMTSLLCKVAFLALEHVS >OIW06557 pep chromosome:LupAngTanjil_v1.0:LG08:9539978:9542188:-1 gene:TanjilG_03951 transcript:OIW06557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGPLGLRKVGSICSISEMEDLDLSRLLDKPKLTIERKSSFDERSFSEMSASFARAGLDSYETYSPGGRSGFNTPASSVRNSFEPHPMVADAWESLRKSLVYFRGQPVGTIAAVDHQSEEVLNYDQVFVRDFVPSALAFLMNGEPEIVKNFLLKTLHLQGWEKRVDRFKLGAGVMPASFKVLHDPVRKTDTLVADFGESAIGRVAPVDSGFWWIILLRAYTKSTGDLSLAESSDCQKGMKLILTLCLSEGFDTFPTLLCADGCSMIDRRMGVYGYPIEIQALFFMALRCALAMLKQDDAEGKECVERIAKRLHALTYHMRSYFWLDFQQLNDIYRYKTEEYSHTAVNKFNVIPDSIPDWVFDFMPTRGGYFIGNVSPARMDFRWFALGNCIAILSSLATPEQSMAIMDLIEARWDELVGEMPLKISYPAIESHEWRIVTGCDPKNTRWSYHNGGSWPGSSFLSSRLII >OIW06910 pep chromosome:LupAngTanjil_v1.0:LG08:7756160:7765834:1 gene:TanjilG_19559 transcript:OIW06910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIEQQEKNEHENNDGDNNGYIHEAKTLISAVNFLSRNLPLPPHLLNSVSTIYHNTPLTDKVGDSKDDLITDLEDALSKQRPNCASGFKLAKARENRYQSQIQHRLKQLEELPSSRGEDLQTKCLLELYGLKLAELQSKVRSSVSSEYWLNVKCAYSDKQLFDWGMMRLQRPLYGVGDPFATDADDQLRKKRDAERLSRLEEEEKNHIETRKRRFFAEILNTVREFQLQIQASLKRRKQRNDGVQAWHGRQRQRASRAEKLRFQALKADDQEAYMRMVKESKNERLTLLLEETNKLLVNLGAAVQRQKDSKRSDGIEPLEDSEAELPESDTSKNGISKESPLDEDVIDSDHNDDSSDLLEGQRQYISAIHSIEEKVTEQPSSLQGGELRQYQIEGLQWMLSLFNNNLNGILADEMGLGKTIQTISLIAYLIEYKGVAGPHMIVAPKAVLPNWVHEFATWAPSIKAILYDGRLEERKAMKEELLGEGKFNVLLTHYDLIMRDKAVLKKIHWHYLIVDEGHRLKNHESALARTLDSGGYHIQRRLLLTGTPIQNSLQELWSLLNFLLPNIFNSVQNFEEWFNAPFSDRVDVSLTDEEQLLIIRRLHQVIRPFILRRKKDEVEKYLPQKSQVILKCDMSAWQKVYYQQVTDVGRVGLDNGSRRSKSLQNLTMQLRKCCNHPYLFVGDYDMYRRKEEIVRASGKFELLDRLLPKLRRAGHRVLLFSQMTRLMDVLEIYLQLHDFKYLRLDGSTKTEERGSLLRQFNAPDSPYFMFLLSTRAGGLGLNLQTADTVIIFDSDWNPQMDQQAEDRAHRIGQKKEVRVFVLVSVGSIEEVILERAKQKMGIDAKVIQAGLFNTTSTAQDRREMLEEIMRRGTNSLGTDVPSEREINRLAARSDEEFWLFEKMDEDRRQKEKYRSRLMDEHELPDWVYSPLNNDDKAKAFNSTSVTGKRKRKDVVYADTLSDLQWAKAVESGVDISNLSVKGKRRDQFRSDSIVQASDNSGAEERFLELRTESFGPMAEERTSEDSSYVMPASKRLKHEGTSSHAYDDVRGNGLNQNMLSWNTHKKKRSNYLGQGSSSDSRGQNSNGRANWN >OIW05636 pep chromosome:LupAngTanjil_v1.0:LG08:24810706:24812634:1 gene:TanjilG_23422 transcript:OIW05636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKRGVKAPVAAAKKKSVAEKTNPLFEKRPKQFGIGGALPPKRDLTRFVKWPKTVQIQRKKRILKQRLKVPPALNQFTKTLDKNLATSLFKILLKYRPEEKAEKKERLLKRAQAEADGKPLEAKKPIVVKYGLNHVTYLIEQNKAQLVVIAHDVDPIELVVWLPALCRKMEIPYCIVKGKARLGTVVHKKTASVLCLTTVKNEDKLEFSRVLEAIKANFNDKYDEYRKKWGGGIMGSKSQAKTKAKERLIAKEAAQRLN >OIW06510 pep chromosome:LupAngTanjil_v1.0:LG08:11260683:11266392:-1 gene:TanjilG_26699 transcript:OIW06510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPPPRRAPRPVIDPIPRIRQVGFITPGAPPDRSQSGPPDPTHIPSSNSLSPVMIPPSRHLSDNLLLHHPPTPTHPLHHPPADSYSVASDFVPSPSSASYSSRVAEGEGGLFIDAAAKVASSYPHGGFDLSTAVNKSGGGPETEKSNKGRGSAGELKDQSISSKQQKPKTSRAERRALQEAQRAAKAEGNKASGTANAKPAKAAKPAQKVDNAVVTAPEKKGGDPPEKDRKKDAPQPRMQYDDKNRVEKARRRAVVKQPEARNRVELFRHLPQYEHGSRLPDLEAKFFHLDSVHPAVYKVGLQYLLGDISGGNARCIAMLQAFQEAIKDYRVPPEKTLVRDLTAKMSCYVSFLIECRPLSISMGNAIRFLKSRIAKLPLALSDSEAKVSLQSDIERFISEKIILASKVIVKHAVTKIRDGDVLLTFGSSSAVEMLLLHAHELGKQFRVVIVDSRPKLRGKLLLRRLVEKGLSCTYTHINAVSYIMHEVTRVFLGAASVLSNGTVYSRVGTASVAMVAHTFRVPVIVCCEAYKFHERVQLDSICSNELGNPGVISNVSGRVDINFLDDWTNIENLQLLNLIYDATPSDYVSMIVTDYGMVPPTSVPVIVREYGREQVWI >OIW06946 pep chromosome:LupAngTanjil_v1.0:LG08:6972219:6974087:1 gene:TanjilG_18334 transcript:OIW06946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTSNNQYPINSVSKLFNVQLHFFHCLTYTLVLAFGVTLGSIITFYLQNCSFSLQLTQLSLSAMLQTQPLAPPIAKNEILNHPHVELKEFLQPPNVMHDMDDEELLWRASMIPKIHKYPFERVPKVAFMFLTRGPVFLAPLWEQFFNGNEGYYSVYVHSNPSHNESHPESPVFHGRRIPSKDVEWGNVNMIEAERRLLANALLDISNQRFVLLSESCIPLFNFSTIYSYLMNSTQNYVMAYDDPSNVGRGRYRTMMLPQITIMQWRKGSQWFEMDRKLALEVISDRKYFPVFQEYCKGSCYADEHYLPTFVSIKFWEGNSNRSLTWVDWSKGGPHPAKILRSEVTVEFLEKLRSMECLYNENTTHVCFLFARKFLPSTLSRLMKIAPKVMHF >OIW06580 pep chromosome:LupAngTanjil_v1.0:LG08:9727467:9730762:1 gene:TanjilG_03974 transcript:OIW06580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKRKSIATRLDEVDRTMYTSFCNAASSLSQLYTHATNHQKLSFQDGERHGLEKLYQWIWRQQEGGSRVATVDILNYIQNELDCCGEEPSMSPRAPPQYQQSQPVMHVANSGFPLSSESSGQTVTGQEPRPEQCDNQLKNSVFSNALSSPVCRSLQNYQIGEGGNYPSGLSTGNANRNNEARFLNQQGRDSTAFNSNDSAMDMHAD >OIW07003 pep chromosome:LupAngTanjil_v1.0:LG08:6488199:6490462:-1 gene:TanjilG_02637 transcript:OIW07003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGASRRDVGIHRNLLQDADADADAEAEAEAEAAAEAEAGPGEGDVAFIDSSLVAKATSGAVVDAAGVKIFDVMKFGAVADGKKDNVNAFRAAWGAACKNSTTRAKVLIPKGTFLAGPTLFAGPCTSPNPITVEVEGTVIASTDLSEYTSPEWFTFEDIDGLVIQGKGVFNGQGPNLRFDKVNNSMVKEITSLDSMYFHYHVHRCSNLTFTGITITAPDHSPNTDGIHISVSDKVTVTSSTIGTGDDCVSIGHSSINTTVRDVTCGPGHGISIGSLGKRPDEESVDGVTVTNCTFIKTTNGARIKTWIGTKPGEVKNVVYEDLIMEDVKNPIIIDQSYGGKKDRTPSNSVWKISNVHFRKIRGSTISDVAVSLQCSSKNPCQGVEVADVDLILHAPKGNTALVSSCMNAKAIFGGKLNPPACSM >OIW06669 pep chromosome:LupAngTanjil_v1.0:LG08:10343249:10344419:-1 gene:TanjilG_04063 transcript:OIW06669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHIDSSASNGVPPVELANQLPVKLKTITVVGPEEVEEGARWGNDECNYFLKNKKEVKVNMVLLGLRVTAFVFCIISFAVLAADKEGIQIMQQYSLPNSFNRFNEFKYTLSVNAIGFVYSGLQIFGLVKYFITKKYTLNPLLRGYFNFAMDQIVTYLLMSASSSAATLAYNWESIWGEYKFAIMANASVTFSYIAFVAFALTSLVSGYIICKIKLVNPTLSVYSNTIPYASTSTQAVCNK >OIW06347 pep chromosome:LupAngTanjil_v1.0:LG08:14274701:14275973:-1 gene:TanjilG_14992 transcript:OIW06347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKQPSTGLFVGLNKGHIVTKRDLPPRPSDRKGKTSKRVHFVKNLIREVAGFAPYEKRITELLKVGKDKRALKVAKRKLGTHKRAKRKREEMSNVLRKIRAGGGAGDKKK >OIW06833 pep chromosome:LupAngTanjil_v1.0:LG08:8301710:8315208:1 gene:TanjilG_03728 transcript:OIW06833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVQISRFLFIPLTLTFLLPFPPLPSAAASLSLHHRRFASNFSMSSTLLHSPVAKKVKHVMEQFGDVRIDNYYWLRDDSRTNPEVLSYLRQENHYTNSIMSGTKNFEDKLFEEIRGRIKEDDVSAPLRKGHYYYYVKSLEGKEYVQHCRRLIPHNQRVPSVYDIMPIGPEAPHEHVILDENINAQNYQYYRIGAFQVWLHVLGTEQSKDTCLYVEKDDMFSLDLYASESKQYLFVASESKNTRFNFYLDVLKPGEGLKILTPRVDGIDTTVSHRGDHFFITRRSDQFFNSEVVACPVDNTSSTTVLLPHRESVKIQEIQLFSDHLVAYERENGLQKITIYHLPPIGESLRSLEDGQAVNFIDPVYSVYLSESEFASSILRFSYSSLKTPSSVYDYDMKAGISVLKKIDSVLGGFDATRYVTERKWAPALDGTLIPISIVYRKDIVKLDGSDPLLLYGYGSYEVCVDPSFKSSRLSLLDRGFIYAIAHIRGGGEMGRQWYENGKLLKKKNTFTDFIASAEYLIENKFCSKEKLCIEGRSAGGLLIGSVLNTRPDLFKAAVAGVPFVDVVTTMLDPTIPLTTSEWEEWGDPRKEEFYFYMKSYSPVDNVKAQSYPHILVTAGLNDPRVLYSEPAKFVAKLRDMKTDDNILLFKCELGAGHFSKSGRFEKLQEDAFTYIFIFKALNMTSLLES >OIW07316 pep chromosome:LupAngTanjil_v1.0:LG08:1993204:1996295:1 gene:TanjilG_11950 transcript:OIW07316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTQLCSFIAKCASLSLSLSLLLLQLCYLVVVSHPDRITHLPGQPHVEFHHFSGYVPIDDKTQRALFYYFVEAETHALSKPLVLWLNGGPGCSSIGVGAFSENGPFRPKGEGLVRNKFSWNREANILYLETPVGVGFSYSTDTSSYEARDNLKFMQNWFLKFPEYRNRSLLIVGESYAGHYVPQLAELMLQFNKKEKLFNLKGIALGNPVLEFATDFNSRAEFFWSHGLISDLTYTMFTSVCNYSRYVREYYNGEVSPICSRVMSQVSTETSRFVDKYDVTLDVCISSVFSQIKVLNPEKVTETIDVCVEDETVNYLNRKDVQSALHARLVGVRRWSPCSNVLDYELRDLEIPTITVVGKLVKARIPVLVYSGDQDSVIPLTGSRTLVHQLAKELRLKTTIPYRVWFEGKQVGGWTQVYGNILSFATIRGASHEAPFSQPERSLVLFKSFLEGLPLPQEF >OIW07261 pep chromosome:LupAngTanjil_v1.0:LG08:2681708:2689044:-1 gene:TanjilG_08376 transcript:OIW07261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLGIRSKSRKSVSTQVHFIIHVQEIKPWLPSQSLRSVQSVLLQWENDDQNSGSLASSAGNGSIQFNESFRLSVLLWREASKKSKHLENFQKNYLDFNLYDKAAKSQLLGSVTINLADFGTLKEIKAIMTQLNCKKSFKNSTQPYLYISIQPVDTECSSSSPNSTMSKELSLYKEESESVSQSVNDDDDLEIVSFTDDDNNDDVPSNTSYSIRSSPETTGDKAKISKGGRKGSNGELVTRLEHTTSSLLGSMYGAASTKFNGIKSPSSSMGLSSDMGNAASDRPSFPRVSDKGFKVADASFKIPKSIQQFLGKNITEGKDSINQEVEMLHEELREAAALEVSLYSVIAEHGSSSNKVHAPARRLSRFYFHTCRVGSPATIASAAKSAVSGFVLVSKACGNDVPRLTFWFSNLILMRAIVSKGIEETFHNGPCINRDCDGNDPPCHEKEKTKDKFHSWEDPETFVVALEKVEAWMFSRIVESVWWQTLTPYMQSAAAKSSRSRKINGKRYGLGDQDQVNFSVDLWKRAFKDAGERLCPLQAGGHECGCLPVIAILVMEQLVNRLDIAMFNAILRESDEEMPTDPISDPISDSKVLPIPAGKSGFGAGVQLKNAISNWTRWLSDLFGTVGSDSHEHSNQNEKPNCESAVKPFQLLRALSDLMMLPFEMLADESMRKEVCPRFGVSLIKQVVNNFVPDEFSPGPVPVAVIEALNDEDIQDDEGSITSIPCTAGSTFYTPPLASLVVSMRQEVGTRKLYTSDDELDELDSPLSVIGMDESSPSSKKKLSVAKGARKVIRYELLRKVWRSTE >OIW07021 pep chromosome:LupAngTanjil_v1.0:LG08:6315097:6315735:1 gene:TanjilG_02655 transcript:OIW07021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQKAENLDVIISNNPNAIVVNPPNHYNIESSLKKKKHGNKNIFKVALFMMRGSHKKSKLLLKDDGSRSIWRKLVGSMRPLHLQNNQSPIQTPKAKAIIALPYENNTSNLDIHQSEVDGFDSASEFANSPSPASSRYASAVGLNEMVQDEENHKEEDKEEENVMEEDDGNGDEMIDAKAEEFIAQFYRDMKLQSLDIVDRRFMEISMRSLGL >OIW06011 pep chromosome:LupAngTanjil_v1.0:LG08:21904429:21905470:1 gene:TanjilG_11698 transcript:OIW06011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLSLSSSSQPEAKKFHVGGKDGWVLKPSEDYNHWASKNRFQVNDTLHFKYNKLYDSVLVVKKEDYDSCNVNSPMQKMDDGDSTFKLSNSGLYFFISGNVDNCNNGEKLIILVMAVRHKQVAPPSPERDLAPREVDPSTLVPMAQAPQKANSGAAKRVDVSFGVGVVIMYLSFGAGLV >OIW05665 pep chromosome:LupAngTanjil_v1.0:LG08:24620977:24623466:1 gene:TanjilG_23451 transcript:OIW05665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASASATLLKSSPILDKSEWVKGQTLRQVSAVSVARCNSANPSALTIRAGSYADELVKTAKTVASPGRGILAMDESNATAGKRLASIGLENTEANRQAYRTLLVTAPGLGQYISGAILFEETLYQSTVDGKKIVDVLVEQNIVPGIKVDKGLVPLVGSKDESWCQGLDGLASRTAAYYQQGARFAKWRTVVSIPNGPSALAVKEAAWGLARYAAISQDNGLVPIVEPEILLDGEHNIERTFEVAQKVWAEVFFYLAENNVLFEGILLKPSMVTPGAESKEKASPQKVADYTLKLLHRRVPSAVPGIMFLSGGQSEVEATLNLNAMNQSPNPWHVSFSYARALQNTTLKTWGGLPENVKAAQDALLFRAKSNSLAQLGKYSGEGESDEAKKELFVKGYSY >OIW07393 pep chromosome:LupAngTanjil_v1.0:LG08:116505:117014:-1 gene:TanjilG_10228 transcript:OIW07393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIERGSRYEAYSELREKKLLMKYLRQQQQEEKEYEKMVEPKIPTPPRKQVRFQGDLASGRKGSCSNSVLAQSVPDFSAVLRKENRKPMVNMIPSVMELTPPSLKKGSVSASRSGEKRKVFMARKSYASIDELKSFSKATENAINGESRVMGRSSSRVMSRTGFGYRQF >OIW06927 pep chromosome:LupAngTanjil_v1.0:LG08:6692114:6695316:-1 gene:TanjilG_18315 transcript:OIW06927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLTASFRYMSWEEVLVSSEKRRRVVHYFLKKKDGSSDLAVVAKEKNFRHFSYHCVLPSIDASFTLFNPKSRRDLVLWLDSLVSDWSAEDVSHAAEAAALKDDQLRKLSHGTKEFLWLGSPWTCRKRRKHYQSFRRNGFKISVYDFVCVLAEDKSLVAYLEDMYEDSDGNKMVVVRWFYKIHDVGIVVPGSFCDRDVFFSRYLQDLSIECIDGLATVLNPQHYETFQNEARHTRLEPFICGNQFDNNALKPFDVTQLRGYWKQEIIRYMYTFFDSKSNGSSGQSDDSQESLQHNASLRYTKRLRSIKIDRKNVVDIAADKLQNLSDTRTDTKAGMVSCSSVTVASNNLVVGSQVEVLSQDSGIRGCWFRASVIKKHKNKVKVLYQDIPDAVDETKELEEWILASKISVHDELCLRMYGRTRIRPASPSPKFEILLGVDVGSIVDAWWHDGWWEGIIIHKESEANYHVYFPGEKLVSVFDLDNLRCSQEWTGNEWVDVRGRPDLVASIWSSLKTKQDPSKSDHRKSTISSIVDVIQTKQDDSCLDSEREKPRKHEVFPDLLNDGLLSQLRWKSSRKRRRGNASYCGKLQRIDNEKNESPNTPESHASNRFIIPASFQVEHDMVMPSLTNMVVCR >OIW06611 pep chromosome:LupAngTanjil_v1.0:LG08:9944779:9945531:-1 gene:TanjilG_04005 transcript:OIW06611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGVGPTSYDISLPKEQEVEHKEQQDQSLKNLNKPNITSTPKKATFLSFRQLNCLAVIIVLSASGMVSFEDLASVVLSFIYMFFMSKVAFPALHPSREPPIFNPKNKVLGLYVFIGAIIGLFAPIAYILEGILEGDKEGIKAAAPHVFLLASQVFMEGVAFSDRFSTPIRAFVPIFYNSIRIFTLMEWLKNEIYKVNEEHNGSDRRIYVGRVLAVANMAFWSFNLFGFLLPIYLPKVFKQYYSGYKEKN >OIW06387 pep chromosome:LupAngTanjil_v1.0:LG08:13436242:13436763:-1 gene:TanjilG_13573 transcript:OIW06387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSSFLFTISLVLTSYAILSASSSSLFEELCMKVKETGSDEGQCLHILNVHPKLGSARNYDELSKNILQLALKKSIDAQNFLKEVIKTNPSAAIRECATIDYDGVVASFRSSLKELKEDSETANYDAKVGGDGPTTCDRALAAEKINNPAIAALNKDILLLSNIAFLATEKLS >OIW06299 pep chromosome:LupAngTanjil_v1.0:LG08:15621190:15627770:1 gene:TanjilG_17673 transcript:OIW06299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQGDYTSSSYYQFPHLQNPNPNPNYPSDPLPNPHASAPPFTSDYAPYTQNTDFAPAPAPAPPSPTAPPHHNSNFHPFQSQSPYQPQPQPPQPLPHQHQSYYPPYDHQNQTAPNYTPSLPPNPNSNPLYSSAYSAPYTPPGSYETPFQNTVKFDQGGGYLDDGYGSFNGSRSDYGKQRQDEGYGEGVFAYEGGKVEPYGARGTAPKSSNWAAFDDYGRAISFPSSAKEPSVTSKIVKAVPRVDVDDDVKSGVQKFRVRVLAESVGQSNMDVLCQIGLDGIHMLDPNTSRTLRIYSLENITRCEKFDSSTLAFWSKSSVDMEPRRIRLQSNSYTTNTLLDTVTAATIQFKEMGGSRKPAEPLRTNEQATEKKKGLGDWMNLIKPANEEKDHWVPDEAVSKCTACGGDFGAFNRRHHCRNCGDIFCDKCTHGRIALTADENAQPVRVCDRCMAEVTQRLSNAKESSSKPVVQSHEDLARKLQASSKSEGTGRRMKEVACPICTVHLQVQVPSSGSETIECGVCQHPFLVSSH >OIW06538 pep chromosome:LupAngTanjil_v1.0:LG08:10986131:11011907:-1 gene:TanjilG_29959 transcript:OIW06538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSTNSFGQTEINWDKLDKTKFYGVGAGLFTGITVALYPVSVVKTRLQVASRDTVERNAFSVVKGLLKTDGIPGLYKGFGTVITGAVPARIIFLTALETTKVAAFRMLEPFKLSETSQAALANGIAGMTSSLFAQSVFVPIDVVSQKLMVQGYSGHTQYSGGLDVARKVIKSDGIRGLYRGFGLSVMTYSPSSAVWWASYGSSQRFIWRYLGNGKEGTPSVQNIMLVQAGGGIIAGATASCITTPLDTIKTRLQNNSLPFNKYAFLTTHNAFAIDGESSHTGVPRLTITNQEDSVTQQLNNGVRGLMLDTYDFDGDVWLCHSFQGQCHDYTAFEPAIDTLKEIEVFLSANPTEIVTLILEDYVKTPKGLTRVFTDAGLMKFWFPVTSMPKNGGNWPLVSDMVAKNHRLLVFTSVRSKEQSEGIAYQWNYMVENQYGDGGRKVGSCPNRAESSHLDDKSKTLVLVNYFRSVPLKAITCADNSGGPIDMLQTCHGAAGNRWANFVALDYYKRSEGGGSFQAVDTLNGKLLCGCNDVHACVSLFSIHLGNASFSKDHVFALNKSGELPWTNDLISMPPPMTLEPVEEVVQDNKLVERHSVSSDTLEETANLVVQNDQKVTESLVEVKTNVAYQTLKDHNKEANQAMVPNEEAKNYNIVSYRSVESDHSFHFPILLVDGGRNSSSIVESEKNEQNQKPMESTKPETVKTTPNKDRRSWSFCFSCKPCCF >OIW06117 pep chromosome:LupAngTanjil_v1.0:LG08:20895818:20898311:-1 gene:TanjilG_29873 transcript:OIW06117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLKGLLDAAVESVFDTHLKIIGTQSKASSIQEKHVPVPQSKSLMIANNSRSSVKYPLTEELPQLKLFRKKQMPELSKFTFSDNGRTNTETLSHGENNVMQQNLVKATNLSNEKSIVLNIETSHHEQQCNDVNALYGIDELEKEQLQMVDAIAGESNKDNNIPLSIASRQVEYLDQKGDFSDDLVNSIKRIESRILALQLCSNLVESTKNSTGHNTMHKVANLDSPVIQIKDETAGSQFSRKRPPLDGNRLMKQQPEHTGISSYKGGGLVSSNAFEEPFPGGHESWRQNQVPYQNHGLHTSAESARSTDIPKQIGTRFVSRRDDLRSRNRIRPSARNVAEVDRVKSMNKLVRRDTHLGSHASECIQGLRVPLNEDDFSKMPSVLAGHANRENLVRKNPVAWSDQNHKERTSESKYGKKLTESKAYTIAKREKPPPHQIIIRPTLLDQRSSEIKVLSNQHENSSVLDKRGTHKTNHVEPWKTRIQPQHQEPEESSSNSHSSSRWSTQEDSTNSSGDSEEYSSPDGKQGASSRRLIKSKYEGSSEESSNSNPYKDDGSSRSAGSVKYDRLVEGILTHIRHSKKPNPSKFSEVKGSRSTPHRHSQKKLQPWQILRRRRGVLKDRGRVKTRFKSQKSLKN >OIW05760 pep chromosome:LupAngTanjil_v1.0:LG08:23977709:23978281:1 gene:TanjilG_23546 transcript:OIW05760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLKTLGEEGVESELMRLHNLAGPPRFLFTIKEETREDLESEDRSRKGSRRRSLSDIMVAINTPLLSPVACSPLKYSLDNLDYYKHQGFNPLFESSGESDFNRFSSSPPPKFKFLRDAEEKLYRRIVEEAQIKAQKSHESVTEEKDRTLLRFINSIEKEQRELQQHLPQFPSTTSQVLPLASSPTTFRTV >OIW06142 pep chromosome:LupAngTanjil_v1.0:LG08:19758973:19762965:-1 gene:TanjilG_21161 transcript:OIW06142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEENIRDSLLKKKYYENCPGCKVDEAKELNRGLSIRNLLIVWMVVISASLPVSSLFPFLYFMVKDFNIAKTEAKISSYAGYVGSAFLLGRTLTSVIWGLIADRYGRKPVLVIGVTSVVVFNTLFGLSTSFWMALITRFLLGSLCGVIGPVKAYASELFREEHQSIGISTVSAAWGIGLIIGPALGGYLAQETLHNHNDGNKSTDEAEALENEGGGIDKDKRIQKKENVFLNWPLMASILVYSVYSLQDIAYQEVFSLWAVSPRRLGGLTYTTDDVGNVLSISGFALIIYQVCVYPFIEKAFGTMIITRIAAMLAIPIIQSFPFIAMCSGAVLFILLSIGSVVKMVLGMTVTTGLFLIQNRVVEQHQRGEANGIAMTFMSLFTAIGPATGGAM >OIW06936 pep chromosome:LupAngTanjil_v1.0:LG08:6860564:6863962:-1 gene:TanjilG_18324 transcript:OIW06936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAASLVPLSTMSSFSFSRNPITLPSLASPFKGTLKTLKTNPSQCHYFSSQQRKPLLVVNKARALPVFVDPTRVRFRLDNLGPQPGSRKKAKRKGRGIASGQGASCGFGMRGQKSRSGPGVRPGFEGGQMPLYRRIPKLRGIAGGMRAGLPKYVHVNLRDIEPRFQDGEEVSLETLKEKRIINPSGRDRKLPLKILGHGELTKKLTIKARAYSASAKEKLETLGCSLTVLPGRKKWVKPSVAKNLARADEYFAKKRAAAAAAEQASA >OIW06221 pep chromosome:LupAngTanjil_v1.0:LG08:18541287:18545516:1 gene:TanjilG_03846 transcript:OIW06221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGGNSLPSGSDGVKRKVSYFYDPEVGNYYYGQGHPMKPHRIRMTHALLAHYGLLQHMQVLKPAPAKDRDLCKFHADDYVAFLRGITPEAQQDQLRQLKRFNVGEDCPVFDGLYSFCQTYAGGSVGGAVKLNHGVSDIAINWAGGLHHAKKCEASGFCYVNDIVLAILELLKAHERVLYVDIDIHHGDGVEEAFYTTDRVMTVSFHKFGDYFPGTGDIRDIGYGKGKHYSLNVPLDDGIDDESYMSLFKPIMGKVMEVFRPGAVVLQCGADSLSGDRLGCFNLSIKGHAECVKYMRSFNVPLLMLGGGGYTIRNVARCWCYETGVALGMELEDKMPQHEYYEYFGPDYTLHVAPSNMENKNFRDLLDDIRSKLLDNLSKLQHAPSVPFQERPPDTELHERDEDQDDKDERWGPDSDTEFGDYSNPVPDRVKREYVEVERNDSVPVVVPMAVDEPSIKEEQNNSKNLSDQWLR >OIW07145 pep chromosome:LupAngTanjil_v1.0:LG08:4922214:4927348:-1 gene:TanjilG_10118 transcript:OIW07145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSSLIHFPAATSLSVTRAGDSVGFFVPTRRNCFTKTLKSRVRCSLDSNVSDMSTNAPKGLFPPEPERYRGPKLKVAIIGAGLAGMSTAVELLDQGHEVDIYESRSNIGGKVGSFVDKQGNHIEMGLHVFFGCYNNLFRLMKKVGADNNLLVKDHTHTFVNKGAEIGELDFRFLVGAPLHGIRAFLTTNQLQTYDKARNALALALSPVVRAVVDPDGALKDIRNLDSISFSDWFLSKGGTRTSIQRMWDPVAYALGFIDCDNISARCMLTIFALFATKTEASLLRMLKGSPDVYLSGPIRKYITDRGGRFHLRWGCREVLYDKSADGKTYVKGLSLSKATAKKIVKADAYVAACDVPGIKRLLPSQWRQHQFFNNIYELVGVPVITVQLRYNGWVTELQDLEKSRQLGKAIGLDNLLYTADADFSCFADLALTSPEDYYIEGQGSLLQCVLTPGDPYMPLPNDEIVARVAKQVLSLFPSAQGLEVTWSSVVKIGQSLYREGPGKDPFRPDQKTPVQNFFLSGSYTKQDYIDSMEGATLSGRQASAYICDAGEELVALRKALGVEFNDDLKIENTKDELSRV >OIW07207 pep chromosome:LupAngTanjil_v1.0:LG08:4247191:4260472:-1 gene:TanjilG_17755 transcript:OIW07207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGIASEELGVGKSVEGISSVQRCQSVEALAEWRSSEQVENGITSTSPPYWDTDDDDDDGPKPAELYGKNTWKIENFSQITKRELRSNAFEVGGYKWYILIYPQGCDVCNHLSLFLCVANHDKLLPGWSHFAQFTIAVVNKDPKKSKYSDTLHRFWKKEHDWGWKKFMELSKVYDGFVDNSDNLIIKAQVQVIREKADRPFRCLDFQYRRELVRVYLTNVEQICRRFVEERRGKLGKLIEDKARWFSLCTFWREIDQASRHRMSREKTDVILKVVVKHFFIEKEVTSTLVMDSLYSGLKALECQTKSKKGKTKLLDAEEIAPPIVRVEKDMFVLVDDVLLLLERAAIEPLPPKDEKGPQNRTKDESSGEDFNKDSIERDERRLMELGRKTLEIFVLAHVFSNKIEVSYQEAVALKRQEELIREEEAAWLAESEQKAKRGVSEREKKSKKKQAKQKRNNRKGKDKGREERPIVAVHISPEDIAADEKKDSDMEKVQTPDEKLDVVEVVSDVSESVDGVGEVPQPDSEDRDASPVNWDTDASEVHPPSDLSNNGISGISSLQNGMAEKRSSSVMDDSSSTCSTDSVPSVVLNDPYKGNSFSNYKVQKSSSRRNRGKASHGGGSWTTEMGNQPSGSAADAGDMNESGSSKVVEIEPEVRTICLQDRLKWLEKDVRKEEEVLSLQKKQSIKDQVDIERPVNNEIKQQEKTSAVPSSPRSPPRNLPSTVQMKLVHKTSATVDPVHITKTSLSGSRQTDKEAFSLFTSASQATVVPKTEIQKASTPKQTERSMAQVTMSRPPSTAPGPRPIAPVVSMVQTAPVLARSVSATGRLGPDPSPATHSYGPPSYRNAMMGYPAASTSASLSHSNSSSGVNSYPQSPSLVSSPMFLSQSSSKMDSNAGQYDSPFGTFSREILQNGPQWIESSQRDSNRSLHFESPSQLNDLPNLNFYKPVQSRSLGNIPSEFPACTSGRQNQGLLVDEFPHLDIINDLLDDEHSIGKTTKASTVFHSLDDELHSLNRQFTFPGDLNTDNELGSSSNSCRFERSHSYHDPGFQQGYSSSGGHFDSLRDYHPQAPSMLQPYGNRKLDGLMPNQWQVAGSDLSYLGMRNIENDGYSYYQDYSNMANGVNGYTVFRPSNFP >OIW07371 pep chromosome:LupAngTanjil_v1.0:LG08:356795:357746:-1 gene:TanjilG_10206 transcript:OIW07371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKKFGGGRQPTGTPSLAWSCVVVVCSLLAGASVVHNIYKPNLALPSVDEVDGSKQILDEKE >OIW07257 pep chromosome:LupAngTanjil_v1.0:LG08:2727489:2731415:-1 gene:TanjilG_08372 transcript:OIW07257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRGRSDGSQKKRLVASICVVTIFLGFLYVYGGSIFGSKNSGSSAFEYGTKSLKRLGSSYLGGDDDTDAKQDESSSKFGGDEIVPKSFPVCDDRHSELIPCLDRHLIYQMRMKLDLSVMEHYERHCPPSERRFNCLIPPPSEYKVPIKWPQSRDEVWKANIPHTHLAKEKSDQNWMVVKGEKIVFPGGGTHFHYGADKYIAAIANMLNFSNDNLNNEGRLRTVLDVGCGVASFGAYLLSSDIIAMSLAPNDVHQNQIQFALERGIPAYLGVLGTKRLPYPSRSFELAHCSRCRIDWLQRDGILLLELDRLLRPGGYFAYSSPEAYAQDEEDLRIWKEMSDLVGRMCWRIAAKRNQTVIWQKPLTNDCYRKREPGTRPPLCQSDDDPDAVWGVNMEACITPYSEHDNKAKGSGLAPWPSRLTSPPPRLADFGYSNDMFEKDTELWQRRVEKYWNLLSRKIKSNTIRNIMDMKAGMGSFAAALRSKDVWVMNVVPQDGLNTLKLIYDRGLIGSTHDWCEGYSTYPRTYDLLHAWTVFSDLEKKDCSPEDLLIEMDRILRPTGFIIFRDKQPVIDFIKKYSSALHWEAIATADSSSDSDQDGDEVVFIIQKKLWLTTGSLRDTE >OIW06295 pep chromosome:LupAngTanjil_v1.0:LG08:15728524:15729603:1 gene:TanjilG_17669 transcript:OIW06295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCTSPNVNEEYHEAFRTKSYIEICNKAQGHLGKTIRLSSPSSSSSTSSTSSSLPFHMHLTEHLLEPRQEIMTNMTQSYKVHILLVDYFEATLEASLFCDKILEGINSMRLSNRRITRVVMLSKRVHDGANENDQNFKDIYKELTSFAMQKNPFYIISTIQFHEIHDQYIELLHRLKSKRRRIRRTISLKRVCKRLGGVVLVTSHCAILVTLLVFSFHSIVGLVAAPAIVGGLVGLFMKRIKMKNENFSTTSYCERLCDQLDVSTKGIYILINDLDTMSRMVKRLHDEVEHRKMIADVCVKNIMKSEILKQVMKDFHEHESSFLDQLEELEEHVYLCFLTINRSRTLVMQKITEKENIGL >OIW06121 pep chromosome:LupAngTanjil_v1.0:LG08:20999135:21001679:-1 gene:TanjilG_29877 transcript:OIW06121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIKGAINESDEGMEIKGAINESDEETWKRRKLAVFEDGGVITFNEEDLKNVRGYERGSDYIEVLCGCTNKKYGDFIGKLRINDKVNVTLVEFEKHGGIQSNGKWKSNIWVHTEEEDRIPLWRTPLFKYYTHLANVPNWIDAANRICTCHRDEFIRCSSCQKERRFRLRTRQQIGQYHAALKNKVWKYSDWPYQKISDSAQERLGLRTSRGCTRSSGCQGCTTCYCGGCIMCRFEDCTCQECRDFMLFAEP >OIW05655 pep chromosome:LupAngTanjil_v1.0:LG08:24686880:24687338:1 gene:TanjilG_23441 transcript:OIW05655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVLDHLSGFCDCSDGGSRRKQFQTVEVKVKMDCEGCERKVKKAVEGMRGVSQVEVERKASKVTVTGYVEPSKVVARISHRTGKRAEIWPYVPYDVVAHPYAQGVYDKKAPSGYVRNDNYDSNVSHLARASSTEVRYTTAFSDENTGACVVM >OIW06019 pep chromosome:LupAngTanjil_v1.0:LG08:21964581:21969074:-1 gene:TanjilG_11706 transcript:OIW06019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGSSGRSNSGSKGFDFASDNILCSYEDYGNNRDSNSNGNLTDPSKDFQKSRMARTSMFPAPAFNPPEDSLNQDVIATVDKSMKKYTDNLMRFLEGISSRLSQLELYCYNLDKSIGEMRSDLNRDHGEQDSKLKSLEKHIQEVHRSVQILRDKQELAETEKELAKLQLAQKDSSSSSHSQSNEERSLSSTTDPKKTDNASDANNQQLALALPHQITPQQQPAAPPPQAPAPNMTQATQQPSYYMPPTHLPNPQAMSQLPQNQYLPSDQQYRVAPQPTPPSQVTPSPPAQQFSQYQQPQQQQQQQQQWPQQVPQQVQPPQPPSMQQPQIRPPSSAVYPSYQPNQATNQSPTETLSHSMPMQMPYSGVPQGTNRADAMQYGYGGGSGGRTISPQQPQPHQIKGSFPSQQGDGYGPPSSAYMMYDGEGGRSHHAPQQQPPHYAQAGYPPTSASLQNPNHMVRNPNQSQHVRNHPYNELIEKLVSMGFRGDHVASVIQRMEESGQPIDFNSVLDRLNVHGSVGPQRGGWSG >OIW06853 pep chromosome:LupAngTanjil_v1.0:LG08:7912404:7918839:-1 gene:TanjilG_18235 transcript:OIW06853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDGMVECSVCHSKLVSPTTKSISRAYDRHKNRISSKQRALNVFLVVGDCILVGFQPILVYMSKVDGKFKFSPISVNFLTEITKVFFAMVMLLIQARNQKVGEKPLLSISTFMQAARNNVLLAIPAFLYAINNYLKFIMQLYFNPATVKMLSNLKVLVIAVLLKVIMKRRFSIIQWEALGLLLIGISVNQLRSLPEGTTALGLPVTMAAYIYTTIFVTVPSLASVYNEYALKSQYDTSIYLQNLFLYGYGAIFNFLGILVTVIIKGPSSFDILEGHSRATMLLIANNAAQGILSSFFFKYADTILKKYSSTVATIFTGIASAVMFGHTLTMNFVLGISIVFISMHQFFSPLSKVKDEQNGVLEMHDIHDKQRSKDSFINMAAGANEEATHHIGLDERLPLLPK >OIW06527 pep chromosome:LupAngTanjil_v1.0:LG08:10897419:10906582:-1 gene:TanjilG_29948 transcript:OIW06527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSRPQRTPQEVEDIIIRKIFLVSITPLPIPNPDPRLLYLELTAAEILSEGHNLILSRDFMERVLIDRISGDFSTTTTTAAAESTFHYLLGCYNRAHDESKKIVNMRDKNIRSEMEVVVKQAKRLCVSYCRIHLANPELFPSGNSSSGGGGGSPLLPLIFAEVGGGSIDGFGTGGGVKAPPGFLEEFFRESDFDSLDPILKGLYEELRGSVMKVSALGNFQDSLRALIYLVRFPIGAKSLVSHDWWIPKGVYMNGRAIEVTSILGPFFHISALPDQTIFKSQPDIGQQCFSDASTRRPADLLSSFSTIKTVMNSLYDGLAEVLLTLLKSTDTRESALEFLAAVININASRAHIQVDPIACASSGMFVNLSAVMLRLCEPFLNANLSKRDKIDAKYVHHSNRLKLSELTALHASSEEITEWLNSNKPAKAGGINQSSDGQKRLQQSQEATSSGSNNASEVSNENAAHGERTKYTFICECFFMTARVLNLGLLKAFSDFKHLAQDISRSEDALSTLKAMREQSPSPQLELDISRLEKEMELYSQEKLCYEAQILRDNTFIQNALSFYRLMIVWLAGLVDGFKMPLPPTCPMTFATMPEHFVEDAMELLIFASRIPKALDGVVLDEFMNFIIMFMGSPEFVKNPYLRAKMVEVLNCWMPRRSGSSATATLFEGHQLSLEYLVRNLLKLYVDIEFTGSHTQFYDKFNIRHNIAELLEYLWQVPSHRNAWRQIAKEEEKGVYLNFLNFLINDSIYLLDESLNKILELKELEAEMSNTAEWERRPVQERQERTRLFHSQENIIRIDMKLANEDVSMLAFTSEQITVPFLLPEMVERVASMLNYFLLQLVGPQRKSLSLKDPEKYEFRPKHLLKQIVHIYVHLARGDTNSIFPAAISRDGRSYNDQLFNAAADVLRRIGEDGRIIQEFIQLGAKAKVAASEAMDAEATLGEIPDEFLDPIQYTLMKDPVILPSSRITVDRPVIQRHLLSDSSDPFNRSHLTADMLIPDNELKARIEEFIRSQQMKKHNEGLNIQSSTKEAIQTTYGDMLID >OIW06297 pep chromosome:LupAngTanjil_v1.0:LG08:15682306:15690733:-1 gene:TanjilG_17671 transcript:OIW06297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTAPDSSSNHHSPTSAPPFPAVSGDSNSPKFPPKTLTSPWAQVVRGGDGESTTPVPQSPPLPPPTSSLSSSSSSIAPDQLMSSDSVENSNSNTVAADGDGSEGNVGRDKKSAWKKPSNGVVFEASLVMGDESWPALSESTKISGKLQPDSSSLKVAAEGSSLSSSQAPVTSHSPQKQASSNAKPNSATNYNMPNRQRSVKRGGDSNIGSGPALSSFSNPSPPPLPPSFPLYPVRPGGYGIPDHSPRDHYRNNNWDTRPPAGGFVPTVNEHQGSSRRGNFGPHLRGDGSYHNNYGSRRDQGRGNFANARDAHVHQPRMPPPRGMLRHPPPNSAGFVGPQPIGGLYTNPFGFPEFSYFPTPLFDHFKGRPFFTHGPPSAMFFPAEESPLTNMIVNQIDYYFSEANLVKDDFLKGNMDEQGWVPVTLIADFPRVKSLTDNIQVILDSMRTSTVVEVQGDKLRRHNEWKKWVPSAKLEAESGSLSPGGSRNNDVAHDFQTMTLNEATTKEEGPTESSNQS >OIW05614 pep chromosome:LupAngTanjil_v1.0:LG08:24971628:24974792:-1 gene:TanjilG_23400 transcript:OIW05614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFNHFSIFSLALLLLASPLLQVARCQSESDEAAQTTEEASDIGVVGDDVQDFGDETFDSAPGIDTITLFPKNSAKLVTAGEETELLIGVKNDGESSLKVIAIKASVHLAVDHRILVQNLTTQVFNNGKVPATAQATFSYLFAVSKFLQPGNFDLVGTVVYEIDQHPYQSTFYNGTIEVVEAGAFLSIESVFLVTLGASLLALLGIWIHGQIQNLSKKTKRAPKVEVGTRSTDASIDEWLQGTAYTQSQANKSKKKK >OIW07000 pep chromosome:LupAngTanjil_v1.0:LG08:6516552:6523604:1 gene:TanjilG_14338 transcript:OIW07000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANESQKWVLMVTAQTPTNIAVIKYWGKRDETLILPVNDSISVTLDPNHLCTTTTVAVSPTFQQDRMWLNGKEISLSGGRFQSCLREIRGRACDVEDKKKGIKITKEDWGKLHLHIASYNNFPTAAGLASSAAGFACLVYALGKLMNVKEDESQLSAIARQGSGSACRSLYGGFVKWIMGKEDNGSDSLAVQLADEKHWDDLVIVIAVVSSRQKETSSTSGMCETVETSLLLQHRAKEVVPKRILQMEEVIRNRDFASFSRLTCADSNQFHAVCLDTSPPIFYMNDTSHRIISIVEKWNRSEEDPQVAYTFDAGPNAVLIARNRKAAALLIQRLLYYFPPSSDDLDSYIIGDKSIAKDAGINGIADVEALLPPPEVKDNIPSQKYTGDVSYFICTRPGRGPVLLSDESQALLNGENGLPK >OIW06984 pep chromosome:LupAngTanjil_v1.0:LG08:7298364:7300308:-1 gene:TanjilG_18372 transcript:OIW06984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTGKPKDGEFKMIPAPGTSNALMKPPPPPAATSNAIVEYTAPVFKEEEEDIEVKLRRIIDNVPVRVSNTSGSSAGSGSGDFHQYRQMRRKEQDRLARMEVDYQRRKEEAEFILRRDERLKAAEERTAKKRAKRQKKKQRKKEKKMKLNDGQEQQQKEDSSDDDGDSAKEEEAAP >OIW06818 pep chromosome:LupAngTanjil_v1.0:LG08:8209770:8212443:1 gene:TanjilG_03713 transcript:OIW06818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKFVSCDHADDDYIDMEVSSYSNFFCHSANSHSQPREFEFQMASIVQEKEPTTSPADELFYKGKLLPLHLPPRLQMVEKILQNSTNTPFDMEKDVFEEFYSTPLNTTTYPSPITGTPFESCNISPNESCQVSRELSPEEYYNLEYQTDNASGFVVENQKKSWTKKLKQSSLGSKLKASRAYLKSLFGKSGCSYESYAASTKVADECSVSKARGNLNKHVQVVAKKNPYGQIHRDKYMPSNSVMRSYKEKNNDYGSNQHRRCSSASSEIENSIQGAIAHCKKSQQMFSSKKNASEVGFYSLSASRNSVGVVVAPCWLARSKLRVESWCLGEGLGVMTPRLEGIA >OIW05991 pep chromosome:LupAngTanjil_v1.0:LG08:21735389:21737701:1 gene:TanjilG_11678 transcript:OIW05991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNANPQILDEPQNPNPKFAEQQHEEEESDPPMSHPDPQSPKILTLLDQDPQIGNLHDLDAADSNPNQHPKCEDIVIQDLTQDLNVEAEEQEASDGVAVVSSTVSRRGLKRKKLGSKRRNAQERQLRKKLKVLVETLKPIPFVPCKALDFESHKVLLQHLGIWDFVHIEFDTAIREDLVAELIASYGQSTRCSYVNGCRINVSRADLARALKLPVKLPLKNTAALVESVELAESIAFVEELVYTWMLLHDDMFVMTDDILAYLLLIKEGHFEKVDWAGLIWNIMEKELKEKLVNCYYASHLQQLIKTQHGELLEEAPQVVVVVDESKGKGEGEEVGVKDEEGEDEEDDDDVKDEVDGSGDVKMGGVDESWVHELEENKIELSLGLDNAERAEVEKEQEQVGVDQIMDFEPTKEEHGKWLLDQKNNVGEPFLLPCHTSNMKVMDCGEMKADEGEEGQDQDKEEDVEEDQHVGGFHLSPKIIPMEGMPSGSHLQAMDAARMPFGSGIDLCDNHVGDFVSSRDNCHMIAGSSLFGNGHNRDIGMDNHNSHHSLNSSNKRLRSDSPWNSKPVDIEMCFENMEHLMGKARMLYAAKEQACIECTNNQQVLLNELQMRDNMIGHLHKVKMDDSHKIHRLEKELYMRTSLVEGYRKALKETQKAFAEYRASCSQVDEPLYKDVPGSGGLVLSVKEFEKERLRKEEEEKMVMRDYEKKFRDIEGAWISKLEGHLSSVQSLGNRLLVIGDKVKHLNEVIAKRKVADSPGCAPTSEGQTA >OIW06554 pep chromosome:LupAngTanjil_v1.0:LG08:9414380:9415640:1 gene:TanjilG_03948 transcript:OIW06554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLHAGFLDEVVVLKGFLISPNARITVSVKKVIIGTIGHAVEARRTHKVYIFIFLNLWHLLAMQQLDMLHEQWQVKGSLGKFVA >OIW06763 pep chromosome:LupAngTanjil_v1.0:LG08:8872311:8872745:1 gene:TanjilG_11488 transcript:OIW06763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKWRVIDTQEEFEEIRHKVIQDPFHCSKKANSSCDEPADIDYDSSRTWVIDKPNLPKTPKGFRKSLVLRKDYSKLDAYYITPTGKKMRTRNEIAAFLNTNPQYQGISATDFDFSSPKIMQDTVPEIFQQKDSSTKKVKASKNDV >OIW05595 pep chromosome:LupAngTanjil_v1.0:LG08:25111219:25113411:1 gene:TanjilG_23381 transcript:OIW05595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLFGLFVLVAFLPNGLFASTVPAFLWSSHYNLVSENGLKESVNYQTISPKDLAKSVSSEAGWSNFLCKGNKVQEPLDLALLFVGRELQSSDLTMNNHADSALLDLLKTSFTRSNTSLAFPYVSASQDENLENLLVSGFTEACGDGLGIRNVAFIGSCSLDDQSLVGNTALYSVQDYLTKRADESHKGKTDLVVFCNGGSQHFSIVDKAQSEGEILSEIITSVEESGAKYAVLYVSDPARSVQYPSYRDLQRFLQESTTSNASTNLAVCDSVCQIKSSLLEGLFVVSFFVYF >OIW05849 pep chromosome:LupAngTanjil_v1.0:LG08:23184958:23186377:-1 gene:TanjilG_23635 transcript:OIW05849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLEKCWFCSSTVYPGHGIQFVRNDAKIFRFCRSKCHKNFKMKRNPRKVKWTKAYRRVHGKDMTQDSTFEFERKRNRPERYDRNLTENVLKAIPKIDKIRVGREERHHKNRMKGKKQKLQMEAAKELEQSISLVKAPSVFQQDQSLTLPKIVVSVSQQQSENRMEE >OIW06714 pep chromosome:LupAngTanjil_v1.0:LG08:9319163:9322658:1 gene:TanjilG_11439 transcript:OIW06714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKWKKAKVALGMNLCMLVPSTLDDDSPLPTVVSDRFSDAALLSPAANWPIDSSRPTTPVPSFYGLKLSKSSSKSSKQICSICLTRMKEGSGRAIFTAECTHSFHFHCIASNVKHGNQICPVCRAKWKDIPFSDPSLDPIAYKVSSSPTNWSQNDVLMAVVHRLPLPHPQRDLNRRNIVPLYQAPEPVIYDDDELLNYQLAFSDRSPCSKNNEDIVAVKAMEIKMYPEVLAAPRFNTYSNFTVLVHLKANATAAASAAKRETFSRNPSSFTQISQTPRAPVDLVTVLDISGSMAGTKLALLKRAMGFVIQNLGSNDRLSVIAFSSTARRLFPLCRMTDSGRQQALQAVNSLVASGGTNIAEGLRKGAKIVEDRKEKNPVASIILLSDGQDNYTVNGSGSDQPQPNYELLLPTSISGRDKSGFQVPVHAFGFGTDHDALSMHSISETSGGTFSFIETESVLQDAFAQCIGGLLSVTVQELQVGIECIHQDLCLVSIKAGSYRSHMMATGREGFIDVGDLYADEERDFLVSVNVAATSANETSLIKVKCFYKDPFTQETMTLESEEVKIERPETDVGVEMSLEVDRQRNRLQAAEAMAEARIAAEQGNLTDAVSVLENCRKMLSGTVSAKSHDRLCVALDAELKEMQERMVSRHVTLSDHL >OIW05759 pep chromosome:LupAngTanjil_v1.0:LG08:23992186:23993344:1 gene:TanjilG_23545 transcript:OIW05759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFSSIPLYVDPPNWHQQSNQNHHQVNGNGSPQMLPPLPPQASLSGGGGATVGSMRPGSMADRARLAKLPPPETALKCPRCDSINTKFCYFNNYSLTQPRHFCKTCRRYWTRGGALRNVPVGGGCRRNKKNKRSRSKSPSSSEKQTLSSSTSAIPSGGTTHELIGHMTQPPNLPFMASLQNQMNRYGVANMAGLNLREIQGQTDHMGFQIGCGSNSGAGGSGGGGGLEQWRSQQFPFMNGFESTSVTSYPFQNENVEANSGFVGDIAASSRVYNQQPPVKMEENRALNLLRSSMSNTVSENNQYYSWTDLSGLPSSTTASHLL >OIW06655 pep chromosome:LupAngTanjil_v1.0:LG08:10207169:10216272:-1 gene:TanjilG_04049 transcript:OIW06655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHERRLKAIEGHLIPDASAHYSSHLHPNPTSAAHFVSEQGYSVVLPEKLQAGKWNVYRSAHSPINLITRRSVDTFRDYKYLGTRVRVDGTVGEYKWMTFGEAGTARSAIGSGLIYHGFPKGSCIGLYFINRPEWIIVDHACSAYSYISVPLYDTLGPDAVQFIVNHALVQVIFCVPQTLNSLLSHLSDIPTVRLIVVVGAMDDQIPSLPSSAGVQVVTYSKLLNQGRSNLQPFCPPKPDDVATICYTSGTTGTPKGAVLTHGNFIASVAGSTIDEQFNPSDVYISYLPLAHIYERANQVMTVYFGIAVGFYQGDNMKLMDDLAALRPTIFCSVPRLYNRIYSGIVSAVKTSGGLRERIFNAAYNAKRQAILHGKNPSPMWDRLVFNKIKERLGGRVRLMVSGASPLSPDIMEFLKICFGARVTEGYGMTETSCVISRIDVGDNLSGHVGSPSPACEVKLVDVPEMKYTSDDQPNPRGEICVRGPIIFQGYYKDEAQTREAIDEEGWLHTGDIGTWLPGGRLKIIDRKKNIFKLAQGEYIAPEKIENVYAKCKFVAQCFVYGDSLNSSLVAIVSVDPDALKAWADSEGIMYNDLQQLCNDPRARAAVLAEMDAVGRNAQIKRPQAKEYFAKPISDMYNEVSGTGPTQQTN >OIW06649 pep chromosome:LupAngTanjil_v1.0:LG08:10171204:10173486:-1 gene:TanjilG_04043 transcript:OIW06649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMESACDPGELSELVEPSFDEFQRQTSLMTTCTLLWKELSDHFTSLENNLLSKSLSLNRKISSLHHSTDTSLRLLNHRDSSLDHSLHLILSDLNSHRQAALQLIQQQQDQDSDDNNKQDSPLMLKLKSFCLTMDALGFWEFVIGKKKELETLRSQIPIALSECVDPPKFVLEAISEVFPVDKRVEKGGNDLGWACVLILESLIPVIIDPIIGNSRLLLTPTVKDKANQIALTWKETLKQRGGIHNLKTPDVHTFLQHLVTFGIVDKDHDLHFYKKLVIASAWRKQMPKLALSLGLLNQMPDIIQELISKGQQLDAVHFTYEVGLVDNFPPVPLLKSFLKDAKKVAASISEDPNNAGRAAYLAARKEQSALKAVIKCIEEYKLEAEFPPENLKKRLEQLEKVKPEKRKPAAVPANKRTRTSNSTGGPMPPAKAGRLTNAYVSSFPAAPAFVRSPSHGQYPAALPPYPSPPHMYGSRSPPYAYSPEPAPAIAGSYPAPPPMNYPPAYGGYGNVLAPTYQQAYYHR >OIW06647 pep chromosome:LupAngTanjil_v1.0:LG08:10164763:10165603:1 gene:TanjilG_04041 transcript:OIW06647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPQDPIKYGDVFHVSGDLAQKPIAPEHAKKMQSAETHILGKTVPAGVAASMQSAATINQRDGLLGNRDVTYNPGVTATQTHLPGRRIITESVAGQVVGQYVEATSQEEEEEDESNKITIGEAMEGTAHTVGEKAVEESDAAAIQAAEVRATRNHVIKAGGGVGASAESAAAYNTQCKRDEDKIKMRDIVSDAKTKLGEDKVATGEDAVCVTGAELRNNNTLTPAGLSASIAAAANLNQTLNS >OIW06701 pep chromosome:LupAngTanjil_v1.0:LG08:10601536:10602399:1 gene:TanjilG_04095 transcript:OIW06701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFMKAWAYTCSKLIESPKSLSLPENLTPFFDRKVIEDPKGISEIYVKEQLNHGGTNNRSLKVWETKNTKEADAVKGLFELTPSHIQKLKEHAQTKLKNKVKLSTFSVTCAYVLTCLVKTEHIEANSVAFIFSVDCRARLNPPIVATYIGNCVKGQLVHFEVNGLLEDDGFIKTLEGIIEALNRIQEEEVLSGAETWMSRMKSFKDIILLSVGGSPRFEVYSVDFGWGKPKKVDITSIDKTGAFSLSESRNDNKGVEIGLTLNKPKMETFAHHFAHTLESFGRFEKVE >OIW07202 pep chromosome:LupAngTanjil_v1.0:LG08:4039097:4040769:-1 gene:TanjilG_17750 transcript:OIW07202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRLYLKTENQFRLKQFILGIGGRKRNHSTAKKPSWLMPITHGFHVVEHHVINDDFNDSDFDSVVIQREQIDETELWYFGIFDALIGDGIIKYMQSHFFDKKLTESHMRRKTKEALKRAYLTAKAKIREANKSEETCRIGSTSVMVINGEKLVIANLGDYGTVLCKHGIAQQITGMHKKSAKINWFQGLFSGTKNSTGSELVVGVDRIDSDTDFLILASNGIWEVMKNQEAVNLIRHIEDPQEAAECLANEALSRMSKSNISCLIIRFD >OIW06090 pep chromosome:LupAngTanjil_v1.0:LG08:20652880:20662295:1 gene:TanjilG_29846 transcript:OIW06090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDPSHLLQAANDFAHYPGMQNDDSAKDFLNRFPLSLIINALQTQFDVPGLENTVVACLERLFKTKFGASLIPQNMLFVQVGLQADSQAVRSLACKTVISLLENLHNDGKVAARLITDFNIYPLLLDSLIKGNEEVAAVAMDAIKKLATFPEGMEIIFPSGKGGGDTDLGVIASRCSSLGQVRVMALVVKLFSASRAAASTIYKLDLLKLLEAEIRNSNDTLVTLTVLELLYELAEVEHGTEFLSTSSLLQLLSSMISNNSAESILRSRAMMISGRLLSKKIIYSFIDEPCVKTVIMSIEGRLQSLETSDRDECETAIEALGHIGSCFQGATLLLSGSSPAARHVIDAAFDRQGPQGHGRQLAALHGLANISGETRSENNIILTSEAEENLRRLIYEAASRSTKLTPSGYRMISGLVTRPWCLMEICSKQDIINIVTNPSTETTKIGMEARYNCCKAIHKSLTLSSRVSTDPAFAGITAKLQEALGKGPYLIRKQHVEAQPIVMTAERF >OIW07019 pep chromosome:LupAngTanjil_v1.0:LG08:6329257:6334627:-1 gene:TanjilG_02653 transcript:OIW07019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSENEIEVAEEERTPLLPAQYDPNQNQNCKNTSVSSVPNQRVASLDVFRGLTVALMILVDDVGKAFPSLNHSPWFGLTLADFVMPFFLFGVGVSIALVFKKPLNKQSATKKVILRTIKLFLLGVLVQGGYFHGRGNLSYGVDLNRIRWLGVLQRISIGYLLASISELWLVNNTILVNSPASYVRKYFIQWVFSLLLCSVYLSLLYSLYVPNWKFEHSNLLGSGLASIIENIHCEVRGSLEPPCNAVGYIDRLVIGEHHMYQRPVYRRTKECSVNSPDYGPLPPHSPVWCLAPFDPEGILSSLMASITCFMGLQFGHILVLLQGHKQRVLIWSAFSFSLLAIGSILQILGIPVSKALYTLSYMFITAGASGLVLTVIYYIVDIEHLRKPTVILQWMGMNALIVYALAACDIFTAVIQGFYWRSPENNLELKSPSWTPINFCLEFNRNWCSGPPLRPWSK >OIW06945 pep chromosome:LupAngTanjil_v1.0:LG08:6962668:6968243:-1 gene:TanjilG_18333 transcript:OIW06945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSNIEGPSTPSNRRDPYEVLSVTRDSTDQEIKTAYRKLALKYHPDKNASNPEASELFKEVAYSYSILSDPEKRRQYNSAGFEALDADSMDVEIDLSNLGTVNTMFAALFSKLGVPIKTTISANVLEEALNGTVTVRPLPIGTSVSGKVEKQCAHFFGVTINEQQAQSGIVIRATSTAQSKFKLLYFEHDANGGYGLALQEDSEKTGKVTSAGMYFLHFQVYRMDSTVNALAMAKDPEGAFFKRLEGLQPCEVSELKPGTHIFAVYGDNFFKTASYTIEAVCAQSHEDTTQKLMDIEAQILRKRNELHQFEAEYRKAVARYQEVTDRYTIEKQSVDELLKQRDNIHSSFTIVKSSIISGSTSNLSNGSSSKISGEGSPEDGGSDGKDKSGKKKWFNLKS >OIW07335 pep chromosome:LupAngTanjil_v1.0:LG08:2392192:2392521:1 gene:TanjilG_11969 transcript:OIW07335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHASNSTITTSKGATLRNMVSPIPYLFGGLALMLGLISIALLIIACSYRKQNSSSSLTSDEEKITNKVVNMEVDSEPKIVVIMAGESNPTYLAKPVSSTSYSEQVFSK >OIW06206 pep chromosome:LupAngTanjil_v1.0:LG08:18752477:18753721:1 gene:TanjilG_03831 transcript:OIW06206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMELDLNREPLDTISTTSEIELDSLLDELESDNGPIYDRIRHLEAVTFRARERHMWPPVHNPIQITNFTADVTTAADDFQDEEGREENQEVEEGIVESAKGHEGKGAYLVAKALGVERDVVDGRTGNYFDCNICLDTARDPVVTCCGHLFCWACFYQLSYAYPNAKECPVCKGEVTDNGIIPIYGNASDGSNSQLELTENGLRVPPRPRAPRVESVRQRLISQGAPPSIIRNVQRYNNLIGGLGERVMPGLNNPTGRNNVLPAQPRLQAINTQHTHSHAISRLLMQGASLEYALNSALNTADRIVDDLESYIHGHHTGRNTQLGPGALNRGSTFSVPASSLPGFRAQIVTATNVAAAASLLNRNNDTTAVIGPRIQAINGSLQIRSSDPSSSYSRRRTDASRQDSSERRRRRLR >OIW06731 pep chromosome:LupAngTanjil_v1.0:LG08:9110368:9112600:-1 gene:TanjilG_11456 transcript:OIW06731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEANILAQSRIPTLCLAQWKLGRKSKQPCVVGVLTRPVTGEAAETNVYKDSWFDHIAINHLSKSVQAATGLSNSKSGYESLVEASTMASKEFNHIQQQQVVIQALDRAFPRPILLLIRTLLPQSKFAREYFAVFTTLFFAWLVGPSEVRESEINGRREKNVVHIKKCRFLEESNCIGMCINLCKMPSQSFVKDSLGMPLNMVPNFEDMSCEMIFGQDPPESNDDPALKQPCYKLCKAKKNHATNCLS >OIW05978 pep chromosome:LupAngTanjil_v1.0:LG08:21593639:21596091:-1 gene:TanjilG_11665 transcript:OIW05978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGYKADDEYDYLFKLVLIGDSGVGKSNLLSRFTRNEFNLESKSTIGVEFATKSLSIDDKVIKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTRTSTFENAERWLRELRGHTDPNIVVMLIGNKSDLRHLVAVSTEDGKSFAERESLYFMETSALEATNVENAFTEVLSQIYRIVSKRAVEAGDSASSSSVPSKGQTINVKEESSVLKRFGCCSN >OIW06464 pep chromosome:LupAngTanjil_v1.0:LG08:11981469:11989114:-1 gene:TanjilG_05235 transcript:OIW06464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLVESKDGGGGGGRNGGQGSWRFFTRRKRVDSPTISNNSSQALLAKELTVPNLMAIGVGATIGAGVYVLVGTVAREHSGPALAISFLVAGLAAALSAFCYAELASRCPSAGSAYHYSYICVGEGVAWLIGWALILEYTIGGAAVARGITPNLAALVGGADNLPFFLSRQLIPGIDIVVDPLAVIIIFIITGLLCVGIKESTMVQSVVTSVNICVLVFVILAGGYLGFKSGWVGYELPTGYFPFGVDGMLAGSATVFFAYIGFDAVASTAEEVKNPQRDLPLGIGGSLFLCCGLYMMVSIVIVGLVPYYAINPDTPISTAFADHGMQWAAYIINAGAFTALCASLMGGILPQPRILMAMARDGLLPPFFSDIDKRTQVPVKSTIVTGLVAAVLAFTMEVSELAGMVSVGTLLAFTVVAISVLILRYIPPDEVPLPPSIRESSDSVSTSYIWSSLETDEKDTAANVGTSRNKKPLVIEEDASVDDPLTAKDFASGNYLHEGNRRSVVGWVIALTCVGVFVLTYAASDLTLLSSVRFALCGVGGILLLSGLVFLTSIDQDDARHNFGHSGGFICPFVPLLPIACILINSYLLINLGADTWARVSIWLAIGLLVYIFYGRTHSALKGTVYVPTTQVDDTYRTSTSCLA >OIW07298 pep chromosome:LupAngTanjil_v1.0:LG08:1693831:1706343:1 gene:TanjilG_11932 transcript:OIW07298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMEITYASPSSPNLTALAAMPSSATTKRTVRIIPVQHPTVTNSSSSSSSSSFVFSVWLSKLRKKTWMEWIELFFPCFRWIRVYNWREYFQVDFMAGITVGVMLVPQSMSYAKLAGLQPIYGLYSCFVPIFVYAIFGSSRQLAVGPVALVSLLVSNVLSGIADPSSELYTELAILLALMVGILESIMGLLRLGWLIRFISHSVISGFTTASAIVIGLSQVKYFLGYSIDKSSKIIPLVESIIAGADKFSWPPFVMGSITLAILLVMKHLGKSRKHLRFLRASGPLTAVGNIPQGLPKFSVPRAFEYAESLIPTAFLITGVAILESVGIAKALAAKNGYELDSNQELFGLGVSNILGSFFSAYPTTGSFSRSAVNHESGAKSGVSAIVSGILMTCALMFLTPLFESIPQCTLAAIVISAVIGLVGVSLAFVIHESANPHIAILGRLPGTTVYRNVKQYPEAYTYNGIVIVRIDAPIYFANTSYIKDRLREYEVTADTSTRHGPDVERIYFVILEMAHDNYVGNIPQGLPKFSVPRAFEYAESLIPTAFLITGVAILESVGIAKALAAKNGYELDSNQELFGLGVSNILGSFFSAYPTTGSFSRSAVNHESGAKSGVSAIVSGILMTCALMFLTPLFESIPQCTLAAIVISAVIGLVGVSLAFVIHESANPHIAILGRLPGTTVYRNVKQYPEAYTYNGIVIVRIDAPIYFANTSYIKDRLREYEVTADTSTRHGPDVERIYFVILEMAPVTYIDSSAVQALKDLYQEYKLRDIQIAISNLNPDVLLTLSKSGLMELIGKEWYFVRVHDAVQVCLQHVRSFERGSNNSSQTSLALSDDKPSSYGQLLKQTGENLPISDLESGKGRSPLSMDRDSHLEPLLSKEH >OIW07273 pep chromosome:LupAngTanjil_v1.0:LG08:2538017:2541650:1 gene:TanjilG_08388 transcript:OIW07273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLFQNYLSAEAIGLLSIIVLCFVLFQRSKGNNGKEAPMVEGAWPILGHLPLLSGSNAIHHILGDMADRYGPVYTIKLGFARVLVISNSEMAKECFTTNDIALSQRPNLLMSEHMTYNRAMFGFAPFGAYWREMRRIVNSSFLSSHKVDLQSNIHVSQVKTSIKALFNFWLRKKDSSNFAMVEMKQWLRELAFNTTLNMLVGKQYSEETTSINDEESKKCLSILREFMRLVGVFTIADAIPFLRWFDFGGHEKAMKKTFKEVDSVVSGWLEEHRKNRTLDHGKVKSDRDFIDAMLSMIDGSTIEGIDSDTIIKATTTALSLGATDTSSAIHIWAICLLLNNPQALEKVKAEIDTHIGNKRFVSESDINNLTYLQAVVKETIRLYPPTPLFVREFGEDCTIGGYYVKKGTRLFTNVWKIQTDPKMWQDPLEFKPERFLMNKEVDVRGHHFEFIAFGSGRRVCPGITFGLKTAYLTLASFLSSFEISKPSSEPIDMSAVTETTNIKVTPLEILIKPRLSLDIYETM >OIW06346 pep chromosome:LupAngTanjil_v1.0:LG08:14249272:14270038:-1 gene:TanjilG_14991 transcript:OIW06346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCASFTSGPGSATAADGDNNNNNNSDGYSSIRDFKLNESTFLASLMPKKEIGVDRFFEAHPNYDGRGVLIAIFDSGIDPAADGLQVTSDGKPKVLDVIDCTGSGDVDISKVVKADADGRIFGASGQSLVINTSWKNPSGEWHVGYKLVYELFTENLTTRLKKERKKKWDEKNQEEIARAVKQLDDFDQQNVKVDAAKLKREREDLQNRIELLRRQSESYDDKGPVVDAVVWHDGEVWRVALDTQSLEDDPDYGKLANFVPLTNYRIERKYGVFSKLDACTFVVNVYNDGNVLSIVTDCSPHATHVAGIATAFHPKEPLLNGVAPGAQIISCKIGDSRLGSMETGTGLIRALIAAVQHKCDLINMSYGEATLLPDYGRFVDLANEVVNKHRVTFVSSAGNSGPGLSTVGAPGGTSSSIIGIGAYVSPAMAAGAHSVVEPPSEGLEYTWSSRGPTADGDLGVSVSAPGGAVAPVPTWTLQRRMLMNGTSMASPSACGGTALLISAMKAEGIPVSPYSVRKALENTAVPIDPSSRGIYLREASACQQSTEWAVQVNPKFHEDASNFEDLVPFEECIELHSTDKTVVKAPDYLLLTNNGRTFNVVVDPSNLCVGLHYYEVYGIDCKAPWRGPLFRIPITITKPQAVTNQPPQVSFSKMLFKPGHIERRFIEVPHGASWVEVTMKTSGFDTARKFFLDAVQICPLKRPLKWENVVTFPSPAAKTFAFRVVSGQTLELVISQFWSSGLGSHETASVDFEVVFHGIEVNQEEVILDGSEAPVRINAETLLASEELAPVAILNTVRVPYRPVDSKISALSTDRDKLPSGKQILALTLTYKVKLEDGAKVKPQIPLLNDRIYDTKFESQLYIISDSNKRVYSSGDVYPSSSTLPKGEYNLQLYLRHDNVQILEKMRHLVLFVERNLEEKDIIRLGFFSQPDGPLIGNGSFKSSLLVPGIKEGFYLGPPPKDKLPKNSPQGSVLVGAISYGKLSFADQGENKNPEKHPASYRVSYIVPPNKIDEDKVKGSSLSPKKTISDRLKEEVRDAKIKVLGSLKQETDEECLEWKELSTKLKLEYPKYTPLLAKILEGLVSRRNIKDKIHHDEEVIDAANEVIDSVDREELAKYLALKNDPEDEEAESIRKKMESTRDQLAEALYQKGLALADIESSKEGDLSPSLAATEGAKKDVDKTDDQSKGDGSKPDGFEENFKELKKWVDVKSPKYGILLVTRERRSGRLGTALKVLSDIIQDDAEPAKKKFYELKLSLLDEIGWTHWATYERQWILVRFPPSLPLF >OIW05803 pep chromosome:LupAngTanjil_v1.0:LG08:23655046:23656453:-1 gene:TanjilG_23589 transcript:OIW05803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISILTQERLLGASLGAVLTGIVLLEQRKYIYSSISNSQSHSQVREPIFGKKTRSEFAHSWNKAVDQTFGPLIKSLSSRGW >OIW06472 pep chromosome:LupAngTanjil_v1.0:LG08:12107857:12112345:1 gene:TanjilG_05243 transcript:OIW06472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPSLLFVLLLSLFQLACSSLLCFPQPSSFLYNLQSQCPFLIQSNPPLQVGGNFIEGVLSGRKSIGHSSILFYASWSPFSRRMLPEFETLSSMFPQVEHLSLELSSALPSLYSKYAIHSLPAILLVNQTSRVRYHGQNNLLPLIEFYERNTGFEASGSIAVGQLRSFASDDNLTMNLMMGLSLKEISSREPYLVFSVLFLCLRILLFVFPKIVSRLRAFWVSYIPHLNMQIFGETSQVMGRVLHAIDVRRIWTKLRLCKTRSFHEKARSAQVWASSLASVSLGKSSSARSSSQGLN >OIW06225 pep chromosome:LupAngTanjil_v1.0:LG08:18494593:18502325:-1 gene:TanjilG_03850 transcript:OIW06225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSYSWSVQKQIVDALRLGERTKASDLLLDFGHKSSSLRAGDFVDIFKYCAESPDPLFVMEIWRLMEAKNVSLNDICLSLMTKALSKGGYLEEAFNMMDFLGETQRLYPGLPLYNSFLRCCTKMQSIIHASKCLDLMEKRTVGKNEVTYAELLKLAVLQKNLPAVHLIWDEYIKYYSVSIIVLRRFIWSFTRLGDINSAYKTLQQMVSLAITEDTSIARTVYEKLYSTRLDIPVPSNREIQSTMLDLKENKEEGSSIRLPFVNIPDAISDNIEQQIILMGNKSEIVEIDGLNGQKQSLLMEVLTWSFNDVIHGFAKCKNYTLARRLISQMQNLGLQPSSHTYDAIVKVVVSQSYKDGMAVLKKMHQKNLKPFDSTLATLSVSCSRALQLDLAEALLNRISECLYPHPYNALLEACDATNQPERAVQVFAKMKQKKLLPDIRTYELLFTLFGTVNAPYEDGNMLSQMDAAKRINAIERDMAKNGIQHSQLSMKILLKALGEEGMITELIQYLQVAENLFFYGRNPSLGTEMYNIALHYLVKAKESHMAIEIFKKMKLCGCQLDSATYNIMIDCCSIIRSYRYASLLLSMMIREGFYPVTCTYTSLIKILLEDENFSEALYLLERVRLDGIQLDVLLFNTFLRQACHKERIDVIEYIVECMHREKIQPSPASCGYVFSAYVNAGFHNTAIEALQVLSLRMMSPDGNILKKKEHFVDEFILAEDLDVESHVLKLFKDSEEELVAGLLNLRWCAVVGVPICESADQSPWAKRLELQFFERRPVP >OIW05875 pep chromosome:LupAngTanjil_v1.0:LG08:22923752:22930160:1 gene:TanjilG_23661 transcript:OIW05875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVRATVSRFPIDTDAREGSGLLWGVTVTPFAAVDENGQSPAYGSGGDLLPRCENCYAYFNTFCELEQWSWSCSLCGSVNGLSSDAVERYSRPQSCAEMMSSFVDLELPQEESAEGGGAMQACPVYVAAVDLSSSEEFLELTKSALLAALEALAPGSLFGLATFSHKLGLYDVQGPIPVVKNVFIPPDAEGTLPIELEDVMPLLQFLAPVETCKDRIASALETLRPTSSWERTTAAGQGLDGVLLGGRGFGVAMEALCNYLGSEYGNTYALARVFAFLSGAPDYGAGQLDTRRYGEQYASKGEDADRALLPEQTPFYKDLAAVAVQAGVCVDIFAVTNEYTDLASLKFLSIESGGSLFLYTSTEDSTLPQDMYRMLSRPYAFGCVLRMRTSTEFKPGNSYGHFFPDPQYENVQHIICCDSYATYAYDFVFENNVGFSRTLSDLPTIQIAFQYAVVVPPQELSDSEGVSTSRTTHSLKRRLRIRTLQFGVAKNIHELYDSCDPEVLLSLLVHKVILASLEEGVREGRILLQEWLVILTAQYNDAYKLIQNSNGSSIISQIDVAFSQCPQLQPLPRLIFALLRNPLLRFHEEGVHPDYRIYLQCLFSVLEPSSLHRAVYPVLTSYATPDKQAYPRHSLSRAALITSGSPIFFLDAFTILIVFYSSTADPTLPFPPPHDCLLRTTINKLKQERCITPKLIFIRGGHDDASIFENFLIEEQDVDGSGLTSVMGFVSFLEDITQKVLEFMK >OIW05810 pep chromosome:LupAngTanjil_v1.0:LG08:23586955:23589794:1 gene:TanjilG_23596 transcript:OIW05810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEGVIEKSLDPQLWHACAGSMIQMPQLNSKVFYFPQGHAEHAQTTLDLTPSLRIPPLILCTLASVKFMADTETDEVFAKMWLVPLRNSNLDDLNDGDVVENSEKPASFAKTLTQSDANNGGGFSVPRYCAETIFPRLDYSAEPPVQTVVAKDVHGELWKFRHIYRGTPRRHLLTTGWSTFVNQKKLVAGDSVVFLRAENGDLCIGIRRAKKGIGGSAGSEVLSGWTSASGHGNCGVGPYGAFSFFLKEENKFLRNGCGGNGGGLGGRVKVRPEAVMEAMTLASRNQPFEVLYYPRASTPEFCIKASAVRDAMRVQWDSGMRFKMPFETEDSSRISWFMGTIASVQVVDPIRWPNSPWRLLQVTWDEPDLLQNVKRVSPWLVELVSNMPVINLTPFSPPRKKPRFPQHPDFPLDVQFPIPMFSGIQHGYNNPLCGLSDNAPAGIQGARHAHYGISLSDIHHNNNKLQLGLFPANMQQLNVISNANLSNRVKSKESLSCLLTIGKSDKTLEKSNNVETKQFLLFGQPILTEQQISRNCSRDMFSHDFAGKKSIDEVKDKERSFFGGANPTLTQQFLPGKASAEFTWQLGLDTGHCKVFLESEDVGRTLDLSSLSSYEELYRRLSNMFGIQRSEILNHVLYRDATGAVKNIGEEPFSEFMKTAKRLTILMDSGSKNIRGTWITGTRNGEHGLDASNKTGPLSIFA >OIW06158 pep chromosome:LupAngTanjil_v1.0:LG08:19443251:19444624:1 gene:TanjilG_01785 transcript:OIW06158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFIQCMIELTKVDSQLQNGYEEPSKAEMNVPLKKVSGKHTDPLEYAKDIPNTHESPTPELVSKLVHRTNEGKAAKRYIDEKEKVLKKPDKVLPCPRCNSSETKFCYFNNYNVNQPRHFCKNCQRYWTAGGTIRNVPVGAGKRKNKPSDLQYCQEPVALDAVSSIQTDTNLASNAHLSSRPFNEMRNIHGPGEDAPLSESFQTVLNLEGQKKAEVDTSTLKDDGEEPSSSSIRSAESCEKHYSESEVEQVGLTQQCNVVTPLHPLHYYPAPPWPHQWNPCWNAVTFRPSSVTSSPAYMGSATMMTVPGFSIPTVELPVAPSLYWLVEESSLVGGSTISGIPSPSSSVSNSGCSGNRSPTLGKHYRDGSTPGDDTMKHNLWVPKTVRISDANEAAKSSIWSTLVTKCENSQQNKPIIKGSVFKSFEPKSNVDSHILDDNQILKANPAAFSRSESFQESI >OIW05542 pep chromosome:LupAngTanjil_v1.0:LG08:25429247:25431665:1 gene:TanjilG_23328 transcript:OIW05542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERSVDMDIVIVGGGICGLATALALHRKRIKSLVLERSKELRATGAAIIVQSNGWYALHHLGVASILRQTAIQIKGGRLIVVGEGEPKEMPFGVNGELRCLKRSDLMKVMANSLPEGTIRTCCEVLSVELDPLTHYPRLMLTNGTILQARVVIGCDGVNSCIANMVGSNPTKLMLFSTCVARGFTNYPSGHQFASEFVVISRGQVQLGTMPVTHNLVYWFITRLRTSQDSTISKDAHLIRVSLMESMMGFPVQSMEMIRNSELESLHLTELKYRPPWDLIFNKFRKGTITLAGDSMHATGPFIAQGGSASIEDAVVLARWLAHKKVGSRESNNNFMVEEALIDQYVKERRKRIMWLSFNSFLIGKKLDTKSFIVRSIIIAILVVLFRDPNWHTQYDCGTLQ >OIW06168 pep chromosome:LupAngTanjil_v1.0:LG08:19642040:19648171:-1 gene:TanjilG_01795 transcript:OIW06168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCTASISDSAIIHASQLSNPLLGLPLEFGRCESCGTSEPGKCEEKWIAESNVGADVRQKDSSTSNAWESNSNRSSENPAWGSQNDLNQAANSQGWDLQIAPANSDRDKNFQWGNPNLQKAKHGIPKLSQIRPQVRKDGTHKLLQLILTAIMHCTASISDSAIIHASQLSNPLLGLPLEFGRCESCGTSEPGKCEGHFGYIELPVPIYPSHVNELKWMLSLSIGRIVQQGYNDGDPLSAEYQAFVLENVFEHHTDKETKMGDGIDYVMECKKEDFSYRKCLENLVRKKYPDIAESFIDKHFQKHRGRGVDHAPTTPLPTSTETKQSQQHHGLLLLKQTRLQQLHGLLLLKQTRPQHLHCQLLLKQLSKKLELGS >OIW06065 pep chromosome:LupAngTanjil_v1.0:LG08:20283786:20287876:-1 gene:TanjilG_29821 transcript:OIW06065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRRIFRSRKFSTSFKEFGTTSDGVDRRNGIDSGEEDGSPEQGNAWSTMLPEILGEIIRRVEADEKQWPQRQNVVACACVCKRWRDITREIIRAIPQTGKITFPSCLKQPGPSNLPQQCVIKRNKKTSTFYLYLALTPSFTDKGKFLLAARRIRHGVHTEYIISLDADDLSQGSNAYVGKLSSDFLGTNFTVYDSQPPHSSAKPSNSRVRRRFASKQISPQVPAGNFEVGKVSYKFNLLKSRGPRRMLCSLTCPVTSSEDSSDSKNLEMNHKDHFAPGSLILKNKAPRWHEHLQCWCLNFHGRVTVASVKNFQLVATVDRSKPDGKGDEETVLLQFGKVGDDTFTMDYRNPLSAFQAFAICLSSFGTKLACE >OIW06146 pep chromosome:LupAngTanjil_v1.0:LG08:19325825:19328683:1 gene:TanjilG_01773 transcript:OIW06146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIQTVGSQAAGGGDSNGKRSQLQPLARQSSMYSLTLDEVQNQLGDLGKPLSSMNLDELLKNVWTVEANQSTALDIEGTTQVNQAELQHQASLSLTDALSKKTVDEVWRDIQQSKNSSKKKSQERQPTLGEMTLEDFLVKAGIVAEGSSNEKNTSTISAFDSNAAIQQFHPQGHWMPYPQPQYQHPHQSLMGIYMPGQGIAQPLHMGAGASMDVVQFADSQVALPSPLMGTMSDTQTPGRKRNTPEDMVEKSVERRQKRMIKNRESAARSRARKQAYTNELENKVSRLEEENERLRKQKELEKMLPCAPPPEPKYQLRRTASAPF >OIW06951 pep chromosome:LupAngTanjil_v1.0:LG08:6999494:7011379:-1 gene:TanjilG_18339 transcript:OIW06951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVQSDLSLSIFYKHSSSTTHSFPRATACSLFFPPPRSPLRLRSFSTGAADKPSVCTADELHYVSVNNSDWKVALWRYHPCPQSSFARYMSGQGFETWILEVRGAGLSLRGSDSKDMERSAHAISEKMEAASESAANVQASNSKGIEQSARAISEKMEAASEIATDGAVASKKELNNMGDLTGLGSVWDESELVTRLTETFECLSERVYGFLSESQPKVMSTKLLDQISNLLVGSPLYEQYNDISRKLSTLLETRQNSSITSQITELHQRLVNSIEESQLCITPPLFDLQTRFNSTIDDFQKQLDLTVKYDWDFDHHLEEDVPAVIEYIMKESKPKDGKLLAIGHSMGGILLYSMLSRFGALLAAAHPLSSRPPYVLSWLNSFISAEGMMDPDLLKRLVMNSFGTVPVKLLLQLTTAFQEGGLCNRDGTFFYKDHIHKINVPTLAIAGDQDLICPPEAVEVPGASNTVLETLFPYSRISMINLLSKIPTQFCSQQTAEDMALLAYNRALKLSTPGSPVVGVGFTGSLASTRPKHGEHRITGHITQPTITLNSLPKATVTRQWSHSPQNSTSHHGPLATQNCEVAGLVDGLWAMSSRFDGELNFVGLRTREEEDKVSSCLLLKSIANVCKVPATYVSVLSEPDVCDEYEKQFTEDQELEQLINGQICFKIYSSPSDTPAERKIILPGSFNPLHDGHLKLMEVATSICGGGYPCFEISAVNADKPPLSVSEIKERVKQFQEAGKTVIISNQPYFYKKAELFPGSAFVIGADTAVRLINPKYYDGNYNKMLKILIACKETGCTFLVGGRNVDGAFKVLDDLDIPEELKDIFISIPAEQFRVDISSTELRKRSGM >OIW06857 pep chromosome:LupAngTanjil_v1.0:LG08:7892071:7892895:1 gene:TanjilG_18239 transcript:OIW06857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYDSATIKLRSGESHRNFPWNDQSVLEPQFQSPYSTEAVLTMIKDGTYPSKFATFLRTQTQVDEEFGVVSNKSKHIRMKGHEENLSCTLLFQKELTPSDVGKLNRLVIPKIHAVTYFPNVFGIAEAKNNEMDVDIEVVFYDKLMRSWNFRYCYWNSSQSYVFTRGWNRFVKDKKLRAKDIIAFYVCEPINLRRGEESFSLIDVIYYNEDHEKKQCFEEKEDAKKALGNMLSSSDEVEEKDEGQETKDIKDFDALHSPNNSAQKGLRLFGVCIS >OIW07349 pep chromosome:LupAngTanjil_v1.0:LG08:938700:947867:-1 gene:TanjilG_10184 transcript:OIW07349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIAEISSPDPRSVHGETEPHQRLLSQIEASIKHIESLSANKTSPAKTASAAVDLRQCLTQLSQHAPFPNSLKLHIWKLSYRLWNACVDLSNTAAFRSSSSSAVATAELRHIASDLLSLAGDVAGVPSPSIKTASFYYKTGSIWHSLKKLDFASMCFERATDLVSKIDHSSIIDSSERKLLLDLNLARCRTAWEGGSDPNLVIALLNRCKGLLFGSCEHHTELTKQFMAFGKSLLSKKSSKNEEGGHNGNLSNLSESLKLMNEALEVCEKGLSVSKTQEERLVLKGLRWKVLRFIAAIHLQKEEFESVIKCVKVLRDGGGGGGGDNGGGDGHRSLSVLAMKAWLGLGRHVEAEKELRGMVIDEGIPEGVWVSAVEAYFQAAGTAGAETAKGVFLGLLGRCHVSAGAAVRVAHRVVGSGGGSEGSRVRAKVVAELVSDERVVALFAGLEAAKDKVAMHAVLWNCGADNFQSKDYETSAELFEKSMLYIPNDTENRILRAKGFRVLCLCHLGLLQLDRAEEYINEAEKLEPNIVCAFLKFKIYLQKNDLQGAIKQIEVMTTCLDFQPDFLSLSAHEAVACHALPVSVAALSSMLNFYASGRSMPTAEVTVIRTLVTVLSQEPGNEQQVHKFLKHAYIRASELGPDCFFGKEEVGRRERNWFAVTSWNFGTKTGQSKNYQLSGEFLILASDFYALLEGSSNENNVMVCKSLVLAASSMIASELQTKTAMSEAEVKQAVQLLDRAGKTVKSISAGNFVNDDQTNTIESDLFFIYTFCAYDIQGRLNDIGSQLLSVKSFATSKACKPHHLLQLGLSASQGPRSNHQVATFSLNECLSSFLSSPNPDYQNVALVIRKLIAIASIHKGDTDDDAVYGMYKQAYRIMIGLKENEYPIEEGKWLAMTAWNRASLPIRLGQIEVGKKWMSVGLDIAKHVPGMEAYKACMEDLVSIEVDAAVPSVIESDAGKINIESVLVSIDDFFNRYPFFVAGCTFIWLVAIPLTEEYLKKCKFVSAIDAFRKLRDDPVSQLLDIRDRKNLKFLGSPNLKIVKKEVVQVEFSDGNEDGFVKKVMERFSDAPNTVLCVLDSFDGNSMKAAELLFKNGFKEAYAIKGGVRGPQGWMAIQDSLLPPSAHVFRRKKTKASKQLHKNGNGAIQKTESNYESALSPDTPVVENQETDNGHVERSVRSAPDVKIGSLASSSPYPNVCSSQLSLSTFYFIVFTVQ >OIW06888 pep chromosome:LupAngTanjil_v1.0:LG08:7547448:7552209:-1 gene:TanjilG_19537 transcript:OIW06888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARFVVEKNSLTVTSPEKIKGTHDSAIGNFGIPQYGGSMAGNVVFPKDNQKGCKEFDEFGISFKSKSGALPTIVLLDRGNCFFALKVWNAQKAGASAVLVADDIEENLITMDTPEEDGSTAKYIENITIPSALIGKSFGESLKNALSGGDMVNINLDWREAVPHPDDRVEYELWTNSNDECGVKCDMLMEFVKDFKGAAQILEKGGYTQFTPHYITWYCPQAFTLSKQCKSQCINHGRYCAPDPEQDFSSGYDGKDVVVENLRQLCVFKVANETNKPWVWWDYVTDFQIRCPMKEKKYNQECADAVINSLGLDLKKIERCMGDPNADSENPVLKEEQDAQVGKGSRGDVTILPTLVVNNRQYRGKLEKGAVVKAICSGFEETTEPAVCLSNDVETNECLDKNGGCWQDRAANITACKDTFRGRVCECPLVDGVQFKGDGYTTCEASGPGRCKINNGGCWHDTRNGHAFTACSDGGEVKCQCPVGFKGDGVKSCEDIDECKEKKACQCPECSCKNTWGSYNCSCSGDLLYIRDHDTCISKTASQQGKSAWTAFWVILVGLVVAAGGAYLVYKYRIRSYMDSEIRAIMAQYMPLDSQEGVVNHVNEERA >OIW06345 pep chromosome:LupAngTanjil_v1.0:LG08:14234086:14235823:-1 gene:TanjilG_14990 transcript:OIW06345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSNISLRSLVIVILAFSLISVQGTLGDIKCENLSQDTCAFAVSNAGKRCVLEKQVKRTGEEAYTCKTSEIEAYKLKDHIETDQCIKACGLNRKSLGISSDSLLESRFTQKLCSPQCYQSCPNVVDLYFNLAAGEGVFLPKLCEAEGTNARRGMAEIKSSGIVAPGPVHFVKFIATPPEPFNTVELSAETAATPEYAYPPY >OIW06042 pep chromosome:LupAngTanjil_v1.0:LG08:22109688:22111873:-1 gene:TanjilG_11729 transcript:OIW06042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVENEKTGSDGKVWSFCKMPFWETTHPSSSSSTSSTFSSMHSSVHQQSQILQSLDRSTHQPSTTVSSLAKSLLPTRRRLRLDPPNKLYFPYEPGKQVRSAITIKNTCKSHVAFKFQTTAPKSCYMRPPGGVLAPNESIIATVFKFVEPPENNEKPIEQKSRVKFKIMSLKVKGEMDYVPELFDEQSNQVSVEQILRVVFLDPEHSTPAMDKLKRQLAEAEAALEARKKPPEETGPRIAGEGLVIDEWKERRERYLAKQQVEGVPSM >OIW07400 pep chromosome:LupAngTanjil_v1.0:LG08:64003:65433:-1 gene:TanjilG_10235 transcript:OIW07400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFPKNPIIIHENLLVSPQSSTQLSLPLTFFDLFWLRFNPNERIFLYSLPNHSHDPSFFFNNIVPNLKTSLSLTLQSFLPLAGKIIWPSHLPKPIIQYNPGDAVSFVVAESNVDFNMVLQNSPCDASESRFLIPNLDSSDSSASVVSMQITLFPKKGFSIGITAHHAVVDGKTSNMFINAWANMCRSSVEECPSFSLKPELEPFFDRDVIKDPTQLDLLLADNWTKDPNDATKKKRSLEILSFVFKPKVENSVRATFKITFKDLDKLKKRLLSKWNEVVNDDEVVNDSYSKPDTLSSFVAISAYVSTCMARAIQEDEKNEQKKFAFGFAVDCRSRLEPKVPENYFGNCVNLHVVDAKPEDFTKEDGFVIVAKKILSKTKNLDKDSVLEGIETLSSKHETRARLGVELISVAGSSRFRVYENDFGWGKPSKAEITSVDRGLSIALSQSKDEKGGLELGLVLKKNVMNIFTNLFHEGL >OIW06999 pep chromosome:LupAngTanjil_v1.0:LG08:6525995:6532342:1 gene:TanjilG_14337 transcript:OIW06999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTKAFIASPSFTVQFHDHLHLHLLPSPPSNAFFPFQRSLSLSTHKSVLYASAYDVNAVDSGSLKSRDNPDTVPMPMVLIDQDSDSEATSVQLSFGDRLGALIDTMNALKDLGLDVSKGTVSTEGSVKKTKFFITQSNTGRKVEDPDMLERIRLTIINNLLKYHPESSEKLAMGEVFGIKAPEKKRNVDIVTRIQVKEDGPKKSLLYIETADRPGLLVEIIKVIADVNIDVESAEIETEGLIAKDKFHVSYGGAALNRSMSQVLVNCLRYYLRMPETDIDSY >OIW06735 pep chromosome:LupAngTanjil_v1.0:LG08:9069055:9072273:1 gene:TanjilG_11460 transcript:OIW06735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKFRISCHRNELVQNNVTHFPESPRVPMEFLSRSWSASSLEVSKALQPSQTHSSSLKPPNSIPEETITGNQFSFASSATSQLVLERIMSQSTREEVSPLTSGRLSQSSEPLNGGGSLTGTDSPPISPSDEYDDVVKYLKANNSIHSLFNGGRAMSGGTGSATPCSGPKTVGRWLKERREKKKEENRTHNAQLHAAISVAAVASAVAAITAATAASSATSKDEKLVKTDMAVASAATLVAAQCVEAAESMGVEREHLASVVTSAVNVHSHDDITTLTAAAATALRGAATLKARALKEVWNITTVTPIERGIGIGLCGKGNNSNSSTSDSGEIVNGENFLGACSQELLAKGSELLKRTRKGDLHWKTVSVYIHRTGQVMLKMKSRHVAGNNTKKKKNVVLDVCANLAAWPGRHLFDDGEKRKYFGLKTESRGIVEFECRNQREYDIWTQGVSRLLSIVAQRQNRYGN >OIW06217 pep chromosome:LupAngTanjil_v1.0:LG08:18592862:18594597:1 gene:TanjilG_03842 transcript:OIW06217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNQLMIMPLLLLIFLLHIASVASSYLQRGFYSNTCPEAEFIVRDVMKKALIREPRSVASVMRFQFHDCFVNGCDASMLLDDTPTMLGEKLALSNINSLRSFEVVDEVKEALEKACPGVVSCADIIIMASRDAVALTGGPYWEVRLGRLDSLTANQEDSNNIMPSPRANASALIDLFHKYNLSVKDLVALSGSHSIGQGRCFSIIFRLYNQSGTGKPDPAIDPEYRKVLNKLCPLDGDQEVTGNLDATPVVFDNQYFKDLVSGRGFLNSDQTLFTFPETRKFVKLYSRHQNEFFEAFVEGMLKMGDLQSGRPGEVRRNCRVVNARRTHLLLESPNIMENQHVAII >OIW06730 pep chromosome:LupAngTanjil_v1.0:LG08:9113907:9114209:-1 gene:TanjilG_11455 transcript:OIW06730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFPVGRIHRQVKQRAQANGRVGATAAVYLASILEYLTAEVLELAGNASKDLKVKRITPRHLQLAIRGDEELDTLIKGTIAGGGVIPHIHKSLINKTTKE >OIW06243 pep chromosome:LupAngTanjil_v1.0:LG08:17679741:17680367:1 gene:TanjilG_23300 transcript:OIW06243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFLILILSSSSSSSPSSSSSSSPSSSSSCSFSSSSYPHPHLPPPPPPHPPHPHPHPHALSHPHPILILIFLPLLLLILLTLILILMLFLILILSSSSSSSPSSSSSSSPSSSSSCSFSSSSYPHPHLPPPPPPHPPHPHPHPHALSHPHPILILIFLPLLLLILLTLILILMLFLILILSSSSSSSPSSSSSSSPSSSSSCSFSSSS >OIW06078 pep chromosome:LupAngTanjil_v1.0:LG08:20412421:20420239:-1 gene:TanjilG_29834 transcript:OIW06078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRFTLPLQLRCHHQPSLTNIRTPKLFNPPVPPLPRTVSRLRTSYPVRSGSVSSVFSSPEDELDVELGRLLALLPEEMRRRVSEHPELHQLMEVVMDLGRKPLARFPSGDFVISEYPITVEDIGHATAQVGDFAVDNRAGISRTLHRISAIRNRKGAIIGLTCRVGRAISGSAKLLQDLVQDGASLLLIGPPGVGKTTIIREIARMLANDYKKRVMIVDTSNEIGGDGDIPHAGIGSARRMQVPNSDMQHKVLIEAVENHMPQVIVIDEIGTKLEAMAASTIAQRGIQLVATAHGVTIENLIMNPSLEMLVGGIQSVTLGDEEASRRGVQKTILERKGPSTFSCGVEIISKTELRIHRSLEATVDAILSGRFPNVEVRKMKSQEQEEILQKEPVTYSSLENNGETMFEGDPEGDDDQTSQNESLPRLRVDMIEDSWEQRSPLSLFCYGILEATVTQGIKHLKLNDLALQLTDNISEANALLALHSKLKKNPRIQAAAKSHDIPIYVTKTCSLEQVTKAIQALVSDHEDGLKDFVSMDKIKQSEKLDALEEARMAVEHIVIPKGETVDLLPRSPYILSLQLDLVRKYQLQARRISGESDVHLQILPSHYKTDEEVKTSEASEFDGVFDDFASSNGSANGSPYTLDKLPILPD >OIW05658 pep chromosome:LupAngTanjil_v1.0:LG08:24668809:24669609:1 gene:TanjilG_23444 transcript:OIW05658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLQPTFAPAALASFSAAAKTGGPAAAAAVGGKLIRTEILSSAWTGFFAGCLHTLSGPDHLAALAPLSIGRTRMESAAVGALWGCGHDAGQLIFGLIFLLLKDRLHIEIIRTWGTRVVGLTLLVIGAMGIKEASEASAPCVALENGECDVSVYESLDNPTVGKKKIGFATFATGIVHGLQPDALMMVLPALALPSRLAGAAFLIMFLLGTVFAMGSYTVFIGSCSEALKDRVPRITEKLTWASSLVAIALGFAIIISQFFGFSLY >OIW05828 pep chromosome:LupAngTanjil_v1.0:LG08:23379192:23380108:-1 gene:TanjilG_23614 transcript:OIW05828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENQRTPLLSWAYYCQGKSMEELRQNLLYTTMELEQTRATVQDELKKRDDQLLNLKELLNKVIRERDEAQEKCHKLLLEKFVLQQQQHQTAPISGISSIEDDPRRGIDSNNGLSSSDCEESIVSSPQPQLQQPLRETMMIELTPDKPLPEKGKLLQAVLKAGPLLQTLLLAGPLPQWRHPPPPLESFEIPPVTIPSPPPPPPQLLHQDSFGNNNANGNSSTPTNCGRVSRKRVLCEGSDSPSENKYQRIVLH >OIW06365 pep chromosome:LupAngTanjil_v1.0:LG08:14706629:14709852:-1 gene:TanjilG_15010 transcript:OIW06365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGSRPSYCGCDDCNSEPLNHNAPEESMPCIVFSPSSRFDRDWKKIEAFVGSKTAIQIRSHAQKYFLKVQKSGTNEHLPPPRPKRKAAHPYPQKASKNAPVLPLVSGPFESPPTLLEPGYILKHDSSAMLKTPIMNTVVPSWSNTTVQATNLSHVPKVNNSCSSSESTLRVWPVGDFNVLPDFTHVYSFIGSVFDPNVTGHLQKLNKMDRIDVETVLLLMRNLSINLTSPDFEDHVSIHVTDL >OIW06902 pep chromosome:LupAngTanjil_v1.0:LG08:7688785:7689459:-1 gene:TanjilG_19551 transcript:OIW06902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSNDNIPIHHVPGPNPKPLQRHRTRYYMQRVQESLTTRVSKIICTIFLGLLFIAGLIAFILWLSLRPHRPRFFIHDFSVPGLAQESGFQNAQITFKVTARNSNQKVGVYYESMVGSVFYQGQKIGSMPLLFPFYQEPKNTTEVNGVLSGATLNVNNERWAEFQGERAHGSVVFRLELTSVIEFHIHTWGSKRHTMHANCDVGVGPDGYILLAYRDKRCPVYFS >OIW05961 pep chromosome:LupAngTanjil_v1.0:LG08:21413561:21415579:1 gene:TanjilG_11648 transcript:OIW05961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFFLEFVSCCGSPTQNRSAPTTLPAEDEQRWLVPAPPVIASRPRTRRKRGRRGHEWRPSLGSISEDITVSTRERTDPRNETAVVSTTRDVKRRSSGGAGAARARQRSYSDGDYRGAAMPSIMPAFSPTPFMF >OIW07272 pep chromosome:LupAngTanjil_v1.0:LG08:2543479:2547950:-1 gene:TanjilG_08387 transcript:OIW07272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAVTSSMAAKFAFFPPNPPSYTVTADEATGKAKMAGVEIKENVDVLKLCTKRGNNIVAIYIKNPSASLTMLYSHGNAADLGQMYELFNELSVHLRVNLLGYDYSGYGQSSGKPSEQNTYADIEAAYKCLVEKYEAKEEDIILYGQSVGSGPTTDLATRLPNLRAVILHSPILSGLRVMYPVKRTYWFDIYKNIDKIPLVNCPVLIIHGTDDDVVDCSHGKQLWEHCKQKYEPLWIKGGNHCDLELYPQYIKHLKKFIVAIEKSSRPKAGSGPLPDQLDKPRNSIDFREKPRTSMDLRENSRRSIDFKEKPRASTDQKEKSGAGTDKKDKLKRSVDHPEKAYNGAEVSDKARNSIDRLVKIMFDVVRSFDLMLFLTLFSLYCGCSFGEMVRSVGLCNIDCFRPTATHC >OIW06858 pep chromosome:LupAngTanjil_v1.0:LG08:7884007:7887551:1 gene:TanjilG_18240 transcript:OIW06858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRKRGIHAPSSAFNANGSAKKPRQEMESFTTGIGSKSKPCTKFFSTSGCPFGEGCHFLHYVPGGFKAVSQVMNVGSSPAIQQGGRNQTAPPSFPDGSSPPAVKNRLCSKYNSAGGCKFGDKCHFAHGEWELGKPTAPSYEDPRGFGQMQSNRIGGRSDPPHPSHGAAAGFGASATAKISINASLAGAVIGKNGVNSKQICRITGAKLSIRDHDSDPNLRNIELEGSFDQIKQASGMVHELILNVSSSAAGPPMKNFTSQSHSPANNFKTKMCENFAKGNCTFGDRCHFAHGPNELRKSGI >OIW06751 pep chromosome:LupAngTanjil_v1.0:LG08:8979225:8979795:1 gene:TanjilG_11476 transcript:OIW06751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKQLLANITGIQKTSVPMTIVVSGIAKLFVGEVVETARIVMKERKESGPIRPCHLREAYRHLKLEGKVFKRSGSRLFR >OIW07141 pep chromosome:LupAngTanjil_v1.0:LG08:4944866:4953822:-1 gene:TanjilG_10114 transcript:OIW07141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVPAFYRWLSDRYPLSITDVVEEELTADGGGVLLPIDVSKPNPNGMEFDNLYLDMNGIVHPCFHPDGKPAPATYDDVFQSIFDYIDHLFSLVRPRKLLYLAIDGVAPRAKMNQQRSRRFRAAKDAADAEAEEERLRKEFEGEGQLLSAKEKPETSDSNVITPGTQFMAKLSVALKSCVQTRLNDNPGWKNIKVILSDSNAPGEGEHKIMEYIRLQRNLPGSNPNTRHCLYGLDADLIMLSLATHEVHFSILREVITLPGQQDKCFLCAQVGHFAADCPGKPGTEDKDQTADDTPIHKKKYQFLHIWVLREYLQYELEIPNPPFEIDFERLVDDFVFLCFFVGNDFLPHMPTLEIREGSINLLMHIYRKEFTTMGGYLTDAGEVFLDRVEHFIQSVAVHEDQIFQKRVRIQQAAENNEQMKARSRGEMPVEPRPSIVDKVKFGEPGYKERYYAENFGVSNPEEIDKIKEDIVLKYVEGLCWVCWYYYQGVRSWKWYYPYHYAPFASDLKDLHDLDIIFSEGEPFKPFDQLMGTLPASSSSELPTKYRDLMTDPSSPIFHFYPAEFEIDMNGKRFAWQGIAKLPFIDENKLLAATRKLENTLTEEEQRRNNVMPNSLFVNRAHGLAPQILLYYKVCSQSPPHERDACPIDSNASGGMNGYLLLCDLLPSAINGWQDIESNQVLNIIYRNPHKHKHNPKPPVGVVMPKKLLKPIDIKPSPVLWHEDNSGRRQQGRERPQVPGAIVGCQLGEAAHRLIKNTLNIKLNNTSHELLEQPPGHHIGNRLQSAGPSGHRNYHGGQNYRQHFRKQDRSQHQEHIHNVKTGFSDLTMEDGVRATPSRLQKSRPTTNPQHQFEQNTRKYYGENSNAYYGQHNQQGAVFRPRYPISTNGGQNYRQNFRIQDRSQEQIHNVKTEFSALTMEEGVRTRPSKPPNSRPTINPQHQFVQNIGPPVSPKVITKAPPKVITKAPIPPKVITKASVSPKVITKAPVPPKVITKAPVPPKVITKAPPMNETYTRHQEAALRAPAYNKQVKVYRIKTSQPQDGNQ >OIW05535 pep chromosome:LupAngTanjil_v1.0:LG08:25459291:25461363:-1 gene:TanjilG_23321 transcript:OIW05535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECWRFSNTNSSTNVPYKRIYQGPVIQTINAGNSHVCGLVSGNLECWQWPHFNSSKAIANINMSSIAVGANFVCGLTAKTGNIACSSDSNNNSNSRVVDHAPNGNYTVIEAGFWHACAISLYGRLDCWGHMDMTGDKEKQPQGRFISLALGENRSCALRENGTVACWGSNNFTTPLRLQATFFESIVAKRNVFCGVVSSNYSLLCWGTEFFESNTKVFDNVLPGPCRNENECNCGLLSDSAKLCYSPNIICKPCLPVVESPFQPPPPSWTSPSPSLSPSPPYKSGWSKKMVAYLVVGCVGCSSLLLVLAFFLYRHWKCRVCRVHDSGRLDESGNSSIEPEAVIAAVLEKRLSHVISMGNGKHLEEYSFDVLLEVTNNFSKERKIGIGSFGSVYHATLEDGKEVAIKRAEFPFTSPSYYAVLGATKRQEEKDNAFVNELESLSRLHHKNLVRLLGFYEDTNERILVYEYMKNGSLNDHLHKLHKNSGLVSWGGRIQVALDAARGIEYLHQYATPPIIHRDIKSSNILLDANWTAKVSDFGLSLMGPEDEDSHLSLLAAGTVGYMDPEYYRLQHLTTKSDVYSFGVVLLEMLSGHKAIDKNENGVPRNVVDFIVPYIVQDEIHRVLDIRVAPPTPYEIEAVAFVGYLAADCVSLEGRNRPTMTQVVNNLENALAACLAQPTLPTSTTATSLD >OIW06924 pep chromosome:LupAngTanjil_v1.0:LG08:6681292:6681483:1 gene:TanjilG_18312 transcript:OIW06924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIYMLDSSHDGKEDAGKIGINSISNSATCTELYQWQWQLGIWPTQTCVSLVLDKDAGLTTPSP >OIW06348 pep chromosome:LupAngTanjil_v1.0:LG08:14298903:14302475:1 gene:TanjilG_14993 transcript:OIW06348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSILCGIPLVECVYCLACARWAWKRCLHTAGHDSETWSIATAEEFAPVPRLCRYILAVYEDNLRNPLRAPLDGYGINPDWLIQKRTYKDTCGRAPPYVLYLDHNHADIVLAFRGLNLAKDSDYAVLLDNRLGKKKFDGGYVHNGLLKAAEWVLETEFEDLRELLEKHPNYMLTFAGHSLGSGVAAMLSMVVMQNRDRLGNIERKRIRCYAIAPARCMSLNLAVRYADVINSIVLQASYPSLICLVAESLAVQNNQHKKLFLPSCFLILDVMHAESASLKLTVLYLDQDDFLPRTATPLEDIFKSLFWCMRDTCISEEKKLKDPRRLYAPGRLYHIVERKPFRMGRFPPVVRTAVPVDGRFEHIVLSCNATSDHAIIWIEKEAQRALDLLEKDHTMEIPAKQTMVHLETLTRHSEEHKAALQRAKTLDVPHAYTSSEYGTFDVEGEESSRRSQGESSFGSTNRGKAAESWDEIIELLFGKDEDGHIMELKIKNDELVEN >OIW06058 pep chromosome:LupAngTanjil_v1.0:LG08:20239970:20243769:-1 gene:TanjilG_29814 transcript:OIW06058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLERPFEAWEEVQRHGQDLADRLAQGFNGLIQSPFSWPNSKSKLFDQSFGTRDFGLVAEDYAINGVSAIFDIGSRIGQVGADFGASLNGLVQQFYRSLPVPFKQHEDDVVMGSISMGGYMGNERSGVGINVMREDLGYLSERLKNHGFAEDDNGAGGGTVDEEGGGFNLGSIGLLGRRQGTVNITTTYDSRTQEVEGSLAARGDLWRVEASRGSSTSGNENSSLFLVQLGPLLVVRGSTLLLPVHLSKQHLIWYGYDRKNGMHSLCPAVWSKHRRWLLMSMLCLNPVACSFVDLQFPNGQLTYVSGEGLTTSAFLPVCGGLLQAQGQYPGEMRYSFSCKNKWGTRVTPTIQWPDKSFSLTLDQALAWERSGLIERPTVQFSVCPTFGGTNPGLRAEIIHSVKEKLGLTCGGALTTYPSVFASISIGRSKWNGNVGNSGLVLRLDTPLSNVGCPSFSVQINSGIEF >OIW05638 pep chromosome:LupAngTanjil_v1.0:LG08:24789975:24801621:-1 gene:TanjilG_23424 transcript:OIW05638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHHQHQQPSTTSLRSPPSLSLTPHRHHHHHLPLCHLERVRLSDIAPYDGAPVGPYVRAVEALYGSLMRHNAVLIELGSDDASLIRCGLEGARLFFRSRVHLGVAQGNRGVYMYRAGRALEDWDSSPPCMADIFRCMGKASRYALSAIARHLRLRSDVFNHLLDDAPLPANEVSSSVLVATYSHASLQNGKGAIGGSKPNLNGEVEKGLLTLISTDTPGLQVCDPNGRWHLADSGSGPGELLLITGKALSHATAGLCPAASYRASPEYFLGPNSGGRTSLAYRLMPQGNAILDCSPIAAAGHVIPQSYVPISVSQFMDDLAAEELIDSRCDSADVAQNNVNRDPSLRSVLSDPMSGAFLEDAMFVSCGHSFGGLMLRRVIETSRCTLCNTDIETSSLIPNIALRAAAAAVKHEDERRLFRNAALRKRRKEMGYQMDSMRRVNRGNRRTPEKFVGKEAVITSQCLNGWDREAFCIFVSSVSHAVVIASQTTMVAINCILPKHYGGLDQLAMFIDLDSRFDIMRFSQILIHRIRITLEPHNGGPDYDHTLYNLCMSRFLYLRCSNSIEFLQTLKTLNRRLEKEKEVHGLSVHLLMIDSIGAFHWVDRASMFSSLRDNNRKLFLQSVSEAVVKDIKKLLQVHPMLVIATKSVIYGDRYFTASNEVKGNFIKDNCEEKCSRNVPRSHQHFQYREYMPSVWQSFVTHRILVHSSDNHHVTSNSQNSSFYLLEWLLPRLSFPEKIIVNDAGVFVDS >OIW06034 pep chromosome:LupAngTanjil_v1.0:LG08:22060444:22060710:1 gene:TanjilG_11721 transcript:OIW06034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVETTPPMVAPFSTQRQEHLKHFDDSVNAVSFGFVATAILISMFLLLAIFERFFKQRSNESRDQTPVDLEDQVDFVGKFENPSPKVQ >OIW06745 pep chromosome:LupAngTanjil_v1.0:LG08:9014689:9016832:1 gene:TanjilG_11470 transcript:OIW06745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATITSSSSLLLSSSSFKLLPLSHSSISRLSFSSSFHSSSSLSILPQSSLSSRRFGTHVGFTINASAAEKKKVLIVNTNTGGHAIIGFYFAKELLGAGHAVTILTVGEEGSDKMKKPPFSSFSEIVSAGGRTVWGNPAEVASIVGGQAFDVVLDNNGKDLEAVRPVIDWAKSSGAKQFLFISSAGIYKLTDELPHVEGDAVKADAGHVGVEKYIAETFDSWAVFRPQYMIGSGNNKDSEEWFFDRIVRDRPVPIPGSGLQLTNISHARDLSSLLTLAVEKPEAASQSIFNSVSDRAVTLNGIAKLCAQAAGRPVNIVNYDPKAVGVDAKKAFPFRTQHFYAEPRAAKTKLGWSATTNLPEDLKERFEDYIKIGRDKKPINFELDDKILEALKVPVPV >OIW06309 pep chromosome:LupAngTanjil_v1.0:LG08:15532110:15534464:1 gene:TanjilG_17683 transcript:OIW06309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECNKDEAIRAKEIAERKFIAKDTLGAKKFALKAQNLFPALEGIPQMLATLNVHISAENKINGEVDWYGILGANPLADEDTVRKHYRKLALMLHPDKNKSVGADGAFKLISEAWSILSDKAKRTAYDEKRNAKAGKVSTKFGGSSARTGVNGIYNFTKTTSSSARTQKSTAKDSHKSASKDSQKNIAKEHTPSSTNKSKTNTFWTVCHRCKMQYEYLTIYLNLKLLCPNCNEPFYAVETAPPPSTSWTFSQHQQSSGRQVPNKNKSNAGKNKMSPPNVGAGGYCKNDSNNHTNFQWTPFSKTSGVSNVAQAANVVQQAYDKVKRERVEAQAAMKRVEALKRKQHAFKKGYFSPAKRRKGGVEDASMSNHATEHVNQIGVANSGAGLYSVRRVENAGVGFPRDILMTKARKEINKKLGNIQSNTVDKTVVKESEDGIPKINEEGEKSVRNAETCTQTNIQKSENKKSGSEDVKSFVVNTTAKIGTKVLETIPVDVPDPEFHDFNKDRTEICFGENQVWSVYNDNDGMPRYYAMIHNVISLNPFKMQIRWLNSKTNSELGPLNWVVSGFLKTCGDFRTGKLEICDSINIFSHRVGWRKGDHGAVCIYPRKGDVWALYRNWSIYWNELTADEDIHKYDMIEVLEDFTEEQGVIVIPLVKVAGFKTVFHHHLDPREIRTIPREEMFRFSHRVPSYSLTGQEAPNAPKGCRVLDPAATPSELLQVIETVKEEEMMDSEDAGIKETSDNMKENEYTETIIDMEKVGEEKEGNNKDIQEIEISKEDREEEM >OIW07041 pep chromosome:LupAngTanjil_v1.0:LG08:6054192:6055318:1 gene:TanjilG_02675 transcript:OIW07041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTEYTRNVHMMRSLSDCGSSATYESEEHMVMKKGPWTEEEDSLLINYVNVHGEGHWNSIASIAGLKRTGKSCRLRWLNYLRPNVRRGNITLHEQLLILELHSRWGNRWSKIAEELPGRTDNEIKNYWRTRVLKQAKQLKCDVNSKKFRDTMRFVWMPRLMEQIQASSSHGHSQTTMFSNTQAHTEPCVTIPTMLSVPSSDSMSYNLMGGGCSFSSSEPDSLEKGSISTSCILEPKDYSGVQVVEPSNDFGGADLWTDENICFLQQLLADDY >OIW06636 pep chromosome:LupAngTanjil_v1.0:LG08:10085041:10090783:1 gene:TanjilG_04030 transcript:OIW06636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDGELFMPMVLLLLLLLSPFASPLTQQGEALMKMKSSFSNIADAVLHWDDVHNNDFCSWLGVYCHNLTFTVLSLDLSGNKLTGQIPDEIGNCAQLVHLDLSENQLFGDIPFSISKLQHLEFLNVKNNQLTGPIPSILTQIPNLKTLDLARNRLTGDIPRLLYWNEVLQYFDVRGNNLTGSIPESIGNCTSFEILDISYNQITGEIPYNIGFLQVATLSLQGNRLTGKIPDVIGLMQALAILDLSENQLVGPIPPILGNLSFTGKLYLHGNMLSGPIPPELGNMSKLSYLQLNDNQLVGSIPNEIGMLEHLFELNLANNHLEGSIPHNISSCTALNQFNVHGNQLSGSIPLSFRNLQSLTYLNLSANKFKGFIPIELGHIVNLDTLDLSSNNFSGHVPASVGFLEHLLTLNFSHNHLDGPLPAEFGNLKSIQIIDMSFNNLSNSIPPEFGQLQNLMHLILNNNDLHGEVPDQLTNCFSLLSLNFSYNNLSGVVPSMKNFSWFSADSFFGNPLLCGNWLGSICHPYIPRSRRPPQLVILHMDMAIHTLEDIFRNTENLSEKYAVGYGASSTVYKCVLKNSRPIAVKRLYNQHAHNLKEFEVELVTVGSIRHRNLVTLYGYALTPFGNLLFYDYMANGSLWDLLHGPSKVKLDWETRLKIAVGAAEGLAYLHHDCNPRIVHRDVKSSNILLDENFEAHLSDFGTAKCISTTRTHTSTYVLGTIGYIDPEYARTSRLNEKSDVYSFGIVLLELLTGKKAVDNDSNLHQLILSKADNNTVMEAVDPEVSVTCIDMAHVKKTFQLALLCTKRNPSERPTMYEVARVLVSLLPAPPPKIHAPPTRTYDYKHFVMKKGQNKYPKMEGIQPEQDNTSSDAQWFVRFGDVISKNSL >OIW06592 pep chromosome:LupAngTanjil_v1.0:LG08:9814053:9818763:-1 gene:TanjilG_03986 transcript:OIW06592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGDSSEGGGGGEVAAVVVGGGGGSTSGDEERRMEESDRSSSFGGNRWPRQETLALLRIRSDMDVAFRDASVKAPLWEQVSRKLAELGYKRSAKKCKEKFENVYKYHKRTKDGRSGKSEGKTYRFFDQLQALEHHHPPTIHSSYTPQSSLAPPPISSSIVATATTTVPMPMPPVANTTLGSVSVPHVSIPQGIVTSTPSINLINVPSYQPTNPTNFPPPLTNPTTSATIPISFPNIPNDLLSNSSISSSSTSSDEILDGRRKRKRKWEDFFNRLMKNVMEKQVEQQRTFLEAIEKREHERMVREEAWRVQEIQRINKEREILAQERSTAAAKDAAVMAFLQKLAEKQNFGQALNNNISIPPQPQQQQSQLQPQVVAQPIAPPAPTIAPTLVQPQIQLIVQPGPPLQPVVPPPPPPQQQVTNTEIVRVDNNGENMIGASSSRWPKVEIEALIKLRTELDMKYQENTPKGPLWEEISSSMRKLGYNRNAKRCKEKWENINKYFKKVKESNKKRSEDSKTCPYYQQLEALYKEKNKMVQPESMVAPLMVQPEQQWPPQQQREEDHDDFDDEEKNVEEKEKESEGCKNEVVANKAASERMVREEAWRVQEIQRINKEREILAQERSTAAAKDAAVMAFLQKLAEKQNFGQALNNNISIPPQPQQQQSQLQPQVVAQPIAPPAPTIAPTLVQPQIQLIVQPGPPLQPVVPPPPPPQQQVTNTEIVRVDNNGENMIGASSSRWPKVEIEALIKLRTELDMKYQENTPKGPLWEEISSSMRKLGYNRNAKRCKEKWENINKYFKKVKESNKKRSEDSKTCPYYQQLEALYKEKNKMVQPESMVAPLMVQPEQQWPPQQQREEDHDDFDDEEKNVEEKEKESEGCKNEVVANKAASGE >OIW05705 pep chromosome:LupAngTanjil_v1.0:LG08:24373243:24380652:-1 gene:TanjilG_23491 transcript:OIW05705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVNDADQSNKSHRTRQSGAKKDKKKLKKKKQYDFEDEQKQQQNPKAFAFSSSNKAKKLQSRAVEKEQRRLHVPIIDRSYGEPAPFVVVVQGPPQVGKSLLIKSLIKHYTKQNLPEVRGPITIVSGKQRRLQFVECPNDVNGMIDAAKFADLALLLVDGSYGFEMETFEFLNILQVHGFPKVMGVLTHLDQFRDAKKLRKTKQRLKHRFWTEIYDGAKLFYLSGLINGKYVKREVHNLARFISVMKFQPLSWRTSHPYVLVDRFEDITPPEKVHENKKCDRKVTLYGYLRGCNLKKGHKVHIAGVGDYSLPGITALPDPCPLPSAAKKKGLRDKEKLFHAPMSGLGDLMYDKDAVYINIHDHLVQFSKVDDENSAMMSKGKDRDVGEVLVKSLQNTKYSINEKLENSSINLFGQKPKVSSEALADTRDDIAGKDSEDNNEMDSDGSESSDQDEADAMTDREASGSDDEDGDASDSKVTPGDHLKEHIEFIDGRRRRGVIFGSGVNQNDLSDLEEENGAASDDVASSESESSKEEEDDDNSDDGPDEDDDMGNVSKWKESLAERTLSRKSPSLTQLVYGESTVNPTSINNENDNSEDDGSEDDFFKPIEEVKKSMTDGFNDGTANIEDCSMLAHFVHQKWDDKDNEGIRNRFVTGNLAKAALRNPLPKTKTEEENDDLYGDFEDLETGEKYENHQTDDAFVATIPKGDDLEAEQRRLKKLALPEEDTGNENEVKFHRGQANESSYFDKLKEEIELRKQMNIAELNELDETARIETEGFRTGTYLRLEIHDVPFEMVEYFDPYHPILVGGVGLGEENVGYMQARLKRHRWHKKVLKTRDPIIVSVGWRRYQTTPVYAIEDINGRHRMLKYTPEHMHCLAMFWGPLAPPNTGIVAVQNLSKNQATFRITATAVVVEFKHEARIVKKIKLVGYPCKIFKKTALIKDMFTSDLEVARFEGASIRTVSEIRGQVKKVAKEEIGNQPKRKGGQTKEGIARCTFEDKILMSDIVFLRAWTQVEVPQFYNPLTTALQPRDKTWQGMKTVAELRRENNLSIPVNKDSLYKKIERKQRKFNPVVIPKSLQASLPFESKPKDIPKRKRPLLEERRKRGVVMDPRERKVHALVQQYQLIRHDKMKKRKVNEDKKRKVLEAERAKEEELSKKRRREERRVKYRAQDKQNKKIRRA >OIW07038 pep chromosome:LupAngTanjil_v1.0:LG08:6092572:6103421:1 gene:TanjilG_02672 transcript:OIW07038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRDLFVLSLLLLASILGGLDSHEESGEWRCESESEIRVESGFKPGVITLDGHADDWKEIDGSHFSLLPALDPDSENEFKGGKLILKSVHDGHDVFFLLQVDGDYAYSKGESNKCPSIALMFQIGDSATYHNMGGCEEHPASCTSKTCKGHEVDIMHFSIGNAIPGRLYGGSPLDNRDENGGDRFGHLDDIYAWNPHCRYFDGTGPSGSANDSSAQNDWKGAWWHSSFTVHSGFVEDESPYAENGKKGTYYFEFSRPLRTMDHLQQDVQLTIGGSSKMSVAFWYPLDGQPWHGSGHYSINCDWVPIDISLGSSFSDKSVNTESSSSWNIASAFSLILSVTALCVSVFVSYRVFNPKMSYVCAVEIDNKLFNLTQLTTMAHTAISSLRLNSPLLSSTTFTSSYSHSHNLSLPSLPSPSRKLKNSFENRKITQKSTVKAVYGDEFWTPARSSPQGIWSIRDDLSVPSSPYFPAYAQGQGPPPMVQERFQSVISQLFQYRIIRCGGAVDDDMANIIVAQLLYLDAVDPNKDIVMYVNSPGGSVTAGMAIFDTMRHIRPDVSTVCVGLAASMGAFLLSAGTKGKRYSLPNSRIMIHQPLGGAQGGQTDIDIQANEMLHHKANLNGYLSYHTGQSLEKINQDTDRDFFMSAKEAKEYGLIDGVIMNPLKALQPLAAAAEGKDPATV >OIW07309 pep chromosome:LupAngTanjil_v1.0:LG08:1878794:1881765:1 gene:TanjilG_11943 transcript:OIW07309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVQLNDDVLGLIVFKSDLNDPFSYLTSWNEDDVNPCSWKFIQCNPQSGRVSEVSLDGLGLSGGNMFEGSIPSTISRCLSLNSLNLSNNRFAGYVDFDGIWSLKRLRNLDLSNNALNGYLPNGISAIHNLKEILLHGNKFSGSLPSDIGLCLHLNRLDFSDNQFSGELPESLGRLNSLSYFKASDNLLTGELRQWIGNMTSLEYLELSNNQFTGRLPQSLVSCTKLSVIRLGGNGFNGSIPEGLFGLGLEEIDFSDNDLIGSIPAGSSRLLETLTNLDLSKNHLEGIIPAEIGLLSKLQYLNLSWNDLHSQIPPEFGLLQNLTVLDLHNIAAYGPIPADICDSGNLAILELDGNSLKGSIPEAIGNCSSLYLLSLSHNNLTGSIPRSMSKLNKLKILKLEFNELSGEIPMDLGMLENLLAVNISYNRLTGRLPTSTVFRNLDKTSLEGNLGLCSPLLKGPCKMNVPKPLVLDPNAHNNQIGPNRDRSESSMPNTIHHHRFLSVSAIVAISASFVIMLGVVAICLVNVSVRKRLSFVDSALESMCSSSSRSGCPPSGKLIMFDSHSSTDGISNPESLLNKASEIGEGVFGTVYKIPQGSHCRTLAMKKLITSNIIQYPEDFDREVRILGKARHPNLIELKGYYWTPHLQILVTEYAPNGSLQSKLHERLPSTPPLSWPNRFKILFGTAKGLAHLHHSYRPPIIHYNIKPTNILLDENHNPKISDFGLSRLLTKLDKHVMSNRFQSALGYVAPELACQSLRVNEKCDVYGFGVMILELVTGRRPVEYGEDNVLILNDHVRVLLEQGNVLECVDPTMNEYPDDEVLPILKLAMVCTSQIPSSRPSMTEVVQILQLIKTPVPHRMEVF >OIW05615 pep chromosome:LupAngTanjil_v1.0:LG08:24969414:24969932:-1 gene:TanjilG_23401 transcript:OIW05615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNPKVFFDMAIGGSPAGRIVMELYADTTPRTAENFRALCTGEKGVGRSGKPLHYKGSSFHRVIPNFMCQGGDFTAGNGTGGESIYGAKFADENFIKKHTGPGILSMANAGAGTNGSQFFICTEKTEWLDGKHVVFGKVVEGLNVVRDIEKVGSGSGKTSRPVTIADCGQLS >OIW05814 pep chromosome:LupAngTanjil_v1.0:LG08:23547617:23551970:1 gene:TanjilG_23600 transcript:OIW05814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMLLDFYDLQDPGFLKTKPVLLDTGSPIDVAFIFCPIMISTMAKKHFVIGPALLAIAVAVAAYLAYRVPYEPSDTSVLSKWKCRCSSFQGNQRYLLANCSKSCDCHSDAEQSASVWTCICDPDGFPQVEADGHNRKCFSACNCNWGDVSTSLGSKKHISSRIVVIILLICVLCTTLAFLASLTFYVYRRDIQSLLFSSDKETSSKANLISLRTWTSSVPETNLTTNSPICHITGCFPNASFMFGSRKETFRGNIIQFSFDELENATENFSASNLIGLGGSSYVYRGWLKDGSNVAVKRLKDQGGAEADSAFFKEIELLSRLHHFHLVPLLGYCSELKGKLVQRLLVFEYMINGNLRDCLDGVCGENMGWATRVTIAIGAARGLEYLHEAAAPRILHRDVKSSNILLDENWQAKITDLGMAKSLRSDDLPSCSNSPARMQGTFGYFAPEYAIVGRASLESDVFSFGVVLLELISGRHPIHKSTGKEESLVIWAVPRLQDSRRVITELVDPKLKGNFPEEEVQIMAYLAKDCLLLDPDNRPTMTEVVQILSSISPGKSRKRRNISASLFQEQEDTTKQRQAPSHSSLTLDIDHKNKEVDDNEENFIHMTSKTEGWHASEEEMVDLTEPRFESFCMTSVHSS >OIW06193 pep chromosome:LupAngTanjil_v1.0:LG08:18944935:18946041:1 gene:TanjilG_23073 transcript:OIW06193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFSSLSFQTLILFAVISAVTPCPPSDRAALLAFRAALTEPYMGIFNSWSGYNCCQGWYGVTCDPTTYRVTDITLRGEPSEKNLQNLRRSSGLMTGNISPEICNLDNLTTLVVADWKSISGEIPSCVTSLSLLRILDLSGNQISGVIPVDIGKLQRLAVLNLGDNAISGKIPASIVDLAGLMHLDLGNNKISGELPSDFGKLGMLSRALLSQNNLTGSIPSSISKMNRLADLDLSMNQFTGSIPVEFGQMKVLSILKLDSNSLSGQIPSTLLNNAGMGILNLSRNGLEGTIPDVFGTKSYFMALDLSFNKLTGRIPGSLSATRFIGHLDVSYNHLCGTIPIGAPFDHLDEVSFSNNDCLCGNPLKTC >OIW05830 pep chromosome:LupAngTanjil_v1.0:LG08:23360834:23363188:-1 gene:TanjilG_23616 transcript:OIW05830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGIKKYREVSPERAKVWTKKSPKYPQNRKVPVIYYLCRNRQLEHPHFMEVPLSSQDGLYLRDVVDRLNTLRGRGMASVYSWSRKRSYKNGFVWHDLCEDDLILPAHGNEYVLKGSELFDESNSDHFGPISNVKTQSVKLLPAPSSSRSHDEASSSSSSMNGKDTRHSQENEFSEEPHGGSSDVSPESTTGKSDPLSLALTEYKIYKTDGLANASTQTEENVSRSKTQKTCTRGVSTEDGSLEAECHAICQARVGQLNDNPQIFRNIGSPLPSTSSPSSFGGKPETLESLLRADAGKMNTFRIVEEGIRMPTNTRLKASNLLMQLISCGSISVKNHSFGLIPNYKPSFSHSKFPSPLFSTSLMLGKFDCLSENPKLMSLRLEDREYFSGSLVETKLKEGDGHNVLKRSSSFNEETERTYKELKPPEDKEESSSGHSKCIPRSIKVSLSKQARNESMRSPISDGPRNSSDRIDGTSVSPVTSNGSSKRIIEPSLGEKQSKRVDSFREEGVIKIEES >OIW05677 pep chromosome:LupAngTanjil_v1.0:LG08:24548582:24552274:1 gene:TanjilG_23463 transcript:OIW05677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKRSFTKKGRRHSRVMSITAIEDDLDAEELQAVDSFRQALILDELLPSKHDDHHMMLRFLRARKFDIEKSKQMWADMLQWRREFGADTIMEDFEFKEIDEVLKYYPQGHHGVDKDGLPVYIEKLGQVDPHKLMQVTTMDRYLKYHVREFERTFNVKLPACSIAAKKHIDQSTTILDVQGVGLKSMNKAARDLLQRLQKIDGDNYPESLNRMFIINAGSGFRLLWNTVKSFLDPKTTAKIHVLGNKYQSKLLEIIDARRKNLSSIETIIEYETTYHKVKKQCNAYQFVPVNDKTVNTALCKAVQNHQLEPSKDYFPESPCKASDGFSNPFVGLIMAIITGIITMMRLTKDMPKKTTGVVNYDETMMKAAPTISIDNHMDMIKRMVELEEKVNVLSMRPEMPPEMEELLNNALSRVTTLEQELASAIEARDDALAKQVELQAHIENKKKNKKKKKLVYVI >OIW05908 pep chromosome:LupAngTanjil_v1.0:LG08:22646746:22656202:1 gene:TanjilG_23694 transcript:OIW05908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNGMMDPEMFRIAQEQMSRMSPAELARIQQQMMSNPELMRMASESMKNMSSEDFKVAAEQLKRTRPEEMAQMGEKMANATPDEVAAMRARADAEIKYQLNAAEMLKKQGNELHNQGKFNDALQKYLLAKENIKEIPPFQSRKLLLACSLNLMSCYLKTRQYEECVREGSEVLAYDAKNLKALYRRGQAYKQLGLLNDAVTDLSMALEVTPDDDTIAELLRDTKEMLANGGGEHAPRGLTIEEITEEVESEPSESKKSSSLKPTVVQPKQSDDSSKSSSTVNNGNPKAYAESLDTLKNDPEAIRSFQNFISNADPATLASLNTGQSKDLPPDMIRTASNMIGKMSPEELQKMLDMAASFQGDNPFARGSPDSSFNTGSIPPNVTPDMFKTADKGENNNPSFPPIVDNTIPSDQISILNFWGCLKFNWVSKMFNGMMDPEMFRIAQEQMSRMSPAELARIQQQMMSNPELMRMASESMKNMSSEDFKVAAEQLKRTRPEEMAQMGEKMANATPDEVAAMRARADAEIKYQLNAAEMLKKQGNELHNQGKFNDALQKYLLAKENIKEIPPFQSRKLLLACSLNLMSCYLKTRQYEECVREGSEVLAYDAKNLKALYRRGQAYKQLGLLNDAVTDLSMALEVTPDDDTIAELLRDTKEMLANGGGEHAPRGLTIEEITEEVESEPSESKKSSSLKPTVVQPKQSDDSSKSSSTVNNGNPKAYAESLDTLKNDPEAIRSFQNFISNADPATLASLNTGQSKDLPPDMIRTASNMIGKMSPEELQKMLDMAASFQGDNPFARGSPDSSFNTGSIPPNVTPDMFKTASDMISKMPPDELQKMFEMASSLKGKESIPSSSAVDKDERNDSRSNFPSSSSNGTNAFRESSSLHDAFSNMRNASQPNFPSPSTDLQEQMRNQMTDPAMRQMFTSMIKNMSPDMMANMSEQFGFNLSKEDAAKAQQAMSSFSPESLDKMMLWAGRVQRGVEGVKKTKNWLLGKPGMALAIFMLIFAVLLHRLGYIGR >OIW07026 pep chromosome:LupAngTanjil_v1.0:LG08:6268770:6271497:1 gene:TanjilG_02660 transcript:OIW07026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTSPSFNAPVKKYWWLTKRKIIKRYMKDARNLMATQEENEVASALSLVNAALAIYPRYEQALELKAWSLLHLRCFREVADMLQDYIPSVKMANDESGSVSSDSYSQTLSREGLDLLSSHGVQRVQSFKCFSVSELKKKVMARFCKSCEKEGYWRYLVLGKACFRLGLLEDAMILLQTGKRLASAALRRESICWSDDSFSVSTIDTNSQSSTPPRTLLTETESITQLLTHIKFLLRRRASALAAVNAGLYSEAIRHFSKLLDGRRSAPQGFLAECYMHRANAYGSSGRIVESISDCNRALALDPTCIQALETRASILEKIRCYTDSLHDLEHLKLLYNTILRDKKLPGPAWKRHNVRYREIPGKLCALSVKIQKLKKRVASGEVGNVDYYALIGLIRGCSRSKLERAYLLLCLKYKPDKASNFVDKCELANESDLESIKDRAKMSALLLYRLIQKGYANVMLAIKDGETAEKRKNKKRELALQLEQEEAEENEKTLVENNTKCLISSPSNVDSSVFQGVFCRDLSVVGDLISHVGFNRPIPLFQ >OIW07162 pep chromosome:LupAngTanjil_v1.0:LG08:4663314:4664617:1 gene:TanjilG_10135 transcript:OIW07162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMLTSSILACPFQLLEINLISAQDLAPLSKSIKAYAVAWVNPERKLTTQIDPDGHNNPTWNEKFVFRVDDEFLNAEDSVIMIEIYASAWIKDVLIGTVGVLLSNLLPPSARLNNRKSSKVRFVALQVRRPSGRLEGILNIGVNLVDATMRSMPMYSELSASEVGYWDIMDPKKHKTNQTPHQQYTKENNNAYDAKLLTLQRSQSEKNESTITDYTYNPSKLNGYGDEVQGGDSELGIPITKRGKIVNANGSLCSDVGPSPSVVAAAIAKGLYPMPLPMPRKPGNTIFEDWPEKHKEEGLKTKIARWGSSEHPPIYDHLGQNNLKKDKVIITKGKCKNQRKVTRSGSGLFSCFGTAYGCEFSISCGGGNRKKSYDGNNKGRLPTASELTYDESYI >OIW05630 pep chromosome:LupAngTanjil_v1.0:LG08:24852356:24856342:1 gene:TanjilG_23416 transcript:OIW05630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKALTPQECETFIDEFQSRSHKLNSPSSLIDQFLSSLLRKDFPLSLKLQLLIFLDEFSHPLFLPPQLPSLIDSLRSVLNAPNDAVYITPTLKEHFMVSVTSILICVSQNHNLESSLLHSLIVSLVELLLTVINRPNFGSDRQTRSIACECLRELERYEPGLLSDVVGHLWSLCQNERTHAYQGYFLLFTTIIHNIVANNLNVSILNTSVPMVPFNMPQCLLDSGSSLKDVSVLNYKELRRALSFLLECPQVLTPCGMMEFMNLIMPIAVALELQPSMLKVQFFGMIYSYDPILCHVVLIMYLRFLDALEGHEGEVSRRLLLITKESQHYLVFRLLAVHWLLGFNQLIFGKQVDKTKSIVEARSSFYPSLFDPLALKALKLDLLAFCAVCVDVLRLKCDSRKEDEVVDVVKLFEDGLVCVSSFKWLPPGSTEIAVAFRTFHKFLIGASSHSDNDPSTTRNLLDSMIFCTLQGMLVNVMLESRTLVPVVVAFIDRLLSCQKHLWLGECLLQKFDKHLLPKVSLDYKLVYCFPIFDRIAENQTIPPHGLLELLTNFMAFLVEKHGPDTGIKSWSQGSRALGICRTMMMHHHSSRLFLRLSRLLAFTCLCFPDVEVRDTSRIYLRMLVCIPGKKLRDILSLGDSILGISPSSHPSSFFNVQSPRSSQKFKTFKNLSSCMHLERLTQLLAKQFWSLSLSNLVVSNNKPAYLEGIRDIEAPVEEKEFSNSSDTQIIPETVRINQPQEPLRVIDSKVAEILNTLRKYFSCIPDFRYMPGLKVRISCSLRFESNIFNRMLGISDSATTLEEIDALPAIYATVLNFSSSASYGPIPSCRIPFLLGEPHSDDHESQTDSLSIVPVGNDSTEEEKFRATVVIDLEPREPTPGIVDVHIETNAENGQIIQGQLQGITVGIEDMFLKALVPSDIPEEVLPQYYFDLFSALWAACGSSSNTGRETFQLKGGKGIAAISGTQSVKLLDVPATSLIQATERHLAPFIVGVKGESLIDSVWDGGIIQNVIWEDASPDANAASNLDTGPLRLTYNNEEYERGVVSNSRKINMGCFLVLIFLPPRFHLLFQMEVGDLSTLVRIRTDHWPSLAYIDDYLEALYLS >OIW07301 pep chromosome:LupAngTanjil_v1.0:LG08:1749520:1752783:-1 gene:TanjilG_11935 transcript:OIW07301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKHEAPSIILRLMGLDEVPPQHPVGNARKVLSENYMHKVASIGVRKKRRSSCQHDYFRMSSDEKEESMDTLKVVKTIRRDEYHNPSKGNWKAKGVQQMLLDDASYMSDNGKPETLVDAISSSSFGTSVSSRRMAEQGNLQRKQRLDNGLLEDVYREAGLYETFGFSKSRLDQKDETLNSRSTVLKSNSGNGLKYFSFPSSCKVSHFADGLLKETFCPKIGRVYPGMKGRKRMPFHMDFHKDSSKFISEVSEEVPIRTGNVANKVLDTVPSSFFRMNEASVNRSDMLKPTSNVSVNDTQCNSPSFCSYDSYVGSEAKNKTMKQRDMTEKRQEVGQRHHVSIRNKLPMISERGNGARNFIYRNAFSNDKIKRNIRCKVGMNYTMTNDNLFQKYWGLRKNASANWPTVKSKYQNNKNSDCLQEMNHKSSSEKSPSVSSYFNIEENCIGLHKMKKICYRNNLSDMKPMFPQFSRSGPSPTFIDSQILNETSLMSVVVKKYTHGDSNTSKQNSVSPNLLVDCLVSDAKVEVVGMSYNNPTKQQSESTEHRDSDSLNHGSYASMQQDTSESKDGSVYSIYSGTEPDSLGSFDEVYEPSPVSVLDLAFREDISSSSECLKDVDDGGYDSSEVDDEGFGLNISSDEDCGDESIGGFKEKQDLVGLFRAEESRDFSYVVEVLTEAGNSNRSLFTDFSTWHTAECPISPSVFENLEKKFGGQQFWKRSERRLLFDRINLGLFEILQPCSYIPKWEKPVSRRLNPEPTHDMIEEEMWGLLVAQEKEASKESADKMLDGEIRWTELRDDIEVIVKEIVTLLIEELADEIVSLENF >OIW05912 pep chromosome:LupAngTanjil_v1.0:LG08:22612968:22613204:-1 gene:TanjilG_23698 transcript:OIW05912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHDRRNEKLNQHSASPNVHQPEAHNQLSDRGALTVSSESRRIMTEAHECPGAMLLTVSASLSASWAHQSWLAMAHQL >OIW06163 pep chromosome:LupAngTanjil_v1.0:LG08:19459841:19461561:-1 gene:TanjilG_01790 transcript:OIW06163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMSMRPRTPTFRAPPRRVYETLQPKTEMKQSPEAYLLHIYLPGYIKERIKITHLSSSHSVKISGERPILGNRWSKFDQTYPLPKDCEAEKLQGKFEFGTLILTMPKKKTISQVSPKQEVKTNQEKDQPGPSQKPVPEKEKPENAKDTIPPQFKSHEVEEVVGDKKNASIPSPVKGSPDPAVVAEAKPKKDQDTIPFPPQFTTTKVKEPTGDKKSVSLSPSFVKGLDDLKFKAQKGTQEDTSSKRSIENLKPEDEKGLRGLIPQNSQAETVKKHLIEGKPKNGEEGFEPNPKIVVATKTKTDEKPQQGHQEEVEPKPTSTMVTRHSTKEKGDEEIRLKTKLATINKQLEEKTMTEAAEKERVSKKEVKEDEKPYNMEKAIIKEKDTRASEVSHKELAEPSSLKAKEKRKEDMIDSVGSIGIRELAVSTCQVVTRIAEGKLNEEEKHLVVNMGAAALVIAALGAYVSYRFASSG >OIW05675 pep chromosome:LupAngTanjil_v1.0:LG08:24566050:24570114:1 gene:TanjilG_23461 transcript:OIW05675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVLFETPAGFALFKVLNEGKLSKVEDLGKDFSTADSAKQIVKLKAFSKFENTSEALEAATLLIEGKASKGLRKFLRVHCENETLAVADSKLGNMIKEKLKIDCLHNNAVMELIRGVRNHLAELISGLAVQDMAPMSLGLSHSLSRFKLKFSADKVDTMIVQAIGLLDDLDKELNTYAMRVREWYGWHFPELTKIIQDNIHYAKSVKLMGDRINAAALDFSEILQEEVEAAVKEASVISMGTEIGELDLANIRELCDQVLSLSEYRAQLFDYLKSRMNTIAPNLTALVGELVGARLIAHGGSLMNLAKQPGSTVQILGAEKALFRALKTKHATPKYGLIYHASLIGQAAPKLKGKISRSLAAKAALAIRCDALGEPQDNSLGLESRLKLEARLRNLEGKELGRFAGSAKGKPKIESYDNDRKKGSGGLITPAKTYNASADSVIGQLSKSAIDEDTPELAITDKKKEKKEKKEKKKKEEKNEEDATLQPDGDAGEEPEHDVVKKEKKKKKNSADDDDNLDAGEKKKKRKKHADQEESPELPIKKKGKTKKNDSEEIHLCFCTMFILTIPRKPHFHPSPQHSYNVFFCHFPAKSLSSLRFLTLMITSQDHSDFQSLERRSLTTCSSLIGKIGKSFGRLKKLKKMYLNPSGGWFQQLPAFKNLKILSDKFHHLIYPATTLSEESVIEMWVRSKLNWRAIKGVEHN >OIW06081 pep chromosome:LupAngTanjil_v1.0:LG08:20457081:20459331:-1 gene:TanjilG_29837 transcript:OIW06081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETKPGRKHVDSFTIHGTNIIVKVGDNVLLRPLDTTKPPYAAVVEKIEKDKRNNIEVLVRWYYRPEDSIGGRRQFHGEKELFLSDHYDVQNTYAFEGKCVVHSFKDYTKLENVTAEDYYCRFEYKAVTGAFTPESVAVYCKCEMPYNPDELMVQCEECMDWYHPKCLGMTIEEAKKLELFVCSECSSGDELKKPLATLFASPRADGKVMTCILHVHAYSNQIMSQHLFFPSANAQLSSVPIPSLVQS >OIW05626 pep chromosome:LupAngTanjil_v1.0:LG08:24885328:24898354:-1 gene:TanjilG_23412 transcript:OIW05626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYFRRIQARDRRWDLVFQPLKYFFRPRFSDHACFQSSSPKTTIKECTSHGSITRRRLLGSDSSKCALSTYSCTTLDKRLSLWNTHLRAYSSESDGRNASEDKHVHVLDKGQNQLDMSGKNLKCCNAHAQIGEQDQEEWLNNEKLSIESKKRESPFLTRRDKFKNEFLRRIVPWEKINISWDTFPYHINEHTKNLLVECAASHLRHNKFASSFGTRLTSSSGRILLQSIPGTELYRERVVRALAQDLQVPLLVLDSSIIAPYDIDDDSSDYESDDDNADSGEEGSIESESEDDNDASNEEEWASSTEVKSDASDNEDALAHAEAALKKVKAAVQKLVPYNIEEFEKIASVGSESSDSSKSSDAKSSDKSGRQLRKGDRVKYIGPSVQVTADDRVVLGKIPTSDGPTKAYTIIHGRPLTNGQRGEVYEVNEDRVAVILDNNESSANEGEVENLNDEHTKPAIYWIHVKDIENDLDAQAQDCYIAVEALCEVLKCRQPLIVYFPDSSQWLHKSVPKSYRNEFFNKVEEMFDKLSGPVVLICGENKVQSGSKEKEQFTMILPNFGRASKLPLSLKRLTDGFKGAKSSEDDDVQKLFSNVLCVHPPKDDNLLATFKKQLDEDRKFVISRSNLNELRKVLEEHQLSCTDLLHVNTDDVILTKQSMKPLPDFKTINLILTYALVLSSSTIVSLPSNLHSSVMSCPNNVFTSFILFSEAEKVVGWAKNHYLSSCLLPSVKGERLCLPRERPELFSRGNLLRPCKGILLFGPPGTGKTLLAKALATEAGANFISITGSTLTSKWFGDAEKLTKALFSFASKLAPVIIFVDEVDSLLGARGGALEHEATRRMRNEFMAAWDGLRSKENQRILILGATNRPFDLDDAVIRRLPRRIYVDLPDAENRMKILRIVLAQENLVPSFEYDKLAKLTEGYSGSDLKNLCIAAAYRPVQELIEEEKKGISNGTPSVLRPLNVDDFVESKAKVGPSVAYDATSMNELRKWNDMYGEDGSRTKSPFGFGS >OIW07274 pep chromosome:LupAngTanjil_v1.0:LG08:2509468:2527272:1 gene:TanjilG_08389 transcript:OIW07274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNPMGPPPPKNPSPPISSNQNQQHSSPDFESTPSIPQTSNPPPPLPPPPPPPPPPQPDSTTTTDIAEPNSSSSSSSSSSSSSSSSSSSSSGIAVPYKIPPWSAPPVHHFHLEVLKEGSIIDNFNVYEKGAYMFGRLDLCDFILEHPTISRNFKEGSIEFKRSGDAYLYDLGSTHGTFLNKNQVEKNTYVDLHVGDVIRFGRSSRLFIFQGPSELMLPESNAKMMREVKMREAILDREASLRRAKLETSVADGISWGMGEDAIEELEDDADEVTWQSYKGQLTEKQEKTREKILKRMEKIANMKKEINSIQVKDISQGGLTQGQQTQIARNEQRMAQILEELENLEETLNDSIRESLGVRSGRISLGKKKGAVEDEEYLSDDDDEFYDRTNRKSSNQKPGDNQSIETADTLLDKKDAITKEMNDKKELLVSEKNKILSESAGQDEVSDPLDAFMTGLSSQLVHDKSVQLEKELSTLQSELDRICYLLKIADPTGEAAKKRELKGQEPKPNKSEEVASTIKNKQHAETPKSKELRAKADHKLPPENQKNSEACEKTDGSVQGDKPADATVSLDKSESASDRLEDENVVYNAPKPQWLGAVEDRVTDDNQQRSAPLPLQEMDENNQFVDYKDRNKILSTGDDTKTSVESKIESAAPGLILRKRKQVETTGRNSYDATQQLTSSTSGEQMAEDAVALLLKHKRGLYADDDGKSEIPDDKNNTDERRKAKRVLGPEKPSFLCDEMNIETWVPPEGQSGDGRTSLNDKYGY >OIW05657 pep chromosome:LupAngTanjil_v1.0:LG08:24670364:24673127:-1 gene:TanjilG_23443 transcript:OIW05657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKPGSRLSKLSVYLYIPNIIGYIRILLNCTAFYLCFTNKILFSILYFFSFVCDAVDGWAARKFNQVSTFGAVLDMVTDRISTACLLVVLSQVYRPGLIFLSLLALDIASHWLQMYSTFLLGKASHKDVKDSTNWLFKAYYGNRIFMAYCCVSCEVLYLILFYLAENQTEKLVDVLKINLKRISILSFLIATSLFGWAIKQVINIIQMKTAADVCVLHDINKKHKQ >OIW06558 pep chromosome:LupAngTanjil_v1.0:LG08:9547703:9554494:1 gene:TanjilG_03952 transcript:OIW06558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSPSNPYLLLLEALYQIPFHHYLIAISFALIVYLYNFIESHFLQDLFSGFTGSPVELTYNSCSQLYQAVVSKCKILHGSYLATPWLSSPHFQTCFLNFFARTPVFNYKRQLFTTPDGGTLALDWLMSSDVSGGASHTDSVVSEDESTPVVVVIPGLTSDSSSAYLKHLAYHTAKRGWNVVISNHRGLGGVPVTSDCFYNAGWTEDVRTVINYLHKEQPKTTLFLVGTSIGANILIKFLGEDGENIPIAGAVAVCSPWDLLISDRFITRRRVQKFYDRALAVGLQGYAKLHRPLFSRLANWEGIEKSFSIRDFDNHATRIVGKYETVDTFYRRCSCAIYVQSVSLPLLCISALDDPVCTREAIPWDECRANQNIVLATVKHGGHLAFFEGITASSLWWVRAVNEFLSVLHSSQYMHVQKKISKPSTPVCSSIDQGPYVNVTEDGMVAALNKEPTMDNVEELHAIQDAHHETHDRVPEEKVNEQNELVTNAKSGDSSGDSSGAAQTSSTHDAMVLDVIKPLKRYAGQLSRQSRWSFWLLVYIAITASWPLVGSALYFVFGKKLRDILLGGFPRR >OIW06460 pep chromosome:LupAngTanjil_v1.0:LG08:11877175:11888922:1 gene:TanjilG_05231 transcript:OIW06460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFLNIQSALSRLCITHRKRAKEIVETWDKLFNSSQKEQRVSFLYLANDILQNSRRKGSEFVNEFWKVLPAALRHVNESDEHGKKVVARLVDIWDERKVFGSRGQGLKDEMMGKSPLPVASLSSNVKSSNSIKIVKRDAHSVRIKLAVGCLPEKILTALHSVLDDHLNEEAALNKCNDAVRQVCKLVEDVENTLAQGNQLGSTLVNDLEEQENELTRYMMQLENGEAARTTLLSQLKDALQEQAARDQMEQAAAIKKRLSQAPEATKVLERNLPSVQLNGTPPQPSFIQPSLSFAPLQTAEDDKKAAAAAVAAKLAASTSSALMLTSILSSLVAEEAASMSGSLNSTGFTSGFPDFNPEKRPRLEKPMPASDFNGSDTGSTFFASSQQPSVANVPPLPSTSMQPMSPLNQLQAAFASGPSPVNPSANQYAQSTGFMVGGIPYGYGSSNLPPPPPPPLPPHVAIGFSRPSTQPQHSHGQQQQQQQQQPSSTGGFYRPPGIGFYGQSHPSTPPAPVPRQ >OIW07074 pep chromosome:LupAngTanjil_v1.0:LG08:5740795:5741073:1 gene:TanjilG_02708 transcript:OIW07074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERVSKLFLILAIMVLVLASDVEGTGRKLKEDKNVDHPQNFIGGIGGTGTFPGPGFTGVGFGPSIFCTYPGGCTQTPTLPFIPSAGGSPPHA >OIW07397 pep chromosome:LupAngTanjil_v1.0:LG08:79081:101616:-1 gene:TanjilG_10232 transcript:OIW07397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALDEVSIKLKGKYHVFLSFRGEDTRLNFTDHLYEALRRKGIITFRDDEELERGESISQNLLDAIEESLIAIVIISKNYASSTWCLDELQKIVDCKQCLGLQVFPIFYGVDPSHVGYQRESFEQVFKDHEHKFEGNKEKVQKWRDVLKYVAKLSGWDSKNKHESKLIEEIVEQLWTKLEPKLGSEKIQGIVLNRRMRIKFLSSSLNVVIWSRFPLKALPLNVQLDELVYLELRHSKIEKLWNGTHFFWNLKHINLSYSEDLIETPDISGAPNLKELFFNGCTKLVKVHYSVGVHKKLEKMSFSRCINLGTLPSKIEMCSLKFLGLNNCSKLRRLPDFDEKMKCVSEIYLRNCKNLLSIPNTISNLKTLKILNIYGCSKVERLPNNINENKALEDLDMSYTSIREVSSSLCHLENLKRLLFRGCSGPIFKYQGKLLTPFWKCWRERYRIINTESLILPRSISNLSSLILLNLKDCNLKSLPEDIGHFSSLEMLDLRGNVDLTLHLATIANLSKLRLLFFDGNMYGSRTLLPTHVRIYPQDARAEVNVVNGPKLWQMFRSCCNEDEYIEFFWNLKHINLSFSEDLIETPDISGAPNLKELFLNGCTKLVKVHYSVGLHKKLEKMTFSRCINLETLPNEVSTKSKGKYHVFLSFRGKDTRLNFTDHLYEALRRKGIITFRDDEELERGESISQNLLDAIEESLIAIVIISQNYASSTWCLDELQKIVDCKQSLGLQVFPIFYGVDPSHVGHQRESFEQAFKDHEHKFEGDKEKVQKWRDVLKYIAKLSGWDSKNKHESKLIEEIVEQVWMKLEPKLAINTDGLISIETKANDFISHLSSLNVVIWSGYPLKALPLDVQLDELVYLQMCHSKIEKLWNGTHFFWNLKHINLSYSEDLIETPDISGAPNLKELFLNFCTKLVKVHYSVGLHKKLEKMTFSKCINLGTLPSKMEMCSLKFLGLNNCSKLRRLPDFDEKMKCVSEIYLQNCKSLLSIPNTISNLKTLKILSIYGCSKVERLPNNINENKALEDLDMSYTSIREVNSSLFHLENLKRLLFRGCSGPTFKSQGKLLTPMWKCWSERYRIIKTKSLILPCSISDLSSLTLLNLKDCNLKSLPEDIGHLPSLEMLDLRGNVDLSLHLATIANLSKLRFLFFDGNIYGSRTLLPTHVRINPQDARAEVNVVNGPKLWQMFRSCCNEDEYIEVCLLA >OIW06839 pep chromosome:LupAngTanjil_v1.0:LG08:8347479:8374389:1 gene:TanjilG_03734 transcript:OIW06839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSLVILSILVILFVSASAGGGGGGAGGGVVGGGIGGGRGGSIGGGGGACGGVGGGIEGAAGGGVGGGGGVGGGIGGGGGVGGGAGRGAGRGSGGGAGGGSGGGGGGGGAGGGADGAGGGGGGAGGGVVGGGIGGGRGGSIGGGGGACGGVGGGIEGAAGGGVGGGLVVA >OIW05831 pep chromosome:LupAngTanjil_v1.0:LG08:23349772:23350710:-1 gene:TanjilG_23617 transcript:OIW05831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKGQGLGTEEQLVIMAKGKRTKRLRLLSPNCGVAGATYTHSSANSEDSSSTTTYESTDQQDQDLANCLILLARGGPDSNHHDHQKQDDHDDDDDNNNKIEKDDYDDEDGNIEKGGKSTTSTGKLVEMSTSTNTNKGGVYIYECKTCNRTFPSFQALGGHRASHKRPKLMLIEEKKPSQLQLQPQPQPPVIMNYDHFKEAGQAHIKPDLSISIQLESHTHKAFHVNKAKIHECSICGSEFTSGQALGGHMRRHRATNNTTQVVAAPVPALQVEVQHPKNLLEFDLNLPAPEEDLPETKFVLSASPALVGCHY >OIW05911 pep chromosome:LupAngTanjil_v1.0:LG08:22620360:22631208:1 gene:TanjilG_23697 transcript:OIW05911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVLILFLLLLLLCYRVISINNYSIPWFVALVCESWFTFSWIFIFTTQWTPAIVKTYPDRLLQSVQELPRVDLFVTTADDVLEPPIITVNTVLSLLALDYPAQKLACYVSDDGCSPLTFYALQQASHFAKFWIPFCNKYHVQIRAPFRYFCEEHEASSYQNSTPKFREEWLWMKEKYDNLCRKIEEASRKSIPWQPDGEFAVFSNTDRKNHPTIIRVIWDKKEGLSNGLPHLIYISREKRPNHPHHFKAGAMNVLTRVSGLMTNAPFMLNVDCDMYVNNPNIVQHAMCILLDSIGEKEVAFAQCPQQFYGGLKDDPFGNQMVVMFKYIGAGLAGLQGPFYGGTNCFHRRKVIYGLYPYNIEKGNIISEKELKQKFGGSDELVKSVADALEGRNYSPHDDINVSNALEAASQVASCGYEYATGWGQQVGWIYGSITEDVQTGLTIHRKGWRSEMCTPNPTGFTGSAPIGLPTAMTQQKRWTTGMVEIFFSRHSPIIATLFGNLPFRMFLAYMWIIDWGLRSLPETCYVSLLTYCIITNSNFLPKGSGLWIHVTIFIIQMVCALSEYLATGLSIRAWWNNQRMSRIRATTAGFLGFLSALFKLLRISDTVFDITRKDENPFGNGIHDSDAGRFTFNESPVFVPATTILLLQLIALSIKLLGLQPIKSGNNECGIGNIISEKELKQKFGGSDELVKSVADALEGRNYSPHDDINVSNALEAASQVASCGYEYATGWGQQVGWIYGSITEDVQTGLTIHRKGWRSEMCTPNPTGFTGSAPIGLPTAMTQQKRWTTGMVEIFFSRHSPIIATLFGNLPFRMFLAYMWIIDWGLRSLPETCYVSLLTYCIITNSNFLPKGSGLWIHVTIFIIQMVCALSEYLATGLSIRAWWNNQRMSRIRATTAGFLGFLSALFKLLRISDTVFDITRKDENPFGNGIHDSDAGRFTFNESPVFVPATTILLLQLIALSIKLLGLQPIKSGNNECGIGCAKHDQEHHADTALLEAKKITSQYQKEADKCNSGMEICEEAREKAGLAIVAQKKLTALWELRARQKGWKEGVATHGNVQYA >OIW05804 pep chromosome:LupAngTanjil_v1.0:LG08:23653539:23653862:1 gene:TanjilG_23590 transcript:OIW05804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSIGLSFLFFLSIAVLAIATDQERAPHGLVYESPLAFPPAAYVFFHPNARKPETEDRCTASECSPLPIAAQVEATQIHESKALHEGRKQIGAGVAAIVFVVAFAVL >OIW05637 pep chromosome:LupAngTanjil_v1.0:LG08:24805166:24807415:1 gene:TanjilG_23423 transcript:OIW05637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRAGRGPVRSYRRRKTVLNIDLNHVPSGDSREQEGPSTVLVPQQVQAIQQPQAVQGPPTHLGTGQVHAVQQPPAVQPPTIDVEAFDDDVIESSPRAFAEAKNKNNSRRNRRRTIVDVDLDDQARVTDNNSNKRNRVSLGQPIINCDLYINLEGSSSLEREIMPPPEPPKEPVFNCPICIGPLVEEVSTKCGHIFCKACIKAAISAQSKCPTCRKKITARSLIRVFLPSTS >OIW06576 pep chromosome:LupAngTanjil_v1.0:LG08:9699051:9700830:-1 gene:TanjilG_03970 transcript:OIW06576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESAAKKFVGEHDFRNFCKMDAANVHNYRRRITSFEISPTDTRYKGSQLCVVKIRGSAFLWHQVRCMVAVLFMVGKGLESPNVGQVEATLTKCMCSVFAKVIDMLLDTNRIPRKPQYIMASEVPLVLQSCEFEDIKFMCSPGEALRVHLANECQIYQLQAAIFHEAVLNCVPLSDDQSSLPMQEIKKKASHIPLMSRPTEREFRHLKYFKACLESLVKLNVDALYSPKFPTN >OIW06773 pep chromosome:LupAngTanjil_v1.0:LG08:8791307:8791615:-1 gene:TanjilG_11498 transcript:OIW06773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIITSMVAEKPVVIFSRSTCCLCHSIKLLIRNFGANPTVYELDELTNGQQIERELIQIGCKPSVPAVFIGQKFIGGSNSIMSLHVRNELVPLLMNARAIWI >OIW05735 pep chromosome:LupAngTanjil_v1.0:LG08:24140318:24142467:1 gene:TanjilG_23521 transcript:OIW05735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENQRSHRLVLIPPPFQGHITPMLHLATILYSRGFSITLAHPHFNSPDPSNHPNFTFLPFFDGLAGTHISSNNLSNIVITLNATCASPLKELLVGELMKAKVKNEKIACIIYDSSMYFADSVARELKLPTILFQTTSASNLLTYHAFAPLHSKGYLPLRGSGSLDLVPGLDPLRFKDLSVFNLANLDALLQTNAKMLAVTPSLGIICNTVDFLEQPFLDQLRQLYQPSIVNFFAIGPLHMIAEESCSRSSSLLEEDYSCISWLNNQPKKSVLYVSLGSIASWEEKELSEVACGLANSMQRFLWVIRPGTINDVSEWEKSLPEEVKIAIAERGCIVKWVPQGKVLAHKAIGGFWSHCGWNSTLESLCEGVPIMCQPNFGDQRVNARLLSHVWRVGLEWSNIIEKGEIEGAIRRLMVSPEGKEMRQSALKLKQELRVAAIGGSSYDALNRMINNVLSANL >OIW05589 pep chromosome:LupAngTanjil_v1.0:LG08:25147889:25150070:1 gene:TanjilG_23375 transcript:OIW05589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGAGTVVCVTGATGYIASCLINFLLLRRYTVRATVRDPNNLKKVQHLLKLDGANERLQLYKADLLLEGSFDSVIHGCHGVFHTASPVPASNVQDPQAELIDPALKGTLNVLKSCAKSPSVKRVVLTSSMCAVLYNGRPHSPEVVVDETWFSDTEFCRELKTLAEDAAWKFAKENDIDMVSINPTMVEGPFLQSELNTSVYRILSLVNGAQTFPNASSGWVNVKDVAEAHIKAYEIPSASGRYCLVERVAHYAEIVKILRDLYPTIQLPDKCADDKPYMPIYQVSKEKAKSLGIEFTPLEVTLKETVECLKEKNFISF >OIW06288 pep chromosome:LupAngTanjil_v1.0:LG08:17076657:17083944:-1 gene:TanjilG_19726 transcript:OIW06288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGIDRHKPLTLILPRYTGIQSRMGTETVQEVNKAERYTLVLDLTPEEKEPPPLVLELDSESKHKANNAYPWDPSFAAGGQNHHLTSSFDILPGAGRATHLRMVLVLRTVNGFASGLWARRNRMSSPGWSTVRQNRHRLGVCWDSRRAAPYDVHDQSDPDVPVGTRGDRYDRYCIRIEEMRQSLRILVQCPNQMPSGMIKADDRKLCPPSRCRMKLSMESSKASRGWRISLGVEKHWEPPFLSSEPFLFPSPRPGIALRFRFFGRINLLLPSSLIWGKRNRLPVGKLDIKVVPYRTAGERAVAFVVVLQDSNVLRPRSERACRRTTAVPFLSELERSHLIH >OIW07130 pep chromosome:LupAngTanjil_v1.0:LG08:5115462:5121749:-1 gene:TanjilG_10103 transcript:OIW07130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRQGKENGCQNNGFLPSSLRFISSCIKTASSGVRSAGASVAVSISGDGNEHKDQVLWAGFDRLELGQSCFKHVLLLGYSNGFQVLDVEDASNAEEIVSKRDDPVSFLQMQPIPAKLDGCEGFRASHPLLLVVACDKSKVPGTMQNVRDGQNEAQTENILSSATAVRFYSLRSHIYVHALRFRSTVFMVRCSPQIVAVGLATQIYCFDALTLENKFSILTYPMPELGGHGVAGVNIGYGPMSLGPRWIAYASNSPLLSNTSRLSPQSLTPPSVSPSTSPSSGNLVARYAMESSKHLASGLINLSDMGYRTLSKYYQDLIPDGPSSPVSSNSSWKVSRGMSNSNETDMAGVVVVKDFVSKTVVAQFRAHTSPISALCFDPSGTLLVTASIHGNNINVFRIMPSCSRNGSGSQRSNWSSSHVHLYKLHRGMTSAVIQDICFSNYSQWVAIISSKGTCHIFVLAPFGDETVLKINNQDTEGPALLPILPQPWWFTPHFTVNLQQLCPAPPPPVVLSVVSRIKNNNAGWLNTVSNVASSAAGKVFIPSGAVSAVFHNSIPQDSHNEYSMEHLLVYTPSGHLIQYKLLPSLVAEPSETTQRAAPVSSTKLQEEDMQVKVEPLQWWNACRRNGWPEKEAHILGNTHGGLGAAEMILKSTDYEDNSVGSNNSIKLHEQRHLSNAEVRISSGRIPIWQKPEVSFFVLSPLEARELSLCELSTSGEIEIESIPVNEVEIRQKDLLPLFNNFHRIQSTWADRGVVMGRCSSSSSDSHRAEEKLSVSAPICHSKLMVPGLTEKADVGASHFADVITTKAKSSKHGKASDSFNTSFSGCDLNMNLTHHSEEPMHDSPDFEQFYQEGYCKASVDCDESAAEVATDVDCSSPCGREKSDDDEDGDNDDMPGDVFDFSEEGTSLKQLTF >OIW06342 pep chromosome:LupAngTanjil_v1.0:LG08:14222501:14222812:1 gene:TanjilG_14987 transcript:OIW06342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREILHIQGGQCGNQIGAKFWEVVCTEHGIDPTGRYSGNNELQLERLNVYYNEASCGRYVPRAVLMDLEPGTMDSVRSGQYGQIFRPDNFVFGQSGAGNNWAK >OIW06177 pep chromosome:LupAngTanjil_v1.0:LG08:19097908:19105893:1 gene:TanjilG_15061 transcript:OIW06177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEKKSAIVKVEPKPRKGFIAIVIDLLEKLLVKLFHNSKRPHHYLAGNFAPVPDETPPTKDLPVLGYLPNCLNGEFVRVGPNPKFAPVAGYHWFDGDGMIHGLRIKDGKAAYVSRFVRTSRLKQEESFGAAKFMKIGDLKGLFGLLVVNLQLLRSKLKVLDDSYGNSTANTALVYHHGKLLALSEVDKPYVIKVIEDGDLQTLGLLDYDKRLSHSFTAHPKVDPITGEMFTFGYSQTPPYVTYRVISKDGYMHDPVPITVPESVMMHDFAITENYAIFMDLPMYFRPKDMVTKNKLVYSFDSTKKARFGILPRYAKDEKHIRWFELPTCFIFHNANAWEEEDEVVLIACRIEKPDLDLVSGALKEKLESFANELYEMRFNMKTGEASQKKLSAPALDFPRVNENYTGRKSFVHVVDARTMSANPIAVVELPHRVPYGFHAFFVTEDQLQEQAKL >OIW05932 pep chromosome:LupAngTanjil_v1.0:LG08:22386927:22387686:1 gene:TanjilG_07208 transcript:OIW05932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEFDTNIPTAFDPFAEANAEDSGAGTKEYVHVRVQQRNGRKSLTTVQGLKKEYSYTKILKDLKKEFCCNGTVVQDPELGQVIQLQGDQRKNVSTFLVQAGIVKKDNIKIHGF >OIW07224 pep chromosome:LupAngTanjil_v1.0:LG08:3390775:3405366:1 gene:TanjilG_02544 transcript:OIW07224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGYDGNLVEKFSGLGIDQHNQQHDDHQSNHSSNNDEHFYQVMKAVENAEVIIKQQVDENNRLRSELLSKVQELEKYRRDESVDQKSRLVAPLKDQGHGPSEYCQSSARCDAGNHSENNQVNGTFRVQPNDQLPVDNTGPSQLSSPSTRSNSPSRRLLEGDYDPRFNFPGQGLMPLAEMNNSNTLLKQDLAIKIREHEEEIMQLRKHLADYSVKEAQIRNEKYALEKRISYMRLAFDQQQQDLVDAASKALSYRQDIIEENIRLTYALQDAQQERSTFVSSLLPLLAEYSLQPPVLDAQSIVSNVKVLFKHLQEKLLLTESKLKESQYQLMPWRSDMSNANVASQSPSHLIRAPLATSNKNGLELVPQHMYSLANTQIHVDAQTGNDWDILSRHQSGLGGGVATNVDANELGTYSPIASRNPSAHDAHPAHYGEEMSNKQVTFRDPVSKNEVDDHDGDENQNERETSANWNSGNPPYTTVDDPGASYSPYLPPVLEEPSSSFSEAADDDPLPAIEGLQISGDAFPGRELQACGYSINGTTSCNFEWIRHLEDGSVNYIDGAKQPNYLVTADDVDTLLAIQVLPLDSRKRKGEPVKVFANDNKKITCDTEMQSHIEKTFYSGHASYKVSLSTGYLDIWEPATLAIKKEGYSIKCSGPSGVVFTEKFSPSTTVVIPYGHVSEFILIGSSGTEHLLRAENSSMDVSGARDTIVLTLRLFIYRPNCDSCTLAYT >OIW05779 pep chromosome:LupAngTanjil_v1.0:LG08:23832153:23835482:1 gene:TanjilG_23565 transcript:OIW05779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICFWWKRKSSKNSQKKTKDYSHTHKLTNHTSLDKVKVDLKLNGKKDDSKNDQLALDLKSLKLKVPISADGNDNGSRAQTFSFDELAAATGNFRSDCFLGEGGFGKVYRGQLEKINQVVAIKQLDPHGVQGIREFVVEVLTLSLADHPNLVKLIGFCAEGEQRLLVYEYMPLGSLESHLLDLLPGRKPLDWNTRMKIAAGAASGLEYLHNKMKTPVIYRDLKCSNILLGEGYHPKLSDFGLAIVGPSGDKTHVSTRVMGTYGYCAPDYAMTGKLTFKSDIYSFGVVLLELITGRKAIDPTKSHKEKNLVAWARPLFKDRKKFPQMVDPLLEGQYPARGLYQALAITAMCVQEQPNMQPAIGDVVTALNYVTSQKYDPQIDRVQRCRSPSSPRVRRGDHKHKHNVSDDGNERDRSVN >OIW07297 pep chromosome:LupAngTanjil_v1.0:LG08:1688338:1690439:1 gene:TanjilG_11931 transcript:OIW07297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQRPTWLGRVLIHGHGWPMSLVSSELCTILSSQDEIVTDIEARIATWTFLPVENGEPIQVLRYEQGQKYDPHLDFFGDKYNLQFGGHRVATMLMYLSNVEKGGETVFPMAESSLSQPKDDSWSKCAKMGYAVKPVKGDALLFFNICLNATVDSKTLHGSCPVIDGEKWSATKWIHASNFDIPYKKLRRILVTKLPSQSGEG >OIW06923 pep chromosome:LupAngTanjil_v1.0:LG08:6673164:6675222:-1 gene:TanjilG_18311 transcript:OIW06923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHQLRKPLFCTLIILVLISIITKLLYPYFSIPFSSTYLTISGATLFSIIIIYFFSSTTLPPGPFSVPIFGNWLQVGNDLNHRILASMSQTYGSVFLLKLGSKNLVVVSDPELATQVLHSQGVEFGSRPRNVVFDIFTGNGQDMVFTVYGDHWRKMRRIMTLPFFTNKVVQNYSNMWEEEMDLVVHDLKGNERVKSEGLVIRKRLQLMLYNIMYRMMFDAKFESQEDTLFVEATKFNSERSRLAQSFEYNYGDFIPLLRPFLRGYLNKCKDLQSRRLAFFNNHYIQKRRQIMAANGDKHKIICAIDHIIDAQMKGEISEENVLYIVENINVAAIETTLWSMEWAIAELVNHSSVQNKIRDEISKNLKGQPVTESNLNELPYLQATVKETLRLHTPIPLLVPHMNLEEAKLGGYTIPKESKVVVNAWWLANNPTWWKNPEEFRPERFLEEEHETGAVVGGKVDYRFLPFGVGRRSCPGIILALPILGLVIAKLVSNFEMKSAEGTKVDVSEKGGQFSLHIANHSIVLFQPI >OIW06827 pep chromosome:LupAngTanjil_v1.0:LG08:8261044:8262568:1 gene:TanjilG_03722 transcript:OIW06827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDTIVHDLFSKLPDEILACIVSFLPNESALETSLISTRWRFLWNQVVVKYGAIEDISVVVAEFLACFEELDPLKHPRKLQVHFDKSNALFATIATNSKLLLEFSNGKNENERRYELKFKLNKDHIIHHLVQPSFLVKTLYLKSVSYFTSEVAFSIVSNLDHLQNLTIIECNGLQALFIESESKLKKLTILDCLQLKSLHLRTSKLKSFRFRGPLPWIWPESHFNLNDGMLDFRLGPNCSNFKSQDFNITLLTIKNSQILTLCEWTFEEMIWPSISLSSGNFIFYKLRELWWIDNYKDQNNIDALVFFLKLCPDLEQLFLEIDPISYSTPRSKSCLIQAVKYTELEHLKLIKFIGFTSQVNEISVAKSVIQLVKGKPPRIETSDGSCLDIYV >OIW06906 pep chromosome:LupAngTanjil_v1.0:LG08:7725601:7726629:1 gene:TanjilG_19555 transcript:OIW06906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSQKLSLLFLLIALSSFSSTTSDSNDDVVAELLSLQSRSKSGVIHFNDQSLSRFISSVKTPRPYSLLFFFDAVHLHDKSELHLLELLKEFNLVSSSFIANNIDNPDAISKIFFCHIEFKESQFSFSQFGVNTLPHIRIVGPNQGFKDSEVMEQGDFSRFPESLVEFIESKTKLSVGPVVRPPFLSRNQIILIVLGILAWIPFYAKRVIAGRTLFHDPKVWLAGSVFVYFFSVSGSMHNIIRKMPMFLADRNDPSKIVFFYQGSGMQLGAEGFTIGFLYTVVGLLLAFLTQGLVKLNNVAVQRVAMIFALLVSFLAVKQVVFLDNWKTGYGIHGFWPSGWN >OIW06747 pep chromosome:LupAngTanjil_v1.0:LG08:9003389:9008099:1 gene:TanjilG_11472 transcript:OIW06747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTTQTVVCIPLSDGVVELGTTDKVQEDFNFIQHINTFFTDIHHHHHHPPPPKPALSEHSTSNPTSSSDHITAVMYTAADIPATNVAKEDDMDEDEEEEEEEEDEDNDEDETGSNVRQTLVRESLEMVMQVEMAEESPNNGSNNLDSDFHLLAVTQQPGYPPSVSDSYPVGPNQRWVPTQDPIGTFQVQLPASVIPLSPLEDMAQEDTHYSQTVSSIIQNQYTRWAESPSIGYSTVQSAFTKWNICSNHHLPTAVMNGISQRLLKYILFTVPCLHAKNHVEKYSPQTLDAATASAGDSVALLRSKGTPQDELSANHVLAERRRREKLNERFIILRSLVPFVTKMDKASILGDTIEYVKQLLRKIQDLEARNRQILETEQQRWKNTEVIEVQRTSTSSSTKEQQRSGVTVMVGPEKRKLRIIEKEVEEALTSVQVSIIESDALLELECPHREGLLLDVMQILRELRIEVIGVQSCLNNGVFVAELRAKVKENMNGKKISIVEVKRALDQIIPHTTH >OIW06614 pep chromosome:LupAngTanjil_v1.0:LG08:9964188:9965178:1 gene:TanjilG_04008 transcript:OIW06614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKQPSTGLFVGLNKGHIVTKRELPPRPSDRKGKTSKRVVFVKNLIREVAGFAPYEKRITELLKVGKDKRALKVAKRKLGTHKRAKRKREEMSSVLRKIRAGGGAGDKKK >OIW06134 pep chromosome:LupAngTanjil_v1.0:LG08:19971306:19971581:1 gene:TanjilG_22356 transcript:OIW06134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSSLKLLFLVVLLVVAVYEGVARTCKTDADCVSLPDLCAGNPAYFLICTRAGRCGCKR >OIW05720 pep chromosome:LupAngTanjil_v1.0:LG08:24239664:24254795:-1 gene:TanjilG_23506 transcript:OIW05720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVFNVIHLLLVLPLIIVTHVEALAGKSLPTDIQGRKTLAQEKPYTPSIIDISELNRTSFPQGFIFGTASASYQYEGAAAEGGKGPSIWDTYTHKYPEKIADRSTGDVADDQYHRYKEDVGIMKYMNLDAYRFSISWSRVLPKGKLSGGVNKEGINYYNNLINELIANGIQPFVTLYHWDLPQALEDEYQGLLSPLVVNDFRDYADLCFKEFGDRVKHWITFNEPSSVSQQAYSVGSSAPGRCSAWLKRNCTGGNSGTEPYITSHNQLLSHAAAANLYKTKYKESQKGLVGITLNTYWFMPLTDQKSSQDAAQRALDFMFGWYMDPLTKGEYPKSMQTLVGNRLPKFTAEQAQQLKGSLDFLGLNYYSSVYAANSPPVPTPGPTLETDPRLILSVDRDGKPLGPTAASSWLIIYPKGLQELLLYIKNRYNNTLVYITENGCDEYDDPKLSLDESLVDTYRFDYLYRHLYYLKNAIKDGVNVKGYFHWSLLDNMEWSSGYTVRFGLVFVDYKNEKIVDRSTGDVAVDQYHRYKGPQKGIIGITLNSNWFLPFSEDRADHVAVQRALDFMFGCLMEPLTKGKYPKSMRSLLGSQLQNFTKEQSNLVIGSFDFIGLNYYTANYASNTSYPSNDNTTPSFIKDSNVNFTCVEEYNDPTLSVEEALMDTYRIDYYYRHLYYILAAIKDGVKVKGYFAWSLLDNFEWVSGYSFYANMPQIQELGADVCSDTEVDDIRCPNVTDKDVSDEEIEAEELEKRMFKDRIKLKRLKEKQKPAAQKATENQKPRQTTDQARRKKMSRAQDGILKYMLKLMEVCKARGFVYGIIPEKGKPVSGSSDNLRAWWKEKVKFDKSGLVAITKYEADCLAITEADNNHKGSSQNILQDLQDATLGSLLSALMQHCNPPQRKYPLEKGIHPPWWPTGTEDWWVQLSLPYGQAPPYKKPHDLKKVWKVGVLTAVIKHISPDIAKIRRYVRQSKCLQDKMTAKESAIWLGALSREEGLIRQPSFDNGTSGITELLPGVPVENKQAVVHNDSNYDVNGVDDGVGSDSSIGNMKNKLIDTEPSHNLNINFVQDKDQPQKQCRKKRPRLWSNATEKLPAPSLNKILHAETRSVVPDMNQMEANIDGLQIIHVNGQGNATDSTFRPLDKEPEVAAQLPAQEIDHYSFMHSNNLISSQDMYISGRQLHCPVVETPGMHRVISYNPYNSVAGFDEPSHEAIRPECDAVNIPAAHIKAGEIPGGGMQYYGKVAFQNELDRPIDPLFFGSPIGRISAQFGGLNSPFHIDDDFLGDEELIQYFGA >OIW06710 pep chromosome:LupAngTanjil_v1.0:LG08:10707773:10710458:1 gene:TanjilG_04104 transcript:OIW06710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGENKRVATIFDVSTPEELERLRPEDVQAENVVVNLRDWQVIPAENIIAAFHNSQKTVFSISSNTSEAQIFLEALEHGLDGIVLKVEDVEPVLKLKEYFDRRMEESNLLSLTKATVTRVEVAGMGDRVCVDLCSLMRPGEGLLVGSFARGLFLVHSECLESSYIASRPFRVNAGPVHAYIAVPGGKTCYLSELKSGKEVIVVDQQGKQRTAIVGRVKIETRPLILVEAKRDSDDKTFSILLQNAETVALICPLKENTVLKTAVPVTSLKVGDEVLLRVQGGARHTGIEIQEFIVEN >OIW06331 pep chromosome:LupAngTanjil_v1.0:LG08:14030908:14031900:1 gene:TanjilG_14976 transcript:OIW06331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQLNHFPFTHLTFLHLLFLLLHATDAQISAEPLPTYISRHNWEPSFAITTGAVICSLLLLGLISIYIRFCTESRYNTRNNNTLLGTTNTSQRLCSCAQGINNELLSTFPILFYSTIKDLKKGKESLECAVCLNDFKDYDTLRLLPKCNHVFHPSCIDSWLCSHVTCPVCRANLNQDSCEVDVTVETHLSTPQRVPESAGFIAEHVGGDENNNDPIEQNIIQVVSNLKEVESGDLRSSKLKLLRSNSTGHSVLESGKSVERYTLVLPEDVRKYILVNHNNYDGRRVQRSASHNNVVKMPMLESSRKCWSDSEGYNSMRVLLTAPLVASRG >OIW06072 pep chromosome:LupAngTanjil_v1.0:LG08:20343582:20346490:-1 gene:TanjilG_29828 transcript:OIW06072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDSDKPISELGLGSLSNRFRDSLSCDANKPDSQELDLGSPISTLRTRHHPSATVTATSSSSSSSGSSGSVSGRTGLNPVSKSSNSGDISGGENNRPTAAAGNFPRSFKSGSSSSQPLIYSGQSHRSPSSSSSAVNSPSLNALPAGNIYPSGRVLKSSMASVSASRSSRPDVLGTGTGNYGHGSIMRGGGGGKSGSGDGIANSRMSDSESVKRAVGSVDPEEVKRAGNEQYKRGHFAEALSLYDRAIELSPVNATYWSNRAAALTGLGRLGEAVRECEEAVRLDPTYGRAHHRLATLFLRLGQVENSRKHLFYAGLQPDPSEVQKLQIVEKHISKCVDMRRIGEWKSVLREVDAAIATGVDFSVQLVMCKAEALLKLHQVDDAESSLLRIPKWESHTISMSQARFFGMLSEAYCYFVRAQIDMAFGRFENAVTAAEKASQIDQRNVEVAVLLNNVRMVARARVRGNDLFKSERFTEACSAYGEGLRLDPSNSVLYCNRAACWFKLGQWERSIEDSNQALQILPNYKKALLRRAASNSKLERWAEAVKDYEVLQRELPDDNEIAEALFHAHLALKKFRGEEVHNLKFGGEVEAISDLEQFRAAISLPGVSVVHFETASNLQCKQISPFVDTLCSRYPSINFLRVDIQENPTVATSENVRIVPTFKIYKNGSRAKEIVCPSRDMLEHSIKHYSIYNS >OIW07011 pep chromosome:LupAngTanjil_v1.0:LG08:6408969:6413860:1 gene:TanjilG_02645 transcript:OIW07011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFYVISRRLSAGSTSPIALSLRFASSSRSYSSSFREERDTFGPIQVPSDKLWGAQTQRSLQNFDIGGARERMPEPIIRAFGILKKCAAKVNIEYGLDPTVGKAVMQAAQEVAEGKLNDHFPLVVWQTGSGTQSNMNANEVIANRAAEILGHKRGEKIVHPNDHVNRSQSSNDTFPTVMHIAAAVEVNSSLIPKLKTLHGTLNAKSIEFKDIVKIGRTHTQDATPLTLGQEFSGYTTQVKYGIDRVIGTLPRMYQLAQGGTAVGTGLNTKKGFDAKIAAAVAEETNLPFVTAENKFEALAAHDAFVETSGALNTVAASLMKIANDIRLLGSGPRCGLGELILPENEPGSSIMPGKVNPTQCEALTMVCAQIMGNHVAVTVGGSNGHFELNVYKPLIASCLLHSLRLLGDSSASFEKNCVRGIQANRERISKLLHESLMLVTSLNPKIGYDKAALVAKTAHKEGSTLKEAALKLGVLSSEEFDHLVVPEKMLGPSD >OIW05826 pep chromosome:LupAngTanjil_v1.0:LG08:23412424:23415225:1 gene:TanjilG_23612 transcript:OIW05826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLSLIKISFALSLFFFLLPSSSSSRLPFTTIKNHQQSLTTSYPQSQAEKLIRSFNLFPEDLVNIIDNEPGFTPGTLVEKNFSFLAASGSSVEDLGHHAGYYSLPHTKAARMFYYFFESRTSKDDPVVIWLTGGPGCSSAIALFYENGPFKLTKNLSLVWNEYGWDKASNIIFVDQPIGTGFSYSSDDDDIRTDETGVSNDLYDFLQAFFKEHPQFSKNDFFITGESYAGHYIPALASRVHKGNKAKEGIPINLKGFAIGNGLTNPGVQYPAYTDYAVDKGLITKEDQVSINRLIPDCEQGIKECETDGGDTCSLAYSTCQNIFESILSITGNINIFKAGHMVPMDQPKAALQMLKSWTRGKLGA >OIW06785 pep chromosome:LupAngTanjil_v1.0:LG08:8705322:8709181:1 gene:TanjilG_11510 transcript:OIW06785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSSSVSLFGFRETDQNQNQMNQQHSSSATPPAPPQKKKRNQPGTPSKFICEVCNKGFQREQNLQLHRRGHNLPWKLKQKTTKDARRKVYLCPEPTCIHHDPSRALGDLTGIKKHYYRKHGEKKWKCDKCSKKYAVQSDWKAHSKICGTREYRCDCGTIFSRRDSFITHRAFCDALAQESERQPPNLSSANHLYGTNHSNMALALSQVAPQMSNIQDQINNNQSTDLLHHGDNIGPIEQFNHHSSLHDGSSSFKPLHQTMQHSPPSFFMDADNLNQNYNHPDQSRQQQQQQGLVQQNKVPFQGLMHLSHDGFNNHHNNSPSPDAGSHNLFNHLFLSTNNSNNSFSELFNSANGSIGGGNEATNFFTTGISTMGGHHHQQIITSSAPSLFSTSLQSNNSVGNTHHMSATALLQKVAQMSATSSNSTTSLLKNFGSITSSSSIFGGIENNNSNMQDLMNTFAASGNTSILEDGGSLGLTGFEPYDDKSNRRKEPRVHGVNIGGSDDRLTRDFLGVGEIVRSMNGGREQHGHGGSGGNLH >OIW06349 pep chromosome:LupAngTanjil_v1.0:LG08:14313690:14318909:1 gene:TanjilG_14994 transcript:OIW06349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAKLKASGTQNVMKIEDKIDTIISQVVGIEPFLSFPRARDSHVQWIHLLHALNQQEHPGWPLLSPIPVKVQLLKCEKCSQEFCSPINYRRHIRVHHRLKNLAKDHTTNRDLLAAYWDKLSVEKAKEVVSFNNVMLEEVPGSSVLNALTILVQRQVFSSFPQSYVRAGATLLDIVKSNSSIFPISSQDLFSILDDASEKTCLCGTTVSMQRYVFGGEAGKNGLEPRNLVACTSFLLEQKLVNAWLADKDAEALRCQKQLVEEEEAAQKRQAEILERKRHKKLRQKEHKVREQRHGDKAKTKQNIDSTVEDLAPAESSLDTCAFEAHNPDTSVDNAPSHVPSQFHGTNEGIVGDTQSGYDLGTDQNIVRQSAHGHNHRTIHAKRQGMLKPQWTIANGLHASQNSPISNPDTIQKYGTKHDQSTAAIFNCSEVWSQKPTREVDMVVSKAIVEKEIDQCKNPEVLIGSIPVTLGHCSQLEGNAVAFREDVVVENLVKENSSQDKPMKPDFDQNGNNHPTLKFWRPLGRHDNKNPLAVNRGGPEADAVYVNEDSQNLSGPSSLRSCSIDGSDICSENNSPHPEGRVEPGSLLLSSHAARDFLAQRWKEALSLDHVKLVISPISEPPRRQKTRDSKVVVGQYSDAVKSNVHANAESRLPATSGVTKSKPRAKPEKGTKTKYIPKQKTTT >OIW07310 pep chromosome:LupAngTanjil_v1.0:LG08:1883125:1887529:-1 gene:TanjilG_11944 transcript:OIW07310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPSIPRSFLQVAATDEVAPPLRVVQIEGLVILKIIKHCKDHSPSLVTGQLLGLDVGSVLEVTNCFPFPMREEDEEIEADGANYQLEMMRCLREVNVDNNTVGWYQSTLLGSFQTVELIETFMNYQENIRRCVCIIYDPSRSDQGVLALKALKLSDSFMELYRSNNFTGEKLREKNLSWVDIFEEIPIKVSNSALISAFMTELEPDTPVAQCDYDRLQLSTSSLMERNMEFLIECMDDLSVEQQKFQFYYRSLSRQQAQQQAWLQKRRSENLARKAAGEEPLPEEDPSNPIFKPLPEPSRLESFLITNQISTYCNQINGVSGQSFNKLYLMKALHEED >OIW06028 pep chromosome:LupAngTanjil_v1.0:LG08:22024297:22026128:-1 gene:TanjilG_11715 transcript:OIW06028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCCVSTSNRSTCSSRSNEEKVSPSCLEVGCCGKNRAARRTLSDHVISLHHLPSILGRIFTNGKSQSSCIFTQQGRKGINQDAMVVWEDFVSEDTIFCGVFDGHGPHGHLVARKVRDALPLKLLSFLHSPELKQNGNGSSKSCFKGNIKPDTGESEKDSSSANELNSTWREAFMKAYKAMDKELRAHPNVDCFCSGSTAVTLVKQGSNLFMGNIGDSRAIMGSKDSNDSIVAIQLTVDLKPDLPREAERIKRCRGRVFALQDEPEVHRVWLPFDDAPGLAMARAFGDFCLKEYGVISIPEFFHRLLTDKDQFIVLASDGVWDVLSNKEVVEIVCSAPTRSSAARIVVDSAAREWKNKYPTSKIDDCAVVCLFLDGKMDSESDYDQHGFSSATIQSNRSGGNPIESDDGQKSEPYLQRNFTVRSSEENGTNVAMSVDVENGTSLAEDQKWLGLEGVTRVNSLVQLPRFSEEKPNS >OIW06185 pep chromosome:LupAngTanjil_v1.0:LG08:19220721:19221441:-1 gene:TanjilG_15069 transcript:OIW06185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKPSALVRFCVPLLLIVTFCYATSALPATQVSAGDKVNTDGKLVNEGIAKTSPKVDDEEAKFKGLFHHKFPLFKKPFYKKHIPIYKPIPKPYPVVKPIPVPVYKPIPVYKPIPIYKPIPVYKPIPIHKPIPVYKPIPIHKPIPVYKPIPIHKPIPVYKPIPIHKPIPLFKKPFHKSFPIPSKKPFFPPHNP >OIW06838 pep chromosome:LupAngTanjil_v1.0:LG08:8338955:8343252:1 gene:TanjilG_03733 transcript:OIW06838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPKLRSGVRRGRAAVAKESLEPPKRNNAKKKEEVVPVTVAVNVNTMADASGGLSANKGVAPEDPVFPEKVQVGGSPMYKVERKLGKGGFGQVFVGRRERAGPGAVEVALKFEHRNSKGCNYGPPYEWQVYNTLGGSHGIPKVHYKGRQGDYYVMVMDMLGPSLWDVWNSSSQTMSSEMVSCIAVESLSILEKMHSKGYVHGDVKPENFLLGQPATAQEKKLFLVDLGLATKWRDTSSGQHVEYDQRPDMFRGTVRYASVHAHLGRTASRRDDLESLAYTLIFLHKGRLPWQGYQGDTKSFLVCKKKMGTSPEMLCCFCPAPFRQFLEAVVSMKFDEEPNYAKLISLFDGIIGPPALRPVNTDGAQKVGQKRSRLNVEEDDDSQPKKKVRLGVPAMQWISIYNARLPMKQRYHYNVADTRLAQHIEKGIADGLLISCVSSCSNLWALIMDAGTGFTHQVYKWSPVFLHKEWIMEQWDKSYYITSIAGSLNGASLVVMSKGTQYTQQSYKVSDSFPFKWINKKWKEGFHVTSMATAGSRWGVVMSRNAGYSDQVVELDFLYPSEGIHRRWDNGYRITATAATQDQSALILSIPRRRPGDETQETLRTSQFPSTHVKDKWSKNLYLSCLCYGRTEQAVPACPYCSVL >OIW06338 pep chromosome:LupAngTanjil_v1.0:LG08:14176873:14181845:1 gene:TanjilG_14983 transcript:OIW06338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSRATQSHAHEYDEKYHHNQQVSHGEEDHHHDHEKKSVLKKVKAKAKKIKDKVTKHGHHDHEHGHDQYHYEDQHIPDDHDLDEEDDDDEEMVHDPEVHGAPIYDSATVKGGTPGQAQNLGRHGPNFGGSTVLAEPPHHNPRVIVVSPTTGIGQSRVNDPARTFVGDDMRVHDKVNLVRPMGLEEDPHAPRSTPVSHDPANYQTKVTDPTRAGGAEVDITPIQSSLAHMALHNEPKPNLEPKILPTIAETQHTLINESHHHFVPELSTATKTQYPSAQTHDHNMPQLSSEIKTQYPRSHDQLMPDLHISNKTHYPSTTTHDQNLPGLSSATQSHYPSALSHDEFMPDLHGSTKSHYPSAISHDQNLPGLSSATKTHYPSAGSHDQFKPDLHGSTRSHYPSAVSHDQNMPDLLSANKTHYPSISHDQNLPQLSSGAKTHYPSAGSHDSGLFSTEPKNQYSSAKGHHDQDLSHMSSATKTQYPSVGSHDQFVPDWPTQTKPHYHSSENQAQFMTEPIPLSTNTYSQTPEPTTTRFQEQPHYESMEKPSNERSYTDKISSATSAIADKAVTAKNAVADKLGYGEKDYDKETRFHEDNRTGEKAPNQSSYTEKISSATSAIADKAVSAKNTVASKLGYGEKGDNADNKVTTSTTKHVEEKRDNADNSTTNTASEYGKNIALSLTEKLAPVYGKVAGVGSAVKSKLPGTEKESVGVEQDRGVSVKDYLVDKLRPSEEDKALSEVITESLHKKEEEPREVRDVKNVISDAVHKREEEKPEIRERHRPLGKVTESEEVKMRLGTDEKAERRYEDIYVNSPGTGVVDKLKNVVGSWFGGNPEENQSSQEKSMEKPSNERSYTDKISSATSAIADKAVTAKNAVADKLGYGEKDYDKETRFHEDNRTGEKAPNQSSYTEKISSATSAIADKAVSAKNTVASKLGYGEKGDNADNKVTTSTTKHVEEKRDNADNSTTNTASEYGKNIALSLTEKLAPVYGKVAGVGSAVKSKLPGTEKESVGVEQDRGVSVKDYLVDKLRPSEEDKALSEVITESLHKKEEEPREVRDVKNVISDAVHKREEEKPEIRERHRPLGKVTESEEVKMRLGTDEKAERRYEDIYVNSPGTGVVDKLKNVVGSWFGGNPEENQSSQVAGGEDLSKKSGSGVEHVGARRLQDSSH >OIW05772 pep chromosome:LupAngTanjil_v1.0:LG08:23899729:23903766:-1 gene:TanjilG_23558 transcript:OIW05772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLKSLAKEYPIIDTNFQSFCASHTIFSVEDFLLHDLDALIAFADNDHTCSHTLKQGIDQLLSIIDSQQPPLLNGLQLLEDAKQNKHVLPTGFEGIDALLGGGLSEGQLTELVGPSSSGKTQACLLSASTVSKHKSSVIYLDTSNSFSPQHIANLVGQTSGYVYNNQADHRYIQEVLDRIICYSVFDLYQMFDVLHQLKMNLKCQIGKSDCHVRLLIVDSISSLITPILGGSGPQGHAMMISAGILLKKLAHEHNIAVLVTNHVVGGEGGTSKPALGESWKSVPHVRLLLSRDYGSNVSNISILKHPSMASGKTASWTMYSLDDKM >OIW07174 pep chromosome:LupAngTanjil_v1.0:LG08:4561433:4564533:1 gene:TanjilG_10147 transcript:OIW07174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENLFAGLPPPSINTVPSPQHEEETPIIATNTVPPLPPPKSILKSSLKRPNPTQNDTTQAAAPKKSLKFKTTTDASEAQIIEAMRKISSHVKNPSKISKAAKLAIQLIQAGSVNSGTSDYFFDILEAAMSSSVPCTDPSVRTDYHSLFSEAQAIKELLNKKQKNQLTTWTISAVVANDLHTDDSFVFSKAAGQIKEAINNLPVATEDDDMEEAMTLKDSTAMVDEGGQTLDTDKEKDEPEADPFGLDALIPGSKKKSEKSKAKNESDVKIRKEDEEETKRFLKSQREALITCLDIAARRYKVPWCQTVIDILVKHASDNVTRFTACQRDAIGKLWASIRDKQSRRKQGKSVNGKLDVNGFEWLQQKYANEKISIRHSVGSSGERRAQQWLG >OIW05901 pep chromosome:LupAngTanjil_v1.0:LG08:22709375:22711858:-1 gene:TanjilG_23687 transcript:OIW05901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQQEGQYSDPSSNTTYFAAQMQQHMAGQRVETKSGGNFEERLEAFTPERENPYANSKPEGQWRWEVDESKMANSMPSSMYNEGSVGQGGDASRSYYQRQRPDPKLALQNQSNSDSRSQACEGDRDVGYEGNYSSQFEGLEKNFNDDVVKLAKELTDAEDAEQARHREKINAINTQYEEKLAALRAQHASRRSEFLQRESQARQQQYQQSIRDPYPGSGMTPRDPHGYNTVNASAGGGEVPRGYSADHFDPYRERARFLGGARDKGFEPRGPYPGGRVYDTTGSRYYN >OIW05934 pep chromosome:LupAngTanjil_v1.0:LG08:22397052:22397654:1 gene:TanjilG_07210 transcript:OIW05934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIQNSIGHYLEPQTVTTKQRLKICFAVSALFFIIVATVIVTLTFTTFKIKDPDVSLRPVGLENFQFFTPNSTIEPLSMLITVVNPNYGRFKSINSIGYLNYRHNVIAKVPFEKIVIPAHSKINVSTTAGIVTKKLMSDPKFWTDIEVGALNLTANVILPGKVSMIKIFKLKATVTISCGIYLNLSAVDADSSCITKIKL >OIW06652 pep chromosome:LupAngTanjil_v1.0:LG08:10199658:10200584:1 gene:TanjilG_04046 transcript:OIW06652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFSTLDCIMFDLDDTLYPGSIGIRECMKKNIEMFLVEKCGFSELKAATLRVELFKTYGSTLAGLRAHGYDVTHQDYHAFVHGSLPYQELIKPDTNLRNLLSSITQRKIIFTNSDRNHAVRVLDCLGIKQCFEQIICFETMNPNISNNFPVLLKPSLHAFNLALHAANVVDPRRTLFLDDSPRNIAAAKQIGLQTVVVGVTVKCNGADYAIQNVHQIWTTDNDQSSRITRSKSDLNALPITTATVGA >OIW06688 pep chromosome:LupAngTanjil_v1.0:LG08:10490427:10493689:-1 gene:TanjilG_04082 transcript:OIW06688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLQASTFAVAIPSSAVTPLRRHRNLFTVRAQVEPTDKNVEIMRKFSEQYARKSGTYFCVDKGVTSVVIKGLADHKDSLGAPLCPCRHYDDKPAEASQGFWNCPCVPMRERSAIACSFSLLTMILLARNRPSPWMRLKKQQQICNRYDDLSYLLQSVSFE >OIW06513 pep chromosome:LupAngTanjil_v1.0:LG08:11226589:11229672:-1 gene:TanjilG_26702 transcript:OIW06513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLLAWAADVVGGGGAIDESHDSIPLIFSQNQQNYVHQLDFKASSLTRSIHDLRLRLPPPDISQSLPHLHAHSLASNAALTLQLNSHSSTRHQAQLREVTLNEENAAFENEILNCENKIKEKLQEADLLRRKLEEMDETEKKLKAELDNMKLQASVRGNQSWRSDGWEEESKMNPKGELDADASKSAILDKLEKKKKDLSSMEVTVQELEKKWVEVQQNALKQPSPAQREKTLDKQLHGLIEQLAVKQAQAEGLLSEIHIKEMELERLNGQWRQMQSINSDANAARNRFGKSSSDKYGLSDYDGHQRLPYHSVARTESQQRLMLLRSAFVLYILALHIVVFIRISF >OIW06275 pep chromosome:LupAngTanjil_v1.0:LG08:16641265:16642792:1 gene:TanjilG_19713 transcript:OIW06275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQKIVFLFFVLLLSSFLASARDLPPKCPKQGESEVKVNSSNMAQSYATELNDVTDELIGSKKCNEKDEECSTRRIISEAHLDYIYTEHHNP >OIW05704 pep chromosome:LupAngTanjil_v1.0:LG08:24381242:24385746:1 gene:TanjilG_23490 transcript:OIW05704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKLQIFTGLPLPQFQSSSSSSSSTLCVLKKKPLTTSFLGTGVEVLKLRRIRIVKPVQCGGGALGTRMNLFDRFTRVVKSYANAIISTFEDPEKILEQSVLEMNDDLTKMRQATAQVLASQKQLENKYKAAQQASEEWYRKAQLALQKGEENLAREALKRRKSYADNSSSLKAQLDQQKSVVDNLVSNTRLLESKIQEARSKKDTLKARAQSAKTSTKVSEMLGNVNTSSALSAFEKMEEKVLAMESQAEALGQLTSDDLEGKFALLESSSVDDDLAQLKKEISGDIKKGELPPGRGTGSSNTGTPFRDSEIENELDRLRQRAKEF >OIW05699 pep chromosome:LupAngTanjil_v1.0:LG08:24404311:24419575:1 gene:TanjilG_23485 transcript:OIW05699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGLHHHQQQLAALLSAALPKDDISSSSSTTTTATTTTSSTNDPTSRISAITSLHRAILHPHNSLLITHSAAFLAQGFSQLLSDKSYEVRQLAVTAYGALCAVVSSVPVTSNARQNSVLLGTLVDRFIGWALPLLNNVTAVDGTKELALEGLREFLNVGDVVGTERYALSILKACQVLIEDERTSLTLLHRLLAVITLISLKFIRCFQPHFTDIVDLLLGWALVPDLAESDRRVIMDSFLQFQKHWVGSLAMSLRLLTKFLGDMEALLPDGSPGTPPQFRRLLALLSCFSTILQSTASGLLEMNMLEQIVEPLSALLPRLLMCLSMIGQKFGWSEWIEDSWKCLTLLAEILCERFSSFYPRAVDILFQSLEFDATGQRAGFKKVTSFQIHGVLKTNLQLLSLQKLGLLPSSVRKLLQFDTSISQLRLHPNHLVTGSAAATYVFLLQHGNKEIVDEAVTSLIEELELLKSMIGKNTGHADQFNYVLAPEIFSKHELFALIKFDLKVLSSCVSFGGENNLIVQTEIDNLSLKRSEKLVSFITKKLNPSELPIQAFMELQVTVFKTLERLTSVEFLVKCSLREQNSDKAGVDFLTKKNEDHFSDGFSAVIIEHLDKYSMMIIKALHVSSPLAIKLVVLDWVQKFCENVMAVNKISSAKGFICEACGHAGAIVNLVSSLLGCAFEREPEVRSHVAITLEMFMQAKLLHPVCFYPVAEVILEKLGDPAIEIRDAYLKLLAHVLPSTIYTCGLYNHGRFRSVDLGFGNCSKMHWKQLFALKQLPLQLHSQQLVSILSYISQRWKVPLSSWIQRLIHSCRSSKDVILSQPEETGNFGASSQWLDVPVDEDILEKICSVNNLAGAWWAVQEAARYCIATRLRTNLGGPTQTFAALERMLLDVAHLLQLDNEQNDGNLSMIGSSGAHLLPMRLLLDFVESLKKNVYNAYEGSVILPPATRQSSLFFRANKKVCEDWFTRICEPMMNAGLALHCNDAVIQYCTLRLQELKNLFVSALKEKSRTQVNDNLHNARARYKGDVLKVVRHISLALCKSSEPDSLIGLQKWVLITFSSLLGDENQSFNECGPVAPLSWIRGLVFQARGEYENAAAHFTHLLQTEELLSSLDSDGIQFVIARIIESYTAISDWKSLETWLLELQLLRAKHAGKSYSGALTMAGNEVNAIHALAHFDEGDNQAAWSCLDLTPKSNSELTLDPKIALQRSEQMLLQSLLFQKEGKTDKVLHDLQKARSMLEEPLSVLPLDGLAEAAPFAIQLHCITLLEEECKLKSTHEKGKQLQSMLNSLHPVPFSISKIRQDCNPWLKVLRVHQTISPTSSVTLKFCMNLLNLARKQRNLLLANRLNNYLKDHASNCTEERYNNLILNLQYESILLLYAENKFDDAFSNLWSFLRPSMVSSASRISDADERTLKAKACLKLADWLKRDYSDWSPESIVLKVPADFDMAESAPPGRDGNEENINCKQKLSLITEEIVGTATKLSTQICPTMGKSWISYASWCFKQARDSLLVQNETTLRSCVFSPILVPEISPERFKLTKDEVQRVKSLVVCLFHDNSDMKGFIEELEEGSLWFDLSAVHSSNGNPLTTLVRHLVNIIETAAGAPGTENSGGERLSAMVSSQLRICLLHANLGLGQSDIISALDGFVDIWWSLRRRRVSLFGHAAHGYIQFLSYSSSHVRHCQMPGSDYVALKEKNGSYTLRATLFILHILLNYGVELKDTLESALSVVPLLPWQEVTPQLFARLSSHPEQVVRKHLEGLLIMLAKQSPCSIVYPTLVDVNAYREKSSEELHHVLGCLKELYPHLVQDVQLMINELGNVTVLWEELWLSTLQDLHTDVMRRINVLKEEAARIAENITLSQNEKNKINSARYSAMMAPIVVALERRLASTSRKPETPHEAWFQEEYKEQLKSATVSFKTPPASSAALGDVWRPFDSIAASLASYQRKSSISLQDVAPHLALLSSSDVPMPGLEKQMKVPDSDKATDLQGVVTIASFHKQVTILSTKTKPKKLGILGSDGQKYTYLLKGREDLRLDARIMQLLQAINGFLLSSSSTCSNSLGIRYYSVTPISGRAGLIQWVDNVVSIYSVFKSWQTRLHLAQFSSVGTTSTKSSAPPPVPRPSDMFYGKIIPALKEKGIKRVISRRDWPHEVKCKVLIDLMKEVPKHLLYQELWCASEGYKAFSSKMKRYSGSVAAMSMVGHVLGLGDRHLDNILIDFCSGDIVHIDYNVCFDKGQRLKIPEIVPFRLTQMIEAALGLTGIEGSFRANCETVIGVLRKNKDILLMLLEVFVWDPLVEWTRGDFHDEAAIGGEERKGMELAVSLSLFASRVQEIRVPLQRFADVLNQYELASSLYCRADQERSNLMLHETSAKSIVAEATCNSEKIRTSFEIQAREFTQAKAVVSDKAQEAMTWAEQHGRILDALRCNLIPEINDSFKLSNMEMALSLTSAVTVAGVPLAVVPEPTQAQCHDIDREVSQCITELDDGLNSATTSLQAYSLALQRILPLNYLSTSAIHGWAQVLQLSINALSSDILSLARRQASELFAKFQGDSIDSIKSSHDDLCFRVEKYAAEIEKIEKECAALESSIGLESELKTKDHLWLAFMKFMQSTGLLKNEDGMSSVQSRYDGTTNIRLLGELEEEKALSVLNLSVSSLYNEVRHRILNICSDLSGGRNQYNMMQNDSGTIFAEFEEQVEKCNLVAEFVNDPLESMGKEIPSDNKVHLKFSSQSNSVSIFKTILFSCKGLVSQMTEVVLPNVIRSAVTLNSEVMDAFGLISQVRGSIETALEQLVEVEMERASLVELEQNYFVKVGLITEQQLALEEAAAMGRDHLSWEEAEELASQEEACRAQLDQLHQTWSQRDVRISSLIKREADIKNALVSVSCHFQSLVGIEDESELHILRSRSLLAALVKPFSELESSDMLLSSDDGSGAMPSSRFHTLADLINSGNSISDYVWKVGGLLNDHSFFIWKIGVVDYFLDTCIHDVALSLEQNLGFEQSLNFMKKKLEVQLQKHIGHYLKGRIAPCLLACLDEDIEHLKQLTESSNKLALDLVKRDGAVKKVSLMLEEYCNVHETAKAAKSASSLMKRQVNELKEALWKTTLEVAQMEWMHDVNLDPSYNRRIRFEKYVDIDDSLYTVILNLNRSKLLENIQSAVSNITRSMDCLQSCEQTSLIAEGQLERAMSWACGGPNSNSSGNNSTKNSGIPLEFHEHIKTRRQILWEFREKASDVVKLCMSVLEFEASRDGYLLIPGQPYPSRSSVDGKTWQQVYLNALTRLDVIFHSYTRTEQEWKLAQCTVEAASNGLYSATNELCTASLKAKSASGDLQSTVLSMRDCAYDANVSLSAFARVSRTHTALTSECGSMLEEVLAITEDIHDVHNLGKEAAAIHRSLMEDLSKVNGILLPLESVLSKDVAAMTDAITRERETKKEISHIHGQAIHQSYCLRIREACQTFKPLVPFLTSSVKGLYSLLTRLAKTANLHAGNLHKALEGIGESQEVKSQDIALSRSSAAGGDAVEFDDNEVENLSSSDCGDTEDLIGFSQLSLEDKDWISPPDTICYSSSGSDNTSTEVSLPDSLNDSAENKDVLSQASSSRNPTGHIHSTPLSQTGVEEILPFEAADSYPMEANVNGACSVQLIDEATEHPKASDKSDAIPTYSQHQSNGNLDKFEGVDELLSANKVKNDVEHHEAPDPNINVRSRVGRGKNAYALSVLRRVEMKIEGRDISEHREIGIAEQVDCLLKQATSVDNLCNMYEGWTPWI >OIW06645 pep chromosome:LupAngTanjil_v1.0:LG08:10139738:10142759:1 gene:TanjilG_04039 transcript:OIW06645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRKFFNIKCKSENSEADVVTCVGGEADCRSRSGFSERETCTIKKSKTDKISGNTSLGRRGRMNLDHPRIIDVQNYSIFAGTWNVAGRSPPSNLSLDDWLHSSPPADIYVLGFQEIVPLNAGNILGAEDNGPAKKWLALIGKTLNNLPGTSGSSACYTPSPIPQPVVELNADFGGSAIHKNSSFFHRRSFQTTSSSSSWGMDNDPSLVQPQLDRRYSVCDRVIFSHRPNDFDRSFRWGYRPSDYSRASDYSRPSDYSRWGSSDDDNGLGDSPSTVLFSPTCYDGGPASNEDGYGMSGHSRYCLVASKQMVGIYLTVWVKSELKDHVKNMKLSCVGRGLMGYLGNKGSISISMTLHQTSFCFICSHLTSGQKEGDELRRNSDVMEILKNTRFPRVHGVDNEKSPETILEHDRIIWLGDLNYRIALSYRSAKALVEMQNWRALLENDQLRIEQKRGRAFVGWNEGNIYFPPTYKYSTNSDRYAGDDMHPKKKRRTPAWCDRILWYGEGLHQLSYVRGESKFSDHRPVYGIFWAEVESTHGRSKKTMSCSHSRIEVEELLPYSCGYTELNFF >OIW05985 pep chromosome:LupAngTanjil_v1.0:LG08:21661150:21668222:1 gene:TanjilG_11672 transcript:OIW05985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMEAFEWGSGINGDGSYKRVINEGFQVNNHGVMGSGYGSSLSLVLDREKKELVEAPVKLERKGVSTERSIEALKNHSEAERNRRSRINAHLDTLRAVIPGAIKMDKASLLAEVIGHLKELKRNAGQACEGLMIPKDVDEIRVEEHEGGLNGFRYSIKASLCCEYKPGLLSDIRQALDALHLMIMKADIATLGDRMKNVFVIISCEEQNFEDAEYRQFLAGSVHQALRCFVLFPVALFHTTTTQHNNTMALSSSPLQNLSSLIFANSNAPIMAMPPTTASRHRNWAVHVAAPDTTTTVTSYEYGNLARPKWTGETHLSRFVRAIISFKPLYSVLKFGARQVLISTAEKNNIPWREMAKEVLESEVYKELDSIQNNSVVYPDYYLNPFHAYDEGNLTWQAAAEAEPATMSMVKRAIPYAPSVDEANQVLRGNWLNAIEQHHIQHSGASMIGDILDIGCSVGISTRYLAEKFPTAKVTGLDLSPYFLSVAQLKEKRGAPRKFPIKWLHAKGEDTGLPSKSFDLVSIAYVFHECPERAIADLVRESFRLLRPGGTLALTDNSPKSKIVQELSPVLFTLMKSTEPFLDEYYLTDMDKTLGEAGFVNIRSILTDPRHMTMTATVPQ >OIW06582 pep chromosome:LupAngTanjil_v1.0:LG08:9738697:9738951:-1 gene:TanjilG_03976 transcript:OIW06582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSKSFPQYSCSYSEFEFKERSNSYNFNGPSEKGSGFCAANDAEIKRKKRIKAYNVFTLEGKLKTSVRNSYKWIKNKLVGYDV >OIW05688 pep chromosome:LupAngTanjil_v1.0:LG08:24475396:24476916:1 gene:TanjilG_23474 transcript:OIW05688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSPPTTPNGVQIHHTRRLPDFLQSVNLKYVKLGYHYLITHLVTLTLIPLMALIIVQASQMNPQDIHTLWLHLKYNLVTVIICSTILVSGSTVFFMTRPRSIFLVDYSCYRPPSNLTVQFNQFMEHSRLTGDFDESSLEFQRKILQRSGLGEETYVPEAMHHVPPTPSMAAAREEAEQVMYGALDNLFANTQVKPKDIGILVVNCSLFNPTPSLSAMIVNKYKLRGNIRSYNLGGMGCSAGVIAIDLAKDLLQVHRNTYAVVVSTENITQNWYFGNKKSMLIPNCLFRVGGSAVLLSNKSSDKRKSKYRLVHVVRTHKGADDKAFKCVYQEQDDVGKTGVSLSKDLMAIAGGALKTNITTLGPLVLPISEQLLFFSTLITKKLFNSKVKPYIPDFKLAFEHFCIHAGGRAVIDELEKNLQLMPVHVEASRMTLHRFGNTSSSSIWYELAYIEAKGRMRKGNRVWQIAFGSGFKCNSAVWQALRHVKPSPKTPWGDCIDKYPVQILA >OIW06511 pep chromosome:LupAngTanjil_v1.0:LG08:11246403:11258638:1 gene:TanjilG_26700 transcript:OIW06511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGEQLNEGGYGGEIEKIKSQERVSDSLDKEEKMVVDEKLCKIQSESCVVQVEDEKIGVTTVKHVGFAVAETVVVSEEVEGVSSVVHAENMGSNGSLAVNGRCAKRARVTVDEHQPSVHFIYNFLTRASRQKLEELLKQWSEWHEKHVPSSNDPNEVLESGEETFFPALKVGHEKTSAVTFWMDNQTINDQNKGFVPLNHNSVPLYDRGYALGLTSADGPSNLDGGLEIIDEAARCFNCGSYSHSLRECTKPRDNAAINNARNQLKSRRNQNGSSRNPTRYYQNSPTGKYAGLRPGALDDVTRKLLSLGELDPPPWLKRMREIGYPPGYVDVDDEDQPSGITIYADKEIAEPKDGEIMGIKKLKPKWKMTVEFPGINAPIPENADERLWTAGAAPSTDDISNISRNLPQHRPNHTTDYGSRGHFREQRLPGDFRDDAPPGDQGFSSPRFSFHPMYDGHDSVPRSSSMSRFQSEWSRRSPVHDEEPRHPSSFHSLYYSSSSERLLFPMDQDSGRFGNWSSGSLYDRYRDRPFQNRDMLDDWHYRSWRLVVNLCKGGPMHEAPAKWGMLAYKTISGTKNFKKTVHLTLQFLSLILSLIGLWAAWKFHVDKGIDNFYSLHSWLGLACLFLFSIQWGAGFATFWYPGGSRNSRASLMPWHVFFGIYIYAFSIATAATGLLEKATFLQSNNIISRYSNEALLVNSIGILIVILGGFVILGLVTRSNDLTVLAKQMP >OIW05907 pep chromosome:LupAngTanjil_v1.0:LG08:22660386:22660823:1 gene:TanjilG_23693 transcript:OIW05907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRNSITPVQRTRRVGEMAGGTAAECAAVCCCCPCAMVHFAVLALYTVPKGLLKKAVMKKRSKRQRSLEESTNKNKNKNKNNNVVVFSQQKQQRIVNIDSVVFVGPTLLEEYMAKEVTEAVALENEMWTRFAGTGFWRSESQREP >OIW06963 pep chromosome:LupAngTanjil_v1.0:LG08:7134098:7136945:1 gene:TanjilG_18351 transcript:OIW06963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRKVVSSLLRSSLRRSSPKPSIPSSASRSRIPSSNPRPSPYGYLLNRVHSTATAATTAAPSTPPPVAKDGGASGKILDEYTGKGAIGHVCQVIGAVVDVRFEEGLPPILTALEVLGHETRLVLEVAQHLGEGVVRTIAMDATEGVVRGWRVLNTGSPITVPVGRATLGRIINVIGEVIDEKGPLETEHYLPIHREAPAFVEQATEQQILVTGIKVVDLLAPYQRGGKIGLFGGAGVGKTVLIMELINNVAKAHGGFSVFAGVGERTREGNDLYREMIESGVIKLGDKQAESKCALVYGQMNEPPGARARVGLTGLTVAEHFRDAEGQDVLLFVDNIFRFTQANSEVSALLGRIPSAVGYQPTLSTDLGGLQERITTTKKGSITSVQAIYVPADDLTDPAPATTFAHLDATTVLSRQISELGIYPAVDPLDSTSRMLSPLILGEDHYETARGVQKVLQNYKNLQDIIAILGMDELSEDDKLTVARARKIQRFLSQPFHVAEVFTGAPGKYVDLKESISSFQGVLDGKYDDLSEQSFYMVGGIEEVIAKAEKIAKESSS >OIW06744 pep chromosome:LupAngTanjil_v1.0:LG08:9017345:9018943:-1 gene:TanjilG_11469 transcript:OIW06744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSTAQTYQHRPLSIKLWPPSQSTRLMLVERMTKNLTNPSIFSRKYGLLSKEEAEEDAKQIEDIAFASANQHFEKESDGDGSSAVQVYAKESSKLMLEVLKRGPKVKEDGELISEKASATGTTFFDISGGPRAFIDGEEAAELLKPLRGPNTYTKICFSNRSFGLDAAHVAEPILISIKDQLKEVDLSDFIAGRPEAEALEVITIFSSALEGCDLRFLNLSNNALGEKGVRAFRLLLQSQNNLEELYLMNDGISEEAAKAVSELIPSTEKLRVLRFHNNMTGDEGAISISEIVKRSLALEHFQCSSTRVGSDGGVALAEALGACIHLKTLDLRDNMFGVEAGVALSKVIPAFVDLTEIYLSYLNLEDDGAEALANALKESAPSLEILDMAGNDITAEAVPSLVACTSSKQFLTKLNLSENELKDEGAILISKALGSHGQLNELDLSTNSITWSGARLVAEAVVKKPGFKLLNLNSNFISYEGIDELKNIFKNSPDTLGTLEDNDPEGEDYDDEAEEDDGDGELESKLKGLEI >OIW07020 pep chromosome:LupAngTanjil_v1.0:LG08:6319913:6320191:-1 gene:TanjilG_02654 transcript:OIW07020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGYGSGHRSQIVSGKSGYGSSKKYGLDPEMARSSYGGAAVGKPWDCGDAEGKRKKRLARYKVYKVEGKVKATFRNGIQWIKDKCSRIVHGY >OIW06211 pep chromosome:LupAngTanjil_v1.0:LG08:18688609:18689877:-1 gene:TanjilG_03836 transcript:OIW06211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLPSFLVLLFAALILSPHGLASYEKPPEYTPPTYNPPIEKPPGYEPPIEKPPVYNPPIENPPVYKPPVEKPPIYKPPVEKPPVYKPPVEKPPVYKPPVEKPPIYKPPVEKPPVYKPPVEKPPVYKPPVEKPPVYKPPVEKPPVYKPPVQKPPVYKPPVEKPPVYKPPVYKPPVEKPPVYKPPVEKPPVYKPPVEKPPVYKPPVEKPPVEKPPVYKPPVEKPPVYKPPVEKPPVYKPPVYKPPVYKPPVEKPPVYKPPVYKPPVEKPPVYKPPVEKPPVYKPPVEKPPVYKPPVEKPPVYKPPVEKPPVYKPPVEKPPVYKPPVEQPPVYKPPVEKPPVYKPPVEKPPIYKPPTEKPPVYKPPVEKPPVYTPPYEKPPVYTPPYEKPPVYTPPYEKPPIYTPPYENPPYGGHHPPASDGSR >OIW07378 pep chromosome:LupAngTanjil_v1.0:LG08:277367:282476:1 gene:TanjilG_10213 transcript:OIW07378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHCLASICMPLSFNFNNSKRTNLFPTTLTKSKPFLSLVNASSNTKRNTLSSNWLVSNDDFSSLSTPSPWLPTLQQLDTTNMLLRQRIIFLGSQVDDVTADFIISQLLFLDAEDPKKDITLFINSPGGSVTAGMGIYDAMKLCKADVSTVCLGLAASMGAFILASGTKGKRYCMPNSRVMIHQPLGTSGGKATEMSIRIREMAYHKIKINKILSRVTGKPEEQVEVDTDRDNFMNPWEAKEYGLIDGVIDDGKPGLVAPIADATPPPRTRVWDQWKVEGSRKARKNLPSEHKFLHNADKGGQGSGDDNGSGHEEEEPAAV >OIW07064 pep chromosome:LupAngTanjil_v1.0:LG08:5833368:5834263:1 gene:TanjilG_02698 transcript:OIW07064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFDGCCPDCKLPGDDCPLIWGQCNHAFHLHCILKWVNSQTSQAHCPMCRREWQFKG >OIW06621 pep chromosome:LupAngTanjil_v1.0:LG08:9998922:9999883:1 gene:TanjilG_04015 transcript:OIW06621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRFLASSRGHVKWDEANITEIEVNKPVRQKITEPKTPYHHDMIIEEEGSPSPERGGLHECNDDENCPTIQTAFNDSGYCSRKDIDGWTSSEDEAREDDEDKSLSFEEHRRVHYDEFRKVKELRKKSVIENESDEDNNNREDKCEED >OIW06962 pep chromosome:LupAngTanjil_v1.0:LG08:7126070:7126772:1 gene:TanjilG_18350 transcript:OIW06962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKRIIHQKCIVVLNGASWILHQKCSAISKTAIGIGIEGANANGHQVQEVHEPMNEAKDNAVLVDAQPIKKKCNETNVIT >OIW06959 pep chromosome:LupAngTanjil_v1.0:LG08:7108612:7111358:1 gene:TanjilG_18347 transcript:OIW06959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAENSGPEVPQFPNPHGNHDANVHSLSKDITKEVKKQVWLGGPLVSVSLLQYSLQMISIMFVGHLRKLPLSGASLGSSFASVSGYSILLGMGSALETLCGQAYGAGQRHMLGIHTQRAMLVLLGSSLLPNPQLETSVLSISLNTCWMVYTISVGLGCVISSRVSNELGAGNAQGALLALRVMMVIAILAGTTIGLVTISVRNVWGKLYSNEDEVIHYVAKMMLLLALSDFLDGFQCVLSGAARGCGWQNLCVLINFIAYYVVGIPSAIIFAFVLHIGGMGLWMGIICGLAVQGIALVIINLCKKSSAPISE >OIW07275 pep chromosome:LupAngTanjil_v1.0:LG08:2494710:2498583:-1 gene:TanjilG_08390 transcript:OIW07275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTPSPQCSSLPSRPENTTTHRHHHHNTTTTTTNHHNSNNRNNNLRHCNNRNNNLRHNNSNNRWSSYNRYSTNGSSVNGANYGSGSGVGGGGGGAVSAAAAAATGGAIGFSSSFMGGARKTGLGHEFSGRRSTRFVSKMYSVQTRASSNKNYHSDVADEALRCLVKAGNDCSAIDNVLLSFENRLVRVEDYIYLLKEFVNEGTYLLANKCYDFAMAIWNGCGTRLERGKLTSTMIGTLGRMRKVDDARRLFEFAKNEGYGNTVYSFSSMISALGRNGRFHEAVSLFWSMRNSGMEPTLITYNSLIDAGAKAQVEFSRVVKFFDELVTKGIKPDRLTYNSLLSVCVPRGLWEVAQNLLAEMEQRGIDRDVYTYNTYLDTLCKGGQMDLAGKVMTEEMPARKIYPNVVTYSTMMDGYAKTGRLEDALYLYNEMKRLSIRFDRVSYNTLVAVFAKLGLFEEVRNIIKDMESCGLTKDVVTYNALLGGYGKHSMYDEVRRLFEEMKAKNIHPNMLTYSTMIDVYTKGCMYMEAMDVYKEFKKEGLEADVVFYSSLIDVLCKNGLVECAMMLLEMMTEDGIRPNVVTYNSIIDAFGQVMGLEWRSDTSFEADEHQSTRNYSQLVVGTFQNQVGDKEDDRVMKMFEQLAVEKEGHPNKDLRSRQDKFNILWILNKMHDLEIKPNVVTFSAILNACSRCNSFGDASKLLDELCLFDNHVYGVAHGLLLGYRENIWFKAQSLFDEIKHMDSSTASAFYNALTDMLWHFGQKRGAQLVVLEGRRRNVWKGDWSDSCLDLHLMSCGAACAMVHAWLLNIRTVVFEGSELPKLLSILTGWGKHSKVVGDGALRRAVEALLNRIGAPFRNAECNIGRFISPGYVVAAWLKQSSTLNVLVLHDDISDSRPVGQVYNLQTLCM >OIW05992 pep chromosome:LupAngTanjil_v1.0:LG08:21740657:21743043:-1 gene:TanjilG_11679 transcript:OIW05992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQLPPKIPNMQPSWPELSSHQKIPSLTSMPPNAANNNHQHHLQQQNNPSWVDEFLDFSSARRGAHRRSVSDSITFLEAPKGDDHNQFERFDDEQFLSMFNDEVSGNHTMMPPTTLSSSNPSSPSDHNSINDEHKEKEQEEKEQQHQKNGLKNESEDEDENQCKQEITEGPNNDNATCSNDRITDPKRVKRILANRQSAQRSRVRKLQYISELERNVTSLQAEVSVLSPRVAFLDHQRLLLNVDNSALKQRIAALAQDKIFKDAHQEALKSEIERLRQVYHQQNIKKMDNAAGSPSPKPICDTQTEKEHLINV >OIW07081 pep chromosome:LupAngTanjil_v1.0:LG08:5703650:5704150:1 gene:TanjilG_02715 transcript:OIW07081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLVIPDEVRAKAEIYHGDELGQVKSKELLIEVGLPNGLLPLKDIEECGYDRESGFVWLIQKKSSTHKFQKIDRLATYAPYVTANVEFGKIKKLTGVKVREMLVWLTLNEIFLNEKNSDKITFKSFAGVSRTFPVSAFEIDENYVAEGVEEENVEVEDAPEAIEVK >OIW05933 pep chromosome:LupAngTanjil_v1.0:LG08:22392944:22394557:1 gene:TanjilG_07209 transcript:OIW05933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEAMFEAEVAEVQAWWNSERFKLTQRPYSARDVVSLRGNLRQTYGSNEMAKKLWRTLKTHQANGTASRTFGALDPVQVTQMAKHLDTIYVSGWQCSSTHTTTNEPGPDLADYPYDTVPNKVEHLFFAQQYHDRKQREERMRMTREERAKTPYIDYLRPIIADADTGFGGTTATVKLCKLFVERGAAGIHIEDQSSVTKKCGHMAGKVLVAISEHINRLVAARLQFDVMGVETVLVARTDAEAATLIQSNIDTRDHQFILGVTNPSLKGKSLATLMAQGLAAGKSGAELQALEDQWLSMAQLKTFSEAVVDAIKSHNINEEEKRRKLNEWMICSSYEKCLSNDQVKEIAERFGVRNLFWDWDLPRTREGFYRFKGSVLASIVRGWAFAPHADLIWMETASPNVVECTQFAEGVKSKYPEIMLGYNLSPSFNWDASGMNDEQMRDFIPRIARLGYVWQFITVGGLHCNALITSTFASDFANRGMLAYVERIQREERNNGVDTLAHQKWAGANYYDRYLKTVQGGVASTAAMGKGKSQ >OIW06017 pep chromosome:LupAngTanjil_v1.0:LG08:21952879:21953367:1 gene:TanjilG_11704 transcript:OIW06017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKVEEPPTSYIFLLNIMSKRRTWACLFLLVYGTLLASSWNFLNSMLSWYSFQAQSSSASGWLAIYASGLLGTVFGLLSMVAALVVMIPAVLVTWITIVVLLAFFGKPKRTLVVEGRKITKEIFVIVVKILLKEGNVVAAVCAVLGYFALVRRNSTQGVVVE >OIW07262 pep chromosome:LupAngTanjil_v1.0:LG08:2677658:2678242:-1 gene:TanjilG_08377 transcript:OIW07262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANNNINNFNNYSVTFDQHGTRIFTCGVCNNVFNDPLAFIRHIESHVAPESAAVRMLRRNFNRSMLLQEIRNLNARRVSQPLPQHQHQQQQQHHALVPQQRTRPLLYANQVVPSHPVQPPRFQPDMLPFHGNIVAPMARPLAAPVQQEMQMEVSPIDGTRPYINLLDKPINNNEVGNGVNMYHGLQNIDLTLRL >OIW05744 pep chromosome:LupAngTanjil_v1.0:LG08:24103636:24108620:1 gene:TanjilG_23530 transcript:OIW05744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGFFRGTSADQDTRFSNKQAKLMKSQKFPPELEHLVDMTKVNMEVMKPWITRRVTEFLGFEDEVLINFIHGLLDKKEVNGKEVQIQITGFMEKNTGKFMKELWTLLLSAQKNASGVPQQFLDAKEEELQKKKIENDRITSEIQRKKDMEVRESMEERLKKLDDGSDVKNNDTNSDPTMKPKDPRHYVHDRNESDKRNGVRSRSRSRSISRSPEARGRSVSSERIRRSPRQQSISPRRRSPWRSPHRRPYSRQRSRSRSNYRSPSPIRRRMHSPYRRSSPFRQRRTPSPIKRRRSPPARRHRSPSPLRRRRSPPPIRRQRSPSPMQRRSPVMRGSSPSPVRRPPTSVRHRSDSPMQSSSPIRRRYGSRSPRRRSPSPLRRRSSVSGKKRPPSPSPRRSLSPDEWSSKSPIRHVSPSPVRKISPRRQRNSPVRMQERLSPKIHQSSRPLLSGQRDKDRKASNLRSQDSMSTPEKSPIRSVSPQARARNEDRSPRKSPRQRRERLTSERGSSPPKKPRTQKPSHDSPEKSEGAEETYYYRENRDLKTTSSLKKSNYSSPVSKLKDSPAKFCHEDEFSPERSAGHLASEYRHYDDTDWRLKNREIKGDRTSGKGDESPAQQKSPMNKEILSSKKPRESHAVDIKKSDDKDHSHSNYVKNSDRGHRSEASQDLVEKVDRINHDASYDFVSKESDKHKKDRRKHRRSEKKIVSSDEDYSSNSELEDRKEAKRKKKEKQQRKEVKREEKRRRHEERRRRRKERHAEKLNVKSKSDYISEDEEVERGNRSDNEETLSEEKKLEIELRKKALESLKAKRGMDN >OIW05616 pep chromosome:LupAngTanjil_v1.0:LG08:24958901:24962639:-1 gene:TanjilG_23402 transcript:OIW05616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRKLVRHASSISNLYFPSTPLSLSFSNRFSSSSTSSSSTSPFVANNSDSETPISSISIDRSSLYNPPGHSHQPHSDSELSKHLKGIIKFRGGPISVGEYMSQVLTNPKSGYYINRDVFGAQGDFITSPEVSQMFGEMVGVWVMCLWEQMGQPQRLNLVELGPGRGTLMADLLRGVSKFKNVVESLNIHLVECSPALQKIQHQKLKCVDEENAAQDTDKRTLSFLVGSQIPVSWHATMEQVPSGLPTIIIGHEFFDALPVHQFQKVSRGWCEKMVDVAEDSSFRFVLSPQPTPATLYLLKRCKWAAPEEIAELNQIEVCPQAMELTETIANRISSDGGGALIIDYGFNEVISDSLQAIRKHKFVDLLDDPGSADLSAYVDFPSIRHSAEEASGEVSVHGPITQSQFLGSLGINYRIEALLQNCTEEQAESLRTGYWRLVGDGEAPFWEGPDECVPIGMGTRYKVHVTLEGPIDGWRFQLVDVSISSYSISGWRFRL >OIW06416 pep chromosome:LupAngTanjil_v1.0:LG08:12811667:12815575:-1 gene:TanjilG_06147 transcript:OIW06416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLPQSPSSETDEKIEPVSAFLHCSPQFSDASPSNINIMKSVSTCGPDACSSGSSFDDFPNYRAAVEVTSNVHSLKIGSTNINVCSSINGQGVHVPASRVVGFESGRTSTLTDETIGVSASNFDSSVFTNVAAKNTGPASSMVRKRLLSPLSSMLSPSHFNGDPLDISWENNKTSFLVKNDNSRNSVAQDNKKANIGCKDSCTMPSCSWSNICLERENESSSNESFFLTDGPVLENGGLLSHSMQSSCLPTAGIDQFSGSSVLRFKSDTISISPKKTSSPLSLSPLGPKFSERIKTAGGCRNATEIDNYNRALRSIENSVRRSNSCFVNQKEDNFRISSKSFEDAELVSEDFCPSLLEDISDISWPLSQESPPTSHSMRFTRSLSGLPVRRSLVGSFEESLLSGRFLCGNLSKKIDGFLAVLSITGGNFSPKSQKLPFSVTSVDGDRYQLYFASIDLVGNSSSNKSRGQKLKRSFSNDDPQIVKSRVRVPMKGRVQLVLSNPEKTPIHTLFCNYDLSNMPAGTKTFLRQKITLESSSSTSRNLKQGSTVVDNVTIDKGIAAIQNNRDILCSGEVMHTDSINDVNETKFMNQRKGNSSYLMDSVNQKDPSKQTHMKMLKIPSLVKHDKILPSDECERNERKECVDKTCDESGKRLHSCSKVNENSTGGGPLRYALHLRFICLLPKKTIRSVQKCRSSSLPEKSGFDMDGERRFYLYNDMRVVFPQRHSDADEGKVCPCVVAL >OIW05751 pep chromosome:LupAngTanjil_v1.0:LG08:24035123:24036580:-1 gene:TanjilG_23537 transcript:OIW05751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWKRSHVSLTMFAMLAGLFSHNNLVICSTSVEDQKNYYYPDPNAGTPPSHGTPSTPSGGNCGSPPPPPPRDPSTPTTPSNPPSGGGGGYYNSPPSPPYGGGSPPTPTIPIDPGTPNIPSPPFFPSPSPFTGTCNYWSSHPRMIWGLLGWWGTLGNAFGFPGSGSGLTLPQALSNTRTDGVGALYREGTASYLNSLVNNKFPYTTNQVRDRFVASLSSNKAATTQAKLFKMANEASSMK >OIW06015 pep chromosome:LupAngTanjil_v1.0:LG08:21939561:21941648:1 gene:TanjilG_11702 transcript:OIW06015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLYSFFFSLLILHTNLLSSVSATEFVYNTDFNNTNILLHGNATIQDSILTLTNQTYFSIGRAFYPYKIPMISANSSTHLPFATSFIFSIAPVKDLPTAHGFAFMFLPVMDITGTLSGNYLGLINRSTLGNSSNHVFAIEFDDFRNEEFNEINDNHVGVDLNSMISLYSEPAGFWVGNGRGSEKLEELELNNGQNYQVWIELLNSQINVTMAPAGKKKPPKPLISKPVNLSGVLLDEMYVGFSGGTGRMVDICRILAWSFSNANFSIGDALATKNLPLFVHSKRSRFKSKGFIIGIILSALFVTGCGATMCLPRTKREQKEEDVEDWELEYWPHRISYREISAATRGFSEENVIGIGTNGQVYKGVLKGEVVAVKRIHHDTQNRMREFLAEISSIGRMKHRNLVGLRGWCKRRKGYLMLVYDYMENGSLDKRTFGCEESMLLSWERRIRILQNVAYGVLYLHEGWEVKVLHRDIKAANVLLDKDMNARLGDFGLAKLHNKEYLPETTRVIGTQGYIAPELVRTGRPSTATDVYSFGILILEVVCGRRPIVADKPPLTDWLLSLMDSNELYRAIDGRLKAQNGCTIDIEEAERVLHLGLLCTASDPGVRPIMRQVVKVLKGIEDCREECMHVSLFQQVKSAVMCSRSATNSPYGSYSTFEEILQTKIYPTISISGSYTVSQLESESVHLRKQVATV >OIW07170 pep chromosome:LupAngTanjil_v1.0:LG08:4604662:4605910:-1 gene:TanjilG_10143 transcript:OIW07170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWAGVNKSQYELCEEIGRGRFGTIFRSFDPTTNQFYASKIIHKSLLTDSTDRECLQNEPKYLTLLSPHPNILQIYEVHEDDDVLSIVMELCQPLTLFDKIVKTNTLTEPEAASIMVQLLSAMSHCHRLGVAHRDIKPENILFDSRENVKVADFGSAEWFGEGRKMSGIVGTPYYVAPEVLLGREYDEKVDVWSCGVILYIMLVGVPPFYGDDAAQIFEAVIRGNLRFPSRLFRTVSSSAKDLLRKMICRDASTRFSAEQALRHPWILSGGERADLN >OIW06487 pep chromosome:LupAngTanjil_v1.0:LG08:12352877:12358716:-1 gene:TanjilG_05258 transcript:OIW06487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEWIVGALINLIGSIIINFGTNLLKLGHNERERQLLGSDGLNGKMPPKPIASFQTWRVGIVFFFLGNCLNFISFGYAAQSLLAALGSVQFVSNIAFAYFVLHKMVAVKVLVATAFIVLGNTFLVAFGNHQSPVYTPEQLEEKYTNTAFLLYLLALILIVAVHHSIYKRGELQLAVSGHDLRPYWNTLLPFSYAVVSGAVGSCSVLFAKSLSNLLRLAMSNGYHLHSLFTYCMVLLFFSTAGFWMTRLNEGLSLFDAILIVPMFQIAWTLFSICTGFIYFQEYQVFDAVRTTMFILGMMCVFIGISLLAPDESKGADTKDSSSDSLVSPAISKEVNRLEVSSQDAQHKDPRSFVKGLLMKITDMLVKAKNSCALHLGFGEDTINASSVLVMPMMSSRMNGFRGSGLERARILSMRNASGWSKIPVDEDAGKLLETSPLVPPSP >OIW05529 pep chromosome:LupAngTanjil_v1.0:LG08:25484640:25497812:-1 gene:TanjilG_23315 transcript:OIW05529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLQPHTPGGQVPNQAGSQLPGLSQLNGNVLPPQMPNLGGILHSAINMDPEFLMSRSSMQERICDIILQRQQQPVTEVHRRKVRDLAKRLEEGMLKSARSKEDYMNLETLETRLSNFLRRASMNNHNQQYPQLVGSSPIGTMIPTPGMLHLPNSSMVVTSSMNASMIATSGCNSLVSSSFNSVSMLPAGGMFSSSLNSSDGLSNGYQQSSTSFSVGSGANMSSTGVQRVGSQMIPTPGFNVSSNHSHMTIDSSTNSSAFSGVDSTMVSQPQLQQKQQHVGGQNSHVLQNLGSQMASGMRSGLMQKPFASSNGAINGGLSLIGNNAANEPSTSDGYASTYVNSPKHLQQNFDQNQQTVVHGDGYGLSNVDTFASGNFYASATSSGSMMNTQNMNSVKLPSIPKTNSMISGHSNLHGMHQAANVKSQAINPSEKLNFQSSLTSRDDLLHFQQQYQQRPQQFQQPEQYAQQQFQLKVQSQQRQHLVNSDAFSQSQMSANLESRVKSEPGVEQQKEVLNSNVPEQFHMSEMQSQFQQNSSEDCSRGAQHRSFPSGQNDMSLSTPQNSQQMLHPLQLVAESRNNFSSLTIGAQSKSVVPNQWPQSQDGNHIPGNISHDQHLQMDFHRSIPGQGEAQCNNLSSDASIIGQAAASKGSAELLDLGSAIKNAHRNQQRWLLFLLHARRCSAPEGRCQERCCSLAQKLCKHIDGCTMRHCPYPRCHHTRVLLHHFINCKDPCCPVCVFVRNYRRTFQLKPQIRPEAESSLPIAVNGSCKSHNIVSPSPRLISKPPLVVETSEDRHSSLKRIKVEHSTHSVNPGNDNSASSFSANCESHVARDSQSQAYPSAEMSISIKPALPEVKAEGQAHLVDIKLSEMDMDNNNAEKKMSGGEPVTYNESANLARTESIKNEETGQGKQENAVQPSENVAGTKSGKPKIKGVSLTELFTPEQVRGHITGLRQWVGQSKSKVEKNQAMEHSMSENSCQLCAVEKLTFEPPPIYCTTCGARIKRNNMYYTMGAGDTRHYFCIPCYNDARSENINVDGTPIPKSRLEKKKNDEETEEWWVQCDKCEAWQHQICALFNGRRNDGGQAEYTCPNCYIEEVERGERKPLPQSAVLGAKDLPRTILSDQIEQRLYRRLRQERQERARVQGKSYDEVPGADSLVVRVVSSVDKKLEVKQRFLEIFQEENYPIEFPYKSKVVLLFQKIEGVEVCLFGMYVQEFGSEAQFPNQRRVYLSYLDSVKYFRPEVKAVTGEALRTFVYHEILIGYLEYCKKRGFTSCYIWACPPLKGEDYILYCHPEIQKTPKSDKLREWYLAMLRKAAKENIVVDLTNLYDHFFVSTGECRSKVTAARLPYFDGDYWPGAAEDLIYQLRQEEDGRKQNKKGTTKKTITKRALKASGQSDLSGNASKDLLLMHKLGETISPMKEDFIMVHLQHACTHCCILMVSGNRWVCNQCKNFQLCDKCYEAELKREERERHPINQREKHTLYRVEITDVPADTKDKDDILESEFFDTRQAFLSLCQGNHYQYDTLRRAKHSSMMVLYHLHNPTAPAFVTTCNICYLDIETGQGWRCEVCPEYDVCNACYQKDGGIDHPHKLTNHPSMADRDAQNKEARQLRVLQLRKMLDLLVHASQCRSAHCQYPNCRKVKGLFRHGMHCKTRASGGCVLCKKMWYLLQLHARACKESQCHVPRCRDLKEHLRRLQQQSDSRRRAAVMEMMRQRAAEVANSAG >OIW06122 pep chromosome:LupAngTanjil_v1.0:LG08:21121644:21127365:1 gene:TanjilG_29878 transcript:OIW06122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAIFCATITYPLHRIRACTVRCVSQVSLPVNVNNNNIHHSIPNPFVPQVVEAVDSLYSEFRAVDNLVACNTTRVLKAFQNARVGSHHFGGCTGYGHDEAGGREALDQAFAEIVGAESAIVRSQFFSGTHAITCALFALLRPGDELLAVAGAPYDTLEEVIGKRDSGGLGSLQDFGVKYREVPLAEDGGLDWNALMVAVKPKTKCALIQRSCGYSWRQSLSVSDIGKAIKIIKMQNPGCLVMVDNCYGEFVESIEPPMVGADLIAGSLIKNPGGTIAPCGGYVAGRKKWVEAAAARLSAPGLGVDCGATPGDIMRAFFQGLFLSPQMVGEATKGSLLIAEVLASQGYKVQPLPRVPRSDTVQAVQLGSRERLLAFCEAVQRSSPVGSYTKPVAGTTPGYASEVIFADGTFIDGSTSELSCDGPLREPFAVFCQGGTHWTQWGLVLGEVLKSIDGI >OIW06663 pep chromosome:LupAngTanjil_v1.0:LG08:10299728:10301448:-1 gene:TanjilG_04057 transcript:OIW06663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEASSREVTRIMVGVNESSIKGYPNPSISSKGAFEWTIQKIVRHNVNAFDLLFLHVQVPDEDGFDDMDSIYASPEDFKNLNQRNRISGTHLLEYFVNRCHEIGVRCQAWTKLGDPKEVICHEVKRLQPDFLVVGSRGLGPFQKVFVGTVSEFCVKHCECPVITIKRKANETPQDPVDD >OIW06277 pep chromosome:LupAngTanjil_v1.0:LG08:16705028:16730340:-1 gene:TanjilG_19715 transcript:OIW06277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKPDRENDIKFKSFIKTSKGVVDDKTGAISYSNEDPERADRALGAARPWVNPSVNMHLYERSHRDASNDPVLEKIIGASYGGNECSSDLSRILGSGIGRTGGRVTELGHYRSWFKAGGVAGTTSVKRNGFSLKHNFSNTKADLQLTQHKPSTQNCLMSSSCKHSEEEEYMWDDMNSRLAGHGAPKFTNNGSKYPWTGNDENLEVEDHLQIQYPLRANVDMEVPTESLAIERRQLPAFWLHPLLSLQRQEHHSIDELNRKPHHSEGFVSTFADHPSEHDCSDSVDTCSKEKDSCQEDVMVPEDENQCLCVLCGDLFEAFYSQENDERMFKGAIYMTNSDSNSDLGIGNKSTASSPIIHARCLS >OIW07237 pep chromosome:LupAngTanjil_v1.0:LG08:3144592:3148495:-1 gene:TanjilG_08352 transcript:OIW07237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLPGLLPFPKSWKNPSPRMSFQYLHSSSLTLSTKSMSLSNHVSPSSIHQSSIHSKTVEAVESSSELVHFPLLVHTNYTACTIPWRFPSDDMNITTPTELSWIILLQSLIPSYKKRAERDTSVPDAANKARKFAQRYGEILESLKIDPTCQGGPLDIILLCKLREQVLREVGFKDIFKKVKEEENEKAISLFDNVVRLNDTIEDEGKRLESLVRGMFAGNVFDLGSAQLAEVFSREGMSFSATCQNLVPRPWVIDDLDTFQLKWTNKSWKKASGIICYQVIIFVDNSGADIILGILPFARELLRRGSQVVLAANDLPSINDVTYSELIEIISKLKDEEGCIMGVSTSNLSVANSGNDLPVIDLKRVSQEVAYLANDADLVILEGMGRGIETNLYAQFKCDSLNIGMVKHVEVAQFLGSRMYDCVFKYVEV >OIW06787 pep chromosome:LupAngTanjil_v1.0:LG08:8665131:8670500:1 gene:TanjilG_11512 transcript:OIW06787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGRTSTQHRNQSSEDYDGCVDEVNDVGVHCPACSKPIAKESDSLFSYSNLSPKRARIKMKSCCMSKSLQCSQRMSVAVPNTYQCSNDIGEKLTEHNGIKVTKLNKKWTTSQPMKVVMNKERGTHAEEYENVGRCELSLSLPLPNPSPKGSNVSSASEISEAITSCPGFTNFKDCSSSSTVKDKINLDLSLALCAN >OIW06178 pep chromosome:LupAngTanjil_v1.0:LG08:19107330:19115566:-1 gene:TanjilG_15062 transcript:OIW06178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKNNNKTKENGKEHSNLTIFVSNLPYSFSNTQLEETFSEVGPVRRCFMVTQKGSAQHRGFGYVQLICDLDFSSLELIAAVFSAVEEDAIRAIELKNGSPVGGRKIAVKHAMPRPTREERQSKPNQAGKTDDHTESKSNDKDGTSSEADKPVSVSDKPISVSDKPISVSKEEVQVSNKQRISKKPMEVRKADLCNDIPDEGGCSEKQRVARTVIFGGLKNSDMAEDVHRQAKEIGTVCSIKYPLPRKDLVHGLLQDGCVLEASAVLYTSVKLARAAVASLHKKEIGGGTVWARQLGGEGSKTQKWKLIVRNLPFKASEDEIRNIFASAGYVWDVFIPRKSDTGLSKGFAFVKFTCKQDAENAIQKRNGFTFSKRLIAVDWAVPKKIFNSDANAALASEEGQENVNDEDGSATESDGDDSDIDSSSAMEEDGAPSEINFEKEADISRKILNNLITSSTKGTSVNNDSVLRGNEEPKSNEIVKDADNKASDETEKVSDVSKPEISDKSKLSNPKQAEEADLQRTVFINNLPFECDNEEVKQRFSGFGEVEYFAPVLHQVTKRPRGTGFLKFKTVEAADAAISAASAASSVGILLKGRPLKVLKALDKKSAQDKEVEKAKNEVQDHRNLYLAKEGLILEGTPAAEGVSSSDMLKRQELERKKKTKLQSPNFHVSTTRLIIYNLPKTMTEKQLKKLCIDAVKSKATKQKPLIRQIKFLKDGRKGNAVPERYSRGVAFVEFSEHQHALVALRVLNNNPETFSPEHRPIVEFALDNVQTLKLRKEKLQYQQQAPHADNDGKEDGELGKELGHVHTKDRKRKSQEHGKSAAKESAVNTNSEPGGTVASEKSPEGHKFKRQKDNRKNRSAEESSLKQNSDALSRKPRNEKGDRNHGNTSHEAQNTTIDTNRIKAGNKVDVGFRKRKMQNQEEPVQDVSRKRSKKKKAPVGKEAVDKLDMLIEQYRSKFSHKGSQGNDGDKKPSKQLRKWFES >OIW05766 pep chromosome:LupAngTanjil_v1.0:LG08:23939638:23940266:-1 gene:TanjilG_23552 transcript:OIW05766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTATGKSKKGAGGRKGGGPRKKSVTRSVKAGLQFPVGRIGRYLKKGRYAQRVGTGAPVYLAAVLEYLAAEVLELAGNAARDNKKNRIIPRHLLLAVRNDEELGKLLAGVTIAHGGVLPNINPILLPKKNEKAASTKEPKSPSKATKSPKKA >OIW06889 pep chromosome:LupAngTanjil_v1.0:LG08:7555759:7556454:-1 gene:TanjilG_19538 transcript:OIW06889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRVLRTLEVWKLGVVNYLDALKLQEKLVLDRKSNRICDTLLSLQHPPTYTVGKRQTVHNLLIPQSDLEQIGAELHYTQRGGDITFHGPHQAILYPIISLRDVGVGARRYVEKIELTMIELAALYGVKACPGQTGETGVWVGDRKIGAIGVRISSGITSHGMAFNIDPDLSYFRHIVPCGITDKEVTSLRRETDLELPAEEIVQEQLISCFARNFGYSNLIWKEDASITTE >OIW05536 pep chromosome:LupAngTanjil_v1.0:LG08:25455735:25459097:1 gene:TanjilG_23322 transcript:OIW05536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLLTLYSIFQPALSLPPTLETKTLLHFKNHLKDSLNSLASWNESNSPCEFYGITCDPFTLKVTEISLENKSLSGEIFPSISVLKSLQVLSLPSNSIYGRLPSDISNCTNLRVLNLTGNQMFGRIPDLSALRSLHVLDLSANYFCGSIPSWVGNLTELISLGLGENEYTEGNVPVTLGNLKNLTWLYLGGSHLIGEIPESIYEMEALETLDLSRNKISGKLSRSIFKLEKLYKIELFANNLTGEIPAELANLTNLHEIDISANNMHGALPEQIGNMKNLVVFQLYKNSFYGELPAGFGDMQHLVGFSIYENNFSGMIPENFGRFSPLESIDISENQFSGDFPKFLCESRKLRFLLALQNNFSGNFPESYVTCKSLERFRISRNRLSGRIPNEVWALPLVKIIDLAYNDFSGEVSSDIGFSTNLNELILVKNMFSGKLPSDIGKLVNLEKLYLSNNNFYGEIPSEIKSLKQLSSLHVEQNSLGGSIPTELGHCERLVDLNLAWNSLSGNIPQSVSLMSSLNSLNLSGNKLTGSIPDYLVTMKLSSVDFSENLFSGRIPSDLFIISGEKAFVGNKGLCVEENLQPSMNSDLKICAKSHGQKRGFADKIVLLSFIASIFALILAVFLLLSCRHNLKQHGVKKNLQGQNEASKKWKLASFDRIDIDADEICNLDEDNVIGSGGTGKVYRVELKKNRGMVAVKQLGKGDGVNILAAEMEILGKIRHRNILKLYACLLRGESNFLVLEYMPNGNLFEALHRQIIKDGKAELDWNKRYKIALGAAKGIAYLHHDCSPPIIHRDIKSSNILLDEDYEPKIADFGVARFAEKSNNNLDYSCLAGTHGYIAPELAYTTNITEKSDVYSFGVVLLELVSGREAVGEDYGEAKDIVYWVLTHLNDGESSILSIVDEKMVYECVKDVIKVLKIAIKCTTKLPSLRPAMRGVVKMLIDAQPCSFKFTYNNLAKDGGHPLL >OIW06583 pep chromosome:LupAngTanjil_v1.0:LG08:9743480:9744770:-1 gene:TanjilG_03977 transcript:OIW06583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCFITVAHQRGSGKLTEEHGSDEEEEEGDLSAIVSGMTRVVSTPSASAESSSIVIGSGSPMMSFYSSSPSLSCSVGHKRGREHENIIPQGESSSVREEGGSEERRRYRGVRQRPWGKWAAEIRDPHKAARVWLGTFETAEAAARAYDEAALRFRGNRAKLNFPENVRAMPPPPPPPPPPPTHLSSSSFMQMAAPPPLHGSNDLMRDYWQYSQLLKASGDYHGLDQWFYDSHMATLQSSSSSPSSQMSFSASFPTMFSSQQMGLFRPPEDHSHGGSDGSGGGSDYIPSTTTTTWSNISGHPPPRG >OIW07313 pep chromosome:LupAngTanjil_v1.0:LG08:1966429:1967304:1 gene:TanjilG_11947 transcript:OIW07313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQVGVEGYYYYNCLGSDFVVRHMAEEDESRAHSSTTHKEVEGDLQAGSTSKEEEKANTNINNNSSNWLQLSIGSCHQSSATVVTTTNNHQVYQGGYHQMAPITGSGLVELDLLPSNKSHNINWPSSSRPGLESPTTFPGRSYHGGSSFGAPLLFEQTSMSMSMSIPSGPFSAQPFGNIQQQQQYQPQEMLNWQFRPLPHSMSIMPSSSSVTTSSSYSLQSSSSLRPFSPLGSYYQTPFHHFPSSSSSSSGFDQFDHVAGTSSDVTTVRVVDPPRRPHSGIWFMLQASQNQ >OIW06738 pep chromosome:LupAngTanjil_v1.0:LG08:9048876:9049457:1 gene:TanjilG_11463 transcript:OIW06738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLVLVEQHKNQYYNSRSKPRGQGRFGSSPSKDLRGINCRTFQTRTGILPIPLRSPSSPKTPSPTRNSKTDNRTYGKTTPMTAPIPINAKALRNETTFTDDALFSELWAGPTYSNSPPPSSLPIPKFSVRPKRTVSLELPGPSSPEIEMRPVAKSAPPSPSRDHSSTRHLFVNADSATKTLRRILNLNINDE >OIW06878 pep chromosome:LupAngTanjil_v1.0:LG08:7482438:7484408:-1 gene:TanjilG_19527 transcript:OIW06878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSASELFHTRRYHRFGVLPNADLDLTFHSSTSPNLHHHLRRHHHRSAHTEHAADRLVHRNLRLVVDDSDDSAENGRGISVNVSDRLPVEVLLARERLLERLRGDPVSTYRQHGRDSMVGDQESEVSNDVPTRGSLVTDLTSQIRRRSQLLQELDRKPPGLTQEDINSLYLEVFSSRA >OIW05700 pep chromosome:LupAngTanjil_v1.0:LG08:24397618:24399590:-1 gene:TanjilG_23486 transcript:OIW05700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLVGHIIPALALILLGLWHTINTIKSYLVKGPANFTVRFWYQFNTPLSRLKHLELVSILSFSVLAIFMQVLDFPHFQYAFKLDNFEHATMFIHLALFAGFSLSAELSDSLDLFSGFVGTLVSSVFSQELFLLHFHSTDHVGLEGHYHWLLQLIVLVSLVAAVAATAFPNNFTAALVLAITVIFQGCWFLNMGFALWFPAFVPEGCAMNLAKASGNDMLGAVTCSSKEAEFRARGLANLQFSWILSAILIFAGIVCLKLSRKCTIIERLEYERLQVKGADSTMADEVDEILTQQPLPPMLPTVELPTTVIVAEDIIAEAVAIVL >OIW06581 pep chromosome:LupAngTanjil_v1.0:LG08:9733389:9734199:1 gene:TanjilG_03975 transcript:OIW06581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFAAEGGGFFSSSASGYSKGLSLLLLGQRSEDKPMRVAPWNHYQLVNQESDPELQLDSTKNRLSRGCASFVCFGRTSAGSDIPSPLKVGPAQQQDSPKPQVSNSGKDPSAHVDGDNDNRRLVLKSSLKRPPVNKSVPFEAANEHEPSGGKGIDATGGQTERRKVQWTDVCGSELVEIREFEPSTLAWGSLQ >OIW06228 pep chromosome:LupAngTanjil_v1.0:LG08:18457446:18457951:-1 gene:TanjilG_03853 transcript:OIW06228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGIDMSLFILFLLNIVITFSSLLLLPLFDAGQKEVDVVGKYKAEIEEGLGQGLVSELMMRSHYVVVQYVVAAVGEELPPDSDIVGTKEIDHVKMVETVLVSVA >OIW06561 pep chromosome:LupAngTanjil_v1.0:LG08:9587110:9589329:1 gene:TanjilG_03955 transcript:OIW06561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLESCVPPGFRFHPTEEELVGYYLKRKINSLKIDLDVIVEIDLYKIEPWDIQDRCKLGYEEQNEWYFFSHKDKKYPTGTRTNRATVAGFWKATGRDKAVMSKNRIIGMRKTLVFYKGRAPNGRKSDWIMHEYRHQTSEHAPPHEEGWVVCRAFRKPTPSQRPGFEPWCNSSQHQHYFRDQSHARPLTIADILNETHNVLHSTEDTSFNSFPFSSEQQEFLPNQNVIMDHKQLIELPQLDSPTASLSATLAIKECNQLQYHNNNGLTIEEYCSDERCNNNNNGQVGGVDWKSLDNLFASQLTDSSEVYFSHQNLPLMMPHSHDLQAQNQASNILGCFPDL >OIW06508 pep chromosome:LupAngTanjil_v1.0:LG08:11291822:11293787:1 gene:TanjilG_26697 transcript:OIW06508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSIFGLRTIPSFSMKPTNTRSLFTTSLTTIFSSFTSSNPSHTKVLKLNNPLQQHSYPSLTKKFFTCRSQAETSDSAKVQELSVYEINERDRGSPAYLRLSQKNDNSLGDLVPFSNKLYSGCLEKRVGITSGICILIQNKAEKKGDKYEAIYSFHFGNYGHISVQGSYHTYEDTYLAVTGGTGIFEGVYGQVKLHQIIFPFKLFYTFYLKGIKDLPKELLAKPVEPSPSVEPSPASKACESHAVISGSID >OIW07230 pep chromosome:LupAngTanjil_v1.0:LG08:3248915:3249550:-1 gene:TanjilG_08345 transcript:OIW07230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFDPTFLDKLYDLPGVFSENTTNSKIPIMVPLQQNSTLLPPPSNNNLYSENNFHNFQHHHSFNMIQTNSSTSESYANWSQRNLVNSNYSTEFAPNNNKMEATHGYFNKCKGIWDSPREIPMPYDAISQPRVGPSLPPTLHVYESMVVNYRPQEKLSHITGAGNGCQETDQNIVIGDDKGKRKMGQRNNVEIVHKITNTIKGHWTPDEDMY >OIW07323 pep chromosome:LupAngTanjil_v1.0:LG08:2150016:2154336:1 gene:TanjilG_11957 transcript:OIW07323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRGVSRKLSKPLIGEDGRIYGCSGNNFFAFENNGTIAWSLHLDYQCNLSMAPVHGGYGKIYLVAENRILMINFGSTQTSEPVAEIFFGPEHGENREIVIIGVSVSTLSSTVFINMKNRGLFAYRYNGSLRWSVGPVLYHYSYRQGCKKNLTDCYFASVPMLDQCEASIYIDMEGKVSHSISDYTIVSAIRPKAALFTMLVPATGSMYWFESYTGQFLTSLSKSDLSKFVVDEEIIVAFLVASMVLAALVRFCFTFWTKKKLQAQGIGSFLDKRRSLRHRKKAFDRTITELEQKASKEEVGNEVFEKLGDIVRERECIERKLSSMYSLGRDKTHSQSKSLLPLHKGKTKAKRYSFKDANESVAIFHTVSDTCSSESSIEEEIRMVEGMDLSTKAKGKKPMVEDSSSSDI >OIW06661 pep chromosome:LupAngTanjil_v1.0:LG08:10285800:10286163:1 gene:TanjilG_04055 transcript:OIW06661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKRPYNSSQDPEVRKGPWTMEEDLILINYITNHGEGVWNSLAKSAGLKRTGKSCRLRWLNYLRPDVRRGNITPEEQLLIMELHAKWGNR >OIW06613 pep chromosome:LupAngTanjil_v1.0:LG08:9953568:9959501:-1 gene:TanjilG_04007 transcript:OIW06613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVGAAAMDDTVYVAVGNNVNKTKQLLYWTIHTFSARPICLLHVHQPYSTNSFTDRNLSAIEPSDHAIKAFQEQGSKQVHELLDQYIFILKKLKVKAKVLLIDKDDIEKGIAEAIAQHNIRWLVMGEAADKSNSGELVEQESEKAIIVREQAFLSCNIWLICKGEPTRTRVDGEHTSEVETAPQLSVLNSKTEAIQSEMINSELNPNALKYSDSGDTEETGNVSSQCSLQSKWSLSSFVRRSKLTDFLFHEDKELESLCAKEISRRKEVEEQIVRVKQQVQEMKNQQDEIMHELQMVQDRKSTLKNQLSESQCTVTELEEKIISAVDLLISFREKRDMLRIEHKNAVRKVKVLKEFREADTRLSYRVEFPAFSFIEINEATNDLDPSWKIGEGRYGSVYKGLLCNMHVAIKMLPSYGSQSQLEFQHQVEILSRVRHPNLLTPIGSCVESRSLVYEYLNNGSLESHLVRKDKTSLPWQIRVSIAANICSALIFLHCNDPCIIHGNLKPSKVLLDANFVAKLSDVGIPSLFQCSVDSAVTTTACRNKNESMAYVDPEYLVTSKLTPESDVYSFGVILLQLLTGRPLFGLVRDMKCALEKDNLKSVLDFSAGEWPLYQTKQLACLALRCCEKTWLNRPDLVSEIWSVLEPFRTTCINMPPYLASKKPHHPPPPHFLCPIVQEVMEEPYIAADGYTYEAEAIKEWLNSGHNTSPMTNLKLEHTDLVPNYVLHNAIQEWQQLI >OIW05708 pep chromosome:LupAngTanjil_v1.0:LG08:24354325:24356815:-1 gene:TanjilG_23494 transcript:OIW05708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNSSLNNENSVPGPSFATSNNSYGSLSVWTPEEQRILDEGLIKYAYEEKLVQYYSRIANDLQYKSVYDVARRVKFMNERQNREKRKGDHDLIRENQGGLDAFQFLPQENVWDLAAMPSCSVAQPNVSPYEPATITMGNGDGISTHCPTDELLEQNVKILCQISANIDALQLQENVPLFCEVKDNIMKLLNVQVIKSFENLPDAMKKVPPFNFSIDEAEVNSILLQTIFPTQK >OIW06879 pep chromosome:LupAngTanjil_v1.0:LG08:7488682:7491611:1 gene:TanjilG_19528 transcript:OIW06879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSSFFCSSSSSSSSSATAAATSISKVGFVGWYLGMIKSWPILTKSFTSAFIYTAADLSSQTIVRQSSEPVDFVRTLRMAGYGMVILGPSLHFWFNFVSKLLPRRDLFSTLKKMVMGQTLYGPAITVIFFSFNARMQGETSTEIVSRLKRDLLPTMLSGVMYWPICDFITFRFVPVQLQPLVSNSFSYLWTIYLTYMANLEKAEIVNC >OIW06704 pep chromosome:LupAngTanjil_v1.0:LG08:10647241:10649492:-1 gene:TanjilG_04098 transcript:OIW06704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAMYTDEANVLLAQIIVLQSLIWYNLLLFLLELHAAIPERTMPETTPSQGTGEMERSQEVESKEEEEEESEHRRTDMKVKILLIFMTVGKKLLKNPNTYGTLIGLIWASIHFRWGVHMPEVVNHSIEILSNGGLGMATFSLGLFMATQSSIIACGTKMTMVALALKFLVGPALMALASIIIGLRDTMFQVAIVQAALPQGIVPFVFAKEYNVHPAILSTGVLLGMLIALPVTLTYYVILEVGHAT >OIW05923 pep chromosome:LupAngTanjil_v1.0:LG08:22257024:22257363:-1 gene:TanjilG_07199 transcript:OIW05923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKLVVLILLLLAQKMLILEGMTHKSEAPVSNGVEHHNEKSDRIAEHHPVSGGNKKSSQQEGKSEAPQSPRVGKHHSVDKSVAGKGII >OIW07286 pep chromosome:LupAngTanjil_v1.0:LG08:1557428:1572050:1 gene:TanjilG_11920 transcript:OIW07286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSETATETPNPQPSTLPSKSREEGELSSSSSDYGGGKEKNPGSSTVQLAPAVGAVHLVQPSTLGVHGGSNNIQLQTTRQSASKMSIKENQLPPKSSPWTVHIGELSSSSDDGQVKPDSSIVQPAAPAIESSAVHLLQKSTQGIQGDSINIQLQRTRQSNLRVNLKKSNLPPKSSPWTGHVGSDENLVISFSDDDSGSDLEPKRNASRLGSNTKRPSSSLEKSNKLEQSARNAPKAMPKKLSLSRTFISSTFKVGGSNMKGVGSMPLGQGSRARNFNPINKKLASQEHGRDQGVVSDNKLQDLRHKIALRETELKLKAAHQVKESTSIPGRDHNATKLKNDAGRKYTPVSSEAAQLEPKEPDTKRVKLNPSYGTPQAVGGQQEVAATKCIPISKDSTLDNCYPQEKNKVNHSQKDIPLGRRESTIIRSQRQPDKQVNYSLQSMPCRSTDSDINYDGNQTEKSDRLLDPSIALNKNTLPANMTSNNVPKDLEELSNAVRSNHNGNANILEHSSIDLQSFFGMEELIDKELEEAQEHRHKCEIEERNALKVYLKAQRSLLQASVRCTDLYHKRELYASKLRSLILNNSSLSWTSGQQQHLEMGQDYLPRHGYEIPTSSSQRQAEYNDINNPSFDSNNQGINNGYSNTLYHRMNGTNLGSEPCIEPDASTSEPLLQRSNAADGGYSPSDELGMSGNENEEMSPAGHVSTHLDVENLRNKDSKMKLMDIDIASNGKGSTDSLQDPLQLEAKLRSELFARIGTRAMKSRNPCSNTEPAVERGAENEVGSEKSQVPHCVVPLSRAEENYLKGIERHERSICLDSKEMQTQHNIGGNLLNPNCSAVSGDQDDMPHQDPYSTNTINVSPLVYRSAYSQLREMLPFNSSQFLSKNNFIHANDGENENATCLASDETKWNNMLAIPMPVTVGNLLSEESSYSCSPAVDPFWPLCMFELRGKCNNDECPWQHVKDYGDGNICQRQQTNSNDPDCEGRLQMHQQNCNGAVKVPKCRTTTILPTYLVGLDTLKGDQFGYQPVVALGNPQGWQKCFSITLATSNLLRNGSPNDGPLLDVGAERIEVHGAWGKQLSSFQWRSAAGNQIKQAIGDGEQAVEMALLIVNQEINTLQGVRKALFVLSKALETDPTSVVLWVVYLLICYANLKPNEKDDIFFFAVKNCEESYVLWLMYINSRKRIDDQLAAYDAALSVLCQHASAASKDRMHESACILDLLLQMMDCLCMSGNVDKAIERSYGVFASKTKSDEPHHLPLSDILNCLTISDKCVFWICCVYLVIYRKLPEAVLRKFEHEKDLLDIEWPFVSLSDDEKEMAFKLVETAVESVDSYVYNESVKSEANLRSAQLFALNHIRSMVALGNLDCLRNLLDKYVKLYPSCIELVLVSARIKNHEIGVDSFMGFEEAINMWPKEVPGIQCIWNQYIENAIQNQKFDFAKEITARWFHTVWQKQDLQNRGMDGTAGNSCGSLGLNSKSLPDTSSSELHQIDVVFGFLNLSLYRIFQNDKTEACIAVDKARNAAMFGGLEQCLTKHIMFLICDSMSLKEDGAIKKVLEVYTDISSKASLTPKVLTRKFLQNIKKPRVQNLISNIICPVSYDCSLLNLILQSRYGSSLLPQTGSDPKHLVDFVEAIMKVVPYNFQLAINVCKLLRKGYNSSDLHSDGLWFWACSTLANAILDAVPIPPEYVWVEAGEFLQNGMGIEAISERFYKRALLVYPFSIMLWKCFYKLHKTIGDGKDVVEAAKEKGIDLVTDTVSGS >OIW07193 pep chromosome:LupAngTanjil_v1.0:LG08:4326581:4331830:1 gene:TanjilG_10166 transcript:OIW07193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDKVITKRKRKKNVPEKLSEQEKEARIEVLQNELNALFDYYKEVKNEKVEVELNECGSMNAVIAVLIEESEFPFSMLIDEVCEKLKNGDSGVVPVERVTHASVKNSVLSLGQRVVYGVVNEDADILEDHAESCLWCWETRDVKLIPESVRGWFIVRRICRGRIHERIMAVSEMLTSLRKPESEQNYNNGLLKASAKLGKTYSEAEIRLLVAGILQKNSVDMTMIKAKREEKLLLKQLDRNRREVEKENDNLQNELHRETLLSERDLKLLEGEASKDEKCHEKDSCKKKQKKEETEKDQRRREKEESDLKKKRSLQKQISIMERFLKRGKGTHLFLNNQFSSNSDACDSSIRKSENVCEAATLSMDSTLASSFDITFEDIRKSHFSLWHSLGQSIRSNRKQRWGLRWKPKSELFNELKLASTIGVSHYGDLAMEKHINGLGEHSYDISPSQTNADSSHSKKFFQGKQLLQFDNSPRPAFYGIWPKKSQVVGPHHPFRKDPSLDYDVNSDEEWEEVIYILQFNLKIYVTPAIL >OIW06463 pep chromosome:LupAngTanjil_v1.0:LG08:11965101:11966822:1 gene:TanjilG_05234 transcript:OIW06463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSYYFNNGAMIRSSCALVPQQPLLTNPFGVEVGKSCRLRWFNQLDPRINRSAFTEDEETRLITAHSLYGNKWALISRLFPGRTDNAVKNQWHVMKARRVRDELNHYRKRNYPNFQMGLNLNLSNNATSVSTNSSIINESVSTCNNISLTASSATPIFMPFSNLDPVHNHQAYGSQMGLLGERMVQNEQVDFGNFFGAWNGVVDQPNYSDSNSEVSASESVSTKRTTNLSNSDESENIYDNIQKPFIDFLGLGDA >OIW05533 pep chromosome:LupAngTanjil_v1.0:LG08:25465459:25468709:-1 gene:TanjilG_23319 transcript:OIW05533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGTSIVMMAVIMVVKGSKQNDDDNRMTGLSLILTSLAVATVFSPSPSSSPNSNTILKDGHRVVVVEYDQDGNHNTKISISPPGHTHDHNHHASKDLICDAYGRCKHKIADAMDGAKDIMSETAHDAINMIHDKKDNIADAVGKARETMSNRVYDVQQQTKETVDKAKENAYDVQQRTKETVDKAKEKAYDVQHQTKETVDKAKEKAYDVQQQTKETVDKAKEKAYDVQQQTKETVDKAKEKGQRFKEHVVRNVSEAKDSLGVVRNNIVGSMETVMGVVNLLGLATAYGMNVWVTFISSYVLSRAMSRQSFGMVQSKIYPLYFRAMAYFIGIALLGHVFSHAKGMPHIFQAYNLLASLLTIFINSMYLEPRATKLMLERMKIEKEEGRGRDDMMSGERIGSRTREQHHHNTTADPKEPSTTTTSTQGTEHDVVRSRIMKLNEKLEKLNSYSSILNILNLMSLTWHLVYLAQRLHLTC >OIW05984 pep chromosome:LupAngTanjil_v1.0:LG08:21646254:21650107:-1 gene:TanjilG_11671 transcript:OIW05984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATPSSCSSAISAISINLANTPSRNFASTNLSFSKLNLGSARVRRCITGNTAAGALGARMVSAAPVASPVELDFETSVFKKEKVSLAGHDEYIVKGGRDLFHLLPEAFKGIKQIGVIGWGSQGPAQAQNLRDSLAEAKSDIVVKIGLRKGSHSFNEARAAGFSEENGTLGDIWETISGSDLVLLLISDAAQADNYEKIFSHMKPNSVLGLSHGFLLGHLQSSELDFPKHFSVIAVCPKGMGPSVRRLYVQGQEVNGAGINASFAVHQDVDGRATDVALGWSVALGSPFTFATTLEQEYKSDIFGERGILLGAVHGIVESLFRRYTENGMSEDLAYKNTVESITGVISKTISTKGMLAVYNALSEDEKKEFEKAYSASYYPSMEILYECYEDVASGSEIRSVVLAGRRFYEKEGLPAFPMGNIDQTRMWKVGERVRSTRPAGDLGPLYPFTAGVFVALMMAQIEVLRKKGHSYSEIINESVIESVDSLNPFMHARGVSFMVDNCSTTARLGSRKWAPRFDYILTQQALVAVDSGAPINRDLISNFLSDPVHGAIQVCAELRPTVDISVPADADFVRPELRGSGN >OIW05591 pep chromosome:LupAngTanjil_v1.0:LG08:25141504:25143316:1 gene:TanjilG_23377 transcript:OIW05591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGSGKVVCVTGASGYIGSWIVKLLLQRGYTVRATVRDPSNPKKVDHLLQLEGAKERLQLFKADLLEQGSFDSVVQGCHAVFHTASPVTVTAKDPQAELIDPALKGTLNVLNSCVKSTSLERVVLTSSIAAVVYNGRPLTPQVVVDETWFTDPDLRVESVWSPYTLSKTLAENAAWKFVKENNIDMVVINPTMVVGPLLQPELNTTSNTVLNLINGSQTFPNLSFGWINVKDVANAHIQAYEIASASGRYCLSERVIHFSDVVKILRELYPTLPIPDKCGDDNPYDPTYQISKEKAKSLGIEFIPLEVSLAEIVESLKEKKFVNF >OIW06846 pep chromosome:LupAngTanjil_v1.0:LG08:7961562:7963886:1 gene:TanjilG_18228 transcript:OIW06846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSALKLTATASIYVRAATTTTTHLHHQIGRRITPCAYTLPQSSINNNSNNNILRFPIPKPLSFSSNNKPNSNATNNPSPSLTEEDENENDPSNLLTLPTILTLTRVAAVPLLVTTFYVDGWRGTAATTTIFIAAAVTDWLDGYIARKMKLKSSFGAFLDPVADKLMVAATLILLCTRPLDVAVFGQAPWLLTVPAITIIGREITMSAVREWAASQDSKLLEAVAVNNLGKWKTATQMAALTLLLATRDWSHGGAAIVVGSGVGLLYTSAGLAIWSLVVYMRNIWKVMRR >OIW06845 pep chromosome:LupAngTanjil_v1.0:LG08:7964923:7971864:-1 gene:TanjilG_18227 transcript:OIW06845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDYTREMMDLKTLVTRTLEKKGVLAKIRAELRASVFEAIEEEDRVIEKDEGLPPALLASCNDRAKHLHASPSGRLLTALICEYLDWAQLSHTLKVYLPECNLEKDSWKAELKEFSNKNGYDLNRNGDSPLLLDVLEGFLKFENLSQARASGRRFTTSGTEPLPNSESRNMRRPSSSSVVGGLPPLGRAVPSSQGSDRRGGSSTSAYRKDEYNWRYDSDELPDEVIHASNAMENLQLDRKARNLTSSWRHAGDGSSEDDGRADHVVQEVLPPPLTSTSPPPSLFDGTTRLYISYKCPYAQRVWIARNCKGVQDKIQLVPIDLQDRPSWYKEKVYPSNKVPSLEHNNEVRGESLDLIKYIDTHFEGPSLFPSGLAKEFAEELLSYTDTFYKTVVSSFKGEETEAGTAFDYIETALSKYDDGPFFLGQFSLVDIAYAPFIERFQPFLMDVKNYDIKLGRPKLAAWIEGINNIDGYRITRSDPKELVESCKKRFLVS >OIW06766 pep chromosome:LupAngTanjil_v1.0:LG08:8846801:8847988:-1 gene:TanjilG_11491 transcript:OIW06766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKNIASSFFYLCFFIHHVVAILDPVDFLSLQSIRKDLEDMPGSNFFSSWDFTSEPCTFSGVYCDSDKVIALNLGDPRAGSPGLTGRLSNAIGNLSSLAEFTVVPGRIYGALPRSLANLKNLRFLGVNRNFISGEIPAGLGDLRHLRTIDLSYNQLTGTIPLAVGALPELTNMMLCHNHLSGSVPRFESQTLTRLDLKHNTLTGSIAPDSLPSSLQYLSLSWNKLYGPMDQLLNRMDQLNFLDLSLNQFTGPIPGRIFSFPLTNLQLERNQFSGSVEPVDEVSIPTVDLSYNRLSGNISPMLASVQNLYLNSNRFTGRVPASFVNRLLDSSIQILYLQHNYLTGIEISPTAVIPERSSLCLQYNCMVPPVDTPCPLRSGFQKTRPTAQCNQWRG >OIW06282 pep chromosome:LupAngTanjil_v1.0:LG08:16935884:16936324:-1 gene:TanjilG_19720 transcript:OIW06282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEISPKRHNGRSRATHRGGCDVRVRHTSTDKKTTRTWTRFGDGGGAICDPEGERDQQGWKKDGDQLIPGRLPATPKAPLDGGSIGQGCPDHGHGGAAMSTLLLVVSTELNDVTDGRSVFFGFQKAP >OIW06020 pep chromosome:LupAngTanjil_v1.0:LG08:21973745:21978712:-1 gene:TanjilG_11707 transcript:OIW06020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHATVGVIIRRQMLSVLGGLRWQPPYAKSIRNQLPATTPFYSFLRPNTNTLKYLPQRFNSQTCSKTYCKKSEEQVTNNNTPFSHGFNALKEECVPLESENLWSTLALYLFILHIPFSFGGLSVVALLTRESVLDPQTKALSLLVIQILELSGALVLFKYNAKPQYEFINFFKKDKLLNDRNWIVASVLGFGFLVFLIFLTSLLADSLFGSKPVNPILKEILLYSDISRVSCVLVLCIVTPLLEEVVYRGFLLTSLSSTMEWQQAVAISSIIFSAIHFSDNIFNKTEVPHGRALVEDEPLKVCRINFEIQDYTVLTSKCKKPDYSSKVCCEAFKEFACPYAEYINDMSTDCAPAMFYYINLHGDYPQGLFANNCKEGPEGLDCTSIKPVKIYHTSIYHGKRPHKKP >OIW06680 pep chromosome:LupAngTanjil_v1.0:LG08:10440465:10446520:1 gene:TanjilG_04074 transcript:OIW06680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPNSVLVTTEKSTNEFTLLQVHDSDSQMFLEKKQKAASLKQFSWFLLLKLHKVLTCLSWLNTGFKSTFALVKKRISLSGISDEDPKYRGRLYRIIMVFLALSIGALVIEIIAHFNKWNLHSMMVKPFEVQNLLHWSYMAWLSFREDYVAPFVLLVSKFCIVLFMIQSLDRLVLCLGCFWIKFKKLKPTIEEDAHDVEDPSNFPMVLVQIPMCNEREVYAQSIGAVSQLDWPKDRILIQVLDDSDDSNLQLLIKDEVSTWKDKGVNIIYRHRLIRTGYKAGNLKSAMSCDYIKDYEFVAIFDADFQPNPDFLKLTVPHFKGKPDLGLVQARWSFVNKDENLLTRLQNINLCFHFEVEQQVNGHFLNFFGFNGTAGVWRIKALEESGGWLERTTVEDMDIAVRAHLNGWKFIYLNDVKVLCELPESYEAYKKQQHRWHSGPMQLFRICLPAIITSKISKWKKANLIFLFFLLRKLILPFYSFTLFCVILPFTMFIPEAELPLWVICYVPIVMSFLNILPSPKSVPFLVPYLLFENTMSVTKFNAMVSGLFQLGSAYEWVVTKKTGRSSESDLLALVERESKCSNEDKILRRRSESGLELLGKLKEAEKHQKKKRNKLYRKELALALLLLTASARSLLSEHGVHFYFLLFQGLSFLVMGLDLIDRVEGDRERKLDIEIMNMATTLLSSSLSCLSLHSIPFSSSTTLSTSLLPFNFVPRTSFLKKARLSIVKAVEEEQEQVTIAEQTPTVVVPVSPSDTLTMFFQAEGTLNESSIPSLTKALQETDGVANLNVRVDEGLAIVELKKQTTVQATGVASSLLETIQGSGFKLQTLHLSFDDEEDAAAVVA >OIW05645 pep chromosome:LupAngTanjil_v1.0:LG08:24754387:24754989:-1 gene:TanjilG_23431 transcript:OIW05645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSERVTGKVKWFNDTKGFGFITPDDGGDELFVHQSHIKSDGFRSLAEGESVEFLIDADSDGRSKAVDVTGPDGVNVQGSRRGGGGGDGGGYGGGRGGGGYGGGGRGGGRGGRGGGGYGDGGYGGGGGGYGGGGGYGGGGGGCYSCGESGHIARECPQGGGGGGGGRYGGGSGGGGGGGGGCYSCGESGHFARDCPSGGR >OIW05959 pep chromosome:LupAngTanjil_v1.0:LG08:21319513:21321129:1 gene:TanjilG_11646 transcript:OIW05959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLISQSARPKNEVKKTKRDEPEVEDGNEADVDALKLKKKKRGEELVIKQVKEMKKLENFLFGSLYSPDEFGKDDNQVDADDTAKGSDLFFTDRSADTVLTVYKEDAADDFSEDETDLQRKPVWVDDEEEKTTVNIAKHNRLRKLRKEEDENVISGSEYVSRLRAHHIKLNPGTDWAQVDKSKADRSSDDELTDEDVADDILRTNEDLVVKSSSKLLPGHIEYSKLVDANIQDPSNGPIHSVQFHRNAQLLLTAGLDRKLRFFQIDGKRNTKIQSIFLEDCPIRKASFLPDGSQVIISGRRKFFYSFDLVKAKVDRIGPLVGREEKSLEFFEVSPDSKLIAFVGNEGYILLVSTTTKQLVGTLKMNGTIRSLAFAEDGQHLLTAGGDGQVYHWDLRTMTCLHKGIDEGCINSTALCTSPGGSHFAAGSDSGIVNVYNREEFLGGKRKPIKTIDNLTTKVDFMKFNHDSQILAICSSMKKSSLKLIHIPSYTVFSNFPSANMSLHYPRSIDFSPGGGFMAVGSAAGKVLLYKLHHYQHA >OIW06402 pep chromosome:LupAngTanjil_v1.0:LG08:13086023:13090070:-1 gene:TanjilG_16814 transcript:OIW06402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSTMEHHTTVEASLFNHTPYYCEENVYLLCKKLCSDGIANPEGSDLFVVFISNEKKQIPLWHQKASKRADGIVLWDYHVICIKINQGGDTPIVLDLDSSLPFPSPLASYVSETIRPSFQLFSDYNRLFRVVHAPVFLRCFASDRRHMKDSDGNWNEEPPLHEPIVAEDGTVHNLNEYITISSANAIIDAINSDSISSVKDAIFTQKYGVVIKENQLEELFSQISLE >OIW06643 pep chromosome:LupAngTanjil_v1.0:LG08:10123023:10126010:-1 gene:TanjilG_04037 transcript:OIW06643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGEGATPTFQSILEKPLKQLTEDDISQVTREDCRRFLKLKGMRRPSWNKSQAIQQVISLKALLEPDHDHLQPAVVLHHPPPPPPPPPPPPHPNRECATSGSVEQMTIFYCGKVNVYDGVSPDKARAIMQLAGTQLHFPQDHPLNTNAPLSPSPSPSHLPLHTTILHLPQSDKMVEYPPQYRERGSIARDTDVEGQASRKVSLQRYLEKRKDRGRFKGKKMSGITSSNLEMYLNLPEKALASNGNSSRSSTSSPPQPRLPPHLPDNQLKVALPIDLNDKDVEG >OIW05613 pep chromosome:LupAngTanjil_v1.0:LG08:24977843:24979073:1 gene:TanjilG_23399 transcript:OIW05613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCTATRKTQKAGREKLRRDRINEQFGELGNILDPDRPKNDKATILGDTIQLLNDLTSQVGKLKEEYTALNEESRELAQEKNDLREEKASLKLDIENLNNQYQQRLRTMFPWSAMDHSVMMAPPSYPYPMPVPVPPGPIPMQPYPYFANQNLAVIPNPCSTYVPYLAPNTLVEQQSTQYVSPPVHPGSRSHVSSKQDSKHKSSRESRAERSQASNEVTTDLELKTPGSSAEQDLSCGQRKSSKSSRKGNSCTEGSSLGRPSSSRSVQDSSSSSVVGSRMANE >OIW05857 pep chromosome:LupAngTanjil_v1.0:LG08:23106493:23140759:-1 gene:TanjilG_23643 transcript:OIW05857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGAGNRRWERRDNNNINKNGGSNKQKLIRSAEEELESKLGFDIFSEGDKRLGWLLNFATHFRIEVMKLINAKAKFISCLCKKVELTLQRFVIFFADTAVTYSSSEDEDTHKVYSCVDLYFVTQDGSSFKTKYRFRPYFYAATKDKMEMDVEAYLRRRYESQIADIEIIEKEDLDLKNHLSGLKKYYLKLSFDTVQQLMNVKRDLMHVVERNQAKSDAADAYESILTGRREQKPQDFLDCIIDLREYDVPYHVRFAIDNDIRSGQWYDVGVSNTGVTLEKRTDLLQRAEVRICAFDIETTKLPLKFPDADYDIIMMISYMVDGQGYLIINREISKELLQPRLTVKPPISSSLTMNGAGNRRWERRDNNNINKNGGSNKQKLIRSAEEELESKLGFDIFSEGDKRLGWLLNFATHFRIEVMKLINAKAKFISCLCKKVELTLQRFVIFFADTAVTYSSSEDEDTHKVYSCVDLYFVTQDGSSFKTKYRFRPYFYAATKDKMEMDVEAYLRRRYESQIADIEIIEKEDLDLKNHLSGLKKYYLKLSFDTVQQLMNVKRDLMHVVERNQAKSDAADAYESILTGRREQKPQDFLDCIIDLREYDVPYHVRFAIDNDIRSGQWYDVGVSNTGVTLEKRTDLLQRAEVRICAFDIETTKLPLKFPDADYDIIMMISYMVDGQGYLIINRERVGADIEDIEYTPKPEFEGCFKVTNVQNEIQLLRLWFSHMQEVKPGIYVTYNGDFFDWPFLERRAASHGFKMSDELGFQCDTNQGECRAKFACHLDCFAWVKRDSYLPQGSQGLKAVTKAKLGYDPLEVNPEDMVRFAKEKPQMMASYSVSDAVATYYLYTTYVHPFIFSLATIIPMSPDEVLRKGSGTLCEMLLMVQAYKANVICPNKHQSDPEKFYNNHLLESETYIGGHVECLETGVFRSDIPSSFTLEPSAYEQLINNLDRDLQYAIRVEGKMDLESVSNYDEVKDAIMEKLIKLRDKPIREECPLIYHLDVAAMYPNIILTNRLQNFVLKSSSDYNHVKKQIESEFVDGADDRPSKSFLDLPKSEQQLRLKERLKKYCQKAYKRVLDKPVTELREAGICMRENPFYVDTVRSFRDRRYEYKGLNKVWKGKLFEAKSSGNSMKIQEAQDMVVLYDSLQLAHKCILNSFYGYVMRKGARWYSMEMAGVVTYTGAKIIQNARLLVEKIGKPLELDTDGIWCALPGSFPENFTFKTKESKRKLTISYPCVMLNVDVAINNTNDQYQTLTDPIKKTYTTHSECSIEFEVDGPYKAMILPASKEEGILIKKRYAVFNDDGTLAELKGFEIKRRGELKLIKVFQAELFDKFLHGSTLEECYSAVASVANSWLDLLDSQGKDIADSELLDYISESSTMSKSLIDYGEKKSCAVTTARRLADFLGVEMVKDKGLRCQYIVASEPKGTPVSERAVPVAIFETDADRMKFFVRKWCKVSSDVGIRSIIDWSYYKQRLSSTIQKIITIPAAMQQVANPVPRVVHPDWLHKKVREKEDKFRQRKLVDLFPKVSWDECLKRHDDSDSIRLVRDEEIVNDLEDFGNKTKNSTFGPRPIIRHYEANNERHPLNLNCEEGFYQQQNDNNNNDQPLSQLQQNDISHEDVDRTIDYQGWLQIKKRKWKHILERRKKRRLQSSKDSDRVNDTLEQINGKNSQGRSNVSSYFRRHEVLLTQYHWQIIQLVHSSQIGQFFAWVVVDGVMLKIPVCVPRVFYLNSRSPITDEFLGKRVNKTLPHGRHSYNLYEVTINEVQYREASKKLAALLADPDVEGIYETKVPLEFKAIIQLGSVCKVDKTSKKRSLQDPWNLSELHMKTTTECVYLEKSIPFFYLYHSISEGRAIYVGYFPASKAITVVVVNPYQNKDLSPSFLERQFHDGCRALSIEAPPRNDITFKVDYVGHVKDAETVMQRTIDDHRNEHHGPMVAVIECPNVQLVKLGIRALDEFPCLSVPSNARDSQYQILGWQQVAAKIGMQRCAASAQWLNERIAISRYAHVPLGNFELDWLIFTVDTFFSRALHDNQQVLWISDDGLPDLGGISDEEICFVDEVRQPVCNFPGAYRKVSVELKIHHLAVDALLKCNQINELEGGALLGFDHEFNSGAFSVDGQNGFDEASSCAHALRVLKQLIQRCLSDAVTSGNVYADAILQNLYRWLCSPRSKLHDPALHQLLHKVMQKIFALLLAEFRKLGATIVFANFSKIIIDTGKYDLSTAKAYCDSLLRTIQSRDLFEWIELEPLQFWHSLLFMDQHNYGGIPVKLDEAINDDSQVDIISSWSIAEYLPKKIQDHFIFIVSQFLYMPWKYAQKQAAKRSSLLNDDESCTPSINIGSAEAIESEITENIKQQISSYFADKLLGIVSDIVLHMKGTNKSENDQSISSGLPQLGGDVHRGDAALEFIKHVCAVLALDQSVHHDVLVMRRNLLKYVRVKEFAPEAEFRDPCQSFILSNVICSYCSDCRDLDLCRDSALLTQEWHCAVPQCGQPYDREVMENALLQIVRQRERLYHLQDLVCVRCNQVKAAHLAQQCACGGSFRCKEGDSGFSVLQFASNKCPA >OIW06115 pep chromosome:LupAngTanjil_v1.0:LG08:20884160:20888370:-1 gene:TanjilG_29871 transcript:OIW06115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEMKENEKKYAMELEVAVRVVHVACALCGRVQEKLLATTNDLVVSKDDDSPVTVADLSVQATVSWLLSENFGVQNVTIVAEEDIQTISKDDSAGLLQAVVNTVNESLASASKYGLRSPETTLGTSDVLEAISRCNSTGGPSGRHWVLDPVDGTLGFVRGDQYAVALALIEDGKVVLGVLGCPNYPVKTEWLNYRYQYHQTTSQSSQTTPDEWGKGCVLYATRGSGEAWLQSLSSGDKMLEWPNCARLIQVSSTDDPALATLCEPVERANSNHSFTAGLAHSVGLRKQPLRVHSMVKYAAIARGDAEIFMKFAKSGYKEKIWDHAAGVVIVEEAGGVVTDAGGRPLDFSKGMYLEGLDRGIIACSGVTLHEKLIDAVYASWDSSNL >OIW05707 pep chromosome:LupAngTanjil_v1.0:LG08:24359604:24363248:1 gene:TanjilG_23493 transcript:OIW05707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPIYFQPAPQPRPHVPLQFPLLPTQSPNSCSFWENRNLSERLGELQHTLNLAKAMQKELEILEMVKDAKGDLEDVKRSLNEPYISGVLKCMEDLWVSIETQESLSVEAANSLIVKLKAQLEPFRYVADEASPWEEKSAAVRFENKVHKSKRNKLWRKKKRKRIAEMLAKEHEQFDQINREADEWRAREIAKDIANIKVEKMKEIAKLKAKEEKKKLESELELLLVVEKLQELRSMRIQKLKKQGHFFPEEDDKFIESVQAAVEAEERDALAAAETDAAKDAIATAEESRKAIQNQGKLSKGCDGDKPVEERKEQLVHSGTDEGSGALDEKKSSKIASEGQSSGVYDPLANLPIEFYHYYHGSNNDMGTLIEVRRGWDAYIRPGGSRIPGHWVQPPPPANEIYASYLVRPK >OIW06086 pep chromosome:LupAngTanjil_v1.0:LG08:20560974:20562335:1 gene:TanjilG_29842 transcript:OIW06086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSSSSFNKRVVTNGQASPMFPSTASMGRKRGSGVENPEPSSPKVTCIGQVRVKAKKRGARAKSKRRSSGVGEASFKRAEENVQVGLNPDIRRQKSHRSQSFKHQNQILKQEQDRKHRWVHFHLTICEALKDLSCLFHCTREKEEKGKDRRWMVTMEEGKRENEIKLVMGEEEEEEIEERTKHRRKHVFEDIDLNDIEKKVKNEEIPPKNALLLMRCRSDPIKVAALANKFLDPTLHKQVSMEEDRQVHENEENIELVDTKEEDKETNEVAEPIEDIAEKEKDEDEDAKGSDIVGTLTENDIVAKATEEEEEGKGSKERESRSNLDRGSGSLPECLLLMMCEPKLSIEVSKETWVCTADFVRWLPPRPAAKTGGGDRQGKKRVTVECKPPLAPIPPPVIQPGRSSCSFPEPVVGPNGDVLKRCKSEPRGSAAAKFAPEGCLWNKRKLVDRES >OIW06495 pep chromosome:LupAngTanjil_v1.0:LG08:12506830:12507006:1 gene:TanjilG_05266 transcript:OIW06495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRKERLQNPEPFMPISNNIDSVKSLKARSRALKQHQKEANMIESNMSSKIMKETLI >OIW05578 pep chromosome:LupAngTanjil_v1.0:LG08:25212306:25216820:-1 gene:TanjilG_23364 transcript:OIW05578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTYSAGFVSAIATATGLSHSNNAYSDAPFNFSSSSSNVPEHSSTSSDPNSTTSPPPKVPNTHPRTTSAGFDPEALERGVKALKEISNSPHGKKVFDIIKKQEETKTTELAAKVAEFKQMQAQHETERQRIIYDEQKKLAQHQAQTKSQMAKYEDELARKRMQAENEYHRSRNQELVKLQEESSIRQEQARRATEEQIQAQRRQTEREKAEIERETIRVRAMAEAEGRAHEAKLSEEVNRRMLVDRANAEREKWISAINTTFDHIGGGLKAILTDQNKLVVAVGGVTALAAGVYTTREGARVIWGYVDRILGQPSLIRESSRGKYPWSGMFSRTMSSLSQRTNPGSASKNGNGFGDVILHPSLNKRIEQLASATANTKAHQAPFRNMLFYGPPGTGKTMAARELARKSGLDYALMTGGDVAPLGSQAVTKIHQLFDWSKKSKRGLLLFIDEADAFLCERNKTYMSEAQRSALNALLFRTGDQSKDIVLALATNRPGDLDSAVADRIDEVLEFPLPGEEERFKLLKLYLDKYIAQAGSRKSGFFQELFKGKPQQIEIQGLTDEIIKEAAAKTDGFSGREIAKLMASVQAAVYGSENCVLDPSLFREVVDYKVAEHQQRRKLAGSDKA >OIW06245 pep chromosome:LupAngTanjil_v1.0:LG08:17731029:17731914:1 gene:TanjilG_23302 transcript:OIW06245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEEKHHHFFHHNKDEEVTSIESGVVEEVDYEKEEKHHKHLEQFGELGAAAASAYALHEKHQAKEDPEHAKHHKLEEEIAAAAAVAAGGYAFHEKHEKKEAEEENDEAHGKKHHHLFG >OIW05701 pep chromosome:LupAngTanjil_v1.0:LG08:24394813:24396469:1 gene:TanjilG_23487 transcript:OIW05701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILRIPDDFLRKYGGELSATATLSVPDGTVWHIGLKNADKKFWFLDGWPEFVQRYSVGVGYLLVFTYEGKSSFNVHIFNLATSEINYQSAIQTSTEGKYFINCLKFFEEMEDEDSVDFFDSPSRITSGSLQNKVFPGSVDIKPGKSNTPPALQNLFNGSKLNSINWGEGEDTLSSRGAGLLDSRFTRDIGLQFNEVEFKRSTEELKLRASVEEKVIKPVRKKRKSEGQKPSIEHEEETEMRNRFYESASARKRTVTAEERERAINAAKAFEPDNPFCRYLPSCFAEKQLNGVSGFIKLQNSDGRQWLVRCLYKGGRAKFSQGWFEFTLENNIGEGDVCVFELLRMKEVVLKVTIFRVVEDVGLSSPPLQQNQNVSPPKLTNTLLQHHLTPVKLARN >OIW06873 pep chromosome:LupAngTanjil_v1.0:LG08:7435145:7436773:-1 gene:TanjilG_19522 transcript:OIW06873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKSMIEEVIEKQVLTVAQAVEDKIDDEIAALERLDADDIEALRERRLQQMKKMAEKRSRWISLGHGEYTEIPAEKDFFSVVKASERVVCHFFRENWPCKVMDKHLGILAKQHVETRFVKINAEKSPFLAEKLKIIVLPTLALIKNAKVDDYVVGFDQLGGSDEFSTEELEERLAKAHVILLEGESSLNHARSSAQTKRSVRQSTKADSSDSE >OIW05659 pep chromosome:LupAngTanjil_v1.0:LG08:24663945:24666108:1 gene:TanjilG_23445 transcript:OIW05659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLPGPYSGTSTLALVARVSAFSFGLVYGSLKLKVLKAKAKSHNKAEAKAHH >OIW06528 pep chromosome:LupAngTanjil_v1.0:LG08:10914974:10915720:1 gene:TanjilG_29949 transcript:OIW06528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILTKQYRCIHSSSCRCTTGHLSELVLFLVFNHLNWNPKLIATLSCACKWFDDLAKRLLWKEFCRTRAPKMMLDLQSSGSHSVDGNWRALGKLLIYCSGCKKGGLFNNVQVPGHFVYRTRFSRTSGKSFLLPQCRTDVLYVSDPCEHLDQSEEGDLGFFRGIFKSFATTNVRRMLINKGAKLHPKEVCPYCKAKLWSMLQANMIPQSASCRLGSYEDCIEYFVCLNGHMLGICTLLPLSDSEDASEKE >OIW05982 pep chromosome:LupAngTanjil_v1.0:LG08:21637744:21640669:1 gene:TanjilG_11669 transcript:OIW05982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELHAHLIKFGFFNDPSLMNHLVTMYSKSQHFGYARKLVDQSTEPDLVVSWSALISSYVQNRLVEEALLAFSDMSVLGVKCNEYTFPCVLKACSIKKDLSTGKKIHGMTLVTGFDSDGFVSNALVVMYSKCGQLSESRKLFGANVERNVVSWNALFSCYLQSDFHVEAVNLFTEMVQSGMRPNEFSLSIILNACAGLRDGGLGRMVHGILLKLGHDLDQFSVNALVDMYSKGGEIDDAVAVFREITHPDIVSWNAIIAGCVLHDRNDLALMLLDEMKISGTCPNMFTLSSALKACAAMRSKELGRQLHSSLIKMDTHSDLFVEVGLVDMYSKCEMMDDARRAFGLMRNKDIIAWNALISGYSDRGDDLEAVSLFSEMYNEGIDFNQTTLSTVLKSVASLQAIKVCKQIHTVSIKSGIYSDFYVINSLLDTYGKCSDIDDASKIFEERTWEDLVAYTSMITAYFQYGDGEEALKLYLKMQDADIKPDPFVCSSLLNACANLSAYEQGKQLHVHAIKFGFMSDIFASNSLVNMYAKCGSIEDAGRAFHEIPTRGIVSWSAMIGGLAQHGHGKEALQLFNQMINDGVQPNHITLVSVLCACNHAGLVNEGKQYFETMEEKFGIKPTQEHYACMIDLLGRSGKLNEAMELVNSIPFEANGSVWGALLGAARIHKDIELGQKAAEMLFALEPEKSGTHVLLANIYASAGMWENVSKVRKLMRDSNVKKEPGMSWLEIKDKFMPRLSLESGLRIVASLLISGQTFMFNSAADMEFAQA >OIW06043 pep chromosome:LupAngTanjil_v1.0:LG08:22114155:22114421:-1 gene:TanjilG_11730 transcript:OIW06043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVSAIRAWSVAASVGVVEALKDQGICRWNYALRSAQQHVKNHVRSFSQGKRLSSSAVFSKRLRDEKAKQSEESLRTVMYLSCWGPN >OIW05837 pep chromosome:LupAngTanjil_v1.0:LG08:23308201:23308719:1 gene:TanjilG_23623 transcript:OIW05837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELYYNRRILLLNEDLPYYYSYSTSITPDIEPPTTTTTAAAANGSASQLPPRPIFNFGVAYYLIIILSFLLFLTFFILYMRRDHSLSHHPPRNERVVPTASITIPAQQVEDCVICLEEFREGENVKMILCCKHVFHPHCIDTWLDKHVTCPVCRCNKFSEVKVADENGVGTE >OIW06016 pep chromosome:LupAngTanjil_v1.0:LG08:21942457:21948857:-1 gene:TanjilG_11703 transcript:OIW06016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFYSKNSAVEDSREGNTNKFDVNSWRLNSRKRVEAVRVKDEVLDIHSSDVKVSFVNKKANSSMKLYDDKKGVDKIEKPESVFVNIPSFGRVPKAVEGENVAAGWPSWLSSVAGDAIKGWIPRSAKTFERLHKIGQGTYSTVYKAHDLTNQKIVALKRVRFDNLDPESVKFMAREIHVLRRLDHPNIIKLEGLITSSTSHSLYLVFEYMEHDLTGLASNPAIKFSEPQLKCYVQQLLSGLDHCHSHGVLHRDIKGSNLLIDNNGVLKIADFGLASYFDPHHSVPMTNRVVTLWYRPPELLLGANHYGVAVDLWSVGCILGELYTGRPILPGKTEVEQLHRIFKLCGSPSEDYWHNLHLPHSTAFRPPHHYRRYVAETFKEYPYPAVRLIETLLSVDPAHRRTAAAALESEFFTSEPLACDPSTLPKYPHSKEIDAKLRNETTRRQGAVGGREKKVGSGVRQEKGLRAYVTAADPGMSIQNYTFLGCCNLQLTFQSNGISPYQIVRFRKESIGDKQPGASVSVLVVLKVGEKDADLLSSCCMMQQEQRYSSSKNHSGLLKPHREPVSGFLVFPPHEKPEDGKEVRNNLSGRVYKKPSHSGPLVPGYSWAKSGREVDGEALVSNRVNLSELSGLVASRTMSSQDQEEKPVHLHHRKPVEVRKSLESTSRSESRRQDKKRIADRTQIDSGKVPSEKLTRDGHGPRRDKIYMSGPLLVQSNNMDVMLKEHDRKIREFSRKARLDKSRARDDKISAKRK >OIW05576 pep chromosome:LupAngTanjil_v1.0:LG08:25223086:25225423:1 gene:TanjilG_23362 transcript:OIW05576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNPKLSTRPKICYRPIRPSDFDILEHIHVKLFPIRYESTFFQDVVNGRDIVSWGAVDSSRPDGQGDELIGFVTARIVLAKESEIVDMLGYDSSKSDQTLVYILTLGVVDSYRNHGIASALIREVIKYASSIPTCRAVYLHVISYNNPAIYLYKKMSFKCIRRLQGFYLINGQQYDSYLFMYYVNGGRSPCSPLELLAAIVSYMRSGFKLVAAKMCKSEDRKMSRWSKCKESHSLVSATHNKRNLAVECTGYECV >OIW07322 pep chromosome:LupAngTanjil_v1.0:LG08:2112440:2113675:1 gene:TanjilG_11956 transcript:OIW07322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPSTYISSGPYPYFPSSSSSYHPFAFFNPENASSNNTFSHDPFSFPYNMPNTHHYHAPNPETVANFADCGVSAAMFKNDVSGSNFGFSNFMAKKPAPKKDRHSKIYTSQGLRDRRVRLSIEIARKFFDLQDMLGFDKASNTLEWLFNKSKKAMKELARSKNSSSGVVANSFSSSDSECEVVSMINQDSIDATPEGVVVDSNDRKLKRAKIKESREKARARARERTNKKMFNTSIMKKKCPAIENPQMFNQLRPPFHHPENSAKSPNNKLLPSHHHHPHLLSNEIPRDDFNLFEESIVIKRKLKQPLISSSHHNQNHVIPKESNFNNNTEHHSFPILSPNLDANNGANSRSNFCSITNMNLSTGLQIFGKSWEE >OIW06793 pep chromosome:LupAngTanjil_v1.0:LG08:8619447:8625485:1 gene:TanjilG_11518 transcript:OIW06793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLTLSSRGRGLRHLFVTMFLTTFATVIVIPPMTDVTIAALCPHQFQCSLPIFLTGFQQAMTGVGSVLMTPLIGNLSDHYGRKALLTLPLLLSIIPQVILAYSRATNFFYAYYVLKTLAAMAGEGSFHCLALAYVADKVPEGKRGSTFGVLAGVGSASFVGGTIAARFLSTALTFQVGAIFSMIALVYMRIFLEDSVPNGFGMTQPLLREGQEPCLQQCEGDSSKMKTGTFKNLPSAGDLISMLKCSPTFSQAAVVLFFSSLADGGLMASLLYYLKARFQFNKNQFADLMMISGIGATLTQLFFMPILIPAVGEVKLISTGLLVSCISMFVYSISWAGWVPYALAGCSIFGVFVRPSICSIASKQVGATEQGMVQGCLSGISSLANIISPLVFSPLTALFLSDEAPFYFPGFSLMCLGLALMAAFFQSLMIRSVPPIVVGETSSNHRNESLV >OIW05703 pep chromosome:LupAngTanjil_v1.0:LG08:24386595:24388685:1 gene:TanjilG_23489 transcript:OIW05703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLLSLSPVSHFGVSLNSSTYSSFSLLSPLRIHPLRSSPERTIALPAFESITDDTPELPPLVRALKASAEENAATFHFPGHNRGHAAPPSFTRLIGIRPYIHDLPELPELDNLFCPQGPILEAQTEAAKLFGASQTWFLVGGTTCGIQAAIMATCSPGQFLILPRNCHISAISAMVLSGAVPKYIVPDYKNDWDIAAGVSSSQVLKAMQELEREGKKAAAVFITSPTYHGICSNLREISELCHSRKIPFIVDEAHGGHLGFHSEFPNSALQQGADLTVQSTHKVLCSLTQSSMLHMSGNTVDKEKISRCLQTLQTTSPSYLLLASLDAARAQLSESPDIVFNQAIKLAYEARCMLKRIPGISVLESSSFPTSPAIDPLRLTVGFWQLGLSGYEADEILYRDYGIVCELVGSKSITYALNLGTCRDHIQRLLLGIKNVARTHAYIQQPEERLHTKHAPFDDIMMSLIPRDAFFASKRKVTTMESIGEVSGELICPYPPGIPVLIPGEVITKEAIDYLLHVRGEGADISGASDPSLSSIVVCNV >OIW07095 pep chromosome:LupAngTanjil_v1.0:LG08:5450234:5455663:1 gene:TanjilG_02729 transcript:OIW07095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLEENVAEVENKKDKDCQVSTLCTHAYYDLTHVSPVVFLYLLKEYYFYGTCKATAKFRALQQQICLVLHNDPKPEPATFIVQCMYLSPLFEDHSQGFTHLIISAFRRFLKKSITSADSLEAIDLAAHLILDIIMGQIYHDEKIVMKLLEIFDVKLSNIEKAMYQIEEKGDLSRGTAKEFVHKYIFKLVESQLYMTAVTLIEHFSVDHYGQSFLLDMIRRNQFKPAEKWATFMGKPMLSILVEEFLERNMLKDAYEIIKKNDLKHDFPDVYKRCKESSIKNLAEKGCWDVAEARTNNDRQLMEYLVYLAMEAGYTEKVDEWCDRYSLDRFLNIRVPETNIQQGRYLDLDELLVEDIIWVDEAESLLDATSHIEGFKVVGLDCEWKPNYVKGSKPNKVSIMQIASENMVFIFDLIKLHSLVPDILDNCLTRILLSPRILKLGFIVRELAQTNEAYWVLFTLSEVLTIAALWPIFSGYNFQCDIKQLAYSYGELKCFKNYELLLDVQNVYKEHRGGLAGLSQKILGASLNKTRRNSNWEQRPLTPNQLEYAALDAVVLVHIFHHLPSHAHDKFEWKSYIVSHTESNKKSKKNVSRVEKTENETNNP >OIW07250 pep chromosome:LupAngTanjil_v1.0:LG08:2824647:2828312:-1 gene:TanjilG_08365 transcript:OIW07250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRDGSVVPEDPKAIVVVKKRTQFSRSWVLFDATGQGSMLDVDKYEIMQRVQIHARDFRIIDPFLSYPSTILGRDKAIVLNLEHIKAIITAEEVLLRDPTDENVIPVIEELRRRLPQVCFFHQQQEDGKEYPGVQHDIEGTEEDESPFEFRVLEVALEAICSFLAARTTELEMAVYPALDELTSKISSHHLDRVRKLKSSMNRLTGRVQKVRDELEQLLNDDDDMADLYLSRKAGSSTLVTGSGPANSVAAPPTIGSKTSKASMATVHVDENGVEELEMLLEAYFMQIDATLDKLTTLREYIDDTEDYISIQLDLILNTGNVCLSIFALLTSLFNMNFPNTWNVNHSYMFKWVIIVTGVSSTVMFLLIIAYACKKGLFGS >OIW06263 pep chromosome:LupAngTanjil_v1.0:LG08:17629265:17632198:-1 gene:TanjilG_19942 transcript:OIW06263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQQAVQGPQAAYAKEMERLSAKESLLLAFKDAGGFKALVSGKTNDIQRIDVIERITALERLNPTPRPTTSPFLEGRWNFEWFGSGSPGLFAARFIFETFPSSLANLSKMDVFFKDGNAKVTASLGLLNSIESKFILQTKLSVEGPLRMKEESVEGVLVSPTVIEDRVPEQLKGALGQAANALQQLPAPIRDAVATGLKVPLSKLR >OIW05801 pep chromosome:LupAngTanjil_v1.0:LG08:23664201:23668197:-1 gene:TanjilG_23587 transcript:OIW05801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTEENKPETLSEEDHDAATFSCRTTFSLPVQTVDDPMGITEETAHIPPRKQHNLLLEIPSRTPEECSQDFVAIKVPMSPSPTPTPKRVNFIVGSRSVDAPTNNSPAPPTPTSRGKSSRRSILPKLSFRYRTPTDIEKAITAAPEGSSSGHGEKPSISRSLSLSKIFTPRIKRTSSLPVEEIGDVNIESAHGGSVVGPLNKREAQAQTMIARSLSVPVNNKEKSLRRMDTFFRIIPSTPRVKEGNELITAPTTDTENDDADGEDIAEEEAVCRICLVDLCEGGETFKLECSCKGELALAHQECAIKWFSIKGNKTCDVCKEEVRNLPVTLLRIQSVRNQNSGAWSLHEDVSGYRVWQEVPVLVIVSMLAYFCFLEQLLVGKMGTGAIAISLPFSCVLGLLSSMTSSTMVKSRFTWIYASFQFALVVLFAHIFYSLVHVQAVLSILLATFAGFGVVMSGSSILVEAFRWRRRWQSPQLMTQPLQNSRPINTPQSGPDDDTTQNVVQDLQNSSRS >OIW06595 pep chromosome:LupAngTanjil_v1.0:LG08:9852469:9858257:1 gene:TanjilG_03989 transcript:OIW06595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTEDFWSMFCGESGCSENGGKPCSYYDFKLLVDPSTCVNHLLVICIYVLLLLLILFIMIKKSSSKPYHGLIQVRRYSNLQLFSAIANGTLGLVHLCLGIWVLVEKWRKTQGAFPLDWWLLEFIQGLTWLLVGLAISLRLKHLSIALLRLFSILASLVSGVVCASSMFYAIRSRELSLKVALDVLSFPGALLLLLCTCKESNHKDTDEEIDESLYAPLNGDSNKIASIDSVTLFAKAGFFNRMSFWWLNSLMKRGKKKSLQEEDIPKLREDDRAESCYLLFLDQLNGHKQKDPSSQPSVLRIIVLCHLRQILISGFFALLKVLAVCCGPLLLNSFILVAQGDGSFKYEGFILAISLFFIKIIESLSQRQWYFRSRLIGLKVRSLLTAAIYKKQLRLSNSARLTHSGGEIMNYATVDAYRIGEFPYWFHQTWTTSVQLCISLVVLINAVGLATIASLVVVVITVLCNTPLAKLQHKFQTKLMVAQDARLKAISEALMNMKVLKLYAWETNFKKSIEALRNVEAKWLSAVQLRKAYNTFLFWSSPVLVSAATFGACYFLNVPLRANNVFTVVASLRLVQDPIRTIPDVVGVVIQAKVAFSRITKFLEAPELQNTNAKKRCFNDNVTGSILIKYADFSWEDNVSQPTLKHINLEVRPGQKVAICGEVGSGKSTLLAAILREVPNTQGAIEVYGKFAYVSQTAWIQTGTIQENILFGSDMDPQRYQETLHRSSLVKDLELFSHGDLTEIGERGVNLSGGQKQRIQLARALYQNADIYLLDDPFSAVDAQTATSLFNEYVMEGLAGKTVLLVTHQVDFLPAFDTVLLMSEGKILEAASYHHLLTSSKEFQDLVNAHKETAGSNRLVDVTFSHRPSNNAGEIKKTYMEHQLEASQGDQLIKKEEREKGDQGFKPYLQYLNQDRGYMYFSVGAISHLIFVIGQILQNSWMAAYVDNPEVSTLRLILVYLLIGVVSTMFLLTRSLVIVALGIHSSKSLFLQLLNSLFHAPMSFYDSTPLGRILSRVSSDLSIVDLDVPFGFIFAVGATINCYANLTVLAVVTWQVLFVSIPTIYFAIRLQKYYFATAKELMRINGTTKSYVANHLAESVAGALTIRAFEEEDRFFLKNLDLIDVNASPYFHSFAANEWLIQRLETVSAVVLASAALCIVVLPPGTFSSVERLNQYMHIPSEAPEVIDGNRPPVSWPVVGKVEIHDLQIRYRPDAPLVIRGITCTFEGGHKIGIVGRTGSGKSTLIGALFRLVEPSGGKIVVDGIDIASIGLHDLRSRLGIIPQDPTLFNGTVRYNMDPLSQHSDQEIWEVLGKCQLQEVVQEKEEGLDSSVVEAGANWSMGQRQLFCLGRALLRRSRVLVLDEATASIDNATDLILQKTIRSEFADCTVITVAHRIPTVMDCTKVLAISDGKLVEYDEPTNLMTREGSLFGQLVKEYWSHFQSADSH >OIW06692 pep chromosome:LupAngTanjil_v1.0:LG08:10523866:10524987:-1 gene:TanjilG_04086 transcript:OIW06692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLFQASAIRSINNKILHNSGYEIEAKVDSFLQFKEAPEYRNQQKCSLLDRNNLDLDFACDPSFVHIAMTIDWDYLRGSIAAVHSVLKHTSCPENLFFHFIASDSRLENKVDFNKIVESSFPYLRFKVYMFKESLVNHLISPSIRQALENPLNYARSYLADLLENCVERVIYLDSDVIVVDDIRKLWKVSLSGSRVIGAPEYCHANFTRYFSYEFWSSNEFSKEFDEKTKKPCYFNTGVMVMDLVKWREGDYTKKIEKWMEIQKERRIYELGSLPPFLMVFGGDVEAIGHRWNQHGLGGDNVVDTCRTLHHGQVSLLHWSGKGKPWVRLDAMKPCPLDYLWASYDLYITHKHSSFKSLPHIGVATTRDSSFLG >OIW05867 pep chromosome:LupAngTanjil_v1.0:LG08:22979816:22981757:-1 gene:TanjilG_23653 transcript:OIW05867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSCAAIVLLIGLLGMVYQATQLPPPNLKDSSLPLLHDDGDDDFPLGSTRIRLSDGRYLAYREWGVPKDKAKHKIIIVHGFGSSKDMNFLAPQELVDELGVYFLHYDRAGYGESDPNPKRSLKSEALDIEELADQLQIGLAGLAMIAPVVNYQWPSIPKNLIRDDYRRRIIQLSLCLAKCCPRLLHWWVSQKWLPSNSVIEKNPAFFNNRDIDILKTIPGFPMLTKDKLKEQVVFDTLKGDWMVAFGKWEFDPMNLTNPFPQNKSSVHIWQGYEDKVVPSKIQRFVSGKMPWINYHEVSDGGHLIVHYSGLCEAILKALLLGEENLLYRPRPENLS >OIW06671 pep chromosome:LupAngTanjil_v1.0:LG08:10349399:10353650:-1 gene:TanjilG_04065 transcript:OIW06671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTIITSSSSIPFFNSSTRLNYHPLSLSPLTNSFKVLSSYQPPQHSHLHNQHKGKSFSLKQCAISIALAVGLITGVPTFGCPIDADAASPVLPDLSVLISGPPIKDPGALLRYALPIDNKAIREVQKPLEDITDSLKIAGLKALDSVERNARQASRTLKQGKTLIVSGLAESKKEHGVELLNKLEAGLEELELIVQDRNRNAVAPKQRELLQYVGGVEEDMVDGFPYEVPVEYQNMPLLKGRAAVDMKVKIKDNPNLEECVFHIVLDGYNAPVTAGNFVDLVERHFYDGMEIQRADGFVVQTGDPEGPAEGFIDPSTEKTRTIPLELMAEGEKTPVYEATLEEFENNSGSSQVFWLLKESELTPSNANILDGRYSVFGYVTENEDYLADLKVGDVIESIEVVSGLDNLVNPSYKIAG >OIW06029 pep chromosome:LupAngTanjil_v1.0:LG08:22028632:22029803:-1 gene:TanjilG_11716 transcript:OIW06029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCCVSTSNRSTCSSRSNEEKVSPSCLEVGCCGKNRAARRTLSDHVISLHHLPSILGRIFTNGKSQSSCIFTQQGRKGINQDAMVVWEDFVSEDTIFCGVFDGHGPHGHLVARKVRDALPLKLLSFLHSPELKQNGNGSSKSCFKGNIKPDTGESEKDSSSANELNSTWREAFMKAYKAMDKELRAHPNVDCFCSGSTAVTLVKQGSNLFMGNIGDSRAIMGSKDSNDSIVAIQLTVDLKPDLPREAERIKRCRGRVFALQDEPEVHIVTNVVAANKWSFHVVGFMHRHLKNNLE >OIW05649 pep chromosome:LupAngTanjil_v1.0:LG08:24725141:24730246:1 gene:TanjilG_23435 transcript:OIW05649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFFTTILGFFGFGFGISIGLIVGYFFFIYFQPTHVKDPEIKPLVEHDPVTLQKMLHEIPIWIKNPDFDRVDWLNKFIEYMWPYLDKAICKTAKNIAKPIIAEQIPKYKIDGVEFETFTLGSLPPTFQGMKVYVTDEKELIMEPSVKWAGNPNVTLSVKAFGLKATVQVLDLQVFLVPRITLKPLVPSFPCFANIYVSLMEKPHVDFGLKVVGADLMSIPGVYSFVQELIKDQVANMYLWPKTLEVQIIDPTKAMKRPVGILHVKVLRAMKLKKKDLLGASDPYVKLKMTDDKMPSEKTTVKYKSLNPEWNEEFNLSVKDIESQVLEINVYDWEQVGKHDKMGMNVIPLKAIPPEEPKEFTLGLLKNMDPNDVHNVKSHGQIVVELTYKPFKEDELAKGFEEKHTVQKAPEGTPAGGGLLVVIVHEAEEVEGKYHTNPYVRVTLTGQQKKTKRIKRNRDPRWEEEFGFTLEEPPTNQRLHVEVISTSSRKLIHQKESLGYVDISLADVVSNKRINEKYHLIDSKNGRIQIELQWRTS >OIW06010 pep chromosome:LupAngTanjil_v1.0:LG08:21892265:21899484:-1 gene:TanjilG_11697 transcript:OIW06010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYLGFDMVERLELWLVKWRIAFGWCVFLLFLSPVVGLRPLRDRIGSWGDEWLFSRRDGSDLGPFSPWNITGTYRGTWKFLDTTNSSSKFPDIRKTNGNSVIELASTPTKITGVHYVQGVVIFHDVFDKEYNVGGAQIRIEGVYIWPFRQLRMIANSGKEGGLSQDDDYLLSNPYHLLGVFSSQVFQESSRDKMWRRKSSPLHDLEKHCNVEIGAQVSRMQSSKHEGGHDSFHLEGLMESPSVDDDGDCFSLLQLNASSVNVEAYYNKAVNYTLMVTFVSFLQVLLLIRQMEHSNTQSGAAKVSILMVGQQAIMDAYLCLLHLTAGILVESLFNAFATAAFFKFVVFSIFEMRYLLAIWKANRPVSNGEGWETMRRELSVLYSRFYGILLGGILLMYEFHYYMRSILLLVYSFWIPQIITNVVRDSRKPLHPHYIIGITVTRLAIPLYIFGCPNNFIRIEADQRWCVYLTVFIGLQTTVLLLQHYLGSRWFIPHQILPEKYSYFRRFAQDTTHAADCVICMTAVDLSQRSNDCMVTPCDHFFHSGCLQRWMDIKMECPTCRRSLPPV >OIW06775 pep chromosome:LupAngTanjil_v1.0:LG08:8783033:8787167:1 gene:TanjilG_11500 transcript:OIW06775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVVTVAELKPSMSGKRTFRPSSSIRHATEWPISDVSIDLTIEIGGSSFAPHKFPLVYRSGRIRKMLLEAKDTKVSCISLPSVPGGAEAIELAEKFCYSANVEFTLSNVAMLRCLAQFLDMTEEYAEKNLVTRAEAYLKDIVLPSIPNTISVLHHCETLLPYSEEINLVSRLINAIANNACKEQLTSGLLKLDHNFRSKTISNMEPETPSDWWGKSLSVLNLDFFKRVLTAVKSKGLKQDMISKILINYAHNSLHGIVVRDPQTVKGSLLDIEFQKKQRVIVEAIVSLLPTQSRKSPVPMAFLSSLFKTAIAASASTKCRSDLERRIGLQLDQAILEDILIPTNSQHQNTHSTMYDTDSILRIFSIFLNLDDEDEVETRLRDESEMIYDFDSPGSPKQSSILKVSKLLDNYLAEVALDSNLLPSKFISLAELLPDHARVVSDGLYRAADIFLKVHPNIKDSERYRLCKSIDCQKLSQEACSHAAQNERLPVQMAVQVLYIEQIRLRNAMNGGHNQLFFGGLNSQFPQRSGSGAGSGAISPRDNYASVRRENRELKLEVARMRMRLTDLEKDHVTMKQELVRSHPANKLFKSFTKKLSKLNALFRINSYKPNGACSESRFPFAKRRHHSVS >OIW06893 pep chromosome:LupAngTanjil_v1.0:LG08:7586725:7586928:-1 gene:TanjilG_19542 transcript:OIW06893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNQLVENLLESIKSKVKKLKKKTKNKPYVKIDKSASVKVEIRSRKARNLINKTLQAADRPGKLPSL >OIW05534 pep chromosome:LupAngTanjil_v1.0:LG08:25463457:25464810:1 gene:TanjilG_23320 transcript:OIW05534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSDLAAKKFMQCLQYDSYGGGSASFKHVQVPVPTPNKNEVLLKLEATTINPIDWKIQKGLLRALFLPRHFPHIPCTDVAGEIVEVGPQVKRFKVGDKVLAKLTHQYGGGLAEFAVASESLTAARPIEVSAAEAAALPVAALTAHDALTQIAGVKLDGTGHPKNILITAASGGVGHYAVQLAKLGNTHVTATCGARNIDFVKGLGADEVLDYKTPEGAALKSPSGRKYDAVIHCTTGIPWSIFDSNLAQNGKVVDLTPDLSSFKTFALKKLTFSKKQLVPFIVSVKSEGLEYLVQLVKNGRLKTVMDSKFPLSKAEDAWAKSIDGHATGKIIVEP >OIW07069 pep chromosome:LupAngTanjil_v1.0:LG08:5769779:5770852:-1 gene:TanjilG_02703 transcript:OIW07069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRRYLGYSDGDLMRSDCKPCSRLMRHTAGIYTVGGALGFWILCRLHYGPRVTIPRSLRWAACGAVTTSSSTALLVRLFSPECEPQNIAAYDKKK >OIW05729 pep chromosome:LupAngTanjil_v1.0:LG08:24176740:24179032:-1 gene:TanjilG_23515 transcript:OIW05729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWGPNMEVHYNNTSYPYNTAGSFIEYFEGLTYEHVNFIFSGASHAQESSYPSASSFYKFGLSEVDSNSYYRYSHGDDLSHHQSPVDDYRRPLGNSPVVSEQTTTASTEWGESVNTDTRDNSIECPRRHHSNSNDYQVIWQDSIDPDDMTYEELLELSEAVGTQSRGLTQEQISLLPVSKYKCGFFLRKKSRDERWVLHFQTLPVILWQKIKYLDLASSS >OIW06467 pep chromosome:LupAngTanjil_v1.0:LG08:12034848:12042488:1 gene:TanjilG_05238 transcript:OIW06467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKTKVQQLCHTKSWNLPVYQTVKDGPDHNPHFISTVTVNVVQFQSPQPTRTAKQSQNDAAKLAFYHFSPNPDSNPNPNPNSHLSPSHHSLSHGSCDPCDVDSIVLQPTQSSQISSSVTSTMIEVEQKNILHLYKNQLQNYAHKRNLNLPVYASAWEGPPHALRFKCKVTIDGQTFESPKLFATLKDAENAAAEVALISLSPGGVQEASLNHLLPFSITGQIGLYKNLLQELVQRKGFRLPSYNTKKYGQAHMPIFVSQVEIEGETFTGQAAKSKKQAEMSAAKVAYMSLKERKGASAYQGQAPVLSTDSSEANVITGLQHHSNIQASVSPGLVATQGQPDKDIGVSTHQGQAPVLSTESSEADVITGLQHHAYLQSLVSSGLVTQHQPDKDIDYLL >OIW07334 pep chromosome:LupAngTanjil_v1.0:LG08:2381915:2383968:1 gene:TanjilG_11968 transcript:OIW07334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKRKHTETQAMVSVSKKEEDAPERPTRTLLGWKDNNEVINDDEVKDIVPPFFQNKEKVLVTCSRRINYRYRNSMLNVVSVLPRCKKDNKVESKETKGATLNELVKLKNCNVLHYVCTPTLTYDFRIISHCNVTHSPVIILNQISVPRTESDKLHRQGLDKMTLVEA >OIW06055 pep chromosome:LupAngTanjil_v1.0:LG08:20222993:20223642:1 gene:TanjilG_29811 transcript:OIW06055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSDKCRRKAMKIAADTEGVISVSLEGENKDQVVVIGNGIDSVELSIELRKKFKHVLLASIEEAEPENDMMPMEIMSAPYNYYWKYYNKHSPSSFPPPPPPYPPSYHVVHDPYPNNDCTII >OIW06964 pep chromosome:LupAngTanjil_v1.0:LG08:7145015:7150490:-1 gene:TanjilG_18352 transcript:OIW06964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMTEEEEALSKATKEVEDLYHIRDTYFPPDPVDRISKLQHHSDLALQLLDSIPLEQRKSPMQRATLEYLRGKILDVFPDYRKEAEDHLSKAVKLNPSLADAWLCLGNCIWKKGDLSATKNCLSLALNKGPNKKVLCQLSMLKRKMSQGAENQVELVEESIQHAKEAITLDVKDGNSWYNLGNACLTSFFVNGAWDHSKLLNSLKAYQNAEKDERMSSNPDLYFNSATVHKYLENYQRALSGFEAAALKDPGLNAAEEVQKIVNLLDKVDSLLRGHVRAKRIAALASSLSAVNLNLSYKRVTINLLSEGPNRAVAVEGKVFFFIRSESIAPLYYLLCDSNQTCFVLSVYGVRNDVIKEGDQLTLLDPYFRDVDLSWKEKNYQFKSIRLDFFEQVLVNGKALTLQQAVRTSIYAQHKP >OIW06570 pep chromosome:LupAngTanjil_v1.0:LG08:9655258:9663012:-1 gene:TanjilG_03964 transcript:OIW06570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGLCSRSRKGTVEGVASDDNNNNNKNALNETSTHVNGYANDNNESGMVYQSFGHPENKHSNSNLPLLVDDFYKNEREPFSFIEMEKASHGLSFDDINDGIPSLSRALSTTSSISKQAAVKVSEVSSLLGRAGTAGLGKAVEVLDTLGSSMTNLNVNSGFTSGVMTKGNKISILAFEVANTIVKGANLMHSLSKENIRHLKEVVLPSEGVQNLISRDMDELLRIAAADKREELKIFCGEVVRFGNRCKDPQWHNLDRYLEKLGSELTQQKQLKEEAEMVMQQLMTSVHYTAVINVYKCVGDTLAILRAELKSQKKHVANLKKKSLWSKILEEVMEKFVDIVHFLHLEMHEAFGSADADKQVKDFQGSHKKLGSACLALHYANVVSQIDTLVSRSGYVPPNIRDALYQGLPPNVKSALRSRLQSFQVIEELTVPQIKDEMEKTLQWLVPIATNTTKAHHGFGWVGEWANIGLQVNRKPAGQSDLLRIETLYHADKDKTEGYILELVVCLHHLVSKVKVGNGGLKSPVKSPIRSPTQMTGELFTQKGCSSSPMLTIEDHKMLRDVGKRKLMPGISKSQELGISKSRLSKYHRLSKSSNHSPINESQNDIFFTRMPSSSVPVICFEVDRMKTLDVIDRVDTIARVM >OIW06800 pep chromosome:LupAngTanjil_v1.0:LG08:8536169:8547389:-1 gene:TanjilG_11525 transcript:OIW06800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDELRSKIKVDNDAAQEHKSKHNHVDEKDDFFKQKVGRKRKTFNKDEEVSDGESQMPSQSTTQRRSLRNAHRPSNKNEIPKVKRTVKFTEEECLMCHQCQRNDKGEVVRYPEWKNDDVAEACPVCRGNCNCKACLRSDELIKKMKKEVEEKRYGGNEVELSKYMLIRILPYVRQLNEEQMIELGIEAKRQGLSLSELNIEKAEYSQMERVYCDNCKTSIFDYHRSCRKCSFDLCLTCCHELRDGQLLGGADPVELEFIKCSPEYLHGVKEKKKESEIHELPADAEPKNRGWSRSEWRAESDGSIPCPKFTEEECLMCHQCQRNDKGEVVRYPEWKNDDVAEACPVCRGNCNCKACLRSDELIKKMKKEVEEKRYGGNEVELSKYMLIRILPYVRQLNEEQMIELGIEAKRQGLSLSELNIEKAEYSQMERVYCDNCKTSIFDYHRSCRKCSFDLCLTCCHELRDGQLLGGADPVELEFIKCSPEYLHGVKEKKKESEIHELPADAEPKNRGWSRSEWRAESDGSIPCPKVNDECCHSFLELKSIFGQAFISELVYKAEELAKAYKLEDTIETPDNWCSCPKISRNPDVRNNMRKAASREDSSDNWLYCPIAEDSRSFDLKHFQWHWNKGEPVIVSNVLECTSGLSWEPLVMWRAFRQITNTKHGQHLDVKVIDCLDWCEGEINIHQFFTGYTNGRYDWLNWPQILKLKDWPPSNLFEERLPRHCAEFISSLPYKEYTDPLKGALNLAVKLPRKCLKPDMGPKTYVAYGVAQELGRGNSVTKLHCDMSDAVNVLTHISKVELEHKNLVAIDKLKLKHLEQDKRELIRDDQEGETNIGVLSNSSSTVDGLSYGPELKDVENVKMNQENSMSIAEDASDGALWDIFRRQDVPKLQEYLKKHFREFRHVHCRPLNQVIHPIHDQTMYLTFEHKMILKEEYGIEPWTFVQKLGDAVFIPTGCPHQVRNLKSCTKVALDFVSPENLGECFRLAEEFRTLPINHKSSEDKLEVKKMIVHAMDNVVKNLYKIRLVIVS >OIW06616 pep chromosome:LupAngTanjil_v1.0:LG08:9979303:9981310:1 gene:TanjilG_04010 transcript:OIW06616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSERQRVARKRFKSEHPELFPKPEPTPPKDTEKKKKKKKKKKKKNNTPEQLGVTTKPFKSNSRKHPLRVPGMKPGESCFICKAKDHIAKSCPEKALWEKNKICLRCRRRGHRATNCPELQHGTNDDKYCYNCGENGHSLAYCPYPLQQGGTKFAECFVCKQRGHLSKDCPQNAHGIYPKGGCCKICGGVTHLAKDCPDKGRQGSVAANGPYNRLIGAGVRATGQVTKFVSGDDIDDDFVTDDINSGDKNNSSKSNEGDVKPKKGPKVVVFN >OIW05990 pep chromosome:LupAngTanjil_v1.0:LG08:21734645:21735016:-1 gene:TanjilG_11677 transcript:OIW05990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTTTFISTSVVFEQNNKENIPPPISLCNATKAKCKKKNPIQKKVSSFKSSGSGNKRKAKRIPLADITNLFSNSSSTFNFSHRHHHCQVSNSVSAVPSLPISISRCRAQVVSPSKTLRMGFR >OIW06653 pep chromosome:LupAngTanjil_v1.0:LG08:10202934:10204558:1 gene:TanjilG_04047 transcript:OIW06653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGFTSAGGETHFEARITFTVIMSCIMAATGGLMFGYDIGISGGVTSMPSFLERFFPETYKRTQAHGIESNYCKYDNQYLQLFTSSLYLAALIATMFASIVTRKLGRKQTMLIAGFFFIIGTVLNALGNTLILLIVGRIILGCGVGFANQAVPVFLSEIAPTRIRGALNIMFQLNITIGILIANIVNYFTAKIEGGYGWRISIAFSAIPALMLTIGGFLVDDTPNSLVERGKEEEGLAVLKKIRGVDNVDAEFEDILKASKIAKQVKSPFKNLLKRHNRPPLVIAICMQVFQQFTGINAIMFYAPVLFNTLGFKSDAALYSAVITGAVNVLSTLVSVYFVDKAGRRMLLLEACVQMFVSQIVIAIVLGLKVQDYSQDLSKGFAMLVVIMVCTFVASFAWSWGPLGWLIPSETFPLEARSAGQSVTVFVNMLFTFIIAQAFLSMMCHMKYGIFLFFSAWVLVMSLFTIFLIPETKNIPIEEMNEKVWRQHWFWKSYMED >OIW06529 pep chromosome:LupAngTanjil_v1.0:LG08:10918432:10919670:-1 gene:TanjilG_29950 transcript:OIW06529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSQDQFEIKFRLSDGSDIGPKSFPPATSIATLKQSILAQWPKDKEYGPRTVKDVKLISAGKILENNRTVGECQSPLCDLPGGVTTMHVVVQPPNAENGLLFISVMC >OIW06281 pep chromosome:LupAngTanjil_v1.0:LG08:16927440:16929660:1 gene:TanjilG_19719 transcript:OIW06281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDNGKSTLAFSLSRELHSRGKLSYVLDGDNLRHGLNKDLGFKPEDRAENIRRTGEVAKLFADAGLICVASLISPYRRDRDTCRAMLPDANFIEARLQLCEARDPKGLYKLARAGKIKGFTGIDDPYEPPINCEIELKQENGICPTPTLMAGKVVTYLEEKGFLEVI >OIW05878 pep chromosome:LupAngTanjil_v1.0:LG08:22908651:22909520:-1 gene:TanjilG_23664 transcript:OIW05878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYVHYVIAALFFALALPKIVDSTCPTVKGKVLCVDCTQHYDLSDIKVLVKCEGVENLGVATTEDDGSFKVNLPSDNTKPSSLSCHAKIGAGKVQLYASRKNQVSQIVKDKEKNSYTISTPLSFLTSCTKNTKCKASNNGLGSSKTVDLPLPPEWGLAPSSFYVPVIPIIGIP >OIW05834 pep chromosome:LupAngTanjil_v1.0:LG08:23337673:23337990:1 gene:TanjilG_23620 transcript:OIW05834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSRNVIVAAGLIAFASAGLAFPFYMASSRKPVIDPTKPLSPQATFRGPYINTGSRDIGPDHRTYEKK >OIW06409 pep chromosome:LupAngTanjil_v1.0:LG08:13289276:13289851:1 gene:TanjilG_16821 transcript:OIW06409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFITHLSPSNGHTVIWVIVDRLTKYAHFVALPSKFSAEILARRFLQEICRLDGVPKTIVSNRDKLFFSTLWRELFRVLGTSLCYSTAYHPQSAGQTEVVNRCLQTYLRCFSGTHPTKWNTHLSLAEYWYNTSCHSSLHWIIKYLPIGCFSVLRVSKNICQLNQHTCKLDLILIRVCNPLSYCRIQQWPQL >OIW06262 pep chromosome:LupAngTanjil_v1.0:LG08:17584847:17592006:1 gene:TanjilG_19941 transcript:OIW06262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNNCVGPNSLGGNGFMQNVSAALWRTRPPEARLPPPDNAAATDNNKNKCTASPPHDSSNDSSINEDSDNSKGHNDPPMPVQSTPPEPVKIAAAGETKPVSVQPEKPVNLVINNELAPVNIGAGPGGAGGGGNNGDGKAKKPTHVKRVSSIGLQVESVLGRKTGNLKDVYSLGKKLGQGQFGTTYFCVEKGTNKEFACKSIPKRKLTTQEDVEDVRREIQIMHHMAGHPNVIQIIDAYEDVVAVHVVMELCAGGELFDRIIQRGHYTEKKAAELARLIVSVVEACHSLGVMHRDLKPENFLFINHEEESPLKTIDFGLSVFFRPGETFTDVVGSPYYVAPEVLRKQYGPECDVWSAGVIIYILLSGVPPFWDETEQGIFEQVLKGDLDFVSEPWPHISDSGKDLVRRMLIRDPKKRMTAHEVLCHPWVKIGGAAPDKPLDSAVLSRLKQFSAMNKLKKIAIRVIAESLSEEEIGGLKEMFKMIDTDNSGQITLEELKNGLERVGSILKDSEIDWLMQAADVDNSGTIDYGEFIAAMIHLNKVQKEDHLFAAFSYFDKDGSGYITKDELQQACEQFGLKDDHLDDIIPEVDQDNDGRIDYSEFAAMMQDTGFRKLQIA >OIW05532 pep chromosome:LupAngTanjil_v1.0:LG08:25469335:25474455:1 gene:TanjilG_23318 transcript:OIW05532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNKLMVQVVDAIDLKPVDGEGSANPFVEVVFDDQQQRTETKHKDLNPYWDHKLVFNINNPTHLPHNTIQVAVHNDTKLGHRKKCLGRVIISGHVVPLSESEAAVRRYPLEQRALFSDPKGEIDLRIYAFYDPSTTNTNTNTNTNTSAPPKLHPQPQPRPCDGEVPLQERKTNNMLDDQESMVGDFEKKKKSKKTEKEVRTFHSIGAEKTTSPPPPPFSFTRPPFPTAAARPFSQAASVEMRADFVKAGPPNAMLMQIPKQNPEYALVETSPPLAARLRYRGWNKVSTTYDLVEQMHYLYVNVVKAKDLPVMDISGSLDPYVEVKLGNYRGVTKHLEKNQHPVWKQIFAFSKERLQSNLLGVTVKDKDIGKDDFVGRVMFDLTEVPVRVPPDSPLAPQWYRLENKKGQKVNNGEIMLAVWMGTQADESFPEAWHSDAHNVSQSNLANTRSKVYFTPKLYYLRVQVIEAQDLVPSEKGRAPEAVVRVQLGNQMRYTGPSPMRGTTSPIWNDELMFVAAEPFEDFIIVTVEDKVGPSNVEILGREILSVRNVPLKHETGKLPDARWYNLHRPSAVGEEETEKKKDKFSSKIHLRIVLEAGYHVLDESTHFSSDLQPSSKHLRKKNIGILELGILGARILLPMKAREGRSTDAYCVAKYGNKWIRTRTLLDTLSPRWNEQYTWEVHDPCTVITVGVFDNCHVNGSSDSRDQRIGKVRIRLSTLEINNPTHLPHNTIQVAVHNDTKLGHRKKCLGRVIISGHVVPLSESEAAVRRYPLEQRALFSDPKGEIDLRIYAFYDPSTTNTNTNTNTNTSAPPKLHPQPQPRPCDGEVPLQERKTNNMLDDQESMVGDFEKKKKSKKTEKEVRTFHSIGAEKTTSPPPPPFSFTRPPFPTAAARPFSQAASVEMRADFVKAGPPNAMLMQIPKQNPEYALVETSPPLAARLRYRGWNKVSTTYDLVEQMHYLYVNVVKAKDLPVMDISGSLDPYVEVKLGNYRGVTKHLEKNQHPVWKQIFAFSKERLQSNLLGVTVKDKDIGKDDFVGRVMFDLTEVPVRVPPDSPLAPQWYRLENKKGQKVNNGEIMLAVWMGTQADESFPEAWHSDAHNVSQSNLANTRSKVYFTPKLYYLRVQVIEAQDLVPSEKGRAPEAVVRVQLGNQMRYTGPSPMRGTTSPIWNDELMFVAAEPFEDFIIVTVEDKVGPSNVEILGREILSVRNVPLKHETGKLPDARWYNLHRPSAVGEEETEKKKDKFSSKIHLRIVLEAGYHVLDESTHFSSDLQPSSKHLRKKNIGILELGILGARILLPMKAREGRSTDAYCVAKYGNKWIRTRTLLDTLSPRWNEQYTWEVHDPCTVITVGVFDNCHVNGSSDSRDQRIGKVRIRLSTLETDRVYTHYYPLLVLQPNGLKKNGELHLAVRFTCTTWVNMVAQYGRPLLPKMHYVQPIPVRHIDWLRHQAMQIVAGRLARAEPPLRREAVEYMLDVDYHMWSLRRSKANFQRIMSLLSGVTAVCKWFNDISTWRNPITTCLVHVLFLILVCYPELILPTIFLYLFVIGIWNYRFRPRHPPYMDARLSQAETAHPDELEEEFDTFPTTKPSDIVRMRYDRLRSVAGRIQTVAGDLATQGERAQAILSWRDPRATAIFIIFSLFWAIFIIFSLFWAIFIYVTPFQVVAILVGLYMLRHPRFRSKMPSVPVNFFKRLPSKSDLLL >OIW07375 pep chromosome:LupAngTanjil_v1.0:LG08:314241:319935:1 gene:TanjilG_10210 transcript:OIW07375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPHSLSLLLLLLLLFLHHSTTAYSIGVNYGTLGDNLPPPATVANFLKTKTTIDSVKIYDMNPQILQAFANTGISVAVTAPNGDIPNLAASVDAARQWVVGKIKPFHPQTKIIYVLVGSEVLHWADPTTIRKLVPAMRNLHSALISEGITDIKVTTAHSLAIMRQSIPPSAGQFRPGFAKHVLGPMLKFLQETKTPFMVNPYPYFGYNPKSLNFALFRPNRGLYDRNTKLTYTNQFDALMDAVYSAMKALGPYGDVAIAIGETGWPSVCDGWDACSVPNARAYNNGLVKHLALGKGTPLMPNRRFDTYIFALFNENQKPGPIAERNWGLFQPDFTPVYDSGIFRNGQVSAPPIQPKPRGQQWCVPKADATNEALQANINYVCSQGVDCRPIQPGGTCYAPNNVKALATYAMNAYYQVKGHQLYNCDFSHTGVITSVNPSHDNCKI >OIW06216 pep chromosome:LupAngTanjil_v1.0:LG08:18596314:18610456:-1 gene:TanjilG_03841 transcript:OIW06216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLNMKTLTQALAKTAAVIEKTVTTTVQEVTGPKPLQDYDLLHQIGSAGPGLAWRLYSARARDPSRKHQYPVVCVWILDKRALSEARVRAGLTKAAEDSFLDLIRTDAAKLVRLRHPGVVHVVQGLDESKNAMAMVTEPLFASIANTLGNLDNVANVPKELRGMQMGLLEVKHGLLQIAESLDFLHNHAHLIHRAISPENVFITLSGAWKLGGFGFAISASQTSGDSSNFHYAEYDIEDSILPVQPSLNYTAPELVRSTASSAGPSSDIFSFGCLAYHLIARKPLFDCHNNVKMFTNTLTYLSSDAFSSIPSELVPDLTRMLSPNESTRPTAMDFTGSPFFRNDTRLRALRFLDHMLERDNMQKSEFLKALSDMWKDFDSRVLRYKVLPPLCAELRNVVIQPMILPMVLTIAESQDKNDFEQSTLPALVPVLNTASGETLLLLVKHAEHIINKTSQEDLVSHVLPMIIRAYDDNDARLQEEVLKKSVSLAKELDPHLVKQVVLPRVHGLALKTTVAAVRVNALLCLGDMINRLDKHAVLDILHTIQRCTAVDRSPPTLMCTLGVANSIYKQYGVEFVAEHVLPLIMPLLTAQQLNVQQFAKYMLFVKDMLQKIEEKRGVAVTDSRIPEVKISRALNELQSEASRTRNSAVASAKNSTWDEDWGPTAKGIATSVQNSIHTTSQSVPGNPVGQVTSLQNHAPLSGVSNQQTTKSCPSVDLEWPPRAPVGVTPQYGDAENHAVAAGTLSTSNLEDGDPFADWPPRPSGSLSGGSGSSINGNLGTPPNNFGFNSMTSTSRSMGLQSNNIWSVNSQKNSEPVSLNLGNASSTTSTLNDGLKPQNSLASLKQSHHFPASNVSYNNVKSTDLGSMFASNTNEQIAPKLAPPPSTSVGRGRGRGRGAGSTTRSSNTKSHSEQPPLLDLLG >OIW06197 pep chromosome:LupAngTanjil_v1.0:LG08:18972775:18972945:-1 gene:TanjilG_23077 transcript:OIW06197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMKKVACVVFFAAASISVVAATEVPAPAPGPSSGANAVGSFVGASIISFVAYYLF >OIW07325 pep chromosome:LupAngTanjil_v1.0:LG08:2179750:2183651:-1 gene:TanjilG_11959 transcript:OIW07325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLFLFFLTFSFFSQTFLVSSAATLPLQLISLLSIKSSLIDPFKSLHDWDPSSATLFKSNPIWCSWTGITCHPKTSQIITLDLSQHNLSGTISPEIRYLSSLNHLNLSGNDFSGTFQAAIFELSELRTLDISHNSFNSTFPPAISKLKFLRVFNAYSNNFTGPLPQELIRLRFLEQLNLGGSYFNDKIPQVYGSFSRLKFLNLAGNALEGPLPPQLGLLSHLEHLEIGYQSFSGSLPPELALLSNLKYLDISLSNISGLIPHNIGNLTMLETLYLFKNSLTGEIPSSIGNLKSLKALDLSDNQLNGSIPAQVSNLKELTILNLMLNKLTGEIPEGIGELPYLHILKAFNNSLIGTLPQQLGLNGLLQWLDVSTNSLHGPIPPYVCKGNNLTKLILFGNNFQGSLPISLSSCTSLTRVRIQNNQLNGSIPPEFAILSNLTYLDMSNNYFKGEIPVDFGNAAKLEYLNISGNSFESALPNNIWNSTNLQIFSAADSKISGQIPDFIGCRNIYRIELQGNSLNGTIPWDIGHCDKLIQLNLSKNSLTGIIPWEISTLPSITIVDLSHNSLTGTIPSNFNNCSTLENFDVSFNSLTGPIPSSGIFPNLHPSSYSGNRGLCGGVLAKPCAADALAVGNNEVEVRKQQPKRTAGAIVWIVAAAFGIGLFVLVAGTRCFHANYNRRFNNENTHDIGPWKLTAFQRLNFTADDVAECLSVSNKVLGMGSTGTVYRVEMPGGEIVAVKKLWGKQKEHLIRRRGVLAEVEVLGNVRHRNIVRLLGCCSNRECTMLLYEYMPNGNLDDLLHGKNKIDNLISDWFTRYKIALGVAQGICYLHHDCEPVIVHRDLKPSNILLDSDMEARVADFGVAKLIQTNESMSVIAGSYGYIAPEYAYTLQVDEKSDIYSYGVVLMEILSGKRSVDSEFGDGNSIVDWVRCKIKSKDGIDDILDKNVGAGCKSVREEMIQMLRIALLCTSRNPADRPSMRDVMLMLQEAKPKRKLHDNGVAENVVDNGDIPLPQKPILET >OIW05818 pep chromosome:LupAngTanjil_v1.0:LG08:23505556:23513852:1 gene:TanjilG_23604 transcript:OIW05818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINRDIRKVVAFVEIVVILSCIVGLLQVSCQNNNDDGGGGGGGAGIQILAQELYRASMANITKVLKATIKEELGFCIVDVDADWDGAFNFTKDLSFLTMCSQKLKGDITQRICTAAEIEAYARSFTTETKSAFLKPNINCNMSSWLNGCEPGWACKANQKVEVNNNKKEIPVRSIDCQPCCEGFFCPHGITCMIPCPLGSYCPRAELNKTSGVCEPYRYQIPPGKFNHTCGGADIWADITSSADVFCSSGSFCPSTIIKNPCNKGFYCRTGSTAQERCFRLASCEPKSANQNITAYGVLVFAGLCFMLIIIYNCSDQVLATRERRQAKSRERAAQSVRETQAREKWKSAKDIAKKHATELQSQLSRTFSRKKSTKTPEFKGVLPPMVGTSKAKKKDKNDLSKIINEIEENPDSQEGFNVQIGDKNMKKAPRGKQLHTQSQMFRYAYGQIEKEKALQEQNKNLTFSGVISMASDIEIRKRPTIEVAFKDLTLTLKGKNKHLLRSVTGKLYPGRVSAVMGPSGAGKTTFLSALTGKATGCHTTGQVLVNGQESSITSYKKIIGFVPQDDIVHGNLTVEENLWFSARCRLSADLPKEEKVLVVERVIEALGLQAIRDSLVGTVERRGISGGQRKRVNVGLEMVMEPSLLILDEPTSGLDSSSSQLLLRALRREALEGAGLCFMLIIIYNCSDQVLATRERRQAKSRERAAQSVRETQAREKWKSAKDIAKKHATELQSQLSRTFSRKKSTKTPEFKGVLPPMVGTSKAKKKDKNDLSKIINEIEENPDSQEGFNVQIGDKNMKKAPRGKQLHTQSQMFRYAYGQIEKEKALQEQNKNLTFSGVISMASDIEIRKRPTIEVAFKDLTLTLKGKNKHLLRSVTGKLYPGRVSAVMGPSGAGKTTFLSALTGKATGCHTTGQVLVNGQESSITSYKKIIGFVPQDDIVHGNLTVEENLWFSARCRLSADLPKEEKVLVVERVIEALGLQAIRDSLVGTVERRGISGGQRKRVNVGLEMVMEPSLLILDEPTSGLDSSSSQLLLRALRREALEGVNICMVLHQPRMFDDFILLAKGGLTVYHGPVNKVEEYFSSIGIVVPDRVNPPDYYIDILEGIVKLPESSGVNYKQLPVRWMLHNGYPVPMDMLATVEGMATPGEGSAHGAAATTGNADDTSFAGELWQDVKCNVELKKDNLQHNFLNYSRDLSNRETPGTFTQYKYFLGRVGKQRLREARTQAVDFLILLLAGLCLGTLAKVSDESFGSTGYTYTVIAVSLLSKIAALRSFSLDKLHYWRESASGMSSLAYFLSKDTVDHFSTIIKPLVYLSMFYFFNNPRSSVTDNYMVLLCLVYCVTGVAYVLAIFLQPGPAQLWSVLLPVVLTLVATYDNQEDSKYVRFLSDLCYTKWALEAFVISNAKRYE >OIW05712 pep chromosome:LupAngTanjil_v1.0:LG08:24334343:24334696:-1 gene:TanjilG_23498 transcript:OIW05712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNLSDTCSDHNSLQLMELDHGGWSRRGHEFWYARRAFLNSYHLSMETNNNGSFKRKLKKSVKEINEAVIGVVLSIRRGMQKRKVGVKAYRVTMNLPSLVLVTMRCFMPWLYKRKNV >OIW07248 pep chromosome:LupAngTanjil_v1.0:LG08:2851474:2853613:-1 gene:TanjilG_08363 transcript:OIW07248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTLLRKEHGLNLKETELCLGLPGGGSDVEIPRVNGKRGFSETVDLKLNLPSKEELNENLKNVLKEKTLLKDPAKPPAKAQVVGWPPVRSYRKNLMTQKVSNEDCSEKIGCGAFVKVSMDGAPYLRKVDLTMYKCYQELADALAKMFSSFTTAPRAMEKCKRRS >OIW06160 pep chromosome:LupAngTanjil_v1.0:LG08:19452126:19453846:-1 gene:TanjilG_01787 transcript:OIW06160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMSMRPRTPTFRAPPRRVYETLQPKTEMKQSPEAYLLHIYLPGYIKERIKITHLSSSHSVKISGERPILGNRWSKFDQTYPLPKDCEAEKLQGKFEFGTLILTMPKKKTISQVSPKQEVKTNQEKDQPGPSQKPVPEKEKPENAKDTIPPQFKSHEVEEVVGDKKNASIPSPVKGSPDPAVVAEAKPKKDQDTIPFPPQFTTTKVKEPTGDKKSVSLSPSFVKGLDDLKFKAQKGTQEDTSSKRSIENLKPEDEKGLRGLIPQNSQAETVKKHLIEGKPKNGEEGFEPNPKIVVATKTKTDEKPQQGHQEEVEPKPTSTMVTRHSTKEKGDEEIRLKTKLATINKQLEEKTMTEAAEKERVSKKEVKEDEKPYNMEKAIIKEKDTRASEVSHKELAEPSSLKAKEKRKEDMIDSVGSIGIRELAVSTCQVVTRIAEGKLNEEEKHLVVNMGAAALVIAALGAYVSYRFASSG >OIW07359 pep chromosome:LupAngTanjil_v1.0:LG08:797996:801788:-1 gene:TanjilG_10194 transcript:OIW07359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCFSYFKHKTRKLRQRSAPELNDQEKSLYSGVDRSSGSTASPRGIPELYEEKAHNLRVFSYLELRHATNDFSRLFKIGEGGFGSVYKGTIKPVGGKGDPILVAIKRLNQDGLQGHKQWLAEVQFLGVVEHPNLVKLLGYCAVDGERGIQRLLVYEFMSNRSLESHLFNKAYDPLPWKRRLEIALGAAQGLAYLHEESEVQVIYRDFKCSNVLLDENFKPKLSDFGLAREGPIAGHTHVSTAVMGTFGYAAPDYIQTGHLTTKSDVWSFGVVLYEILTGRRALERNRPKPEQKLLEWVKQYPPESKRFVLLMDPRLIGQYSIYGAQELAKLADDCLHKSAKDRPTTSQVVERLKQIIQVSEDESFVEKSIIDTSDDEPLEPEKNPDESTPSESWKRRMAHLAKLGERVESDSRRKFLILQSAKVP >OIW06805 pep chromosome:LupAngTanjil_v1.0:LG08:8509150:8518933:1 gene:TanjilG_11530 transcript:OIW06805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNYICLSSSDDDLEEIEDPRRSLPQWAAPERNSDNGGWSKKGNSSGGANTSNTTSNVNSHSQIRPHTQPSSSNNSLNHRVSQRDEPSYRTQNGNSGQHHTVNSRISNASGSDYDKMSSQEAFKRTLPLSLQSSITKALSSSSFAPDIRASSSKDHMSSSHFHDTYNSRRHGDLYRSKTETDLPDGLMSVPLLRHQKIGLAWMLQKENRSLHCLGGILADDQGLGKTISMIALILMQRSLQSKSRTDDAFNHKTEALNLDDDDDDNGIVDVDKIKKNEEADDINPITEPSSSIRAPSRKRPAAGTLVVCPASVVRQWARELDEKVGNEKLSALIYHGGSRTKNPDELATYDVVITTYAIVTNEVPKQPLVDEDESDEKNGERFGLSSEFSASKKRKKTYNGNKKSRKGRKGIDSSLDCGSGPLAKVGWFRVILDEAQTIKNHRTQVARACCSLRAKRRWCLSGTPIQNTIDDLYSYFRFLRYDPYAVYKSFYNTIKVPISRNAVQGYKKLQAVLRAIMLRRTKGTLIDGQPIINLPPKTIELSKVDFSSEERAFYTKLEADSRSQFKAYAAAGTVNQNYANILLMLLRLRQACDHPLLVKDYNSNPVGQDSIEMAKRLPRDLLINLFKELDTTSAICHVCNDPPEDPVITMCSHVFCYQCVSDFLTADDNTCPATYCKETVGEDVVFSKATLRSCISDDLGGSSSSNSHHVDYSLFQDSEYNSSKIKAVLEILMSNRKMKAPTYGSPNSCGGRGDLLSSDISFIEDCDSDVQVTKHTKKYSEPTTEGPIKAIIFSQWTSMLDLVEDALKQSRIRIRYRRLDGRMTLLARDKAVKDFNTDPEVTVMLMSLKAGNLGLNMVAACQVILLDLWWNPTTEDQAVDRAHRIGQTRPVTVTRLTIKDTVEDRILALQEEKRKMVASAFGEDHAGGTATRLTVDDLKYLFMV >OIW05845 pep chromosome:LupAngTanjil_v1.0:LG08:23208415:23214644:-1 gene:TanjilG_23631 transcript:OIW05845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGESEPKFFIPEAIHGVSTDLFAQVQMIWDLIKAPLIVPMLNAGVVICLVMALMLFFERLYMGIVIVLVKLFWKKPEQRYRYEPIQDDVEVGSSNFPVVLIQIPMFNEKEVYKVSIGAACGLSWPSDRLVIQVLDDSTDLAIKQLVEMECQRWASKGLNITYQIRENRTGYKAGALKEGLKRSYVKHCEYVAIFDADFRPEPDFLRRAIPFLVGNPDIALVQARWRFVNSDECLLTRMQEMSLDYHFTVEQEVGSATHAFFGFNGTAGVWRLAAISEAGGWKDRTTVEDMDLAVRASLRGWKFVYLGDLQRVRFWKKVYVIYSFFFVRKIIAHMVTFFFYCVVLPLTILVPEVHVPIWGAVYIPSIITILNSVGTPRSIHLLFYWILFENVMSLHRTKATLIGLFEAGRVNEWVVTEKLGDSVNNNKKDAAKKTNVKAPKKRSKFFERFQQHRWSCGPANLFRKMVMEIIRNKRVRFWKKVYVIYSFFFVRKIIAHMVTFFFYCVVLPLTILVPEVHVPIWGAVYIPSIITILNSVGTPRSIHLLFYWILFENVMSLHRTKATLIGLFEAGRVNEWVVTEKLGDSVNNNKKDAAKKTNVKAPKKRSKFFERLNLLELGFAAFLFICGCYDFVHGKHNYFIYLFLQTISFLIVGFGYVGTIV >OIW05848 pep chromosome:LupAngTanjil_v1.0:LG08:23189783:23190394:1 gene:TanjilG_23634 transcript:OIW05848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSYHNLNCSKSQMCLFKILHTITSIQPTNQPNSSTFPNNSKGIDIDLNVSIYSTTESGESESFIINQDGEETKAYAVEEKSVEVSQGTDNQVQIFGIQNNEIADVESESEEDRKGNEGSKVETASSFGDSNSIDLLIEAAKVISEKDESNSEEEKRVLSYELRSGRTRNRVLPHRYRDSVVEPLKRKQRPLSTSNTNKRRR >OIW05598 pep chromosome:LupAngTanjil_v1.0:LG08:25097379:25098914:1 gene:TanjilG_23384 transcript:OIW05598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTIMLLLVGILLLAATGAQSVGVCYGNNGDNLPNAQDAVNLYKSNGIGKIRIYYPDEGILQALKGSNIEVILGVSNDNLQALNDAGAATDWVNKYVKAYSQDVKFKYIAVGNEVEPNDPKAQYVLPAIQNIQNAISSANLQGQIKVSHAIKTSLVANSYPPNDGVFSDSASSFIKPIVNFLVSNGAPLLANIYPYFSYKDNQQSITLNYALFTQQGTNEVGYQNLFDAILDALYAALEKAGGSNVNVVVSESGWPSEGGVATSIENAGTYYKNLIDHVKGGTPKRPNGPIETYLFAMFDENLKIGDETEKHFGVFRPDKTPKYQLNFN >OIW05884 pep chromosome:LupAngTanjil_v1.0:LG08:22870788:22872495:-1 gene:TanjilG_23670 transcript:OIW05884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLVFLLLIVIFTSQIEWKQQVVVDVDSNSSISQKHQRISKGIETVKEKIILVQEKNIRRLNELVQHLQKQLHQCRSGNVTSNGTVSLLAEQILELQQHQELED >OIW07379 pep chromosome:LupAngTanjil_v1.0:LG08:244181:247489:1 gene:TanjilG_10214 transcript:OIW07379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCENSSKPCIQREREALIKFKSTFHDPTNRLSSWKGNHCCQWDGIACDNVTSHVVKLDLSNTCSKFEEYGDTLIPLKAQNLDPSLLQLEYLSYLDLSGNYFKCTPIPTFVGSMRRLSYLSLSCGSFCGTIPSTIGNLTNLRHLDLRFNSYVNLNINNINWISQLQLIEYLDISGVYLDGETNLFQVLGMLPSLSTIYMDYCELANMTIPFVNLTSTPKLQFLHLEGSQVTNPVLDALQNMTSLVHLDLSSNNLNLVPSWLSSFKKIEYLDLSSNDLRGPIPGVFKNMTSIQFLNLSNNYFSIIPSRFDNFDKLVKLDLSNNALRGPIRDIFINMTSIQFLYLSGNNFSTIPSQFDNFEKLVELDLSHNAFRGPIHDVFKNMTSIQFLDLSNNYLTSVPCSFGELKRLVHLDLSMNDFTFMECSLSTILTNLCQLKTLYFSNNKLGREPIGDVELGRCITYDLEVLDLSNNKFSDHFPTWVERLENLNHLDLHSNFFYGSIPSSLGKMSKLELLDLSNNTLDGNLPNNIEQLTNLTVLDVSFNKFYGVVPHSVGKLINLQRLDLSNNYLNVTIPQTLGKLINLEYLDLSNNQLDGFIPQSFGQLTHLWYLNISRNKLHGNIPDNFYYLVNLYSLDLSSNKLDGMIPVIPHLWFMNLSYNHMHGSLPKNIGNKMPYLGILLLGSNLINGSIPNSLCQSELYNLDLSKNKISGVIPNCWRNNPFWEEINLSSNNLSGVFPTSFGNLSSLLWLHLNNNNLRGQLPMHMNTLEQLLIFDLGENQFSGSIPSWTINTFPSLQILRLSKNKFSGSIPSQLCELAALKILDLSSNNLVGSIPKCIGNLKGMTTDKSNSESLSELKPIYVVFIGFNGFNGSFSEWSQQDVKQVMKGREFDYLKIVKFVVNMDLSENKLVGLIPEGITSLIGLHGLNLSHNCLEGEIPKMIGDMKSLESFDISHNQLSGHIPNNMFSLTSMSHLNLSYNNFSGPIPQGYQFSTYDRYVYADNPYLCGPPLLKCPIDDSHSGRGFEEDEDGKEDRLEKLLLYSIIAAGFATGFWGIIVVLVLKKSLRYACFRWVEDATDMVYVEVVIKVARMKKWLVTNHVQG >OIW07115 pep chromosome:LupAngTanjil_v1.0:LG08:5270798:5273104:1 gene:TanjilG_02749 transcript:OIW07115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNRFLCEICNKGFQRDQNLQLHRRGHNLPWKLKQRTSTEIIKRVYVCPEPSCVHHNPARALGDLTGIKKHFCRKHGEKKWKCDKCSKKYAVQSDWKAHSKICGTREYKCDCGTLFSRRDSFITHRAFCDALAEDNKGNEEQLSKMGSNLQCQPMPNLVPSLPINTNIIPNPQVGGTTSEFNNHSDQRHNPLSSLPNPATSKPFNNNMEMRNTCPSLHLSSNLLASHEGSSLMSATVLLQKAAQMGATLSNNNSNIATTMAPLSFGSMVQLQQQQQPDSVHSFMNHYMQQQQQDHVSSQYNNFNANHDMSTGDNEGSVAGMNGVDMFNVIYDQSKALSKIIEQNNRSINSGVLHQKNGGSSSGATSIGGSKGSGDVMTLDLLGTGGGGDRNLNIYDGAQHAETSAEDEVWRNWSNKNEDFESFSASSSM >OIW07229 pep chromosome:LupAngTanjil_v1.0:LG08:3253935:3254585:1 gene:TanjilG_08344 transcript:OIW07229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECNKDEAIKAKEIAEKKFAAKDIFGAKKFALKAQNLYPNLEGISQLITTFDVYISAKNRINGEPDWYGILGVDRFIDENTLRKQYRKLALELHPDKNKSIGAHGAFILISEAWKILSDKGKRVAYDEKINARGHEISSNNGASLSAENNASSCASTVQKKAPKEHDAFSSFCSADPYEIEKLIQEVLEEEKRKREERKAARMKHLRMFCVCSCTR >OIW06842 pep chromosome:LupAngTanjil_v1.0:LG08:8436810:8437111:1 gene:TanjilG_03737 transcript:OIW06842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTGTSTPTTEKSSDPEPELPTRIQQPPAAVTIKPLSFSNGVLKRHHHQIVNATANVAATTAAIIYKECLKNHAASLGGHAVDGILFLNLLLSSSSSSSS >OIW06843 pep chromosome:LupAngTanjil_v1.0:LG08:8437212:8437725:1 gene:TanjilG_03737 transcript:OIW06843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GGGVGGGRGGGIGGGGVAVGGGIGGGAGGGVVDGLGGGGGAGGGAGRGEGRGSSGGAGGGSSGGGAGGASSGGSGGGGGFGGGVGVGGGAGEGKRLWRWCRWRC >OIW06627 pep chromosome:LupAngTanjil_v1.0:LG08:10030045:10032920:-1 gene:TanjilG_04021 transcript:OIW06627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPIFCGNFEYDARESELERLFRRYGKVERVDMKSGFAFIYMEDDRDAEAAIRALDRVEFGRKGRRLRVEWTKQERGVRRPAPSSRRSSGNGRPSKTLFVINFDTYHTRTRDLERHFEPYGKIVNVRIRRNFAFVEYESEDDARKALEATNMSKLLDRVISVEFSVKDGDRRDGYSPERGHDLQRNRSRDGRRSPSPYRRERDSPDYGRGPSPYKRERSSPDYGHGRSRSRSRSRSPLRRQHASPAYGRRSPSPYRRERDGSDPVRGSSRSPFHKEQVRTDDHSISPSHKSLDGSERTSPQNGRGSSRSPYDLEKAASPENGHGFKHSPEEEGAISPDNGYGGSPNALPDSPQLQRYRSQSPPAED >OIW07061 pep chromosome:LupAngTanjil_v1.0:LG08:5864420:5866048:1 gene:TanjilG_02695 transcript:OIW07061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRHSCCYKQKLRKGLWSPEEDEKLLNYITKHGHGCWSSVPKLAGLQRCGKSCRLRWINYLRPDLKRGAFSQQEENLIIELHAVLGNRWSQIAAQLPGRTDNEIKNLWNSCLKKKLRQRGIDPNTHKPFSEVENDIDKPSIADKSTQKLVSVGSNEVCSFIEPPKPNQTSIPSERYNPIEVSTSSKINNNSSNSNLTSTTTTQELFLDSINSCRPSDMIGGGYFSFQHLNYGPNMNNTSLYMSDLNSSITSAMLHNSLSTSLFQTPTHVKPTVTLHSNNNFNNNPSISSGDIDGNSNNTMQLQNNTDFLGNNSTITWGMEESVKGDKDVQVTLQGVEQEEIRWSEYLNTPFLLGNTVQNQTSQSVYTDVKPESGGFITEDSSTSWQQHNQQHQPTFQLSDIYNKDLQRFSVAFGQTL >OIW06307 pep chromosome:LupAngTanjil_v1.0:LG08:15551234:15555837:1 gene:TanjilG_17681 transcript:OIW06307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLSSCSSGCNCGSSCLNKAFQHRPVKKMKLVQTEKCGSGIVADEDIKLGDFIIEYVGEVIDDKTCEERLWKMKHRGETNFYLCEINRDMVIDATYKGNKSRYINHSCCPNTEMQKWIIDGETRIGIFATCDIQKGEHLTYDYQFVQFGADQDCHCGAVGCRRKLGVKPGKPKMSSDAALKLVAYQVYQNRGLQIGSSKVVDQSKCLRNCIGEVIRIKHLENVRFGIIKRFDKYTQKHSIMFENGCVEIYDMSKEDWELVGL >OIW06546 pep chromosome:LupAngTanjil_v1.0:LG08:11103815:11106901:-1 gene:TanjilG_29967 transcript:OIW06546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLQAELITIGITAGLALGILISCLVFFGIRWYKKWSNSTRSASEGTSTTLPIRTNGLDTSTDFSASITSSISMSENVKSYSPFSWWSCQSKDHFASQSGIPKYSYKYVRSLLSTREIQRATQNFTTTLGQGSFGTVYKATMATGEVVAVKVLATDSKQGEKEFQTEVVLLGRLHHRNLVNLVGYCVDKGQRILVYQFMSNGSLGNLLYGGEKKLSWDERLQIALDISHGIQYLHEGAVPPVIHRDLKSANILLDNSMRAKVADFGLSKEEAFDGQNCGLKGTYGYIDPAYVSTSKLTMKSDIYSFGIILFELITAIHPHQNLLEYIGLAAMDHDGIDGILDKQLVENCDIEEVRQLAIIAHKCLHKSPKKRPSIGEVSQVILRIKNRRQHRPLSRGLSQLEDQNDELSRTASMNHKQSG >OIW06560 pep chromosome:LupAngTanjil_v1.0:LG08:9566245:9575433:1 gene:TanjilG_03954 transcript:OIW06560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRYRLIAEFTAQKLLAHSEYPNDAEIKCEVERLEGESRQLYGVQRWKDIDFKMKCSPKVKGNDLNVMHVANVFRTIMAVISMLLFSVMFYPVSVEVDQKVFDQFPQLKSISTSLKNIVYVLSHRLHQIQDHSRPVLVEYKMTEKVVMHMKGDILHGDAMDTRFTQKNSDLLQNSSMVLRNGLQLFENAVNEFFDERRKKHHRHLQDSSSSPSSSSDSDSDSHSSRKRRHRREKERHRRSDGKESRRREKDEKRKKRRDRDSKSRKRKKRLSDSDEDGDSSEEDRFRVQPQIVLRQLMMEFPNAGNDLKLLLQMIDDGQAVDIKGISERSLMKHLKKLFLSLNLKENGDRVFLLPSEARPTLDVVGPLIHSYMNPVKEDADPSAPVPETCPVPKDIGSEQTMDDQMTKTLEDNSVGPRRRVIGPAMPSAELLAAAAKLTEAQTELKEAELDDDTELFIGPAPPAMVSEAESANEAERFEEVTRIMEVEAESPYDVLGVNHNMSNDNIKKRYWKKSLLVHPDKCSHPQAHQAFIKLNKAFKELQDPEKRKAMDDKIKLKQEQEEMKAELKVMREAALWRRSQGISMDGDDVLLAETEVKVEPKRDEWMTTLPPERRPGGVSMQSTTFSRGSKEGRGDTSAWTDTPSDRAQKAKMNYLEAYNEASALASNEEENKRASADADLVDKYNKAKRSKTLVQKHQEDVAGKSKKKSKQLSEKEEWAGQHPWKPWDREKDLTAGRKSVKFDSNSMSDGLSSRFSSGNFQRNFL >OIW06255 pep chromosome:LupAngTanjil_v1.0:LG08:17333543:17339031:1 gene:TanjilG_19934 transcript:OIW06255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSYLNDRIDSTFYFVEIALVFNVPEYKFEKNECMGCISSVRYPFSNEIELSYHSEKDTRIFIEKARVELPLIPLDEAKSPSRLAYTTNFGAGKRRVFEIGNFIFQRTLRPLNDFIMKVLSHIPMEASRPLTKGSIAMPPEEGGIEKVGEDIPSPKYGRSQKTPLHSSVT >OIW05996 pep chromosome:LupAngTanjil_v1.0:LG08:21789185:21789973:1 gene:TanjilG_11683 transcript:OIW05996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESHNEDHAAKMLQAQTHILHHTFSFINSMSLKCAIDLSIPDVIHKYGQPMPLSILIASLSIHPSKTTFIYRLMRILTHSGFFSQHKKDLEVSYELTDASRLLVKEHSLSVTPFVLMVLDPSYLRPWDQFSTWFKSGDPTPFVMEHGVAFYDYIDQDPRHSHMFNDAMASNARLVSSMVIEKCKGVFKGLKSLVDVGGGTGTMAMAIAKSFPQLDCIVFDLPHVVAGLEGSDKNLKYVGGDMFETIPCADSILLKVKLYIVI >OIW05789 pep chromosome:LupAngTanjil_v1.0:LG08:23759860:23762986:1 gene:TanjilG_23575 transcript:OIW05789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTPKTRTTALDTGSVSSLSQANKISKERSPKVVDRKSPRSPVPERKRPSRISDLESQISQLQEDLKKVKDQLILSESCKNQAQEDAEESKEQLLALSAKLEDSQKQLLELSGTGKAHVTELQKFSQVRDETWQSELEASQKQLSADPSPLASALNETRQLKVKLELVANCKSEQTQHTESADVELLNLKQNLSQTLSLVESMENQLRNCKESESQPQDLINETLLQLEAAKKAMELLHADAAKSLDAYNSIALELDQSRARVNSLEALVRNLEADLISNEGIQSQNLASDHIFSQEPERLKEDEDPNQVEAETYYLRSEVERLRSAIETAETKHQDEQIQSTVQTKNAHELIEKIKSECSRKECELEDELNRKKADIEELKANLMDKETELQCIVEENESLNLKLDESMSSRKEHELKKELKRLDECVAELKADMMDKETTLQSISEENDMLKLEMKRFSDGGKARDEVAAEVEAAKAAACDALSKLGIVMEEADKSNRKAARVTEQLEAAQVTNSEMEAELRKLKVQSDQWRKAAEAAAATLSAGNNGKLTDRAMSLDNYKYSPYAGDIDDDDFHRKKNGNMLKKIGVLWKKAQK >OIW06324 pep chromosome:LupAngTanjil_v1.0:LG08:15274323:15278290:-1 gene:TanjilG_17698 transcript:OIW06324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLALLLTEKDTNNVICYFCSNTYRGGIARLKNHLMGRLGNVKICPECPTEIREELWEIFNKKQHKDAATYQRVVQDVRDILGDSNEERALDEGFEETTQTTSSVVGKVVEELDEEVDEGVDEEIDHGQSEEEAATFECNDSDEEEIEGYVTEFAAVEDDVGEEDDI >OIW06777 pep chromosome:LupAngTanjil_v1.0:LG08:8757215:8762655:1 gene:TanjilG_11502 transcript:OIW06777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKAIDLEEGWGYMVKGISKLERILEGLPEAPFSSEEYMMLYTLIYNMCTQKPPNDYSEQLYEKYREVLPPLREKHHVFMLKELVQRWSNHKVMVRWLSRFFHYLDRYFVMRRSLPALSGVGYICFRDLVFMGVQAKVQKTVIALINKEREGEQIDRSLVKNIIDIFVAIGMGQMDHYEQCFELKMLDNTADYYKSKAANWIEAEECLKRERDRVSHYLHSSTEQKLVEKVQHELLMTHANQLLDKEHSGCHALLRDDKVEDLSRMFKLYHKIPRGLEPIANIFKKHITAEGVALVQHAEEAASNQTANGSANQEQVLIRKFIELHDKYMAYVNVCYMNHTMFHKSLKEAFEVFCNKTVAGCSSAELLATFCDNLLKKGGSEKLSDEAIDETLDKVVKLLAYISDKDLFAEFYRKKLARRLLFDRSANEDHEKNILTKLKQQCGGQFTSKMEGMVVDLTLARDKQTGFENYLRDNQHVNLGMDLTVNVLTTGFWPSYKSFDLKLPPEMVKCVEVFKDFYESTANHRKLSWIYSLGTCNINGKFEPKTIELIVSTYQAAALLLFNTADRLSYSEIMTQLNLTNEDLVRLLHSLSCAKYKILKKEPNTKTISPNDTFQFNSKFSDRMRRIKIPLPVVDERRKVIEDVDKDRRYAIDAAIVRIMKSRKVLGHQQLVVDCVEQLSRMFKPDIKAIKKRIEDLISRDYLERDKDNQNTFRYLA >OIW05894 pep chromosome:LupAngTanjil_v1.0:LG08:22739191:22756397:1 gene:TanjilG_23680 transcript:OIW05894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVDSSMALESDHDPAVLNHAVSAERESQEDLPSGGGSPSTPQLQQQQQPLVQQQTGAGGQVQQNPVAGPRLAPTYSVVDAILEKKEDGPGPRCGHTLTAVAAVGEEGTPGYIGPRLILFGGATALEGNSAASGTPSSAGNAGIRLAGATADVHCYDVLSNKWSRITPFGEPPTPRAAHVATAVGTMVVIQGGIGPAGLSAEDLHVLDLTQQRPRWHRVGVPGPGPGPRYGHVMALVGQRYLMAIGGNDGKRPLADVWALDTAAKPYEWRKLEPEGEGPPPCMYATASARSDGLLLLCGGRDANSVPLASAYGLAKHRDGRWEWAIAPGVSPSSRYQHAAVFVNARLHVSGGALGGGRMVEDSSSIAGSPPNPKLQPLASAYGLAKHRDGRWEWAIAPGVSPSSRYQHAAVFVNARLHVSGGALGGGRMVEDSSSIAVLDTAAGVWCDTKSVVTSPRTGRYSADAAGGDAAVELTRRCRHAAAAVGDLIFIYGGLRGGVLLDDLLVAEDLAAAETTAAASHAAAAAAASNVQVGRLPGQYGFIDDRTRQTMAEATADGAVVLGNPVAPPVNGDMYTDISTENAMLQGSRRTSKGVEYLVEASAAEAEAISATLAAAKARQENGEVELPDRDRGAEATPSGKQTSSLIKPDSAGPNNVTPGGVRLHHRAVVVAAEPGGALGGMVRQLSIDQFENEGRRVSYGTPENATAARKLLDRQMSINSVPKKIIAHLLKPRGWKPPVRRQFFLDCNEIADLCDTAERIFSSEPSVIRLRAPIKIFGDLHGQFGDLMRLFDEYGAPSTAGDIAKGKNDYLFSPHPLPRWLVLDILFGLVDYYNTTGDYVDRGQHSLETISLLLALKIEYPNNVHLIRGNHEAADINALFGFRIECIERMGERDGIWAWHRINRVFNWLPLAALIEKKIICMHGGIGRSINHVEQIENIQRPITMEAGSIVLMDLLWSDPTENDSVEGLRPNARGPGLVTFGPDRVMEFCNNNDLQLIVRAHECVMDGFERFAQGHLITLFSATNYCGTANNAGAILVLGRDLVVVPKLIHPLPPAISSPETSPERHIEDTWMQELNANRPPTPTRGRPQHGTIHGVTELVILIPAGNPKV >OIW06330 pep chromosome:LupAngTanjil_v1.0:LG08:13989668:13997388:-1 gene:TanjilG_14975 transcript:OIW06330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGRVRWDETNIEEIEANKPVRQKITEPKTPYHTMIDDDSSLSPGRGSFDACIDDENHTRHVDAIWNALTDASSTSRGGNGESGGWTSSEDELETMEQDEDSETDRSISFKQHRKAHYDEFLKIRELRRNGSLAEDEDDETNNTTAEIGSGKECVSSSSPSHNVKEMEIEGEKSSTPPPNGS >OIW07292 pep chromosome:LupAngTanjil_v1.0:LG08:1634071:1634541:1 gene:TanjilG_11926 transcript:OIW07292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRKGEVDSITMTNFMMFVSHGGEFETNYNNSSNNHVYECKTCKRWFPSFQALGGHRASHKKPRLMMVKDRDIDNQLVLNSQSLSKPKTHECSICGLEFALGQALGGHMRRHRTSNFNGNMQNNTTTMVLDLNLTPFENDLKVLKIAKIPTLVEYL >OIW06486 pep chromosome:LupAngTanjil_v1.0:LG08:12350411:12351061:1 gene:TanjilG_05257 transcript:OIW06486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVNSPVIAKRLWNVLRVTFFMTKKGLISKRKVIIDMNLMMKKGKLLRKSMRNLMHHNHYHAKNLARRGYGIQEYEFSCSNSPNPVFFHVPKRKHHFTFPCIKSNEVVEDESDELDAFEGYCEENKAIVLVPKTPENVFNIGFGVSDFGSGEKKNSLLSPFSVRISNYSSEDENEESGKGEVDEKAEDFITRFYEQLRMQSQMQLLQYPNMQGQRL >OIW07205 pep chromosome:LupAngTanjil_v1.0:LG08:4185271:4187305:1 gene:TanjilG_17753 transcript:OIW07205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVFQARAIRHAQTCISRCSFTLHSCHSHTPRYMSKLAPLQERKMRDRVKIFAKAGDGGGGCFSFRRSQRDRHGRPDGGNGGRGGDVILECSRRVWDFSGLQHHLIAEKGGQGLSKNKIGTRGADKVVHVPVGTVLHLLSGDIPSVVKIASSTDVDPWDIPGALAEDLSDPVDSSLSNATSGKAEAKGRHPTGCSTSQAAETKVEKSLKSRQFAQTDISSQLSITDDTPKFGTEDIGEEQEIQYSVAELTEEGQQIIIARGGEGGLGNVSMSKDLRKTMATKAGTYQDKSDLEDSDSDHSSLSGGVPGSETVLILELKSIADVSFVGMPNAGKSTLLGAISRAKPAVGHYAFTTLRPNLGNLNYDDFSITVADIPGLIKGAHQNRGLGHAFLRHIERTKVLVYVVDLAAALDGRKGIPPWEQLKDLILELEYHQDGLSNRPSLIVANKTDEEGAEEVYEELQRRVQGATIFPVCAVLGDGIPELKAGLRLLVNSEMSSTLSLDQIFLD >OIW07080 pep chromosome:LupAngTanjil_v1.0:LG08:5705632:5711194:-1 gene:TanjilG_02714 transcript:OIW07080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKSKAAKTSAASSPATAPFKAGFAVEISSDDHGFRGSWFTGKIIRRVANNRFLIEYDNLMEDDSGSKRLREVLNLHQLRPILPTETGREFKFGDEVDAYHNDGWWEGHITEECGDGRFAVYFRVSREQIVFQKEELRLHREWFHEVWVPPFEQQQQQQQEPEEVLTPALKSAETVTPAVKSAETVTPAVKSAETVTRAVKSAQTVTPNVKSVKIVTEERFSVGTPVEVSSDEEGFQGAWFSATVVQVIGKGKFLVEYQSLLADDGSQLLREEVDTHHIRPHPPQTVDGHFSLLEEVDAFHNDGWWVGMVSKFHDNSRYVVYFRNSSEELEFQHSQLRKHQDWIDGKWTVASKESGLCLSPSVADHPLGPATDHRLGKLLPPQLANQTRAPPRADSSFCSSTYGVLVPVSSCCSPPKGRFLRVTHPSAAKNTTSCPTCMCKACRQRSS >OIW06018 pep chromosome:LupAngTanjil_v1.0:LG08:21958161:21963756:1 gene:TanjilG_11705 transcript:OIW06018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAGNGLFYPTFGFASLIFFTYMSFGDIRFGFEEEPELSFVERNGTQFVSDGKTFYINGWNSYWLMVQSVDDYSRPRVHEMLKGGAKMGLTVCRTWAFNDADYNALQVSPGVYNEQAFKALDYVISEARQHGIRLLLSLVNNLQAYGGKTQYVKWAWQEGVGLSASNDSFFFDPSIRSYFKNYVKTILTRKNTITGIEYRNDPVIFGWELINEPRCITDPSGDTLQDWIEEMSAFVKLIDKNHLVTVGLEGFYGPNDPKRLTVNPEAWASRLGSDFIRNSQVSNIDFTSVHIYPDHWFHEQGVEDYIKFVSKWMLSHIEDGDKVLNKPVLFSEFGLSDTIKNFSLSDREKMYKTILDITYKSAKKNKSGAGTLVWQFLVGGMNEFIDDFGIVPWERPSTFSLFIEQSCRLAKFNGLSEQNIRFKEYC >OIW07027 pep chromosome:LupAngTanjil_v1.0:LG08:6219963:6221208:1 gene:TanjilG_02661 transcript:OIW07027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAVDESEESMYALSWCISNLISDTKSNNKLVLLYVKPPPAVFSDAAGYIFSTEAIAAMEKYSTELADSVMERAKVIFNNLNATNINIERVIGIGDPKNVICKAVHKLEADTLVMGSHGYGLIKRALLGSVSDHCAKYAKCPVVVVRQP >OIW06996 pep chromosome:LupAngTanjil_v1.0:LG08:6541541:6541825:1 gene:TanjilG_14334 transcript:OIW06996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTSNPAAFVNAQLLSNFIGKKVRTVVQVNQSHGGVTIAKSTDNQQLTVTGLPPVPLMNFVEVIGIAENNNSINAEIWTDFGNTFGMNNYKLYV >OIW07341 pep chromosome:LupAngTanjil_v1.0:LG08:1155468:1156745:1 gene:TanjilG_10176 transcript:OIW07341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIPIKVATQIHTSPTICNSNTTFSHTTTTSTTSTLPFNKSKLSLGRHKRDMDQCNSPKLSNKWMQYQGINHWEGLLDPLDDNLRREILRYGQFVEAVYHSFDFDTSSPTYATSRYLKDSILTMSAMGKSGYRVTKNLHATCGVQLPEWIAKMTQMPNIQSSWIGYVAICQDKEEIARLGRRDVVVALRGTTTCLEWFQILRATLTKLPYHLNNNDNDGGEDKCGSSAMVENGFLSLYVSKTTTCPSLQDMLREEIGRLIQSYGDEPLSITITGHSLGAALAILGAYDITTTFKNAPLVTVISFGGPRVGNEMFRSQLEQNGTRVLRIVNSDDVITKLPGFVVKNDDMKIRSDNVTNIQSWFHKRVEDMQLVYADVGQELRLSSGESSHLKKKDFTTCHDLKTYLHLINDFVSSSCPYITRMTHY >OIW06795 pep chromosome:LupAngTanjil_v1.0:LG08:8595680:8601943:1 gene:TanjilG_11520 transcript:OIW06795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVAQNNIDVEDGNLEIGMEYRTVSGVAGPLVILDKVKGPKYQEIVNIRLGDGSTRRGQVLEVDGERAVVQVFEGTSGIDNKFTTVQFTGEVLKTPVSLDMLGRIFNGSGKPIDNGPPILPEAYLDISGSSINPSERTYPEEMIQTGISTIDVMNSIARGQKIPLFSAAGLPHNEIAAQICRQAGLVKRLEKSDNLHEGGEGDNFAIVFAAMGVNMETAQFFKRDFEENGSMERVTLFLNLANDPTIERIITPRIALTTAEYLAYECGKHVLVILTDMSSYADALREVSAAREEVPGRRGYPGYMYTDLATIYERAGRIEGRTGSITQIPILTMPNDDITHPTPDLTGYITEGQIYIDRQLHNRQIYPPINVLPSLSRLMKSAIGEGMTRKDHADVSNQAMKAVVGEEALSSEDLLYLEFLDKFERKFVAQGAYDTRNIFQSLDLAWTLLRIFPRELLHRIPAKTLDAYYSRDASN >OIW06942 pep chromosome:LupAngTanjil_v1.0:LG08:6942998:6943798:1 gene:TanjilG_18330 transcript:OIW06942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIVHSEISSTGISPRISFSYDLKNTDNDLVPIEDRSTLCLLNSSSDFVFCITNGLSQKLSSADELFSDGKIVPMEIKHDINSPESSHQPQKPYLPISQPSTTKCTEKKRLKEFLSSSSDEAENETEKPLLKYFWQFKRSSSLNIDTNRANSFIRSIQFLSRSNSTGSAPNPNKTEVTGETQKQRFRKQSSVSSRRSSVSSSFSSSSSTYYFYSSPSLKKNGGGSSGNGVRISPVLNLPQANIPKATAKLFGLGSLLCNGMVKKKK >OIW05647 pep chromosome:LupAngTanjil_v1.0:LG08:24740017:24740997:1 gene:TanjilG_23433 transcript:OIW05647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSPCCEKAHTNKGAWTKEEDDRLISYIHAHGEGSWRSLPKAAGLLRCGKSCRLRWINYLRPDLKRGNFTQDEDELIIKLHSLLGNKWSLIAGRLAGRTDNEIKNYWNTHIRRKLLNRGIDPATHRPLNDADNHVQDQEVQASVAATNTISFASSASAAIVKQEQDTSITSKSSMFGVVGENNSEVGKGILLERCPDLNLELTISPPRLNEPDESFKNIERSLCFGCSLGLQNSNHCNCGNRNNSTATSYDFLGLKTGVWDYRRLEMK >OIW06771 pep chromosome:LupAngTanjil_v1.0:LG08:8796349:8796642:-1 gene:TanjilG_11496 transcript:OIW06771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKVMRLASQKGVVIFTKSSCCLCYAVNILFKEIGVVPSVYEIDKDPEGREMEKAITRLGCTAPVPAVFIGGNLVGSTNEVMSLHLSGSLVPLLQQC >OIW05697 pep chromosome:LupAngTanjil_v1.0:LG08:24425298:24429045:-1 gene:TanjilG_23483 transcript:OIW05697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDYVISDDDYQYSDDQDESVEAYENDETDYQLITSKGPSTQVITKESLLAAQREDLRRVMDMLSVKEQHARTLLIYHCWDVEKLFAVYVDKGISHLFAEAGVTMDKHHCSDSSFHSLIMCEICMEDVPIDEATGMDCGHCFCNTCWTEHFVVKINEGQSKRIRCMAHKCYSICDEAVVRTLLGRKHPDMAEKYERFLLESYIEDNKRVKWCPSAPHCGNAIRVEDDELCELWAKKCRDESETVNWMTVHTKPCPKCHKPVEKNGGCNLVSCICGQPFCWVCGGATGRNHTWSSIAGHSCGRYKDQEKSAERAKRDLYRYMHYHNRYKAHTDSFKLESKLKETIQGKIDISEEKDSKLRDYSWVINGLSRLFRSRRVLSYSYAFAFYMFGDELFKDEMSEAQREIKQNLFEDQQQQLEANVEKISKILEEPFDTFPDDKVMEIRMQIINLSAVIDKLCQKMYDCIENDLLGSLHQTHNIAPYRSKGIERASELSVWSNKANNTGRIAEVDRPSGSGSSDDHECSSHKRARKESNGGRGGAFFDLNLPAEFVDRN >OIW06032 pep chromosome:LupAngTanjil_v1.0:LG08:22039901:22044795:-1 gene:TanjilG_11719 transcript:OIW06032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQILSPNNSSSSNGNDHSGNDIINWFEDVSKNAGSVQTQMLSQILKQNFGVEYLKKWLGDYNIQEMDACALESLFTSVVPLSTHADFEPFIQRIADGDTSPLLTQQPITTLSLSSGTTDGRQKFLPFTRHSAQTTLQIFTLSAAYISRVYPIREGGRILEFIYGSNRFKTKGGLTVGTATTHYYTSEEFKIKQEKTKTFTCSPDEVISGGDYKQTTYCHLLLGLFFSEQVEFITSAFVYSMVQAFHSFEEVWREICSDIRNGTLSSRIKSPKMRNAVLNIISPNSHLASKLEVACYELEVVDWFGLIPKLWPNAKYLYSIMTGSMQPYLEKVRHYANGLPLISAGYGSTESWIGLNVDPSLPPEKVTFTVVPTFSYFEFIPLYRHQQGCTSDADDFMEEKPIPLSQIKVGQDYELVLTTFTGLYRYRLGDVVEVTGFHNGTPKLNFVCRRKLILTVNIDKNTERDLQLVVEKGSKLLRKAKAELVDFTSYADISAQPGHYVIYWEIKGEVEDKVLHACCSEMDISFADHGYVVSRKTNSIGALELCIVEKGTFKKILDNFIANGAALSQFKTPRCTNNHDILRILNTCIIKKFLSTAYTSNQPSNI >OIW07321 pep chromosome:LupAngTanjil_v1.0:LG08:2065302:2067494:-1 gene:TanjilG_11955 transcript:OIW07321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACSSYTLRTSVNPSSIHPGSLLTAPVAKSFLPYTSSNSFVTKSLNLSTTHLSSVPRKSFSCKSQAQPIEAEKIQELHVYEINERDRGSPVYLRLSNRPVNSLGDLVPFSNKLYTGDLQKRIGITAGLCILIQNKASKNGDRYEAIYSFYFGNYGHLTAQGAYLTYEDTYISVTGGSGIFEGVKGQVKLHQIVFPFKIFYTFYLKGIKDLPEELINITPLQPHPSVEPSPDAKALKPHATIAGFTD >OIW07181 pep chromosome:LupAngTanjil_v1.0:LG08:4492930:4495834:-1 gene:TanjilG_10154 transcript:OIW07181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSLQFLPPPPPPRSSTISTVLESLNNLLSLQIPLPPKPLNPNLIQCPFNPNHRMPPSSLFLHHLRCPSSPRLIPDLHRLLHSLTYPTTLNTTTNHSHFPLTFSSDFLSNFFYNNCPAAVTLSDPQNVNTPIILPRVLSIECVDSRDSDIVNSNYEKVYTSILTSHYWAISREIESWNDFPNAYSNKVLSAIYGIGIANECDLMNWVIVNSPRYGVVIDTPMQQHIFLLCCLCFKSISREAFVSKEKRCLQLDCPVLNQALTWLVSQVSILYGTINGKLFVLNFVRKCILAGASGLLLFQPQNSDTNSVGINDAKPDAQLEEKTNWIVNKEVFMSQVAAAVAALHERSLLERKIKEFWFSQQPSNYQRASEHYYLSERANEERKKRADFRPLIDHDGLHGQQSSNQETSREKTREELLAEERDYKRRRMSYRGKKMKTSAVQVMRDVIEEYMEKITQVGRVVSPVSKESGLRPSKPPSSHGIPMEANYSRKVNHDSPAVTISNPSYNGKHSRTNSWDKSKAVEDVFSRNYKQCRQEHYRSHDYAEDQPNTGQGKYRRDRASKSPERHRTRSRPHEHSSHHSSRKKYDHLSGTKDRQQNNSHRNDISDSVLKNAFSDRYIPSDSHDVRDDDTLSDAKFNKPDKFKEHH >OIW06966 pep chromosome:LupAngTanjil_v1.0:LG08:7158618:7162649:1 gene:TanjilG_18354 transcript:OIW06966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSYLGLFLCILLVCCSVTPFSIIGSRRSILREVSNNEKSDHPDYAVELNATNFVDVLKDTPATFAVVEFFAHWCPACRNYKPHYEKVARLFNGPDAVHPGIILMTRVDCASKINNKLCDKFSVGHYPMLFWGHPPKFVGGSWEPKQEKSDISVIDDARTADRLLNWINKQLGSSFGLDDQKFGNEHLSSNISDFGQIVKAIYDVEEATSTAFDIILGHKMIKPESRVSLIKFLQLLVAHHPSMRCRKGSAKLLMSFDDLYPTDFWSTNEQEIDEVSLSNLQICGKDVPRGQWIFCRGSKNDTRGFSCGLWVLLHSLSVRIEDGESQFAFNATCDFVYNFFICEECRQHFYKMCSSVSSTFHKAQDYALWLWSTHNQVNDRLSKEEASLGTGDPEFPKTIWPPKQLCPSCYLGYDYRNKKIEWNQDEVYKFLTNYYGKTLASLYRDKNIVGNDRTDGGAEDLIVEATNAVVVPLGAALAIAIASCAFGALACYWRSQQKSRKYFRHPHSSKSI >OIW05674 pep chromosome:LupAngTanjil_v1.0:LG08:24570876:24572243:-1 gene:TanjilG_23460 transcript:OIW05674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSVRTGGKGTVRRKKKAVHKTTTTDDKRLQSTLKRIGVNAIPAIEEVNIFKDDIVIQFLNPKVQASIAANTWVVSGSPQTKKLQDILPSIIHQLGPDNLENLKKLAEQFQKQAPEAGAGTATIPEENDDDDVPELVPGETFETAAEETKAAS >OIW07350 pep chromosome:LupAngTanjil_v1.0:LG08:927609:929009:-1 gene:TanjilG_10185 transcript:OIW07350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRILHQPFQPLDSLPPSQQPPILPSSTTIPLQPPPFFPFYPSPPPPPPPPPTFSTFVSFPANISSLLIPHSFQPKKTSSSKLLYIVIITIIVIIVSVSTFVCYCRRKRYTSVGNNKTVRTESSLGLFSQNNAETETINRLTHTVPSNSDFLYLETVLNSHRIDVDDADSGASCSRKMESPELQPLPRLALRQHYSQPPQALEEFFSPKASSMGASECSSQNGLSSWRVLPEQSVELSSNSCSSTSVSPNLSPKRSKLKSSEETRSLASTSLISSPERNQVLSPSLEKKNDTLSDRTLVENNDAIPMLSNDSNGSRKSQATSSSSGFSLPSSPEKARYCDSFDQSPRISSFSDQYRLSGLSSVPLSPTLVSSPERELSHAWNVSEKFTNCVSQRKQHWEIPVFSVPTGPSHRVSLSLPSQLPRRKQWEVPAVSAPVDQPLSRPPELTPPSRPFVLLKPTQKVSPVE >OIW05611 pep chromosome:LupAngTanjil_v1.0:LG08:24986461:24989635:-1 gene:TanjilG_23397 transcript:OIW05611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSIIPHGSLKNGEVLDGSNIMELVGNEKVFSNFVEHKFEELDKDKDGKLSVNELQPAVADIGAALGLPAHGSNPDSDHIYSEVLNEFTHGKHEKVSKSEFKEVLSDILLGMAAGLKRDPIVILRIDGEDLVEFVNGPSYEAEIASIFYHIASPHKSLHHLVIEALAKLTVEQGIPPTSDSWVINNIVEPAMLSVVGTNWDKPLSDQDGFFEEFKKVALSIADRLKDQPVIVAHSENTFDGSGVKRLLFNKFELDKTFNSALENLPKDRNGKFSKEYLRVALDMLSPSAGLPPVGAVEQMDKVIGEVFKMINADDGKVVKEDEFKKILTEILGSIMLQLEGNPISVSSDSVVHEPLGSASTLLQPSSTETA >OIW06301 pep chromosome:LupAngTanjil_v1.0:LG08:15597399:15597947:1 gene:TanjilG_17675 transcript:OIW06301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVLTPYPRDHKTNNFATNNQNRIKFPKKNTRSKFISSNPYENFNTYEGLLNSPPQYPNNTLSSSSSSSYQHKKQPPLLPLPNVSPIIQHQLMMFQSVKGRRNRSRHDLSLISLKSTKHTSSNGKNMDLFSEDLVFNLSPPPSSLPLPNFSVKSKFSCNKETSTPVDTGATDHLRRLLGLL >OIW05713 pep chromosome:LupAngTanjil_v1.0:LG08:24331209:24333018:-1 gene:TanjilG_23499 transcript:OIW05713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIQCDVCEKAPATVICCADEAALCAKCDIEVHAANRLASKHQRLLLQCLSNKLPKCDICQDKAAFIFCVEDRALFCKDCDEPIHSAGSLSSNHQRFLATGIRVALSSNSIKGNEKSHSETSNANAQQVPVKISSQQVPSFTPSWSVDDLLELTDFESPDKKESLEFGELEWLADEGLFSEQFPQEALAAAEVPQLPVTHTSSVASYKASKSYKKPRIEVLDEDDDEHCTVPDLG >OIW07327 pep chromosome:LupAngTanjil_v1.0:LG08:2246836:2247564:-1 gene:TanjilG_11961 transcript:OIW07327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFWTPHLVRSKQSDLNGPGQTGLFNLYLDEPDEKWITQIEDFDYVILNGGHWFTRSMVFYEEQKIVGCHYCLLENVPDLTMYYGYRKAFRTAFKAINRLKNFKGITYLRTFAPSHFENGMWNQGGNCIRTKPFRSNETQLEGLNLEYYMIQLEEFKIAEKEARKKGLKYRLLDTTQATLLRPDGHPSRYGHWPKENVTLYNDCVHWCLPGPIDTWSDFLLEMLKMEGVRSAQERLRLDQD >OIW05573 pep chromosome:LupAngTanjil_v1.0:LG08:25236529:25240610:-1 gene:TanjilG_23359 transcript:OIW05573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDVCRNFQRGSCTDPQACKRQISEDFQQEKPLWILTCYSHCKGAVCDIVGDVSYEELRAVAYEDAKKGMTLQSIVEKERNILKSKMVEFEKLLSQPYTKPLNSSIDSQNQQSIAANTNPFSRTTQNSGPLSVSSFSQLGASLNMGSERPSAPLMNSPAQTGFFGNGSTHLMSTPVQPNLFGFGGNSSASNTASLSGKGFSGAQSGPNSNTQQPSTASNDFSSFAMFQTTSNVQLNKPQVENVSGDASIWLKEKWNPGEIPEEAPPDAFVR >OIW06817 pep chromosome:LupAngTanjil_v1.0:LG08:8194717:8206761:1 gene:TanjilG_03712 transcript:OIW06817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWLKFAVSKAVGNNNNLTRTVKNYADTVAQHAGQAVAEGAKILHDRIAARNFRSVLQTVKRLEEDAISYRGPERVQLLRRWLVLLKEIEKLSEASAEGKEKTLEQHLAVEDAKKNPNRPSMIIEAPIEEEVSLLLEMFGLCLTGGKEFHNAIVSSLQDLATAFSSYQDEVLVKREELLQFAQGAITGLKINSDIGRIDYETSSLKKKLNEITTLQAPVNKDDNHKAAEVTTAMLEALKGALAHIRICTRLEGLLLKKKTLSNGDSPEVHAQKVDKLKVLRESLANSAAKAEKRILDNRVQKEEALKVRVTKDGEASEKEKELALEISELQRKKDDLEAELKKVNTSLAAAQARLWNLSEERDQFEEANNQIVEHLKIKEDELSKSISSCRVEANVIKTWIDFLEDTWVLQQSSAEINEKQVNNMYDLLCRAQKELEPCISHIETFVVNLKNLSKRKDEGKVKELFDAIEKLQTQFEFIERPILEIESPNAEAETPPAEKKPDATPQGTTEISKTQRDEQPKSHSVKADQVFDHEAELAKLESEFGNVSQDYLAEEIGDWEFDEIERELVSGNNSATSK >OIW06179 pep chromosome:LupAngTanjil_v1.0:LG08:19156208:19161815:-1 gene:TanjilG_15063 transcript:OIW06179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNFLKPGFSSDGQKKLNLSSNSPPSSEHERTEHKTPGKLSNVVDYSNPFAVSDFLNCFDSGKFGSVTKDIEALLARKMQILGTYFAKYPTLLDHLLKEAVKHDEETHTPKSQQVAVLAQKNVNDLEGKHIEKNVPSAPLSVVIIDSDEEDDRDQISSLPFHKVVLPKQASPAVRMTESHPPTRYSEGNEAVRFETSLADKGDRGRDKGDRGRDKGKHLADKGDIGKDKGDISRDKGVYVGVEEEEDDQVDTKDEELDDIWREMSMAIECSKDVSVNPQPDEEPEKDEDCDHSFVLKDDLGYVCRVCGVIDRGIETIFEFQYKVKRSTRTYVSDSWNTKEKDAFGIKVAEDDLLVTDISAHPRHMKQMKSHQVEGFNFLVRNLAGDHPGGCILAHAPGSGKTFMIISFMQSFLGKYPNARPLVVLPKGILTTWKKEFQTWQVEDIPLYDFYTVKADSRSQQLEVLKQWVEHKSILFLGYKQFSSIICENGNNSSSTACQEILLKVPSILILDEGHNPRNDNTDMVHSLAKVQTPRKVVLSGTLYQNHVKEVFNILNLVRPKFLKMETSRLIVKRIFSRVHIPSGKRGFFDLVEDTLQKDPDFRRKIAVIQDLREMTSQVLHYYKGDFLDELPGLVEFTVVLNLTPRQKLETEKLKKLSRKFKISSVGSAVYLHPKLKPLAEKCSENSKIDNTKELDDTIEKLDVKDGVKSKFFRNMLNLCESAGEKLLVFSQYLLPLKYLERSTMKWKGWSVGKEIFVISGESSTEERELSMEKFNNSPDAKIFFGSIKACGEGISLVGASRIIIVDVHLNPSVTRQAIGRAFRPGQKKKVFVYRLIAADSPEEDDHSTCFKKELISKMWFEWNEYCGDRAFDVETVPVKECGDLFLESPILGEDVKALYKRLAITHA >OIW06573 pep chromosome:LupAngTanjil_v1.0:LG08:9685276:9688678:-1 gene:TanjilG_03967 transcript:OIW06573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAALESGSADCLSKVMSSMPGFRFHPTDEELVMYYLKRKICGKRLKLDVIYETDVYKCDPEELPGLSVLKTGDRQWFFFCRRDRKYPNGARSNRGTRRGYWKATGKDRNVTCNNRAVGVKKTLVFYRGRAPSGERTDWVMHEYTMDEGELQRCQGVEDYYALYKVFKKSGPGPKNGEQYGAPFKEEEWADDNIVDFSISSADREVDIPNTATVSYNQLQPLLDDEIGDVINGMLDYELFLDQQHVIDHPGFPLVVSEETQSTVVDQFSDAVFPEPSGGIFHSVGQPRDLLPSFDFNQSVVTSHLHVSEAPEVTSTPNIQIEEFHFCEEDFLEINDLIGSEPTLSNVENPFESLQFEDGLSEYDLFQDAEMFLPELGSITQATVSHASMNSLHSNVQSQNYHWQPNPDDANLTGAEFWMHGERNTPIQAEVSFDSSSLPTTGIVCESASFLAEGNDNKSSMVEDVATSGFSSALWAFVESIPTTPASAADNALVNRALSRMSSFSRVKINHKHTNIAGEGKDTPTVKRASRNRVLFLSFPIIIALCAFLWISVGTLRVLGRCISP >OIW06073 pep chromosome:LupAngTanjil_v1.0:LG08:20371170:20373963:-1 gene:TanjilG_29829 transcript:OIW06073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKSATLKLTLVCSSQRVVLPIQDIISVDDGEIYHAHEYNRLKVGVDSSDESYLSNGNEVVVGLGYSLESLSGEVLKERTRRMRIGLANKGKVPWNKGRKHTAETRERIRQRTLEALRNPKVRRKMAEHPLPHSDQIKAKISSSLRRVWHERLKSKRLREKLLLSWEQSIANAARKGESGQEELDWDSYDKIKQQLELRNLPRAEEKGKENAGAMKFIEAWGESIAKSAKKGGDSEQELNWDSYEKIQKEMFLQYQLQRTAEKAKAKEMAKVEAQKVAQKKAIKKVMLTQRRKDHQGKTKTRGNIMGQPCRKDKEGKGDMEVGQEFKLHSQLTRIHMSKNMDSHAPREADIFSSILSRYKKLDLEFIKKERMPKEVSLADQIQAARDKKGKIH >OIW07289 pep chromosome:LupAngTanjil_v1.0:LG08:1598084:1600226:-1 gene:TanjilG_11923 transcript:OIW07289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQDVGCLTEENTGYENSSRNEPKRSHQWFMDTGEPEMFGNKKQAVEAVSGRPVSGVSHVNASPWDNNTGFHSVTGQFSDRLFGSDLVRGIGSENVNMGRKDFESQYANDPSMGLSISHTIADPSSCLNFGGIRKVKVNQVRDSDNGIPASIGNSDNRVPASIGNSNNGVPASLGLSYSRTDDNTTSIGVGYNKNVGSISLGPTYDNRTADTIVMGTRISKGDENPVPMSHAFNKGEGNVMMGHNYGKKDASILSMGQPYERRDGNFMSMGQSFEKDDNNMISVGTSYAKGHENFISIGPTYSLGKNVITVAPSYDKGNDHIISTGPVFDKLNSNIPSSYQKGDSSSLPIGLNHSQGESSTISFGGFHEDTEPNPSGGIICGYDLFVSNPNQIQGLNSQKDLTESNSQSLINSTPNPNTNNDIIIKNKEPKTTKKAPTNNFPSNVKSLLSTGIFDGIHVRYVSWSREKNLTGVIKGTGYLCSCDDCKQTKALNAYEFERHAGAKTKHPNNHIYFENGKTIYAVVQELKNTPQEMLFDAIQNVTGSTINQKNFHTWKASYQAATRELQRIYGKDELTIPS >OIW07099 pep chromosome:LupAngTanjil_v1.0:LG08:5421388:5422014:-1 gene:TanjilG_02733 transcript:OIW07099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRKKFHLHMKHNAKTSPSDRDKGSTRVKHVHGNESKGNNLVCEDDEVVPANTLSKLLTLEEGLESDAMAASEGKQNKKGKQPLLSFVDKKKKGWNNLKAKIRFSEVRRKVSSWRSVGILSKVLVIRLKLKMVRSIIKRKVRKQSKHDLEQNGDENGEEDKGRELCKKRILMGVRCKPLSSSGTLRYDEDGIFLPEITSFTSTPSHHP >OIW07034 pep chromosome:LupAngTanjil_v1.0:LG08:6132092:6134338:-1 gene:TanjilG_02668 transcript:OIW07034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSSLFSPRFLAFILLLSAIPVAIIVSLERAQPATNVYHYHSKGWLRECSKWDADNNRFIVSFIEGGLGVVPVPEKEDSKVPLEEVTVVKELNLGRNSSFGLTIDRTRNRVLVVYADVLGHRFGAVGAYDLSTWNRIFLTQLADDEKSFANDVAVDAKGNAYVTDVKASKIWKVGVDGELLSIIRNPLFIPKEWYKSFIGLNGIIYHPDGFLIVVQTLSGTLFKIDLTKGEEVKVIKVAGGPLLMGDGLELLSPTKLVVSGFVSRLVESSDGWNSASVVAKFSGIRHRIATTTTIKDGKVYINHAAGMGYPRKKHAIVEAPF >OIW06579 pep chromosome:LupAngTanjil_v1.0:LG08:9713466:9716886:-1 gene:TanjilG_03973 transcript:OIW06579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKVEVITCLSLDGKTLLSLKSSPFVLSSWNPSSSTPCSWNGITCSPQGRVISLSISDTFLNLSSLPPQLSSLSMLQLLNLSSTNVSGSIPPSFGQLSHLQILDLSSNSLTGSIPHELGRLSSLQFLFLNSNRLTGTLPQQLADLTSLQVLCLQDNLLNSSIPSKLGSLTSLQQFRIGGNPYLTGQIPSQLGLLSNLTTFGAASTGLSGSIPSTFGNLVNLQTLALYDTEISGSIPPELGFCSELRNLYLHMNKLSGYIPPQLSKLQKLTSMLLWGNALSGPIPAEISNCSSLVIFDVSSNELSGEIPRDFGKLVVLEQLHLSDNSLTGQIPWQLSNCTSLASVQLDKNQLSGTIPLQVGKLKLLQSFFMWGNSVSGTIPSSFGNCTELYALDLSRNKLTGSIPEEIFNLKKLSKVLLLGNSLTGRLSSSVANCESLVRLRVGENQLSGQIPKDIGRLQNLVFLDLYMNKFSGSLPVEIANITVLELLDVHKNYLTGEIPSQIGELKNLEQLDLSRNILTGEIPWSFGNLSYLDKLILNNNLLTGSIPKSISNLQKLTLLDLSYNNLSGGIPPEIGYVTSLTISLDLSSNSFTGEIPDSMSALTQLQSLDLSNNMLHGTIKVLGSLTSLTSLNISYNNFSGPIPVTPFFRTLSSDSYIQNYHLCQSIDGTTCSLSFIRRNGLQIGKTIALILVIIASVTIIVIVSWIIVTRNHRCKVEKTLGTYGSTSGGEDFSYPWTFIPFQKLNFSIGNILDCLKDENVIGKGCSGIVYKAEMPNGELIAVKKLWKANKEDETVDSFAAEIQILGYIRHRNIVKLLGYCSNRSVKLLLYNFIPNGNLRQLLQGNRNLDWEIRYKIAVGIAQGLAYLHHDCVPTILHRDVKCNNILLDSKFEAYLADFGLAKQMISPTYHHAMSKVAGSYGYIAPEYGYSMNITEKSDVYSYGVVLLEILSGRSAVESHVVDGQHIVEWVKRKMGSFEPAVSILDTKLQCLPDQMVQEMLQTLGIAIFCVNSSPAERPTMKEVIALLMEVKSQPEELNKTSQPLIKQTSTQC >OIW07135 pep chromosome:LupAngTanjil_v1.0:LG08:5050469:5090344:1 gene:TanjilG_10108 transcript:OIW07135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFDLSSVVSKLQMVSTSDHASVVSMNLFVALLCACIVIGHLLEENRWMNESITALLVGLCTGVIILLFSGGTSSRLLVFSEDLFFIYLLPPIIFNAGFQVKKKQFFVNFMTIMLFGAIGTLISCTIITLGISQVLKRMNIGPLEIGDYLATNISDLILNLKMMIMQVLNQDETPLLYSLVFGEGVVNDATSVVLFNAIQSFDLEQIDLSIALQFLGNFLYLFMTSTLLGVLFEGVLYVLATDQGYINQPDLVWEKLNEVNHFLYGLFASRHSTDREVALMMLMAYLSYMLAELCYLSGILTVFFCGIVMSHYTWHNVTESSRITTKHAFATLSFVAEIFIFLYVGMDAMDIEKWRFVSDSPGTSVAVSSVLLGLLLVGRAAFVFPLSFLSNLFKKSQSEKLSFRQQFTMLGHTQLRTNAIMITSTITVVLVSTVVFGLMTKPLIRLLLPRGPPTNSMISTDPPTPKSVTIPLLGSAQESEADIGGHEIHRPGSLRALLSTPTHTVHRLWRKFDNAFMRPVFGGRGFVPVEPGSPSERNGHQWR >OIW06642 pep chromosome:LupAngTanjil_v1.0:LG08:10120518:10121302:-1 gene:TanjilG_04036 transcript:OIW06642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSYAIHSIMATTMTTRPKLNHFAIPSMYMPTLTRNVALRVRSMANEEQKERPIESTTPVAPPKPSPSPQPPPPTRAPKVSTKFGDLLAFSGPAPERINGRLAMIGFVAAIAVELSNGEDVFTQISNGGIPWFLGTSVLLTLASLIPLFQGVSVESKSKKIMSSDAELWNGRFAMLGLVALAFTEFLKGGTLV >OIW06754 pep chromosome:LupAngTanjil_v1.0:LG08:8967759:8971357:-1 gene:TanjilG_11479 transcript:OIW06754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFNSVYRCLQNIFPQVDHRLLRAVAIENPKDADIAAGIVLTEIIPAMSQSLSPATPPHGKASGAPTNVQGEERRMSKDCDLLGEVNVGSSAARPIDVEMIKTSDCYSGLDLSVSLCESTLSNVSNSNAISKFLNETKELNMFSDAENSTGEISNNIAQETNNPFRQEGRENFDQGRVQVDVDGKNLISSGICQEMEPVLNFHTVNDNCNGIGNQLNEEWLDILGPSANDSDATICDKNHLLEKSETLIEAESSDAQAEQGHKPNASNSLQSVFDSRPPIPVGEKLGDGSFFKQDFCTSETSDVEDENNALSQSSQVCRIDQLEEIIDEAKTNKKTLFSSMESLINLMREVELQEKAAEQANAEASRGGSDILVRVEEHKTMLAHAKEANDMHAGEVYGEKAILVTEMRELQSRLLSLSDERDKSLAILAEMHQILEARLVAAEEMRKAAEHEKLEKEESARKALAEQEAMMEKVVEESVRLQKEAEENSKLQEFLMDRGQVVDMLQGEISVICQDIRLLKEKVDANIPLSKSLTSSQISCILASSGSSRKAMASGVGSEHSDSSAILKISPAASVASLSSKSGHDEERSKADGRSKADDLDDVWDIFEKDAELVFRV >OIW05635 pep chromosome:LupAngTanjil_v1.0:LG08:24813750:24819217:1 gene:TanjilG_23421 transcript:OIW05635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILQPASATFTFSHFFHSLCPCNNQVSNFVAFPFSRRRRKRFIAHSGYRKFRRKISLRLCAIPLDNCSNRNWNTEFANSTTRGAKSFVFEHISNEIEEENDHGVSHSQESQQVHLGSSSNFTKFEEDPIVDKLRTQLGVIHPIPSPPINKNVVGLFVFFFFVGVVFDKLWTSRRRNKVSSEDSLRGVWPQVPTSFSLFLEKDLQRKESVEWVNMVLGKLWKGYRGGIENWIIGLLQPVIDDLKKPDYVQRVEIKQFSLGDEPLSVRNVERRTSRRVNDLQYQIGLRYTGGARMLLMLSLKFGIIPIVVPVGVRDFDIDGELWVKLRLIPTKPWVGAASWAFVSLPKIKFELSPFRLFNLMAIPVLSMFLTKLLTEDLPRLFVRPKKIVLDFQKGKSVGPVANDVKSGEMQDGNKDSVGELSVTLVDARKLSYFFYGKTDPYVILSLGNQSIRSKKNTQTTVIGSPGMPIWNQDFHMLVANPRKQKLLVQVKDYLGFAYLTIGTGEVDLGSLKDTVPTDRIVVLQSGWGILGKGSSGEILLRLTYKAYVEDEEDDKTVVDATDVEASDDDLSDSEEANVIDENNEEDPMYRTDNEPFMDVLAALIVSEEFQGIVASETGSTKILDNSSNAGSKISKSKVANAESIPSTSDNSEGFVGSALFWFAVITSISLLIALNIGGSNLLNP >OIW07362 pep chromosome:LupAngTanjil_v1.0:LG08:715032:738817:-1 gene:TanjilG_10197 transcript:OIW07362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPFWVFFFSTGSAMVDPPLFSISISDLEEQQQPPPPLVMAAAMMPAKLTDIEMEKRTKMMPVLMGLRESVFQVASSFSCARRTVLDERIKSNEYSIAALTGTLLHQIFQAGLIKVSPTVNFLEEYAEVVLQRNVESLYACQENEDNVRKTLINSIPKLLSWIGQFKNTEVIDIEEMAWAPKYGLKGMIDSSLRVKVQSTKDEGEKIMPLEFKTGKSSNSQARFWCLLLINHKSLVEHRAQVILYTLLMSERYQKTIDSGLLYYLQSDQTQGIVAERSDLVGLIMRRNELASDILKALTLQQLPPITEGSGLGNVFDSHTNHLTSTHSKFLCHWDRLIDLEAKGTELLKKQVWRSHGLKNPINGGLSSIVLDSSHGIPNDKSPKDNYFIYRFVRQDTSRLSEASDGDPSSASPNDLDLTLRSGDHVVSFSKCLRIPGRSSTTHDLLEQVWRLDKDEYVTSFAIMRFNLVQLFLQNDRSGHLRRMIVDLEAPRFDSGSVVSQDPAISYVWSEKSLNDDQRRAVLKILTAKDYALILGMPGTGKTSTMVHAVKSLLIRGTSILLTAYTNSAVDNLLIKLKAQGIDFVRIGRAEAVHEDVREHCLSATHVKSVEDIKIRLEQVKVVAVTCLGISSPLLANTRFDVCIMDEAGQTTLPVSLGPLTFASVFVLVGDHYQLPPLVQSTEARENGMGVSLFRRLSEAHPQAISALQSQYRMCQSIMDLSNALIYGDRLRCGSSEIANRKLEFSGLKCGFPWLEDVLVPSRPVVFIDTDMLPALETRDQKIIKNPLEAHIIAEISKELVNNGIAVEQIGVITPYNAQANLIRHAACMTSLEIHTIDKYQGRDKDCILVSFVRSSEDPTSSAASLLGDWHRINVALTRAKRKLIMVGSRRTLSKVPLLKLLIKKVEMQSGILSVSKKDIFQTGELKRCSQMR >OIW07023 pep chromosome:LupAngTanjil_v1.0:LG08:6302714:6306839:1 gene:TanjilG_02657 transcript:OIW07023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERSQIWSRTIRFGAIAFAFALLFVSASADDVVVLSEDNFEKEVGQDKGALVEFYAPWCGHCKKLAPDYEKLGSSFKKAKSVVIGKVDCDEHKSLCSKYGVSGYPTIQWFPKGSLEPKKYEGPRNAEALAEYVNTEGGTNVKIATAPSNVVVLTDETFNEVVLDETKDVLVEFYAPWCGHCKSLAPIYEKVATAFKLEGDVVIANLDADKYRDFAEKYGVSGFPTLKFFPKNNKAGDAYEGGRDLDDFVAFINEKSGTNRDGNGQLTSKAGIVESLDTLVKEFVAAGDAEKKAVFTKIEEEVSKLKGSDSRHGKIYLKATKNYLEKGSDYAKNEIQRLQRILEKSISPSKADELTLKKNILSTYA >OIW07002 pep chromosome:LupAngTanjil_v1.0:LG08:6506326:6512779:1 gene:TanjilG_14340 transcript:OIW07002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHELLLSLLGYTGDLIIDTRESEHLSSQTPISDECTFKLAPDINFLDPSDRELIERIIALGFYYRELDRFSEKSRNLSWIRSSNEIENPLGTVSDMSKGKRENPSVYRRALANGIVEILSIYRSAVLHIEQLLLSETMPILATVTQCLNKFFSLLPPLYKLILEIERDDIRGGQLLNLLHKRHHCGVPELQTCIQRLLWHGHQVMYNQLASWMIYGILQDQHREFFIRSQEARDVENSSSNPDISEKLARLSTDDASLSDWHLGFHICLDMLPEYIPMRVAESILFAGKAVRVLRNPSPSFQSGDAVYPQMPKSFQKRHELVGQSQFQREPIINMGMGEDLLPQSEADKIEAMLLDLKESSEFHKRSFEYAVDSIQGIAASHLWQLVVVRADLNGHLRALKDYFLLAKGDFFQCFLEESRQLMRLPPRQSTAEADLMVPFQLAALKTIGEEDKYFSKVSLRIPSLGITVKSSQLQKATTSTDGTGASISSASSEMSSEGWDGITLEYSVDWPLHLFFTQEVLSKYLKVFQYLLRLKRTQMELEKLWASVMHQYHTDFAKHRNDRDKSSITHEKRQRLRPMWQVREHMAFLIRNLQFYIQVDVIESQWNILQTRIEESHDFTELVGFHQEYLSALISQSFLDIGSVSRILDGIMKLCLQFCWNIENQDNSSNTSEVEHIAEEFNKKSNSLYTILRSSRLAGSQRAPFLRRFLLRLNLNSFFEATARGVLNVVRPRPTTLSVLNQH >OIW06198 pep chromosome:LupAngTanjil_v1.0:LG08:18976841:18977044:-1 gene:TanjilG_23078 transcript:OIW06198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVTTFKALSIFVLVALFSIAASAQGLSPASSPAPSPDAGAAVSVSTSVAMIGASLLFSMLAVLKF >OIW06056 pep chromosome:LupAngTanjil_v1.0:LG08:20224635:20226948:-1 gene:TanjilG_29812 transcript:OIW06056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFYSWLCSIANAFVVLWALTLSLNQVHGVIIQSNHPERGCDFSVGSWVIDSHQPFYDASRGCPFIVQGFNCLGNGRPDQEYVKYKWKPFGCHLPRFDGKKMLERYRGKKIMFVGDSLSNNMWQSLTCLLHTAVPNSDYTLTTQTKQLSVFFFPEYQASIMWLKDGFLVDVVHDKEKGRIVKLDSVRSGDQWKGVDALIFNTYHWWTHIGETQTHFQLGSEIVDMDHLEAFRIGLTTWAKWIDSNIDPSRTSVLFQGIAATHYDGNGCAGQTNPMQGSQPPYPGADIVKNILHSMKNPIHLLDITLLTQLRIDGHPSLYSGRGASYVDCSHWCLAGVPDTWNEFLYAALIGR >OIW06703 pep chromosome:LupAngTanjil_v1.0:LG08:10642107:10645067:-1 gene:TanjilG_04097 transcript:OIW06703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGPVLYSEIGKKARDLLFKDYHSDQKFTITTYSPTGVAITSSGTRKGDIFVADVNTQLKNKNITTDIKVDTDSNLFTTITVNEPAPGLKAIFNFKVPDQRSGKVEVQYLHDYAGINTSVGLTANPVVNFSGVIGTNALALGADVSFDTKIGELTKLNAGFNFTKDDLVASLTVNDKGDVLNASYFHVVSPLSGTAVGAEVTHRFSTNENTLTFGTQHALDPLTTVKARVNNFGKANALIQHEWRPKSFFTISGEVDTKAIEKSAKVGLGLVLKP >OIW06108 pep chromosome:LupAngTanjil_v1.0:LG08:20810853:20811164:-1 gene:TanjilG_29864 transcript:OIW06108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >OIW07114 pep chromosome:LupAngTanjil_v1.0:LG08:5274966:5276128:1 gene:TanjilG_02748 transcript:OIW07114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQTAKFNFNRIHIAQCCNQEEQIKFNNGKRGGSPAEEFAPLATKFQRRLLLGVGSASLVAVGANFGGITSFLLGFSPQNSRNLKLDVLYPIQGYSRCIDTTEGFEFIYPANWVGDQTLVYRAAKKRELERSLDPPPLGDNQRRRSNINEPVVAFGPPGSTGELNVSVIVSPVPQDFSIEAFGNPEEVGEAVIRTVTGAGLRPEVKGTLIRSSLRDDSLTNAKYYELEFRIETPSFRRHNVFVCCGRGGRLFTLNAQAPESAWPGLKSDFYRIADSFSLTA >OIW05678 pep chromosome:LupAngTanjil_v1.0:LG08:24539419:24542759:-1 gene:TanjilG_23464 transcript:OIW05678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDSFVISNGNGNGNVNPQPIPQRTYQVVMIATRDMGISKDGKLPWTLPTDAKFFDEITTKTSDPGKKNAVVMGRKSWEGIPPENRPLPGRLNVVLTRSGSFDIATAENVVICGSVSSALELLASSPYCLSIEKVFITGGGEILRETLNAPGCEAAHITEIEASIECDTFMPQIDTSIFQLWYSSFPLVENNIRYSFNTYVRVRSCPIESLSQNSDPIFYNNSNTKKFEVHKFSFLPKMIFDRHEEYKYLGLVQEIISEGNTKDDRTGTGTLSKFGCQMRFNLRRSFPLLTTKKVFWRGVVEELLWFISGSTSAKFSLDAFINWIHNLHCSVGFKDREEGDLGPVYGFQWRHFGARYTDMHDDYSGQGVDQLLDVINKIKHNPDDRRIVISSWNPADLKLMALPPCHMFAQFYVANGELSCQMYQRSADMGLGVPFNIASYALLTCMIAHVCDLVPGDFIHVIGDAHVYSNHVRPLQEQLQNLPKPFPILKINPKKKDIDSFVAADFKLIGYDPHQKIEMKMAV >OIW07088 pep chromosome:LupAngTanjil_v1.0:LG08:5539570:5547577:-1 gene:TanjilG_02722 transcript:OIW07088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIISRKIFPACGNMCVCCPALRSRSRQPVKRYRKLIAEIFPKSPDELPNERKIVKLCEYAARNPFRIPKIAKILEERCYKELRSEHIKLVKIVTESFNKLLSICKRQIAYFAVDVLNVIWELLCYSKDDSVRMLGCQTLTQFIYCQVDATYTHNIEKLVRKVCKLAREHGETHEKCCLRASSLQCFSAMVWFMAEFSHIFVDFDEIVLATLDNYERCRQNEDADLRAETHHNWVDEVVRCEGRGASVACNDIRSSCLIIHPRPEIKDPSLLTRDEIEKPKIWAQICVQRMAELAKESTTLRHVLNPMFAYFDSRKHWAPQKGLAMMVLSSMTYLMENSGNQRFIIASVIHHLDHKNIMNDPQLKTRVVKVATSLGMQIRSGKGLTEIGFVGDLCRHLRKSLQASNELVEEQELNLNILLQNSIEDCLLEIAKGIVDAQPLFDLMAITLDNMPSGVVARATIGSLIILARAVTLALSRLHSQQGFPEALLMQLLKAMLHSDVEARVGAHLIFSVLICPSSFHAHGVSYLRSGYLDQRSKRHSHTASASASIAALLEKLRRGRDDSKTENRRTFVLDGSKERDVVAEDLKQGGGLKNSPNFYKLSSIMDRATGSPSLTDAEPYVMKLSEDQMSQLLSAFWIQATVPDNLPSNMEAIAHSFIITLMFLRTKGFPEALLMQLLKAMLHSDVEARVGAHLIFSVLICPSSFHAHGVSYLRSGYLDQHSKRHYHTASASASITALLGKLRRGRDDSKTENRRTFVLDGSKERDVVAEDLKQGGGLKNSPNFYKLSSIMDRATGSPSLTDAEPYVMKLSVDQMAQLLSAFWIQANVPDNLPSNMEAIAHSFIITLMFLRTKKLKDIDNLVIRFFQLPLSLWSMLCDPSNGMLHPTCQRAILVLSAGMLMFACKVYQIHDLKDVFTSLAIFEDDPFLGISDDYRVYAKVDVDVREYDTAADNQQAMTILSELRDKICECHKTVKDVLVQGLANVTELDADDVATILSDTFRPDEEFVFGQQSILDQNQMIYHSLESLSFDGDFPSTSVVEYDAISETSVSDLARFIPKIPASPSVSHVISIGQLMESALKVAGQVAGTAVSTSPLPYNTMARQCETLGTRGRTKLSNWLAFENHYSQAADKSFLTILDNMNSELEKEANGGGHGQLITLPRNPWLAMKLPPTSPFDNFLKAAGC >OIW06779 pep chromosome:LupAngTanjil_v1.0:LG08:8735918:8738296:-1 gene:TanjilG_11504 transcript:OIW06779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPLSLLKTAQGHPMLVELKNGETYNGHLVNCDTWMNIHLREVICTSKDGDRFWRMPECYIRGNTIKYLRVPDEVIDKVQEETKSRTDRKPPGVGRGRGRGGREDGPGGRPAKGIGRGIDEGGARGQGGSRGGRGGLGGNRGAGRGRG >OIW06186 pep chromosome:LupAngTanjil_v1.0:LG08:19234324:19236079:-1 gene:TanjilG_15070 transcript:OIW06186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLIAPSNHSPHEDAETLQHAFKGWGTDEKTVIVILGHRNVYQRQEIRRAYEEIFQEDLVKKLESELKGDFERAVYRWIHEPEERDAVLLHVALKSGKNYHIIVEISSVLSPEELLALLVGLVTSYRYTGDEINVRLAQSEAEILHESIKEKNGNEEEAVRILSTRSKIQLIATFNYYREIHGASITKKLLDEESDDFQKALYTAIRITTDHVKYYEKVLRHAIKGVGTDEDAVTRVIVSRAEIDLGVISEAYYKRNSVLLEDAVASDTSGDFKHFLLTLLGKDD >OIW06155 pep chromosome:LupAngTanjil_v1.0:LG08:19411302:19414926:1 gene:TanjilG_01782 transcript:OIW06155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNCLPAVQVTGSGRPVLLPNEIECFFLSSVDVLCEDTEPNATSFPHLKSGVLILTTHRLLWLSDSPSAVAFAIPLAAISHIFSHKKSLKSMFTSPRVRFQVSLSPEGRISASGSSSVVVTIVVKGKWDYDAFLAKFWGNWRGRAWEEAESVSSSGSNVAAAASSSGIYSSDGTVRMVGVSGILRKEQEMWESTDKSLNEAFQDLNALMSKAKEMVMLAEKMRQKLLSGSSSQSTTTGDEEIGSKEEMQELLLSVGIISPVTKESAGALYHQQLSRQLADFVKVPLERAGGIINLIDVYCLFNRARGTELISPDDLLQACSLWEKFDVSLVLRKFDSGVMVIQTKSHSDEEVITKIKILVMKPDALRHGISASDAARTLGVAPAMAKEHLLSAESKGVLCRDISPDGFRFYINLFLEFDRDDMYLVKDQGLYASWVRANHAHG >OIW05651 pep chromosome:LupAngTanjil_v1.0:LG08:24705535:24711070:1 gene:TanjilG_23437 transcript:OIW05651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWPELINKARKGGLNVIQTYVFWNAHETEKGKFNFEGQYDLVKFIKLVHEKGMYVTLRVGPFIQAEWNHGGLPYWLRDVPEIIFRSNNGPFKKHMKDYVSAIIGRVREANLFAPQGGPIILAQIENEYNHIQLAYDAEGDNYVQWAAKLAVSMEIGVPWIMCKQTDAPDPVINACNGRHCGDTFTGPNKPYKPSIWTENWTAQYRVFGDPPSQRSAEDIAFSIARFFSKNGCLANYYMYHGGTNFGRTSSAFSTTRYYDEAPLDEFGLQREPKWSHLRDVHKALNLCKKALLSSQSSVTKLSLFHEIIVYEKPGTDVCSAFITNNHTKAPATLKFRGFDYYLPPRSISILPDCKTVVFNSQNIVSQHNSRNYKKSKAAHDNLKWEMFSESIPTFKQLPVKEKNPVELYSMLKDKTDYAWYTTSVELGPEDFPKKNEISPVLRIMSLGHSMLVFVNGEYIGSGHGSHEEKTFEFQKTVKFKVGVNHISILAATVGLPDSGAYMEHRYAGPKSITILGLNSGTVDLTHNGWGNQVGLHGEKNRIFSKEGSNNVQWKDARGKGQALSWYKTTFGTPEGRDPVAIKMDGMGKGMIWVNGLSIGRHWMSYLSPLGQPTQSDYHIPRAFLKEKENLLVILEEEEGSPENITILRVNRDTICSFITENHQPNVKSWANKGMKLTPVVDKLRPEAFLKCPNHKTIMAVEFASYGDPIGFCGSFSLGKCNAPNTKQIVEQHCLGKESCSVPLDKPIFIRNNEDGCPGVKTLAIQVLCGTGNSKE >OIW05606 pep chromosome:LupAngTanjil_v1.0:LG08:25031393:25043724:-1 gene:TanjilG_23392 transcript:OIW05606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMNIWTCRFRSHDMLAPFTAGWQITDVNPLVIDKSEMNFLAIYVYDINGKKYLDSLAGLWCTTLGGSEPRLVEAATKQLQKLPFYHSFWNRTTQPSLVLAKDLIETFTARKMAKAFFVNSGSEANDTQVKLAWYYNNALGRPNKKKFIARFTSYHGSTLTAASLTGLSILHHKFDLPAPFVLHTDCPHYWRYRLPGATEEEYSTRLADNLEELILKEGPDTGCYVYDINGKKYLDALAGLWCTSLGGSESRLIEAATAQLKKLPFYHSFFNRTTEPSLVLANELIELFTARKMAKAFFVNSGSEANDTVVKLVWYYNNALGRPKKKKFIARDESYHGSTMIAASLSGLPALHYKFDLPLPFVLHTNSPHYWRYHLPGETEEEFSTRLANNLEKLILKEGPDTIAAFIAEPLMAAGGLIPPPATYFEKIQAVLKKYDILFVADEVLP >OIW06691 pep chromosome:LupAngTanjil_v1.0:LG08:10515799:10520119:1 gene:TanjilG_04085 transcript:OIW06691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSEKVVAVIMVGGPTKGTRFRPLSFNTPKPLFPLAGQPMVHHPISACKKIPNLAQIYLVGFYEEREFALYVSSISYELKLPVRYLKEDKPHGSAGGLYYFRDIIMEDNPSHIFLLNCDVCCSFPLSSMLEAHRRYGGMGTMLVIKVSAESASEFGELVADQTTNELLHYTEKPETFVSNLINCGVYIFTPDIFNAIEDVSMNREGRANLRRVSSFEALQSATRTLPSDFVRLDQDILSPLAGKKQLYTYETMDFWEQIKTPGISLKCSELYLAQFRYTSSHLLASGDGKRNGTVVGDAYIHPSAKVHPSAKIGPNVSISANVRVGAGVRLIHCIILDDVEIKENALVINSIVGWKSSIGRWSRVQASLVQF >OIW06446 pep chromosome:LupAngTanjil_v1.0:LG08:11719662:11720795:1 gene:TanjilG_05217 transcript:OIW06446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIQELLPSNGNSSSSGLEPQDADYDVPCLSDELETMILARFPISKHWKLCVLNNRFLAALKNGEIYKIRREIGYKEPCVFMLASGQSNWWAFDGNFKCCKKLPIVPSDYSFECGDKESFSAGTHLFVSGKEIDGLVLWRYELAANEWFKGPSMNTLRCLFGSASCGVFVYVAGGVDTSHTTTCREVLSSAEKYNSESHSWEPLPMMIQKRKSCSGCYMDKRFYVIGGQDEQDNDLTCGEFFDEETNSWNLIPDMLKDIPLPSLRSPPLVAVANNELYTLDASSNEVKVYLKGTNLWKKLGRVPVRADVQRGWGVAFKSLGNELLVIGATSICYSERALTIYTCSPDPSVEKLHWKQIICSSTKLSPFINNCAVMLA >OIW07073 pep chromosome:LupAngTanjil_v1.0:LG08:5746306:5747484:1 gene:TanjilG_02707 transcript:OIW07073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTFLFTSESVNEGHPDKLCDQISDAVLDACLEQDPESKVACETCTKTNLVMVFGEITTKANVDYEKIVRDTCRTIGFVSSDVGLDADNCKVLVYLEQQSPDIAQGVHGHLTKGPEEIGAGDQGHMFGYATDETPELMPLSHVLATKLGARLTEVRKNGTCPWLRPDGKTQVTVEYLNENGAMVPIRVHTVLISTQHDETVTNDEIAVDLKEHVIKPVIPEKYLDEKTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYIARQAAKSIVASGLARRCIVQISYAIGVPEPLSVFIDTCGTGKIPDKEILKLVKETFDFRPGMISINLDLKRGGNGRFLKTAAYGHFGRDDADFTWEVVKPLKWEKPQ >OIW06414 pep chromosome:LupAngTanjil_v1.0:LG08:12945304:12947517:-1 gene:TanjilG_11995 transcript:OIW06414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAHTQTQEELLATHLEQQKIHSDEPVIEDDDEDEDDDDDTEDEDVDGQEGDASGRSKQSRSEKKSRKAMLKLGMKPVTGVSRVTVKKSKNILFVISKPDVFKSPTSDTYIIFGEAKIEDLSSQLQTQAAEQFKAPNISNEALKPESSSSAQEDDDADVDETGVDPKDVELVVTQAGVPRSRAVKALKAADGDIVAAIMELTN >OIW06269 pep chromosome:LupAngTanjil_v1.0:LG08:16319949:16323636:1 gene:TanjilG_19707 transcript:OIW06269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSFSGACEIVEANEDLNIGKAAGVYWPNSGYSTTEKNQKLPVLSLGCKDNPDNDINKLFESFTLKSSSRDLGILHDGTSPKMKSALKKPITIGVPRSPRVGTSEPVTLKQALRDMCISKASEVAAMKRLSKSTAASPRISEVGKIQTLYNSVVVEGRRSGPSNLGVKVSTIAISLVPEESKSLSSAKISQSHQTASSTSLGENGHSSKINFSLTESDAGASLMQSDLVYSSSKVGNQSQSFGPAQIEKQTSASCPSMCNTKGSKLELREYVSSPKELGNNSPISKNGLKGRLQTVSSSSTSLNANRVGKLSRPSTVKSIIKNKNLNKKKLKQGSGSGSALYDPTSDEVNKKSTPGTSQLVCERCLCAIENTSKENNEGVILLGSTSPGNGVNLSMHSDLASTGCNNGTEVAKGKKNTGSKEQLEFSQSSKSSQGDYSTSTSTSDDSNVSGSISGNRPHMSKDVRWEAIRHAQMQHGVLGLRHFNLLKKLGCGDIGTVYLAELIGTNCLFAIKVMDNDFLARRKKMRRAQTEREILRILDHPFLPTMYVQFTSDNLSCLVMEYCPGGDLHVLRQKQLGRSFSEPAARFYVAEVLLALEYLHMLGVVYRDLKPENILVREDGHIMLTDFDLSLRCDVNPTLLKSSDIDPAKISGQCVQSSCIEPFCIEPACQVACFSPRLLPPAARARKLKADLAAHIRSLPQLVAEPTDARSNSFVGTHEYLAPEIIKGEGHGAAVDWWTFGVFLYELLYGRTPFKGSNNEETLANVVLKSLRFPDTPFISFHARDLIRGLLVKEPENRLGSEKGAAEIKQHPFFEGLNWALIRCAIPPEIPDFCEFGVSDMTLQSPHGNGDKYLECRGGEQVEFELF >OIW07083 pep chromosome:LupAngTanjil_v1.0:LG08:5690369:5690614:-1 gene:TanjilG_02717 transcript:OIW07083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTFRWLMLEFSGGSSMTRWPGMVASYITPRWSPRRLLRWSGLMSISIVDELVWSIVTAFESVALVSMLCFFFLFCGCTI >OIW06417 pep chromosome:LupAngTanjil_v1.0:LG08:12853925:12864989:-1 gene:TanjilG_06148 transcript:OIW06417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSSLNKLKRIALHKSVGKDKRDFHHSVKLDELVHASKDMQEMRNCYDSLLSAAAATENSAYEFSESLREMGACLLEKTALNDDDESGKILGMLGRVQLEIQKLVDSYRSHIVVTITNPSESLLNELRTVEDMKRQCDEKRDVYEYMIAQHKEKGRSKSGKGESFTSQQLQAAHDEYEDEATLCAFRLKSLKQGQSRSLLTQAARHHAAQLNFFRKGLKSLEAVEPHVRLVTEQHHIDYQFSGLEDDVKDGTNDGENNSEGNDDGELSSDYKSKKLVLDVVSTSQNSTEVEESSHSNVRAFITEAAESSFDKNLGDTRVSSRDPRDRVSSYSAPIIAEKKFDPAEKARQLLSSSSTKRSAYVLPTPVDIKETNNLKRSGSATRTTANSFSHNSWHSSPLDEKKNERDTVDGKLSEHSIPKAQFVVKESNSDATSTQLPRPLADGISLPQADISNASDPKKMKRQAFSGPLTSKPMSLKSILAGGSVGSIEVPPLASGVWTRLPIPQSSSPKASTSASPPHVSSPRVSELHELPRPPAPGSQSFKSVKSSQTGHSAPLLFRNPERPPTNKFPSVVLSAASPLPTPPLVVSRSFSIPSSNQRAMALHVAKFLDTPQIPERIEEAASPPLTTASLSDIKRESSISDLASHSSEIRGKIL >OIW07050 pep chromosome:LupAngTanjil_v1.0:LG08:5971746:5973243:-1 gene:TanjilG_02684 transcript:OIW07050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSLSSCVVFIFCFNLMFYHSHLGAEAYFPPITPISYLITKNLFDSIFLHKDDTACPAKNFYTYESFIQASKCFPRFGSVGSLSTRKREIAAFLAQISHETTGGWATAPDGPYAWGLCFKEEVNPQSEYCDSTNKEWPCYPGKTYKGRGPIQLSWNYNYGPAGKALGFDGLRNPDIVSNNSLIAFKTALWFWMTEQKPKPSCHNVMIGNYVPSEADIEANRTVGFGLVTNIINGGLECGIPHGSSVNDRIGYFQRYATLFNVDTGPNLDCAYQKSF >OIW07392 pep chromosome:LupAngTanjil_v1.0:LG08:118509:125071:-1 gene:TanjilG_10227 transcript:OIW07392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLHCRKFLSLQRGRRITFITQMRTLSSHPEPELKEFLDYIDSLKNYEKSGVPTAAGTDSNQGFDLGRMERLMNRFGNPHSKFKVVHIAGTKGKGSTAAFISNILRTEGYSVGCYTRIKQNLDQAMREENGRISHFEAGLGGSRDATNIISSSGLAASVITTVGEEHLAALGGSLETIAMAKAGIIKQSRPLVLGGPFLPHIERIIRDKAEAMDSPVILASDTGNSSTVKSLSILNGRPSQICDIEIQLVKDLKLSCKLIDVKLQMLGSHQLQNAATATCVALCLRYLGWKVSDESIRAGLEQTYLLGRSQFLTSEEADALGLTGATILLDGAHTKESANALVNSIRMAFPEARLAFVVAMANDKDHVGFAREILSGAHVDIVLLTEAAIAGGVTRTTPASLLRNSWIKAYEELGIEIIHDGMAEYRELLKTQTLSYESNLGNDGKTILATESSLKGCLRTANEVLNRRRGDEIGVIVVTGSLHIVSSVLTSLNG >OIW05539 pep chromosome:LupAngTanjil_v1.0:LG08:25442958:25444148:1 gene:TanjilG_23325 transcript:OIW05539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGVPSPPPFADPATVGSRILNGVNYASATSGILDDTITGVEQKHRTMLILKLMQGYRYSLNQQVLNFERTLSQYRMTMMNNNTNEYRRMMNGTTLNQFLAKSIAIVASGSNDYINNYLLPPLYRSSYTYNAQEFGNLLVNSYVRQILALHSLGLRKFFLSGIGPLGCIPSQRAGRLAAPGRCADLVNQMVGFFNEGLRSMVDQLNKDHPDAMFVYGNTYGVFGDILNNPSAYSFTVIDRACCGLGTYRGQITCLPFQVPCVLRNQYVYWDAFNPTQSAIYVYSWRAVHGPQNDAYPINVQQLAQM >OIW07156 pep chromosome:LupAngTanjil_v1.0:LG08:4778294:4780067:1 gene:TanjilG_10129 transcript:OIW07156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGREVTKIKVVDEKPNGVIAAAKVQENDREVKVCYEKKEVLSAKITNGSVGLPEEENEKSEVQKTVGSEKLCSQIDSTKAVATGLNSPRSDKNTQSPNSSKNSQRQQRDDKKHHGDEDTCSIASSSKVTLGSAPALRSSERAEKRREFYQKLEEKQQALEEEKSRYEARKKEEQEAAIKQLRKNLVIKAKPLPSFYNGGPPPKTELKKLPLTRPKSPKLNQRRSLGDAVKSSSEL >OIW05570 pep chromosome:LupAngTanjil_v1.0:LG08:25259406:25261638:-1 gene:TanjilG_23356 transcript:OIW05570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVEFAVSYSSFYGKKIDPEPGRCRRTDGKKWRCSKEAYPDSKYCERHMHRGRNRSRKPVESQTMTPLPSTVTSVTIAGSSSAAGNFQNLAANAFGNLQGTGSGTGLTDYNLDSIPYGIPSKDYRYLQGLKSEGNEHSFFSEVSESNKVPRMESQLENTWPLMSTRLASHSTLKSNHNPTLQNDYPQHSFLSSEYASGEAVKQEGHPLRPFFNEWPKSKESWFGQEDERSNQTALSTTQLSISIPMASPDFSASNS >OIW06166 pep chromosome:LupAngTanjil_v1.0:LG08:19607093:19608533:1 gene:TanjilG_01793 transcript:OIW06166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLPRLLVLPLMLFISCSFKLTGATDCGGKRIRTHLTVGKGKNVAFNTIQAAIDSIRQNNNKWVQINIHAGTYKEMINISLDKPCIILKGAGSKNTIIQYNPHQVNGDWNPTFSSSPPNVVVLGITIKNTFGVGSQAVAAAIFGDKSVFYNCRFYGFQDTLLDSNGRHYFKNCYIEGEVDFIFGSGQSYYEDCVINATGPISPPAFITAQYRDSENDTSGFIFRRGSVIGNSGNVKLGRAYGPYSRVIFYETHFSSAVTSERWNAWNFKGNE >OIW05953 pep chromosome:LupAngTanjil_v1.0:LG08:22553197:22553493:-1 gene:TanjilG_07229 transcript:OIW05953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSNKATSWSDQWGSDDNYGNGYGYDESDKLKSGSSNKMSSAKSAASVGMDKAKSVALVGADKAKSAAAVGAQKVKSGTSAGLKWVKNQYQKRSSSFK >OIW06533 pep chromosome:LupAngTanjil_v1.0:LG08:10943612:10945350:-1 gene:TanjilG_29954 transcript:OIW06533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAKTVKDVSPHEFVKAYSAHLKRSGKIELPEWTDIVKTAKFKELPPYDPDWYYIRAASMARKIYLRGGLGVGAFRRIYGGSKSNGSRPSHFCKSSGGIARHILQQLEIVKIVEIDPKGGRRITSNGRRDLDQVAGRIIIAP >OIW06871 pep chromosome:LupAngTanjil_v1.0:LG08:7422927:7429196:-1 gene:TanjilG_19520 transcript:OIW06871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVEIDEKDLKDAGAEVFVDGGRRGIRIHGWLIETRRNSILNSSSVQQWEQKLETSHLPEMVFGENTLILKHLVSGTKIHFNAFDALSGWKQEALPPVEVPAAAKWKFRTKPSQQVILDYDYTFTTPYCGSGRIEIDNDSNGRDNSEETKTLNWEVCKEQIDVAALTSKEPILFYDEVVLYEDELADNGVSLLTVKVRVMPSSWFLLLRFWLRVDGVLVRLRETRMHFIFGGSTNPIILRDTCWRESTFQDLSAGRKLKNVTPTMSNNGGEKKPVLLGRTVFHVEPGYLRGCFLVGGFLVFLYKLVVGNVYLQW >OIW06025 pep chromosome:LupAngTanjil_v1.0:LG08:21997412:21997750:-1 gene:TanjilG_11712 transcript:OIW06025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLVRNTTLAFSRRHRYEPLGLRDNENLIKSISYRRRRAKQRKIFLTTYKLSSLNNDFVLETKKSKLKIKKVAVKVKKIMASVLMFMRTGSFRSCRSSSAISATSPVSNRR >OIW05851 pep chromosome:LupAngTanjil_v1.0:LG08:23165486:23166166:1 gene:TanjilG_23637 transcript:OIW05851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAFNHYVIVKFKDGVAVEEIVQGLEKMVSGIDQVKSFEWGKDIENHEMLRQGFTHAFLMRFNGKEDFNAFQTHQDHIEFAKIFSPAVENIVVLDFPSNLVKAPAPA >OIW06598 pep chromosome:LupAngTanjil_v1.0:LG08:9867814:9868701:1 gene:TanjilG_03992 transcript:OIW06598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKHSYTLHILFFLTLVGTSYGGGIAIYWGQNGNEGTLSEACATGKYSYINIAFLNKFGNSQTPEMNLAGHCDPPSGTCTKFSADIKDCQGKGIKVLLSIGGGIGSYSLSSKEDARNVSKFLWNTFLGGKSSSRPLGDAVLDGIDFDIELGSTKNYDHLARFLKDYGRLGRKVYLGAAPQCPIPDKFLGTALNKGLFDFVWVQFYNNPPCSYANGNITNLVNSWNRWTTRVPKSKIFLGLPAATGAAGSGFIPADVLTSQILPVIKKSPKYGGVMLWSRFYDLQDGYSTSIIGSV >OIW07133 pep chromosome:LupAngTanjil_v1.0:LG08:5099294:5101453:1 gene:TanjilG_10106 transcript:OIW07133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECNKDEATKAKQIAESRMQSGDFVEALKFAVKAKKLYADVENIAKILTVCEVHSAAQNKLSGSGMDWYGILQAERFSDEATLKKQYRRLALLLHPDKNKFAGAEAAFKLIGEANRVLSDRTNRSLYEMNCGVSMKTAVPKTSSHHSNYQNKSYSNPSFAFLNVFQQAGQETFWTSCKHCNTKFQYYKVYVNASLLCPKCLKSFIALDLGHQGVPPGHTWKSFNNQKETPRYAPPKPPSEVNREKTLGGGHAHKFAPSHPASMGKRAAGVGRHCKGEQSKDGYVARGVAKGKVHKYKAMGPRNSANVGSKRVRQSIPDSRENFDAGNGDDMKDANVQENVVDPSRINARRSSRKKKHVSYAEADVFESTSKKPRPNESFNNNQVEEMKEPASGVGLLNNNNPVSFAAAAGDQNREMGNQVSRPPEGTSLSNKSKIDHVQGEGLSKSEDVDVRMSKVDNCSPLNSNAPSGPDIQCLDADFSDFEKDKAESCFAVNQCWAIYDDADTMPRFYALVKKVTSPFKVQITWLEPDPDDEGEISWFDADLPIGCGKFKLGGSQKTGDRALFSHQMHCIKGSGKGSYLVCPKKGETWAIFRDWDIKWNSDPEKHLKYEFDYVEILSDFTETIGIEVAYLGKVTGFVSLFQKTKKGTNIFCVRPNELYRFSHRIPSYKMTGNEKEGVPSGSFELDPAALPSNLFEVGDSGDVKMEIEKLVANGRIDI >OIW06491 pep chromosome:LupAngTanjil_v1.0:LG08:12435606:12446633:-1 gene:TanjilG_05262 transcript:OIW06491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADQKRKRLNGASIIGYGYREQNRTKRKNLGPAHNDLSMKSHIAIEWDANQKRVVSKPEQIGISWRHMKPFVSFVSEDHNVLADVFTIPEEIFDLVNLTEVLSYELGRRYKKGKQERREGHLHPDVIIDREQHLKSEKRAYYSQLHNYHKEMIGFLTRLKKRWQSSKYPEKEIAHKMWRSRNDVEKIMPSSVNGSRLYNHDGNLTLTTGSCSWDAEDRACSSDNQISSLRKDDKLQRRVLEKGIVKGKSRNLIYSSDDILNVGERPNKGDKLPKHNIHSSEGDKYMSCIKISKQQHELVKNMKQLGKSIQSRSLNRVLGNLDNIHVQPYEVFLKEEQKKLHEHWLEEDNVNSGSDLQDQDEDNMSSGSELQDQDNANSGSKLQDQGEDNMSSGNELQDQDDDNVSSGNSLPDQKEDSVSSGGELQDEDNVDSGSKLQDKDEYNTSSGSELRDQVGDTGLNAQSSSKDDEDSIGGSPENQSPYNSHLRDELFIPVSMGSEKNIVSSKQADASPNKVEYSRNMNNEDISINEGSSHNTYLRGESLNPVSMGSEKNIVSSRNMNTQDVSINEGAPFASSIDVWQEFEMPHSSYDSAVTHEYIVSGLSLGNPQVNEEQRTNLIDLQADLRHQETGKVLSHRQLGDGTFNSCQSQDQNNFVQSLFKGEEMLSYHPEQKGDKLDFKPSNNVMMGDGQFSSHFKEPLQRSLTLDQGQRRASEVYLPVNMSDNIYSDRGRYLISRQDPLISIQHPLPAANVNDWAANTARIAAPPQSHLNNGNFIGHHWFPADHVRGGWNVSDGSSASCQSLGTQPNTDQNLFSIVSHSNQLRLGSPYDSVRNSNQFLAPRTHGELDAAIPRPNEVVPQASHAPGYFSGHEATGGQVPNDMAQTNLPHQNSALHDQIGPSYLRSWNQP >OIW07326 pep chromosome:LupAngTanjil_v1.0:LG08:2215037:2221263:-1 gene:TanjilG_11960 transcript:OIW07326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFLQCIASHPDTRMPFISAQMPIYVYPLLNTRFNSKPFEYLRLASVGVIGALVKVATFIVQKILLDDVGLQYVCASAERFTAVVRGFRNMLAYLAEKHSPRLFKRIIRCYLCLSEDPRRGGDALRRLLPTMLTDGTFTSYIHDDPTTRAWVQQLLEKVGVNRVPGVGGELDRLMNNMRI >OIW06450 pep chromosome:LupAngTanjil_v1.0:LG08:11740892:11746016:1 gene:TanjilG_05221 transcript:OIW06450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSIGTGYDLSVTTFSPDGRVFQIEYAAKAVDNSGTVIGIKCKDGVVLGVEKLIPSKMMLPGSNRRIHSVHRHSGMAVAGLAADGRQIVARAKSEATNYDSVYGDQIPVKELAERVASYVHLCTLYWWLRPFGCGVILGGYDRDGPQLYMVEPSGISYRYFGAAIGKGRQAAKTEIEKLKLADMTCRQGVIEVAKIIYGVHDEAKDKDFELEMSWVCDESNRQHQKVPDALLEEAKTAAKAALEEMDAD >OIW06783 pep chromosome:LupAngTanjil_v1.0:LG08:8713575:8716491:1 gene:TanjilG_11508 transcript:OIW06783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRHSSKTIYVGNLPGDIREREVEDLFFKYGHIAHIDLKVPPRPPGYAFVEFEDPQDAEDAIRGRDGYDFDGHRLRVELAHGGRGNTSSRDRYDSRSNGRGGGGRGGGISKRSDYRVLVTGLPSSASWQDLKDHMRKAGDVCFSQVFRDGRGTTGIVDYTNYDDMKYAIKKLDDSEFKNAFSRGYVHVREYDSRKDSRSPSRDRSYSRGRSYSRSRSRSHSPGGSRSKSPKGKSSHSKSPKGKSSRSKSPKGKSSRSKSPKGKSSRLSPAKSPLRSASHSRSRSRSRSLSRLGTKEIINRYFRAACIVYKDNWDLGITSNEQ >OIW05556 pep chromosome:LupAngTanjil_v1.0:LG08:25342277:25344019:-1 gene:TanjilG_23342 transcript:OIW05556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLIELDSSLFHKCNSLIQLKQLHAHLITTAQFQLCPSSRTKLLQLCALSPTAGALSFAVLIFRRIQSPSTNDWNAILRGLAQSPNPTTAISCYRSITRSPQKVDALTCSFSLKACARALAFSEATQIHSHLLRFGFAADLLLLTTLLDVYAKTGYLHAAHKVFDEMGKRDIASWNAMISGLAQGSRPGEALSLFKRMKEEGWKPNDVTVLGALSACSQLGALKQGEVVHGYIVDEKLDMNVIVCNSIIDMYAKCGFVDKAYSVFGEMKCRKNLITWNTMIMAFAMIGDGYKALELLDKMVLDGVHPDAVSYLAALCACNHAGLVEEGVRLFDSMIEYGVKPNIKHYGSVVDLLGRAGRLKEAYDLINSMPLLPDVVLWQTLLGASKTYRDVEMAEIASKKLAEMGSNSCGDFVLLSNVYAAQQRWHDVGRVREAMKSRDVRKVPGFSYTEIEGRIHKFVNGDQSHPNSKEIYAKLDEIKFRTKAYGYIADTNLVLHDIGEEDKENVLNYHSEKLAVAYGLISTVEGAPIQVIKNLRICVDCHAVMKIISKIYNREIIVRDRARFHRFKEGVCSCRDYW >OIW06006 pep chromosome:LupAngTanjil_v1.0:LG08:21862547:21869463:-1 gene:TanjilG_11693 transcript:OIW06006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASREILHKMKHDFWTETESAVKRAYIETDDKILEQALILGRGGSTAVTAILIDGQKLVVANVGDSRAVICENGKAKQLSIDHEPSKEKKSIEKRGGFVSNIPGDVPRVDGQLAVARAFGDKSLKMHLSSEPDVFEVEIDQHTEFLILASDGIWKVMSNQEAVDSIRQIKDAQAAAKHLIEDAISKKSKDDISCIVVKFQ >OIW07084 pep chromosome:LupAngTanjil_v1.0:LG08:5657197:5657748:1 gene:TanjilG_02718 transcript:OIW07084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSQQNIGDSTNSDKKRRKITHNNQPIDLNSPNLILWRSQSQQQIYSSNLVQALRRSPPSPAARQIRDTADRILARAAKGRTRWSRAILASPRWKLQRNRLKKVKKASNGLKKTGITGGDRKRKRRLPAVEKKARVLSRLVPGCKKVSFQNLLEEASDYISALEMQVRAMTVLTQLLDGGMVS >OIW05936 pep chromosome:LupAngTanjil_v1.0:LG08:22411699:22413302:-1 gene:TanjilG_07212 transcript:OIW05936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLDSDVAMIPAGEPSSSAGPSSKKPKRFEIKKWNAVALWAWDIVVDNCAICRNHIMDLCIECQANQASATSEECTVAWGVCNHAFHFHCISRWLKTRQVCPLDNSEWEFQKYGH >OIW07269 pep chromosome:LupAngTanjil_v1.0:LG08:2576394:2580994:1 gene:TanjilG_08384 transcript:OIW07269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGEVKMKSKLKWVALFGIVLSAFSIFTHFLLARFTQMGIADYQSSVTFFSWRPIFQTPHFPTNSPSYRRLWGPVKRLESLYPDSNPRGYYADPDSQTNGFIFVRIQGGFHDIRNSICDVVVVSRLLNATLAMPEIQQTTSSKGISSQFKSFAYLYNEEHFVLSLAKDVKVVRTLPKHLKGARRKKEIPVFKVPHSASPYYYFRHVLPVLKRHSVVELVVSDGGCLQATLPPDFEEYQRLRCRVAFHALHFRQEVQELSAKILQRLRALGRPFIAFDPGMTREALAYHGCAELFQDVHTELIQHKRSWMRRRGIVKGKLKVNSAEARINGSCPLMPEEIGILLRGYGYSMDAIIYVSGGEVFGGQRTLIPLHAMFENVVDRTSLSTPWELRSLYGQEDSLLDAPPGPPPFVEEVTKVKAWKNAGPRPRPLPPPPARPKSYNIEGWWGWVAESDNEPDSTVMELRTNAHKLLWEAIDYVVCIEADVFIPGFDRDGKGRPNFASLVMGHRLYQSAASKTFRPNRKEAVRLVDEIRDHTYQANQTWLKSVRRHLRKTLLDGIIEASNKSKPLSFLSHPVPECSCSRRDSNEVSKNSSSVSTSQVSRALGVTHRCPAWMNMGSVSQFKDKENDEDIDDDDSVSALFFRQNGGNHESDGEANTKEENQFEDQEEMENGER >OIW07165 pep chromosome:LupAngTanjil_v1.0:LG08:4641979:4645584:-1 gene:TanjilG_10138 transcript:OIW07165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTRVVDLRSDTVTKPTEAMRAAMASAEVGDDVLGNDPTAFHLEAEMAKIMGKEAALFVPSGTMGNLISVLTHCDIRGSEVILGDKCHIHIYENGGIATIGGVHPRPVKNNDDGTMDIDLIEAAIRDPRREIVYPTTRLICLENTHANSGGRCLSAEYTDRVGEVAKKHGLKLHIDGARIFNASVALGVPVDRLVRAADSVSVCISKGLGAPVGSVIVGSKSFITKARRLRKTLGGGMRQVGILCAAGLVALQDNVGKLENDHKKARTLADGLNQIKGLRVDGPVETNIVYIEIEEGSHTNAGKICKELEDRGILLMRESLSRLRVVFHHQISASDVQYTLSCFQQAVKGVQTENGN >OIW06729 pep chromosome:LupAngTanjil_v1.0:LG08:9126466:9130589:-1 gene:TanjilG_11454 transcript:OIW06729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRRHPNSAAVSPAAHSAVASSYDSNRSKKRGSYNCGRCGQPKKGHNCNFKTPTSAKVTRVDPPLTAVSVASAHTPLTVSRHAPSHPRRALSFDDFDDHTGGTDFDPAERDEMGDSDYVEESLDPLDLDIDSCGLPVNLLWEVMRRLPPAGLLSAAKVCKGWRETARRLWKAAEELKLRVPSSVHVGLVASMLQKCPGILRLSLRMESDIDSAVLACIAFSCPNLEHLEITTFDTSVNRINGDELGRFIADKKSLKSLKMEGCSNLGGFVLCSSSLCTLWLSDLQYLSKMVFNCPKLREISLEFSHQENDDTDLIAMIENLGRSCLRLQNIHVASMRLSHAVVLALSTAQFRELRMLSLVLGSEITDASVAAIASSYPNLELLDLSGSGISDSGIGMICNVLSETLSRLLLALCPNVTSSGIQFATAQLPFLELMDCGMTICDPNSEDLTTGENNCKSEKVSSANLHLVNQKLIIKHGRLRTLSLWGCSGLDALYLNCPRLNDLNLNSCRNLHPGGHFMSLFKRLLLQCPALENVHASSCQNMLIGAIQSQVCNAFSAMDNHSPSKHLPDGSKRIRVPHLLDCEFPEPAKKRRKIERPICNVLVD >OIW06130 pep chromosome:LupAngTanjil_v1.0:LG08:21225191:21226746:1 gene:TanjilG_29886 transcript:OIW06130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESNKTRSKNRSKGCFFHCFRPNDDMNYDPFRTKPLEKGKTMDPLLTYIAAREKERVALPTILSSALKSCGERNENRFRKKANYGFLRQTLKATLNETSWGKKIINLRRKRNRVNSFKSVTNNLEGEVDKISNTENKTTHQTNLNTSTSSMCSSPVFIQSSTTTSTSPGTRAWKSSSELYQPRPVLVNGIVVKKHKQDEGYYSCNMSMFLPLIILVTLILWGRICAIVCTSIGFFLVSPRGRKVEALCGDTEFDSVHHKKKIIMEGLLQRKRCHSKG >OIW05847 pep chromosome:LupAngTanjil_v1.0:LG08:23200053:23202034:1 gene:TanjilG_23633 transcript:OIW05847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGPMEFWGVEVKVGQAVKVDRNDLLGETIHLSQIALGESKKDKANEPVVLYLKVGERKFVLGTLIRNDIPQLSVDLFLHSEFELSHNSKNSSVYFSGYKVENDEDLPILNKDDGKLEKNGDDIKVVESKRPPVSIGASAKQAKIAVPKKDEADESDDDDDSDDEDDFGSSDEVDQGKKRPNGSASKNPVSIKKAKNATPENNGGKKSLHTASPHPVKKGGKNSNKKGQRS >OIW06519 pep chromosome:LupAngTanjil_v1.0:LG08:10833607:10835053:-1 gene:TanjilG_29940 transcript:OIW06519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYFSRSNRDGDDTVDDYDEYDPTPYGGGYDIHLTYGRPLPPSDETCYSLNESSGNEDFDYDRPNYSSYSEPSAYGDEALATEYSSYSRPKPRPAPSGFNPARKQESESEYGSGYGRKQEYGEPESEFGSGYGGRKQESEYGSGYGRKNEGSEYGSGYQEENVVSGYGSGYRKKSEYETSGSEYGSEYGGRKTSGYGEEQSGGYGGRSEHEEKPSYGRSEYGRKEEVEYGRSEEEGYRKPSYGRRDDDEEDRKPSYGRRDDDDEDRKPSYGRRNDDDEDRKPSYGKRNDDDDDDESHGRKKYVSTTTVPLI >OIW06410 pep chromosome:LupAngTanjil_v1.0:LG08:13315671:13320635:-1 gene:TanjilG_16822 transcript:OIW06410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSNPRKRPTSTQISTKSSSSPKENIIINNNNTSSDSPIASPKWGLLFKLSLFSIPPYFYLLFYHYPIEQELRRSILINAAMSLVGFFLTVKLIPVASRYVLKRNLFGYDINKKDTPMGSVKVPESLGIVVGIVFLVVAILFQYFNFTEDSNWLVEYNAALACICFMMLLGFVDDVLDVPWRVKLLLPSIAALPLLMAYAGHTTIVIPKPLVPHIGVEVLDLGCLYKLYMGLLAVFCTNSINIHAGLNGLEVGQTVVIASAILIHNIMQIGASTDPEYKQAHAFSVYLTQPLLATSLALLSYNWYPSSVFVGDTFTYFAGMTMAVIGILGHFSETLLIFFLPQVLNFLLSLPQLSGYIPCPRHRLPKFDPKTGLLTGTNDGTLVNLSLRILGRKSEKALCIYLLGFQALACCFCFLLRHILAGWYK >OIW06052 pep chromosome:LupAngTanjil_v1.0:LG08:20188786:20189355:-1 gene:TanjilG_29808 transcript:OIW06052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTSTLSQTVIDTNGNPLKRGIEYYIKPAITDNGGRFTLINRNNSCPLYVGLENTDTPKGLPVIFTPFAKEDNVVKLNRDFKISFSASTTCVQSTYWKLGQNDTKSGRRLIITGIDEGRGSYGNYFRVVEGKNAGIYNIQWCPTDLCATCRFICGTASGLREGGGVKDPNSKILLALDGGVLPVQFYKK >OIW06123 pep chromosome:LupAngTanjil_v1.0:LG08:21136895:21138524:1 gene:TanjilG_29879 transcript:OIW06123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSYLNISLSKNIVPDEIKGREIHHSFPMTLFQPHTTHLDHHTLKLLKSWTWQFVVLRPVCSILMITLQYFEVYPSWVNWTITIVLNISVTLALYSLVVFYHVFAKELEPHKPLAKFLCIKGIVFFCFWQGIVLNLLSKIGIIRSRFAWLPVERIEEGYQNILVCLEMVFFSIYQMSAYSVAPYKADDKTSVTSDKKSK >OIW05569 pep chromosome:LupAngTanjil_v1.0:LG08:25268107:25274382:1 gene:TanjilG_23355 transcript:OIW05569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLSFDINAISPSTFHYASISSFSSYAIPISPIPFSGNVLNTRVLTKFHLFYSPNLKFVLPLSASSLNDASNVGLVSDAINPKDLEFKPSFDEYLKAMESRTSRKKKRASTTDTTSQKQNVREKGEEGDKKVERVGEKIDGKEEFEAAKEDGKTIAMYKEERSSTSDVTVRQRNTKGFKNEHGRKESQIDVKGKQKREANVREDVWSKQKSHDSLEPESDGKSGSGSGRISNSITRPNSNYSLGEKVVSNRQRDYNTHGKGSGGNKTVVRNKVMDTGAGRRNTEIESVVNRSVPENVKLNRNSKRFIDRGYDSDNVEVERAAFTNLEVINRIQFSHKELEERIQKLARLLNGTDIDLPEWLFSKAIRSAKIKFNDYSITRVIILLGNLGNWQRVIQVIEWLQRRERFKSNKLRHIYTAALDVLRKSRRPVEALNMFNAMLQQMATYPDLVAYRSIAVTLGQAGHMKELFDVIDIMRSPPKKKFKTGPFEKWDPRLEPDSVVYDAVLNACVKGKQWEGAFWVLQQLKKEGQQPSATTYGLVMEVMFSCGKYKLVHEFFRKLQKSYIPNSLTYRVLVNTLWKEGKTDQAVLTVQEMENRGIVGSASIYYDLARCLCSAGRIHEGLMQIDKICKVANKPLVVTYTGLMQACLDCGNIQDGAFIFEKMKEICAPNLVTFNILLKGYLDHGMFQEAKELFEKMLENKNHRRENTDYKMLVTPDIYTFNTMLDACVAEKRWDHFDDIYLRMFQHGYHFNAKRHLRMVLEASRAGKIGPLEITWKYLANTGRVPPPPLVKEMLCLKLEKDDYVSALTCITNNSPKDLQPFSKSSWLNLFKDNSQRFQKDRLVRLMNEASSVVSNSSTPNPILVYLMQSCKEFLCASDLSVADKDSAGTVFAVENNLAACLR >OIW06112 pep chromosome:LupAngTanjil_v1.0:LG08:20847252:20848433:1 gene:TanjilG_29868 transcript:OIW06112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVPEKDLLAQLSLPPGFRFFPTDEELLVQYLCRKVAGYHFSLPIIAEIDLYKFDPWVLPGKAIFGEKEWYFFSPRDRKYPNGSRPNRVAGSGYWKATGTDKIITTEGRQVGIKKALVFYIGKAPKGTKTNWIMHEYRLLDSSRKNNGSAKLDDWVLCRIYKKNSSAQKTVIPSFSSKEYSNGGSSPSTSSHVEDMIELPEINEQFFTLPRMNSLRTSQQNQEGFQNLENFSDWVNSSGLDSVPEFQTQGMVNYGCNDLFVPSMPQFCHVDLTAEEEVQSGIRNQRKVNDNSGFYQQNQNVFLQYNDPFSFGYPNQQDGFSFTK >OIW05951 pep chromosome:LupAngTanjil_v1.0:LG08:22536092:22539443:1 gene:TanjilG_07227 transcript:OIW05951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLKSRVEVSSRSVISQRWALLLCLGSFCAGMFFTTRMWSIPEPKGLARPTTSEAEKLNLLSEGCNSRVLQEMEMKRETKDIYREAFKSHNSLETLDKTIANLEMELSTARAAQDSIRSGAPVPEDIRLTESSGKRKYLMVVGINTAFSSRKRRDSLRETWMPQGEKRKKLEEEKGIIMRFVIGHSATSGGILDRAIEAEDRKHGDFLRLNHVEGYLELSAKTKTYFATAVNLWDADFYVKVDDDIHVNIATLGETLARHRSKPRIYMGCMKSGPVLSQKGVRYHEPEHWKFGESGNRYFRHATGQLYAISNDLASYIALNQDLLHKYANEDVSLGSWFIGLDVEHIDDRRLCCGTPPDCEWKAQAGNICVASFDWSCSGICRSAERMKEVHRRCGEGENALWSATF >OIW06696 pep chromosome:LupAngTanjil_v1.0:LG08:10557570:10561578:-1 gene:TanjilG_04090 transcript:OIW06696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSSLQILSPLLLNTKTNLVSSFPSPFLTFSSTPTNPPPFPLVVFSYSKQGNGLASGEKKVLLERYGYDVDADEYFSKSSSKSKRRKGSKEVTKTERRKQVQESPPEDPKPPRTTHKLLQVLGGTARRKKLLSPKGMDVRPMMEVVKGAAFDILQAAGGCPASLRPGRWLDLYSGTGSVGIEALSRGCSQVHFVEMDPWVVSDVLRPNLECTEFLDSSVIHTVRVEKFVERNNGPFDYISVTPPYTQVDYAVLMKQISESPLVGQDTFIVVEYALKTDMLDSCGCLVKITDRRFGRTLLAIYGPKWAQKKRRSRTQLTQLQ >OIW07281 pep chromosome:LupAngTanjil_v1.0:LG08:1523248:1525431:1 gene:TanjilG_11915 transcript:OIW07281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKNDESIAIGIDLGTTYSCVAVWEKQHNRVEIIHNDHGNKITPSFVAFTDDQRLIGDAAKNQAAINPTNTIFESYLESPVKNAVVTVPAYFNDSQRQATKDAATIAGLNVMRITNEPTAAALAYGLDKRANCVDERNVFVFDLGGGTFDVSLLTIKGKIFEVKATAGDTHLGGLDFDNRMVNYFVQEFKRKNNVNISGNPRALRRLRSACERAKRVLSFASNTTIEVDSLLQGIDFCSSVTRAKFEQLNIDLFKKCMEPVEQCLRFAKMEKSSVHDVVLVGGSTRIPKVQKLLQDFFNGKELCNSINPDEAVAYGAAVQAAMLSGEGNEMVQDLCLLDVTPLSLGLETSGGLMTVLIPRNTPIPTKRELVFSTYSDYQSSVLIQVYEGERTRAKDNNLLGKFEFSGIQLVPRGVPQITVCFDIDANGILNVSAENKTTSQKNMITITNDKGRLSKEEIERMIQEAEKYKFEDEEHKKKIEAKNALEKYAYNMTNTIEDYKISSKLSATDKAKIEDAIQKAFQWLDANQHAEVDEFDGKVKELDSICNPIMVKMYQGGDDTDRDDPSTFSGHKIEQVD >OIW06361 pep chromosome:LupAngTanjil_v1.0:LG08:14642344:14644899:1 gene:TanjilG_15006 transcript:OIW06361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAETFAFQAEINQLLSLIINTFYSNKEIFLREIISNSSDALDKIRFESLTDKSKLDGQPELFIRLVPDKANKTLSIIDSGIGMTKADLVNNLGTIARSGTKEFMEALQAGADVSMIGQFGVGFYSAYLVAEKVIVTTKHNDDEQYIWESQAGGSFTITRDTDGEQLGRGTKITLFLKEDQLEYLEERRIKDLVKKHSEFISYPIYLWTEKTTEKEISDDEDDEPKKEEEGAVEEVDEDKEKDSKKKKKIKEVSHEWELINKQKPIWLRKPEEITKDEYASFYKSLTNDWEDHLAVKHFSVEGQLEFKAILFVPKRAPFDLFDTRKKMNNIKLYVRRVFIMDNCEELIPEWLGFVKGVVDSDDLPLNISRETLQQNKILKVIRKNLVKKCIEMFNEIAENKEDYNKFYDAFSKNLKLGIHEDSQNRAKLSDLLRYHSTKSGDELTSLKDYVTRMKEGQKDIYYITGESKKAVENSPFLE >OIW05671 pep chromosome:LupAngTanjil_v1.0:LG08:24581308:24597298:-1 gene:TanjilG_23457 transcript:OIW05671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDSPPTNFNYDPSSPDDSLSSPIGNTFSSPGDASRRRQRRRRSLTPSAFGTPQRNRSRFASSDGATPTPSRSRQRGPTTPTSTDEVPASSEGGDGYDMDDSRPTFVWGTNISVEDVNDAIQRFLRDFREASSQNEVDELHLHTEGKYEKMIKQVIDVDGESLDVDACDVFDHDPDLYTKMVRYPLEVLAIFDLVLMNMVSRLNPMFEKHIQTRIFNLKTSTSMRNLNPSDIERMISLKGMVIRCSTIIPEIREAIFRCIVCGYCSDPVAVERGRITEPTICLREECKSRNSMTLVHNRCRFTDKQIVRIQETPDEIPEGGTPHTVSVLMHDKLVDAGKPGDRIEVTGIYRAMSVRVGPSQRTVKSLFKTYIDCLHIKKNDKSRMLIEDAMDVDSGPGRNSEEVFFDKDKVAQLKELSKQPDIYERLTRSMAPNIWELDDVKKGLLCQLFGGNALTLASGASFRGDINILLVGDPGTSKSQLLQYIHKLSPRGIYTSGRGSSAVGLTAYVTKDPETGETVLESGALVLSDRGICCIDEFDKMSDNARSMLHEVMEQQTVSIAKAGIIASLNARTSVLACANPSGSRYNPRLSVIDNIHLPPTLLSRFDLIYLILDKADEQTDRRLAKHIVSLHFEDPESTEQDVLDLSTLTDYVSYARKNIHPQLSDEAAEELTRGYVEIRKRGNFPGSSKKVITATPRQIESLIRLSEALARIRFSELVEKHDVIEAFRLLEVAMQQSATDHSTGTIDMDLINTGVSASERMRRESLLQSIRNIIMEKMQIGGPSMRLLDLLEELKNQNTGNEVHLNDLRNAITTLASEGFVNMHAMGEVARGGCCPPMDLFRSESMQLVQLIIPIESAHLTVSYLGDLGLLQFKDLNTDKSPFQRTYAAQIKRCGEMARKLRFFKEQMSKADVLPVHSTTVVDVNIDDLEVKLAEIESELTEMNANGEKLQRSYNELVEYKLVLEKAGEFFHTAQSRAIEQQRENESRQLVDESLETPLLQDQDFVGDSSKQVKLGFLAGLVAREKSVAFERILFRATRGNVFLRQAAVENPTTDPVTGEKTEKNVFVVFYAAEKAKSKILKICDAFGANRYPFAEDVGKQTEMTKEVSRRILELKTTIDAGLLHRATLLQTIGAQFEQWNLLVRKEKSIYHTLNMLSLDVTKKCLVAEGWSPVFATKQIQDALHRAAIDANSQVSAILQVLHTRELPPTYFRTNKFTSSFQGIIDSYGVAKYQEANPTVYTVVTFPFLFAVMFGDWGHGICILLAALYFIIREKKLSSQKLDDITEMTFGGRYVIFMMALFSIYTGVIYNEFFSVSFKIFGPSAYECRDLSCTEATTVGLIKARRTYPFGVDPVWHGSRSELPFLNSLKMKMSILLGVAQMNLGIMMSFCNAKFFKNSVNVWFQFVPQIIFLNSLFGYLSLLIIVKWCTGSQADLYHILIYMFLSPTDDLGENQLFVGQKYLQLVLLILAFIAVPWMLLPKPFILKKQHENRHGVESYAPLQTTEESLQVESNHDSHGHGEFEFSEVFVHQLIHTIEFVLGAVSNTASYLRLWALSLAHSELSSVFYEKVLLMAWGYNNVVILIIGLIVFIFATVGVLLVMETLSAFLHALRLHWVEFQNKFYEGDGYKFHPFSFLLVEDEDE >OIW07043 pep chromosome:LupAngTanjil_v1.0:LG08:6039242:6041298:-1 gene:TanjilG_02677 transcript:OIW07043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVDVELVCKQSVATLDVKYFPNKEIKNHEIGGEDAISPPSFDRVRASESVSAKLSTSQEDVKPGERISDASLESDVMQFVPCIRSGSFVDIGPRRYLEDEHIKIDDLSSHLGSLYNFPKPSAFYGVFDGHGGPEAAAYVRKNIMKFFFEDASFPQTSEVDNVFLQELQNSLTKVFLLADSALADECSVNTSSGTTALTAFIFGRLLMVANAGDCRAVLCRKGEAIDMSQDHRPTYPSERRRVEELGGFIDDGYLNGVLSVTRALGDWDMKLPKGSSSPLIAEPEIRQVILTEDDEFLIIGCDGIWDVMSSQHAVSLVRRGLRRHDDPEQCARDLAMEALRLNTFDNLTVIIVCLSFLDHSEPSPPRQPKLRCCSLSAEALCNLRSLLEGNASN >OIW07332 pep chromosome:LupAngTanjil_v1.0:LG08:2358835:2359119:1 gene:TanjilG_11966 transcript:OIW07332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLGKAKVTIDRAKLKIHRPIAPHDRGKTNIDRGIMTCDKRNKESMHHSASPSAHQPEAHTLTVKHRPWCMYSDRGTKDLAHQNLTESADLGAS >OIW06408 pep chromosome:LupAngTanjil_v1.0:LG08:13267509:13279365:-1 gene:TanjilG_16820 transcript:OIW06408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHRAKSNHSLSYSNLFNLESLMSFQLPQLDGDFDCYGNSSQDDESRDNQGGGAIANHSNGSAHGMDVSLSKKRVWSQNSDDDEKNDFDATYTTEKQYRSMLGEHVQKYKRRFIDTSSSPAQNRIAVPVVKSSTSFQGRKLGNDHRGVLHAAETTSKWLYDSNSQKKGNYRDADFMLRCGTDRIMYEPASLDIGDGITYRIPPIYDKLATMLNLPSFSDIHVEEFYLNGTLDLGSLAAIMAADKRSGTGNQAGMGEPIPQYESLHARLKAMSAANSPHKFSLKVSDVGLGSSIPEGAAGSIRRSILSEGGVLQVYYVKVLEKGDTYEIIERSLPKKHKVTKDPALIEKEEIDTIRKVRMKVSRSHKWIRGASIRTRKIARDMLLFWKRIDKEMAEVRKREEKEAAEALRREQELREAKRQQQRLNFLIQQTELYGHFMQNKSNLLSSEAPMAVEKTNDEDALFDSSDTGPNEDPEEAELKKEALKAAQEAASKQRTLTSTFDSESLRLRQAGESDSLPQEVAGATNIDLQTPSTMPATSTVQTPELFKGHLKDYQLKGLQWLVNCYEQGLNGILADEMGLGKTIQAMAFLAHLAEEKNIWGPFLVVAPASVLNNWNEELERFCPDLKRLPYWGGISERTILRKAKFHVLITNYQLLVSDGKFFRRVKWQYMVLDEAQAIKSANSIRWKTLLSFTCRNRLLLTGTPIQNNMAELWALLHFIMPTLFDNHEQFNEWFSKGIENHAEHGGTLNEHQLNRLHSILKPFMLRRVKKDVVSELTMKTEVMVHCKLSSRQQAFYQAIKNKISLAELFDSNRGQLNEKRILNLMNIVIQLRKVCNHPDLFERSEGSTFFYFGEIPNSLPPPPFGELEYIYYPGGHNPVSYEIPKLVYQEIMQSSETLSLAVGRGVYRESFQKHFNIFRPENIYRSIFSEDRVVKSGNFGFTHLIDLSPQEVAFLATCSFMERLLFSMMRCEQNFLDEVLDFLMDTIIDDSECSYLEKGKVRTVTRMLLVPTRSENKFLQRRFATGPTQTPFEALIVPHLDRLLSNARLLQNAYTYIPPTRAPPRLFVGFARTSKSNGPRMPDRPPHHLIQEIDSELPVSQPALKQTHNIFGSSPPMRSFDPAKLLTDSGKLQTLDILLKRLRAENHRVLLFAQMTKMLNILEDYMNYRKYKYFRLDGSSTIQDRRDMVRDFQHRSDIFVFLLSTRAGGLGINLTAADTVIFYESDWNPTLDLQAMDRAHRLGQTKDVTVYRLICKETVEEKILLRASQKSTVQNLVMTGGSVGGDILAPEDVVSLLLDDPQLEQKLKEIPLQVKDKQKRKQSLKGIRLSEEGDASLEDLTNSEVQGTTNIDLPMEPEEPKSSNKKRKVAADKQISRSKNSQKMNEFGNMAIDDELNDVYLNTDPVDHKPKRAKRTKKNVNEKFVEVFTGTATTFSEQTHFPPHKKSQF >OIW05757 pep chromosome:LupAngTanjil_v1.0:LG08:24008183:24009661:1 gene:TanjilG_23543 transcript:OIW05757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNTPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDALMKILTERGYSFTTTAEREIVRDVKEKLAYIALDYEQELETSKTSSAVEKSYELPDGQVITIGAERFRCPEVLFQPSMIGMEAVGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEISALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDEAGPSIVHRKCF >OIW07243 pep chromosome:LupAngTanjil_v1.0:LG08:3010586:3013336:1 gene:TanjilG_08358 transcript:OIW07243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANNKNQQNLDSGTISFESPQGKRKLPNFLLSVRLKYVKLGYHILISNAMYLLLIPFLGLASAHLSTISINDVVQLWENLKFNLVSVTLCSSLIVFLATLYFMSRPRGVYLVDFACYKPEENSICTREIFMDRSRLTGTFSDENLAFQKKILERSGLGQKTYLPPAILRVPPNPNMAEARKEAEEVMFGAIDQLLAKTGVNAKDIGILVVNCSLFNPTPSLSAMIVNHYKLRGNIQSYNLGGMGCSAGLLSIDLTKQLLQVHPNSYALVVSMENITLNWYFGNNRSMLVSNCLFRMGGAAILLSNKSSDRRRAKYQLVHTVRTHKGADNKSYGCVFQEEDEKKTIGVALSKDLMAVAGEALKTNITTLGPLVLPMSEQLLFFATLVARKVFKMKIKPYIPDFKLAFEHFCIHAGGRAVLDELEKNLELSDWHMEPSRMTLNRFGNTSSSSLWYELAYTEAKGRIRKGDRTWQIAFGSGFKCNSAVWHALRTIDPAKEKNPWMDEIHEFPVHVPKVATID >OIW05913 pep chromosome:LupAngTanjil_v1.0:LG08:22184428:22184694:-1 gene:TanjilG_07189 transcript:OIW05913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTSAIRAWSVAASVGVVEALKDQGICRWNYALRSAQQHVKNHVRSFSQGKKLSSSAVFSKRLRDEKAKQSEESLRTVMYLSCWGPN >OIW05745 pep chromosome:LupAngTanjil_v1.0:LG08:24097379:24101751:-1 gene:TanjilG_23531 transcript:OIW05745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASTTSQVYIQVIEDVVNKVRDEFGNNGGPGDEVLKEFQAMWESKMIQAGVILGPIVRSSAPKPTSGGPITPVHDLNMPYEATEEYETPTAEILFPPTPAQTPIPTPLPGTVDNSMYNIPTGPSDYSSSGNGSVGNTEMKGGRPSAYMPPPSPWMNQRPALDVNVAYVEGRDEAGRGTSNQPLEQDFFTTNAGKRKRDEFASQYNAGGYIPQQDGAGDVAPGVFEIEVYGGSISVDAGHSTKGKMAANLERPASQIPQLDGPIPYEDDVLSTPNIYNYGGVYNEDYNIANTPAPHEAPVSTPALVAQNEVEEDDDDDEPPLNENDDDDLDDLEQGDEQNTHHLVLAQFDKVTRTKSRWKCTLKDGIMHINNKDILFNKATGEFDF >OIW05728 pep chromosome:LupAngTanjil_v1.0:LG08:24183015:24184942:-1 gene:TanjilG_23514 transcript:OIW05728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYQFMLGIALSCLGGLSTSIGALLVIVNPAPNLKMLGLLQGFAAGLMLSISFFDLVHNALNALGFLKANLWFFAGVIFFAVVSKFIPEPPASAPISDDQSRKKGGDERNKDIMKKRQRQVLLSGIITAIGISLHNFPEGMAVFLGSMKGLRVGLNLSLAIALHNIPEGVAVALPIYYATQRQEPSLV >OIW07307 pep chromosome:LupAngTanjil_v1.0:LG08:1817303:1823919:-1 gene:TanjilG_11941 transcript:OIW07307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSSITFHFNHFRVSTNSFLSQYEPLLLLITPIFSLFIAHSIRSFFNVFRDKGFKATIITFILNFIKLIPGVKSYIQAEKQKVVDQMQSGGKSKREGWLTELPSTGLGKTVLEKMKEEKRNDPVWQGKCSGTVYIGGSESDGHFFVINEACSMFAHTNPLHLDVFRSVAHFEAEVVAMTAALLGSKEHSSGGQICGNMTSGGTESILLAVKTSRDYMKSKKGITRPEMIIPESAHSAYDKAAQYFNIKLWRVPVNKNFQAEAKAIRRHINKNTIMIVGSAPGFPHGIIDPIEELGHLASSYGICLHVDLCLGGFVLPFARELGYPIPPFDFSVKGVTSISVDVHKYGLAPKGTSIVLYRNHEIRKHQFVAVTEWSGGLYVSPTIAGSRPGGLIAGAWAAMISLGKEGYLKNTKEIMEASKKIQKGVEEIPELFVVGRPDMTIVAFGSDVLDIFEVNDIMSSKGWHLNALQRPNSLHICVTLQHVPVVEDFLSELKESVKTVKENPGPIKGGLAPIYGAAGKMPDRGTVQELLVEYMDGTC >OIW06489 pep chromosome:LupAngTanjil_v1.0:LG08:12403356:12403983:-1 gene:TanjilG_05260 transcript:OIW06489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLQNDIDLLNPPVELEKRKHKLKRLVQTPNSFFMDVKCQGCFNITTVFSHSQTVVVCGNCQTVLCQPTGGKARLTEGCSFRKKGD >OIW06765 pep chromosome:LupAngTanjil_v1.0:LG08:8856921:8859684:-1 gene:TanjilG_11490 transcript:OIW06765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEKVESPVTVLEDYFRSSDSETSSSKDPTVDESEDIAKPASKWHKFFHLLRSSRKPVTMLHPLKLSKRMSRSMRGRTLHGCLFGATLSSPSRSQWKIFTNHDILLATNHFSQENLIGKGGYAEVYKGCLPNNQLVAIKRLTRGTVDEIIGDFLSELGVMAHVNHPNIAKLVGYGVDDGMHLVLELSEKGSLASVLYGSKEKLPWFIRGKIALGTAKGILYLHEGCQRRIIHRDIKAANILLTEDYEPQICDFGLAKWLPENWTHHTVAKFEGTFGYLAPEFLLHGIVDEKTDVFAFGVLLLELAKPLLEKNDIKELIDPSLEDEFDSRQMNLMLLAASLCCGAFEWKP >OIW07303 pep chromosome:LupAngTanjil_v1.0:LG08:1777199:1778329:1 gene:TanjilG_11937 transcript:OIW07303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMHFNNNSCFYRTPCSISSQPKKKVVSIPVHFVESERTRNNSAIKIQKVVRGFLVRNSMKRIKCIRVELEKIEDKVCVEETMELIMREGKERVKFSESIMNLVLKLDNVRVLDYYSGVRGYRKSVIQKAIALQELIDQIQMVGPTHHDQNQSTQVDPDVRDSYLVKCEGDVNAQDSYLVKCEGGVNAQDSYLVKCEDGVNAQDSYVVKCEDGVNARDSYLVKCEDDVSIRNSYLVKSEDNLYTRGSYLVKSEDDAYAYTKNREDLMDNGVGEESVGTSMVEEVEENCLVKEEVDQDCENKIGKEVNYENNKNKELLERMVEDNERMMNMMAQLFERNEMQTKLLSSLSQRVEQLERAYACDKLRKKKRRNVDAKHK >OIW07159 pep chromosome:LupAngTanjil_v1.0:LG08:4750219:4750473:-1 gene:TanjilG_10132 transcript:OIW07159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCYTKMFVFTTILLLGLHQIYASRPLEEEQWLHKNLIIQSLQRGTVRGSQKNPCSTVPGRSRGRCILTQKNFAGHVAHATPSF >OIW07140 pep chromosome:LupAngTanjil_v1.0:LG08:4957567:4985509:1 gene:TanjilG_10113 transcript:OIW07140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFMAVLESDLRALSAEARRRYPAIKDGAEHAILKLRSLSSPGEIAHSEDILRIFLMACEARTVKLSIIGLSCLQKLISHDAVSPSALREILMQLKDHAEMVDEGVQLKTLQTISIIFQSRLHPENEDTMSQALGICLRLHENNRSSDSVRNTAGATFRQAVALIFDRVVLAESLPPGKYCFGGQLSRSSTVTGDVNHSINLSDSLDNESVSGVSSLTRETLTETGKLGLRLLEDLTSLAAGGSAIWLRANIHQRAFALDILEFVLSSYVAIFRTLLPYEQALRRQICSFLMTSLRTNAELEGEAGEPSFRRLVLRSVADIIRLYSSSLITECEACFQLPFPSSHFSCVKSVIEILTAGFPMEQETSKPVHSNIILMCHDFHFILVFLTMLLKATFLDLPLWHRILVLEILRGFCIEAHTLRILFQNFDMHPKNTNVVEGMIKALARVVSNVQVQESSEESLAAVAGMFSSKAKGIEWSLDNDVSNAAVLVASEAHAITLAIEGLLGVVFTVATLTDEAIDVGEQLESPRGDNDQPVKWPGKIVVLCISMVDSMWLTILDSLSLILSRSQGEAIVLEILKGYQAFTQACGILRAVEPLNSFLASLCKFTINFPVETEKRSPLLSPASKRSELSVEQRDSVVLTPKNVQALRTLFNIAHRLHNCLGPSWVLVLETLAALDRAIHSPHATTQVSTPTPKFTRESSAQFSDFNILSSLNSQLADNSNPHLRNMALAALDQSISAVLGYDQLQDYKQSKYIETSEEKEANPDKLRSFECCVISPLKALYFSTQSIDVRVGSLKILLHVLERYGEKLHHSWPNILEMLRYVADASEKDLVTLGFQNLRVVMNDGLSTLPTDCLQVCIDVTGAYSTQKTELNISLTAVGLLWTMTDFIAKGLLLGPSHEKETSGGSIVKQIDSEKMEDQTRRISNNVRDQASSIDDVDCEKLLFSVFSLLQNLGADERPEHAKTMGYDELANKFDLKMVRAIALVLMEQLKVEMKDSSLIPDTVKSSAFLDACNFLKCNNEVTQTVEELSKTIGADIQPLLRRQKKQKVGSKE >OIW06302 pep chromosome:LupAngTanjil_v1.0:LG08:15584873:15585205:1 gene:TanjilG_17676 transcript:OIW06302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSDSVVRNLTMIYVAVIACIKAYGLFCGRSFTGTFVLILSTTLVALILVLTLTWDVSRKATYAFAGDHLLNLHHHHTCKGGICWHGVAVRSPASQVRFRLPQHLPYAL >OIW06067 pep chromosome:LupAngTanjil_v1.0:LG08:20301115:20302223:1 gene:TanjilG_29823 transcript:OIW06067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDCSNNNNPYVQISFVPTSPEAKNHQNPMDTVRGAFKQAETMADNFWNHVRISPNVAGTAMGRIVQGTKVLAHGGTEKLFQQIFGNFPAEKLLNSYTCYISTSSGPVIGTLYVSTIRLAFCSDHPLSHNTLAMQNRGNHYKVVVPLDQVSMVTPSTNRLNPKEKYIQLVTVDGYEFFFMGLLAYENALKTIKEALPQYHKYYRGNLSVQVLL >OIW06110 pep chromosome:LupAngTanjil_v1.0:LG08:20823795:20824147:1 gene:TanjilG_29866 transcript:OIW06110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTGGIIADKWSMRILWACAIGSAVSLYMVAVERQAQNRARMLAEGMKDMDLGESNGEDV >OIW06599 pep chromosome:LupAngTanjil_v1.0:LG08:9869243:9878485:-1 gene:TanjilG_03993 transcript:OIW06599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASALSTFPCSWVIVTLVLGCFSSCIGSYIGLGSKLLASKEQTWVSDNGTFALGFTPAETNHQLFQLAIWFAELPGDRTLVWSPNRDSLVSQDAILELDTTGNLVLIDGDITIWASNTSGAGVEAAIMSESGNFILHNTDNHPLWQSFSQPSDTLLPNQPLTVSSELTSSKSSSHGGYYALKMLQQPTSLTLALTYNLPESLNGIPDQSYTNYSYWQGPDISNVTGEVIAVLDQAGSFGIAYGDSSDGAVYVYKNDNDDAGLSSAVHQSKPVTVLRRLTLEKNGNLRLYIWDDDVNGSRQWMPQWAAVSSPCDIAGICGNGVCNLDRSKTNASCTCLPGTSEIDSDGQCYENSSLVGKCNGRNESEKNHTSQFRISVLQQTNYYFSEFSVIANYSDDTTVSKCGDACLSDCDCVASVYGLNEEKPYCWVLRRLDYGGFEDTSSTLFVKVRSNGTLTQQGQARGSNSSSDGLGSAKEKAVIIPIVLSIIVLIALLCSLLYYSVHRKRALKREMESSLILSGAPMKFTYRDLQIRTSNFSQLLGTGGFGSVYKGSMGDGTLVAVKKLDKVLPHGEKEFITEVNTIGSMHHMNLVRLCGYCSEGPHRLLVYEFMKNGSLDKWIFSSYSKQDRVLDWQTHFDIAIAIARGIEYFHEQCRDRIIHCDIKPENILLDENFCPKVSDFGLAKLMGREHSQVVTMIRGTRGYLAPEWVSNRPITVKADVYSYGMLLLEIIGGRRNLDMSFDADNFFYPGWAYKEMTNGSPIKVADRRLNGSVDEEELIRALKVGFWCIQDEIFMRPTMGEVVRMLEGSININMPPMPQTVLELIEEVPTRSIFTSLSYPTTSSTRHTPQTLLKPQTFASKLLPPQRSNTHKLSTTCHVYASKSAPSQVLPVSPHDEQKIEEELQHLHGLQKLGNSSVGMWSKPTFRRKTKIVCTIGPSTDTKEMIWKLAEAGMNVARLNMSHGDHASHQKVIDLVKEYNAQSKDNVIAIMLDTKGPEVRSGDLPQPIYLASGQEFTFTIRRGVGTADCVSVNYDDFVNDVEAGDMLLVDGGMMSLVVKSKSDDSVKCEVVDGGELKSRRHLNVRGKSATLPSITEKDWDDIKFGVDNKVDFYAVSFVKDAQVVHELKNYLKSSGADIHVIVKIESADSIPNLHSIITASDGAMVARGDLGAELPIEEVPFLQEEIIGICRSMGKAVIVATNMLESMIVHPTPTRAEVSDIAIAVREGSDGIMLSGETAHGNFPLKAVKVMHTVALRTEASIPGGQMPPNIGQVFKNHMSEMFAYHATTMSNTLGTSTVVFTRSGFMAILLSHYRPSGTIFAFTDQKRVQQRLALYQGVCPIYMEFSDDAEETFIRALDLLQKQGRVKSGEEVALVQSGRQSIWRFQTTHNIQVRTV >OIW06633 pep chromosome:LupAngTanjil_v1.0:LG08:10071756:10073521:1 gene:TanjilG_04027 transcript:OIW06633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLHFGVNTFTDREWGTGHEKPSIFNPSALNTTQWATVAADAQISLMILTAKHHDGFCLWPSNYTLHSVVSSPWKSGKGDVVQEFVNAASAQGIDAGIYLSPWDKYEPRYGHDVAYNEYYLAQLQELLNKYENVREIWFDGAKDPKAENVSYYFSDWFSMVKELQSSINIFSDAGPDVRWVGDETGSAGNTCWSTINRTSLSIGSPDITAYLNTGDPRGVDWVPAECDVSIRKGWFWHKSESPKKLSELLDIYYNSVGKNCLLLLNVPPNSSGLISDTDANRLKEFRSSINTIFHKNLAESCYINVSSQRGDFGPEKMLDSDHLWSYWAPKEHDNEKEHWIEIWGKDGNIRFNVIRIQEAIGLGQRIKEHEIYVDHKLVIKGTTVGYKRLHRLDGDEVHAHVVRIRIREARGVPLISSIGLHFDPFWHSTFTMA >OIW07176 pep chromosome:LupAngTanjil_v1.0:LG08:4548041:4548931:-1 gene:TanjilG_10149 transcript:OIW07176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHRWWTDSGSLGMVDNSTNSPPMKKPDLGFSMNNNTPINHGGGGVREEEENEKENSDEPKEGAIEVATTRRPRGRPSGSKNKPKPPIFVTKDSPNALRSHVMEIANGADIADSVVQFARRRQRGVCVLSGSGTVVNVTLRQPMASGTVMTLTGRFEILSLTGSFMPGPSVPGVSGLTIYLAGGQGKVVGGVVVGPVVAAGPVILIAATFSNATYERLPVEDEGEEGDGSPLGMSGSEGKKPVGGSIGEDQSTIPMYNNNVYQNLASLTNLQPQQLNHEVGYSSWAHGHVRAPPPY >OIW06521 pep chromosome:LupAngTanjil_v1.0:LG08:10846155:10852560:-1 gene:TanjilG_29942 transcript:OIW06521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGSTGRGGGGGVDMFLPNYKLGKTLGIGSFGKVKIAEHVLTGHKVAIKILNRRKIKNMEMEEKVRREIKILRLFMHPHIIRLYEVIETPTDIYVVMEYVKSGELFDYIVEKGRLQEDEARNFFQQIISGVEYCHRNMVVHRDLKPENLLLDSKCNVKIADFGLSNIMRDGHFLKTSCGSPNYAAPEVISGKLYAGPEVDVWSCGVILYALLCGTLPFDDENIPNLFKKIKGGIYTLPSHLSPGARDLIPRMLVVDPMKRMTIPEIRQHPWFQARLPRYLAVPPPDTMQQAKKIDEEILQEVVNMGFDRNQLVESLCNRLQNEGTVAYYLLLDNRFRVSSGYLGAEFQETMVCMSFKVSNETIKLTRKQQPGGIVSRPIEFFTGNERGVDETNSCSGEIRPGSGRLSVLGLRTDSGFNRIHSSEVASPVVGNRFPGYIDYQGVGMRPQFPVERKWALGLQSRAHPREIMTEVLKALQELNVCWKKIGHYNMKCRWVAGIPGHHEEMVNNSVHNNHYFGNDSSIIENDAVSKSNVIKFEVQLYKTREEKYLLDLQRVQGPQFLFLDLCAAFLAQLRVL >OIW06099 pep chromosome:LupAngTanjil_v1.0:LG08:20731457:20732113:-1 gene:TanjilG_29855 transcript:OIW06099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METTSKLQLQDRENHSSSPINSSKTTLTKCNSFQVPTTPPMTPKTIPRSDSNNPYPTTFVQADTSSFKQVVQMLTGSQEITKPTQQQQPSSRNFNIPPIKTASKKQQQGFKLYERRNSNLKNSLMINTLVPNLAYNNKPEILSPSLLDFPSLSLLSPITPLNDHDPFDKSSLSSLGSSSEEDRAIAEKGFYLHPSPMSTPREFEPQLLPLFPLTSPRV >OIW06153 pep chromosome:LupAngTanjil_v1.0:LG08:19399674:19404668:-1 gene:TanjilG_01780 transcript:OIW06153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSAFKSSSRRSNQSSAPSSTRPSQPLNKPPIRRSRSVSAFSRSNLDISNEFLNKRDNPLFDETSPNSDPKGPVSADSNNNRGRSVTRNGESRSSAAVGRKESGRVLSGVDSGRRTRSASQCPVPRRRWSYSTSESEAECKDENDLKLVGNNRKGGLFGSDTVIDQVKELQHSWSSHHSPIKVSDCFATTLSGLQTQNCDDAVSTASSGYRSDERTIKAVCEQVKSVQEDLPEASDIYETVRSEVRRAISDIQNDLASSIQRSNPTAIAVTNVADIPPDLVNPGAVELVLEIRREYAKKLEESQERARNLREGLAVEEHRGQELDRILKEVLPYPMTPSVPKSRPARKASSFHILFRHLYESSIQEQSRNMHYDHSQPSSIIDTVGAVHGQLTSITGSIGAATKPCFSFSEKPSETSGLQQYVKKFEKNVSKLPTTRPNFRDCEYHYQSSAESVLVDRVLLRNRIDSGSLLLCCAGNMLTSKFCGMGI >OIW06537 pep chromosome:LupAngTanjil_v1.0:LG08:10981656:10983017:1 gene:TanjilG_29958 transcript:OIW06537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHSTSLNLHQLHSVAPPHATTATTFPLTFFDILWLRLPPVERLFFYEFPTTSKTTSFYDIIVPNLKHSLSLTLQHFIPLVGNITWPLESSNPIINYVPGDAVSFTVAESNSDNFNHLCSNLCDVVDRQHLIPRLNISHEQASVLSLQVTLFPNSGFCIGITTHHAAVDGKSSTMFLKTWAYFCSKIQEQLTQIPSSLPENQTPFLDRSVINDPSGITEAFVDSWLNYDGPNNRSLKVWDTISAVKTEQVKGLFELTPSHIQKLKKHALSKVKTKVSTFSVTCAYLLSCLAKVEKPKDERVAFIFSVDCRTRLDSPILATYFGNCVFPQLVVVETNKLVENDGFIIALEGIIDALNKLEDGVLNEGEKWMSKIQSAIGNRLFSTAGSPRFEVYGIDFGWGRPKKVDVTSIDKTGAFSLSESKNNDGGVEVGLALNKVEMEAFLALFHQQLESF >OIW06013 pep chromosome:LupAngTanjil_v1.0:LG08:21919608:21923108:-1 gene:TanjilG_11700 transcript:OIW06013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKGCNGNEIEDAATTNRYGSYLQNEIECIIREASSRCEVMDFTLSTTNQGPLEEENSNQDLQESPIHESAGRRKSTIEPTCAACSMEWSIQLEKALRSSKPGVRVQTILQMGPRLQQWSMELECDVASNSKPGLVPGEDRLFANAILLRLADAFRGGDKEVRLSVVKVFLLERKHRENRKHKQCKGFLSKARVANHLELLNRVKSVYDTGDLESKALALILFGCWADFSNDNAQIRYLILSSLICPHDCEAQASIFAAGCFCEISDDFACITMEMLFNMMSSPAVTLPVKLTAAQVFARFKCSYSTAIKAFKTGLVLVMSSSDEDFSVAMLFSLSELASNFTLLTSNQVDFLGSFLTQERTSRLQETALRCLRFLFRKGRCEYPVEHLSSIEKCVTKPPCSVNQVVQDLLS >OIW06553 pep chromosome:LupAngTanjil_v1.0:LG08:11182928:11184022:-1 gene:TanjilG_29974 transcript:OIW06553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSCSAIGFEGYEKRLEISFFEPSIFSDPKGLGFRSFSKDKLDEILKPAECTIVSSLSNDSVDSYVLSESSLFVYPYKVIIKTCGTTKLLLSIPAILNLADELALTVKSVRYTRGSFIFPGAQPFPHRSFSDEVTLLDTYFHNLGSGSIAYEMGDPDNKSQIWHIYSSSAELQTPLEAVYGLEICMTGLDKEKASVFFKENTCSAALMTKESGIRNILPQSEICDFDFDPCGYSMNGIEGNAISTIHVTPEDGFSYASFEAVGYAFKEITLTQLVERVLTCFQPNEFSVALHTNVNGEKLVEKFPLDIEGYFCNDKSYEVLGEGGAVMFHSFVQSDYRASPRSTLRCCSSWSDEENSDEVEEI >OIW05680 pep chromosome:LupAngTanjil_v1.0:LG08:24531859:24532785:1 gene:TanjilG_23466 transcript:OIW05680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLNFTDMQAEKANAMLIHRKLRRIGSLFRLIEVCVVLVFISRLPMQLPVSFKNSSEYFREFLVFMNSPGFIFLIGNAIIITLFAQSGRFSAHGSKKNNPELGIYHDFIQNNIKNEKVQGEEKLSEKQNIGTEDSIQSEKQSSKTEDSIQSEKQSLKTEDTVKNRRINEDVRKYSKNQRINPITLVDYSINDHGIDAGKSKWVEKQSNKTGETSIGLEVKSYRRCETEILRHVQNDKENQNRVLKRCETENMRKSIQPSVISTPEDHMSNEEFRRTIEAFIAKQQRLLREEEDKSLVYNYGCSYEDI >OIW05726 pep chromosome:LupAngTanjil_v1.0:LG08:24201067:24203591:1 gene:TanjilG_23512 transcript:OIW05726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSNNWLSFPLSPTHSSLPAHDLQATQYHQFSLGLVNENMENPFQNHDWNHFNTHTNDEVPKVADFLGVSRSENQSDLAAMNEIQPNESEYLFTNNSLVPMQNTVVTSSSNYEYQECGNSNLQSLTLSMGSGKDLSSETSGDNSTKTVEAAPSRRTLDTFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQSRKGRQGGYDKEEKAARSYDLAALKYWGTSTTTNFPISNYEKEIDEMKHMTRQEFVASIRRKSSGFSRGASMYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTEEEAAEAYDIAAIKFRGLNAVTNFDMNRYDVKAILESNTLPIGGGAAKRLKEAQALESSRKRDQEMLALGSNFQYGTSSSSSRLQPYPLMQHFEQPQPLLTLQNHDMSHHFSQDPSTLHQSYLQTQLQLHQQSSSSYIHQSAQNAQFYNSYVQNHHPALLHGMMNMGSSSSSSLMENDNNTIGGFTGNVNAVGSAEELGLVKVDYDIDMSSGSYGGWSAEGTESMQASTAGGGGGVFNMWSE >OIW06770 pep chromosome:LupAngTanjil_v1.0:LG08:8799245:8799550:1 gene:TanjilG_11495 transcript:OIW06770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKVMRLASQKGVVIFTKSSCCLCYAVNILFKEIGVVPSVYEIDKDPEGREMEKAITRLGCTAPVPAVFIGGNLVGSTNEVMSLHLSGSLIPLLQQCQSLC >OIW06459 pep chromosome:LupAngTanjil_v1.0:LG08:11870020:11871864:-1 gene:TanjilG_05230 transcript:OIW06459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQITFYTGLSPTEFFTILTIMVFVYRTVSAMFVSPEDYNKPPVVSLKTNIRFDDPKPTPEPVQLGQITEPELRPYNGSDPSKPILMAIKGQIYDVSTARNFYGPGSPYAMFTGKECSRALALLSFKPEDINGNLEDLDEPELAVLEDWEYKFMEKYPKVGQLVPEKRIQQNEHKEEIEDNLNLDEGKD >OIW06336 pep chromosome:LupAngTanjil_v1.0:LG08:14121982:14125060:-1 gene:TanjilG_14981 transcript:OIW06336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEQQPKTVESVTPSDPTPAPVEAPKDVVPPHKPVYEEAPKDVVAEEKSVIPFSPSDTDFDDDSKGLVLIEKIQEVADEKLFDSSVNQDMALARVATEKRLSLIKAWEESEKSKAENKAHKKHIAIDAWENSKKAAVEAELRMIEEQLEKKRAEYAEEIKNRIAKFHKEAEENRAIVEAKKGEELLKAEEIATKHRASGTIPKKLLGYFKCFSIQV >OIW06870 pep chromosome:LupAngTanjil_v1.0:LG08:7409887:7419678:1 gene:TanjilG_19519 transcript:OIW06870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQKEKKTATKQNDEMVQNDDVGAPSPLDNDPFVSDSDDSSYEDSSAEDDGDYSFDSESELESHDGEDGASSGGDDDSSQDEDIANNEDNGKESSDINQDNTNDQDGGSESSDFHEGGRIESDSSEDEVAPRNTVGDVPLKWYEDEPHIGYDIKGKKIKRKEKQDKLGSFLANVDDSKNWRKVYDEYNDEEVELTKDEIKIVRRLLKNRAPHPDFDPYPDYVDWFKWDDAKHPLSNAPEPKRRFIPSKWEAKKVVKYVRAIRKGLITFDKPKEEDGPYLLWGDDSSSTEKSKHLAYIPAPKQKIPGHEESYNPPLEYIPTQEEINSYQLMYEEDRPKFIPKRFTSMRSIPAYENAMKECFERCLDLYLCPRVRRKRLNIDPESLKPKLPSRKELKPYPITCYIEYKGHEDVVTSISIEASGQWMASGSSDGTVRIWEVETGRCLRKWVVGEAVNCVAWNPLPGVHILAVSVGQDVLLLNTSLGDEEEQKRIKELLQVDQSIATDDSENKAISVSWLQDDKHAGIRLRHFKTVTSVEWHRKGDYFSTLSKKLTQKLPFKLHGLAVRSTFHPSHSIFFVCTKKSVRVYDLLKTKLIKKLDTGLREASSIAIHPGGDNVIVGSKEGKMCWFDMDLSSKPYKILKCHPKDINNVVFHRSYPLFASCSDDGTAYIFHGMVYSDLNQNPLIVPLEILRGHTSSNGREMALRAASMSRSFISSARASLRSSAPSHIPGIRPPPLASPRVQSRRFSIPSTRNLGQLGCTQSLLPLHSPMVLTCLTSHLDVSARACCELSNGTFRRSCQDR >OIW07184 pep chromosome:LupAngTanjil_v1.0:LG08:4477298:4481737:1 gene:TanjilG_10157 transcript:OIW07184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKDASDGGRLCSDGTEQQGCSSSLPAQEHGGPQTGEHGDYDATEMMVSKQKSVSTRSCEDAPGREAVEIPPVEKASQKGCDIRKVSHASESSSNRCDNCSDKKCDFSSVELNAGNGFNLVSSETSIARKCSFACADGSPILDKPKEELTDSLFKDSCRILSPMQSNLTRSLATFNRCYKRKRRLDRKTDTESNVLHKKGNVPLLTRWSMLPNGNACSCNESSCKICSVDNVEDIHHSMELAKREKPLNQAQGEISCRNHSVVILTDLNQSAELAESGELYQAQEVKNADSSSTYEAVSKIGLTRTSEQLHHGEDMAKDVSPRAGQELPSQSSWVDKKAEHLPKDCEGVSSNVDFRDPCLAITISDEKLEESQPFVGDNTQNFPSNGAREIRGHLDQHVISAEECTVDLELGAVKHPVYSKMRTVRGSMDSESISSAIAKDEVSQLELLDSKHDRVGTLLSTHHTKLISEEKDFSNFSASITQPQSAACLMSDKRMNLHQTKPNQPKLMPDVSLSLGLSLSMDLKIGSCESINCFSVLPMSNSTMEAREFFPDGLHQSSPIQRPLLLTHKIMLNGVRRARALNEVCNLQEHSKLSPLMWSEEELDFLWIGVRRHGRGNWDAMLRDPRLWFSPLRVPRDLAERWEDEQLKLLNDYDVPLFNYPKPKSATSEGNCYFLDPRAPFWRESTMDKTQLSLGDVYSYKKSSHSKKPHAKFNFQTTANLESHRPTSHLSMPRENSYSNYLPFNCLSHKNNLPHWLKEAVFAPPANSVEPNLSAAVSLSSHSDMLGTAEHSFYASKPCFLNQNRSGGPRTKDLHMSNGPEYSTYSRRKYRMVKVNKSLEHNVKKAHDLIIIDSDASSEETISDDHLARL >OIW05734 pep chromosome:LupAngTanjil_v1.0:LG08:24148252:24150556:1 gene:TanjilG_23520 transcript:OIW05734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNLPGYLEREKKNEKILNVGVLDWKHLEQWKYNHKHVPHRSSTSSTYTSNTSSYVSEERLSGYSSCPYGQRILRPSLKSHFMASPIQGHSDAIKSSRDSVGNCQNFRGRHNDIGTHSKYLDDNLFQEDPNSRLKGCDKKYLDPYIDKERDNIPNDRMHYEAASCARLGKSTQDGGMEGRVDTLREPDTDTVLRRTLSKSKPFDLRLTRDSPKNNHYRFPHMPKSTIQKSENSSRSSFPETPKELSPKDFNFDISHSCPLPVELSCNNFQLKGSGQSSTDLESIKFSASTFSSPLSTTYSVKMGISPSRSTKAEERKETTTRTTSANLSLQGLDQKVTSDKSRSSSPFRRLSISIGYTSKGPAYKRDDHVPHLSSIEARKSSSKNVRGYASSNISANDKPGDADRKRSSPLRRLLDPLLKPKPNAKKYVPSVTRALLKIVVRNDLPLFTFAVDNTRDVLAATVKNLSAIGNDECHSIYTIFTFREVKKKNRSWINQANRSKGPDYIPHGVAQMKVSDSHDYDLARQNCADSSTTKEFVLFSVNLRQRNAEAIDYQPNDELAAIVVKIPKAISCINSCHQSSFQSDSQDPMHATVLLPSGVHSLPSQGGPSSLIERWKSGGSCDCGGWDLACKLQVIATENQPGQKPTSSKAYLANQLELFMQGNDQEQQCAFSFTQFKHGMYSIAFDSSLSILQAFSICIALVDSKTPNDLSGSINSTEDKNQWETLLMQAKEVGTKGI >OIW07149 pep chromosome:LupAngTanjil_v1.0:LG08:4840813:4843750:1 gene:TanjilG_10122 transcript:OIW07149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSNGRSDYGAYTYENLEREPYWPSEKLRISITGAGGFIASHIARRLKSEGHYIIASDWKKNEHMTEDMFCDEFHLVDLRVMDNCLKVTEGVDHVFNLAADMGGMGFIQSNHSVIMYNNTMISFNMIEAARINGIKRFFYASSACIYPEFKQLETNVSLKEADAWPAEPQDAYGLEKLATEELCKHYNKDFGIECRIGRFHNIYGPFGTWKGGREKAPAAFCRKTLTSTDRFEMWGDGLQTRSFTFIDECVEGVLRLTKSDFREPVNIGSDEMVSMNEMAEIVLSFEDKNIPIHHIPGPEGVRGRNSDNTLIKEKLGWAPTMKLKDGLRITYFWIKEQLEKEKDKGIDLSLYGTSKVVQTQAPVQLGSLRAADGKE >OIW06841 pep chromosome:LupAngTanjil_v1.0:LG08:8411550:8411837:-1 gene:TanjilG_03736 transcript:OIW06841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRKLTKKPTPVMEAKGDDLIIDLNIDHTINVEGLAKVDLVSDEEVSSDDSHQGETVPIEVPGNVVDTIEPEVVRTQHEPPNPQVVTSLFKTSRK >OIW07387 pep chromosome:LupAngTanjil_v1.0:LG08:177099:177407:-1 gene:TanjilG_10222 transcript:OIW07387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKKVYVVCGMVLVLLLLMELSFKVDAVNCIPEELSPCFQAITRNLPPPSICCQKIREQIPCLCGYLKDPNLKQYISSPGARRISTSCGVPNPTCSPPLINY >OIW06050 pep chromosome:LupAngTanjil_v1.0:LG08:20171013:20175082:1 gene:TanjilG_29806 transcript:OIW06050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGATWITSATIISAIVIVSLTWAWKVLNWLWLRPKKLERVLREQGLKGNPYRILVGDISEMLKMGKEAKYKTMTLSHDIGPRVLPFVHQSVNKHGKNSFIWFGPVPRLTITDPQLIKDVLNNIHSFPKASINPLLRLLVTGLIMLPAIFKSCSDMIRKWEEMLSSDGSCELDVWPFLQSLTSEVISRTAFGHNHEEGRRIFELQKEQAELMMKVVLQMNIPGWRFLPTRTHRRIKEIDRDIQASLKGIIMKREKAMKAGEATKNDLLGILLESNHMEVQEHGNDKNVGMTLEDVIGECKLFYFAGQETTSNLLVWTMILLSSYSDWQARARKEVLQVFGNQKPDFDGLSHLKIVTMIVYEVLRLYPPVIGASRAINKDIKLGNMTLPAGVQVSLPTVLVHHDCELWGDDAKEFKPERFSEGVSKATNGQVSFFPFGWGPRICIGQNFAMLEAKMALSIILQHFSFELSAAYAHAPTTVLTLQPQHGAHIILHKLITHYYNARALEITIPVVSSMRLIIEIHRYRGVVIEIVRGKAGAALLQDLAINLRAGIVDVNQKGSLLC >OIW06586 pep chromosome:LupAngTanjil_v1.0:LG08:9763327:9765916:-1 gene:TanjilG_03980 transcript:OIW06586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMSSSNSRKSRSPSPNPQFPTTNFIPISDSTFFDPSPPPSSRTVDDVWREIVAGDGKPECKEENPDDDLMTLEDFLMKAGAVGEDEVGGDDDDDDGEVKMPMPLTQSLSSGASMFVYDPVYQSGGMESLEVIGFGNGVDGGGGGGGSGGRGKRGRSVSEQLDKAAQQRQKRMIKNRESAARSRERKQAYQVELESLAVKLEEENDKLMKEKLMEKLIPIVEKRRPPRLLRRVRSLQW >OIW06432 pep chromosome:LupAngTanjil_v1.0:LG08:11554291:11560177:-1 gene:TanjilG_05203 transcript:OIW06432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKVSILMMFMMMGMLCIETSEGARKNPKVRIHTLFSVECQNYFDWQTVGLMNSYRKAKQPGPITRLLSCTDEEKKSYRGMHLAPTFEVPSMSRHPRTGDWYPAINKPAGVAHWLKHSKDAKNVDWVVILDADMVIRGPIIPWELGAEKGRPVAAYYGYLRGCDNILAQLHTKHPELCDKVGGLLVYHIDDLRAFAPLWLSKTEEVREDRAHWATNITGDIYGKGWISEMYGYSFGAAEIGLRHKINDNLMIYPGYVPREGIEPILLHYGLPFSVGNWSFNKLAHHDDGVVYECGRLFPEPPYPREVRQLELDPNRRRGLFISIECINTINEGLLLQHAANGCPKPAWSKYLSFLKSKAFAELTQPKYVTTATLQMMEDTKEEHVDDDAGKPYPKIHTVFSTECTKYFDWQTVGLMHSFRLSGQPGNITRLLSCSDEDLKQYKGHDLAPTHYVPSMSRHPLTGDWYPAINKPAAVLHWLNHANIDAEFIVILDADMILRGPITPWEFKAARGHPVSTPYDYLIGCDNELAKLHTSHPEACDKVGGVIIMHIDDLRRFALLWLHKTEEVRADRAHYARNITGDIYESGWISEMYGYSFGAAELKLKHKVNTEILIYPGYVPVPGVKYRVFHYGLQFRVGNWSFDKADWREVDMVNRCWAKFPDPPDPSTLDHAGEDELRRDLLSIECGKTLNDALNLHHERRCTNANSFSTSEGDERTDVSRISSKIGNLDENIDSISNNKSTNHSEKSESVQKDDEVPSSFRFWVLTLWAFSAIGFLVVIFVVYSGHKRRGTRSKHHRSRRRSSHAGYMEMNGRDRHSRGLDVPL >OIW06801 pep chromosome:LupAngTanjil_v1.0:LG08:8533847:8535181:1 gene:TanjilG_11526 transcript:OIW06801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRLGVAPDYLTYPFLVKASARLLNQQMGVSVHAHIIKTGHQSDTFIQNSLIHMYATCGNIMWAHKVFDGMQLKNLVSWNSMLDGYAKCGEMVLAQKVFESMSERDVRSWSSLIDGYVKAGEYREAMAIFEKMCAIGPKANEVTMVSVLCACAHLGALEKGIMMHKYIVDSGLPLTLVLHTSLVDMYAKCGAIEEALLVFHGVSKRRTDVLIWNAMIGGLATHGLVEESLKLFKEMQVVGILPDEITYLCLLVACAHGGLVKEAWYFFESLSKCGMRPTSEHCACMVDVLARAGQLTKAYQFINQMPIEPTASMYGALLSGCINHRNFDLAETVGRKLIELEPNHDGRYIGLSNVYAVVKRWDDARSMRKEMERRGVKKSPGFSFVEVSGVFHRFMAHDKTHPNSEETYCMLKFVVHQMKLGCHQDNEESLLNDPLIEDDLLFS >OIW06447 pep chromosome:LupAngTanjil_v1.0:LG08:11722695:11726215:-1 gene:TanjilG_05218 transcript:OIW06447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGVVRAALRYKSSVSASFQRGFVIPISSQNLVSCFSTESQKPPSQDSTASPPPPPFNQSDTSGLIYGRLLGIHRHILKTDVIHFLDGSNLTLDDVKMDYNRSFLPLGMMLQFPSRNAYDNALRALVRNGRFYKLERADRSQWDTVTPYDGKTILIKGIPRNAQFDDIEHILSGSEYDQSSINIFMRSDGYEPVRMATVRYPSRTQAMNAFITKNGTFCLNNRVSVQVLQ >OIW06748 pep chromosome:LupAngTanjil_v1.0:LG08:8996547:8997492:1 gene:TanjilG_11473 transcript:OIW06748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAALGSSSRLQSMLQDAVQSVQWTYSLFWQLCPQRAILVWGDGYYNGAIKTRKTVQPMEVSAEEATLQRSQQLRELYETLSAGEINQPTRRPCAALSPEDLTESEWFYLMCVSFSFPPGVGPFTIDIEKGRLAVES >OIW07376 pep chromosome:LupAngTanjil_v1.0:LG08:304774:306489:-1 gene:TanjilG_10211 transcript:OIW07376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNHNSGSDSETESDDNISLLAASRFGVKAKKKDEKLSKSDGGNVRSKRIEKKKKLLSAEEANEVGQQHKVIVNVDKDDLVELATKYQKCMKKWPIPIGRFSHAMAVNWKGMAEILERLYGQQLHYLTHMMCKEWDKSRFGSEDEGKPLNDIIDWSDAEDTIWHVEEVHRLLTSPTHLAMLWSNDPEHRAYVDEVVPSS >OIW06497 pep chromosome:LupAngTanjil_v1.0:LG08:12512958:12535937:1 gene:TanjilG_05268 transcript:OIW06497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRKERLQNPEPFVPISDGTDSVRSSKARSKAPKQHQKEANMIESNISSKIMKEALIQMKEVQEEEDTQNNTNSSFFNGIEEPPKVEEDDDDIDGFAGFSETQSQFGGYEEEINEEDERIMEAFLSKGQAQQKSLADLVVEKIKQKDASVVSEIRPEPKMDASIIEIYKGVGDHLSKYTVGKIPKAFKHIPSMQRWEEVLYITEPEKWSANAMFQATRIFASNFGVKKAEQFYKLVLLPRVREDIRKNKRLHFALYQSLKKALYKPAAFFKGILFPLCESCTCTLREAVVIGSIIEKVSIPPLHSSVALLKLSGMEYCGTTSYFIKLLVEKKYALPYRVVDALVAHFMRFLYETRIMPVIWHQSLLAFVQSLSVKQTATGVKVQGKQEWLVTITNECYCFQSQVILKCPKFRTIAPVTPNIMNYSGDDYCHINLDKPILKQPVTFKYAWDKATSMTPFSALISC >OIW05855 pep chromosome:LupAngTanjil_v1.0:LG08:23148462:23149981:1 gene:TanjilG_23641 transcript:OIW05855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLPTSSSIDTKPFSVLFVCLGNICRSPAAEGVFTDLVKKRGFDSNFKIDSAGTIDYHEGNEADQRMRAASKRRGIKITSISRPIRPSDFVDFDLILAMDKQNREDILEAFNRWKSSQTLPEDGHKKVKLICSYCKKHDETEVPDPYYGGQKGFEKVLDLLEDACESLLESILAENKHIQES >OIW05633 pep chromosome:LupAngTanjil_v1.0:LG08:24824656:24825409:-1 gene:TanjilG_23419 transcript:OIW05633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFHSYYVSATDSQSPTEPSDYTQRTFSLPPLPSPPPLPLPPPPPQVPRKKRVPWTEDEHRLFVHGLTIYGKGDWKGISRNLVLSKTPAQIASHAQKYFIRQNASPSLNPSRKRRRSIHDITLTMQEPYRVMLPQLVHNDVVAPPQELHQGQPVLQPNPPTADIDSKLMGYMNSLPPLCNCHFINHDNELLQQPQPQPQPQSHYVSHMYMDPQGQTIYVNRYGYQS >OIW05648 pep chromosome:LupAngTanjil_v1.0:LG08:24731784:24735026:1 gene:TanjilG_23434 transcript:OIW05648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSAAVVVDSEYTTEIDKARRDLRALIANRNCAPLMLRLAWHDAGTYDVNTNTGGPNGSIRNEEEFSHGSNNGLKKAIDFCEEVKVKHPKITYADLYQLAGVVAVEVTGGPTINFVPGRRDSKISPKEGRLPDAKKGVPHLRDIFYRMGLSDKDIVALSGGHTLGRAHPERSGFDGPWTEDPLKFDNSYFGELLKEESVGLLKLPTDKALLDDPEFRRYVELYAKDEDAFFRDYAESHKKLSELGFVPSKAASPKDATVLAQSAVGIVVTAAVVILSYLYEVRKRGK >OIW05903 pep chromosome:LupAngTanjil_v1.0:LG08:22689283:22693027:1 gene:TanjilG_23689 transcript:OIW05903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASLQPFPLPQDPHQKQHLSIDESSSKELGSSSKCNKIMAFEPVEHEQPRISPIPPPEKRQRVPSAYNRFIKEEIQRIKASNPDISHREAFSTAAKNWAHFPHIHFGLKQLDGKNKQAKLDHGSEAAQKSNEFY >OIW06098 pep chromosome:LupAngTanjil_v1.0:LG08:20722136:20723140:-1 gene:TanjilG_29854 transcript:OIW06098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEARNNERKRTVTENARKGSRVEVEEEYESVSVEHLTEEEKKKGVGGRKGSSSGGVSPPSCQAEKCGADMTDAKKYHRRHKVCEFHSKAPVVVVAGLRQRFHDLAEFDEAKRSCRRRLAGHNERRRKSNPETCNEGCRHSKGNHPKESQCRHADERGLIQMNMVAGTSGYKPFHIR >OIW06574 pep chromosome:LupAngTanjil_v1.0:LG08:9692325:9692897:1 gene:TanjilG_03968 transcript:OIW06574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNMLATILQLTATRNASEDVVQPSIPRQVLQILIAMFVMDTWQYFVHRYMHQNKFLYRHVHSQHHRLVVPYAVGALYNHPIEGLLLDTVGGAISYLASGMTARTAVVFFCFAVVKTVDDHCGLWLPGNIFHLFFQNNTAYHDIHHQLQGVKYNYSQPFFCVWDKLLRTYKPFNLVRRPEGGLEARPVKG >OIW07357 pep chromosome:LupAngTanjil_v1.0:LG08:834445:835120:-1 gene:TanjilG_10192 transcript:OIW07357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSVTQHLWSLVRKSGHAVEPFISGNPQLPQLQQYRGIRVKVLNGNLEQALALMQRKMQSSGIERMIKQEQRFHIKNSEKRVLARKNLERRLRSEDLARKLKAIMIKKVSFILSIYAAISMQSNVIFS >OIW06264 pep chromosome:LupAngTanjil_v1.0:LG08:17649112:17657745:1 gene:TanjilG_19943 transcript:OIW06264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSDGILGSRDAEERSKWLKRKEIWLVVLGVILHAVYMLSIFDIYFKTPIVHGVDLVTPRFTAPAKRLVLLVADGLRADKFYEPDSEGNYRAPFLRSIIKTQGRWGVSHARPPTESRPGHVAIIAGFYEDPSAVTKGWKANPVEFDSVFNRSRHTISFGSPDIVPIFCGALQHSTWGSYPHDFEDFATDASFLDMWSLDQFRSLLNRSNEDSKLKKLLQMDNLVVFLHLLGCDSNGHAHRPFSSIYLNNVKVVDHVAESVYNLVEDYFKDNRTAYIFTADHGMSDKGSHGDGHPSNTDTPLVAWGAGVKYPRPVSSSNHSDSGFRFVDDHVHDSPTPTEWGLHGIERVDVNQADIAPLMSTLLGLPCPVNSVGSLPLDYINMSKAEEVEAVLANTKEILNQFLRKSYIKQSHSLYFKPFKPLSHYSSMLDKIEHLILSKEYDAAMDLSQNLRSLALQGLHYFQTYDWLMLMSVITLGYVGWMLYLVLHVLQSYTSLPGNIFGVEQAVQRNHHRKIYLYGCVVTAMLSSLLLLEHSPPLYHMYMIMTSFSWVQIFSEYRFINVLWKHLSGRKVNIVIKLLATTALSVFILEFLVSVFILIFSNLVRL >OIW06113 pep chromosome:LupAngTanjil_v1.0:LG08:20863819:20866064:1 gene:TanjilG_29869 transcript:OIW06113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTESSIDSQQPNLPPGFRFHPTDEELVVHYLKKKVSSDPLPASIIADVDLYKFDPWELPAKASFGEQEWYFFSPRDRKYPNGARPNRAATSGYWKATGTDKPVLSSSGAQKVGVKKALVFYGGKPPRGIKTNWIMHEYRIADNKPTNNKPPGCDLGNKKNSLRLDDWVLCRIYQKSNTQRSPMEHERDDSMDDMIGGLPSSNVGHMNMGFHLSKMSTTYTSNNTNGALLENDQNFLEGLMLGNGGMNTTNAISSHLETSNTASKRTLSSLYWNNGHNEDVDGTSLCNKRFNFMDSAAGDCNVVRSEENGTATVNSIATLLNHLPQQTPNSMQQQQQQQQQTMLGSIGDGLFRTPYQIQGMNWYG >OIW06989 pep chromosome:LupAngTanjil_v1.0:LG08:6582035:6584658:1 gene:TanjilG_14327 transcript:OIW06989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METHTSSFSSLRAYLRALSHTPTRFTRRAISVSTPYDEMSRVRTRSGSDMRKTLRWYDLVGFGIGGMVGAGVFVTTGRASRLLAGPAVVLSYAIAGLCSLLSAFCYTEFAVDMPVAGGAFSYLRVTFGEFAAFFTGANLIMDYVMSNAAVARSFTAYFGTAIGVSSWNWRLTVPHLPNGFNQIDIVAVAVILLVTFVICYSTRESSVVNMILTILHLLFIVFIIVIGFTRGSWKNFTETSNHENASGFFPYGASGVFNGAAMVYISYIGYDAVSTLAEEVKNPVKDIPIGVSGSVIIVTLLYCLMATSMSKLLPYDMIDTEAPFSAAFSGKSEGLGWVSRVIGVGASFGILTSLLVAMMGQARYMCVIGRASVIPPWFARVHPKTNTPVNASTFLGIFTAALALFTDLDVLLNLVSIGTLFVFYMVANAVIYRRYVAIGTTKPWPTLSFLCSFSFTAIMFTLIWKFLPNGRAKAGLLSTCAVIAIAILQLFHCMVPQARKPEFWGVPLMPWIPSISIFLNVFLLGSLDGPSYVRFAFFSAVAVIVYVFYSVHASYDAEGGDSIGQKNCEINMESEESEEDQCFQV >OIW07191 pep chromosome:LupAngTanjil_v1.0:LG08:4363510:4368103:-1 gene:TanjilG_10164 transcript:OIW07191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TSKEKPTLGGTRIKTRKRNIAAPLDPASFSDAVVQIYLDNDGDLELVAKSVESIDLDFSRYGDTFFEASCLNLWKYVVFIGVRTQPGTTKPDEGEHHPYSVLECEPKREVILPHVIYIQKVLRRRPFLIKSLENVMRRFLQSLELFEEDERKKLAIFTALAFSQKLSGLPPETVFQPLLKDNLVTKGLVLSFVTDFFKEYLIDNSLDDLISILKRGKVEDNLLNFLPPAKRSNEFFTEHFNKEGLSTLVEYNEKKMFEVKLKEMKSALTTQITEEADIADVIETVKQQIKDAKLPDIEVVRVLWDVLMDAVQWSGKNQQQNANSALRQVKTWAELLNTFCSNGNLELELMYKVQMQCYEDAKLMKLFPEIIRSLYDQDVLAEDTILHWFQKGSNTKGRQNFVKALEPLVKWLEEAEEEE >OIW06638 pep chromosome:LupAngTanjil_v1.0:LG08:10096063:10102634:1 gene:TanjilG_04032 transcript:OIW06638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLRQMKESSEQHLVIKTNLQNPMSAVKKAHKATQSGKGSLPPSQQNQSQTSPQERNKGRRRGRGGRKSDQGDIFTRPSCRPCTMTTTTVTTTTTTANGSVENGDINMSYPTSSKSSSFAPRPGYGQVGTKCIVKANHFFAEFPDKDLNHYDVTITPEVSSRRVNRSIIAELVRLYKESELGMRLPAYDGRKSLYTAGHLPFTFKEFKINIVDQDHGVHVHVPKKEREYKVVIKFVAKANLHHLGQFLAGKRADGPHEALQILDIVLRELSNKRYCPIGRSFFSPDIRAPQRLGEGLESWCGFYQSIRPTQMGLSLNIDMASAAFIEPLPVVDFVGQLLGKDVLSRPLSDSDRIKIKKALRGVKVEVTHRGSVRRKYRVSGLTSQPTRELVFPVDENSTMKSVVEYFQEMYGFTIQYTHLPCLQVGNQKKANYLPMEACKIVEGQRYTKRLNEKQITALLKVTCQRPRDRENDILRTVHHNAYNEDPYAKEFGIEISEKLASVEARILPAPWLKYHESGREKNCLPQVGQWNMMNKKMINGMTVSRWACINFSRSVQDSVARTFCNELAQMCQVSGMEFNLDPVIPIYNAKPEQVEKALKHVYHASANKTKGKELELLLVILPDNNGSLYGDLKRICETDLGLISQCCLTKHVFKITKQYLANVSLKINVKMGGRNTVLLDAISCRIPLVSDIPTIIFGADVTHPENGEDSSPSIAAVVASQDWPEVTKYAGLVCAQAHRQELIQDLYKTWHDPVRGTISGGMIRDLLVSFRKATGQKPQRIIFYRDGVSEGQFYQILLHELDAIRKACASLEPNYQPPVTFVVIQKRHHTRLFPNNHKDRTSTDKSGNILPGTVVDTKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENNFTADGIQSLTNNLCYTYARCTRSVSVVPPAYYAHLAAFRARFYMEPDMQENGSTGGGNAGHGYRTRTGGECGVKPLPALKENVKRVMFYC >OIW06479 pep chromosome:LupAngTanjil_v1.0:LG08:12219561:12224622:-1 gene:TanjilG_05250 transcript:OIW06479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFIYLHLLLLFISHSFAFTQPPDVTALQGLYRTLNYPPALQGWNGGDPCEESWTGVACSGSSVIHLKIQGLHLNGYLGGLLNNLQNLTHLDVSENNLVGEIPYDLPPNATHINMAFNFLNQNIPHSLSTMKKLRHLDLSYNNFTGDLPSSFGSLTSLDRLFLQNNRFTGSVTYLAELPLIDLNIQDNLFSGIIPQHFQTIPNLWIGGNKFHAVDDSPPWAFPLDSVPVEQNTSRPPTIQANAIANYAPPKLRNHKKKRMGPGGIAFMVGGGTLMATGLALLIAIRLSKFHAQRMKCIESNHSSLPSHPISAGKDVSSTVLDESLQIPPFNSSSLLGPRRLHFPNHKRTGEMSRRSFSERDRVSGRTKVYTVAEVQLATNSFSEDNLLGEGSLGPVYRAEFPDNKVLAVKNISMAGLSFSEEEKFLDVICTAARLKHPNIVALKGYCLEHGQHLVVYDYVRDLTLEDAIHSKAYKPLSWGLRLRIALGVALALDYLHSTFSPPVPHGNLKAANVLLDENLIPRVCDCGLAVLRPLTSNKVKTRASEIMNRDTGYSSPEHGQSGTGNTKSDVFAFGVLLLELLTGRKPFDGSRPREEQYLAKWACSRLHDSESLEQMVDQEIKRTFSSMALSRYADIISLCIQPVKEFRPQMSEVVDSLVSFSQKLNMSKSRVKDGTALDDPLDRSFRSTTSRFMASPTLSYVSA >OIW06656 pep chromosome:LupAngTanjil_v1.0:LG08:10250396:10251517:1 gene:TanjilG_04050 transcript:OIW06656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSIHVQLPSSFLVKKSSLRGSKTFPSFKCYSSSSESNIQDDESKDSTQLFRVVDQQVQDLLTKKENKVMLDGIEKASQRVELAKRELAFIQKQELALKQFKDYVNHLEAKAVEGKIAECQREISDAKTLIEEAERSLSAEDEGKKIDRDKERWESVKAASISALIGTLSGLPICYIHITNPAQLLLPLAINFISCALFGVTFRYSVRRNLDDVQLKTGVAAAFGVVKGLAMLDGGPPLELNFDSFLSHAQYGTIYVSENLFIFVSAAIALDYCFKTRLLSPFPIE >OIW05597 pep chromosome:LupAngTanjil_v1.0:LG08:25101022:25102261:1 gene:TanjilG_23383 transcript:OIW05597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFMLMLFVLLCGLEMLPVLGILNATAEQPIGVCYGQVADNLPVVQEVIDLYKENGIGRMRIYNPNIPTIEALKGSTIQLSVGVPNEIIQSLATDATAATQWVQTNIITYAKDVKFLYIVVGNEIMPGDAAAKFIAPAMQNIYNALASANLQTQIKVSTAIQLGLLASSYPPSAGQFSPAASEYVNPILSFLVTNNASLLVNLYTYFTYIGNTKDISLDYALFTSPGTVVTDGQYQYQNLFDASLDAVYAALEKAGAPNLEVVISESGWPSEGGDAATIDNANKYYTNLIKHVQSGTPKRPSKPLETYLFAMFDENQKGPAETEKHFGLFSPTKQPKYQNVN >OIW06421 pep chromosome:LupAngTanjil_v1.0:LG08:11440042:11446249:1 gene:TanjilG_05192 transcript:OIW06421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRLSFRPRPLDIHKKLPIVKSFKDIDDDETPASTRNSQFLRAVSEVENEVHPAPSKKVGTSEIPTPQFVIVDTYERDYSCTFSQPTSYLRARGARAEIGEFIEYDLDNEDEDWLSEFNEERNILTPEMFESLLFKLEVLDHKARERAGLITPTLGSPIPVQLRLDTAIEALQVQSIKYTIIRSVYDYWKEKRERWQKPILRRLQPPPPVNDTNPYNVFRPREKTHRLHTRRMQRRENNAQSFEKLRQVRRNLDQAKGLLEALIKREEKKREVTESEVTLQRMQMKYKNETEFLEDNLALTGFTPVSSKFVSSEEEYFDSDDIVTNHLPRTRPSAVQNLPSYDTNPPMVPAVSTKQEFKRRYGPQGWPHKLDPLEPVLLFTKPLLPEKLAMAGIMPPNSITKNGVSSPSYKFRGRMGRGGRIIFDRWNPLMQTPIECGEI >OIW06883 pep chromosome:LupAngTanjil_v1.0:LG08:7510567:7511809:-1 gene:TanjilG_19532 transcript:OIW06883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCNGCRVLRKGCSDDCMLRHCFLWIENPQAQAHATLFVAKFFGRATLMSFISSVPTNQRSALFESLVYEAVGRTINPVNGVVGLLWSGNWHLCQLGVEKVLKGGSLLNPNPHHHDEEEVERVMVSHQASQEESETTTFGSSTPQVDSDSHQKTQRRKLLTLFF >OIW05781 pep chromosome:LupAngTanjil_v1.0:LG08:23821626:23824856:-1 gene:TanjilG_23567 transcript:OIW05781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDLNLNSDLADSTKNCNSVQLMEKFPEDYCNKMAESATSNSSVVNADGSSNDDSYSTRAEEAFTVDFGIRKAEGRNDVVTMELFPVNEVEGVLFGNWNQTSSFDRQSVTKVVQVEEQPQPPQVKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAVKFRGIRADINFSLSDYEDDLKQMKNLSKEEFIHILRRQSTGFSRGSSKYRGVTLHKCGRWEARMGQFLGKKYIYLGLFDSEVEAARAYDKAAIKCNGREAVTNFEPSIYEGEMKSAANNESSSHNLDLNLGMATPGHGVKEKRERVGKFSIDPSKGHPSWAWQMHGQVTATVVPPFSAAASSGFSISALPPSSSIFPKKHAYSIPQSLCFSLSSTHSSNASQYCQVKPPQAPQ >OIW07129 pep chromosome:LupAngTanjil_v1.0:LG08:5124001:5127809:-1 gene:TanjilG_10102 transcript:OIW07129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSDGDATRTYYCLWVNKKSSANKSQKVQTGESSNKRIGSLFSCPASAQDLRQLDLEKEKEDEASPRGVLESCIRDFEPEHQPDESETSCSSPRAQSHWGNFFKLWKKRSLKRLPSSLQTLPSIGVPKLPKWKSKSTRENHSLNNLYNFRSSLVTFSLSELRNATNNFSNENIIGRGGFAEVFKGRLQDGQLIAVKKLTKGTTEEMIAGFLSELGVIAHVDHPNTAKLIGCGVEGGMHLVFELSTLGCLGSLLHGPDKNKLDWSKRYNIAQKIADGLLYLHESCHRRIIHRDIKSENILLTESFEPQICDFGLAKWLPEDWTHHNVSKFEGTFGYFAPEYCMHGIVDEKTDVYSFGVLLLEIITGHPALDHMQQSVLIWAKPLLDTNNIKELVDPSLGDDYDQEQMDHVVLTASLCVDQSPILRPRCNTAKR >OIW05960 pep chromosome:LupAngTanjil_v1.0:LG08:21344670:21346852:1 gene:TanjilG_11647 transcript:OIW05960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEAPRDYNLLEQNKKTLDFIEDVTNNADHVQRNVLKEILSLNANVEYLQRHGLNGQKDRDTFKKLLPVISYEDVQPDINRIANGDTSPILCSKPISEFLTSSGTSGGERKLMPAIEEDLGRRSLLYSLLNPVMSQFVPGLEEGKGMYLMFIKSEAKTPAGIVARPVLTSYYKSSYFRDRPYDPYTNYTSPNETVLCPDSYQSMYSQLLCGLCQNKEVLRVGAVFASGFIRAIRFLEKHWALLSNDIRTGTINSLITDTSVRDSVMKILKPDPKLADFIQTECNKNSWQGIITRLWPNTKYVDVIVTGTMSQYIPTLDYYSNGLPLVCTMYASSECYFGVNLNPLCKPNDVSYTLIPTMCYFEFLPINRSNGVTDSIQSPRSLNEKEQQELVELVDVKLGQEYELVVTTYAGLYRYRVGDVLKVAGFKNKAPQFNFVCRKNVVLSIDSDKTDEVELHNAMKNAVTHLVPFDASVSEYTSYADTTTTIPGHYVLYWELTLNGSTPIPPCIFEDCCLTIEESLNSVYRQGRVSDKSIGPLEIKIVEQGTFDKLMDYAISLGASINQYKTPRCVKFAPVVELLNSKVTSNYFSPKCPKWVPGHKQWANQN >OIW05972 pep chromosome:LupAngTanjil_v1.0:LG08:21534560:21536714:1 gene:TanjilG_11659 transcript:OIW05972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLENLVISLHTEPQKPALEDKSTAANKTKRQAPTTGGCRVEGHVRVKKVPGELIISARSDAHSFDASQMNMSHVINHLYFGRSITPKTMHDVNILLPYIGSSHDRLKGRSFINTRDLEGNITIEHYIQVVKTEVISKTGSRLVEEYEYTAHSSVAHSVDIPVAKFHLELSPMQVSITENQKSFSHFITNVCAIIGGVFTVAGILDSILHNTIKVIKKKVELGKNF >OIW05986 pep chromosome:LupAngTanjil_v1.0:LG08:21670442:21675640:1 gene:TanjilG_11673 transcript:OIW05986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISMDLKGITWVGNLYHKFENMCLETEDMIYQDTVKYIENQMQGVGESVKKLYADVTRDLLLPSSCDFDDKVASELPTDQSSDVGFCKMTDEFTSSSGDSVKGNNFISCSRQCVQSMDIESDLDMDQHQTKKKMAATKIFDEITLAETSSCNTSQSCKLSNENQNQNGASIEQNASIEQFPNDPVLVKSVEEKQMNTSSSSGVLFGEPDDFSMDRTIDSDDCYSMVVLSYQDARSSDFTKIDTFLKQEHKTRQEDKLKLEETCVMVTGGELQFVHKQSGNVNTYKKDLGEEGRRSREREGLERGKVESESAIRTMENRGEAKRAAPNQVGNTAGQVQGQHDSGVEREQKSLENMREKSFPGGQSQLRERMEGEVAQIVGQIQGQQENAAQREQEPIENEAGETVAEIGETMIKPTKKVKQQGQEGKGDGVYFDETIAEMAETTNVILVGEKETEWRKSIESWPICPSSKGTV >OIW05661 pep chromosome:LupAngTanjil_v1.0:LG08:24654624:24656834:1 gene:TanjilG_23447 transcript:OIW05661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEITLNNGLKMPILGLGVWRMQGQEIRDLILNSIKLGYRHFDCAADYKNETEVGDALKEAFSSGLVKREELFITTKLWNSDHGHVIEACKDSLKKLQLDYLDLYLVHFPVATKHTGVGTTDSALGDDGVLDIDTTISLETTWHAMEELVSLGLVHSIGISNYDIFLTRDCLAYSKIKPAVNQIETHPYFQRDSLLKFSQKHGVVVTAHTPLGGAAANTEWFGSVSCLDDQVLKDLAEKYKKTAAQIALRWGIQRNTVVIPKTSKLERLKENFQVFDFELSKEDIELIRSIDKKYRTNQPAKFWGIDLYA >OIW06955 pep chromosome:LupAngTanjil_v1.0:LG08:7044570:7049348:1 gene:TanjilG_18343 transcript:OIW06955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWGGLFSFAKFWASTQQGGRRQVDSAAHTIKSHGATLARNHFQDWLILLLLILIEVVLYLTHPFYRFVGRDMMEDLKYPMKDNTVPIWAVPLYAILLPMAVFLLFYMRRRDVYDLHHSILGLLFAVLITGVMTDAIKNAVGRPRPDFFWRCFPDGVEVYDKWGDVVCHGKDSDIKEGHKSFPSGHTSWSFAGLGFLSLYLSGKIKAFDGRGHVAKLCIVFLPLLAACLVGISRVDDYWHHWQDVFAGGILGLVVATFCYMQFFPPPYSNDGWGPYAYFQAMEESRTNSNANRNSPAVQTMEVPAVNQVPRRNGDAPTPFSYLSPTLEAMELGLK >OIW07209 pep chromosome:LupAngTanjil_v1.0:LG08:3628851:3636499:-1 gene:TanjilG_06336 transcript:OIW07209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVNTVQHSQHNNKNETNSSTLIPQMPMASPSSSTTFSVNPTDYHLLDEVGSGSTATVYRAIYLPNNRQVAVKCLDLDRFNCNLDDIRKEAQTLSLIDHPNVVSAYCSFVVEHYLWVVMPFMAQGSCLHLMKVAYREGFEEDSIASILKETLKALEYFHRQGHIHRDVKAGNILLHDNGEVKVADFGVSACMFDSGDRQRSRNTFVGTPCWMAPEVLQPGSGYNSKADIWSFGIMALELAHGHAPFSKYPPMKVLLMTIQNAPPGLDYDRDRKFSKSFKEMVAMCLVKDQTKRPSAEKLLKHSFFKNAKPPGLSVKKLFADLPPLWNRVKSLQIKDADQLAQKKTPSAEQEALSQDTTTDSLFSKCKKNSGNLKQDKFMLQVGYNDMPQSEKISGSIVERTPSILENDMEASKTKNQPPKILRTLSGPPVQSMMLGRSLSEKGHAFERFGNENQSLGEKSNRITRQAPNLSGPLMLPNRASANSLSAPIKSSGGFRDSLDDKSKANLVQIKGRFSVTSENLEPVKGSRLRKSASVGDWMSDSKQMPTSQSTKDSANTNNPASLLIPHLQNLFQQTSIQQDLIMNLWNSLQPDEAIDASQNGKLPPLPCSPENKGSVDAAALEREKLLMAEVAELQARMISLTEELTLEKLKHEQLQQQLNNAYSKERNGEKYEVS >OIW06151 pep chromosome:LupAngTanjil_v1.0:LG08:19376748:19377944:1 gene:TanjilG_01778 transcript:OIW06151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAKVLSHSKTVPFGFSIVGNNVSLRPLNKHFHADARTNNYQFHPRSKRICFQLSCSYGNSFSTLLKPWKKADFLPPRCSISSEASTKSPNLIINHFRNLSLDSIKATLLQVTPIDIVKWSGILAIITTATKWTMNMLVSPFFWMYFSWTWLFWPWTVAIVLAVYGLYCFRKHLLGEANIFEQLTVVTSVFTWLTLVPPGHFNGYLEGWPLVFFFVYHYFFFLNVSVRKRLYGDYYARPHDPKWDVNSPVWSRLLFSAGVMVGHWLAAFEGPELHRIPGGWNNLGIWALIILSVLMQYNATLYLAKYSENVVVPSAVVQFGPYRWVRHPIYSSTMLLFATYCIALRAPLSLLFLVVVCCLYYKQKAEMEEALMVESFGESYTEYANKVKSKLIPFIY >OIW05765 pep chromosome:LupAngTanjil_v1.0:LG08:23944299:23947917:-1 gene:TanjilG_23551 transcript:OIW05765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANSSNGDNAASKQPPLPSPLRFSKFFQSNMRILVTGGAGFIGSHLVDRLMENEKNEVIVADNYFTGSKDNLKKWIGHPRFELIRHDVTEPLWIEVDQIYHLACPASPIFYKYNPVKTIKTNVIGTLNMLGLAKRVGARILLTSTSEVYGDPLVHPQPESYWGNVNPIGVRSCYDEGKRVAETLMFDYHRQHGLEIRIARIFNTYGPRMNIDDGRVVSNFIAQALRGESLTVQSPGTQTRSFCYVSDLVDGLILLMGGSDTGPINLGNPGEFTMLELAENVKELINPDIEIKIVENTPDDPRQRKPDITKAKELLGWEPQVKLRDGLPLMEQDFRLRLGVHKKF >OIW07293 pep chromosome:LupAngTanjil_v1.0:LG08:1641704:1645240:-1 gene:TanjilG_11927 transcript:OIW07293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLFIPQEKMLFHSLSKLPNFPQILKLDRPGRRQVIAIGATAPSVFLLNQHSYSFAAENKKGFLPVLDRKDGYSFVYPFGWQEVVIDGQDKVFKDVIEPLENVSVTMIPTGKEGIQEFGSPQQVAETLIKKVLAPPTQKTKIINATEQNVDGKLYYQFEFIAQAPNYTRHALSTVTIGNGRFYTLTTGANERRWEKMKDRLQTIIDSFNIFNV >OIW05955 pep chromosome:LupAngTanjil_v1.0:LG08:22579987:22582440:1 gene:TanjilG_07231 transcript:OIW05955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQLLYSAIFGEMLLILTLLFKTPLRKLVIISLDRLKRGRGPIVVTTVGATLVVVLSSSLYSIAKIQQRTVEAGTLNPTDQVLISNHILEASLMGFVLFLALMIDRLHHYIRELRLLRKTMEAVKKQSRSFEDGKNGNSEEHKALTEEIATLKAKVKELESACETKGSKAMALETEVEALRKQSEGLLMEYDRLLADNQNLQSQL >OIW06697 pep chromosome:LupAngTanjil_v1.0:LG08:10566969:10572666:1 gene:TanjilG_04091 transcript:OIW06697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVCISKPKVEDDIATQKNNNHKRRRRILRRHVCPRKLEVNSIKQSNSLVLPSNRASDAAWFDSISDLDSECDDEFYSVYDGVGEVFASHADEDGEDKKLAPDSCGGILPNSCLPCISSSALPVEKRKHALSLSGVLNQKLLPGLLVPFCPLEKQIPGSWSPIEPSSFRVRGKNYFRDKKKEFAPNNAAFYPLGADLFLSPRKVDHIARFIQIPAIDVPGDVPSILIVNIQIPLYPATIFQNENDGDGMNVVLYFKLSERYAKDLPDQFRENIAKMINDEVEIVKGFPLDTITPLRDRLKILGRVANAENLSLGATEKKLLNAYNEKPVLSRPQHEFYLGENYLEIDLDVHRFSYIARKGFSGFIDRLTLCNLDFALTIQGNKPEDLAEHINALFEMQIPLYPATIFQNENDGDGMNVVLYFKLSERYAKDLPDQFRENIAKMINDEVEIVKGFPLDTITPLRDRLKILGRVANAENLSLGATEKKLLNAYNEKPVLSRPQHEFYLGENYLEIDLDVHRFSYIARKGFSGFIDRLTLCNLDFALTIQGNKPEDLAEHIICAIRLNKLDYNNFNQIVP >OIW06569 pep chromosome:LupAngTanjil_v1.0:LG08:9641527:9644130:-1 gene:TanjilG_03963 transcript:OIW06569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLSTKNNTHIAKPSLEVEAMAPIEPSPLRKIIVVASIAAGVQFGWALQLSLLTPYVQLLGIPHKWSSFIWLCGPISGMLVQPVVGYHSDRCTSRFGRRRPFIAAGALAVAIAVFLIGYAADLGHATGDNLQKKSRPRAIAIFVVGFWILDVANNMLQGPCRALLADLAAGDHRKTRNANAFFSFFMAVGNVLGYAAGSFSGLHKMFPFTMTEACNIYCANLKSCFFLSIILLLTLSTGALIYVKEKAVVIDQKNTDLTEAGSQSGMQCFGELFGAFQELKRPMWILLLVTCLNWIAWFPFLLFDTDWMGKEVYGGTVGDGKASNAYDMGVRTGALGLMLNSVVLGVTSLGVEFLARVVGGVKRLWGIVNFLLAIALAMTVLVTKMAEHSRQFPGGDTNADPLRPTLAIKASALTLFSVLGIPLAITYSIPFALASIFSITSGAGQGLSLGVLNLAIVIPQMIVSVLSGPWDDAFGGGNLPAFVVGAVAAAASGILSIVLLPSPPPELAKAATTTGGGFH >OIW05531 pep chromosome:LupAngTanjil_v1.0:LG08:25474878:25479430:-1 gene:TanjilG_23317 transcript:OIW05531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKESMGTEMLLEDIEDLMIGGATGFRLPIASNTVASDSEYMAGLLSAFGYSLSDNPDQSDLWLVNTCTVKSPSQSAMDTIISRAKSSNKPLVVAGCVPQGSRNIKELQGISIVGVQQIDRVVEVVEETLKGHEVRLLTRTTLPALDLPKVRKNTFVEILPINVGCLGACTYCKTKHARGHLGSYTVQSLVGRVKSVISDGVKEIWLSSEDTGAYGRDLGVNLPTLLNALVAELPADASTMLRIGMTNPPFILEHLKEIAQILRHPCVYSFLHVPVQSGSDTILSAMNREYTVSEFKTVVDTLTELVPGMQIATDIICGFPGETDEDFEQTVNLVNEYQFPQVHISQFYPRPGTPAARMKKVPSNVVKRRSRELTNVFEAFTPYNGMEGRVERIWITDIASDGVHLVGHTKGYIQVLIIASDNMLGTSAMVKITSVGRWSVFGEVIEMVSCSDHKVSCKQIPNQDVPSLCCNPAKNGGLSESPECCACGNDSCCGQSMLEKSGDSRSSVVPQNQNNRSFVGWILRKRKHLLHRGTENDLDSASGSVLKHEGGHGSMTKWDFVDKALLGGISISIFTIIALIVALKFSVFWSK >OIW07255 pep chromosome:LupAngTanjil_v1.0:LG08:2755198:2758977:1 gene:TanjilG_08370 transcript:OIW07255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKDPVRVLVTGAAGQIGYALVPMIARGVMLGSDQPVILHLFDIPPAAESLNGVKMELVDAAFPLLKGVVATTDAVEACTGVNIAVMVGGFPRKEGMERKDVMSKNVSIYKSQASALEKHAAANCKVLVVANPANTNALILKEFAPSIPEKNISCLTRLDHNRALGQISERLNIQVSDVKNVIIWGNHSSSQYPDVNHATVTTPAGEKPVRELVADDAWLNSEFIATVQQRGAAIIKARKLSSALSAASAACDHIRDWVLGTPEGIWVSMGVYSDGSYNVPAGLIYSFPVTTQNGEWKIVQGLAIDEFSRKKLDLTAEELSEEKALAYSCLS >OIW05931 pep chromosome:LupAngTanjil_v1.0:LG08:22373139:22381123:1 gene:TanjilG_07207 transcript:OIW05931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVERKQFKTRLCVLYQKGRCNRNNCSFAHGNVELRRFSASSSEGEPQLIVRLPLSDQEVTGSNLENILSACKGRREYSGNDLRDKLDRRHLSPPRRYSPARDGRGGQATHGYSPSRSSEKKSDRRHIRKQGTTGQHDNPGSLNFSDRIQDQVRGKLFTSGSRNTLDEQLKKVESDINTLQNQKFQLEVYLDESVQEVDSLNSTIQELDAQLCKEKEECRRSQVRLQRFGDELVSDISRIGASEEDLSIDIVSNGENPALPLAIKHNVDQNDASPHRKRLHVKQDAVEELKQDRSKIGNLVGTSRTRKRSRWNLPAQLNDKDEENIDVPPNTGTEVTRTVNYEGKHKRGICNSSNNLISEKFKESRIEVPPTSMAAHVIDEEIEIELNDRTDITETVKTQNENGVAHEAKSLLLMLRPPLIPRTNYSRYEGDDENVDVDGLDEEDAGAHVDIV >OIW05943 pep chromosome:LupAngTanjil_v1.0:LG08:22482287:22482754:1 gene:TanjilG_07219 transcript:OIW05943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCLRNNKISAQDHENYGTHVESCDVAKVQKVNASSSSKLKESVQKEQGMKKKKKVRFNIQNDDGEENGDGGSEKNSRSGVVRIKVVMTQKEFKRVLSCQNDEHNTSLEQLLSAFKFRGGVSKICEYNNVGTSSWRPALESIPENRLIFNNPSM >OIW06215 pep chromosome:LupAngTanjil_v1.0:LG08:18651247:18663409:-1 gene:TanjilG_03840 transcript:OIW06215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIDELSELAVSMRQAAALLADEDVDDANSSKRPSTFLNVVALGNVGAGKSAVLNSLIGHPVLPTGENGATRAPICIDLQRDANLSSKSIILQIDNRPKEVSASALRHSLQDRLSKGSSGKSRDLIQLKLRTSTAPPVKLVDLPGLDQRIVDESMVSEYAEHNDAILLVIVPAAQAPEIASSRALRTAKEYDGEGTRTIGVISKVDQAANDQKSLAAVQALLLNQGPARASDIPWVALIGQSVSIATAQSGSTGSENSLETAWKAESESLKSILTGAPQSKLGRNALVDALAHQIQNRMQLRLPNLLSGLQGKSQIIHDELARLGESMVSTAEGTRAVALELCREFEDKFLAHITSGEGAGWKIVGCFEGRFPDRMKQLPLDRHFDINNVKRIVLEADGYQPYLISPEKGLRSLIKGVLELAKEPSRLCVDEVHRVLMDIVSAAANATPGLGRHPPFKREVWILFQLLLDTYVGITIVALATAALENFKNEAKKMVVALVDMERAFVPPQHFIRLVQRRMERQRREEELKGRSSKKGQDAEQSLLNRAASPQTGGSMKPMKEDKKEKEKDKSGQAEKEGQEGPALKTAGPEGEITAGFLLKKSAKTNGWSRRWFVLNEKTGKLGYTKKQEERHFRGVITLEECNIEEVPEEDTPSKSSKDKKSQGPDSGNVNLVFKITSRVPYKTVLKAHSAVVLKAESAADKTEWISKIGSVIQAKGGQLRLSSEGGSSMRQSHSDGSLDTMTRRPADPEEELRWMSQEVRGYVEAVLNSLAANVPKAVVLCQVEKAKEDMLNQLYSSVSAQSNAKIEELLVEDQNVKHRRERYQKQSSLLSKLTRQLSVHDNRASAASGWSNGSAESSPRGGGGASDEWRSAFDAAANGPVGRSGSMRSGSNGHSRHYSDPAQNGDSNSGSNSGSRRTPNRLPPAPPGSSGYKY >OIW05829 pep chromosome:LupAngTanjil_v1.0:LG08:23369898:23376553:1 gene:TanjilG_23615 transcript:OIW05829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKPPSAFDALMSAARAAASKKKPPQPTSSSPKKRKSPPPQTPSPASSLNPSSLKTLDSSAPPAPETLENQEKLRESVKPEPANKIRSVTNSSQEKIAELKKLAPLLKKKPSEFNPSSVATWEKGDPVPFLFLSLAFDMISKETGRILITDIVCNLLRTVIYATPEDLIPVVYLSANRIAPAHVGLELGIGDASIIKALAEACGRTEAQIKKQYKEKGDLGIVAQASRSSQSMMRKPDALTIRKVFKTFHLIAKESGKDSQEKKKNHIKALLVAATDCEPQYLIRLLQAKLRIGYAEQTLLAALGQAAVYTEEHSKAPPQIQSPFEEASKIVKQAYSVLPDYDKIVSALLTHGVWELPNTCNFTPGVPVGPMLSKATKGVSEIHYLENGSVEVYSRNAERNTGKFPDVVAAVSRLKKSNVSSFILDCELVAYDRAKKKILPFQVLSTRARKNVAMNDIKVDVCIFSFDLLYLNGKALLQENLKIRREHLYASFEEEPGFFQFATAIISNDVEEVQKFLDQAVDASCEGLIIKTLNEDATYEPSKRSLNWLKLKKDYMENIGDSLDLVPIAAFHGRGKRTGVYGAFLLACYDSNNEEFQSICKIGTGFSEEMLEERSASLRSKVIPKPKAYYTYAETINPDVWFETSEVWEVKAADLTISPVYRAAVGIVDPNKGISLRFPRLLRVRPDKTPEEASSSEQVADMYNAQKHNNSNNQDGDEDD >OIW06516 pep chromosome:LupAngTanjil_v1.0:LG08:11191267:11195904:1 gene:TanjilG_26705 transcript:OIW06516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRGRIPFPPQHLRRPLPSGSASMLHPEMHPLPGPYSPFDMLPPPQVMEQKLASQHLEIQRLATENHRLAATHGTLRQELAAAQQELQMLDMQIGSTRAEGEQQLRDVADNIARMEAELKAAEPVKIELQKAHAEAQKLVVSREELFSKAQQLSQELQRTFAEVQQIPALVSELERLRQEYQHSRATFEYEKKIYSDHLESLQVMEKNYASMSRELEKLRAELTKTANVDQRSNGPYGGIPGTNENEASGLPLGQNAYDQGYAVAQGRGPIPTAGGGSATKTAAGISPVPASASTGYNAPRGPVYDASAGFAYNAQRLTSYDAVIGSAYDAQRAAIFDAHRTTGYDLPKSQAGYEAQRGGQGYDASRAASYDAQARGAAGPYGHAPPVNNTAYGSTTPPARGVGGGYEVPPQGTNPLRR >OIW06184 pep chromosome:LupAngTanjil_v1.0:LG08:19205074:19205507:-1 gene:TanjilG_15068 transcript:OIW06184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKPSALMHLLLIVTFFYATSALPTTQVSAGDKVNTAEKLVNEGIAKTSPKVDDEEAKFKGFYHQKFPQLKKPFYKKETPIYEPLPQPYPTSPFPFFPPHNP >OIW06289 pep chromosome:LupAngTanjil_v1.0:LG08:17147036:17147800:-1 gene:TanjilG_19727 transcript:OIW06289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKLSKVAFDAKLGKLLKEYSRVLVISSDNIGCNELQGIRRNLHADSVVVMGKNSMMKRSLMLDAQRTGNKAFLNLAPLLHGNVALIFTKSDLREVSEQVAKYKQCKKYQIAIDPAVTFPM >OIW06051 pep chromosome:LupAngTanjil_v1.0:LG08:20177633:20184689:-1 gene:TanjilG_29807 transcript:OIW06051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTDAMGIDGYSLLKDLRLEIEAKEGTFSLCFWVYLTSSTTFPATVIQQVYSDISKSAPFLVINDNKRINLLPVLILHKEAPDTFNINSWTEVPHATVDLEFPVGKWVHVGCEVSPSHVQLQINGDIVGKRSLTYLLNSEPDSSNLKKITLANVGGDENSVQGYVHNFEVFPLVSSIMDHHLKDPPLKLSIDESSASEIEEENDGVWGIVGGKASCRRNFSLDVVLSDAFGQPVDKENEVFASLLYADTGAPVENTTDEEAPLLVSYDGIEFSSCERPSKLLMGRASFKLKISQLSSKCDNRLFILRFCVPKLGSYSFLEAYSRPVRCISRSRNTRLSTLVWKRSTSALNRLSLSQCSAKDAGPLEHQHSDHEAKANPLMKRFRLGQDKISVSVKADPNLVRPDEECNSHVFTSNQVENGFTTNLDGRPANFDEVYDCPSDSESIGERNSPPDSMASRRYEISDMTIFKYCLAGLADRSLMLKEIAPSASDKEISELAHHVSLYSGCSHHGNQILIAKRLIKDGINLWKLMSPNNQHIPWQNAVYEIEDQFLKIASSRSRSLSIQDLELLRRISGCQEYLTQECFEKLWCWLYPVAFIISRDWINPIWNSTSPKWIEGFITKEEAEASLQGTIGLQEPGTFILRFPTSRSWPHPDAGSLVVTYVGNDYKLHHKLLSMDRVYSSYSSGDKGIDEKPLQDMLLAEPELSRLGR >OIW07060 pep chromosome:LupAngTanjil_v1.0:LG08:5872153:5875099:-1 gene:TanjilG_02694 transcript:OIW07060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENEEVNEEHKPIIQWNKQKGGFRASMFIFVLSALDNMGFVANMVSIVLYFYMVMHFDLASSANTLTNFMGSTFLLSLVGGFISDTYLNRLTTCLIFGSLEVLALVLLTIQAALPKLHPDACGKSSCVKGGIEVMFYASLYMLALGNGGVKGSMTAFGADQFDEKDPNDAKALPTFFNWLVLSSTLGSVIGVTGVVWVSTQIDWHWGFFIITLASTLGYVSLVLGKPYYRIKSPGESPILRITQVIVVAFKNRNLTLPESNEELYEISAEDATVEKVAHTNQMRFLDKATILQENFEPKPWKVCTVTQVEEVKILTRMLPILASTIIMNTCLAQLQTFSVQQGSIMNLKLGSFTVPAPSIPVIPLIFLCILIPIYEFFFVPLARKITHHPSGITQLQRVGVGLVLSSISMAIAGVIEVKRRDQSRKDSSHPISLFWLSFQYAIFGIADMFTLVGLLEFFYREAPSNMKSLSTSFTYLSLSLGYFLSTVFVDVINSVTKRMTPSKQGWLHGSDLNQSNLNLFFWFLAILSCLNFFNFLYWASWYKYKSEDNNARPSLKAIAETKTTLKSVDEKAKKDESIKDIMRGKAKESSQTSEANSEGPSSSDETDEGKEKEGNYR >OIW06772 pep chromosome:LupAngTanjil_v1.0:LG08:8794338:8794646:1 gene:TanjilG_11497 transcript:OIW06772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRVRDLASKKAAVVFTKSSCYMCHSITQLFYELGASPAVHELDNDAYGREMEWALRSLGCNPSVPAVFIGAKFVGSAKDVISLHVDGTLKQKLKDAKAIWF >OIW07117 pep chromosome:LupAngTanjil_v1.0:LG08:5262639:5266488:1 gene:TanjilG_02751 transcript:OIW07117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNITSCDSGSFSTENTREDAVKQQLEILGQFNSPHSHTTTNNNSNVSNTSLQQPPSAKRKRSLPGNPDPKAEVIALSPNALMATNRFLCEICNKGFQRDQNLQLHRRGHNLPWKLKQRTSTEIIKRVYVCPEPSCVHHNPARALGDLTGIKKHFCRKHGEKKWKCDKCSKKYAVQSDWKAHSKICGTREYKCDCGTLFSRRDSFITHRAFCDALAEDNKGNEEQLSKMGSNLQCQPMPNLVPSLPINTNIIPNPQVGGTTSEFNNHSDQRHNPLSSLPNPATSKPFNNNMEMRNTCPSLHLSSNLLASHEGSSLMSATVLLQKAAQMGATLSNNNSNIATTMAPLSFGSMVQLQQQQQPDSVHSFMNHYMQQQQQDHVSSQYNNFNANHDMSTGDNEGSVAGMNGVDMFNVIYDQSKALSKIIEQNNRSINSGVLHQKNGGSSSGATSIGGSKGSGDVMTLDLLGTGGGGDRNLNIYDGAQHAETSAEDEVWRNWSNKNEDFESFSASSSM >OIW05628 pep chromosome:LupAngTanjil_v1.0:LG08:24861629:24874790:-1 gene:TanjilG_23414 transcript:OIW05628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKLSIVLQVLKSRQPLIVYFPDSSQWLHKSVPKSYRNEFFNKVEEMFDKLSGPVVLICGENKVQSGSKEKEQFTMILPNFGRASKLPLSLKRLTDGFKGAKSSEDDDVQKLFSNVLCVHPPKDDNLLATFKKQLDEDRKFVISRSNLNELRKSLAKDEFESNFISAVVPSDEIGVKFDDIGALEDVKKALNELVILPMRRPELFSRGNLLRWFGDAEKLTKALFSFASKLAPVIIFVDEVDSLLGARGGALEHEATRRMRNEFMAAWDGLRSKENQRILILGATNRPFDLDDAVIRRLPRRIYVDLPDAENRMKILRIVLAQENLIPGFEYDKLAKLTEGYSGSDLKNLCIAAAYRPVQELREEEKKGISNGTPSVLRPLNVDDFVESKAKTRVQEG >OIW05816 pep chromosome:LupAngTanjil_v1.0:LG08:23525297:23525620:-1 gene:TanjilG_23602 transcript:OIW05816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVVVIALLVLVVARCCIATHRKKSMMMMNKFKLLEEYDKEQHNKLVKEDEKLNYSDDINDHHEIPRKDYGKLPAGLGQPTVTDDSDHHYIPRRDYSSHPIPTNQGN >OIW07390 pep chromosome:LupAngTanjil_v1.0:LG08:132534:145708:1 gene:TanjilG_10225 transcript:OIW07390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKTQVNIKKRKIVSLSHDVDDDVEKGRRFKILLPNGTSVELTTWDPDPEMFFAEFITLVKGKYLKEQKHLGSTNKGSINWKSNSLYLQDSDDVKIRDVVKLNKYKPHKCHILRLYDGSSDVVKSFKNMWDLTPDTDLLLELPEEYTFETALADLIDNSLQAVWSNGENDRKLIRVYLDKERIVIFDNGPGMDDSDEKSLVKWGKIGASLHRLSKSEAIGGKPPYLKPNFGMFGFGGPIAAMHLGQRVIVSSKTKNVKKVYMLVLDREALLSASNSNRTWKSNGSIRDPTEAEIRDSNHGSFTKVVIRGPKVKDVNISRLQCHLKDIYFPYIQSDDMFNKGRTNTPIEFQVNGDCLTEIEGGEVAITNLLSCNGPEFIVQLHLSNPPKYDGIKSSKDFQEANGRLRFVYFPFKEGKENLERVLEKLIADGNVTRENFQSFSRVSVRRLGRLLPQARWSFLPFMEVRNKKGIRGQLLKRCCLRVKCFIETDAGFKPTQSKTDLAHHNLFTLALKNFGDKTPNQVKDIEVEIRKDGKVLSLLQLERDYEDWILGMHDRYDEEVGSGEDQPVMMVSPANKKALGISSEVIRVHQQLKRKEESWKSGQKIKVLKGACAGFHKNNVYATLEYFLLEGFEGDAGGDARIICRPVDIPDENGCVLSLDDENASLEIRGSVSLPLSVIDNGKLVPVESTEWDTHLKRKQLRSPATINLLSSHHCQVLEVDGALPVDDPVFAGHVPPHQVVSVIRPINFIPSATEKLDQKDIYKSNIEMIMEIKFKSEHMNDNHVMHIDRASPESRKGFSGLYFFPLRRKARDLFKKAGTYTFSFSLIDSSCKSAWKRVTVKPSPQVGKWKPLSDDQNRQLVLRVGSNIPTLTIACYDIYDNRAPFPDISALKVKLLAEKGILFETNRVKFSLSVNKMVLSIKDVLVKSKELDKIRPGYGATLVIGSSNELLSVSVACQVYPGYLKNVELQPPITENQLRPGFVFEKLALEMLDAYGNHVLKGPEVTLSVDGFNIPDHVGMIHKVDDNGRIDLSGLLKVTAGYGRKASISVLFEGKTIFNQEFSSRSLQPDNIIMHEPESPFNEEMNFMINNDENVQVPVAETQNMVVECCQKPSPLNVIMQEQDSPIFNHEKNLLISLVNNDEKDVRKIAEAIGDLEDGLDHLNKLKDKTEQEMDKLLENVEPQNLISNTFILTKEELKLKIERMENTAASVLSLCSLSAYQKQPKCFRDDIIGLVVLCGTVQSPDLSRILAEYLGEDKMLAVICRSFDAASSLESYKRNGAVDLESGLHAEAATLGKAIRNRFLVFCLEDIRPYTGCFQENDPQRKLALPDPILTNGKTPAGFIGYAVNMVELDTNHLQIRTDSGHGLRETVLFRIFGKLQVYETRESMLAACACIEDCAVSLDGGILRENGLLSLGYGEPCIYFPCENQIIPSSETGDIAEQIKEKKAELTLIEEEFRKLAKYHKKCLKKFERKKAHYSNLLDRIESLESKTNAGNKSNKPDS >OIW05860 pep chromosome:LupAngTanjil_v1.0:LG08:23050091:23055892:1 gene:TanjilG_23646 transcript:OIW05860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAEKPSWVRHEGMQIFSIDVQPGALRFVTGGGDHKVRIWNMKSVSRDMENDESSQRLLATLRDHFGSVNCVRWAKHGRYVASGSDNQVILVHERKPGSGTTEFGSGEPPDIENWKVVMTLRGHTADVVDLNWSPDDSTLASASLDNTIHIWNMSNGICIAVLRGHSSLVKGVTWDPIGSFIASQSDDKTVIIWRTSDWSLVHRTDGHWEKSLGSTFFRRLGWSPCGHFITTTHGFQKPRHSAPVLERGEWSATFDFLGHNAPIIVVKFNHSMFRRNSSNAQDVKSAPVGWANGSSKTESKEPQPYNVIAVGSQDRNITVWTTASPRPLFVAKHFFTQSVVDLSWSPDGYSLFACSLDGSVATFHFEVKELGQRLSDTELDELKKSRYGDGRGRQANLAESAAQLLLEAASAKRKSNKKGSVVQQNQKMAKVVFDAGITTKNAEPQVDDSKKSGGLVGDGSNKAMTSSRISSPVKQREYRRPDGRKRIIPEAVGVPVQQENISSAVQSQALDFPIISSDVRKGTNGVVYNDDGIKASTLGGAPGRISDLKELSGVTARATISDSLVIEKVLDSAGRDGNINVEQLGNSMACSSLSGSCVTLSIRVFDNKGGEDTSPICLEAQPNEHSVNDIMGVGNAYTMRETEISCTRGSQALWSDRISGKVTVLAGNANFWAVGCEDGCLQIYTKCGRRAMPTMMMGSAATFVDCDECWKLLLVTRKGSLYLWDLFNRTCLLKDSLASLIALSPNSSAKYAGTIKVISAKLSKSGSPLVVLATRHAFLFDMSLKCWLRVADDCFPGSNFTSSWSLGLIQSGELAALQVDVRKYLARKPGWTRLTDDGVQTRAHLEAQSASSLALGSPSEYRQCLLSYVRFLAREADESRLREVCESFLGPPTGMAKEASSDSNRPAWDPLVLGMRKHKLLREDILPSMASNRKVQRLLNEFMDLLSEYEIADANQDQQNPVLTKTSSLVTNQIKSGSLTKDKVDTAPPKID >OIW05998 pep chromosome:LupAngTanjil_v1.0:LG08:21814647:21816352:-1 gene:TanjilG_11685 transcript:OIW05998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTECSDPENNNTMIVEGRKDSLIRTCPTCGHHIKCQDQGSGVHDLPGLPAGVKFDPTDQEILEHLEAKVSSDIHKLHPLIDEFIPTLEGQNGICYTHPEKLPGVSKDGLIRHFFHRPSKAYTTGTRKRRKVHSDEDGSETRWHKTGKTRPVYISANLKGYKKILVLYTNYGKQRKPEKTNWVMHQYHLGSDEEEKEGELVVSKVFYQTQPRQCGNSLMKDSVPGKIMKGQILHNEVNINTNKNNGFVDYYNSTFISFGQGGQHRSSNEVISHFPTHDGAPFIP >OIW06293 pep chromosome:LupAngTanjil_v1.0:LG08:15745608:15749210:-1 gene:TanjilG_17667 transcript:OIW06293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRYQKVEKPRAETPIDENEIRITSQGRMRNYISYALTLLQERGSNEIVFKAMGRAINKTITIVELIKRRIVGLHQNTAIGSTDITDTWEPLEEGLLPLETTRHVSMITVTLSKNELDTSSVGYQSPLPADQVKPSTDFDYEGEGSPNGRGRGRGGRGRGRARGNGFISGDYEDGGWDRNRGRGRGRGRGRGFRGHGRGGYNGPQNDIQLDGGYNQDIPQGRGVDIVEGAVVLDPMDQSQQQLEATIGIP >OIW05588 pep chromosome:LupAngTanjil_v1.0:LG08:25151168:25153918:-1 gene:TanjilG_23374 transcript:OIW05588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTPSSPPKSHHHPPPPPTPRILNFRRRERVERSDDGLALEEQKWKFQAEMLRAECNLLRMEKEIAVKKLERTRSRTQKILTSALNTLLSGRIKICEGMDISMVLDEEIHELTEKLQKLQRRSGNKDSDATRNYRNFDKQVSVLQRQLKKIGGSSDEIYLKEFQEMEKISFSIKRRSTLNHNLVASGKLNVDILRRKMEVLSKGILLQRMEEEYNSLLFSSNSSLASSASTSKRIEFQDSSSIRVPPQKEKVSHEGNRCSGHCKTIVQRIVEQVRAETEQWSQMQEMLGQVREEMEELQASRDFWEDQAVHSEFQIQSLHNAVQEWRQRAVSSESKAKELEAKLSMLSGELESLRKEKNAVQGTTMSPIPPDTQNELEKRIVVCCSKENSKVTENSNRNEVLRNAERRPAHAARGVRFLAPKRSPFQDIGNNSSLSMRQNGKTVFPLYCYLSSDVEKTH >OIW07225 pep chromosome:LupAngTanjil_v1.0:LG08:3385929:3388334:1 gene:TanjilG_02545 transcript:OIW07225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRLQFENSCEVGVFSKLTNAYCLVAIGGSENFYSTFEAELADVIPIVKTSIGGTRIIGRLCVGNKNGLLLPHTTTDQELQHLRNSLPDQVVVQRIDERLSALGNCIACNDHVALTHTDLDRETEEMIADVLGVEVFRQTIAGNILVGSYCAFSNRGGLVHPHTSIEDLDELSTLLQVPLVAGTVNRGSEVIAAGMTVNDWTAFCGSDTTATELSVIESVFKLREAQPSAIVDEMRKSLIDSYV >OIW07403 pep chromosome:LupAngTanjil_v1.0:LG08:23399:25197:1 gene:TanjilG_10238 transcript:OIW07403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATISRRHHNTQVNNNTHHQRDFITEEIEGLIRVHRNGHVERPTIMPNVSTKTSSNGVTARDVIINKDTNLWARIYVPSIINTNLIIPLLVYFHGGGFCIGSAAWKCYHEFLTNLASKASCVIVSVDYKLAPENPLPAAYDDGFNALMWVKREAHNVSSSVQKWWLSHCNLSCIFLAGDSAGANIAYNVATRLSTSSGASSILNPLSVKGIILIQPFFGGDERTFSEKHSLQPPNSALTLSVSDTYWRLALPYGANRDHPYCNPIVPNANKLRDIRIPHILVCVSELDILKDRNLEFSNTLAKAGKKVEVVTYKGVGHAFQVLNNYQLSHSRTQEMISHITTFLTS >OIW06315 pep chromosome:LupAngTanjil_v1.0:LG08:15425107:15428943:1 gene:TanjilG_17689 transcript:OIW06315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATIKIIKARQIFDSRGNPTVEVDVTLSDGTFARAAVPSGASTGVYEALELRDGGSDYLGKGVSKAVQNVNTIIAPALLGKDPTKQTEIDNFMVQQLDGTVNEWGWCKQKLGANAILAVSLAVCKAGALVKKIPLYKHIANLAGNKTLVLPVPAFNVINGGSHAGNKLAMQEFMILPVGASSFKEAMKMGVEVYHNLKSVIKKKYGQDATNVGDEGGFAPNIQENKEGLELLKTAIAKAGYTGKVVIGMDVAASEFYDNKDKTYDLNFKEENNDGSEKISGDSLKNVYKSFVTDYPIVSIEDPFDQDDWEHYSKLTAEIGQQVQIVGDDLLVTNPKRVEKAIKEKSCNALLLKVNQIGSVTESIEAVKMSKQAGWGVMASHRSGETEDTFIADLSVGLATGQIKTGAPCRSERLAKYNQLLRIEEELGAAAVYAGSKFRAPVEPY >OIW06746 pep chromosome:LupAngTanjil_v1.0:LG08:9010572:9011252:-1 gene:TanjilG_11471 transcript:OIW06746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSPSIYQGSNSWSFIQSLSNICNKTKDTEGENVYVHPTIKRSPSMLSSKSLEMCTENLGCETGSNSISLFSSQFSSCFIEDTNAPLEVIVNINSNSVSKRLNHNKGSKNIPPPLTSLVDFGGVQVRPRREYGRLILEAVTSSSPQHYFEAERSNGKLSLRLFGSFDDEVDYDAEEEACDEEYIENEEDEIEITKFGRPSRCKESGNRDIFGDGYFELASLSLCL >OIW05940 pep chromosome:LupAngTanjil_v1.0:LG08:22458173:22463159:-1 gene:TanjilG_07216 transcript:OIW05940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGMTESSQSTTVNSTVSSRPKVVKIGALLTYNSVIGRSARPAIMAAIKDVNSNMNVLPGIELQVILHDTNCSGFLGTVEVKKWINLINVVDHVALQLMKNEVIAAIGPQSSGIAHVVSHVANELHVPFLSFGATDPTLSALQYPYFIRTTQSDYYQMHAIADFIEYHGWRKVIAIFVDDDNGRNGVTALGDALSKKRAKISYKAAFPPEASQSDISDLLNEVNLMESRVYVLHVNPDSGLTIFSIAKKLQMMSAGYVWIATDWLPSMLDSLVRADTGTMNILQGVVAFRHHIPDTDLKKSFITRLKNLKDNDTESFNSYAFYAYDSVWLAAHALDVFLNEGGNISFSSDPRLRDTKGSVLNLAALSTFDGGDQFLQTILRMNFTGLSGKIEFDHDKNLIYPAYDILNIGGIGSRSIGYWSNESGLSVSVPETLYMKKSSNTSTSNQNLYSVIWPGDVTTTPKGWIFPNNGKPLRIAVPNRVSYKEFVAEDKNPPGVQGFCIDVFEAALKLLPYPVPRQYMLYGNGDRNPNYNNLVDQVAQNNYDAAVGDVTIVTNRTRFVDFTQPFMGSGLVIVVPVKEDKSSPWAFLKPFTAQMWCITGAFSLFVGTVVWILEHRLNEEFRGSPRTQLITVFCFSLSTMIFSHRENTVSTLGRLVLIMWLFVVLIINSSYTASLTSILTVQQLSSQIEGIDSLISSTQPIGIQDGSFARKYLVEELHIPESRIVTLKNMQGYIDALQRGPKYGGVAAVVDELPYIETLMSSTDCKFRTVGQEFTKSGWGFAFQRDSPLAIDLSTAILQLSENGDLQRIHDKWLKKMECSNDVDPNKLSLRSFWVLFLICAIVSVLAIIIFFVRVLCQYIKFSPEPEEVGVEIQPTKYRRTSKTHSFKDLIVFVDKKEAEIKEILREKRKKRRRSKSLDGLSNSTT >OIW06298 pep chromosome:LupAngTanjil_v1.0:LG08:15677844:15680344:1 gene:TanjilG_17672 transcript:OIW06298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPNLKSSDLITGVCFASAASGYDPLTPQIASVISLSTQLDMFKEYIGKLKGIVGEERTKFIIEKSLYVVVGGSDDIANTYFVAHARQLQYDIPAYTDLMINGASNFVKEIYKLGARRIAVLGAPPIGCVPSQRTLAGGIVRGCAEKYNYAAKLFNSKLSKELDSLALNSPNSRIVYIDIYNSLLDIIVNYQKYGYKVADRGCCGTGELEVAVLCNPLGTTCSNPSEYVFWDSYHPTESVYRKLISQALQNYISRLH >OIW05579 pep chromosome:LupAngTanjil_v1.0:LG08:25206979:25210724:1 gene:TanjilG_23365 transcript:OIW05579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRSPKSCGEEYEGEEGCGTTVSGQSGSTSRSAGLPSSRSDQALVATAGDSTFLRLNHLDLHGDDAGSQEAVASKKKRRGQRAVGGDKSGRGLRQFSMKVCEKVESKGRTTYNEVADELVTEFSDPSNSVLSPDQQQYDEKNIRRRVYDALNVLMAMDIISKDKKEIQWKGLPRTSLNDIEELKTERLGLRNRIEKKAAYLQELEDQLVGLENLIQRNGQLYSSGNPPSGGVSLPFILVQTRPHATVEVEISEDMQLVHFDFNSTPFELHDDNYVLKAMKLSERPQNDNMAPNDGGEGSSMPGLYQSQVPPPVSNLPVRPPLSPPLPGILKARVKNEH >OIW06790 pep chromosome:LupAngTanjil_v1.0:LG08:8644514:8646432:1 gene:TanjilG_11515 transcript:OIW06790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGADSGTLSLDRRKKLGSKPEPPVLDSVPASKIGAIYLSDASSPSLNHSEEKMVETKRSEIIPVSTEIFIQDVKPVNHKVQPNKDRVEYVAANPMGIKHESDKHSSRKVVPVTNVDATGGLTKSADGSMDFNLSNISHDYDGEETKSVVSESRVPVGRYHVKESFASILLSIFDKHGDIGASCHLESVVMRSYYIECVCFVVQELQSTSIVHLTESKVKEMLAILKDVESAELNVAWLRSILDEIADNMDFINQHGAAEVANANSDREMELLRKELESELETLAEKELEVTDTKTRIEEINKHLIKLELKKSYLDKNMLYIKSKVNNFDIKSMLDELF >OIW06552 pep chromosome:LupAngTanjil_v1.0:LG08:11155056:11157590:1 gene:TanjilG_29973 transcript:OIW06552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGGRGGGSGLRSFFSFRIFISAIFSLIFLATLSVLFTTNPSTLQDDALPMGDNAYVRRTFLALKSDPLRTRVNLIHQQAKDHITLVNAYAAYARKLKLDISKQLKMFDELARDISDVSVKPDYQSSLFKSDGPIDEDVLRQFEKEVKDRVKIVRMKIVEAKENYDNQVKIQKLKDTIFAVNQSLVKAKKNGALASLISAKSVPKSLHCLGMRLMGEKISHPDKYRDDEPKPEFEDPSLYHYAIFSDNVIAVSVVVRSVVKNAVEPWKHVFHVVTNRMNVAAMKVWFKMRPVEGGAFLEVKAVEEFTFLNSSYVPVLRQLESAKMHKHYLGNQAENTTSDAHSMKFRNPAHLSLLDHLRFYLPEIYPKLNKILLLDDDVVVQKDLTGLWKIDLDGKVNGAVETCFGSFHRYSQYLNFSHPLVKESFNPKTCAWAFGMNIFNLDAWRYEKSTDQYHYWQNMNEDQSLWKKGTLAPGLMTFYSITKSLDKSWHVLGLGYNPSISIDEINNAAVIHYNGDMKPWLDIALNQYKSLWTKYVDNDMEFVQMCNFGL >OIW07247 pep chromosome:LupAngTanjil_v1.0:LG08:2900172:2900342:1 gene:TanjilG_08362 transcript:OIW07247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKLHMIKMSSVSIRPDEIIYNGFEEVLSRYRVIVPHIRLAGRTSFASVIEMVVDI >OIW06808 pep chromosome:LupAngTanjil_v1.0:LG08:8468747:8469190:1 gene:TanjilG_11533 transcript:OIW06808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSIYMSLLVLLILCFSSTSFADCPSRSIALPLGENQKNPNSSNINILFLEFSNDLPPNWPDRLSFIGTGETSECGLEHGKPFGHVYNTSFRKCLFISGKKIIDAVVYDPRVDSGHPNVYWSIRADGAYKSWNKVKWTKYASWTYE >OIW06591 pep chromosome:LupAngTanjil_v1.0:LG08:9805469:9807905:1 gene:TanjilG_03985 transcript:OIW06591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLGDPTVLETSSGEAVAENDGGSEQKGIIRNDSGGDGHGDESHEKMNGGGNRWPRQQTLALLKIRKLADLGYHRSAKKCKEKFENVYKYNKRTKESRNAKSEGKTYRFFDQLQALEKQFNVSSYPPKPQPQPQLTLSKTNNNVSTDTIASIPFISTTTVPSTNPSNDNNNNKNNVSYSLPNITNLFSNTSSTSSTASDEDLGEKYRKKRKWKDYFRKLTRKVLAKQEEMQKKFLEVIDTREKEHVTQQDAWRIQKMARINREHELLAQERKTAAAKDAAIVAFLQKLSGEKNHTTSAKITPTPSLSLSPLPSHPPVLQELRPQPQQKHMHQLPLMVINDNVEIQKMNNGHSNGGPLNSSSRWPKAEVQALIRLRTSLDAKYQENAPKAPLWEDISAGMQRLGYTRSAKRCKEKWENINKYFKKVKESSKKRREDSKTCPYFEELEALYQEKSKTQNPFGGVAFAFNNMKPNEMMEPLMVQPEQQWRPPSETELNENNGDKEREMEDAEEGDSMEDDKDDGEEDVDSMEEDKEGDNGYEIATNKISSVDTVE >OIW06757 pep chromosome:LupAngTanjil_v1.0:LG08:8946276:8948137:1 gene:TanjilG_11482 transcript:OIW06757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFFSYFKDLVGREVTVELKNDLAIRGTLHSVDQYLNIKLENTRVVDQDKYPHMLSVRNCFIRGSVVRYVQLPPEGVDIEILHDATRREARGG >OIW07268 pep chromosome:LupAngTanjil_v1.0:LG08:2594969:2597489:-1 gene:TanjilG_08383 transcript:OIW07268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWVLQSPQIVRQVPLQSHLCYTCHASSRLPVSFVSLNPSAKPVKGVDGTNNQLIQSLCKGGELKQAIQVLGSELNPSQQTCELLIYYCGQKNSLSDGIDVHRQLVDGGLDQDPFLATKLINMYYELGSVDHACKVFDETRERTIYVWNALFRALAMVGRGKELLDLYGQMNSTGVLSDRFTYTYALKACVVSELSVRPLRKGMEIHAHILRHGYEANIHVMTTLLDVYAKFGRVSYANSVFSGMPVKNFVSWSAMIACYAKNEMPMKALELFWQMMLEARESVPNSVTMVNVVQACGALAALELGKLIHAYILRRGLDSILPVRSALITMYGRCGEIELGQRVFDNMNNRDVVSWNSLISIYGMHGFGKKAIQIFENMIHQGISPSYISFITVLGACSHAGLVEEGKNLFKSMHSKYRVHPGMEHYACMVDLLGRANRLDEAMKVIQDMRAEPGPTVWGSLLGSCRIHCNVELAETASTMLFELEPNNAGNYVLLADIYADAKMWNNVKSVKKLLESRDLQKIPGCSWIEVKRKIYSFVSVDENSIQMEALRALLIKLLTEMKEQQGLGPKGMSLRIYPSLCLVFNL >OIW05839 pep chromosome:LupAngTanjil_v1.0:LG08:23292620:23294325:-1 gene:TanjilG_23625 transcript:OIW05839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQRNGVMYKSDLKPTCSGGFTKVPTDEEAATDLTTLLIEIFNRDERLQKSPLFIVAESYGGKFAVTLGLSALKAIQDKKLKLILGGVALGDSWISPEDYVVDLICSTKGTEAWLRKLRWEGLDNFLRKDRTPLFCGSDIKTKGFFKSYKNLNFYWILGAGHFVSQHQSSSLTAIHVLKAHVLVSLAMCLASSPSL >OIW06767 pep chromosome:LupAngTanjil_v1.0:LG08:8837408:8839020:1 gene:TanjilG_11492 transcript:OIW06767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTESREVNVNESKKVVPLNTWVLISNFKLAYNLLRRADGTFNRELAEFLDRKVPPNTIPVDGVFSFDHVDSNIGLFNRVYQHAPENESLWGIIELEKPLSTTEIVPVIIFFHGGSFSHSSANSAIYDTFCRRLVSICKAVVVSVNYRRSPEHRYPCAYEDGWAALKWVKSRTWLQSGKDSKVYVYMAGDSSGGNIAHHVAVRAAEEDVELLGNILLHPLFGGEKRTESEKKLDGKYFVRLQDRDWYWRAFLPEGEDRDHPACNPFGPRGRSLAGIKFPKSLVCVAGLDLVQDWQLEYVEGLKNSGHEVKLLNLKEATIGFYFLPNNDHFYILMEEIKNFVNSNF >OIW06149 pep chromosome:LupAngTanjil_v1.0:LG08:19352632:19360263:1 gene:TanjilG_01776 transcript:OIW06149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVINFPTDSSLHKSKSQSPPPSPSSAPDHHHLPPPPPPRRRDRRDDRDFDRHPNRRPPPPDYYDHRTPPPPVHPRDRDRDFKRRRSLSPSNRGRRYSPASRRSPPPYKRSRRDSPRGGGYGHDDRFGYDRYGGYERGVGGRGGYVDERSYGRFGRRSGGGYQNGIPDLESNRGYADMPGGGAQREGLMSYKQFIQELEDDILPAEAERRYQEYKSEYISTQKRAYFNAHKDEEWLKDKYHPTNLLTVIERRNENARQLAKDFLLDLQSGTLDLNPGLNASKSSKSGQASEPNSEEEAETGGKRRRHGRGSNKDNDFSAAPKAHPISSEPRRIQTDIQQAQALVRKLDKEKGIEDNVLCSSNHNKNGDKAHSGSVCPIVIIRGQTSVKGLEGVELLDILITYLWQIHGVDYYGMIETHEAKGLRHVRSEGIVHEETDKSSAEWEKKLDLFWQGRLNGQDPLEVMTGKEKIEAAAAEVLDPYVRKIRDEKYGWKYGCGAKGCTKLFHASEFVHKHLKLKHPELVVEQTSKVCEDLYFQNYMNDPDAPGGNPVMQQPQKDKPLRGRLGLEGRLRDDRGLEGRLRDDRGNRRDYDQNDRINGDRHDSSPSRDQQSKALEIGDHDETMYDSYGGPGVPPFASDMPPPPQVLMPLPGAGPLGPFIPAPPEVAMQMLREQGGPSSYDNPVRKMRSGPHMGGPAPIVAVPPGFRPDPRQMRSYQDLDAPDDEVTVIDYRSL >OIW05629 pep chromosome:LupAngTanjil_v1.0:LG08:24859688:24860745:1 gene:TanjilG_23415 transcript:OIW05629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLVKLADFGVATKLTDVNTHSVVGTPYWMAPEVIELSGACAASDIWSLGCTVIELLTCVPLYYDLQPMSALLRIVKDARQRPDAKTLLSHPWIQNCRRVLQSSLRHSGTLK >OIW05890 pep chromosome:LupAngTanjil_v1.0:LG08:22794421:22797583:-1 gene:TanjilG_23676 transcript:OIW05890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKEKEEICENWSESLEDLIAEGESESAISLLESLISNLETLKSSDYVSQLQLASALSDLANLYSSKGFSLKSDQLRSRASLIKQTTLSTEARVVKESKKDGDVALSSKDSNFNRNLNHDDGNHGKRTELPAGSSTRNASSDDDWEAMADREPDELLSSVPPDCLSGVSNLKLENTKNQTPKRRGRGTFSYEKQELYSDQLLNGWVIDVEDEETQCSSEDKGDIRKPKYGTGHVLVLADFLPSTRTIQLEKIFEDFNDRGFVIHWVNDTVALAVFRTPSAALEARNSVHCPFTVRILDEEDALLSSIKARDLEPPRQRPKTSAQAAQRLIAHGMGLKLSSTTRSSSGPREYRKQEDARKERIVSRQKLREEAWGDV >OIW07319 pep chromosome:LupAngTanjil_v1.0:LG08:2041497:2043208:1 gene:TanjilG_11953 transcript:OIW07319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTICNIAFISIITKLRNISIKHKQVKVDPRKHGKLPLSSQTSEAEEKDDVTNEFDDYGSSWYSETDMSAMVSTVAQVMGNSTNQNQHVSQIITFPVSASMVNQDYAHSYPSQPLLEQDVTKKPHYRGVRQRPWGKWAAEIRDPKKAARVWLGTFETAEDAAIAYDKAAIKFKGTKAKLNFPHIVQGNPNVLPFHAASTTTTSAIPIEQTTATTSFNNVYPNSLSTHDYVDQQVFPNLFQYAQILSSNDAELSYYTNHLFNQQQQSFNSQFSATNLSSSSSSLSSFYNQQQQQNDDEEERHHHPGQ >OIW05621 pep chromosome:LupAngTanjil_v1.0:LG08:24935148:24936938:-1 gene:TanjilG_23407 transcript:OIW05621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGILSSSKLIAKKLLFNGATTNQFSQFNNLHHNRSYSLIPMVIEHSSRGERAYDIFSRLLKERIVCINGPITDDTAHVVVAQLLFLESENPSKPIHVYLNSPGGAVTAGLAIYDTMQYIRSPINTTCLGQAASMASLLLAAGAKGQRRALPNATIMIHQPSGGYSGQAKDIAIHTKEIVRIWDSLNELYKKHTGQPIDIIQKNMDRDYFMTPEEAKEFGIIDEVIDQRPAALVSDAVADEGKDKGST >OIW06907 pep chromosome:LupAngTanjil_v1.0:LG08:7727892:7728920:1 gene:TanjilG_19556 transcript:OIW06907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSQKLSLLFLLIALSSFSSTTSDSNDDVVAELLSLQSRSKSGVIHFNDQSLSRFISSVKTPRPYSLLFFFDAVHLHDKSELHLLELLKEFNLVSSSFIANNIDNPDAISKIFFCHIEFKESQFSFSQFGVNTLPHIRIVGPNQGFKDSEVMEQGDFSRFPESLVEFIESKTKLSVGPVVRPPFLSRNQIILIVLGILAWIPFYAKRVIAGRTLFHDPKVWLAGSVFVYFFSVSGSMHNIIRKMPMFLADRNDPSKIVFFYQGSGMQLGAEGFTIGFLYTVVGLLLAFLTQGLVKLNNVAVQRVAMIFALLVSFLAVKQVVFLDNWKTGYGIHGFWPSGWN >OIW07079 pep chromosome:LupAngTanjil_v1.0:LG08:5712882:5714629:-1 gene:TanjilG_02713 transcript:OIW07079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKSKAAKTSAASSPATAPFKAGFAVEISSDDHGFRGSWFTGKIIRRVANNRFLIEYDNLMEDDSGSKRLREVLNLHQLRPILPTETGREFKFGDEVDAYHNDGWWEGHITEECGDGRFAVYFRVSREQIVFQKEELRLHREWFHEVWVPPFEQQQQQQQEPEEVLTPALKSAETVTPAVKSAETVTPAVKSAETVTRAVKSAQTVTPNVKSVKIVTEERFSVGTPVEVSSDEEGFQGAWFSATVVQVIGKGKFLVEYQSLLADDGSQLLREEVDTHHIRPHPPQTVDGHFSLLEEVDAFHNDGWWVGMVSKFHDNSRYVVYFRNSSEELEFQHSQLRKHQDWIDGKWTVASKV >OIW06139 pep chromosome:LupAngTanjil_v1.0:LG08:20109836:20113597:1 gene:TanjilG_22361 transcript:OIW06139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKELTSLVKAWEAAVIKSAGPKKRVNSIFTPMHVAHVDDENDVSQIVEKVLSNGDIYKGQWHENFPHGHGKYLWIDGCMYVGEWKNGDIIGKGIFSWASGATYEGDFKSGGYIDGKGTFIGPIGDTYKGCWVMDLRNGQGTQSYPNGDFYDGDWKKGLQSGEGRYQWKNGNHYIGQWRHGVFYGNGTMMWNNGNRYDGCWEQGLPKGNGTFRWGNGSFYVGVWSKDPKEQNGTYYPSSGSFGGHLEWDPQELFSVDLSDCKVCPCEKVSNFPSQRILNLPGLDNDDGNTMRPRKTSVDGRLSNCSSEDGSYSYDGLESPQVGNFIPRVPHLTLKAPKKQGETISKGHKNYELMLNLQLGIRHAVGRPAPSSSLDLKSSAFDAKEKVWTKFPPEGSKHTPPHPSCEFRWKDYCPVVFRALRKLFKVDPADYMISLCGDDALRELSSPGKSGSFFYLTNDDRYMIKTMKKAEVKVFLRMLPGYYKHVRAFENTLVTKFFGLHCVRLTGASQKKVRFVIMGNLFCSQYSVHRRFDLKGSTFGRSTDKPEAEIEPTTTLKDLDLNFIFRLRKSWFHEFCRQVDRDCDFLEQERIMDYSMLVGLHFRGISCSDNSTPSGAVDHLVVDPSRWTQLGINMPAQAELTRRISVCDTPQLVGERTGELYEIIIFFGIIDILQNYDISKKLEHAYKAFQYDPTSISAVDPRLYSKRFRDFIFSVFVEDT >OIW06001 pep chromosome:LupAngTanjil_v1.0:LG08:21829383:21839507:1 gene:TanjilG_11688 transcript:OIW06001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPDPNRAAGAGASSSGTTKDDAVTKKKVESEDLSDEDLALKQQLELYVERVQDSDPGLQKVALESMRQEIRTSTSSMTSVPKPLKFLRPHYGTLKAYYETMTESDLKKYLADILSVLALTMSAEGERESLKYRLLGSTGDIGSWGHEYVRNLAGEIAQEYAKRQSDESPIDELMELVQQIVAFHMKHNAEPEAVDLLMEVEDLDMLVEHVDKTNFKRTCIYLTSSARYLPGPDDMLVLDIAYLIYLKFEEYPNALQIALFLDNMQYVRQVFTSCDDVLRKKQFCYMLGRHGITFELDEEIAADDEEREVLQEIINNTKLSEGYLTLARDIEVMEPKSPEDVYKAHLLDGRASAGASVDSARQNLAATFVNAFVNAGFGQDKLMTVPSDSSSSGSSGNWLFKNKEHGKTSAAASLGMILLWDVDSGLAQIDKYFHSNDNHVIAGALLGVGIVNCGIKNDCDPAMALLGDYTEKEDSSIRIGAIMGLGMAYAGSQNEQLRDKLAPILSDAKASLDVIAFTAISLGLIYVGSCNEEVAQAIIFALMDRSEPELGEPLTRLLPLGLGLLYLGKQESVEATAEVSKTFNEKIRKYCDMTLLSCAYAGTGNVLKVQNLLGHCSQHLDKGETHQGPAVLGIAMVAMAEELGVEMAIRSLEHLLQYGEQNIRRAVPLALGLLCISNPKVNVMDTLSRLSHDTDSEVAMAAVISLGLIGAGTNNARIATMLRNLSSYYYKDTSLLFCVRIAQGLVHMGKGLLTLNPYHSDRLLLSPTALAGLVTMLHACLDMKAIVLGKYHYVLYFLVLAMQPRMLLTVDENLKPLSVPVRVGQAIDVVGQAGRPKTITGFQTHSTPVLLAAGDRAELATEKYIPISPILEGFVILKENPDYREE >OIW07401 pep chromosome:LupAngTanjil_v1.0:LG08:53855:59133:1 gene:TanjilG_10236 transcript:OIW07401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMQGILGPKPHFLTGNILDMSSLVSKTTSKDMKTITHDIVGRLLPHFLQWSTQYGKRFVYWNGNEPRLCLTETKLIKEFLSKYSTVSGKSWQQRQGSKNFIGGGVLMANGQDWYHQRHIVAPAFMGDRLKGYAENMVECTKEMLQSIEKALECGQIEVEIGEYMTKVTADIISRTVFGKSYEKGKKIFNLLTLLQSHCAQASRHLSIPGSRFFPSKYNKEIKSLKLEVDTLLMEIIQSRKDCVEIGRSISYGNDLLGHETSALLLTWTIMLLASNPIWQQKVRAEVNSVCNGGIPSVDQLSKLTILHMVINESLRLYPPASVLPRMAFEDIMVGDIYIPKGLSIWIPVLAIHHSEKLWGKDANEFNPERFSSKSFIPGRFLPFASGPRNCVGQTFALMEAKIILAMFISRFSFIISENYRHAPVVVLTIKPKYGVQVCLKPLEP >OIW05950 pep chromosome:LupAngTanjil_v1.0:LG08:22521736:22528064:-1 gene:TanjilG_07226 transcript:OIW05950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNAHKLRVAFVIATTIAAISIFFTVRRRISRRKQKSSSSSCYLHSEPKPQRVFKRVLADNSYAQFKHLKLNDSTSDGFGDQAKFSGFLAEFASHSHPFEAEIRALLKNHLPDIELRTEITDLEMNDSYVWVDTEMQLKELVSILSKEKLFAVDTEQHGLRSFLGFTALVQISTQEKDYLVDTIALHDSMGILRPVFANSSICKACEVLSKPQKSLAYLLETYCSVTTNKLLQREDWRQRPLSEEMVEYARMDAHYLLYIANCLITELKELDSEDSCSNDKYHFVLEATRRSNMICLQLFTKESEASPGESAASSLLSRHVSSEGFPSISNETQFQNIVRQLCTWRDLMARIHDENLKYVLSDQAIVVLASHPSASHSEIYSIIAQADVNMETGLNGFNPYPSPVVCSHVGDIYHILADELVSHGDIYSVILQECLGKYGSCPLSIFNYALLVNSSPRPTLAYKHSALKNPKRISRKASRDLFVQKFSCKSPVYHNCRIFANDGRLLCYCDRKKLEWYVSRDLAKVVEEDPPAITLLFEPKGRPEDEDNDFYIQSKKNMCVGCGEGNHYLRYRIIPSCYRVHFPEHLKSHRSHDIVLLCVDCHEVAHASAEKYKRKIASEFGIPLYVRRVIHPGQENEEQTEEGGVSPLQLRTAAMALLRHGPRMPPNRREELTEIVKRYYGGKEISEEDLERALLVGMSPHGKRKFEKKRGKTSRNSMGSTATEPGQENHACCTTTMGNMNISKVDAPNGTYANEETRKEGDRENFMAKDDFGNPSLASDLVVDGAVSAAFNGNTNTVKTTDYNESSDFAVNVDDICQSRRQPDEISDLTCPPRDEKSTQTKLNSKLSLLGHGPHGRQVVEHLLKEYGEDGIRQFCQRWRQVFVESLKPHFLPAGWDVKHRYAIIINL >OIW05915 pep chromosome:LupAngTanjil_v1.0:LG08:22197165:22200103:-1 gene:TanjilG_07191 transcript:OIW05915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDNEPTSRIGGKYAAIMVCWLLGNGCLFSWNSMLTIQDYYVELFPKYHPSRVLTLVYQPFAVGTLAILAYKEAKINTRLRNLFGYTLFFIATLLVLVLDLATSGRGGIGSFIGVCAISGAFGIADAHVQGGMVGDLSYMLPEFIQSFLAGAAASGALTSALRLITKAAFENSKDGLRKGAILFFTISTFFELLCVLLYAFVFPKLPIVKYYRSKAASEGSKTVTGDLAAGGIQTSLTGDGEDAQQERKGSKQLLLENIDYAVDLFLIYTLTLSIFPGFLSEDTGSHSLGTWYALVLIAMYNVFDLIGRYVPLVKLLNLESRKLITVAILCRFLLIPAFYFTAKYGSQGWMIFLTSFLGLSNGYLTVCVLTSAPKGYKGPEQNALGNMLVLFLLGGIFAGVTLDWLWLIGKGW >OIW06899 pep chromosome:LupAngTanjil_v1.0:LG08:7667248:7670432:-1 gene:TanjilG_19548 transcript:OIW06899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLFLSILIILISQINAQPEFLYNFCLNDNGNYTANSSYHNNLNTLLSNLSTNTQIDYGFYNFSHGLGNDKVNAIGLCRGDVKPDNCRSCLNDSRVLLTELCPNQKEAIGWYDNCMLRYSNRSIFSTVEILPSFFKWNNGNATDADQFNTVLTNLLNGLISQASSGDSRRKFAVGNATGPAFQTLFGLVQCTPDLSEQDCSDCLLGAITEIPRCCDDKKGGRVIRPSCNIRYEIYRFYELANVATSSPPPTMLPLSPPPTDSLSTEGNSSNTRTVIAIVVPIVAFVVLVIIIFICLRMRKSWKHIEDEAESGDEIRSPETLQLDFATIMVATNNFSDTNKLGQGGFGPVYKGILSNGQEVAVKRLSGNSFQGDIEFKNEVLLVAKLQHRNLVTLQGFCLERKERLLVYEFVPNKSLDFFIFDQVKRAQLDWERRYKIIGGIARGLVYLHEDSRLRIIHRDLKASNILLDEMMNPKISDFGMARLFATDQTQGNTSRVVGTYGYMAPEYMLYGQFSVKSDVFSFGVLVLEIVSGTKNSGVRRGENVEDLVTYAWKNWREGTGSNIVDPTLTDGSRNEIMRCIHIGLLCVQENVAERPSMASVLLMLNSYSVTLPLPSQPASFNSRRSLSANAIHSEEYNSGATKSSSESQIQSVQASVNDASITEPFPR >OIW06769 pep chromosome:LupAngTanjil_v1.0:LG08:8817233:8818393:1 gene:TanjilG_11494 transcript:OIW06769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYDGSDICNVAELNMNDEPCDDKEMKEVEKMDGTYGASYCEDDEIHKSYVSSDSSQDFMDEMEKNRLFWEACLAS >OIW06266 pep chromosome:LupAngTanjil_v1.0:LG08:15854503:15854873:1 gene:TanjilG_19704 transcript:OIW06266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESDKGARALRVEESDERTHALESDEGPRALLEEESDESPRDLVVQESDECARALVVDESDEGE >OIW07001 pep chromosome:LupAngTanjil_v1.0:LG08:6514119:6515248:-1 gene:TanjilG_14339 transcript:OIW07001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRVAVKGKKKGATFVIECAKPVEDKIMDIASLEKFLQERIKVGGKAGALGDSVTVTRDKTKITVTSDSNFSKRYLKYLTKKYLKKHNVRDWLRVIASNKDRNVYELRYFNIAENEAEEED >OIW06407 pep chromosome:LupAngTanjil_v1.0:LG08:13252643:13254042:1 gene:TanjilG_16819 transcript:OIW06407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTNQSSSMASNDQSNFHQTLVVLIPFLAQGHLNQLMHLSHLILSHNIPVHYVSTPTHNLQAQIRVHGWDPNSISNIHFHSFKVPHFASPPPNPNDETKFPSHLTPSFDASSHLREPVATLVQSLSCVARKVVVIYDSLMGYVVQDVQNITNVKSYVFESTCAFTTSMFHYYKMGKPALEKSVLIPELPSLEGCFTTQFMDFINAQNEFHRFNDGNIFNTTRIIEGPYMELMEDISVGKKNWALGPFNPLTIEEERNRKQSKQKFIWVIRDADKGDIFNEDNVIRHELPKGFEERVEGMGLVIRDWAPQLEILSHPSTGGFMSHCGWNSCIESITMGVPIAAWPIHSDQPWNSVFITQVLKIGFGVKDWAQRNELVTASDIEKVVRKLMETKEGEEMRQRVTNLKDAVHKSMNGGGVSSVEIDSFIAHITR >OIW05549 pep chromosome:LupAngTanjil_v1.0:LG08:25390649:25393330:1 gene:TanjilG_23335 transcript:OIW05549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMVMGDWISETNWTVASGSLFNSVSFQSSLSSSHDSDSNSDSNSNLNSPLILHPPSPDSPPCQITIKFKEKHEVRQVYVRSTARLYEIYFATNLHSDNDYLCTVRCGVATRDHQLLLIHSPTTTQDPFEATAEIDDANPCVSVTIRLLSLQTKDCVYVDEIYVFGDPVDSESLETHNNENSSASTLMAMFLPTIMQLSKSSGLSCLNAVSKDGLGETHPSDSIIKTQLKGKLTEVNGCLVGPSHPDSPSQFAKIESNHTAVLSQAAKIDSNYNAVPSQTAETENNHTGVHIQVSETECNHSANTSQVATTGSNHGNSSGVSVESALERLITRMDRIEEICLGFQEKMVMPMSNIEARLQRVEQQLDTLSKKLQNSGSHSCCTISAPDASCLESDANSPDNCFGYTATTIIESDVKDLHIHVLDASPDDTSYSANATQLLPGLVVKAPEFPDGDDEEDDASGQEMNSSNDNGKLSIDDALSSALANLLSSTSPRYNTKRLTVKAPEFSNEDDDDDHEIERSDEIEKNDLVHVAISEKIDHIQLLTPSDISLQSSEMVDRDSNCKHSEEIAQEAEEYAELYSGEADQADESVNASIVAEHNPRTVFNNLEDENGKINGRRSDGLSFNGSNISNELLDNQTTNDSSITQERLFARTDLTIATEVPKKASNEDIIENLLGFSLASSVVNFETPLLDVKFISQTSPVTNKLSLEALLIDTPEGSDVLPNKEQFKSNGDVSDEGQSNLVSIDDGEHVNQVSDSHFAVDANYCTSESAPVNNRDDSSPEDHKRKRDHIYRDFSSSLI >OIW06639 pep chromosome:LupAngTanjil_v1.0:LG08:10103281:10105467:-1 gene:TanjilG_04033 transcript:OIW06639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSHSKQILLNFLKSCSTIPSLHETKCLHALSITMGPTPIPNQSIFLHNNIISFYLSLGQLLHARNVFAMLPQKTVVSYNTIIAAYSRCGNVDDAWSLLRDMRGSGFVPTQHTFSTLLSCESLNLSQGLQLLALSIKSGDFDANAFVGTALLGLFGRHGCLVEAFFTFEDMPYKSLVTWNSMLSLLARNGAVEDCKVLFRDLVRLGIALSEGSFVAVLTGLIGSENDLKYGQQIHGLMTKCGFDCEIIAVNSLISVYVKCKAMFMAKRLFEQAPVQNVVSWNMIIDALAKNERPQMAFELFLNMLSRGLKPSQATYVAVIDSCTRLENSVHGESVHAKVIRSGVESDVVVGTALVDFYAKCDKLLFAHNCFNQIEEKNVVSWNALMLGYSNICSPTSIMLLREMLQLGYFPNEFSFSAVLKSSSLSHLHQLHGLVIRMGYENHEYVLSSLITAYTRNGLINEALSFVKEFTDPLLVMPSNIIAGIYNRTGQYHETVKFLSLLENPDVASWNIVILACARSNNYNEVFELFKHMHTTGIHPDKYTFMSVLSVCTKLCSLPSGRSLHGLIIKTNLTCDTFLCNVLIDMYGKCGSIDSSVKVFKEMTYRNVITWTALITALGLNGYAREALKRFQDMELMGLKPDALALRAVLSACRYGGLVSEGMEIFKHMGTIYGIQPEVDHYHCMVDLLTKNGQINEAEKIIARMPFPPTANIWRSFLEGYKRQEIVN >OIW06545 pep chromosome:LupAngTanjil_v1.0:LG08:11097248:11100718:-1 gene:TanjilG_29966 transcript:OIW06545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFFFFILSSTCFTSTEAYDPLDPNGNITIKWDIKSWDPDGYLAVVTINNFQQYRHISSPGWSLGWTWAKKEVIWNMVGGQATEQGDCSKFKGNTPHCCKKDPTIVDLLPGTPYNQQISNCCKGGVLSSWAQDPINAVAAFQLSVGRAGTTNKTVKLPKNFTLKAPGPGYTCGPAKIVKPTQFMTGDKRRVTQALMTWNVTCTYSQFLAQKTPTCCVSLSSFYNDTIVPCPTCSCGCQGTKSQSDNCVEPDSPHLASVVSNLGKNSLTSLVQCTRHMCPIKVHWHIKLNYKEYWRVKVTVTNFNYRMNYSDWNLVIQHPNFENLTELFSFNYKSITPYGTINDTAMLWGIKFYNDFLMQAGPIGNVQSELLFRKDKSTFTFEKGWAFPTRIYFNGDNCVMPPPDAYPWLPNTGSRREVSLLAMMMVSLVALVFYAFA >OIW06144 pep chromosome:LupAngTanjil_v1.0:LG08:19306416:19307087:-1 gene:TanjilG_01771 transcript:OIW06144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLFANIFFMFFVAFTSIQHCYADCENLQDTCPTVSPKKQTIFINGLPCKNPANITAQDFKTSELSNPGSKDIFGASLKFVTASEFPGLNTLGLSIGRTDMDGDGLVNFHYHPRATEIIFVTKGVLLAGFVDTKNQVFQEFLNVGDVFVFPKGLFHFILNNGFQDATAISVFNSQNPGLVSITATTFDNTVESLENLKKRLASLSEGHDVANLTMPGLESIFS >OIW06864 pep chromosome:LupAngTanjil_v1.0:LG08:7822577:7823768:1 gene:TanjilG_18246 transcript:OIW06864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVVGDNLDGLLVDPQNGKTVATLVGHRDYSFASAWHPDGHTFATGNQDKTCRVWDARHLSSPIAILKGNLGAARSIRFSSDGQYIVVAEPADFVHVYSIKEDYKKRQEIDFFGEISGVSLSPDDECMYIGIWDRTYASLLQYNRRHAYGYLDSYF >OIW06976 pep chromosome:LupAngTanjil_v1.0:LG08:7247923:7253751:-1 gene:TanjilG_18364 transcript:OIW06976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHMSPVPFLCAISLLLSVAAASITDLPPPPRREVFDNGRIIDISHRYHPELPEWESNDGIGHHFLRLPHSMKNGSFANMSEMKLPTHTGTHVDAPGHVFDHYFDAGFDVDSLDLHILNGPALLVDVPRDKNITAEVMKSLNIPRGVNRVLFRTLNTDRRLMFKKEWDTSYVAFMEDGAKWLVENTDIKLVGIDYLSAAAYDDLIPSHLVFLKDREIILVEALKLDDVPAGTYSVHCLPLRHVLKLCPDQCMDCAPYRDPIALLYSKFTIDALCLKPCCKIPLPHPPI >OIW05631 pep chromosome:LupAngTanjil_v1.0:LG08:24840447:24850490:-1 gene:TanjilG_23417 transcript:OIW05631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEYNNCSTKKARLHSVLTTLLDDPVLADVPKNPTLADVDTLISLELGSAMRISILKLDGTSFDVTMMNSATVKDLKLAIKKKVNDMEQSSMGHRHISWRHVWANYCLSHHNSKLLDDDDRLQNFGIRNSSQDASKTLKGSVLACTDEAVLSLSQVFDAVKQLGYDGLHQSQPLLLTSALTLSKLPVDSSSVDTVILIWHSVDCPTNQLIHEFLRVLKAGGTTFIRKSSQSAEGLVDKVVSDLQNKLLLAGFSETQVLQSNAIKAKKPSWKVGSSFALKKVVKSSPKVQIDVDSDLIDEDSLLTEEDLKKPQLPDDCEIGSTRKACKNCTCGRAEEEEKALKLGLTAEQISNPQSACGSIKAKKPSWKVGSAFALKKVVKSSPKVQIDIDSDLIDEDSLLTEEDLKKPQLPDDCEIGSTRKACKNCTCGRAEEEEKALKLGLTAEQISNPQSACGSNDAVVATKLTEADVNTHSVVGTPYWVAPEVIEMYGVCAASDIWSLDCTVIELLTCVPLYYDLQPMSALLRIVKDEHHPIPDSLSPDITDFLHHCFQKDVRQRPDAKTLLSHPGSKTVDVFCSLLFVTVEH >OIW06180 pep chromosome:LupAngTanjil_v1.0:LG08:19165695:19171571:1 gene:TanjilG_15064 transcript:OIW06180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSHSHSIADIKGAKVLMVGAGGIGCELLKTLALSGFRDIHIIDMDTIEVSNLNRQFLFRQSHVGQSKAKVARDAVLKFRPDISITSYHANVKDPDFNVDFFKQFSVVLNGLDNLDARRHVNRLCLAADVPLVESGTTGFLGQVTVHVKGKTECYECQPKPAPKTYPVCTITSTPSKFVHCIVWAKDLLFAKLLGDKNQENDLNVRSSDAASSSENVDDVFERRKDEDIEQYGRKIFDHVFGYNIELALSNEETWKNRYRPKPIYSKDVLSEELAPQNGNVDENHASDGGLSVSAMASLGMKNPQDIWSVNESSRIFLEALRLFFTKREKEVGNLCFDKDDQLAVEFVTAAANIRAASFGIPLHNLFEAKGIAGNIVHAVATTNAVIAGLIVIEAIKVLRNDIKSYRMTYCLEHPSRNMLLMPVEPFEPNKSCYTPLSLEINTNRSKLKDLVEKIVKAKLGMSLPLIMNASSLLYEAGDVEDDMVAIYEANLEKVLAELPSPVTGGTMLTIEDYQQELKCNININHREEFDEEKEPDGMVLSGWTQPVSAPENKDKSIGNGASTSDATIAAAESEKDDDIGIVSPLKKRKLPDDSDISKAAAETKNHKQLQVIDDEDDLVVLEGNLDGFKKRRL >OIW05989 pep chromosome:LupAngTanjil_v1.0:LG08:21704321:21705091:-1 gene:TanjilG_11676 transcript:OIW05989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSTFLISSVHSRRLEGHAYRQHLLQDFILGDRASPIGPNPKHNDSPPIARDRTAPSGSNLKYNDALPIRGDITTPTGPNPRHNDAPPIARDRTAPSGSNLKHNAALPITGDITTPTGPNPTHNGSPPIARDRTAPSGSNPKHNVALPITGDITTPTGPNPKHNTSPPIPGVPHIPGDSRTISLRPNPKHNVALLITVDRSAPTGSNPKHNDAPPILGDRTAPSGSNPKHNAALPITGDITTPTGPNPKHNDAPPS >OIW06022 pep chromosome:LupAngTanjil_v1.0:LG08:21982994:21984336:1 gene:TanjilG_11709 transcript:OIW06022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEAAITVDVFLNRDWEKDFPKDPSGSFEQFKNFIRSNFEMCKWIGLSLVSVQGLSLLLAMILKALGPHQYYDSDDEYTSDRVPLLKNAPPPYIDHGYGHGPNNDAWIRVSDKAIITHQSHPYVQEASRPYFDLCYNP >OIW07196 pep chromosome:LupAngTanjil_v1.0:LG08:4285322:4287890:-1 gene:TanjilG_10169 transcript:OIW07196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNASSIGINYGQIANNLPTPDDVVPLVRSIGATKVKLYDADPRVLKAFANTGVEIMVGLGNEFMSKMRDTKQAQIWISSNLQPYLPHTNITCIFVGNEVLTFNDTDLTSSLLPAMQSVHSALQNLGLDKQVTVTTTHSLAVLQTSYPPSAGAFRPDLTPCLTPILSFQAKTGSPFLINAYPYFAYKANPKQVSLDYVLFQPNQGIVDSSTNLHYDNMLFAQIDAVYSALGSLGYGKLPVHISETGWPSKGDNDEAGATIDNAKRYNGNLIKLVAQGNRKGTPMRPNSDLNIYVFALFNENMKPGPTSERNYGLFKPDGSPAYALGLPSSTSGAIGNSSGGGATINTGTPPLPTSSSSGYLNISSATSPVSNTNTAVFYFSREMPFLWSLFIPFGAIADGAGNVEVLKIFSVRIQRHHNSGEKKE >OIW06367 pep chromosome:LupAngTanjil_v1.0:LG08:14754249:14755355:1 gene:TanjilG_15012 transcript:OIW06367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKVVLKVELYDDRIQTKAMKAVSGISGVESLSVDKKDQKFTLIGDIDPVKVVRKLKKLCHVEIVFVGPAKEEKKEEPKKEEKKPEPKPKDEKEQLIELVKAHEAYYNQMRMTQSYPYHYYRTVEEDPNGCVIC >OIW06498 pep chromosome:LupAngTanjil_v1.0:LG08:12683388:12692696:-1 gene:TanjilG_05269 transcript:OIW06498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAKLNLPDSHSSLLKDEPSGGHGGGKGMSGLLDDSKDHMPSDSSMPLSPQWLYSKPVEGKANTNPAGVNATDPILKDSWRLDGSQDKKDWRRTAPDIDISGRWREEERETSLLGRRDRRKEDRRSDNTATSDSRALSSDRWQDSRGSGHDSRRENKWSLRWGPEDKEKDSRSDKKNDVEKEDGYVEKQSSSVSNRAGSDRDTDARDKWRPRHRMEAQSGGVATYRAAPGFGLEKGRTEGSNVRFSSGRGRANINGNLQPFALLDKDKAMLGRSSGVNSYCYPRGKLLDIYRKQKVDLSFESMSAGMEHVSPITQMGSVEPLAFVAPAAEEEAVLGDIWKGQITSSEVSGYSLRGKDGGLNDDISGPDVTLSEGKEPLVGMGGKIISGNDILNDNDQFFSLTSSNAGSLLGNVVQEIATFQEDKLTHTIKAGIYGRDESSGTNIREGSSHGNKVSELGCSVQKHANWDGVESTAISEISSNPPDDPHSPYEFPSLQQTPIINHRELKTNEDTHPYEIANPLEELSLCYLDPQGVIQGPFLGIDIILWFEQGFFGLDLPVRLYDAPEGSPFHELGDVMAHMKVKSVSAYSSNQITQTEPSVATGKNLKVNVQCFDYDESAVIDSQPQSQVPTQSYNSEMKFSNDQRYNNIVARDEDTALSKLVVSSNDNPLMSQADVSALHSHPTGKPVTNDASGTGTRDSEADKLHPFGLLMSELSDSSHLRRAQSSNISSRLDDHGHFLDPLIGRDAPFADESTSSGVVNQPSYGETWPGEYGINRHFSRHARVDSLDDQFLSHMGQNSNNFDMVEHLMLQKERLQQQGNLSNSFPAHRTMSDLESFPDFSPNNHNVQQMIQNSGLDKERLLEFQIQLQHQLEQQHQLEQQHQLEQQRQIEHQRQIEHQRQLEHQRQLEQQRQLEQQRQLELQRQLELQRQQDMHHQQLLHQQMKVQQQQQSQVQKFILEQYMHQQVSDPSFGTSKLNRNRDNLFDQVQLRRYLHELQQNPHSLRYLDPSMEQIIEANIGLNAVQGRQADLSDLLLQARHRNILLSEQERHFQQDPLPAQHISLALRQQLGLDGERHFSRSLSINETGQLSRNPATPELANSAGFNVMDIQKQQQRLFPQEEQINYLGRSFVEPNSMMFERSAPVSDGSTSAMNFDSVNTSVQEKELQDRLRYLHSTDQLSSISSHHPQVSDELLIHHPDAFKSSLSGNNDHFENRWTDPRAQLRLEAESQRREFAGLNMSVSAGVHGEGSTQGFVDRLHQNLGIQSTQTSNVDKWHLSSRRQDMPWQVSEAGSSIHPFELPSGQQVDLNNNQFVERARSTNSNDLMQDHFFSMHATEQFNNLRNNERMPLRSRSGSLMKEQSLLSASEDTVHPSYRNPLLIGKSTMEKDLLELETNNGQKHEFTGMLNKSFPGISDMSEQLDITMNPMELPATAHSRHSSLSSIGDAGSHGRDMVLNNSRVDEVSSDRLPPSTKGSSNALLQRPPVSRVLSSPDVLPDQLSVPNASQNNLNNLASGEGRREAARNPSISTMTDAQGSRKKEAQFRRTSSCSEGSVSERSFIDMLKKPVHPEVDAGTVMESSDGVAQAGRSGKKKGKKGKQIDPSLLGFKVSSNRIMMGEIQRPED >OIW06713 pep chromosome:LupAngTanjil_v1.0:LG08:9324479:9328184:1 gene:TanjilG_11438 transcript:OIW06713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKWKKAKVALGMNLCMLVPSTLDDDSPLPTVVSDRFSDAALLSPAANWPIDSSRPTTPVPSFYGLKLSKSSSKSSKQICSICLTRMKEGSGRAIFTAECTHSFHFHCIASNVKHGNQICPVCRAKWKDIPFSDPSLDPIAYKVSSSPTNWSQNDVLMAVVHRLPLPHPQRDLNRRNIVPLYQAPEPVIYDDDELLNYQLAFSDRSPCSKNNEDIVAVKAMEIKMYPEVLAAPRFNTYSNFTVLVHLKANATAAASAAKRETFSRNPSSFTQISQTPRAPVDLVTVLDISGSMAGTKLALLKRAMGFVIQNLGSNDRLSVIAFSSTARRLFPLCRMTDSGRQQALQAVNSLVASGGTNIAEGLRKGAKIVEDRKEKNPVASIILLSDGQDNYTVNGSGSDQPQPNYELLLPTSISGRDKSGFQVPVHAFGFGTDHDALSMHSISETSGGTFSFIETESVLQDAFAQCIGGLLSVTVQELQVGIECIHQDLCLVSIKAGSYRSHMMATGREGFIDVGDLYADEERDFLVSVNVAATSANETSLIKVKCFYKDPFTQETMTLESEEVKIERPETDVGVEMSLEVDRQRNRLQAAEAMAEARIAAEQGNLTDAVSVLENCRKMLSGTVSAKSHDRLCVALDAELKEMQERMVSRHVYEASGRAYILSGLSSHSWQRATARGDSTNSSSLVQAYQTPSMAEMLTRSQAMSLGSSSGQKLLQPLLSYRSQPSPR >OIW05796 pep chromosome:LupAngTanjil_v1.0:LG08:23711163:23713796:1 gene:TanjilG_23582 transcript:OIW05796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVACIAPAVDTKAVLENLQSYTRCDFSSMDPLPTPLPVKGNNSKPKINSRVNIAVTGEPQRQNRVFGTTRNTNIPAKYMSDMTLTKTKVIVPHRKPKSITSTKPPFDTSTKKSPENVNHRINKKSDTFPQGVVEKTVSKNFKLQKESCSGEPKTPVIRAMKSEVVVTPFYSAAHCSKCRFDKFETSYYWVGQIKMAESVGKHRVACAFFKLAFESQAEPIRELRMELKRYLLRHEYLSELQEWREVGAKYGLLNVESVL >OIW07306 pep chromosome:LupAngTanjil_v1.0:LG08:1808353:1810106:-1 gene:TanjilG_11940 transcript:OIW07306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLFKQNQKSQNLRNSFGGLEASKVDEDYYEGESEAGSMCDIFPGFLAIGTLGSDQVISDPSATPTFALSFGNITEKEDEVTQNDLKLINDELEKVLVAETNNDDVSIDSSGRNSIVSNGRSSHVSIITLSGKPLMEARVSNMNETAICPLQEYLFGTVVEHSETTTTTKKEHRTSLGELFQKSKMVEENNFGAKVEKEEKRNEREAEKSAINFMKEKLKKRMLHGSSRNSTSGNGGHADFASAETKLNKILHMFRKKVHPQSSTATQKSSKQRKNEYKKRIMNDGIYNRGDLVHPEEYSFGNREHWIKTDADCKYIIHINK >OIW06063 pep chromosome:LupAngTanjil_v1.0:LG08:20273434:20278331:-1 gene:TanjilG_29819 transcript:OIW06063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSSSSSSSSSVKVEYAKSNRSSCKVCSKTIESKTLRLGLVTKDPRGYEAVKWHHPSCFPVSSHHSSSPQRAIQGFSSLQVSDQEAVKKLLARHDKFLEKVDKETRGIENELQQIEASDSKKIKLCTSEAAVDINFSVSDAMSKYKDATLLPKWKAFQTVIFLELDDGLHDSSKIAAFDFDGCLAKTDVKIVGADAWSLMYPSIPDKLQSLYNDGFKLVIFTNESNIERWKNKRQVAVDSKIGRLNKFIENVKVPIQVFIACGVSKSSRGQAAVKEDDPFRKPKPGMWHFMKQHFNSGISIDMDQLFFPSILCSNDAISFYVGDAAGRGSDHSDADIKFAEAIGLKFYVPEDYF >OIW06084 pep chromosome:LupAngTanjil_v1.0:LG08:20515507:20517938:-1 gene:TanjilG_29840 transcript:OIW06084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQQRRGYFHSDPGIPSSGTNISTPNIHTVATSSGNTTNLDSHFLPDTYNNVMMNGVTQYTGIQNQHNLDMDIPAAANLYYSRMNPSSSTGVLHLPVNHTASSQLYGSSTSAVSGAYKRKTAGRIRGNYQHFNASASSSVASPNARYSDGDGMMDIASFPMPQYRGNSIPPLVDVGADGSLWSGSGESNMGHDNNHLIRGNYLGQHFQPAPPPWLDQRLNCNINDGHAAPWNLSLPMPYIQAVDVNGSPLEDASMGLQMRPDTARNRNGFRFPHPPPVIPQHHNFHHPTPPMQGMRGHSFRFHPTAVSYRVPSNPSHSATIPRNDFEIGARHVETAPSAGLHRYQPHREAVREATLGHQNLSPMRFFQVDDVALLVDHHRDMRLDIDEMSYEELLALGERIGNVSTGLSEEMITTQMKIKTYLVPTTAINLEVAYEEQKTDSCTICLDEYQNREKIGILKCGHEYHADCLRKWLLVKNVCPICKSEGLTPGGKSV >OIW05590 pep chromosome:LupAngTanjil_v1.0:LG08:25144224:25146893:1 gene:TanjilG_23376 transcript:OIW05590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSGKVVCVTGGSGFIASWIIKFLLQRGYTVRATLRNPSDAKKVHHLLQLDGAKERLHLYKADLLEQGSFDSVVQGCHAVFHTASPVHFVVKDPQAELIDPALKGTLNVLKSCAKSPSVERVVLTSSISAVIFSERPKNPNVIVDETWFSDEHFCRRSELWYTLSKTLAEAAAWKFAKENNIDMVAINPSMVAGPLLQQEVNESVEPILNLLNGVPFPNRCFGWVNVKDVANAHIQAYEIASASGRYLMVERVVHFSELARTLSDLYPTLQIPDKCENEEPYMATYQVSKERAKSLGIEFTPLEVTVMETVESFREKKIVKF >OIW06531 pep chromosome:LupAngTanjil_v1.0:LG08:10930420:10931862:-1 gene:TanjilG_29952 transcript:OIW06531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKICCIGAGYVGGPTMAVIALKCPSIEVAVVDISKSRIAAWNSDQLPIYEPGLDDVVKQCRGKNLFFSSDVEKHVYEADIVFVSVNTPTKTQGLGAGKAADLTYWESAARMIADVSKSDKIVVEKSTVPVKTAEAIEKILTHNSNGIKFQILSNPEFLAEGTAIKDLFNPDRVLIGGRETPEGEKAIQALKGVYAQWVPEERILTTNLWSAELSKLAANAFLAQRISSVNAMSALCEATGADVTQVSFAVGTDTRIGPKFLNASVGFGGSCFQKDILNLVYICECNGLPEVAEYWKQVIKINDYQKSRFVNRVVSSMFNTVATKKIAILGFAFKKDTGDTRETPAIDVCKGLLGDKAFLSIYDPQVTEDQIQRDLWMNKFDWDHPIHLQPTSPTTEKKVSVVWDAYEAAKDADGVCILTEWDEFKNLDYQRIFDNMRKPAFVFDGRNVVNADKLREIGFIVYSIGKPLDAWLKDMPAIA >OIW05669 pep chromosome:LupAngTanjil_v1.0:LG08:24603144:24603803:1 gene:TanjilG_23455 transcript:OIW05669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIQCDVCNKEEASVFCSADKAALCYACDITIHHANKLASKHSRFSLHYPNSKYSPLCDICQERHAYVFCREDRAIFCIECDLSIHGANENTQKHNRFLLTGVKVGFSSPEATPLRSKSTSTGSEGRSSRSNTNMVSDKGSVSTSNISEYLVDTISDYCMEDILDVSFAPNGFHNV >OIW06885 pep chromosome:LupAngTanjil_v1.0:LG08:7526175:7533186:1 gene:TanjilG_19534 transcript:OIW06885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ATKLYCFVHKVPVCGECICFPEHQICVIRTYSEWVIDGEYDWPPKCCKCQVDLEEGTGSQTTRLGCLHVIHTNCLVSHIKSFPPHTAPAGYVCPSCSTPTGMEKNIFGNHPVSLSVTESRGPPPAFASDPLIGRENHGNSDSVDGYSPATGSEPSKLSVTDIMEPGATTRKGPVYVERQNSEISYYADDEDTNRKKYAKRGPFRHKFLRALLPFWSSALPTLPVTAPPRKDATNAAEASEGRARHQRSSRMDPRKILLLIAIMACMATMGILYYRLVQRGPGEELSNDEQI >OIW07263 pep chromosome:LupAngTanjil_v1.0:LG08:2645795:2647168:-1 gene:TanjilG_08378 transcript:OIW07263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRIADASFDVDEYWRVVDVLHRRLYTIDWVQWRQSYKALILLEFLLTHGPEEFALEFQCDVEIIEELGRFTHIDGRGFDWGARVQKLSDQVLKLLEGGENLRQARLKALRLTTEIQTGFGSSVNSPSSSLSSPSFEASPGSSSFCSFSTNSSTPPTPTFMDSNEHLILNKHDLNKDSNIVFRSKNVDKNHIWNGRVGDQEKDVLVDSDDEKVDKPKGFVSGIYSKIVGNADIGGSCEKIGYFRCVSDVGNGTRGTDKKKFDRQNSLWF >OIW05896 pep chromosome:LupAngTanjil_v1.0:LG08:22731037:22732407:1 gene:TanjilG_23682 transcript:OIW05896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEENQDHSPTQPRNSAAGMAPEATTPEVVVVVKEETREYVVTPPGLWVQPLPTVERRGRGRPPGSRKFQRISSTSAGIWAETSVLDLTAYYMVTVVPGENVVTKLLSLFEEMSPDTVSVLSATGQISSSVFSRFGGTVTYAGRHEILSFSGQGNSVPGENALLICSLAAPDGTVFGGVIERSMIAATPVKIVIAVFKQMGIFKQSKRGDTSGSPKTRADQDSAMAPPRSGSA >OIW05689 pep chromosome:LupAngTanjil_v1.0:LG08:24469807:24470073:1 gene:TanjilG_23475 transcript:OIW05689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIALLCTQDNPKLRPSMPSVVKMLNRETAIMKTSSSYSASSSASESQGNTMSFSASIPNHCSSRVIDYLPSNIPRKIMENKQTRINR >OIW07304 pep chromosome:LupAngTanjil_v1.0:LG08:1779019:1783298:-1 gene:TanjilG_11938 transcript:OIW07304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRLNTTLLSVVGRNNYRKPKFTFKTLVTPTIAMSITQNQTTSIPKVIDSHLHVWASPQQADKFPYSPGQVPTLPGHVDFLLQCMEDAGVDGALIVQPINHKFDHSYVTSVLKKYPTKFFGCCLANPADDGSGLKQFENLVLKDGYRAVRFNPYLWPSGEKMTNEVGKALFRRAGELKVPVGFMCMKGLDMHISEIEQLCTEFPSTIVLLDHLAFCKPPINDDEALVFSRLLNLSRFPQVYVKFSALFRVSRVQFPYQDLSPLLSQVVSHFGANHVMWGSDFPFVVPECGYKGAKEAVQLISNQISLSSSDLEWIMGGTAKTLLTS >OIW07169 pep chromosome:LupAngTanjil_v1.0:LG08:4614643:4622486:-1 gene:TanjilG_10142 transcript:OIW07169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVVTDAASFDAELLQLPEFSSSAIKSNLNFVEKLFDQWLSLPESVRLVTSLLNEAKSGAPLNVPGNCSSPNAASNSLPSMFPAGTAPPLSPRSSSGSPRIVKHRAGPSNLGSPLKVVSEPVKEVIPQFYFQHGRPPPNDLKEKCLFKIDHLFHDHLDGLQMHEFKSVTKELCKLPSFFSTSLFRKIDNGTGLVTRKAFIDYWINGNMLTMDIATQIYTILKQPQLKYLTQDDFKPVLRELLSTHPGLEFLQSTPEFQERYAETVIYRIYYYVNRSGNGRLTLRELKRGNIIDAMQHADEEEDINKVLRYFSYEHFYVIYCKFWELDTDHDFLIDKENLIRYGNHALTYRIVDRIFSQVPRKFASKVEGKMGYEDFVYFILSEEDKSSEPSLEYWFKCIDLDGNGVLTRNELQFFYEEQLHRMECMAQEPVLFEDILCQIIDMIGSENESFITLHDLKGGKLSGSVFNILFNLNKFMAFETRDPFLIRQERENPTLTDWDRFAHREYIRLSMEEDVEEASNGSAEVWDESLEAPF >OIW06563 pep chromosome:LupAngTanjil_v1.0:LG08:9594680:9597843:-1 gene:TanjilG_03957 transcript:OIW06563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASATTFSFSTLCTSFSTHCSITHNLKTCSSSNLTFLSSSPFPSLSFSSPTLPKYSVSATQLAQISEPQTSEIVESSPTQVPTWEKGLFAVVMIGGRQYIVHPGRWLVVQRLKGAKAKDKIALHKVLLVGTDTTTYIGKPIVTNAVVYATVEEQGLDDKVIVFKYKRKKHYKRTIGHRQPNTRIRINSIMGYENYPKVTLEDLKKDKDE >OIW06972 pep chromosome:LupAngTanjil_v1.0:LG08:7213101:7217756:1 gene:TanjilG_18360 transcript:OIW06972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDMERTLFHKILILFTIICSIACSLAKDNLIDASKLEMFVDELPDMPRIRGYEVVGGVSKSKSLNIGMFKKAWKFHRDLPPTPVYAYGLDQDKATVPGPTIEALHGVNTDVTWQNHLPPNHILPWDPTIPTPLPNKTNGIPTVVHLHGGIHASDSDGNANSWFTAGFERRGPTWTNNVSHYPNNQHPGNLWYHDHAMGLTRVNLLAGLIGSYIIRDPSIEVPLRLPHGDKFDRPLIVFDRSFRTDGSIYMNSTGNNPSVHPQWQPEYFGDAIIVNGKAWPRLTVQKRKYRFRIINASNARFFRFFFTNGLKFTHVGSDSAYIEKPVETNETLLGPSEITDIIVDFSKSRSSVVILANNAPYPFPSGDKVNKANSKVMKFIILPNKTVDDSQIPKTLIKYPSGDLSSVSQTRYIAMYEYTSNTDEPTHLLINGKPYEAPVTETPKVGSTEVWYVINLTEDNHPLHIHLGLFKVLEQIELVKSKEFKECMTKLNDAIKCHVDKYTRGKKVTVPAHESGWKNVYKMNPGFVTKIVVRFSYIHTNASYGFDATAEPGYAYHCHILDHEDNAMMRPLKIIK >OIW06681 pep chromosome:LupAngTanjil_v1.0:LG08:10450071:10450977:-1 gene:TanjilG_04075 transcript:OIW06681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPILRPIPSTPIKAPTTPSPPPVKAPPPSPPPVKAPPTTPPPVKTPSTPPPVKTPSTPPPSPPPVNAPPPVKPPTPPPSPPPTTPSSPAQPPVAPAPIVKSDKDCIPLCGYRCQLHSRKQICVRACVTCCDRCKCVPPGTYGNRDKCGKCYTDMLTHGNRPKCP >OIW06290 pep chromosome:LupAngTanjil_v1.0:LG08:17162520:17165165:-1 gene:TanjilG_19728 transcript:OIW06290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEHLASIFGTEKDRVNCPFYFKIGACRHGDRCSRLHTKPTISPTLLLSNMYQRPDMNAPVLDPNQAQSLDPDKVQDHFEDFYEDLFEELSKYGEIQSLNICDNLADHMVGNVYVQFKEEDHAANALMNLTGRFYSGRPIIVDFSPVTDFREATCRQYEENVCNRGGYCNFMHLKKISRDLRKRLFGRNRRFHGRSGSRSQSPPRNRKHEERSHSGRSSDRRDYDRHHGHHGSRDRLPRSRSPQRRGRRSRSPAGRDRSVRENSEERRAKIAQWNREKELADSGNKNNTNGNDYEEHDDAQNIIESGRHQNDQYLDQEQFNFAASSDMFLHHRGRDRVEESR >OIW06219 pep chromosome:LupAngTanjil_v1.0:LG08:18551619:18554135:-1 gene:TanjilG_03844 transcript:OIW06219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSASLSLPLSNFPTHENPKPLSSPKNLTSNLNPSQLQNAISNLDLTSNQDTHHKLITSSILLKSCIRSHNFQLAKLLHLKLTESRLPLDSLLLNSLITLYSKCGDWPHALSIFQTMDNTKRDLVSWSAIIACFANNNMEFKALLTFLDMLENGFYPNEYCFTAVIRACSNARFCFTTGVAVFGFLLKTGYFDSHVCVGCALIDMFVKGGGDVDSAYMVFEKMRERNVVTWTLMITRFMQLGFAGDAVDLFLRMLVMTEYVPDRFTLTSVLSACAEMELLSLGKQLHSWVIRSGLSSDVFVECSLVDMYAKCGSVENSRKVFDRMPDRNVMSWTALITGYAQGSGREQEAIKLFCEMMLQGHVAPNCLTFSGALKACVNLPDFDFGEQLHSQTIKLGFDGVNYVGNSLVNMYARSGRMDCARKFFDILFKKNLISINTVVDENTEILNSEEAFGHEIEHNGTGPNAFTYACLLSAAACIGTIGKGEQVHARVVKSGFGTNLRVNNALISMYSKCGNEEAALQVFNDMRERNVISWTSIINGFAKHGFATKALELFNEMLETCITPNDVTYIAVLSACSHVGLIDEAWKHFSSMHLNHGIVPRMEHYACMVDLLGRSGLLSEAIEFINSMPFDADALVWRTFLGSCRVHHNTELGEYAAKMILEREPHDPAAHILLSNLYASEGRWDDVAAIRKNMKQRKLIKEAGYSWIEVDNKVHKFHVGDTLHPQAQNIYDELDELALKIKKLGYVPNTDFVLHDVEDEQKEQYLFQHSEKIAVAFALISTPNPKPIRIFKNLRVCGDCHSAIKYISVVTGREIVVRDANRFHHIKDGKCSCNDYW >OIW06294 pep chromosome:LupAngTanjil_v1.0:LG08:15737088:15741479:-1 gene:TanjilG_17668 transcript:OIW06294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQDVEMKDNATTPSQSLPPPPPSTLHHLKEIASVIENGSKSNEVRRIGRAVRLTIALRKRLTASVLSSFIDYALIPGSDPHPRLSSYLPKEDDQAMETDTATSAAQTQGKQLSPELEIYCYFVVLLFLIDHKKYNEAKDCSSASIVRLKSLNSRTVDVIASRLYFYYSYSYELTGDLAEIRGNLLALHRITTLRHDELGQETLLNLLLRNYLHYNLYDQAEKLRSKAPRFEAHSNQQFCRYLLYLGQIRTIQLEYTDAKESLLQAARKAPVAARGFRIQCNKWAVIVRLLLGEIPERTVFMQGGMEKALRPYFELTNAVRIGDLELFRSVAEKYATTFSTDRTHNLIVRLRHNVIRTGLRNISISYSRILLADVAQKLRLNSANPVADAESIVAKAIRDGAIDATLDHANGWMVSKETGDIYSTNEPQSAFNSRIAFCLNMHNEAVRALRFPPNTLKEKESAEKRRERQQQEQELAKHIEEEDDDDF >OIW06368 pep chromosome:LupAngTanjil_v1.0:LG08:14783747:14784785:1 gene:TanjilG_15013 transcript:OIW06368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKVVLKVERYEDRIQTKAMKAVSGISGVESLSVDKNDQKFTLIGDIDPVKVVRKLKKLCHVEIVFVGPAKEEKKEEPKKEEKKPEPKPKDEKEQLIEFVKAHEAYYNQLRMTQSYHITITEQWKRIQMAVSYVKSYKATGFHRK >OIW06340 pep chromosome:LupAngTanjil_v1.0:LG08:14191505:14193468:-1 gene:TanjilG_14985 transcript:OIW06340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEVRVPNLDCEGCASKLKKALFKLKGVDEVEVEMEAQKITVRGYGLEEKKVLKAIKRAGKVAEPWPFPGHAHFASFYKYPSYIVNHYYYDAYKTEPTTGVHNFFNTPSIYSVAVASDETFASLFSDDNPHACTIM >OIW05865 pep chromosome:LupAngTanjil_v1.0:LG08:22995813:22999757:1 gene:TanjilG_23651 transcript:OIW05865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRKQKAESKTHEVEHSPKKAKVENEDDGHTNGKHAPDDVTVEYDEFCKAINEHLSVDQLKEILEANGLDSSGSDLEFRRRCQDLLFYGALEKCPVCNGSLEFDGRVFSCKGFYSEWASCTFSTRDPPRKQEPINIPDSVQNSLVSDLLKKYQDPNNRPQMNVNLLADKPFTGMMISLMGRLTRTHHYWKRTIEKHGGMVANSIIGATCLVASPAERDRGGTSKLAEAMERGIPVVREAWLIDSIEKQEPQPLEAYDLVSDLSEAGKGIPWDKQDPGEEAIESLSAELKLYGKRGVYKDTKLEEQGGKIYEKDGILYNCAFSLCDQGRGLNDYCVMQLIVVPENRLHLYFKKGRVGDDPNAEERLEELDNEDNAVKEFVRLFEEVTGNEFEPWEREKKFQKRPLKFYPIDMDDGVEVRHGALGLRQLGIAATHCKLEPQVANFMKVMCSQEIYKYALMEMVYDCPDLPIGMVTNLHLKRCEEVLLEFIEKVKSLKETGPKAEAVWTDFSQRWFTLMHSTRPFIFRDYQEIAEHAAAALEGVRDITVASHLIGDMTGSTIDDPLSEAYNKLGCSISPLDKDSDDYDMIVKYLEKTYEPVKVGDLEYGVSVQNIFAVESSAFPPYEEIQKLPNKVLLWCGSRSSNLLRHLHKGFLPAICSLPVPGYMFGKAIVCSDAAAEAARYGFTAVDRPEGFLVLAIASLGNEITQLKNPPEDTTSLEEKKVGVKGLGKKKTDESEHFVWKDDIKVPCGQIVPSEHQDSPLEYNEYAVYDPKQVRISFLVAVKYDEKDVEFDTAEGKDQE >OIW07294 pep chromosome:LupAngTanjil_v1.0:LG08:1648298:1648636:1 gene:TanjilG_11928 transcript:OIW07294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EEVEPNTIWGDKCNKEYITITQSSTPPLPNGIPTYTVEIMNNCFSGCKISHIHLSCGWFSSVKLVNPKVFKRLSYNDCFVNNGKLLEYGSLVSFRYANTFPYPLAVTKLTCV >OIW06220 pep chromosome:LupAngTanjil_v1.0:LG08:18547390:18550479:-1 gene:TanjilG_03845 transcript:OIW06220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENEKKASAISDVGAWGMNIVSSVGIIMANKQLMSNGGYAFSFATTLTGFHFAVTALVGLVSNATGYSASKHVPMWELLWFSIVANTSITGMNLSLMLNSVGFYQISKLSMIPVVCVMEWILHSKHYSREVKMSVIVVVVGVGVCTVTDVKVNLKGFVCACLAVLSTSLQQISIGSLQKKYSIGSFELLSKTAPIQAVSLLVFGPFVDYYLTGKLITNYKISSGVILFILLSCSLAVFCNVSQYLCIGRFSAVSFQVLGHMKTVCVLTLGWLLFDSELTVKNIMGMAIAVAGMVIYSWAVEREKAANAKTHHSHAKNSLTEEEIRLLQEGIEKNPLKDLELAEDKS >OIW06622 pep chromosome:LupAngTanjil_v1.0:LG08:10000411:10005397:-1 gene:TanjilG_04016 transcript:OIW06622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNSFGCSASGERLVSAARDGDLVEAKMLLNCNPYLAKYSTFGGLNSPLHFAAAKGHNEIVALLLDNGADVNSRNYCGQTALMQACRYGHWEVVQTLLLFRCNVMRADYISGRTALHFAAVNGHVRCLRLVMADLVPSAPYEAIHVCRDADKGDGSNMKAKHEKSSMSKFVNKTADGGITALHMAALNGHFDCVQLLLDLNANVSAVTFHYGASMDLIGAGSTPLHYAACGGNLKCCQILLARGASRMSLNCNGWLPLEVAKMWGRHWLEPLLAPSSGATIPTFPHSNYMSLPLMSVLNIAREYGLQSSATTTSSHDIDFCAVCLERPCSVAAEGCGHELCIRCALYLCSTNNASSETRGLPGSIPCPLCRHGVVSFVKLPGSQAKENKLHVSLGLCTPCMLHPRDLEQPSLSHTPEFQRNCVASVPSEMFCPVTCSPFPSMTIPLCTCNDDPCPSFEPQEIETNDESPRHSQASMINQDKMDGPRLDKTTCSSMFWGRRSCSRENQCNSEINA >OIW05937 pep chromosome:LupAngTanjil_v1.0:LG08:22435274:22439638:1 gene:TanjilG_07213 transcript:OIW05937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQSNQFHNTLDNMAQNTSNSDIPPNRDDELDSANKSGSENQEGASGEDQEPSAKKKRYHRHTQHQIQEMEAFFKECPHPDDKQRKELSRELGLEPLQVKFWFQNKRTQMKTQTERHENTQLRTENDKLRAENMRYREALSNAQCPNCGGPTAMGEMSFDEHHLRLENARLREEIDRISGIAAKYVGKPMVNYPNLSPSLPPRPLELGANGGFGGHPAGIRGGDMYGGGGDLVRSISGPTEADKPLIIELAVAAMEELIGMAQMGEPLWLTSPDGTKFVLNEDEYIRSFPRGIGPKPNGFKCEASRETTVVIMNHVNLVEILMDVNQWSTVFSGIVSRAMTLEVLSTGVAGNYNGALQVMTAELQVPSPLVPTRESYFVRYCKQHVDGTWAVVDVSLDNLRPNPSARCRRRPSGCLIQEMPNGYSRVTWVEHVEVDDRGVHNLYKQLVSSGHAFGAKRWVATLGRQCERLASAMATNIPTGEVGVITNREGRKSMLKLAERMVISFCAGVSASTAHTWTTLQSGTGADDVRVMTRKSVDDPGRPPGIVLSAATSFWLPVPPRRVFDFLRDENSRSEWDILSNGGAVQEMAHIANGQETGNCVSLLRVNSANSSQSNMLILQESCMDSTGSFVIYAPVDIVAMNVVLNGGDPEYVALLPSGFAILPDGTTTHGSGIGETGPGGSLLTVAFQILVDSAPTARLSLGSVATVNNLIACTVERIKASLSPELQD >OIW07190 pep chromosome:LupAngTanjil_v1.0:LG08:4386743:4388319:-1 gene:TanjilG_10163 transcript:OIW07190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTTITEDGNDAVLVAPPNFSMVEDCIYRSSFPQPCNFPFLQTLNLRSIIYLCPEPYPPQNLDFLQSHNIQLFQFGIEGKTDLSVSTGQDTVMEALKVLIDVRNHPVLIHCNRGKHRTGCLVGCLRKFQNWCLTCVLEEYQRFAGPKSRTTDLKFIETFDTLSLRQCLYSIIYKYQGYASMKPRLMYKDDNLQKPQLASA >OIW06968 pep chromosome:LupAngTanjil_v1.0:LG08:7174755:7175690:1 gene:TanjilG_18356 transcript:OIW06968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSIFFFLLFITFSYFPLSTALSSEAIFDAADVLADSGFVSMALTLEVIAESFLTHSPSATVFAPSDSAFRKSGQPSFDLLRFHFVPLPLPPQSLRLFTAGAMIPTMLPGKSLTVTTSSSDRITSINNIKITESPIYDDGFLLIYGTERFLDPNFQYTGPNPRSNNNPSCVAKNYTANSSDSFDQAIETLKSGGFSAMASFLGTQLSGVSEQSGITVFAPADDMVLNRIGDLSELPWFFRRHVVPCKLLWNDLVNFDDESELPTFLEGFTINITRNGGVLVLNGVQVFYPDIFFNDKVAVHGVSDALAAQ >OIW06457 pep chromosome:LupAngTanjil_v1.0:LG08:11845490:11846917:-1 gene:TanjilG_05228 transcript:OIW06457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKRNRPPNSTPSQQPPLKLHHHDSEQEYQEQVEEESQEDADVAQYINHTANVSSTSSIPAEEDDDSIKKLLEHLGKDELATLLCEVASNHRDVADQIRKAANSDPSHRKVFVHGLGWDTIAETLTAAFRQYGEIEDCKAVTDNVTGNSNGYGFILFKTRCGARKALEQPQKKVGNRVISCQLASLGPVQQQPDNFAQPNYNNLAAPNKSAAFKVPVVSEYTQRKIYVSNVGSELDPQRLLLFFSKFGEIEEGPLGLNKVTRKPKGFCLFVYKRAESAKRALEEPHKAFEGRVLHCRQAIDCPKSRKPQKQQPQRKGMKAAAATVMQFQRSGGNSGFVTAGDMPGHLMAPAGPAVGFNQGAAVLPAQTLESALGQAYTALLASQGAGLGLNGLFGTLGSQATVNPGVPAVGHGMQVGYNNQANVNPGAIGVYGNQVGFPGAYPNQQVGLGSGRGQQQQQYNVGQFGGVVPYTGP >OIW06337 pep chromosome:LupAngTanjil_v1.0:LG08:14146095:14153655:-1 gene:TanjilG_14982 transcript:OIW06337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTRFLNNDYFTLPPSQPFLHLPVPRLPSPSSAVNDLLNFDSSIYVSNHIDTFPIDTALSTFLSAVIPHKIHLQFPDTDAATATAPFSKPDNLESKTVGFSDQNEISYGTVQFEIPELDALLENACFTEMERMQMLCQTPEVENMMEMPKPEPNPSMQYPYEALESVCFLEDVISEYLKGENAYSVEDNISVQHLPHSSVQNKFLTLELDEESLGIPTCLSVVEIVRRYFENTRPENFDEQYQSITEGKEILGSMNYNMMKFFSNDCLSKESLVLSDVFSESNFINLLEIEHVDWTTALQGTLQVDSALLLNLANFDEFVFLEENIIQTFESFYETKALDDLETGDWMFKKEFNFKNFEELIVSNEIALIDDTFKSLPVPVISDYKMTITMHDVIEELFSKLKTRPLSTSDGIYLNWDLLEEDKCNIKISNFFQNILAKIDMNTSDFRGKSFDYGMLVFDLIFSDDTIGECDIKQSEELQKLLSQCMSLPDIQPVEFASAKTLERGSSKQGSQEQLPEINADKASLLYKSMSEISNLDYLLNPEKAPVKGDCNFVAQSTNNNVSVPKRTVRGDCTFAVKSTNANVSVLKVRSTELKAGPQSQQLHTVDLDDHATLKALCDGVEMPPNTELLLESESQFIFNAKGSLLNQKLERLVNFCPVEQSYDIKSSNVAPEADSFVPLIPAAKADDGHHSMEPGTVVIVNTQNVDKEMIVFRRSSYQVILAMEKGGIQVVERDLDLPVDIILSSAICLVWYDSRNLGKKATPMTETSSSLPSCIENIATDVLSLLSFYFRGCFLVFEGEFNFISTVMESSDGLYAAASSLGIDLQVFLSYSPELTNEVIVSCIKSATNLTRGLYPKMPESVTLAESFLTKFPGINPLTAHSILSLGITLNKFLELSHEQRMHILEKYHVPEESISLFSIFCKYGEREDSKSILTDCSSVSSGPDSDKCHSYQVDNERKRKYPFSGYQRDESYFDELLDFETLLDSSTLPKPCDLGVSNDARNSSDLTKTNFPMSDFSGQNRSASATTMSNPCIVSQSLHDQWNCKAPQISDYLEQPCLSLKNKGLTQNGMMDTATMTKNLNWHSHGNYEKLHEDIVGKVVDFTDSPLLDKRFSISDSMYFPSLVNETEKDHLRSNKIARRLSFDNSRHQEANSSKIWSSLKDTGLKDDNYPKPDFGKDAFPLDFKYGENIFEEGLNQASMRNLQGIPFQEEMSHLSETPLSHALRSASPLKNSPFTTKFLKRIKEKGIMRQRSLSCEKRRSPSVFDFFKYQPSRSTPGNIPEHKKLKKSAPPYSDSVKKGRYHASLTPNDKISKKKLTFGRNESGGQTKLVWGEGNKLGYQTK >OIW05866 pep chromosome:LupAngTanjil_v1.0:LG08:22989418:22991892:1 gene:TanjilG_23652 transcript:OIW05866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLRECNNIGENKNLYLHAPTFIEWLKPYNSSPSYLIPTESSSTSSPSSSVTQNEFVHETIQFLPILNGKPLKEEELKKESFEVKEEKVEQMSVALHIGLPNTITDAECSDEKKVFHVKEEEESVKKTSLNGSSERRFWIPTPAQILVGPIQFACNICSKTFNRYNNMQMHMWGHGSEYRKGPDSLKGTQPAEMLGLPCYCCAQGCKNNINHLRAKPLKDFRTLQTHYKRKHGTKPFMCRKCGKTFAVKGDWRTHEKNCGKFWYCTCGSDFKHKRSLKDHIRSFGKGHNPRPNSLEELDCITGSD >OIW07075 pep chromosome:LupAngTanjil_v1.0:LG08:5735796:5737753:1 gene:TanjilG_02709 transcript:OIW07075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSECPPAAVTTVHVTGFKKFLGVSENPTETIVNNLMEYMNKKGLPKGIVIGSCHILETAGQDALVPLYQTLQSAISTKESESSSSNKIIWLHFGVNSGATRFAIENQAFNEATFRCPDEMGWKPQKVSIVPSDGAISRVRETTLPVEDITKALAKKGYDVMTSDDAGRFVCNYVYYHSLRFSEQNGTKSLFVHVPLFFVIEEETQMQFAGSLLEVLASVC >OIW05748 pep chromosome:LupAngTanjil_v1.0:LG08:24054752:24060911:-1 gene:TanjilG_23534 transcript:OIW05748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFSRIGRSLSRSSRARNLLTGDGRLGTLVGASRRNGESFSDGGVSLRGYIAPANNGFDSNLSDFKSIGANPRLLRLFSSEAPKKKNYENFYPKEKKEVPKGDDSKQESKDDSNTKTDDNENLKDFFMKQFQNILPLLVLGVFLTFSLGPREQQQISFQEFKNKLLEPGLVDHIVVTNKSVAKVYVRSSPRNPADSEVVQGTLPGQRTGGQYTYYFNIGSVESFEEKLEEAQEALGIDPHDYIPVTYSSEMVWFQELLRFAPTLMLLGTLLYMGRKMQGGLGVGGPGGGKGGRGIFNIGKAHVTKVDKNAKNKVFFKDVAGCDEAKQEIMEFVHFLKNPKKYEELGAKIPKGALLVGPPGTGKTLLAKATAGESAVPFLSISGSDFMEMFVGVGPSRVRNLFQEARQCAPSIIFIDEIDAIGRARGRGGFSGANDERESTLNQLLVEMDGFGTTAGVVVLAGTNRPDILDKALLRPGRFDRQITIDKPDIKGRDQIFQIYLKKIKLDHEPSYFSQRLAALTPGFAGADIANVCNEAALIAARGEKTQVTMEHFESAIDRIIGGLEKRNRVISKLERRTVAYHESGHAVAGWFLEHAEPLLKVTIVPRGTAALGFAQYVPNENLLMTKEQLFDMTCMTLGGRAAEQVLIGRISTGAQNDLEKVTKMTYAQVAVYGFSDKVGLLSFPQREDSFEMSKPYSSKTAAIIDNEVREWVGKAYERTIHLIEEHKEQVTKIAELLLEKEVLHQDDMVRVLGERPFKSAEPTNYDRFKQGFQEDEEKIIEKPVNEPEENGGSSPLDPLAEHHAVAGWFLEHAEPLLKVTIVPRGTAALGFAQYVPNENLLMTKEQLFDMTCMTLGGRAAEQVLIGRISTGAQNDLEKVTKMTYAQVAVYGFSDKVGLLSFPQREDSFEMSKPYSSKTAAIIDNEVREWVGKAYEKTVQLIEEHKEEVAKIAELLLEKEVLHQDDLLQVLGERPFKSAEPTNYDRFKQGFEEVEEKILESPVNESGESGGSPPLDPQVVPT >OIW07035 pep chromosome:LupAngTanjil_v1.0:LG08:6120883:6123107:-1 gene:TanjilG_02669 transcript:OIW07035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSCLLSPRFLAFIILLSAIPVAIIVSLERAQPATHVYHYHSTGWFRECSKWDADNNRFIVSFIEGGLGVVPVPEKEDSKVPLEEVTVVKELNLGRNSSAGLTIDRTRNRVLVVYTDVLRYRFGAVGAYDLSTWNRIFLTQLAGPNDEKSIANDVAVDAKGNAYVTDTKASKIWKVSVDGKLLSIIRNPLFTPKEWYKSFIGLNGIIYHPDGFLIVVQTLSGTLFKIDLTKGEEVKIIKVAGGPLLMGDGLELLSPTKLVVSGFVSRLVESSDGWNTASVVAKFSGIRHRIPTTTTIKDGKVYINHGAGMGYPRKKHVIVEALFK >OIW06046 pep chromosome:LupAngTanjil_v1.0:LG08:20141017:20142783:-1 gene:TanjilG_29802 transcript:OIW06046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKPPTTTASKSYWRWSKQDFFPEPSFQTAKTYGTAISHIGPRLKDRLLNRSTDSHELLVLPNASENRMRRCLTAWDLTWLAFGSVVGSGIFVITGQEARKDSGPAIVLSYAASGFSAILSALCYTEFAIDIPVAGGSFSFLRIELGDFVAFIAAGNIILESLVGAAGLGRSWSSYFATMVKSDADFFRFRVNSFAPGFNLLDPLAVAILLIANGIAMSGTRKTSILNWISSIVTTSVIFFIIVVGFMHAKTSNLVPFLPFGVKGVFRSAAVVYWSYTGFDMVATMAEETKNPARDIPIGLIGSMSMITVIYCLMALALVMMQKYTEIDPDAAYSVAFVSIGMKWAKYLVSICALKGMTTSLLVGSLGQARYMTQIARSHMIPPFFALVHPKTGTPINATLLITIFSSVIALFSSLDVLSSVFSISTLFIFMLMAVALIVRRYYDRESTSKTDLMKVVMCLSVIIGASAAGAALWSSERFGWIGYTVAAGVWFLGTLVLSFLPKHRSPKVWGVPLVPWLPALSIGTNLFLMGSLGAEAFYRFLICTGVMLVYYFLVGVHATYDVDHQYIPESKLAEGVETGTSQGVLK >OIW06136 pep chromosome:LupAngTanjil_v1.0:LG08:20054928:20055857:-1 gene:TanjilG_22358 transcript:OIW06136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METKHRTVLTLVTKLSSVSERIRTEALTSLREMSKLDPETRPIISDSGAIPYLAETLYSSSHTSQENAAATLLNLSISVKEPLMSTRGVLDAIAHILSHHNSTSSAAAIQSSAATIHSLLATTEDFRPVIGAKREIIYALVDILRSHSSSPARTIKDALKALFAISLYPLNRATVVHLGAVPALFNLVVKDGRVGMVEDATAVVAQVAGCEESAEAFRKVSGIEVLAELVASGGGSSMRTKENAVSALLNLVRCGGECIVSDVRELVVVDGIADVADNGSSSKGKNKALELLKVLFHESGELSTFSKFH >OIW07090 pep chromosome:LupAngTanjil_v1.0:LG08:5507628:5508587:1 gene:TanjilG_02724 transcript:OIW07090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCEEELLIEKVCKLYDQISTLESLKPCKDVDSLFTQLVHTCMPPSPIDVTKLSTNVQEIRTKLIRLCGEAEGLLESHYSAILGNSYDNPLHHLNIFPYYSNYLKLGLLEFTILNQHYTKTPSKIAFVGSGPLPLTSIVLASNHLPTTTFHNYDIDPLANSKALRLVSSDLDLSKRMVFHTNDISNVTNDLEDYEVVYLAALVGMDIETKKRIVKHLARYMAKGALLMVRSAHGARAFLYPVVNPSDLGGFEVLSVFHPTNEVINSVVIAQKCLIPTHSSLENGLGSKLQNYYSNEIKVSNPLINGNVTEELVTKEELP >OIW07111 pep chromosome:LupAngTanjil_v1.0:LG08:5294488:5296263:1 gene:TanjilG_02745 transcript:OIW07111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATEIPKAEAKEVVEEHVEPLMCKTCVLKVSIHCEACKRKVKRILQKIDGVYDINIDLRQQKVVVIGNIERETLIKKLISKTGKHAELWPEKPDSKKKNHPKPDKQQHSDAESGEENDEDEETVKVIVQDEAVAKNTEGCATVKPGVQFQELKPEVRQTVTVLTGNQPPPGTGNQSNATTPGGGGGGKKKKKKKKKSKSASGGGATVEHSNDAPVTGGPGNQSQINQVHSSSVPMFSGNESPSYQNMYHRYPIQAPPVYTMSHNQVYPSCSSYGPSSYYTSTQPYSYAHEMEGPPPYVYDTESYTSPQSSNSFEIFSEENPNACYVM >OIW06559 pep chromosome:LupAngTanjil_v1.0:LG08:9560234:9561670:1 gene:TanjilG_03953 transcript:OIW06559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSDEDELLNLTLSVAADRERKKKGKIKIRDDNIACSISTTTTHNMNPYYEEGYEGKIYRLLQMRDQMLRQDHRIRNKGVVDEDGNGLPLIHLLLTTATLVDENNDNKNKFGSALENITELYKIVSITGDSVQRVVAYFTDGLVARLLTRNSPFYDMLMEEPTTEEEFLAFTNLYRVSPYYQFAHFTANQAILEVFEKEEDKNNRALHVVDFDVSYGFQWPSLIQSLSEKATSGNRISLRITGFGKNLKELQETESRLISFSKGFGNLVFEFQGLLRGSRVINLRKKKNETVAVNLISYLNTLSCFMKISDTLGFVHSFNPSIVVLVEQEGSRSPNRTFLSRFTDTLHYFAAMFDSLDDCLPLESTERFRIEKKLLGKEIKSMLNYYDMDGVDCPKYERMETWKARMENHGFVGTKISSKSMIQAKLLLKMRTHYCPLQFEEEGGGGFRVSERDEGRAISLGWQNTFLLTVSAWKPI >OIW06523 pep chromosome:LupAngTanjil_v1.0:LG08:10869885:10872746:-1 gene:TanjilG_29944 transcript:OIW06523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSECSNTHTTLNGPFEPVTIQFDPSLRLGSDDLPITHPRLKKNVTFNFPEQIALAISSPTSMWLSWVTGDAQVGLNVKPLDPASVGSEVWYGKESGKYKSVSKGVSLIYSQLYPFAGLWNYTSGIIHHVKLEGLEPGTKYYYKCGDSSIPAMSLEHSFETFRAPSPNTYPRRIAVVGDLGLTSNSTSTIDHLIHNDPSMMLMIGDLTYANQYQTTGGKGVPCFSCAFPNAPIRETYQPRWDAWGRFMEPLTSTIPMMVLGGNHEIEPQVDGITFKSYLSRFAVPAEESGSKSNFYYSFDVGGIHFIMLGAYVDYNSTGAQFAWLKQDLKSIDRNVTPWLVASWHSPWYNSYSSHYQEFECMRLEMEALIYEYGVDIVFNGHVHAYERMNRVYNYTLDPCGPVYITVGDGGNIEKVDVEHADDPGKCPSPGDNVPEFGGVCHFNYSSGPAKGNFCWNKQPEWSAFRESSFGHGILEVCYNWTSL >OIW06781 pep chromosome:LupAngTanjil_v1.0:LG08:8732380:8732547:1 gene:TanjilG_11506 transcript:OIW06781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKITSEPCIRVKCLVFVHVNLVFASRSKNYNKGVTKKWDTGGDEWANLKGLRFFK >OIW06451 pep chromosome:LupAngTanjil_v1.0:LG08:11762002:11762856:1 gene:TanjilG_05222 transcript:OIW06451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYYFQSFYFDTEISAEIFKDQIMKLHASISEQESPINSEKVKSLLGNLSNFCTLLPSTIDIKAFPEEVLNIRESLNNFVSQAERLIELEFSTFIAHKPKPLQYLTEYCYYANYVRIASIESKILKENGMENAKKVAFVGSGALPLSSILMATHHMESTHFDNFDIDDKANEVARRIIASDAALEKRMKFETQDIMEVKEKLGQYDCIFLAALVGMNREEKVKILGHIRMYMKEEGLLVVRSAKGARTFIYPSVEDCDFVDFELLTTFQPRHALVHSVLRKKTKT >OIW06723 pep chromosome:LupAngTanjil_v1.0:LG08:9221747:9232452:1 gene:TanjilG_11448 transcript:OIW06723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLSSLLGIIGFVIGIPLGLLVGFFVFVYPQDTKQVKDPVVRPISELGPKALEELLPEIPLWVKTPDYERVDWLNKFLLDMWPFLDKAICGMIRLNAKPIFAEYIGKYHIKGIEFDKLSLGTLPPTLCGIKVLETNEKELVMEQVIKWAGNPNIVLDLHVSSLKISVQLVDLQVFVTLRTTLRPLVPTIPCFAKIVVSLMEKPHVDFGMKISGGDIMAIPGLYGFVQETIKKQVASLYLWPQTLEIPILDESTVAIKKPVGILHVNVVRAHKLLKKDLLGTSDPYVKLTLTGDKLAAKKTTIKMRNLNPEWNEKFKLVVKDPNSQVLQLQVYDWDKVGAHDRLGMQLVPLKVLKPYENKEFTLDLLKDTNINEVPIKKNRGQIVVDLTFVPFKEDSMKFGGNSRTYSRKESGKDVVSDDEVQEGAGLLSLVIQEAEEVEGEHHNNPYAVLTFRGEKKKTKIMRKTRHPRWNEEFQFMLEEPPLHEKIHIQIDLSSHIIKVYLTLKVENLGTSPASEVHLAFSPTEVEHLAIVKAAATSGKRKKKTYTPLGVKSADLPDGPNETKFFSIALLTPLSKGETITLEVLYQLTHSLEPFPVEISQSESQLVYFRDSAILLSPYHVKQQTTFIKTPSTRVESFTVVDPIKRSGTELKYGPYDNQLPYSYSPVLVHFENNNPFTVVEELEREIEVSHWGSVQVTERYRLVHGGARHKGVFSRVEYQTRQGGGAGASSFKHLLARLPAKVHSVYYRDQIGNISTSHLRTGFVKSELEFEPRYPLFGGWKSTFVIGYGVPLQDFLFESSDGRRYLNFTFGCPLLEAVVDKLTLKVVLPEGSKNATAVVPFQVEQRLETKHTYLDVVGRTVVVLEKRNAVPDHSIPFQVYYSFNPIFMLAEPLMLAGSKKIQRWCWCYFDEKLI >OIW06712 pep chromosome:LupAngTanjil_v1.0:LG08:10737624:10739284:-1 gene:TanjilG_04106 transcript:OIW06712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRKSRHQSAGSTRISDDQIIDLVSKLRQLVPEINHRRSDKVSASKVLQETCNYIRNLHREVDDLSERLSQLLNTIDSNSAEASIIRSLINQ >OIW05957 pep chromosome:LupAngTanjil_v1.0:LG08:22590694:22591929:-1 gene:TanjilG_07233 transcript:OIW05957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKWTRGHTIGRGSTATVSTAATSIDIFAVKSTKLFSSEPLQREQKILSSLCSPYIVTFKGCDITMENNMLMYNLFMEYMPFGTLIKATQRHGGRLNEPLLACYTRQVLQGIEYLHSKGLVHCDIKGANILISEDGVAKVGDFGCAKSVNDDAKAMSISGTPMFMAPEVARGEEQGYPSDIWSLGCTMIEMAIGDSPWPNVADPFSVLYHIAYSNEVPEIPSFLSEEAKHFIGKCLRRNPQERWTASQLLKHPFLVEFNSNAKQIEEHNSSSPTSVLEQGFWNCVEEPGCVGNLIHMTCFENSPAARVRSLAMCSGEPCLAWHDDENWITTRGNETMDEVQAFENCGSETASSSSDVLALDELVKSNVSGRISGQFCKGHKCRDGSSVVVSNLNFERGVDKMLPLTSTLDIL >OIW07160 pep chromosome:LupAngTanjil_v1.0:LG08:4722989:4725905:-1 gene:TanjilG_10133 transcript:OIW07160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQQLMQMQPMMTAYYPNNVTTDHIQQYLDENKSLILKIVESQSSGKLSECAENQSRLQRNLMYLAAIADSQPQPPTMPGQYTPGGVMQQGAHYMQVQQAQQMTQQQLMAARSSLLYSQQGYSALQQQQAMQSQLGMSSGASSGLHMYQGEATGVGGNVAAIGSGGFPDFSGRGLSGKQDIGSSDGRGGSSGGHVGEGGETLYLKSSDNRN >OIW06208 pep chromosome:LupAngTanjil_v1.0:LG08:18730020:18733900:1 gene:TanjilG_03833 transcript:OIW06208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKAIRVHELGGPQVLKWEDVEIGEPKEGEIRVKNKAIGINFIDVYFRKGVYKAPSLPFTPGMEAVGVVTAVGDGLTGRKVGDLVAYAGQPMGSYAEEQILPANKVVPVPSSIDPVIVASVMMKGMTAQFLLRRCFKVEPGHTILVHAAAGGVGSLLCQWGNALGATVIGTVSSKEKAAQAKEDGCHHIIISTQEDFVTRVNEITSGNGVEVVYDSVGKDTFESDPGLALVLNCLGLGQGSLVCLKLRGYMVSFGQSSGSPDPVPLSALAAKSLFLTRPTLMQYVVTRDELLETAGELFANIASGVLKVRVNHTYPLSDAAKAHEDLEGRKTSGSVVLIP >OIW05725 pep chromosome:LupAngTanjil_v1.0:LG08:24205017:24210218:-1 gene:TanjilG_23511 transcript:OIW05725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAFLFVFVSFVVLCGYGVSKECTNIPTQSHTFRYELLTSNNETWKQEVMSHYHLTPTDDSAWEDMLPRRFSREDHQHEWSMIYRKVKNLGVFAPPKGFLKEVSLHDLRLQEGSIHAQAQKTNLEYLLMLDVDRLIWSFRNNAGLPTPSTPYGGWEGAQVELRGHFVGHYLSASALMWASTHNVSLKEKMSAVVAGLSACQEKIGTGYLSAFPSQFFDRFEAIQPVWAPYYTIHKILAGLLDQHTIAGNPQALKMVTWMVDYFYNRVLNVITQFSINRHYQSINEETGGMNDVLYRLYSLTGDPKHLVLAHLFDKPCFLGLLAVQANDIADFHANTHIPVVVGSQMRYEVTGDPLYKEIGTFFMDLINSSHSYATGGTSVSEFWHDPKRIADNLKTTENEESCTTYNMLKVSRHLFRWTKEVSYADYYERALTNGVLSIQRGTDPGVMIYMLPLGHGVSKARTGHSWGTPFDSFWCCYGTGIESFSKLGDSIYFVEGGNHPALYIIQYISSSFNWKSGNVVLNQTVVPAASWDPYLRVTFTFSPTEKTGTSSILNFRLPSWTHTNGAKGMLNGETLSLPAPGNFLSVTRQWSAGDKLTLQLPITLRTEAIKDDRSEYASLQAILYGPYLLAGHTTGDWDIKSGANQAISEWITPIPASYNSQLISFSQDFAKSSFVLTNSNPSLTMQKLPGPGTDLALRATFRLILKEPSTKHSTFSNAVGKSVLLEPFDLPGMNVIHQGANQPLVISSQVESSSIFLVVPGLDGRNETISLESQTNNGCYMYSSMNFVAEVKLSCKSDSDATFNQAASFVAWKGLRQYNPISFVAKGANRNFVLEPLLTFRDETVYFNMQH >OIW06872 pep chromosome:LupAngTanjil_v1.0:LG08:7430948:7433387:1 gene:TanjilG_19521 transcript:OIW06872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVSHIQKDVPPTTADAMKRTISGMLGLLPSDQFNVVIEALWEPLSKLLISSMMTGYTLRNAEYRLCLEKNFDIYEEDLEKPKAENTMLDLHEVQQDSVSVTGFNRKSNLSSKVEDLHEDVDVQDLGEISAEAQQYIFNLQSRLSSMKKELRDVKRKSAALQMQQFVGEEKNDLLDYLRSLQPEQVAQLSEFSSSELKDIIFSVVHGLLATLSPKMHSKPSTMSENATVGAANAGNEDCAEVVENSSLHFQPVISLTRDYLARLLFWCMLLGHYLRGLEYRVELMELLSLTSDAENDGTGNGQIA >OIW06493 pep chromosome:LupAngTanjil_v1.0:LG08:12490473:12492931:-1 gene:TanjilG_05264 transcript:OIW06493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAREGKLDPVIGRHKEIERVQQILCKRRKNNPCLLGDPGVGKTVIAEGIAQGIVNATVPLKLQGKLLFTLDLGCLIAGTTLRGEFEERLKQIVEEVQQSDGAIILFIDELHTLIRAGSSSGSLDAANILKPALARGDLKCIGATTHDEYRMYIEEDLALKRRFEPVIVPEPTVDEAIDILNGLISKYEEFHGVCYEHDAIVAAASLSKLYISDGFLPDKAIDVIDAAGARVQLTRIQNSPKRVIITEKDVHQIISIRTGIPIEKVSQVEAEKLLKLEETLHQRIVGQHEAVEAICRAIRRARAGVRDPEKPVASFIFTGPTGVGKTELAKALAIEYYGSMEAMVRFDMSEYMERHNVSKLIGAPPGYIGHQHGGKLTEAIRRRPHSLLLFDEIEKAHADIFDLFLQILDDGRLTDCNGKIVDFNNTIIIMTSNIGFGNQANLKISEALKKKFRSEFLNRIDEVAVFKQLSEPELNKILDIMLSKVCKRLEVKKIKLSITSGVKKKLFEEGNNANYGARSMKRAIMRVVEDFLAEKILEGTIKDGSCVKLDLDNKGNLCINK >OIW06512 pep chromosome:LupAngTanjil_v1.0:LG08:11231296:11238977:-1 gene:TanjilG_26701 transcript:OIW06512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTIIDRRRIIPAAVDVDTKSSPDSNVHNNNHHQVAGKNSHHHQHRLARQRSFRKDIGHVATETYLISRLTFTLLQYLGIGYRWITRFLALACYAMLLMPGFLQVAFSYFFSSKVKRSIVYGDQPRNRLDLYLPTDTHKPMPVMIFVTGGAWIIGYKAWGSLLGLQLAERDIIVACIDYRNFPQGTISDMVNDTSQGISFVINNIANYGGDPNRIYLMGQSAGAHIASCALLDQAIKESGKGENVSWSISQIKAYFGLSGGYNLLDLVDHFNNRGLYRSIFLSIMEGEHSLKKFSPEIRIQDPLIKDSIPLLPPIILFHGTDDYSIPPVASERFASALKEAGARAELILCDGKTHTDLFLQDPLRGGRDDLFDYAVAFIHSDDKDAIEKDAIAPPRRRLVPEILLKFARKISPF >OIW07219 pep chromosome:LupAngTanjil_v1.0:LG08:3513755:3516084:1 gene:TanjilG_02539 transcript:OIW07219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNQYLPIVKDKVEYFTLKDLWDCYDEWSVYGAGTPLVLENADILSQYYVPFLSAIQIYTNKPVQSPSPRNRRDRNDEVEFESVSSSDGSGSDLCGSPSKPVSSNVGLGSGDLSRPSSLSNNSCKDWEDIYFDSSSDQVLKGDIFGYLNYQYIETAQPYLRVPLADKIAELAEGHPELMTSKNIDLSPASWMAVAWYPIYSIPCQQNETCFLTYHSLSSSFEDCANKYDEIDLGKDIYCPTGWGSIIGEKLERKNNDCISLYPFGLATYRFQGDVWLSPSYDNEMLYDLFGAAESWLKQINAVHHDFKFFRDSNTL >OIW06562 pep chromosome:LupAngTanjil_v1.0:LG08:9591591:9593804:1 gene:TanjilG_03956 transcript:OIW06562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPRNVAAPGDIPWLLRDIENGGGGGGGGGGGAEEEDSPSAKKPKSEKFPFNTWEFGIAIGVFFVFSTVLFCIYLTMPSAEKGHIKLPRTLSDLRLLKDHLSTYASNYPAQFILGYCSTYIFMQTFMIPGTIFMSLLAGALFGVVRGIMLVVFNATAGASSCFFLSKLIGRPLVSWLWPEKLRFFQAEIAKRRDKLFNYMLFLRVTPTLPNLFINLASPIVDVPFHTFFLGTFIGLIPAAYITVRAGLALGDLKSVRDLYDIKTLSVLFLIGFVAILPTLLKRKRVYE >OIW06473 pep chromosome:LupAngTanjil_v1.0:LG08:12118895:12120962:-1 gene:TanjilG_05244 transcript:OIW06473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGRALSPVLRRELENLDKDADSRKSAMRALKLYVKDLDFKTIPIFLAQVSETKETGSLSGEFTISLYEVLARVHGVKIVPMIDSILQSIVQTLASSAGSFPLQQACSKVIPAIARYGIDPTTPEDKKRHIIHSLCKPLSDSLSSSQECLSFGAALCLKALVDSDNWRFASDEMVNKVCQNVAVALEGKSTQTNLHMGLVMALAKRNPLIVEAYARLLIRSGLRILDTGAEPLEGNSQKRFAAIQMVNFLMKCLDPRSVLSEVEMVIEEMEKCQFDKMAYVKGAAFEALQTAKKVAIDKNPRRVRSHASLTVSNCSRRHDMEGDSFSGDEDHSPISFSPESRTLDFFPGYESVVESPISTNQPSLNSNYERRSVNRKLWSQENGGVDVSLKDGLFSEARQRNGLLEHSVDDELSNGDEVFTEEFSGFMHRNQLNRVCRSTTTSPLRIGTRDDTRMKMFQTPRKLFHSFQDPDDFNSDCSEKQNRRIRSLSSGNIEWSPASNSRYNWNGFADHVKYDEGGQESVSSTDNLPGDADMQMPPEVVVPENRLRKTKSKFVCGLSFVLVAMATPLLWINSLEEGHYLVPT >OIW06991 pep chromosome:LupAngTanjil_v1.0:LG08:6564172:6566973:-1 gene:TanjilG_14329 transcript:OIW06991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCFSPLFVTWKKVVNGGEPHLRGCIGSLEAHDLINGFRDYALSSALRDRRFPPIRAKELPSLECTVSIITDYEIANDYLDWEVGTHGIIIEFSDPGYNTRRSATCLQMKLYCTYFTMSYSLHGLEAMLRWTKIEAIDSLIRKSGYNGPITESLRKHIQLTKYQSTLFTMQFSEYVSYVKEARGEAPSILGAKLCT >OIW06244 pep chromosome:LupAngTanjil_v1.0:LG08:17712636:17713046:1 gene:TanjilG_23301 transcript:OIW06244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCPTILSFPYKKLKLKLQKLSFSRSRFLGKVCDQLDIAAKGTYILNRDFDTLSRIVARLNGEIEHNRKMVQFCLDRKEDKFCLQMMNELKRSDVGFRKQVEELEEHVYLCLVTINRARGLVIKEMTECCVEQHFGM >OIW07200 pep chromosome:LupAngTanjil_v1.0:LG08:3953785:3958957:-1 gene:TanjilG_17748 transcript:OIW07200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNKKPEPHKFRPDPFHTTAFMPPDTLWDPMEFLARSWNVSALEVSKALSPSQLPPQRSKAMIMNNNNARSNHGGVIVEDIAGEVEESSSSAAAAVSGNPFSLGSSVTSQLVLDRIMSQSEVSSPRTTGRLSHSSGPLNGSLTDSPPLSPSEVEYFKYTRFNNNNIISNSLNCQYRVATTSSTAAVGGSSKTVGRWLKDRKEKKKEETRAHNAQLHAAVTVAGVAAAVAAIAAATAASSSSGKDEQMAKTDMAVASAATLVAAQCVEAAEAMGAERDYLASVVSSAVNVRSASDITTITAAAATALRGAATLKARTLKEVWNIAAVIPVEKNLAAGGDSSSCHSRSNSSFSGELVPEENFLGICSRELLAGGCELLKRTRTGELHWKSVSVYINRTNQVMLKMKSRHVAGTITKKKKNVVLGVIKDMPAWPGRHLLEGGDNRRYFGLETVTRGVVEFECRNQREYDVWTQGVSRLLSIAAEKSNRNRI >OIW06181 pep chromosome:LupAngTanjil_v1.0:LG08:19173584:19176184:-1 gene:TanjilG_15065 transcript:OIW06181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKIEKKQNFSVPFLSSLLSFNMVILLAILFLAFFSSHAYSAVPVSSFTPKDNFLIDCGAENPPTLPDGRLFKSDPQANSFLQAKDDYKVSDGDDPKLPSPIYKTARIFIQEAKYSFHLVQPGFHWIRLHFYPVKNNVFDLHKATFSVNTNVHVLLHSFNVNNTDKPILKEYLINANESQFTLIFSPLKNSAAFINAIEVVSAPDNLIFDTGAALFPVGEFSGLTSYAIQPVYRLNNGGPLITSSNDTLGRIWENDEPFLTNKNLAKSVSVATNAIKFPTDTPTISPLVAPQSVYASATEMGDAGVNQPNFNVSWKFDVDTSFSYLVRLHFCDIVSKGLNELYFNVYVNGKMAISNLDLSATTGALSTPYYKDIVVNVTLMTEGLTVQVGPAKAEGGNANAIVNGIEVMKLSNSVDSLDGEFGVDGRKAGGSNRGTVAAAGFAMMFGAFVGLGAMVVKWHRRPQDWQKRNSFSSWLLPLHAGDTSFASSKSNMYSSTMGLGRYFSFAELQEATKNFDSKAIIGVGGFGNVYLGVIDEGTEVAVKRGNPQSEQGITEFQTEIQMLSKLRHRHLVSLIGYCDENEEMILVYEYMPNGHFRDHLYGKNLPVLSWKQRLEVCIGSARGLHYLHTGAAQGIIHRDVKTTNILLDENFTAKVSDFGLSKDAPMGQGHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLLEALCARPAINPQLPREQVNLADWAMQWKRKGLIDKIIDPLLVGNINPESMKKFAEAAEKCLADYGVDRPSMGDVLWNLEYALQLQEASTEGKTEDENDAKSTHASTPSTNLDDHETPPQVATINPQPVVNNNSSAQAPQTIDGHSGTAVFAQFSDLNGR >OIW06481 pep chromosome:LupAngTanjil_v1.0:LG08:12242074:12246878:-1 gene:TanjilG_05252 transcript:OIW06481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSSDDVRNLPIDITFSRLGEWLVDRKRVPGDWRKRVAAIRIRISKEFSILPINSHSTFQTLDPQGIGYLEAKQIYDILLNSTSESRNIFGRLSGSAGAWEAIVRSYEKDHIFLGEAAQIMIQNVNYDIPYQRKQAQKIQQQLLELDRKEADIKRSAALSAVKYAEACQEMGLQGENVRLELLETAKSLPSTFSKILDVVNSDNMSQAIEYYSNFVRDAHTEKDRSYGAVLQNLRNMRENPPSLNVSIDSEIISDVNIPSINNELNPSINNVEVAALDIDWDISVESSQIDWDIGTTEETVDTGNGLGPYEIINASDVIQTSSPTDGIVPNPTSQELGTHDISWDISVDTPKVDVIDDTSATNVVLDYQISVSDTLSQLTGNKEERSQLLDTEYRNKILDDLYEMKSFLNQRLAELRNDDTLSLQHQVQAVAPFVLQQYAPDAIETMQSDISSAISLLTNRKTRDLIMILNSKRFLDRLVNSLEEKKHHEVKLKEGLKDLATKRMELQNSLSSSWPKQDAAIAKTKELKKLCESTLSSMFDGRPVNIIGEINTLLTSGLGA >OIW05634 pep chromosome:LupAngTanjil_v1.0:LG08:24820460:24822740:-1 gene:TanjilG_23420 transcript:OIW05634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDASKTLKGSVLACTDEAVLSLSQVFDAVKQLGYDGLHQSQPLLLTSALTLSKLPVDSSSVDTVILIWHSVDCPTNQLIHEFLRVLKAGGTTFIRKSSQSAEGLVDKVVSDLQNKLLLAGFSETQVLQSNAIKAKKPSWKVGSSFALKKVVKSSPKVQIDVDSDLIDEDSLLTEEDLKKPQLPDDCEIGSTRKACKNCTCGRAEEEEKALKLGLTAEQISNPQSACGSVCPFPCFLLQYKFCYIILHFTFYVTRSKV >OIW06874 pep chromosome:LupAngTanjil_v1.0:LG08:7449119:7451185:1 gene:TanjilG_19523 transcript:OIW06874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKEKKQGFFSVLKQELVRGLSPYRSRSNTPIRRKNQTNTNTDTSSIRSMSLTPLIEGPEPNGSENKDLKRVGSGLGQWMKEQLSLAPPLSYKRSDLRLLLGVMAAPLAPIHVSVSQPLPLLSIKDIPIETSSAQYILQQYIAASGGLKLQNSIKNAYAMGKMTMVASEFETATRVVKNRSASKCAESGGFVLWQMNPDMWYVELAVGGSKVHAGCNGKLVWRHTPWLGAHTAKGPVRPLRRALQGLDPRTTASMFADAKCTGEKNINGEDCFILKLCTDPETLKARSEAPAEIIRHVLFGYFSQKTGLLVHIEDSHLTRIQPNGGDAVYWETTINSFLSDYRPVEGIMIAHSGHSVVTLFRFGEMAMSHTKTKMEEAWTIDEVAFNVPGLSVDCFIPPADLRTDSVSEACEFPQDDSGRNSLAFHRAKVVALDNSHSCGVENMMFMPSCG >OIW06875 pep chromosome:LupAngTanjil_v1.0:LG08:7462029:7462701:-1 gene:TanjilG_19524 transcript:OIW06875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQQSLLLVGLICIVLSGVTAQSPTSSPTTSPTPTTPTPTATPTPQGSPPPSQSSPPPAQSPPPVSSPPLASPPPATPPPATPPTAVPPPALTPTPVSSTPAISPAPAPEKVKSKAPALAPGPISPASDAPGPSLSSLAPVGDDELWL >OIW05920 pep chromosome:LupAngTanjil_v1.0:LG08:22244762:22245124:1 gene:TanjilG_07196 transcript:OIW05920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIGKGGVGFEDLLPMMANKLGGEGLINELCNGFEMLMDKDKGVITLDSLRKNAALLGLQDLKEDELVSMMREGDLDGDGALTQMEFCVLMFRLSPELMEESWFCVEEALQYELNNNSK >OIW06296 pep chromosome:LupAngTanjil_v1.0:LG08:15725052:15726131:1 gene:TanjilG_17670 transcript:OIW06296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCTSPNVNEEYHEAFRTKSYIEICNKAQGHLGKTIRLSSPSSSSSTSSTSSSLPFHMHLTEHLLEPRQEIMTNMTQSYKVHILLVDYFEATLEASLFCDKILEGINSMRLSNRRITRVVMLSKRVHDGANENDQNFKDIYKELTSFAMQKNPFYIISTIQFHEIHDQYIELLHRLKSKRRRIRRTISLKRVCKRLGGVVLVTSHCAILVTLLVFSFHSIVGLVAAPAIVGGLVGLFMKRIKMKNENFSTTSYCERLCDQLDVSTKGIYILINDLDTMSRMVKRLHDEVEHRKMIADVCVKNIMKSEILKQVMKDFHEHESSFLDQLEELEEHVYLCFLTINRSRTLVMQKITEKENIGL >OIW06990 pep chromosome:LupAngTanjil_v1.0:LG08:6570310:6572198:-1 gene:TanjilG_14328 transcript:OIW06990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFHGCTTMPTRWPSQPSRWTHNQDKLFERALLVVPEDAPDRWEKIAEQVPGKSAVEVKVHYDDLVHDLFEIESGRVSVPEYTDESVVAAGGSGRLSSWDSSNQISFGSKPKHGDNEKKKGTPWTEEEHRLFLVGLSKFGKGDWRSISRNVVVTRTPTQVASHAQKYFIRQTSAKKERKRSSIHDITTVDTNSVSAPMDQNWVPPPGGPMQQSQEMQYNPINNLHVQMGGFGYSNYGF >OIW06847 pep chromosome:LupAngTanjil_v1.0:LG08:7952329:7961344:-1 gene:TanjilG_18229 transcript:OIW06847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEEEEERSDRWNSFLERQAKSSELATERLGAEEDETVLRAQASEQETDGTLEKGVDGDELSSGKPGFDGTAENGGQKEEVPASDETKVHRSQLWTDIRSSLRTIEDMMSTRVKKKTASINDVGDGKSLSHTDGAKSQKGASEEDSDDEFYDVERSDPSPDTPLADSTSVSANGIATDAAPSEAPCPWKEELEVLVHGGVPMALRGELWQAFVGVKARRVENYYQDLLASENESERKMDQQSMQSKDDNGIPMADPILVPEKWKGQIEKDLPRTFPGHPALDEDGRNALRRLLTAYARHNPSVGYCQAMNFFAGLLLLLMPEENAFWTLMGIIDDYFDGYYSEEMLESQVDQLVFEELVRERFPKLANHLDYLGVQVAWVTGPWFLSIFVNMLPWESVLRVWDVLLFEGTRVMLFRTAVALMELYGPALVTTKDAGDAVTLLQSLAGSTFDSSQLVLTACMGFQNINEVRLQELRNKHRPAVIAAVEERSKGLKAWRDSKGLASKLFGFQHDPKMEQSGSLSRSESGSTNAEEILISLTGEGEIDSVPDLQEQSVWLKVELCRLLEEKRSAILRAEELETALMEMVKQDNRRQLSAKVEQLEQEVAELRQALADKQEQETAMIQVLMRVEQEQKVTEDARRSAEQDASAQRYAAQVLQEKYEEATAALGEMEKRAVMAESMLEATLQYQSGQVKLQTSPGSSQPLSPASKNNQEPKRIGLFGLGWRDRNKGKPIVEEPAEEKPIVEAQSTINKPIAAAQITNNLQDSNGLQV >OIW06492 pep chromosome:LupAngTanjil_v1.0:LG08:12464137:12468585:-1 gene:TanjilG_05263 transcript:OIW06492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESEILKTHWFEEPQDLLPPWTNNNNNNNNLINDDVSSFKSFLQVGDWFMNSTLNNDIAFTSNQNQNFAIQPLNPSSSNASSPSTISHTPFFNLNTNNNPFTNDFDYGSEPVLPNSNSNLLMGFNANVNTLELSSNMGFNPTRDVELSGGFNNNTMGMVEENFHGSGPSISMFSNNVNVLQQQSIEIPAPAAVPPHPVTLFQKRRRGSDDKLGALEIRAATRLAAMKAEEGLNDHDDGDDDDDIVEIDKYEENLNSGGSNFNGNNSSGDNNGGILKGKKKVVPAKNLLAERRRRKKLNDRLYMLRSVVPKISKMDRASILGDAIEYLKDLKQKVNVLHNELQSVPSGSSGTVTPSSSFHSVTPTPPTLPSRVKDELCLSNLSSPKGHSPKVEVRLSEERAINIHMFCARKPGLFLSTMSALDSLGLDVQQAVISCFNGFALDVFRAEQCRDQNLLPEQIKAMLLQATGFHGMM >OIW06089 pep chromosome:LupAngTanjil_v1.0:LG08:20644011:20645865:1 gene:TanjilG_29845 transcript:OIW06089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSYYHRHFDSNDALLPPGFRFHPTDEELITYYLLKKVLDNTFTGRAITEVDLNKCEPWQLPGKAKMGEKEWYFFSLRDRKYPTGLRTNRATEAGYWKATGKDREIYSSKTCALVGMKKTLVFYKGRAPKGEKSNWVMHEYRLEGKFAYHYLSRSSKDEWVISRVFEKNTIGGGSTVSTTTSGSKKTRVSTSSASMSLYPEPGSPSSINLPPLLDFSPYTTQTSSAAAFNDHDNCSYDSTIASQIEHVSCFSTTSANNFNNATFDLVPPSQTPLNLDPFTRFQRNVGVSAFPSLRSLQDNLQLPFFFYSASQPVHDGGANDMAAIGNWPMPEEEKVVPDGGSSTGFGHSELDCMWGY >OIW07007 pep chromosome:LupAngTanjil_v1.0:LG08:6456163:6458783:1 gene:TanjilG_02641 transcript:OIW07007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLLKKLAQDSCKDFVKVELKSKFLELTFNATMRILTGKRYFGEDLDKSELDEAKQFREIINELVVFSEFIPKVGLGWFGFVSEKSLKNISLRLDDFMQGRIDEHRNEKKNTNTMIDNLLTQQQSQPQYYTDQIIKGLILDLLMGGTETSGTSLEWAMSNLLNHPEILKKARKELDIRIGQDRLMDESDISKLPYLQNIVHETFRLQPPLPLSFPRSISEDCIIGGYKIPQNTTLFVNVWAIHTDPNLWTDPLLFKPERFEKEGEVNKLLTFGSGRRVCPGTNLAQRIVTLTLGLLIQCFEWKRTTHELIDMNVGNGMLVVQKNLPLEGMCKMRQISAIKDIL >OIW06268 pep chromosome:LupAngTanjil_v1.0:LG08:16306286:16306651:-1 gene:TanjilG_19706 transcript:OIW06268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDGIDRSKLLKRVAQKNRGKTSGISKRARASLPVLSTGHQGDRSSSRREAKIGHPDGHDPGQQVHMENQSHRSNMSVNPADADSVGFQATSTDEEAINSSRSRSRKGKSPIQPSKEKRKA >OIW07049 pep chromosome:LupAngTanjil_v1.0:LG08:5977088:5981579:1 gene:TanjilG_02683 transcript:OIW07049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRHGWQPPLHPLQFVGMAVYIFLVVCFYAFLGLFLGNPKAEITLILIFSFVALSVMFLFIRCTSIDPTDKTSFRKKKKAKSNSVPKLNYGFIMGQIVMRFIRRVERKLLRTFIQRKYLDPLKTSSQMELLLPFPLVTKDDDALSPNIKDDDISFCTLCDFEVKKHSKHCRTCNRCVEGFDHHCRFVGMAVYIFLVVCFYAFLGLFLGNPKAEITLILIFSFVALSVMFLFIRCTSIDPTDKTSFRKKKKAKSNSVPKLNYGFIMGQIVMRFIRRVERKLLRTFIQRKYLDPLKTSSQMELLLPFPLVTKDDDALSPNIKDDDISFCTLCDFEVKKHSKHCRTCNRCVEGFDHHCRWLNNCIGKKNYTTFFLLMMFVLLMLIIEGGTAIAIFVRCFADKKGIEKELQKKLYVEFPRGLLAAICVLLLLLTAYSSAAMGQLFFFHMVLIRKGMRTYDYILAMKENNEAMELESFDDSDFSSDDESIDFDSPEKPTLMSRFLCKNQGSPRLSIRIEGEIMPDPLIKKQKFHVSINPWKLIKFTREKAMLAAEKARERLVKEKQTGENNNSLKPLPVETKCGPLMNADRNVGIEASGLTPSMAKGRLSPGRFSSPRRRLSSPTVFSSSILASPQNKFRSSFDLKLTGVSRELETHISRQVLCSVISKDGNESSPR >OIW05952 pep chromosome:LupAngTanjil_v1.0:LG08:22550241:22551614:1 gene:TanjilG_07228 transcript:OIW05952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPERRQAEAARIREKYPDRIPVIVERAEKTDVPEIDKKKYLVPADLTVGQFVYVVRKRIKLSAEKAIFIFVKNILPPTAAMMSAIYEENKDEDGFLYMTYSGENTFGMMI >OIW07175 pep chromosome:LupAngTanjil_v1.0:LG08:4559407:4559586:1 gene:TanjilG_10148 transcript:OIW07175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPTFQTLQKHWHMGILVWGGLILRSWHIAQREDPEFESRPQYYDSPFHLREKKVSNIG >OIW06162 pep chromosome:LupAngTanjil_v1.0:LG08:19457842:19458192:1 gene:TanjilG_01789 transcript:OIW06162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCYSVSLNFTIQECFPIIDRLNEALEKKKKEISEFETKYKIKIRKADAEVKDESGKKEGSAQGVLVGPASGSE >OIW05974 pep chromosome:LupAngTanjil_v1.0:LG08:21543893:21559721:-1 gene:TanjilG_11661 transcript:OIW05974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSIIKTPSFTTDISSDSIEESGWTTYFEDFFNNQNDNMSLSGVSSSSSLVSNATSLPAKNIGDNEQAQEFSLNKITSKRPSFNKRKNFMTVLVDDALEDTATFPLSSPKELSTYLSASTSTTVIVDKSSDGEFLRIDFNISFPALSCEFASVDVRDVLGTKRLNITKTVRKFSIDSNLRRIGAEFHPGTVANAVKHDDEVDEDSAEGSLSLTTHNFNKYIHQHHIQGFPSIRIFRKGSDVRTEHGHEHESYYGDRDTESLVKMLENLVISLHTEPQKPALEDKSTAANKTKRQAPTTGGCRVEGHVRVKKVPGELIISARSDAHSFDASQMNMSHVINHLYFGRSITPKTMHDVNILLPYIGSSHDRLKGRSFINTRDLEGNITIEHYIQVVKTEVISKTGSRLVEEYEYTAHSSVAHSVDIPVAKFHLELSPMQVSITENQKSFSHFITNVCAIIGGVFTVAGILDSILHNTIKVIKKKVELGKNF >OIW05858 pep chromosome:LupAngTanjil_v1.0:LG08:23102999:23104108:1 gene:TanjilG_23644 transcript:OIW05858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLELGSVAIRSYALETVMDEIQTRLIPLMASKARGENETEINNVLDLQDILRRFSFDIICKFSFGLDPGCLLPSLPVSNLADAFDLTSTLSAERAMNASPMIWKMKRFLNIGSEKKLREAINVLNNSANEIIKQRREMGFATRKDLLSRFMGSVNNDDIYLRDIVISFLLAGRDTVAAGLTGFFSLLSKNPKVEEIIREELNRVLGPVQESPTYDQMRHMHYLNAAVHESLRLFPPVQFDSKYAEEDDVLPDGTIVKKGSRVTYHPYAMGRMEKIWGPDCLEFKPERWLKDGLFVQVDPFKYPVFQAGSRICLGKDLALMNMKSVVAALVPRFDIRVVGSNREPHFMPGLTATFRSGLPVKVIEREI >OIW07271 pep chromosome:LupAngTanjil_v1.0:LG08:2560426:2560587:1 gene:TanjilG_08386 transcript:OIW07271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFLMEMGASSPRVRGSRNTNPMSRSGSRNTSPSRPKVVKIKPLRGSRQTGLC >OIW06505 pep chromosome:LupAngTanjil_v1.0:LG08:11309323:11325833:-1 gene:TanjilG_26694 transcript:OIW06505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFEDQATVQKSKRTTNPGIRIIGNRIYDSANGKTCHQCRQKTTDFAASCKNERKGKPCPIKFCQKCLFNRYGEEAEQVDLLSDWKCPKKKQGLVPTGQLVKTAKASGYKSVDELLHNASNFSVSPMDEVTLGLESVLSVEAEKENSLDGNSSFKVDTLKNQKKSPEISKKTKREGLVEISNVNGVDGACESRSKKKSKICNKVPEEESKQIANGGTELLHEVHEMEMKEARDSDIVGENDDPKKLVANYFAVIAEKEKIEEVPLPPGTTLEDIMGIEFDPEDIGSALQLLEFCKVFGKALDVKKGEAEAVLREMVRKKSMRRAENTLAIQFHIKLLTVILTDSGISSPSLTTNNGKNSWLKALEDLISDESLVLKEFPLDWINEGINGYHNLDLSKKLMLLNFLCDEALGTETLRNYMDEQNLVFSEETKEAKSKVASAKEKVKCLKQKLQDEIAQALLSNVSISEHEARLSQIKSEIAEAQAELLLTKGTVPKSKQISDTMRIDPVFLDYNGQTFWKLKCYTAKYAVLLQDIKVHDVDGTATEEKWFVYDSEMKDEIDKYISSRTKALKVQKVPYIHYNESNVPQMCSEPFMCRHKIICLAASCKNLKENGKACPLNFCQRCILNRGKQGLKPIGRMAKKGKALGSNSVEDMAVDSKSVEDMALGSNSVEDMALGSNSVEDMALGSNSVEDMALGSNSVEDMLDNINNVADLPMDEATSEKELAVVLSDKEKIEKVSFPTGTLLTDIMDIEFSPEDVGSVLQFLEFCRVFGKVLEVKDGEAGAILREIVHKQILHQGESSLAIQFHVRLLTMIMNDSGIRSLSLDTTNGENSWLKSLRDLISGSLVLKAFPLDWIQEGNDGYCSLDVSKKLTLLNFLCEEALGTEKLRGFLHEQNLVYCEAIKEAKSKVAAAKEKEKCLKQKLEDEMSKTDILIALSSEHEALLSQIKSEKAQAHAEFLEAKETIPKGKHSSEAMRINPVFLDDSGPKFWKLESYAAECALLLQDIKVQDDGTAAEEKWFVYDLEKQNEIEKYISLR >OIW07244 pep chromosome:LupAngTanjil_v1.0:LG08:2951545:2952434:-1 gene:TanjilG_08359 transcript:OIW07244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEGISAAVRSQDEGRGFDRHSSSRVLQQGAVRVEPTSSSSIVTPENPSVLHQPPTTSLKHRILKDAVTSTNLDFQILLLLALYLHVSYIPMLCNRLRAEVSLVPF >OIW05694 pep chromosome:LupAngTanjil_v1.0:LG08:24443165:24449723:1 gene:TanjilG_23480 transcript:OIW05694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKCRVAASHPPPAANSPVPEQSSTRRRAGGQKRKANALNASNSSSTPSKRATREKASQLHRSPLHNGPLTRARQIPTNLSAAAPADASSLAASGGSASATAIVKHTDHAAQKQGLGGDSVVVAEEIKKESKWESVEAAIEAEFEAIRSRGTNAHVVPTHCGWFSWTDIHPIENSTLPSFFNGKTENRTPDAYMMIRNCIIKKFHENPNIQVELKDLSELNGGDLNARQEVMEFLDYWGLINFHPFPAMGSAVANASDDGEAEVSSLLEKLYHIETPQLCPPVVQKPSLTTPAMTSGLFPESTIAGELVKQEGPAVEMLEYHCNSCAADCSCKRYHCQKQADFDLCTDCFSNRRFGSGMSSLDFILMEPAEAAGFSGGKWTDQETLLLLEALELYKENWNEIVEHVGTKSKAECILHFVQMPIVDAFIDSDDDVYATFKETADPTATNNYSSLQKDASEDAENNANDSIEGHDETSNAGNDKVKVNQESPKLEGGSDEKTSNSEDTVKVQCSQEVSNDCAINALKEAFVAVGNSPGPEGPSSFAEVGNPVMALASFLAHLVSSDVAVASSHSFLKSMTGNSPGMELAARHCFLLEDPPYNKKDSSSSDRDYKNGEQEDENVKQDKPILDNKDLPNDHNNMKIDNNVLENNIQLASTDDGASEKPIASKEQAVINHEALELDKCKDLMHSKLPDNQAPSTLHDSGGSTSKDEIPPSELREGTLAKEPCNPVEEVKDGHVSDSLQSGKHLQPVEIPKDAEMASASMPSDKNRPQKPLSTNPVHESLEMTGLEMDVDMIPCSLTSEISDSQPLLTAVSIQVNGIEKDIDMTSPSHPVKPNSEAVAGEDHAENCTVVKDNSTETKHDNNFEKLKHAAVSTLAAAAVKAKVLANQEEDQIRQLTSLLIEKQLHKLEAKLAFFNDVENVVMKARDHLEWSRHKLYHERALIIASRLGLPPSSSRGVPPSLPTNRIPVNFANSIPRSQNITNPQMQLISRPVGAVATTIPNPLPSATAAGNSVRPSNQEKLSLVRTK >OIW06986 pep chromosome:LupAngTanjil_v1.0:LG08:7308965:7311135:-1 gene:TanjilG_18374 transcript:OIW06986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLEAEKTTVGWAARDPSGILSPYTFNLRNTGPDDVYIKVHYCGVCHSDLHQIKNDLGMSTYPMVPGHEVVGEVLEVGSDVTRFKVGERVGAGLLVGCCKSCQACQSDIEQYCSKKIWSYNDVYTDGKPTQGGFAETMVVEQNPLAHFGLKNSGLRGGILGLGGVGHMGVKIAKAFGHHVTVISSSDKKKKEALEHLGADAYLVSSDDTSMQGAANSLDYIIDTVPVGHPLEPYLSLLKVDGKLILMGVINTPLQFVSPMVMLGRKSITGSFIGSIKETEEMLEFWKEKGLSSMIEVVNIDYINKALERLEKNDVRYRFVVDVKGSKFDN >OIW05887 pep chromosome:LupAngTanjil_v1.0:LG08:22851199:22851933:1 gene:TanjilG_23673 transcript:OIW05887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLHNKDEPLYAYPFFVFLGEWLILVVTCSIALFFFPFTPSFTLHSTTSSVLNYTAENNFKTNLGIGILIDDSNSLGTKIYYDSLHISIFQDQKNLSTFSLPNDSLQQQELAKNAIEAEYYNVSVKVDEWCNDIDGVQVHGTSCGFVYLDLWFSANARYMMQPMWPSFAIRDKLQGHCGNVKVKLCSSSSDTNTVFHSFLVSCDVHSVVVHKVKLVLFTVLIFLLVIAVALNLLIEQFFCSSTF >OIW06475 pep chromosome:LupAngTanjil_v1.0:LG08:12168145:12177023:-1 gene:TanjilG_05246 transcript:OIW06475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASRKLQGEIDRVLKKVQEGVEVFDSIWNKVYDTDNVNQKEKFEADLKKEIKKLQRYRDQIKTWIQSSEIKDKKISASYEQALVDARKLIEKEMERFKVCEKEMKTKAFSKEGLGQQPKTDPKEKAKSETRDWLNNVVGELESQIDNFEAELEGLSVKKGKSRPPRLTHLETSITRHKAHIKQCELILRLLDNDELSPEEVNDVKDFLDDYIERNQDDFEEFSDIEELYSSLPLDKVESLEDLVTIPPGLAKLAPVLSLKPSLAASAPPSASASQTSATPLIIKIPLLQEQAEDTASQDSNSGIVAINPPYKNSLVSPATSIPAGNLAAPISINVPAHNVASVMPGSNSVQDVVEITNVTNSSSVSQTTAMNQEEINSFPGRGPSSLLSDASPVRSINRNNLSNQTTANVPLASGNMLSNNGALGSVPSASEITKRNILGVDDRLGSNRMVQPLASPTSNRTVMPQVAKGNDGTASIDSSNVNEAAVISGRVFSPSAVPGMQWRPGSPLQNQNDVGQLRGRTEITPDQKEKYLQKLQQVQQQGHSSLLNMHSLAGGNHKQFSAQQQNSLLQQFNSQASSVSSQSGLGLGVHSPGFHGISSSSLQLPPNSPHSSSSQQPLISVASKDTDAGNSRMEELQQQQIFLDDAGTESSAAIGKNFVNEDDLKSPYAVDSPSGVSASLPEPGQTSREIDLSPGQPLQSNQRTGSLGVIGRNFPDLGLGAIGDNFSGSPANSGGMHDQLYNLQMLEAATSNSKFPQPKDSERPKAYTPRYPTITPASYPQVQAPIVNNPGFWERVGLEPFGTDTLFFSFYYQQNTYQQYLAAKELKKQSWRYHRKYNTWFQRHEEPKVATDEYEQGTYVYFDFHIANDDMQHGWCQRIKTEFTFEYNFLEDELFV >OIW05835 pep chromosome:LupAngTanjil_v1.0:LG08:23334280:23335189:1 gene:TanjilG_23621 transcript:OIW05835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQIQYSEKYFDDTNEYRHVVLPPEVAKLLPKNRLLSENEWRAIGVQQSRGWVHYAIHRPEPHIMLFRRPLNFQQ >OIW07374 pep chromosome:LupAngTanjil_v1.0:LG08:337923:343112:1 gene:TanjilG_10209 transcript:OIW07374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYICLLATFFVIVVLGFVSVIFFEAYRRRNNHEHVAVPAIFEDPNSLKQVPCPHVVDPAAKYISLIIPAFNEEHRLPGALDETMNYLQQRASKDPSFSYEVVIIDDGSADGTKKVAFEFVKKYTVDKVRVILLGRNHGKGEAIRKGMLHSRGELLLMLDADGATKITDLEKLENQIHAVAKKEFNSRDSSASDPSFRISDIPIAVFGSRAHLEEKALASRKWYRNILMKGFHLVVLLAAGPGIRDTQCGFKMFTRAAARKLFSNVRLKRWCFDVELVFLCKCFRIPIFEISVNWSEIPGSKVNLLSIPNMLWELVLMSVGYRTGMWRISNST >OIW06236 pep chromosome:LupAngTanjil_v1.0:LG08:18061061:18062686:1 gene:TanjilG_28761 transcript:OIW06236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCIQDLMPGGVNSHVRAFKSVGGQPIVIDSIKGSRMWDIDGKEYIDYVGSWGQQYFGHAHDKVLVALAETMKKGTSFGAPCLLENILAEMVIFAVPSIEMVRFTNSGTVACMGALCLARAFMGKHKVIKFEGCYHGHADALLVKAGSGVATLGLPDSPGVPKAATSDTLTAPFNDLSAIERLFENHKGQIAAVILEPIVGNSGFIAPKLNFLTGILKITKENNALLIFDEVMTGFRLPYEGPVCNFGDAKKSDEAKFARFYWGMLEEGVYYAPSQFEAGFTAWHILWMTSK >OIW07366 pep chromosome:LupAngTanjil_v1.0:LG08:641719:642543:-1 gene:TanjilG_10201 transcript:OIW07366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCKKHITDLSSSVGVCATCLRQRLTSLLEAQAQAQAQLMRVASRSSDECSRNSSNPPPPIIFPRSVSPYVSSRKSDYDAACDGCDRRERLFFSTPQIGPTSYGGGEAMNGDGNRWKKRLSKFGIFSNLFRSRSEKLRSDSSCEQSSSASPSWFSTVLSNRRKNRDRLGMSPAREDECYQAPSASGCSLESSPRWWRTPSSAAGRNSTRRSRLGLEKSVSDSGMAFCLSPMVRLKQKGMPPEKTAAKPHLSAAASLCANRSRKLADFGKVNHNR >OIW07220 pep chromosome:LupAngTanjil_v1.0:LG08:3492622:3497102:-1 gene:TanjilG_02540 transcript:OIW07220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRRILSHSASSACRTHNASLSSLETRSLTTTLSETRSYTRRAAGNLASPCFQPTLTGGFRENQLSTSNARFMSSNASNKQGKSTDKTKNDITNVEEDPFNAPTFNIPEKPVTFVEGASYSVIILAGLGVAAAAGYAVFKELIFQPKEYKIYNKALKRIQDDGQVRVRIGYPITGYGQESRNRAARQRIPNRVWTDEDGAEHVEVNFYIRGPHGHGKVFAEMFKGPADNEWKFTYLIVEIRAPASAQLILESYVPDYTPSK >OIW06828 pep chromosome:LupAngTanjil_v1.0:LG08:8264720:8265217:-1 gene:TanjilG_03723 transcript:OIW06828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANYLMLLSCGGEFETTYSNSSNNNNNNNRVFECKTCNRQFPSFQALGGHRASHKKLRLMGEEGNQIMVHGDSPPKPKIHECSICGLEFSIGQALGGHMRRHRVPINSNGNIQSSTTMVITSSSSSVDTKINKANNSKKLLFLDLNLTPLENDVEILIPLVDCHN >OIW05980 pep chromosome:LupAngTanjil_v1.0:LG08:21621816:21624588:1 gene:TanjilG_11667 transcript:OIW05980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERTLNSIKDKKIAAVQALSGTGACRLFAAFQQRFHPNTQIYIPVPTWANHHSIWRDAGVPLKTFRYYYPESRGMDFAGLMEDIKNAPDGSFFLLHACAHNPTGVDPTEEQWREISSLIKSKVHFPFFHMAYQGLAQSYAKNMGLYGQRVGCLSVLCEDAKQAVAVKSQLQLISRPMYSNPPLHGALIVSTVLGDPELKKLWLEEVKGMADRIIGMRTTLRENLEKRGSPLPWQHITDQIGMFCYSGMTPEQVDRLTSDMAGINSGNVEYIADAIHEVTKSA >OIW05786 pep chromosome:LupAngTanjil_v1.0:LG08:23783729:23784418:-1 gene:TanjilG_23572 transcript:OIW05786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKSQADEKILSYDDVVLRQSDIDILSGPYYLNDRIIEFYFSYLSSSHSSQDIFLIPPSIAFWIMKCPVVETLKDFIEPLHLSDKKLVIFPINDNDDVDLAEGGSHWSLLAYYRQANVFVHHDSFGRVNTEPAKQLYKAVVGYMGFSGSASKTRFLECPDSPKQVNGHDCGLYVSAIARVICDWLLNCINNNTNDLWFSDVKEKVTPSAVAGMRIEILALIRDLMARQA >OIW05967 pep chromosome:LupAngTanjil_v1.0:LG08:21469852:21475520:-1 gene:TanjilG_11654 transcript:OIW05967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFEFKDCVFEYSVLNPLLLLLWVAVVFISLILGMSNNNDGHKQQHLHGGVGNGVRANSNNNRFLPGSFRAFSNYLKVVSSGASTVARSAAASAASVASAIVDRDGDADHNQVIWAGFDKLEGEGGVVRQVLLLGYRFGFQVWDFDDSNNVRDLVSRQDGPVSFMQMVPSPIVSKRPEDKFAGRRPLLVVCTDGFFAGGGNVQDGVTPPSNGGTANYQEQVNGNYLPTTVHFYSMRTQSYVHVLKFRSAVYSVRCSSRIVAVSQTTQIHCFDATTLERGYILCTNPIVLSCPSFGGIGYGPLAVGPRWLAYSGSPVAVSTSGRVSPQHLTPSASFPGFSSNGSLVAHYAKESSKHLACRIVTLGDMGYKKLSRYCSELLPDSNDYLQHVNSASRSNGVSNGHSPDVDDIGMVIVKDIISKNIVSQFRAHKSPISALCFDPSGTILVTASVQGHNINVFKIMPGCDILSASDASPSHVHLYRLQRGFTNAVIQDISFSVDSKWIMISSSRGTNHLFAINPQGGPVNIQPYDDSFTANNGGSGVMTNQAVHCPVQTYKQQSLCAGGPPITLSVVSRIRSGSNGWRGTVTGAAAAATGRMSSLSGAVASSFHNFKGSSALYVEGNHSMEKYYLLVFSPSGSMIQYALHLQTFNGLDSVVVSGLAPAYEAAPQTDPRVIVDAVQKWNISKRNSWRQQADNIDIYGENGISDCNKIYPEEEKGDNITTPKIKNVLKVNSRPKVKHNLYISEAELQMHEAGTPLWAKAEIYFHSMGKEAIMMMNKEAASGGETEIERIPTRMIEARPKDLVPIFDYIQTPKFQHTRTPAVDNKLDEQMLHQSSGLFERGSSSPSSIFGSPEYMITSNGAIDEFKSGFEGNEWDDHLILAETKGFVNNNDTLKPKTIHEIVDNKIEHLNMEAQLMFVNSDNESLKMKNPFEEKGDESD >OIW06665 pep chromosome:LupAngTanjil_v1.0:LG08:10314780:10315349:-1 gene:TanjilG_04059 transcript:OIW06665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKEEEEKEYCVNYLICPSFSIYSSDKLSNIVDQLKTDHDNDTFEFVSFPKQASHQVFFHDVDDAGTRGSTMEMTKLKLLISDDNHRSDSLSSTKVDELDTIPADTYCLWTKKSNSSNRWKKSNSTGSSTKIWNLLDLLRRTKSKGKNTFNFLKKKGEAKSENSKHRIIENKRRTYLPYKQGLFGFALF >OIW05856 pep chromosome:LupAngTanjil_v1.0:LG08:23145043:23146219:1 gene:TanjilG_23642 transcript:OIW05856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVIAAYLLAVLGGNSSPSAQDLKHILASVGAEADDDRIQLLLTEVKGKDITELIASGREKLASVPSGGGAVAVAAAPAATGAAAPAAEAKVEKKVEEKEESDDDMGFSLFD >OIW06868 pep chromosome:LupAngTanjil_v1.0:LG08:7380969:7381646:-1 gene:TanjilG_19517 transcript:OIW06868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVHALFFLAFLVGMHGTHAVDYTVTNNALNTDGGVRFRDEIGEQYARQTLDSATQFIWGTFQQNNPDTRKNVNTISLFVDDIDGVAYTSNDQIHVSARYVKSYSGNVKTEITGVLYHEVTHVWQWNGNGQAPGGLIEGIADYVRLKANYAPSHWVKPGQGQKWDQGYDVTARFLDYCDSLKSGFVAELNNKLRSGYDVQFFVQLLGKTVDQLWQDYKAKYGNIA >OIW06480 pep chromosome:LupAngTanjil_v1.0:LG08:12229333:12240661:1 gene:TanjilG_05251 transcript:OIW06480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSDEEGEIVPDFVNDYWFENDKGGLVSFSSLTLLWGISDIKCDLETKVFLRGTTDDGLQKIYKQIIGWRFELPHGQPEPEISVLLKDKNWITLQKPRKCFESTIRTVLVAVYWLHFVKWNREEATISVWNKVLTTFGSFEVAPSENDVLNHMSLISEAANRDKDLAKSKCLLRLIQKSSSNEGFHEDIHTAKTSKFIVDSEEEEIDESDSELNAEVEQNIGYDTVCAICDNGGEILPCEGPCLRSFHATKEDGKDSLCESLGYSITQVNAIPNFYCKNCRYKQHQCFVCGKLGSSDVSSNAEVFPCITANCGQYYHPECVARLISPGTEQEEMKKRVASGKSFLCPLHMCFLCRKGENRNVQDLQFAICRRCPKAYHRKCLPKEISFTYDYDKGIELRAWDGLLDHRILMYCMDHELIRGLGTPARHHLAFPDMEVKRKICSYNLLDKAKGAKTLTQSFESLPPKRTLVPNQVTKESVSNQSGGHSKVMEKISFKKDKCLSTGSFQIDMTRKLSKDEKIFVSNKSLPSAKNKFSSRNDNLSNSSRLFNARSQQQKNVSQRIEKACLEKPLVKKLKNSLNFDNADMEKRILSLMKETTSTFNEEESKKNCFADTVFNKNLTQGKVEGSVKAIQTALQRLEEGCSIEDARAICEPGILSQLFMWKKQLKVFLAPFLYGMRYTSFGRHFTKIDKLKEVVDRLHWYVQNGDTILDFCCGSNDFSCLMKSKLEQMGKSCSFKNYDLFQAKNDFNFEKRDWMSVNAEELPNGNHLIIGLNPPFGVKGSLANKFIDKALTFKPKLLILIVPKVTKRLDRKKGGGYDLIWEDDKMLSGKSFYLPGSVDTREKQLEDWNVDPPPLYLWSRPDWTAWHRKIAQKYSHIRENYDVHGKGKDIKNYLMEENHDCYQNYLGLHEPDDFSSIFDGVPDDSVDIAHLVGQTSNISSQLKETVFPYCGREIDCEDQLLPDEDGK >OIW06937 pep chromosome:LupAngTanjil_v1.0:LG08:6871333:6873306:1 gene:TanjilG_18325 transcript:OIW06937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ILYRRPEGNPLDLNNLPDEYSSRDGKQVLEESSSPSYRKKKNGGREGKEECGKVYECRFCSLKFCKSQALGGHMNRHRQERETETLNHARQLVFRTDHTLAAQAAPHLGCCQPIGAGGAGGYHPSGNMGDPTIPPPLRFPRYFTASSSSSHIPPPPPQPPYLYASPSRPPVPFTSHFPPHNDYYVGHVMNPTHHSYINVAGSGGGESGGSYTCIGAPVAQSFSGGGKDGTVQNQQEELNWGRSYSGGADASAINRFHDGF >OIW05625 pep chromosome:LupAngTanjil_v1.0:LG08:24901741:24906739:-1 gene:TanjilG_23411 transcript:OIW05625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSSKKSATKVDAAPAVVAPSKSAKKGGKRQPEDEIEKQLSAKKQKIEEVAQKQKKEAKLQKKESSSDDSSSESEDEKPAVKAVAASKKQPAAVKSKVQKKESSSDDSSESEDEAPKPKVAVAGKNGSAPSKKNVESGESSEDTDDDSTDDDNQATEAAKKLPASAVKKAESDSTAVSTDDDESSDSDGDDKKKNVKAANGNNKPAPVTKTVKKESDSSSDDDEPEKDSKESSDDGSESSEDSEEENEKKPSQTPLKSVKDVEMVDASSEKKAPKTPSTPNAENGGSKTLFIGNLSFSVQRSDIENFFKDAGEVVDVRLAIDDDGRFKGFGHVEFATSEAAKTALELHDQELLNRPVRLDLARERGAYTPNSGPNSGNFNNSQSGGRGQSQTVFVKGFDRSLGEEEIKSSLEDHFGTCGQITRISIPKDYDSGESKGFAYLDFKDGDSLSKALELHESDLGGYTLSVDEAKPRDNQGSGGGRGGRFGGRSGGRGGGGRGGGGRGGGGRFGGRDSGGRFGGGRGGGGRFSGGRGGGGRFGGGGRGRGGPSRPSYAAEGKKTTFGDD >OIW06257 pep chromosome:LupAngTanjil_v1.0:LG08:17374072:17396059:1 gene:TanjilG_19936 transcript:OIW06257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGTCTPDNKENLDSISSFCEAYDPSAPLEEKGLVLVEIHRKNLSHIRGERRKGQHAQGVGRRLIYNRFKRAHRVSARAGSKDRCSAKVVIHHILARQSRVLGSEQGHFDNESKHLAKVRPTEQPLLSKRINKA >OIW07317 pep chromosome:LupAngTanjil_v1.0:LG08:1997718:2006584:-1 gene:TanjilG_11951 transcript:OIW07317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFSKLDDSPMFRKQIQGMEESAESLRERSLKFYKGCRKYTEGLGEAYDGDIAFASALETFGGGHNDPISVAFGGPVMTKFTIALREIGTYKEVLRSQVEHMLNDRLLQFVNIDLLEVKEARKRFDKASLIYDQTRERFLSLRKGTKSDVATALEEELHNSRSTFEQARFNLVTALSNVEAKKRFEFLEAVSGTMDAHLRYFKQGYELLHQMEPYINQVLTYAQQSRERSNYEQAVLNERMQEYKRQIDRESRWASNGSNGSPNGDGIQVIGRSSHKMIEAVMQSAAKGKVQTIRQGYLSKRSSNLRGDWKRRFFVLDSRGMLYYYRKQIGKSSGSSSQHSSQRNSSELGSGLLSRWLSSHHHHHHHGGVHDEKSVAHHTVNLLTSTIKVDADQSDLRFCFRIISPTKNYTLQAESALDQMDWIEKITGVIASLLSSQIPERLLPASPRGSGHHRSTSESSSFESSDFDHAAVEEFAAERSLASAHLERPSRSLQQQRSGIKSEKPIDVLRRVCGNDRCADCGAPEPDWASLNLGVLVCIECSGVHRNLGVHISKVRSLTLDFKVWEPSVISLFQSLGNTFANSVWEEILQSRTAFQVDLVPTGLNNSDKPVMHFISKPCQSDSLSVKEKFIHAKYAEKLFVRKPKDNQYRILLAQQIWEAVRANDKKSVYRYIVNSEVDINVAYDQACSTSLTLAKVMLLQEQTSHDHDSTLAGNALDWSSASSLNSVGTKEGQAMGNLDGSTLLHLACEAADIGMLELLLQYGANVNAADLRGQTPLHRCILKGRTTIARLLLSRGADPRAVDDDGRTPIELAAESNVDDREVVAPSTDSNG >OIW07151 pep chromosome:LupAngTanjil_v1.0:LG08:4820788:4825464:-1 gene:TanjilG_10124 transcript:OIW07151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGNGSEGLGDDFFEQILAVPEASYGRSLSDVGSMQMGLQLGSNNGGDVLRGEGSLGIRVGMPLGLNLEQGAFMRHQQGHDVEGNITNSHTHNQHHQQQLLHLNHNGNNNNNNTSQSSSSNAGINDKDMQMRGMFSGFGQLHNPPHTHAHAQSIRPTLLSPHPQLHHHHQPFQSQTQPPMSVAAMPQHPPGIRPRVRARRGQATDPHSIAERLRRERIAERMKALQELVPSINKTDRAIMLDEIMEYVKFLRLQVKVLSMSRLGGAGAVAQLVSDVPFSAVEGEDNDGADEQTWEKWSNDGTEEQVAKLMEEDVGAAMQFLQSKALCIMPISLASTIFRMPQSEPSSLIKPESNNS >OIW06593 pep chromosome:LupAngTanjil_v1.0:LG08:9830268:9831614:-1 gene:TanjilG_03987 transcript:OIW06593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGNLSQGGIIQGGNSFGGFGLQESIQVHHQAQHSRTMHQHQTLPRQGSSVHSSVHEGFPLTMGTLQNCDHTIPMTDFSKADRSKNSASEEDEPSFTEDGFDGHHESGRGKKGSPWQRVKWSDKMVKLLITAVSYIGEDGTSDGSGSRRRKFVILQKKGKWKSISKVMAERGYHVSPQQCEDKFNDLNKRYKKLNDMLGRGTSCQVVENPALLDLIDYLSEKEKDDVRKILSSKHLFYEEMCSYHNSNRLHLPHDPALQRSLQLALRNRDDHDNDDIGRSRHDDHDEDDQDDEVDGHDEVEENCASHGDSRGIYGPFGGSMKKKLKQCHGHEDASTFVNPLSCQDHNKHAYPHAQMVQSDSSQVLPEGTRAAWLQKQWFESHSLQLEEQKLQIQVEMLELEKQRFKWERFSKKKDRELEKLRMENERMRLENEHIALELKRKEIGGFN >OIW06385 pep chromosome:LupAngTanjil_v1.0:LG08:13378204:13380288:1 gene:TanjilG_13571 transcript:OIW06385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCFQTVAHQRGSGEFIRFTGGEGSGGSDEKHGGGSSRTPESLELNQVMEQGEVSMQGSSMASGFSMARESTEMVSGLTHVASRSSPRGTEWVQVQRSSGFPMMSGFGHVVSSSPSTLSSFYSGSGLVSGSWVGHKRGREEESSGSSYQLMQQADPKHFRPIGDFRIPTQGESSSVTKEAATTGITVTVTTPATPSTETASNEEARERRRYRGVRQRPWGKWAAEIRDPHKAARVWLGTFDTAEAAARAYDEAALRFRGNRAKLNFPQDIRALAVPPPVRTFPATTQLVAATSDSSATHFLQPPTAIATLPQLQPSFMQQQQPLLQGSSDLIRDYWQYSQLLQSSSDFNQQQQLQQQQQPYSLWQQWYYSSQFASHQSSSLLSSSASLSSSISTNASFSPSTQFSSASFPLFSSQQMDYFRPPGNHPQGGGSSGSGSEFPPSTWSDTSGYPPPPS >OIW07032 pep chromosome:LupAngTanjil_v1.0:LG08:6160247:6160645:1 gene:TanjilG_02666 transcript:OIW07032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATIAGLSLSSPRVLAKVPDSSPQKVQLIRFPSLFKLNQRSLATHGSGRMMSLRPVHAAPESISEKVEESIKNAKEACSDDPVSGECVAAWDEVEELSAAASHARDKKKSTDPLDAYCKDNPETDECRTYDN >OIW05663 pep chromosome:LupAngTanjil_v1.0:LG08:24639612:24641807:-1 gene:TanjilG_23449 transcript:OIW05663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPALACCVDTAAALGSPGYALAAAGDFSLPPPVIFSDLPPSTTTITTTTTADWSPSLSSSLYKVDGWGGSYFAVNSSGNITVLPHGSETLSHQEIDLLKIVKKASDPRSCGGLGLPLPLIVRFPDVLKNRLESLQFAFDHAIQSQGYESHYQGVYPVKCNQDRFIVEDIVSFGLPFRFGLEAGSKPELLLAMNSLCKGSPEAFLVCNGFKDSEYISLALIARKLALNTVIVLEQEQELDLVIEISNKLCIRPVIGLRAKLRTKHGGHFGSTSGEKGKFGLTTAQILNVLKKLEHVGMLDCLQLLHFHIGSQIPSTTLLADGVGEAAQIYCELVRLGARMGVIDIGGGLGIDYDGSKSTDSDVSVGYSLEEYANAVIHTVQYVCDRRSVKHPVVCSESGRAIVSHHSVLIFEAMAASAYCAPTFSTLGLQYLVEGLSEEARADYHNLSAATIRGDNETCLLYTDQFKQRCVEQFKQGTLGMEQLAAVDGLCDLIGKTIGTNDAVRTYDVNLSVFTSIPDFWGIGQVFPVVPIHRLDEKPTVRGVFSDLTCDSDGKIDKFIGGESSLPLHELEGGHGSGGYYLGMFLGGAYQEALGGVHNLFGGPSVVRVLQSDGPHGFAITRAVPGPSCGDVLRVVQHEPELMFETLKHRAEELTDDDGNSVVTASVLETCLASSFSKMPYLVASSCGLNAMNNNHNNNGFYYCSSDDDCNAAIAESAGGGGEEEQWSYCCA >OIW05543 pep chromosome:LupAngTanjil_v1.0:LG08:25427827:25428699:-1 gene:TanjilG_23329 transcript:OIW05543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYAAVKPTKPGLEEPAEQIHKIRITLSSKNVQNLEKVCTDLVRGAKDKRLRVKGPVRMPTKVLNITTRKTPCGEGTNTWDRFELRVHKRIIDLFSSPEVVKQITSITIEPGVEVEVTIADA >OIW07337 pep chromosome:LupAngTanjil_v1.0:LG08:1308536:1310073:-1 gene:TanjilG_10172 transcript:OIW07337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQDSSYKVDTLEKSSDIEDRISELPNSIICYIFTFLNLKEVIRTKALSDTWKDRSMDMSILVFDPTIINIDLDDVLSLESMPLPKKYQFIERVDQLLEILTINQSVSFTIWFPLGKQFTSHIDKWINRAIEKECEKLDLEFQFARIDDEPYDFPFHILLSSKKSHLKCLSLCECQLKPTREVVHRLNLLESLTLVYVSMEASDLEILLSSCLNLEFLHLIDCKFLSHAHNNNQTPKFNNLTHLELTPKGDYGWNVSIGILYKSPKLEVLAIEVSKG >OIW06980 pep chromosome:LupAngTanjil_v1.0:LG08:7271058:7273971:-1 gene:TanjilG_18368 transcript:OIW06980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMKTTLSLRTPSPSLSLSSKLPFTSAFSLRPFPRRHRNLVFIVSASINAKPTVLVAEKLGEAGLNLLKEFANVDCSYNLTAEELCTKISLCDALIVRSGTKVSRDVFESSAGRLKVVGRAGVGIDNVDLAAATEFGCLVVNAPTANTVAAAEHGIALLAAMARNVAQADASVKSGKWLRNKYVGVSLVGKTLAVLGFGKVGSEVARRAKGLGMHVIAHDPYAPADRARAIGVDLVSFDEAISTADFISLHMPLTPSTAKVLNDETFAKMKKGVRIVNVARGGVIDEDALVRALDAGTVAQAALDVFTEEPPSKDSKLILHEQVIATPHLGASTTEAQEGVAIEIAEAVVGALRGELAATAVNAPMVPAEVLTELKPFVDLAEKLGRLAVQLVAGGSGVKTVKVTYASSRAPDDLDTRLLRAMIIKGLIEPISSVFVNLVNADFTAKQRGLRITEERVILDGSPENPLESIQVQIANVESRFASAISDSGEIKVEGRVKDGIAHLTRVGSFEVDVSLEGSIILCRQVDQPGMIGKVGSILGQENINVSFMSVGRTAPRKQAVMAIGVDEQPGKEVLKKIGDIPAVEELVFLKLQDKVVT >OIW06683 pep chromosome:LupAngTanjil_v1.0:LG08:10463962:10464933:1 gene:TanjilG_04077 transcript:OIW06683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSSSFFFFLFFFFFPIISQSRLTLDFYKQSCPQFHQIIQDTVTSKQISSPTTAAATIRLFLHDCLLPNGCDASLLLSSTPFSTAERDNDINLSLPGDAFDLVVRIKTALELACPNTVSCSDILAASTRNLLVMLGGPNFPVFLGRRDGTVSTSASVTNHLPKPAMAMSQIIDIFTQRGFTVEEFVALTGAHTVGLTHCSEIFNNKSNNNIHNDNGYNPRFAEALEKACGNYKNDPTLSVFNDIMTPGKFDNMYFQNLPKGLGVLKSDHGLYSDNRTKPFVDRFGKDENEFFRVFAKSMQKLSFLGVKTGRRGEIRRRCDQIN >OIW05660 pep chromosome:LupAngTanjil_v1.0:LG08:24659631:24661301:-1 gene:TanjilG_23446 transcript:OIW05660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATATSQNLSLSSSSSTLSLYKTLPKPSSLPLPRRTHESPLAASHNNPISDIVLSKTPHRDDDDKPREECGVVGIYGDPEASRLCYLALHALQHRGQEGAGIVTVNNNVLQSITGVGLVSDVFNETKLDQLPGDLAIGHVRYSTAGQSMLKNVQPFVASYRLGSVGVAHNGNLVNYRTLRAKLEENGSIFNTTSDTEVVLHLIATSKHKPFILRIIDACEKIEGAYSIVFVTEDKLVAVRDPHGFRPLVMGRRANGAVVFASETSALDLIEATYEREVYPGEVIVVDKDGVQSLCLMSHPQPKQCVFEHIYFALPNSVVFGRSVYESRRQFGEILATESPADCDVVIAVPDSGVVAALGYAAKAGVPFQQGLIRSHYVGRTFIEPSQKIRDFGVKLKLSPVRAVLEGKRVVVVDDSIVRGTTSSKIVRLLKEAGAKEVHMRIASPPIIGSCYYGVDTPSSEELISNRMTVEEIREFIGSDSLAFLPFDSLKGYLGNDSPNFCYACFSGNYPVEPRELKVKRVGDFVDDGLNGSLETIDGGWVKANRNLKEVKVVDT >OIW06695 pep chromosome:LupAngTanjil_v1.0:LG08:10548722:10550515:1 gene:TanjilG_04089 transcript:OIW06695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKDQTFVLHLFVLCFITFWVLFVSSQEEEDTVRCLIGIKNTLHDPTNRLSSWQFENTTVGFICDFDGVSCWNQRENRVLGLDFRNMSLSGRIPDSLKYCGKSIQSLDFGSNSLNSEIPSEICNWMPFLVSLDLSGNKLSGNIPPTIHNCFYLNVLMLENNDLSGSIPSEFRDLIRLRKFSVANNRLSGAIPALFNDYDKGGFDGNDGLCGGPIGSKCGGLSKKSLAIIVAAGVFGAAASLLLAFGVWWWYHLRLRWRRKRGCRVGDDDGGGDEKWAASLRGFKLVQVTLFQQPIVKVKLGDLMAATNNFSDESFLISTRMGATYKAILRDGSTLAVKRLDTCKIGKKQFRMEMNRLGQVRHPNLAPLLGFCVVEEEKLLVYKHMSKGTLYSLLHNNGGGLDWLMRFRIGLGTARGLAWLHHGCRPPIIHPNICSNVVLVDEDFDARLMDFGLDRLIKSDPNGSFVNGDLGELGYIPPEYPSTLVSSLKGDVYGFGVLLLELVTGRKPLDVSNDEEEFRGNLVDWVNMHSSSGRINDCIDKAISGSGHDEEILQFLKVALNCVVSRPKDRWSMYQVYHSLKGISKDHSFSEHDDEFR >OIW06604 pep chromosome:LupAngTanjil_v1.0:LG08:9906309:9908081:-1 gene:TanjilG_03998 transcript:OIW06604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRVPKEENWHPSKNTDSKECEEVFSMSSSTRNSNSLQSSSNAITKRLGASKNPAILPETTRNCSTQCQPVQHLITNESMNLWQKSPNHIDMPWPFISVLDDGMHHMESGGYSNIVGHPEGTPHVIESLKKSRPSSFLSQEYCVNIKKNRCEVAYEKAIMELQLSELSKISICDDYPGRSAIHGDLSEASVFSPIDYGKQFEGGKQATSVNMKKNPSSFDFQIQQDLELNSFSSSFDGKSYSSSELCLPDEDSLITFDDPFLMAGLAVVDNSMSSPERNPIEPYVFSPSSPRDMIEKLVESILNDESSPPTEAHLFFPSEDEKHLQGVMQATSISMKKNPPNPGFQIQQDLELNSFNSSLDAKSNSIPELCLPDEDSLITFDEPLLMADVENSDVVSNSMISTESNPVEPDEFSPSSLCDIIEKLMESILCDVNCPPPPHVGDPKVHHGAGLEGFYHSLYARQSHAHFGSNQFNPGEDQQAHLSFQRNNIGSAIHSFFTYHPLPLNTMSQPFLVSQDKRRFGYGFGKSMFHQMMNPGKLHVCSLCRVTPHPCMLQLASCSQKLNTMLWVDTKLRARNWHPYVGGKFPAVD >OIW07150 pep chromosome:LupAngTanjil_v1.0:LG08:4831111:4836235:-1 gene:TanjilG_10123 transcript:OIW07150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSITSRRSRIQIRITKPLTCNALFGLGVPELAVIAGVAALLFGPKNLPQVGRSFGKTIKGFQQAAKEFESELKKEPNSTDETPSEELTDVSEQQEQDTKMSITSRRSRIQIRITKPLTCNALFGLGVPELAVIAGVAALLFGPKNLPQVGRSFGKTIKGFQQAAKEFESELKKEPNSTDETPSEELTDVSEQQEQDTKVSSNNKDNV >OIW06970 pep chromosome:LupAngTanjil_v1.0:LG08:7183626:7183970:-1 gene:TanjilG_18358 transcript:OIW06970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNRGAADQNFGGRLVDESMIVLRKRIHEMNMIERNYEPPSEWMDWEKGYYTSYNSNICEAMGFLQTQLLNTRPSLALGVMALVAISVPISSALVLFHFLEFSKAAMAGIHLS >OIW05650 pep chromosome:LupAngTanjil_v1.0:LG08:24712647:24722981:-1 gene:TanjilG_23436 transcript:OIW05650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METRSRKRAEASSAAPSSSSSGPTTRSTKSPRFSSSSTTATLTTTPTRSVNTRSRVSRTKEGKEPLPLKKSIAMDSTNESSGSRGRRGKNADRDNSEKGKEKEHDVRIREAERGIALNMESSGIGDDNDNDSEGGTGMLHPNLTSASSALQGLLRKLGAGLDDLLPSSAMGSASASHQSGRLKKILSGLRADGEEGRQVEALTQLCEMLSIGTEESLSTFSVDSFVPVLVGLLNHEINPDIMLLAARALTHLCDVLPSSCAAVVHYGAVSNFCARLLTIEYMDLAEQSLQALKKISQEHPTACLRAGALMAVLSYLDFFSTGVQRVALSTAANMCKKLPSDAADFVMEAVPLLTNLLQYHDSKVLEHASVCLTRIAEAFASSPDKLDELCNHGLVTQAASLISTSSSGGGQASLSSSTYTGLIRLLSTCASGSPLGAKTLLLLGISGILKDTLSDSRVSSNASVSPTLSRPPDQIFEIVNLANELLPPLPQGIISLPVSLNLFVKGPLVKKPHAGSSGKQEETNGNVPEISAREKLLNDQPELLQQFEMDLLPVLIQVYGSSVNGPVRHKCLSVIGKLMYFSTADMIQTLLSVTNMSSFLAGVLAWKDPHVLLPALQIAEILMEKLPGTFAKMFIREGVVHAVDLLILAGNPTNVPTQTSFADKDNDSVSGPSSRSRRYRRRSGNSNPDGNPLDDLKSPVSVNVGSPPSSVDITTTNSSIRLSVSAAARAFKDKYFPSDPGAIEVGVTDDLLHLKNLCMKLNASVDDQRTNGKSKTSEVLLKENSANKEEYLIGVISDMLKELGKGDGVSTFEFIGSGVVAALLNYFSCGCFSQISETNLPKLHKQALTRFKSFIAVALPASTGHEAIAPMSVLVQKLQSALSSLERFPVVLSHSARSSTGSTRLSSGLSALSHPFKLRLCRAQGEKSLRDYSSNVVLIDPLASLAAIEEFLWPRIQRSESAQKSTVPVGNSESGTTAGTGVSSPSASTPSTTRRHSTRSKLSVNIGDTPKKEVAQDKSMSSSKGKGKAVLKPSQEETRGPQTRNATRRREALDKDAQMKPLNGDSTSEDLGDVSLPVSLPDKVHDVKLGDSAESTVAPATSDGQTNAASGSSSKVGTARRSDNAEFRSGYSSTSRGALSFAAAAMAGLGSVNSRGIRGGRDRHGRPLSGGSNDPPKLIFTAGGKQLNRHLTIYQAIQRQLVVDEDDEERFTGSDFVSSNGSRLWGDIYTITYQRSENQTNRASAGGSSLNVSKSAKSAPASNSNSEAKLQQTSVLDSILQGELPCELEKSNPTYNILALLRVLEGLNQLAPRLRAQVATDSFAVGNTSDLDELGVTGGARVPPEEFISSKLTPKLARQMQDALALCSGSLPSWCYQLTKACPFLFPFETRRQYFYSTAFGLSRALHRLQQQQGADGHGSTNEREVRVGRLQRQKVRVSRNHILDSAAKVMEMYSSQKAVLEVEYFGEVGTGLGPTLEFYTLLSHDLQKVGLQMWRSDSSEKYQMEIDGNEKKSKSVESSFAGDGELVQSRLGLFPRPWPANSDASEGSQFYKVIEFFRLVGRVVAKALQDGRLLDLPLSVAFYKLVLGQELDLYDILVIDTELGKTLQELNALVCRKSYLESGGSFTDKVANLHFRGAPIEELFLDFTLPGYPEYILKPGSEIVNINNLEEYISAVVDATVKTGIMRQIEAFRAGFNQVFDISTLQVFTPQELDYLLCGRREMWKAETLVDHIKFDHGYTAKSPAIVNLLEIMGEFTPEQQRSFCQFVTGAPRLPSGGLAVLNPKLTIVRKLSSTAANVSSNGNVPSESADDDLPSVMTCANYLKLPPYSTKEIMYKKLLYAISEGQGSFDLS >OIW06571 pep chromosome:LupAngTanjil_v1.0:LG08:9665938:9668321:1 gene:TanjilG_03965 transcript:OIW06571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMILNNNNNGTSLFSVPTLFLLFILLSIFSFSFFFSFSSSISHISSFSLQSSSSSSSSINVYVADLPRSLNYNLLHRYWSLNSDSRLSTDPDSEIRSTHISKTLDFPPYPENPLIKQYSAEYWIMGDLMTPPDLRTGSFSKRVFDARDADVVFVPFFATLSAELQLGMAKGVFRKKVGNDDYLRQREVMDFVKNTQAWNRSGGRDHVFVLTDPVAMWHVKDEIAPAVLLVVDFGGWYRQDSKSSNCSSPEMMIQHTQVSVLKDVIVPYTHLLPRLRLSENQERHTLLYFKGAKHRHRGGLVREKLWDLLINEPGVIMEEGFPNVTGREQSIRGMRTSEFCLHPAGDTPTSCRLFDAIQSLCIPVIVSDDIELPFEGMVDYSEFSVFVAVGDALKPSWLVNHLKSFSKVKIDKFRQNMARVQPIFVYDNGHPGGIGPIPLDGAVNHIWKKVHQKLPMIKEAIIREKRKPPDVLVPRRCHCT >OIW06623 pep chromosome:LupAngTanjil_v1.0:LG08:10008916:10013356:-1 gene:TanjilG_04017 transcript:OIW06623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGHTDMGLICENFDTGLTGRMRDDEYESRSGSDNFDGASGDDQDAGDGHPKRKKRYHRHTPHQIQELESFFKECPHPDEKQRLDLSKRLSLENKQVKFWFQNRRTQMKTQLERHENIMLRQENERLRAENNMIKDAMSNPVCNSCGGPALPGQISFEEHQIRIENARLKDELTRIVSLTNKFLGKPISSLSSPMSLSAANSGLELGIGMKGIGGSSNVGTPLPMGLDFGDGVLTSPPAIPGIRSPMGLLGNDAQLERSIFIDLALAAMEELIKMAQADSPLWIKSLDGEKEVLNHEEYARLFSPKPAGYATDATRETGIVIINSLALIETLMDANRWAEMFPSIIARAVPLDVITNGMGGTRNGALLLMHSEVQLLSPLVPIRQVRFLRFCKQHAEGVWAVVDVSVEIGRDAANTQFMTCRRLPSGCVVQDMPNGYSKVTWVEHWQYDESVVHQLYRPLLNSGIGFGAHRWIATLQRQCECLAILMSSITSDDHTALSQAGRRSMLKLAQRMTSNFCSGVCASSARKWESLPVGTLGDDMRVMTRKNVDDPGEPPGIVLSAATSVWMPVSQQRLFNFLRNEQLRSEWDILSNGGPMQEMVHIAKGQGHGNCVSLLRASAVNANDSSMLILQETWTDSSCSVVVYAPVDVQSLNVVMSGGDSAYVALLPSGFAILPDCQSSRYSTSNNSCNGNIPKGGGGKDEGGSLLTVGFQILVNNLPTAKLTMESVDTVNNLISCTIQKIKGALRVA >OIW06424 pep chromosome:LupAngTanjil_v1.0:LG08:11486262:11492553:1 gene:TanjilG_05195 transcript:OIW06424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQASTFSVKGATFGIQKQRVSLGFEDLRKCSVLRPIRVCMTNNGTNSYGLRLGKVTKDSEMDYARVGFSGIFGSSAKPRSVMVQASGGDIEDNIHVVPRGQSSGTVLPYVGVACLGAVLFGYHLGVVNGALEYLAKDLGIIENTVLQGWIVSALLAGATVGSFTGGTLADKFGRTRTFQLDAIPLAVGAFLCATAQSVQTMIIGRLLAGIGIGVTSAIVPLYISEISPTEIRGALGSVNQLFICVGILLALVAGLPLAGNPAWWRTMFSISVVPSVLLALGMAISPESPRWLFQQGKIVEAEKAIKTLYGKERVAAVMRELTASTQGSSEPEAGWLDLFSSRYWKVVSVGAALFLFQQFAGINAVVYYSTAVFRSAGIASDVAASALVGASNVFGTAIASSLMDKQGRKSLLITSFSGMAVSMLLLSLSFTWKVLAPFSGTLAVLGTVLYVLSFSLGAGPVPALLLPEIFASRIRAKAVSLSLGMHWISNFGIGLYFLSVVNKFGISSVYLGFSAVCVLAVLYITSNVVETKGRSLEEIERALSSAA >OIW07233 pep chromosome:LupAngTanjil_v1.0:LG08:3194215:3194982:1 gene:TanjilG_08348 transcript:OIW07233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACWSAENATKAYLNTLKMGQKTREPNVTEFISALAAGNNAQVMVVACAGAADSTTLALVAAAHQTGGQVICIVSGPNELNASKSALGIAALKVHFIVGQAQQLLLDQYEEADFVLVDCNLDSHEEILKSVQKGRKNNGTVVVGYNAFRCRGLWCSCGSKTQLLPIGEGLLVTRFGASDASPKYGNRTGKTKSHWIVKVDKCTGEEHVFRVRFPQGKVIQA >OIW06285 pep chromosome:LupAngTanjil_v1.0:LG08:17018010:17018702:1 gene:TanjilG_19723 transcript:OIW06285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNPKRRLEKRSKVELEMGSDEIPDLTKKVPANVLSHILTFLPLDEAIRSGILSKKWKDLWRNTTHIELNEKKLIKPLSQLLISRKFVPTKDVTKGANRYALLVYRIMFHHYGDLPSFRILHLWKSLLLGEVQSWVEYVLKTREGVQKLSLECELDNGEMGEWFLFKDDIPKLNFSKGIFQSLGSLEMINYNINCSNAFVGCKNLKTLKLEKINLADRIINDILNNCVVL >OIW06194 pep chromosome:LupAngTanjil_v1.0:LG08:18950055:18950324:-1 gene:TanjilG_23074 transcript:OIW06194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLDDETQDLNAKSSSQKKESSIPMVNKRSFKKFYEAALPNGDGKEHGNRKHNFHCEHLDGVSRH >OIW07213 pep chromosome:LupAngTanjil_v1.0:LG08:3579259:3580050:1 gene:TanjilG_06340 transcript:OIW07213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVKPIEDIVVTKPATSLERKPRAQKEQALINCPRCHSTNTKFCYYNNYSLTQPRYFCKACRRYWTEGGTLRNIPVGGGSRKNKRLSNSVSSSSNKKVSDLLTPQNPNVHDGHDLNLAFRINNTSVSASASSTITTNNTTTAASTSQLSVMELLNGITSGSRGLMSSFMPMHAPVSGDPNSVYTCEFPMQEFKQNLSFSLDGIGNLNGTVQETSGRLLFPFEDSKQVASTNNTTIMDHNNNKEQQNGDSNGGYWSGMLGGGSW >OIW06271 pep chromosome:LupAngTanjil_v1.0:LG08:16415327:16418497:1 gene:TanjilG_19709 transcript:OIW06271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NEAESLSKFQSSEEEKEQCSPVSVLDPPFKDDEDVQENDDEEDGAKQQLLYKLCRFEKLVELDPLKLEKRMPDKEECRYETFIEDDECEDDDFQSLCKEKELREQVFEILCHSSVHDRRHVREDYRRLVSDLIMEEEKQVDSSEDRNMVIRRVCRRLELWKEVESNTIDMMIEEDFSSQECGWKKNAFQIRDLIGEFELSILGFLVEEFSDEFLMLKNGDLPSKLLSHGV >OIW05918 pep chromosome:LupAngTanjil_v1.0:LG08:22230415:22232860:-1 gene:TanjilG_07194 transcript:OIW05918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRFSCFHANVQNNKPKKIVQPSTEAMAKASQDDSPKQVSNDPSITTSSNPSPSKAQVHKQMNVNGNQMSKQDSVEHIFRPEDLDRKSSSESDVEVHQTRHIKKSQSLASGLYQEGRRYTGYITEGDADPEFSFNNDSKSRNESPVSACRKDHDIIPTDQCNKNPNSEFQLSSGRANDGSIFSIGDLAPSDKDAQEISDTPLSGEFAGDSAEQTSDGPSTPYLMKSHSLPNFEDPALSSGTYAFKHPPSISRSSNDLHALGKRQKEVFINESDDQIRGDQERENGIGKMEESHMDSYFNDGIDSYLTSAPAKDWVMPITDDMIAVETLQGDSSINCFGEYPNKDFKIKRVEDWVIGLQHCGAPLEMINELPEPVDPVVDVNTKNGVTAAGMDHKVIPGMEAAKIYISSLSANTTAAQLANHGLVVIPFLSAFVSLKVLNLAGNSIVRITAGALPRGLHVLNLSKNNISTIEGLRELTRLRVLDLSYNRILRIGHGLASCSSLKELYLAGNKISEVEGLHRLLKLTILDLRFNKISTTKCLGQLAANYNSLQAISLEGNPAQKNVGDEQLKKCLQGLLPHLVYYNRQPIKMSTLKDGADRSVRLGMNSHQFDRSLRSDRKTTTKKTSRPSSSSTHARRSQAVESPKLSKGKQNQLPPSTRTRGSTPSRNHFDVPSKVLNMTSELSIRKSRSEGTLGAL >OIW06588 pep chromosome:LupAngTanjil_v1.0:LG08:9780040:9780908:1 gene:TanjilG_03982 transcript:OIW06588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVELGLKITRTRDDTTSISDFQFAKDRSGPLFLSKETDAMFILIVHLKGYKKENIDINISEDGTEISVSGEKEVQEMQMIPFKKELKIKGFRKKFRIPDGVVLDRIKAKKYKEEDGVLTIMMPKMVKGVCGIGIEEVKELEKVADRVIPEPKPEPEQTSVSEMVQDKKEEEAAPPVKKRGSKKLWKPCPPLFLGGSTLLVSLIFLGIHYIRVRKGS >OIW06222 pep chromosome:LupAngTanjil_v1.0:LG08:18516543:18518258:-1 gene:TanjilG_03847 transcript:OIW06222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEDIKKVNQSDHIDRISDLPINVIDGILQHLPIRDIVRTSILSRKWRYIWVSIPHLEFGDEFFDYCYNRDIEHQIPNIISDILLGHNGPISKFTLKVPCYFTYRITSLYKWILFLSNKGIKVLVLVNHNQVDSIPSHLFSCQSLTSLKLDQFNKLSLPHNFCGFKNLLSLHLIHVRIDSNALERLISGCPVLVKLIISHCVGFDCINVSAPSLKVLRIYNEGEIKSIVFKNATNLTDLALTMSRHINTERGSVTDFINGLPKIERLHLREGYIQVLSSGNNLRTLQNSTNSLKCLELVGVDFNRSGELLFIISLLKSSSILRELVIKSYTVPVVPPSDYLKALYLNSYCLSHLEKVNITVGTAYEHAVYLIRFLLASSPSLETLTFKVGLGLNQSDAPTLLSISRDLLQFGRASQRAEVKFVYHGLT >OIW06944 pep chromosome:LupAngTanjil_v1.0:LG08:6954762:6961579:1 gene:TanjilG_18332 transcript:OIW06944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEKSELRIYNSMTNEKEIFKPKEPGKVSMYVCGVTAYDYSHLGHARAAVSFDVFFRYLKYLGYEVTYVRNFTDVDDKIIKRANETGEDPLNLSNRFCDEYDLDMTYLQCESPSRVPRVSTHIGEIKDMITQIINNGHAYEVDGDVFYAVEKFPNYGMLSGQRLEHNRAGERVAVDSRKRHPADFALWKAAKPGEPSWDSPWGPGRPGWHIECSAMSACYLTHKFDIHGGGIDLIFPHHENEIAQSWAVDNESNVSYWLHNGHVTNNNEKMSKSLGNFFTIRQIIERYHPLALRHFLISAHYRSPLNYSVAQLESSSDAVYYIFQTLQDCKDTLSSLQQEGTDEKKNVPQVEEAAKECIKKLRIEFQTKMSDDLQTPVILTGALQEALKYINNSLKKLKKKMQKRAQLQLIQSLIEVEKEIGEVLDLLGFLSSKSYAKVLQELKDKALTRAGLAEDEVLSLIEERTQARLNKDFPKSDKIRTILTAKGIALMDVGSETIWRPCIPSEPLVAEVTQKAPIVEEKQSTPPVDKKVEQEGNVQHAAST >OIW06039 pep chromosome:LupAngTanjil_v1.0:LG08:22087008:22087994:1 gene:TanjilG_11726 transcript:OIW06039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKANLYSLRSQKMELDRNVLELQSTMDSLKDEQKVMESAFEETQNELRIMQQKSIGLGDSETIALKENLKQKEAKIKDLKEHLEIQFKSRTISINDSSIFPETVTVNTTMAAEYKTVNVTIEKDEHSGDSAKYGGGAKHIINEVASKSKSTIKDGVFTIELQDEIQNDEKHIKKNEHPQDDGGTGVTEKDIKAEVVEVGEKKVIKEEQPIQLKGIADGGGQHFNVKQLEDNPSAAGVKRKHSHLISRTKTKRWRTIVKNSLMENNVIPENHKEVNKGNIMVSGDEKDEVKDRTMGIVYEANVIREDKERGNNNQKQEEGHVKLLKPET >OIW06625 pep chromosome:LupAngTanjil_v1.0:LG08:10024124:10026107:1 gene:TanjilG_04019 transcript:OIW06625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDDYDDIDMGYEEEPPEPEIEEGAEEDVDNNRNEEETGEPLVTEDKEDEQPIERPRKTSKYMTKYERARILGTRALQISMNAPVMVELEGETDPLEIAMKELRERKIPFTIRRYLPDGSYEDWGVDELIVEDSWKRQVGGE >OIW06413 pep chromosome:LupAngTanjil_v1.0:LG08:12927682:12934317:1 gene:TanjilG_11994 transcript:OIW06413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEHRAEMAVKRGKAAHPEEGNTEIGNGYGVPGGGAYFDAQARNTSEGKNKEDQLEPKVDRVSEHKPAAKELPEYLKQKLRARGILKDDTHSKDVKCTSAEHKETEKLPPGWVEAKDPGSGVSYYYNESTGKSQWEKPHKTSLVMEPPPSSLLPENWVEALDETTGHKYYYNTKTHVSQWESPNSARKVVSAHKELSVAGGDDQSSNLQRCTGCGGWGVGVVQAWGYCKHCTRTLNLPQCQYLSSSLNNQQSSTAHLYESSDKTASKPRSNWKPPFDKGGKKGGKKRALEDDDELDPMDPSSYSDAPRGGWVVGLKGVQPRAADTTATGPLFQQRPYPSPGAVLRKNAEIASQKKKPSSHFTAISKRGDGSDGLGDAD >OIW07189 pep chromosome:LupAngTanjil_v1.0:LG08:4400416:4406778:1 gene:TanjilG_10162 transcript:OIW07189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFHPAIHIYQQDALQQMNLTSSETMEAGTYPQRPGEPDCSYYIRTGLCRFGATCRFNHPPNRKLAIATARMKGEFPERIGQPECQYYLKTGTCKFGATCKFHHPRDQAGMSGRIALNILGYPLRPNEPECTYYLRTGQCKFGNTCKFHHPQPSNMMLSLRDSPVYPTAQSPTTPGPQPYAGGIANWSRTSYIASPRWQGPSSYTPLILPQGVVSVPGWSAYSGQMGSIPTSDNPQQTIGNGQTYGTSRQDEVANAGTHGAYTQFRSGSVPVGFYALQGENIFPERPGQPECQFYMKTGDCKFGAVCRFHHPRERLVPVPDCVLSPIGLPLRPGEPLCVFYSRYGICKFGPSCKFDHPMGIFTYNLSASPSTEAPGRRLLGSSSGTAALNLSSEGHAESGSAKPRRLSISETRQVPSDDDDIDDDE >OIW07118 pep chromosome:LupAngTanjil_v1.0:LG08:5241574:5241969:-1 gene:TanjilG_02752 transcript:OIW07118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKNEQDRTLPIANVGRIMKQILPPRAKISKEGKQVIQECVTEFLSFVTGEASEKCYKENRKTINGDDIYWALGSLGFDNYSEAIGRYLHKYRQNEREKVKQHKKFESAKYQLSYEGSTPKTSRQHENQSG >OIW07383 pep chromosome:LupAngTanjil_v1.0:LG08:212890:216441:-1 gene:TanjilG_10218 transcript:OIW07383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKEETVALLTTKSEEENENNNNNGVAPLKNAFFIQLKKVGSMAAPMVAVTVSQYLLQVVSLMMVGHIGVLVSFSGVAIASSFAEVTGFSVLLGMAGALETLCGQNYGAEEYRKLGNYTCCAIVTLTLVCLPISLMWIFMDKILLLCGQDPEISRAAREYCICLIPALYGYAVLQSLIRYFQTQSMIFPMVFSSISVLFLHIPICWGLVFKLGLGHVGAAFAIAISYWLNAIGLGFYMKYSSACQKTKIVFSSNALSSIKEFLHFAIPSGLMFCFEWWSFELLTLLAGLLPNPQLETSVLSVCLNMTTLHYFIPYAVGASASTRVSNEIGAGNPKAAQGTVRVVVIIGMAEAVIVSTFFYCCRHILGYAYSNDKEVVDYVAEMVPLLCVSVSADSIIGALSGIARGGGFQKMGACVNLGAYYLVGAPIAFLLGFVLQLRAKGLWIGVLIGSILQIIILSVVIALTNWEKEVGLS >OIW07211 pep chromosome:LupAngTanjil_v1.0:LG08:3615644:3620690:1 gene:TanjilG_06338 transcript:OIW07211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADVSDKLAYFQAITGLEDPNLCTEILQAHNWDLELAISSFTSTSSSTTDPSPADTAYHFPQTTDSQPQPPPPPGLAWKLIKLPVTVISGSLGLVSGAIGLGLWAAGGVLSYSLGMIGLGSSSSSSSSSSSAPLVSVSAAASEVINFVAEFEREYGAVGTMPNFVGEGFMDALQRSRNSFRLLFVYLHSPDHPDTPLFCERTLCSEAVTAFVNENFVAWGGSIRASEGFKMSNSLKASRFPFCAVVMAATNQRIALLQQVEGPQSPEEMLVILQRVLEESSPVLVAARLDAEERQNNMRLREEQDAAYRAALEVDQARERQRREEEERLAREAAEAERKCKEEEEARERAAQEAAEKQAALAKLREEKAQVLGEEPEKGPDVTQVLVRFPNGERKGRRFNSTATIQSLYDYVDSLGCLETENYSLVSNFPRVVYGQEKLILSLKEAGLHPQASLFVEINS >OIW05550 pep chromosome:LupAngTanjil_v1.0:LG08:25381899:25390319:-1 gene:TanjilG_23336 transcript:OIW05550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFHVACPITCRRICFCALGFPTSLHNNAFLNHVTALRQFLAADTPTNIDTVQVAVPKVLPPPPPPPNLPPDGAPPCADALDESASMKAKRVALQRKGAAAMLAAEEFARQLESGAGDFADTSGNINGEEPAQSNVKVFCRMCNRVENDGSETAKKMLSCKSCSKKYHRNCLRSWAHNRVHGPVALAEFVRLNSVLPSGASEVTMSLIMCFAVSMPCDFKYVARLHSYVYGIRWGACRRTGDPSKFMFCKRCDGAYHCYCLQPPHKNVSTGLYLCPKHTRCHSCGSNVPGNGLSVRWFLGYTCCDACGRLFVKGNYCPVCLKVYRDSESTPMVCCDVCQRWVHIHCDNISDEKYHQFQVDGNLQYKCPTCRGECHQVQGLDEAVQELWRRRNIDDRDLIASLRAAAGLPTQDEIFSISPFSDDEDIGKPLKLKSDSGRSYKFSLKNLSNHTPKKKDYGKKSSSKKTAKKKDSQSFVSGKIDTRRSCEGHSDTRSLHSLDDDDIQSLRNDGHDVYSSPAEISCPTNQPGVSKHKFVDEVMVSDEERKPRVVRIKGNKTHIMNGKEESEKHDDKTQNVKGKKLVINLGARKINVASSPCSDTSSCQRDQDLVNVNGNEYISQLRKGDKFALDRQGAAARHIDGKGTSDEKYHQFQVDGNLQYKCPTCRGECHQVQGLDEAVQELWRRRNIDDRDLIASLRAAAGLPTQDEIFSISPFSDDEDIGKPLKLKSDSGRSYKFSLKNLSNHTPKKKDYGKKSSSKKTAKKKDSQSFVSGKIDTRRSCEGHSDTRSLHSLDDDDIQSLRNDGHDVYSSPAEISCPTNQPGVSKHKFVDEVMVSDEERKPRVVRIKGNKTHIMNGKEESEKHDDKTQNVKGKKLVINLGARKINVASSPCSDTSSCQRDQDLVNVNGNEYISQLRKGDKFALDRQGAAARHIDGKGNRVDFGQAKFLGRGNISDGSLERTHALRSKYSTDGIFDQVGTVKATSRGEGKYGERDGNNHNNNNLAPSQYLPKDSKPLLRFKLKKPSLESLNSPHQEEEKTIIKGQRSKRKRPTPFKEKMLFNETENVSQSHQDSQMDEIMAANWILMKLGNDAIGKRVEVHQTSDNSWHKGVVSDTVEGTSKIHVTLDGGKVKMLELRNQGVRFIPQKQKRSKT >OIW05610 pep chromosome:LupAngTanjil_v1.0:LG08:24995766:24998698:-1 gene:TanjilG_23396 transcript:OIW05610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVSSEDGSDQQSERCGSYSVSADISESESSTSFCGGRRFETEGASTSANLTPLPLLAHFNFPAAQVMIPVIGGKDVVIWDHNREKRDVDLSEVEMMKERFAKLLLGEDMSGGGKGVCTALAISNAITNLSATVFGELWRLEPLAPQKKAMWRREMEWLLCVSDSIVELVPSVQQFPGGGTYEIMAMRPRSDLYINLPALKKLDGMLLGMLDGFEDTQFWYVDKGIILGDKDCDDYSGRPSVRQEEKWWLPSPKLPPNGLFEDDRKRLQQCRDCTNQILKAAMAINSSVLAEMEIPRAYIESLPKNGKACLGDIIYRYITADKFSPECLLDCLDLSSEHHTLDIANRIEAAVHVWRLKDSKKHSNSVKARRSWSGKVKGLVADSERSHFLSQRADTLLQSLKHRFPGLPQTALDMAKIQYNKDVGQSILESYSRVMESLAFNIIARIDDVLYVDDTIKRCAAADSIPLFSKGGFGGMPIQKRISPSSFSIQHTPYASPFATPSFCSSSPVTGSPCSPQRTPSVKRNGRKEATYSKSEKLASTDFERTWSFTGNLSARRVSGDAPERD >OIW06932 pep chromosome:LupAngTanjil_v1.0:LG08:6778994:6780472:1 gene:TanjilG_18320 transcript:OIW06932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDFNNSVKLKYVKLGYQYLVNHIITLTLVPIMVGIFIEVLRLGPHEILNLWNSLHFDLVQILCSAFLITFIATVYFMSKPRTIYLVDYACFKPPVTCRVPFATFMEHSRLNLKNNPKSVEFQMRILERSGLGEETCLPPAIHYIPPKPTMEAARGEAELVIFSAMDSLFQKTGLKPKDIDILIVNCSLFSPTPSLSAMVVNKYKLRSNVKSFNLSGMGCSAGLISIDLARDLLQVLPNSNAVVVSTEIITPNYYQGNERAKLLPNCLFRMGGAAILLSNRRKEHKRAKYKLVHVVRTHKGSDDKAYHCVFEEEDREGKVGITLQKDLMAIAGEALKSNITTMGPLVLPASEQLLFLITLIGRKIFNPKWKPYIPDFKQAFEHFCIHAGGRAVIDELQKNLQLSAEHVEASRMTLHRFGNTSSSSLWYELNYIESKGRMKKGDRVWQIAFGSGFKCNSAVWECNRSIKTPLDGPWSDCIDSYPVYIPEIVKL >OIW06476 pep chromosome:LupAngTanjil_v1.0:LG08:12192535:12194067:-1 gene:TanjilG_05247 transcript:OIW06476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEADFNKSEPWDLPKKAKMGEKDNWYFFCQRDRKYPTGMRTNRATQSGYWKATGKDKEIYKGKGNLVGMKKTLVFYRGRAPKGEKTNWVMHEFRLEGKYANYNLPKAAKNEWVVSRVFHKNTDVRKIPLFKINSIENDLLDFSSIPPLMDPPYCTNQPSSSSNSYYSPSLFINNNQIQNMLMKPEEHRPYEIPIPTNNQGNFISNGPIMWNTISQPHKDYEENMPILLNNMQCKMEQFSSNNHSMVSVSQDTCISNDRNTETTSSVVSKHDNIGRNNNNNNNIGALYDDLECPSSVATVLSDLECMWDNNIDY >OIW06797 pep chromosome:LupAngTanjil_v1.0:LG08:8581184:8584312:1 gene:TanjilG_11522 transcript:OIW06797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVASKLEEEGEVVAICRERKRYLKVAVVKRYALAEAHCKYFHSLNGVAAAINLFVTRHSSLSPPFLITFPPPSSSSTTTHSSTPTTENVVINNPMFLQQTPSETKHETIACDSCISSITTSSESSEEEDEEKVEGKEEGKREEQVEEHPCEYYYMHMNMNMPMPMPPSMPSPQRDFGWDFFNPFESVRNEVVSGYQRNLDDDLRVVREEEGIPELEEEVVEREELENKVVNTSAEEKNNNEGAVEHVMSGVETENVVVDEATVNNQREQNEGHDAVLDTPEEGRELLEALKDIEDHFVRAYDSGKDVTRMLEANMIPLHSSLEEIKESSTKLIQAITWKSMSPKPSSCKSLVVSSMKNSSTWVEYKNDLFDGYGGMDSGSHLLTLGRLYAWEKKLFDEVKAGDSTRKNYEKKCAQLRNKNVKGDDEVSMDKTRAAVKDLYAGILVAVRRAESISKIIQKLRDEELQPQIVELLKGLTQTWKIMLESHETQKKIISEVNAFTCPMYGRYCNQSHLMATHQLGAQLQSWRECFNEYTAAQKAYVEALHGWLSKFIVPEVEFYSKRKNVCMPFQVNGPALLVICNDWLSSMQKLPEKTVSLALKTVVKDVKALRFQQGEEQQQKRKVDSLAKDLDRKCSGSYKAKTKLLELQVIDQTSEMGTDDRYECMMEKNDYLETLRRNLEVEKEKHHSCMQETRRITLHGLQSGLSQVFDSITEFSKASEQMYNDLVTYSEDSDKGGDIRYIKGGCNVENCNSETGQ >OIW06141 pep chromosome:LupAngTanjil_v1.0:LG08:20117257:20120753:-1 gene:TanjilG_22363 transcript:OIW06141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIAGASEYFKVGTFHEIDHSKLSPNSPVQLKSVRIAMVSGKVENQVAVRYPSILSLRTHFSDSSFGKSEGKKIPALDEKYVMGLECAIQSLYKIVPAEEFTEKRNSWSFWASPSELESIQDHEIEKQIFFTDSAAAATNLVSKQGPCWSQLKFSGMVQWGQKRQVRFLGRHEEQKFESLLKETRASVELGEGANEKRKRVEEEEEEETEAEKAVPFGVMRMTRQCKRSHQNVSSSSGLQKSMIVKNDPKKQQLVVHSNKKRKVSIDRWSAERYKMAEENMLKVMKAKGAVYGNPIMRPDLRSEARKHIGDTGLLDHLLKHMAGKVAPGGAERFRRRHNAEGAMEYWLESADLADIRKEVGVQDPYWTPPPGWKFGDNLSQDHVTARELREIKEDLLKLKLDVRELAAKKGEEALAIVTTPSSCLSSFNWEDCGSLVSKQEVYAEFVKKKAKAEQQLKEISLTLSEMEEELSMLKPRVAEELITSESVMPPPLILGPTSMAEDNVGERIKEKKENEDHATESMDTVMQKGFTAEEKAAKIERLKSGFQICKPQGTFVWPIMGMSPQTVVNPDDYTVVLTPSSASSSTTSAPKPLNPFKPLAEKRPVSTATLTYVTGPFSPNISPSLGTPGSKITTTNIGNTSSINLNEAPLILE >OIW06291 pep chromosome:LupAngTanjil_v1.0:LG08:17190170:17191403:-1 gene:TanjilG_19729 transcript:OIW06291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVRSGGLSVSLAGPDGRVIGGVVAGLLTAAGPIQNHEHTLASPVSPGPDTAAEARPILEANPDNVDGENFTTNGDTQNLDATPNVATWNGAAEVDMVIESN >OIW06758 pep chromosome:LupAngTanjil_v1.0:LG08:8942267:8945139:1 gene:TanjilG_11483 transcript:OIW06758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKILRETSRSSSNSAFASPSSAPATTLSTSITDTVRGSHQFKITGYSLSKGIGIGKYIASETFSVGGYDWAIYFYPDGKSVEDNATYVSLFIALASEGTDVRALFELTLLDQSGKERHKVHSHFERTLESGPYTLKYRGSMWGYKRFFKRTALETSDYLKDDCLSVNCSVGVVKSHTEGPRIFSIAVPTSNMGQHFGQLLESGKGCDVSFEVNGEIFTAHKLVLAARSPVFRAQLFGPMKDQNTQCIKVEDMEAPVFKLNKLSLAIWLWNSQLVCCAQPYSSILQALLHVIYWDSLPDMQELTGLNSKWATTLMAQHLLAAADRYGLERLRLMCEASLCEDVAINTVATTLALAEQHHCFHLKAACLKFIARPENLRAVMQTDGFEYLKESCPAVLTELLEYVARFTQHSDFMCKHRNDMMILDGSDINGRRVKQRL >OIW07096 pep chromosome:LupAngTanjil_v1.0:LG08:5442316:5446517:1 gene:TanjilG_02730 transcript:OIW07096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRNRTLLFRKHRDALKSVRFPSSSSPASTSSGGGPVIELVSTSLLNPNRSYAPISTEDPAYSSKGSHPITVGLPPAWVDLSEEISANVQRARTKMAELAKAHAKALMPSFGDGKEDQHAIESLTHEITDLIKKSERGLRRLSTAGPSEDSNVRKNVQRSLATDLQNLSVELRKKQSTYLKRLRQQKEGQDGVDLEINMNGSKARYEDDDLDDMIFNEHQMAKLKKSEAFTVEREKEIQQVVESVNELAQIMKDLSVLVIDQGTIVDRIDYNIQNVATTVDDGLKQLQKAERTQKKGGMVMCATVLLIMCLVMLVLLIIKEIIL >OIW06478 pep chromosome:LupAngTanjil_v1.0:LG08:12217860:12218810:1 gene:TanjilG_05249 transcript:OIW06478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDLMTKSFTNYVDLKKAALKDEVDLEAGIPHHNLELTTSNPKLDVDMGLFLEEAEKVKAEMNTIRDILENLQQANEESKSLHKPEALKSLRNNINADIITVLKKAKSIKAQLEGMDKANAANRRLSGLKDGSPAIYRTRIAVTSGLRKKLKELMMEFQGLRQKMMTEYKDTVGRRYYTVTGEYPDEEVIEKIISNGDEEFLGKAIQEHGRGKVLETVVEIQDRYDAAKEIEKSLLELHQVFLDMAVMVEAQGEKMDDIEHHVLHASHYVKDGTKNLHTANKYQRGSRKCMCIGVILLLIIILVIVIPILTSFNSS >OIW06232 pep chromosome:LupAngTanjil_v1.0:LG08:18390730:18401406:-1 gene:TanjilG_03857 transcript:OIW06232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQGFNEGSSSGNISAESSDSTLQLNIKTLDSRMYSFQVDTNMSVSSFKEKIANEIGVPVNQQRLIFRGKVLKDEHILSEYRNDAGSTAPRTRVGHISHSVVLGTINVGEQGEGMVPDLSGVIGAVLNSIGIGGQSRNSVSNATQTSAPSGIETDGIHAGNQNLAGNQAQSGQTFHGQAFHSLPQVVQIPVPAGAIPIPSLNAPIPHSLNTLSEFINSMENTLSQNGHLPNLSSTNSGDQRVELPTNAQGLPMLEALSTILHRTEQLLSNHTVAALSHIAGHLEREGTSSDLSIRGQIQSESVQTGIAMQHLGALLLELGRTMLTLRMGQSYAESVVNAGPAVYISPSGPNPIMVQPFPLQTRSLFSGPVPSTPPTFGAVGIGSVPRNVNIHIHAGTSLAPIVSSIGSRPNNGEGTRGEHPSEPGSGVGVASGTQTGFGVSTSQPPSDSTPLSSVLAGINSHLRDFVGNMQGDNTVLSGQTESTSRDLSAGSDSRPAQGNEQRDTTEMNSFRDANLSSVDCTSESGVQKPQTETVQNCSRGERDVSVDKFVSDQGCSSGETIAKSENVQGGPSVSEERGVTESAKAAPLGLGMSGLQLKKRTRPQPSVSKGAGEGSSGSSSNQRQQNRTDGQRILQTLASHGSTVTLRNPNGASQQPLPSGDSQNDMTSLMSQVLHSPAISGLLSGVSQQTGVDSPDGLRNMLEQFTQNPQMMNTVNQIAEQVGSEDIGNMFAGMERGQGGGIDLSRMFQQMMPIVSRVLGGGTPPSLFSAAESQPQAPYRDYHQSLQLDLQPVVQRIEHVSPPTDVFRAVAENAVHLSGNGRASNDLLDELCSNERLACEYVEMLRNDVKQRFERHL >OIW05771 pep chromosome:LupAngTanjil_v1.0:LG08:23906308:23907654:1 gene:TanjilG_23557 transcript:OIW05771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAKVDSSKKGDPKAQALKTAKAVKSGPTFKKKAKKIRTKVTFHRPKTLTKDRNPKYPRISAPPRNKLDHYQILKFPLTTESAMKKIEDNNTLVFIVDLRADKKKIKDAVKKMYDIQAKKVNTLIRPDGTKKAYVRLTPDYDALDVANKIGII >OIW06898 pep chromosome:LupAngTanjil_v1.0:LG08:7660191:7666198:-1 gene:TanjilG_19547 transcript:OIW06898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPSKYSVFSSVSFSLFIFLLFSTEAAPIYSSHCCTGSIRYQPNSTFQTNLNLILSYLSSNSTEGKHFYTTRVVSDATNKVQGLFLCRGDTLTTACYDCITAASKAIKHLCPVEKEAIIWYDLCMLRYSNQSLNNIVPGVDLSDSKSIALTELEKFNELLSGLLNALATKAANSMNDKKFATGEVNFTRTMKLYGLVECTPVLSFFDCNMCLRSAIASVPNCCDGKQGARVLLPGCNIRYELYPFYNSTNVPVHTSRPLGSSSYTTAMGMGNSNAVNGVYLCRGDVSTATCTECMVTAVANITTLCPNKTESIIWYDECMLRYTNTYFNPLSIDPRLNLWENESISTSDLDKFNETLLSFLGSLGSDAANSETAMKYSTKEWDFTEEIRVFGLAECAPGVTSEQCEGCLVNASKTLVTCCEGKEGARALLAWCNIRYDLFQFYNTSGTSISPPFLSPPPPSVGDEGAALESLQFNLATIEVATKKFSNENKIGKGGFGEVYKGILSNGREIAVKKLSQSSGQGAIEFKNEVLLIAKLQHRNLVTLLGFCLDEQEKMLIYEYVPNESLDYFLFGSHESRLLNWLERYNIIKGIAQGIHYLHDHSRLKIIHRDLKASNVLLDSNMNPKISDFGMARIIALDEDRGSTCRIVGTYGYMSPEYAMHGQFSEKSDVFSFGVILLEIISAKRNARSIFSDDLDDLLSYAWKQWRDETPLKILDQDIKECCNDSEVIKCIQIGLLCVQDRPDDRPTMAKIVSYFSSTHSEVELPFPGEPINSMHNQILQKIVVDDSSSGSKQLNELSMPR >OIW06021 pep chromosome:LupAngTanjil_v1.0:LG08:21980760:21980933:1 gene:TanjilG_11708 transcript:OIW06021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRMLRSCIQSILKLVNSVIGMAGLAMILYSAWLIRVWQRQMGELPFDEDSDFPPPW >OIW06500 pep chromosome:LupAngTanjil_v1.0:LG08:12744594:12745187:1 gene:TanjilG_05271 transcript:OIW06500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSCLGLSRLLRSLMSGWSSKTPDSEVGLAEWYVLVEVGLGQGYFLANSALWQLPREVGLGQGDFLANSTTWQSFNRRWFGPSKVGNFKYEINGEFLGSMNHGLIAINRYTLLVVVFKSYKNLEVAHFEWEEFVRSILVGRFHNDVNTSNTMTVDGEARVQDH >OIW07122 pep chromosome:LupAngTanjil_v1.0:LG08:5197981:5203271:1 gene:TanjilG_10095 transcript:OIW07122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDDDDDSFGDFKFASFPSITTATATADDDDWGDFMNHSNQINGGFGVSNLNDNNGSVVQIELPQKPTWNKPNGAIPLSIFGEQEQEEEEKEKDVFYNEIHDTVSVQNGSGSNGSVGGITDLISNLYNQRPQNGSVSVSNVSASNSNVDGNGSNLNSDSVEENDDEDGWEFQSAEWESGTKDQNIKAEEKHDNNALHVGAMLDSSSGISDKAAGWHPGFEFYPSSTLQDHIQPQLHPKNESNETINGFTVFNQSFGELNAHSGSVSKQNLEAPKMAHIYTSSVDELNFDGGAPHGTIDPFHPSETHQSDKWGLGFNFNSSSLGQDSHISESCLKTNINQDDNDLKNASPTTTNVDSEVNLFETKGVDAEIETTTHKSPEKGSENRREALSLSIFGDEIPNTSDQSVSQDLSPLAPTSPIKNNFNSLGSNLSINDIWNLYSQAENKTSPTVTPKANENGFHASSVISGINLVTGDDEFDDGFGDFVDASPGTSVHDSQQTFNYAPQVNENELQSFPTVLNSGLINDADNFEDDSWDFKDAFSETRQDQASAINHRDLPTQLFTKLETLDYVDFYSKMKDELCNAVLFHVQNLKEAQSVATILGDNAKAKTLQEEIQELSKIFHQDNIIPKEYLSENYSPRNVYFNELLEVLREPKFQSLESEYQLASRLSMAEKDIKSAVELLKDAVSTLGIFKLGSREEQSNYLTTWSKIAFVCSEEVKHGAYIWKQAVQENVHDQILSNSKGVRYILALGEIYRVAEIIGASVKLYKPWMFLGSIDTASLFSLLNECYSIWSESGLEEALFSISNQSNVEPDGISRELVESIKYIHELDEHLLQSYVISGEETTCQLSALPSGFVPGLKMVTWNGKHYFIMLANLWANLVSSDPPK >OIW05561 pep chromosome:LupAngTanjil_v1.0:LG08:25304062:25308601:-1 gene:TanjilG_23347 transcript:OIW05561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLFGSFSEDETKSLLQKQSSGSEGKENSAEKNQLQFGSLGLVTVDPSNLPKSPKAPNSACPSDSQKCNGVSSASIAKNLPGASKTIKENGRITNFSLGPSSTITVSEVKEHNVHPVTLLDEDGNSNHFSNLSLAVPGAESLKNAHKVGSGLDSSSKLSDQDSKKAPNGHIVTHTRDILPRGLINSGNLCFVNATMQALLSCSPFVQLLQELRTRNIPKVGYPTLTAFAEFITQFDSPSTTILKKKDTDTFETGRPFRPVMFEGVLKKFTPDVPNSMSGRPRQEDAQEFLSFVMDQMHDELLKLEGKSSSLTGSNSSLVSSVEDDEWETVGPKNKSAVTRIQSFAPSELSDIFGGQLQSMVKAKGNKPSATVQPYLLLHLDIHPDTVHTIEDAFHLFSTPETVEGYRTSLTGKAGVVTARKDVHIQTLPKVMILHLMRFGYGSQGSTKLLKPVHFPLELTLARDLLVSPSNEGRKYELVATITHHGWEPSKGHYTADALYPNGQWLRFDDSSVFVIGASKVLHDQAYVLFYKRM >OIW06390 pep chromosome:LupAngTanjil_v1.0:LG08:13532281:13534736:1 gene:TanjilG_13576 transcript:OIW06390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARVNSASNSPSFYSLLFFSSLLLASHFNISEAQKGLSLSFFAKTCPQLETIVRNHLRKVFKQDNGQAPGLLRIFFHDCFVQGCDGSVLLDGNPGERDQLANIGLRNEALETIDVLRGLVHKACGKVVSCADIIVLAARDAVALSGGPDFAVPLGRKDGVNFSIEKTKDLPGPSSNTNITLSKFAPKNFDATDVVALSGAHTFGRAHCGTIFGRISPQDPTMDKTLANNLKATCPSASSTNTVNLDIRTPVVFDNKYYIDLLNRQGVFTSDQDLVNHEKTKGLVNSFANDQSLFYKKFADAMVKISQLDVLTGNQGEIRDKCNVVNQKKSILSSIVEEMVEVIDQI >OIW05749 pep chromosome:LupAngTanjil_v1.0:LG08:24049360:24053003:-1 gene:TanjilG_23535 transcript:OIW05749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSHHFQEDPNAKHNCLLFDVDDTLYPLSSGLSVQVAKNIEEYMVEKLGIEAAKVPELCYSLYKVYGTTMAGLRAIGYDFDYNDFHGFVHGRLQYDLLKPDPVLKGILQSLPIRKVVFTNADDGHAARVLRRLGLEDCFEKIISFDTLNSSNNVNPPDDKDDTESRPTSAGIFDFYEYICNPDSDMVLPKTPVVCKPFEDAFEKVFKIADIDPRRTLFFDDSIRNLLTAKRLGLHTVAVGTSVRATGVDHALESIHNIKEAFPELWEADEKHEIAKYKVAIETVA >OIW05623 pep chromosome:LupAngTanjil_v1.0:LG08:24916134:24917970:1 gene:TanjilG_23409 transcript:OIW05623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMYKWEDHNGSSNGYLNHHNLNVSVYDVNNADSTIIMSSSSPSFSSSSSSSSSSPPSTHGYIEHTISKLDTLAGIAIKYGLEVGEIKKLNSLITDHQMFALKTIRIPLNGRHPPSPALSNGCGNSDNNLHDDTCRELFESFLSPKIKSSGQKLTSVTSSGYYGTKPTMKKSISVFDMAMYRKRAYNSSENGSQTATSPRTLSRHQKSRSLASAIFEDILQSSDDVEARDDESDKWNDTFVNNIKDAPEKLLAPQDNRTSGRFSSSKTGKSLALRQKSGSRTVITTDSKSSSINPLLIRLGSAFALDGQSGVRKSSSTSCLQDQHKNHNSCSNCPAVSAKGIAKPRTGKRNKAALD >OIW06915 pep chromosome:LupAngTanjil_v1.0:LG08:7798627:7800448:-1 gene:TanjilG_19564 transcript:OIW06915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENYKTLIIEQKTPNSGVFYLYLNNPKQRNALTRDFFSEFPKALNALDHNPDVSVIILTAVGDHFCSGIELSALNSTATDAPSGESLRRNILAMQDSITALERCRKPVIASIQGACIGGAIDIITACDIRYCSKDAFFSVKEVDLALAADLGSLQRLPSIVGFGNAMELALTARRFNGLEAKELGLVSRAFDSKDELNKAVWNVAQAIAAKSPLAVVGTKTVLLKSRDLTVDQGLDYVATMNAARLFSGDLTEAVAAHIQKRKPVYSKL >OIW06082 pep chromosome:LupAngTanjil_v1.0:LG08:20479606:20486635:1 gene:TanjilG_29838 transcript:OIW06082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNISKTTYFTFILIVLVAVHAEGRALGIRTTELVSDGVDDIQSEYSLLHLTGSEPSEEYCEQMYGFLPCSTNILGHLFLILVYEYLLFHGESYLAAGGEKIFEILGPGIFGSSAFDLLGALPESLILLVTGLNSDKESAQEYASSGVGLLAGSSILLLTVVWGTCVIIGSQNLNDDHNPSGSKRPKLSIKESLTGSGLIMDIDTVNMSRIMVLSIIPLLIMQIPTLFNLSSTLRALALMLSLTIAVIFLISYFIYQVFEPHIEKARLEYIKHDHLILRIFQHVNKQTLQKILAEDGTANVAAIDGLYQEISRGEDLLASDIKEMLLRNKENMVNITEEQIAGVLKIFDRNGDQVISREEFVDGLTNYINQTKRALERKYIAKESMNNLYKSFVKPWIEHTRRERKLKEHIIAEVLNRAQIDMVGNLCKEDGTPDEAAIRRLFHRVDIDGDGHVSKSELKILVRNINLGEVANSEEAVTKIIHELDLNRDDQISENEFVEVFTNWTNRNSINAPHLLPVHHENQAWEEVETVVEDKRIKGIKAWLGALGYVILGITMLSLLAEPLVHSVQNFSEKAGISSFFISFIIVPIATNFREATTAIREASHKKRGNTSQTIYESNIYEKYHLGILS >OIW05612 pep chromosome:LupAngTanjil_v1.0:LG08:24984846:24985130:-1 gene:TanjilG_23398 transcript:OIW05612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNYYYCKPRGGDPHTSNVLVILAVALILLVIPSLFSSESEDEAEESMNMSPFVAPIMVIVILLLVSFLGSSRKKVYAKPPWCGCNHACYCYGG >OIW05528 pep chromosome:LupAngTanjil_v1.0:LG08:25508536:25510511:-1 gene:TanjilG_23314 transcript:OIW05528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEGCTRIGLSGVIGAVVIWWLWKALNWVWVRPKRIEKRLKQQGLKGNSYRPLVGDIRDMVKMIKEAKSKPMDPHSNDIAPRVLPFVVHTIAKYGKNSFMWLGPRPRLFIMDPDRIKEITNRVYEFQKPETSPLFKLLASGFANYDGDKWAKHRKIVSPAFNVEKMKVLVPIFTECFDELVKKWKSLLSSSSDESCELDVWPFIQNVSSDVLARAGFGSSFEEGKRVFELQREMLTLTMTLFKFAFIPGYRFLPTYTNRRMKAIDLEIRTALMSIINRRLKAIKAGEPTNNDLLGILLESNYKESEKTKGGGMSLREVVEEVKLFYLAGQEANAELLVWTMLLLSKHHDWQAKAREEVFKVFGNEKPDYDKLGQLKIVSMILQESLRLYPPVIMLSRYLRKDAKLGDLTIPAGVELIVPVSMLHQEKEFWGDDARDFKPERFSEGVSKATNGKVSYLPFGWGPRLCIGQNFGLLEAKIALSIILQHFSFDLSPSYSHAPSFIITLQPEHGAHLILRKL >OIW07052 pep chromosome:LupAngTanjil_v1.0:LG08:5963056:5967339:1 gene:TanjilG_02686 transcript:OIW07052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTMVVDSGLKDDLVLGDPNAPRFVLWNGKLRPVPAGLTDLPFFDLMSIGGKLRAGFGALGIRPPPPGHEESIEEFVRRNLGDEVFERLIEPFCSGVYAGDPSKLSMKAAFGKVWKLEQTGGSIIGGTFKALQEKNRASKQPRDPRLPKPKGQTVGSFRKGLTMLPEAISARLGNKVKLSWKLSSISKLDDGEYSLTYETPEGVVSLKSKTIVMTIPSYVASTLLRPLSAAAADALSKFYYPPVAAVSVSYPKEAIRSEYLTDGELKGFGQLHPRIQGVETLGTIYSSSLFPNRAPPGRVLLLNYIGGATNPGILSKTDSELVEAVDRDLRKILINPYAKDPLALGVRLWPQAIPQFSIGHLDLLDVAKGSLKKAGFEGLLLGGNYVSGVALGRCVEGAYDVAAEVNDLLSKKVYI >OIW06913 pep chromosome:LupAngTanjil_v1.0:LG08:7789553:7792805:1 gene:TanjilG_19562 transcript:OIW06913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRLSADLIWKSPHFFNAIKDRELDLRGNKIPVIENLGATEDQFDTVDLSDNEIVKLENLPYLNRLGTLLINNNRITRINPNIGEFLPKLHTLVLTNNRIVNLVEIDPLASLPKLQFLSLLDNNVTKKPNYRLYVIHTLKSLRVLDFKKVKSKERLEAKNLFASKEAIEEVQKAPVKTSSPVKTPNVSEATEEQQTPKVVAPTPEQIIAIKAAIVNSQTLEEVARLEKALKSGQLPEDLKGIADNMVLDNVPEKHGDTVHDGKGQADDESNDTQEQKNTNSTPMEQLSLILYEHNHETAAAQDITIDLS >OIW07098 pep chromosome:LupAngTanjil_v1.0:LG08:5423335:5429875:-1 gene:TanjilG_02732 transcript:OIW07098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKPVMPFSVISVVEDVLQKHGGRLSDLNLASRKAEEASLRRNEASGWLGKMVGGKELPAEPSEEDFRIALRSGIILCNALNKVQPGAVPKVVEAPSDIDIIPDGAALSVYQYFENVRNFLVAVEEMGLPTFEASDLEQVGKSSRIVNCVLALKSYSEWKMGGKNGLWKYGGSPKPLTSVKQTIVRKISEPFSRSLSRAMSLGDKDGWPSDNASDPGHDSGEGGSIPSLNTLVREFLSDKKPEEIPILVESMLGKVMEEFEQRILILQETLKSVQEDKSSYEVTNSNLKGAFDDEEYHERNYLTYFPSSSIIKMEENDDVEVKQKECHDVNYNGDEKSCSRISKQQKLVKQQNENVQELKVMVHQTKTGVQFLQKKYQEEFIYLRKHLYSIASAASGYHKVLEENRKLYNQVQDLKGNIRVYCRVRPLTGAQTNHHGSVSNVNEGSISLIIPSKNGKEGKKTFSFNRVFGPSATQGCYSFVFSFKGNTNMPLFLPLGEVFSDTQPLIRSVLDGYNVCIFAYGQTGSGKTHTMTGPNNLTEETVGVNYRALRDLFLLSEQRRNTIHYDISVQMLEIYNEQVRDLLTTDDIRNSSNNGINVPDANLVPVTLTSDVLNLMNMGHKNRTVSYTAMNDRSSRSHSCLMVHVQGRDLTSGNSLRGCMHLVDLAGSERVDKSEVTGDQLKEAQHINKSLSALGDVIASLSQKHSHVPYRNSKLTQLLQDSLGGQAKTLMFVHISPEPEALGETISTLKFAERVSTVELGAARVNKDGSDVKELKEQIASLKAALARKKGEPEHFQQSANSIAEIPRLKSHLSSPVLPSWTSGGVKLPRDDSASSLEDQKTDGSELKSRSIDLEDMSRNPPQWTDVGNNAVVNGKEEDKESVSKDWGNRSMNRNNSLTIDDSLVGHWEAESKHISSPMISPTYLSVPSRIFSEIVTTDDFDELELATSECSDLSDISWQSNVTKAYGHSNGIVSNVKKSPRPKQAKNQETRSMIRSLIPSSSRKQVTVVSQPRKQPGSIDAKRSRNAK >OIW06764 pep chromosome:LupAngTanjil_v1.0:LG08:8867960:8869840:1 gene:TanjilG_11489 transcript:OIW06764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLLLFYLLILFTLFFSSFSLNSTCPLNFTILTTLSGGARPSSFTSTTRCQFIRQAFRLVQSDYLRRTTFFLPPLNSSESCWQSFQSYTNSFNSTFNIRSSCGFQTSYISHGCINITTKQDFEALVTKSVLQDVDRNCNQSLENNSPCALCTTSVSSLPASGPSIGNLSDCTAYPSIYVAAFSNHFGPSDSGTAKCLFSLDFSSQGSSGGKKKVVIVLVSVLVFFVLFLLVVGFFGYWKLRRKSKGGNIAEVDLVSGLDSMDQSTTLIRFTIDDVKKATKNFHRDSIIGRGGYGNVYRGVISDGSEVALKRFKNCSASGDASFTHEVEVIASIRHVNLVALRGYCSATTKYEGYQRIIVTDLMKNGSLHDHLFGSIGIKLSWPIRQKIALGTARGLAYLHYGAQPSIIHRDIKANNILLDDKFEAKVADFGLAKFNPEGMTHMSTRVAGTMGYVAPEYALYGKLTERSDVFSYGVVLLELLSGRKALRTDNDGQPSALTDWAWSLVRTGRALDVMEDGMAEPGSEQVLEKYVLIAVLCSHPQLYARPTMDQVVKMMETDDESVPSIPERPIPFVAGRLDIERTVSTSASGQLCSPTGYQTYTLESDPILQILRKKGGSSPRILSTD >OIW06485 pep chromosome:LupAngTanjil_v1.0:LG08:12334091:12334714:-1 gene:TanjilG_05256 transcript:OIW06485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNRASRVLKVFIADLRSMAKSKTLALKSRTNAIRTRLIILSLVMNKKFVISSISHKFQSVLGHHPNPKEECLLEDSSDKNNAMMVFNDNNDNNTVSNPSETQVVEEDKDQDGYESYYKYEEDYDYDDEGGDDKCMYLDLTHTVFDKENLDLGGSVIELVKSSKEESGKEFKMEEEIDHMADLFIKKFHRQIMLQKQNSLKRCKGMC >OIW05806 pep chromosome:LupAngTanjil_v1.0:LG08:23638149:23638649:1 gene:TanjilG_23592 transcript:OIW05806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPKFDPSQVVDVYVRVTGGEVGAASSLAPKIGPLGLSPKKIGEDIAKETAKDWKGLRVTVKLTVQNRQAKVSVVPSAAALVIKALKEPERDRKKTKNIKHNGNISLDDVIEIARVMRPRSMAKELGGTVKEILGTCVSVGCTVDGKDPKDLQSEIDDGDVEVPQD >OIW06095 pep chromosome:LupAngTanjil_v1.0:LG08:20694475:20696174:1 gene:TanjilG_29851 transcript:OIW06095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTVNDYNLKAAAQEGDINLLYRIIEEDPYVLEHLDIIPFVETPLHVAASMGYLHFATEIMRLKPSFAWKLNKQGFSPIHLALQHGQRRMVQCLVDINKELVRVKGREGVTPLHFVSQCGDIDLLANFLLACPNSIEDVNVRSETALHIAVKNKQHEALEVLVGWLKRSSQRGAMQLEKTTLNWKDESGNTVLHISALLNDSQALGLLIKTKMDLKAKNLENLTAMDIAASAEIKGKLVKAGVKCGSSTIDDESLTLAHKLRSKITTLDKIIIYMLRIREDISEEQRNAFLVLAVLIATATYQAALNPPGGFYQANAGGNNNQNTSSGNVSEGKAGTSVMSEGGISYLCF >OIW05785 pep chromosome:LupAngTanjil_v1.0:LG08:23786397:23791827:1 gene:TanjilG_23571 transcript:OIW05785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSISKLQPLDFTDEDDSLLINKRDEDCSTVFSCSPLISINSKSRTEARSCDVDSQNLSFTPAKDHGDVNKDNVNWSAPKLNVEPQNMKKRKNKGGGYNLRKSLAWDRAFFTEQGVLNPLELSMISGTSTPIKNNTVSNLNIIHEHEEEEREPTSASLAIREIEENLFKQSSYSSSQKNRSTRAVGLSPKPAPPSVAKRKVIAVNDVAGSSSKRNACPRPKYAGVKGLSKNPRTVPSNPKVDPAYKPSVTRATKQAGKYLDNSVPVTHPPSRPHQRGTGANKISEACLPEGISDTSEKRQQTHIQATKPSGLRMPSPSLAFFSQTKVSSSQSQLKQSSKPCKPAEYNIPKLRKLEANSIDDARLPHAPRKRSEIVGVTAKHHNEKLSLSDAKSEPTMQEDKKQMAGIEVRCDSLDCEKTSDLNMVNNKQMSGVKVECDSLCFEKISKKEMAENIFVNDNIKYKEHAKLHISDSVSNMGDVRFRTHEKMLLSKSHTHEQLEKEADHSSEDKSYDVLSNGDQSVFQEPPSMHHHSMPRKSVGTYDISNIMPSAVEQAEDEQSKLLTCDILTCNKSLVLQEKHDPSSKYSRHSGEFMEYNCVKTSLLNSSFSISSETVLGEPLQAIPIKNTECVNDGVDDFQKRGDGIVHLLDGNLAVHCNNTTDSILDAVNQQLPGEQLETPIPSIAGEASSKDENKSHVNSCQLVQVANLSSKGSPEKSIPEISDACENEPILADTEDCQHPVVGESGSIQRRPVDDEYDQIIDIKLFHDKGQAFELDRMSEEFIPVSATACSTKVKNVSPQSRPFYKYNIEKLHSKSELCPTVNAECDSTRNDMSIHNSCTISELQLRKDGSSRDTSMQCDIQHDVPGNLEQQASNLAYNGIIEMLCEDDSLVPNHGHSLDESELSEVSADFILGTKDSIGSGAEYPSGLSQHTLLAQSVREFDHSNIEIKESQMEDAQASTFNKDSVVYFCNDEEYPSVHNDQFPWPGDNNINEEPHLSELHLDGYLLSTNTACSEEIKKENLFEGELEGGNIYSGEHDASNHIIQAMHENKDASLDVDERVEQLDMGDAKNDSTDILPLVELHLNQKVISAEFDSSTKVSEDPITEVGYLKSDEYCSLRENSKSNASDNLIFQARVSLGSEVCSSNSKSFPEEAETNIFAKEESPNTDMQHQTEGGICFAEDSGKTIHLEKSETNNKQEVPTLKPPPNVAPFSDEWLAAIEAAGEEILTMKSGAVQNSPPEKPQHEPNPWSPVGSSSFCI >OIW05977 pep chromosome:LupAngTanjil_v1.0:LG08:21585648:21586418:-1 gene:TanjilG_11664 transcript:OIW05977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEHVLKLLDSYWFETTIFSNKTPSMFHQKVDQGSQNKVVEVLPLETKLLLVPTLEIRSYSDQSLGSTPNIFSDSPSPNSVLTLQKLRSIPSETEIREFSMVSNRNHDKEDINNTEKKLSNSYIRKSRRRLLRKEKGTMSLSELEFKELKGFMDLGFVFSKEDKDSKLVSLIPGLQRLGREEEEDAKESDAEEQHKIDESVISDKPYLSEAWGVLEQIEVRNPLLNWKVPVQGNEIDMKHSLRFWAHTVASSIVR >OIW06231 pep chromosome:LupAngTanjil_v1.0:LG08:18423614:18424927:-1 gene:TanjilG_03856 transcript:OIW06231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAREKKEEEKPQEVNVYGEHVSKVEEKKEEEHGEEKKHSLLEKLHRSDSSSSSSSDEEEIGEDGEKRKKKKEKKGLKEKIKEKITHDDDKEEKKHEDTTVPVEKVEVDPEHQKGFLDKIKEKLPGQHKKTDEVAVPPASSTVYGGAHTETDAGVAHHEGEAKEKKGLLEKIKEKIPGYHPKTGEEKEKEKESGAY >OIW05812 pep chromosome:LupAngTanjil_v1.0:LG08:23561710:23563768:1 gene:TanjilG_23598 transcript:OIW05812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEMPSIQVGVISALFLSVASSVSIVICNKALMSNLGFPFATTLTSWHLMVTFCTLHVAQRFNLFEAKPVDMKTVMFFGVLNGVSIGFLNLSLGFNSIGFYQMTKLAIIPFTVMLETLFLKKQFSSKIKLSLFLLLVGVGIASITDLQLNFLGTILSLLAIITTCVGQILTNTIQKKLNVSSTQLLYQSAPFQAAILFVSGPLVDQMLTKQNVFAYKYSPIVLGFIILSCLIAVSVNFSTFLVIGKTSPVTYQVLGHLKTCLVLAFGFTLLHDPFTERNLIGILVAIFGMGLYSYFCTQDNKKKHSIDPPLASQVKDKDNPPFLAGKNIGIQENESHEAKKLSKESLV >OIW05746 pep chromosome:LupAngTanjil_v1.0:LG08:24089035:24093843:1 gene:TanjilG_23532 transcript:OIW05746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSELGRRPMLGNKDGSFGDDLEKELGMLLRGQRRQESDDWERELNIYRSGSAPPTVEGSLNAVGGMFGGGTEAEAEAEAEAEAVTASLSEEELRSDPAYLSYYYSNVNLNPRLPPPLLSKEDWRFSQRLKGGGASVLGGIGDRRKANITDDNGGRSPFLTPPGFNMRKQESELDNEKTGGGSAEWGGDGLIGFSGLGLRTKQKSLAEIFPDDMGFNAPITGLPSRPSSRNTFDEAELAHIRHGSPTTDALKSPAAQTIGLPASYSYAAVLGGSSLSRSTTPDPQIVARAPSPCLTPIGGGRTVAADKRGVASPDLFRSVSSGINESSDLVSALSGLNLSGDNILYGDNHLPSQVESDVDNHQRYLFGMQGGQDHGKQHAYLKKTESGHMQNSGKFGGSGSDLNNPSFDRRVELQKYNVPSGNSYLKGSPTSNYSGGSSFPTQYQPLDGTSSPFTNYGLNGYVGNPALASLMTNQLGSGNMPPLYENVAAASAMGSPGMDSRVLGGGLGLGSDVHNLSRMGNQIVGGGLQSSFVDPMYLPYLRTSEYAGQLGALNDPSAHRNYLGDSYMLELQKAYLGSLLSPQKSQYNVPLVGKSGGSNHHGYYGNQAYGVGLSYPGSPIANSAVSNSPVGSGSPIRHNELNMRFATGMRNLAGVMGPWHADAGAMGESFASSLLEEFKNNKTKCFELSELAGHVVEFSADQYGSRFIQQKLETATTEEKNMVYEEIMPHALALMTDVFGNYVVQKFFEHGLSSQRREIANKLVGHVLNLSLQMYGCRVIQKAIEVVDLDQKIEMVQELDGNIMRCVRDQNGNHVIQKCIECVPEDAIQFIVTTFFDQVVTLSTHPYGCRVIQRVLEHCRDVTTEQKVMDEILGAVSMLAQDQYGNYVVQHVLEHGKPHERSAIIKELAGKIVQMSQQKFASNVVEKCLSFGGPSERLLLVNEMLGSTDENEPLQAMMKDQFANYVVQKVLETCEDQQRELILSRIKVHLNALKKYTYGKHIVARVEKLVAAGERRVAAQSPQPA >OIW07161 pep chromosome:LupAngTanjil_v1.0:LG08:4687903:4701490:-1 gene:TanjilG_10134 transcript:OIW07161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PVNIIVGSHVWIEDPEVSWIDGQVSKINGKDAEIETTNGKKVANLSKILPKDMEAPPGGVDDMTKLSYLHEPGVLQNLKIRYELNEIYTYTGNILIAINPFQRLPHIYGAHMMQQYKGAPFGELSPHVFAVADVAYRAMVNEGKNNSILVSGESGAGKTETTKMLMQYLAFLGGRAATEGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKSGRISGAAIRTYLLERSRVCQVNDPERNYHCFYLLCNAPQEEVEKYKLGNPRSFHYLNQSKCYELADVSDAREYLATRRAMDIVGISQKDQEAIFRVVAAILHIGNIEFCKGKEVDSSIPKDDNAKFHLKTTAELLMCNADALEDALCKRVMITPEEVIKRSLDPQSAAVSRDGLAKTIYSRLFDWLVDKINSSIGQDPNSKCLIGVLDIYGFESFKFNSFEQFSINFTNEKLQQHFNQANIDGEFQHVFKMEQEEYTKEQIDWSYIEFVDNQDVLDLIEKKPGGIIALLDEACMFPKSTHETFANKLYQTFKSHKRFIKPKLSRTDFTVAHYAGEVTYQSDQFLDKNKDYVVPEHQDLLGASKCSFVAGLFPPLPEESSKSSKFSSIGSRFKLQLQQLMETLNSTEPHYIRCVKPNNLLKPAIFENMNIMQQLRCGGVLEAIRISCAGYPTRRPFFEFVNRFGLLASEAMEANYDEKTVCKKILDKMGLKGYQIGKTKVFLRAGQMAELDARRAQILSNAAKSIQRRIRTHQARRHYLALRKKTIYVQSRWRGRLACKLYDGLRKEAAAKKIQKNVRRYEARKAYKELHVAALTLQTALRAIAARKEFRFRKQTKASIIIQARWRSHKASLYYKRLKRGTIVTQCRWRGRMARKDLRKLKMAARETGALQEAKDKLEKRVEELTWRLQLEKGLRTNVEESKAQEIAKLQNSLQEMQSKLDETNALLVKERENAKKVIVEAPPVIQETQVVVEDTQKIEALTAEIQSLKISFESEKQKADDFERKYNEAQVCSEERGKKIEDLEKKVRQLQESLTRLEEKNNNIESENQVLRQQAVSMAAAPAKVLSGRSRSIIQRGAESGHIPVEAKTPSTNHELHQSPSMSQRESSEVDDKPQRSLNEKQQENQELLIRCIAQHLGFAGNRPIAACIIYKCLLHWRSFEVERTSVFDRIIQTIGHAIETQDNNDVLAYWLSNASTLLLLLQRTLKASGAAGMAPQRHRRSSSATLFGRMTQSFRGTPTGVNLSLINGSSSRGVETLRQVEAKYPALLFKQQLTAYVEKIYGMIRDNLKKEISPLLGLCIQAPRTSRASMVKGSSRSVANTEAQRTLIAHWQGIVKSLGNFLNTLKANHVPPFLVRKVFTQIFSFINVQLFNSLLLRRECCSFSNGEYVKAGLAELEHWCYKATDEYAGSAWDELKHIRQAIGFLVIHQKPKKTLDEISHDLCPVLSIQQLYRISTMYWDDKYGTHSVSPDVISNMRVLMTEDSNNAVSNSFLLDDDSSIPFSVDDISKSMEQMDIADIDPPPLIRENSGFSFLLPRPD >OIW06634 pep chromosome:LupAngTanjil_v1.0:LG08:10075287:10081031:1 gene:TanjilG_04028 transcript:OIW06634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDGELFMPMVLLLLLLLSPFASPLTQQGEALMKMKSSFSNIADAVLHWDDVHNNDFCSWLGVYCHNLTFTVLSLDLSGNKLTGQIPDEIGNCAQLVHLDLSENQLFGDIPFSISKLQHLEFLNVKNNQLTGPIPSILTQIPNLKTLDLARNRLTGDIPRLLYWNEVLQYFDVRGNNLTGSIPESIGNCTSFEILDISYNQITGEIPYNIGFLQVATLSLQGNRLTGKIPDVIGLMQALAILDLSENQLVGPIPPILGNLSFTGKLYLHGNMLSGPIPPELGNMSKLSYLQLNDNQLVGSIPNEIGMLEHLFELNLANNHLEGSIPHNISSCTALNQFNVHGNQLSGSIPLSFRNLQSLTYLNLSANKFKGFIPIELGHIVNLDTLDLSSNNFSGHVPASVGFLEHLLTLNFSHNHLDGPLPAEFGNLKSIQIIDMSFNNLSNSIPPEFGQLQNLMHLILNNNDLHGEVPDQLTNCFSLLSLNFSYNNLSGVVPSMKNFSWFSADSFFGNPLLCGNWLGSICHPYIPRSRRPPQLVILHMDMAIHTLEDIFRNTENLSEKYAVGYGASSTVYKCVLKNSRPIAVKRLYNQHAHNLKEFEVELVTVGSIRHRNLVTLYGYALTPFGNLLFYDYMANGSLWDLLHGPSKVKLDWETRLKIAVGAAEGLAYLHHDCNPRIVHRDVKSSNILLDENFEAHLSDFGTAKCISTTRTHTSTYVLGTIGYIDPEYARTSRLNEKSDVYSFGIVLLELLTGKKAVDNDSNLHQLILSKADNNTVMEAVDPEVSVTCIDMAHVKKTFQLALLCTKRNPSERPTMYEVARVLVSLLPAPPPKIHAPPTRTYDYKHFVMKKGQNKYPKMEGIQPEQDNTSSDAQWFVRFGDVISKNSL >OIW07277 pep chromosome:LupAngTanjil_v1.0:LG08:2481287:2484479:1 gene:TanjilG_08392 transcript:OIW07277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIGNLAPEPENNRPGNDIAVLDVNEPLLGHDSGAGFDDFDGASFSGAVFNLSTTIIGAGIMALPATLRELGMVPGLVAIIIMAFLTEKSIEFLIRFTRAGKSSSYGSLMGDSFGIYGKALIQICVIVNNIGVLIVYMIIIGDVLSGTSANGKHHPGIFEGWFGAHWWTGRTFVVLLTTLAIFAPLASFKRIDSLRFTSALSVGLAVAFLVIAVGISIVKILSGGIGMPKLFADVTDVSSVFKLFTVVPVFVTAYICHYNVHTIDNELEDSSQIQGVVRTSLALCSSVYILISFFGFLLFGEGTLDDVLANFDTDLGIPFSAVLNDAVRLSYAAHLMLVFPVVFFPLRLNIDGLLFSKSRPLVLDNFRFASLTISLIGVIFLGANFIPSIWDAFQFTGATAAVCIGFIFPAAIILRDRYEIATKGDKILSVIMIVLAVVSNAVAIYSDAYALIKQNKTSRE >OIW06648 pep chromosome:LupAngTanjil_v1.0:LG08:10167077:10167559:1 gene:TanjilG_04042 transcript:OIW06648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHFNSMDADADDDDDLPPPPIDFASVFASQRFFFSYPGTSNSIIDSSHTPRSSNNNNNNTLMIPRGGVKVPKYSLDPYIDFLRSMHEMIDSRQVSLDVRKDWDYLYELLLCYLHLNPTHTHKFIVRAFTDLIVDLLSSSSSSSSSSSSSPPSPQVQTPS >OIW06138 pep chromosome:LupAngTanjil_v1.0:LG08:20085037:20087179:-1 gene:TanjilG_22360 transcript:OIW06138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGSASIKAKGKEVGVEEGFRSFGEVSILKVGENVRAYPEGENLLVEGDEDDVDEVGNIPREEGRSHLNLFGDTGNKRVSGIYVPFSCPEQRVQSFVGKIPASKKLLLKRKEMAKNKKVKCKVRVQPVDYSVERDHRLIPSTDYGEGKDKNPHKGSRIEEENLSTIKGLSFVSSSMEMVFEIPRLGPCLEAQAVLIHGVEDLLSLKEVSSTVPFCNKAQSSAMGSLSKNPFVDSISPQINLNSPGRQGRPKRKGNSRKRLPNSLYKMALMSNQRKSKKIKKKSSKVKSHPLDVISGGASSHVDPAISNSISDSHVRKVNNLLLSKGISQSRMLWDIGEN >OIW06788 pep chromosome:LupAngTanjil_v1.0:LG08:8659386:8659694:1 gene:TanjilG_11513 transcript:OIW06788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVEGSSKKMIATQEEMVEARVPLAYRDQCAHLLIPLNKCRQSEFYLPWKCQNQRHSYEKCEYELVMERMLQMNKIREEQANINNSHPQGAGVPLIPKTANA >OIW05889 pep chromosome:LupAngTanjil_v1.0:LG08:22799868:22803998:-1 gene:TanjilG_23675 transcript:OIW05889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATYFHGSSSSEIQSSAEGLQTLYLMNPNYVPYSDAPQHPTPNMLFINPNTAPTTTSPPHALNLSNFTHAPPPPNNNHNLHHHHMTGATIPLSNTTGSTNTDEISSYHDFVAAAPRVHYNMWGFDQTPWSAAAVTIAATPSNNSGYATNVSVAADISEQVGFHRPNNQQQGLSLSLSSQQPEYRSLSGEISAATRGSGISSMNNNNNNNNNNNGILGSKYLKATHELLDEVVNVGKGIFKGESINSVINKEKMKTDIESTSGIGGDGSSGGGQNSESKQGIELSTTQRQEAQMKKSKLVGMLDEVEQRYRHYHHQMQIVISSFEQVAGYGGAKSYTSLALKTISKQFRCLKDAISSQIRATSKTLGEDDCLGVKVEGSRLRYVDHHLRQQKTLQQLGMTQHNAWRPQRGLPERAVSILRAWLFEHFLHPYPKDSDKVMLAKQTGLTRSQVSNWFINARVRLWKPMVEEMYLEEVKEQEQNHGSHDNTNRSKDQSNKEMKSLATINADALQSKAESSFNNQNTSQTEISNSNSLISTSPMGVSTTLKSQSGNFHLAGSSHDMQNNPNKRRSSELHSSPGSGIFSVDMDMKPGETNREITNKEFGIENHGSGGGYGAFSIEDIGRFNNVTENLAPRFDGNGVSLTLGLPRSENFPLSGTQHEFLSQNIHLGDDRIHEMGTNENEFHAINSTPPSSHSGTSYESIDLQNRKRFAAQLLRDFVA >OIW06594 pep chromosome:LupAngTanjil_v1.0:LG08:9842373:9848807:-1 gene:TanjilG_03988 transcript:OIW06594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLLSYGNVDCDIHQALVALKKGAQLFKYGRKGKPKSCPFRLSDDESSLIWISSSGERSLKLSSVSRIIPGQRTAVFQHYLPPEKDCFLSFSLIYNNGKRSLDLICKDKVEADVWIVGLKTLISSGQGGRSKIDGWSDGGLYLDDNTDLTSSSTSESSASASQDISYPNISMSLPNTSPKSFPPENTLKFERSHAPTNPTNMQVKGSSSDVFRVSVSSAPSTPSHGSAPDDYDALGDVYIWGEVICENVVKVGADKSVIYVSPKADVLLPRPLESNVVLDVHRIACGVKHAALVTRQGEVFTWGEESGGRLGHGVEKNVIQPHLVEALASSTVDSVSCGEFHTCAVTMAGELYTWGDGTHNAGLLGHGTDVSHWIPQRIAGPLEGLHIALVTCGPWHTALITSTGQLFTFGDGTFGVLGHGDRENVSYPREVESLSGLRTIAVACGVWHTAAVVEVIVTQTSASISSGKLFTWGDGDKNRLGQGDKEAQLEPTCVPSLIDYNFYKVACGHNLTIGLTTSGHVFTMGSTVYGQLGNPQSDGNLPCLVEDKLAGECVEEIACGAYHVAVLTSRNEVYTWGKGANGRLGHGDVEDRKTPTLVEALKDRQVKYIACGSNYSAAICLHKWVSGTEQSQCSTCRQAFGFTRKRHNCYNCGLVHCHSCSSRKALRATLAPNPVKLYRVCDSCYAKLNKVAEASNINRRNAMPRLSGENKDKLDKSELRLAKLEVPSVLRLIKQLDNMAAKHGKKADTLSLVETTQTPSLQLKDVVFSTNNDLKGKVPRAVLTSSRVSSRSVSPFSRRPSPPRSATPIPTASGLSFSKNINDSLKKTNELLNRELLKLRSQVETLRQRCELQELEIQKSARKTREAMALAAEESSKSSSAKEVIKSLAAQLKDLAERLPPGYDVEKIRPTYQPNGLEPNTIHYPDSNGERHSRAESINGSSLASMGLESSITNNRTEGNLPGTNGTNLDKQKMGHVISNWRHDHRDAKLPNGSGMIQARRNILSDTTVNGRDSMDFEEDESGLTSRNSDSNQIEAEWIEQYEPGVYITLTALQDGTRDLKRVRFSQRRFGEHQAESWWSENRDKVYDRYNVHITEKFSSQAA >OIW05559 pep chromosome:LupAngTanjil_v1.0:LG08:25320899:25324244:1 gene:TanjilG_23345 transcript:OIW05559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLVRLLIEVKGIFVEKSEVLQKLELVDWIQKLGVANYFEKEVNEFLETTTFKNPSAQESLHVPALSFRLLRQHGYKVSPDVLLRKFFNENGTMLSKISCGDDVKDIMELFEASHLRFEEENILEEAKSVVINSLKDTFNVPSSININYEHSERVFHALELPSHWRVPWFDVKWHVKQYHKEKHMEHHLLQLAKLNFNLIQLQLRKEVKELSRWWENLGIEKELSFARNRLVESFMCSAGVAVQPKYKSLRKWLTKLITFILVIDDVYDIHASFEEVKLFTAAFERWDAKEIEELPECMRICFNSLKEVTDETAYEIAGEKNFNMVLSYIKKAWIEFCKALFEEAKWYSMEYIPSLKEYLSNAWISSSGSAERERGDAASSILCYMNEMEVSEDKARKHIEEMINNAWKKINWYGTNGVGSMEPFITQARNAARMAHTLYQNGDGFGIQDLDIKEHISSLLVEPL >OIW06433 pep chromosome:LupAngTanjil_v1.0:LG08:11561922:11563168:-1 gene:TanjilG_05204 transcript:OIW06433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRQPCCDKVGVKRGPWTIEEDHKLINFIINNGIHCWRKVPSLADLKRGGFTEMEENQIIQLHSLLGNRWSKIAAHFPGRTDNEIKNHWNTRIKKRLRFLGLDPMTKKPIQQNEHFDDDEKNKINQHPNTSKGYEENVETKSLDNNGIEEMTKTKEKIEEKENKVTWDSELHNYDIQSSKVELKSWISQENNTSVSSYCSSSFSIGDSSYPCVGQSPYFEGNSLQQWVDSMDSILLWDSFNPLDEDFIFLENKE >OIW06317 pep chromosome:LupAngTanjil_v1.0:LG08:15408325:15414065:1 gene:TanjilG_17691 transcript:OIW06317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEREIFGSEHLVKGDEARESFHVASRTEDFMQFPGTAAELPAVAAEIPAVAAEIPTVAPVSSVVTPVTEGKKKRGRPRKYGPDGKVAAVARALSPMPISASIQLTGQLPAWKRGIGKPMKSVKKFYEVEYAGQGDGIAYSVGANFSTYVLTVNAGEDVTMKIMSFSQQGHRATCILSANGTISNVTLRQPTSSGGTLTYEGQFEIVSLSGSFMPTENGLTKSRSGGMSVSLVGPDGRLMGGGLAGLLVAAGPVQVVVASFLPSQELEQKSKRPRMEHISNTATTHVSPISAEEIKNSFGGVKPIMVTTDFQVDNYGSYNNGQGSRNLSADDEAPLQDKDSNPTQLGAAGVAC >OIW05813 pep chromosome:LupAngTanjil_v1.0:LG08:23554358:23558844:1 gene:TanjilG_23599 transcript:OIW05813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRSVHKISNDSARAMMAIIMAGAVGFFVGISIPSLHLNKINFSSSSLRHSFNVPKAEIDRYSADVDKALAVSNDSSGTKHTELLGSIRLPKIHVPTNPLGAETLPPGIVVSKSDFYLRRLWGEPSEDLKKKPKYLVTFTVGYDQRKNIDAAVKKFSDDFAILLFHYDGRTNEWDQFEWSENVIHVSARKQTKWWYAKRFLHPDIVSAYEYIFIWDEDLGLENFNGDKYIKLVKEHGLEISQPGLLPNSRLTWEITKRRIGNKVHKATKEEPGWCSDPHLPPCAAFVEIMAPVFSREAWRCVWHMIQNDLVHGWGLDFNLRRCVEPAHEKIGVVDSQWIVHQFIPTLESQGEAVDDGKQPLDRVKMRCRSEWEEFQNRLINADKSYLTGLRRIVKA >OIW07283 pep chromosome:LupAngTanjil_v1.0:LG08:1532990:1540786:1 gene:TanjilG_11917 transcript:OIW07283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMYHLLPSPYESQEINHLTLAYFVISSLDFLNSLHLLTHKEAVSDWVLSFHLHPTTIPDPNNGQFYGFHGSRTSMFPPDQTGALLHNNSHLASTYSALAILKIVGYDLSNIDSELMLSSMRNLQQSDGSFIPIHTGGEKDLRFVYCAAAICFMLNNWSGMDKEKAKDYILHCQSYDGGFGLVPGAESQDLHVTFIEMMYHLLPSPYESQEINHLTLAYFVISSLDFLNSLHLLTHKEAVSDWVLSFHLHPTTIPDPNNGQFYGFHGSRTSMFPPDQTGALLHNNSHLASTYSALAILKIVGYDLSNIDSELMLSSMRNLQQSDGSFIPIHTGGEKDLRFVYCAAAICFMLNNWSGMDKEKAKDYILHCQSYDGGFGLVPGAESQGGATYCAIASLRLMGFIEDNLLSTCASSSLIDVPLLLDWIVQRQGTDGGIQGRPNKSSDTCYAFWIGAVLKILGGYDFVDSKALHGFLLACQYKYGGFSKFPGEFPDLYHTYYAFTASSMLEESGFNSLCPELGITQVAAKGL >OIW07101 pep chromosome:LupAngTanjil_v1.0:LG08:5379018:5413893:1 gene:TanjilG_02735 transcript:OIW07101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSEALIQPSDDAVAFSASPSSNRYCWIFDELPKATIVSVSRPETGDISPILLSYTIELHYKQFKWRLKKKASQVLYLQFALRKRALIEELYDKQGQVKEWLQSLGIGDQTVMIQDDEEPDDGAVPLHHEDSVKNRYVPSVAALSIFRPSLGGQQLVADRAKVAMQGYLNLFLGNLDIVNSQEVCKFLEISKLSFLQEYGPKLREGYVTVKHLSNISQDSDVGCFPCNLFGCCDNNWKKVWAVLKPGFLALLDDPFHNKLLDIIVFDVLPSSNGDKGTQIHLADPIKEGKPLCYTFKVTSGNRSIRLRTTSNAKVNAWVTEINEVGLRPLEGWCHPHRFGSFAPVRGVTKDGSQAQWFVDGKAAFEAIASSIEDAKSEIFITGWWLCPELYLRRPFHSSSSSRLDSLLEKKAKQGVQIYVLLYKEVSIALKINSLYSMRRLLDIHENVRVLRYPDHLACGVYLWSHHEKLVIIDSKICYIGGLDLCFGRYDTPEHKVGDCPSVIWPGKDYYNPRESEPNSWEDTMRDELDRQKYPRMPWHDVHCVLWGPPCRDIARHFVQRWNHAKRTKAPNESEIPLLMPHHHMVIPHYLGRSKEREIDGKKDEEDNRKKIDRQDSSSLQSPLQDIPLLLPQEAGGVVTSNENLKLNGSNMNNNVADHTSLSENVPLSSQNLKDETLVTDNQMKGFQDKVVPFNMGAQSVLDELDDWWETPKEGTNDSTALEYGEVGPCTTCECQIIRSVSQWSAGTSQPEGSIHTAYCSLIDKAKHFIYIENQFFISGLAEDDIIQNRVLEALYRRILRAHKEKNIFRVIVVIPLLPGFQGGMDDGGAATVRALTHWQYRTISREKHSILHNLEATLGPKAHDYISFYGLRSHGRLYEDGPIATNQVYVHSKLIIIDDRVACIGSSNINDRSLLGLRDSEIGVLIEDKEYVDSLMNGKPWKAGKFSYSLRCSLWSEHLGLHTGEISKISDPVADITYKDLWSATAKENTRIYHEVFACVPNDHIHSRSALRQSMAQWKEKFGHTTMDFGIAPDKLVCHENGETKVVDPIDRLKSVKGLLVSFPLEFMRDEDLRPAFIESEFYVSPQIGVQRTELNGFVSDLRLDMTSLSRELVFLILQFLEEEKFKESVHKLEKESGFFFNVKYFEEKVQAGEWDEVEQYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDRQEKAKAVEILVGDLKVFSTFNEELYKEITQLLTLTNFRENEQLSKYGDTKTARSIMLIELKKLIEANPLFRDKLILPTFKSSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFTDHSCSSPNGPLAPTPVNLPISAVAKPAAYTSLGVGAHGPFPPAAAPANANALAGWMANVSASSSVQAAVVSASTIPVPQNQVSILKHPRTPPTTPGMVDYQNADHEQLMKRFRPGHSVEEVSYPSTRQASWSLDDLPRMVAMTLHQGSSVISMDFHPSHHTLLLVGSVNGEITLWELSLRERLVSKPFKIWDMQSCSLPFQAAVVKDAPISVSRVTWSPDGNFVGVAFTKHLIHLYAYTGSNELAQRIEVDAHIGGVNDLAFAHPNKQLCIVTCGDDKLIKVWDLNGRRLFHFEGHAAPVYSICPHHKENIQFIFSTAIDGKIKAWLYDNMGSRVDYDAPGHWCTTMLYSADGSRLFSCGTSKDGESFLVEWNESEGAIRRTYNGFRKKSTGVVQFDTTQNRLLAAGEDGQIKFWDMDNINLLTSTDADGGLQGLPRLRFNKEGNILAVTTVDNGFKILANATGLRSLRTVETHTFEALRSPTESTAIKVSGPSTVSPINCKVERSSPVRPSPILNGVDPIGRSVEKPRTVEDVIERTKPWQLSEILDPVQCRLVTMPGSTDSSSKVVRLLYTNSGVGILALGSNGIQKLWKWARNEQNPTGKATAGVVPQHWQPNNGFLMTNDISGVNLEEAVPCIALSKNDSYVMSACGGKVSLFNMMTFKVMTTFMPPPPASTFLAFHPKDNNIIAIGMEDSTIHIYNVRVDEVKSKLKGHQKRITGLAFSTHLNILVSSGADAQLCVWSIDTWEKRKAIPIQLPAGKSPVGDTRVQFHSDQLRLLVAHETQLAIYDASKMDRIRQWVPQDALSAPISYATYSCNSQLVYATFCDANVGVFDADTLRLRCRIAPSICLSQAALSGSQAVYPLVVAAHPLEPNQFAVGLTDGSVRVIEPTESEVSILKHPRTPPTTPGMVDYQNADHEQLMKRFRPGHSVEEVSYPSTRQASWSLDDLPRMVAMTLHQGSSVISMDFHPSHHTLLLGFILCNKISSLKAGHYIIMPDHLSWHLRIQNLRNLWLRILQAAVVKDAPISVSRVTWSPDGNFVGVAFTKHLIHLYAYTGSNELAQRIEVDAHIGGVNDLAFAHPNKQLCIVTCGDDKLIKFIFSTAIDGKIKAWLYDNMGSRVDYDAPGHWCTTMLYSADGSRLFSCGTSKDGESFLVEWNESEGAIRRTYNGFRKKSTGVVQFDTTQNRLLAAGEDGQIKFWDMDNINLLTSTDADGGLQGLPRLRFNKEGNILAVTTVDNGFKILANATGLRSLRTVETHTFEALRSPTESTAIKNGVDPIGRSVEKPRTVEDVIERTKPWQLSEILDPVQCRLVTMPGSTDSSSKVVRLLYTNSGVGILALGSNGIQKLWKWARNEQNPTGKATAGVVPQHWQPNNGFLMTNDISGVNLEEAVPCIALSKNDSYVMSACGGKVSLFNMMTFKVMTTFMPPPPASTFLAFHPKDNNIIAIGMEDSTIHIYNVRVDEVKSKLKGHQKRITGLAFSTHLNILVSSGADAQLCVWSIDTWEKRKAIPIQLPAGKSPVGDTRVQFHSDQLRLLVAHETQLAIYDASKMDRIRQWVPQDALSAPISYATYSCNSQLVYATFCDANVGVFDADTLRLRCRIAPSICLSQAALSGSQAVYPLVVAAHPLEPNQFAVGLTDGSVRVIEPTESEVSILKHPRTPPTTPGMVDYQNADHEQLMKRFRPGHSVEEVSYPSTRQASWSLDDLPRMVAMTLHQGSSVISMDFHPSHHTLLLVGSVNGEITLWELSLRERLVSKPFKIWDMQSCSLPFQAAVVKDAPISVSRVTWSPDGNFVGVAFTKHLIHLYAYTGSNELAQRIEVDAHIGGVNDLAFAHPNKQLCIVTCGDDKLIKVWDLNGRRLFHFEGHAAPVYSICPHHKENIQFIFSTAIDGKIKAWLYDNMGSRVDYDAPGHWCTTMLYSADGSRLFSCGTSKDGESFLVEWNESEGAIRRTYNGFRKKSTGVVQFDTTQNRLLAAGEDGQIKFWDMDNINLLTSTDADGGLQGLPRLRFNKEGNILAVTTVDNGFKILANATGLRSLRTVETHTFEALRSPTESTAIKNGVDPIGRSVEKPRTVEDVIERTKPWQLSEILDPVQCRLVTMPGSTDSSSKVVRLLYTNSGVGILALGSNGIQKLWKWARNEQNPTGKATAGVVPQHWQPNNGFLMTNDISGVNLEEAVPCIALSKNDSYVMSACGGKVSLFNMMTFKVMTTFMPPPPASTFLAFHPKDNNIIAIGMEDSTIHIYNVRVDEVKSKLKGHQKRITGLAFSTHLNILVSSGADAQLCVWSIDTWEKRKAIPIQLPAGKSPVGDTRVQFHSDQLRLLVAHETQLAIYDASKMDRIRQWVPQDALSAPISYATYSCNSQLVYATFCDANVGVFDADTLRLRCRIAPSICLSQAALSGSQAVYPLVVAAHPLEPNQFAVGLTDGSVRVIEPTESEGKWGSSPPIDNGILNRTTSSSTTSNHTADQSQR >OIW07053 pep chromosome:LupAngTanjil_v1.0:LG08:5957282:5959266:-1 gene:TanjilG_02687 transcript:OIW07053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTAAHRMVGVKYECLLFDMDDTLYPLSSGLNLACRKNIIDYMLEHLHIEESEVPKMCLDLYKEYGTTMAGLKVIGHEFDNDEFHAYAHGRLPYEKLKHDPVLRNLLLSMPQRKIIFTNADRAHAVKVLNRLGLEDCFEGIICFETLNPAKEIDHMDECYSSHSQILCKPSVEAFEAAIRIANVDPKKTIFFDDSVRNVASGKAAGLHTVIVGHSDLVPGADHALNSIHNIKEALPEIWEIEESNPQKMIQPPSEAMVLA >OIW06960 pep chromosome:LupAngTanjil_v1.0:LG08:7112309:7114928:-1 gene:TanjilG_18348 transcript:OIW06960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVGHLGKLALSGIAIAISLCAVSGFSLLFGMSCALETLCGQAYGAQQYKKFCVQIYTGLVSLTLASIPLSLLWFNLEKLLTLLGQDPLISQEAGNFARCLIPALFAYGILQAMVRFFLIQSLIKPLVISSTVSLFFHIALCWLLVFKIGLGSLGAAFSIGASYWLNVILLGLYMFSTNSERTQTHISMEIFHGIREFLSYAIPSAGMICLEWWSLELLTLLSGILPNPELETSVLSICLSTISTIYTIPESIGSAASTRVSNSLGAGSPQAARVSVFAAMALAALEALLVSSILFACRKTLGYAFSNERDVVDHVTDMVPLLCLSVILDTLHVTLSGIARGCGWQHLGAYVNFGAYYVVGIPIAAILGFWIELRGKGLWIGILIGALCQTVMLSLITSATNWEKQVSKARKRIFQGRFEVEELLK >OIW05882 pep chromosome:LupAngTanjil_v1.0:LG08:22884682:22887471:1 gene:TanjilG_23668 transcript:OIW05882 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:NIT4B description:hypothetical protein MALVTTTPTVNDEPLFAEVDMASYFTSTTVRATVVQASTIFYDTPATLDKAERLLVQAASYGAQIVVFPEAFIGGYPRGSNFGVSIGNRTAKGKEEFRKYHSAAIDVPGPEVDRLSAMAGKYKVYLVMGVIERDGYTLYCTVLFFDSQGRYLGKHRKVMPTALERIIWGFGDGSTIPVFQTPIGKIGAAICWENKMPLLRTAMYAKGVEIYCAPTADSRDLWQASTTHIALEGGCFVLSANQFCRRKDYPPPPEYVFSGTEEDLTPDSVVSAGGSVIISPSGAVLAGPNYEGEALISADLDLGEIARAKFDFDVVGHYSRSEVLSLIVKDHPTNPVTFTSTSTKIEDQTK >OIW06679 pep chromosome:LupAngTanjil_v1.0:LG08:10416557:10421731:-1 gene:TanjilG_04073 transcript:OIW06679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGDGRKIRVGDCALFKPPHDSPPFIGIIRRLTFDKEENPSLEVSWLYRPADLKLAKGIFLEAAPNEVFYSFHKDEISAASLLHPCKVAFLRKGVELPSRISAFVCRRVYDIEHNCLWWLTDQNYLNELQEEINQLLEKTKLDMHGAVQSGGRSPKQLNGPTSTQSLKSGSDSIKNSSFGVQSKGKKRERGDQGSDSSKRERLVKAEEGDSGQSRPESMLKSEVSKFTDKGGLVDFEGVEKLVQLMQPESGDKKIDLSGRIMLANVIAVTDRYDCLSWFVQLKGLPVLDEWLQEVHKGKIGDGNMPKETDKSVEDFLLALLRALDKLPVNLNALQTCNVGKSVNHLRSHKNAEIQRKARSLVDTWKKRVEAEMKMTDSQSGSTHRVSWPAKQASSEVSQVGNRKIGGSSENVAKSSAIQPSVSKTPQAKPNSGELLSKSSSTPGSVKGMSTSVGSNSKDRNMKVLVGAVTSDLPMTPIKEERSSGSSQSQTNSLSCSSEHAKTVGSCMEDARSSTAASMSAGKIHGGASRNRKSSNGLHGVGLAVLQKESRSAKTLTRNTLVEKVSPTQMSHEKSPDRPLADQRNSQPLILRLPNTGRSPSRGASGGTFEEPTVSCGKASPPAEKNQSPDRRAKAKTDCSPTNVASNFRNDASDANGSLTCFEEGKGSPIGDQQCIASEEGDKPTETSKPTGLSSGFVSRSGQIYDASVSPMDALVESCVKISEASASTSPGDDGMNLLATVAAGEISRSKNVSPLASPARKSPEAGGSSSVNDCKSKHSGGGVVHTLAQSDVGAIEEHHLESVDPLQVKLDSRHSDIMVLHNGSEDGEAMSSSCVEKTGDGRTKMNFSTTDCLQNAEDPCLLPETKEGASETTLPAIEETDVEPGGTNHLNDQRELGCQCATGSSSDSKLKSRSSSFGEDVKVDHLDERVTENEKMSVSKEVLASVNVDKKIEEKLSELSSDVGNENRIIAEKVSGTGISVQKPSPVAQNCDPNDLKEDGMLPTPAGNASIVCRDENADDVRSGEVEPDTKPMDLDPAVSAGINGHVEENLGTNEVLGHCSELSGHPELPTIHGKENEVCKTSECNLDGIESEAVLERHAFSINPPRTDSGSDTAVKLDFDLNEGIPVDDVSQGEIVKQEEPTTSSAVHVPCALPFPMSSMSGSLYASITATSAAKGPVVPPESPMRNKGELGWKGSAATSAFRPAEPRKNAEVPSNTTDIPSVDATPVKQARPLLDFDLNVADELSFDDLTSHGSLEFRPHDHSTVGFDLDLNRVDETPEAGSYSMSKVDIPSLPSKLSLSSGISNGGSVSRDFDLNNGPGLDEVGTEVTARSQHMKSTIPFPSAVHGARTNNPEFGNYSWFPPCNSYSAITVPPLLPGRGEQSYVASAGAQRIMVPTGSTPFGNEFYRGPVLSSSPAVAYPPNTPFPYPGFPFETNFPLSSNSFSGCSTAFMDSSTMGGLCFPTMPSQPIGPGGVVSSTYPRPYVMSLPGGTSNVIPDNRKWGSQSLDLNSGPGSGADAEGRDDRLPSGLRQMPAPSSQALTEEHMKMFQAAGVLKRKEPDGGWDGTDRFSYKQPSWQ >OIW07046 pep chromosome:LupAngTanjil_v1.0:LG08:6006029:6008489:-1 gene:TanjilG_02680 transcript:OIW07046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCFSCCEDDDYHKAAESGGPLVVKNAAGNDGNYHASESAKQGAQTVKVQPIEVPSIPVDELKEVTDNFGQDSLIGEGSYGRVYYGILKSEQAAAIKKLDSSKQPDQEFLAQVSMVSRLKHDNFVQLLGYCIDGNSRVLAYEFASNGSLHDILHGRKGVKGAQPGPVLTWAQRVKIAVGAARGLEYLHEKADPHIIHRDIKSSNVLIFDDDVAKIADFDLSNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLNAKSDVYSFGVVLLELLTGRKPVDHTLPRGQQSLVTWATPRLSEDKVRQCVDTRLGGEYPPKAVAKMAAVAALCVQYEADFRPNMSIVVKALQPLLTARPGPAGETPN >OIW06038 pep chromosome:LupAngTanjil_v1.0:LG08:22083954:22084370:-1 gene:TanjilG_11725 transcript:OIW06038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDIFDSSLNLEETHFKEGYDEGYTHGLISGKEDATQVGLKVGFEIGEELGFYRGCVDIWTSVIRVDPTQFSQRAKTGITQMEELLHKYPLMDPENSQVQEIMDSLRVKFKMVSSSLHVKLEYNGYPKSSAEANDIQF >OIW05709 pep chromosome:LupAngTanjil_v1.0:LG08:24346089:24352299:-1 gene:TanjilG_23495 transcript:OIW05709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPKQINEIKDFLLTARRKDARSVKIKRSKDVVKFKVRCSKYLYTLSVFDTDKADKLKQSLPPDGWKSRWVLSDWKRSEGKAGTFKYTAGKWHGDPDDKGIQTYNDAKHYAISAKIPELSNKNRTLVVQYSIKFEQDIECGGGYIKLLSGYVNQKKFGGDTPYSLMFGPDLCGSQTKKLHVILSYQGQNYPIRKDLQCETDKLTHFYTFILRPDASYSVLVDNRERDSGSMYTDWDILPPRKIKDIKAKKPADWDDREYIEDPNDDKPEGYDSVPSEIPDPKANEPVDWDEEEDGLWKPPKIPNPAYKGPWKHKKIKNPNYKGKWKIPWIDNPEFEDDPDLYVLKPIKYVGIEVWQVKAGSIFDNILICDDPQYAREVVEGFMADNREPEKDAFEEAEKVRKAKEEEHKELEKRAKREGGRGVISMEIGGAVVG >OIW06612 pep chromosome:LupAngTanjil_v1.0:LG08:9947133:9950299:-1 gene:TanjilG_04006 transcript:OIW06612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMQRYVFDGEARKKRLEPKTLVACLEQKLAKACLADKDAEALRCQKLLMEEDAAQRRQARILEKKHKKKLKRKEKKAKERLKAATQIKENNRSTVEAVSPAEASLDTHDFEAHNPDTIVNHAPSPHVTVHCPESTEVVDGDPQWRNDSDTRQNFEQQRSQRHNRQRMIVSRRHRIPKSQKAVANDLLASQNSQNAKREAIRKCGPLHERKAPPILKGSKVWRRKPKPEIGGVMSEARLQKEPQQGKNHQVLIGSIWIPLGNCRQPEGNPVASHAECKIENLAKQNSAQEKPMKIASFKSGNTVKLWRPVSQHGTKNPLPIQSGGTEADAVYGKNDQTLPGQINLRSFNIDSDNDSKNNLSGLGAKVDPGKFRISRHAAKAFLAQKWEEAISSNHVTLVLSLGTEPPGCKEVQDYELATCGSSDADRFSSLASAENLLPATPELLNPNPE >OIW06314 pep chromosome:LupAngTanjil_v1.0:LG08:15445173:15449461:1 gene:TanjilG_17688 transcript:OIW06314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLSELVEFPLLQTPIDSHYRASTIPYRFPSDNPRKSTPTELSWIHLFLNSIPSFKKRAESDTSVPDAVIKADKFAQRYTDILEDLKKDPESHGGPPDCILLCRLRELILRELGFADIFKKVKDEENAKAISLFENVVLLNDAIEDEAKRLENLVRGIFAGNIFDLGSAQLAEVFSKDGMSFLASCQNIIPRPWVIDDLDTFKTKWSKKSWKKVIIFVDNSGADIILGILPFARELLRRGTQVVLAANDLPSINDVTYSELIEIISKLKDEEGRLVGVSTSNLLIANSGNDLPVIDLTRVSQELAYLATDADLVVLEGMGRGIETNLYAQFKCDSLKIGMVKHPEVAQFLGGRLYDCVFKYNEVSSS >OIW06617 pep chromosome:LupAngTanjil_v1.0:LG08:9983892:9984047:1 gene:TanjilG_04011 transcript:OIW06617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTVAVVKKLKKVGKVDIVSVGPAKEEKKEENKEEKKEEKKEEKKEEGDKK >OIW06699 pep chromosome:LupAngTanjil_v1.0:LG08:10587679:10589169:1 gene:TanjilG_04093 transcript:OIW06699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGPLKIYLVPFLASGHMIPLVHIARLLASQGEHVTLIMTPSNAKLFTNTIEQDRVIYGSNINIHTLNFPYNQLGLPEGLENVSSATNNDSATKICIAAHLLQPQVEAFLTANPPHVIVTDFMFMWSQKLATTLGIPNLVFNPLSIFVSCVMDSIKRNPNSLSYDDDHGSFMIPDLPHPITLNVKPSPMFTKAVTEAIIENEQNSNNVGILLNGFEEIEHEYAEHYEKLTGRKVWHVGPALLMLKNKTNMDKDLLGDEQQHECLNWLDKQEQKSVVYISFGSLVRFSDDQLLEIASGLEASGHKFLWVVHGKDNNNGSNNDNMTWLPLGFEARMKKDDRMMIFNGWSPQVLILNHSAIGAFFTHSGWNAISETIVAGVPMITMPGYGDQYYNEKLITQVRGFGVEVGGQVWTSSPYGDRDKLVGRERIEIAVRKLMDGGDEEDQVRTRVKELQQKAHKAVQQGGSSYRNLADMIQVLHNTLEQSNKVGERVKNHK >OIW06469 pep chromosome:LupAngTanjil_v1.0:LG08:12055867:12056611:1 gene:TanjilG_05240 transcript:OIW06469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWMKKAQNGYYTKLYVEVVDAHKLMPKDRNGTISAYVQVEFNNQSLNTQTVVCNLNPIWNETLSFNLDSSSKLKLRVYHDKKTVKYESEIGVKIYYVDEEDYHVSTPIQEHILLSLSSLPPPPEIEEEKSIEVTTQHEIEIRQIVVYEIFEEPLTPTVEPQEELPLRIEEIVDQANPSISTTRKVLRKLLDCKKFLGILPKRG >OIW05670 pep chromosome:LupAngTanjil_v1.0:LG08:24600518:24601039:-1 gene:TanjilG_23456 transcript:OIW05670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENQSSSSSSQMQFLLLEQVEFLNVKDDSLFQWELVNVVDAEEDEESDNSIEDGFGSWNSPGSSLKDAPIQDIRHILLHPDDDHVSRVEVKDHHHPHDDDEVHDDDDGDDDGDDDLDDELIPWDVSNKMGRDRMRKLGKREFPKMHNSKRSPYLFFSRGCVRGKHGLGLKHNF >OIW06329 pep chromosome:LupAngTanjil_v1.0:LG08:13986363:13986695:1 gene:TanjilG_14974 transcript:OIW06329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAIGSTRRMLVLQDTWTDNSSSMMMYVTVDMDSINLVMSGGESAYLPLLPSGFIIHPDVHSNNGGISNEGSGSLLTFTLQILLSTLPTSNKLPMESVVSVSSLITETIQ >OIW06901 pep chromosome:LupAngTanjil_v1.0:LG08:7679557:7687243:-1 gene:TanjilG_19550 transcript:OIW06901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRYSDHYFFTTVEESPKHCAMNENDYVGQVEHFNSILWDTLNAVRNLTANPSFGTMKYGYKSVDITANQTLYAMGYCIPYLSSENCSLCLSDAIAEIPTSCCKGKTGGRVIYPSCGIRFESYPFYQLPSGSLLTPTPKLGPFASLPFPVGNEVTTLESLWFDLSEIESATNKFAKENMIGKGGFGEVYKGVLSNGKEIAVKRLLRNSQQGAREFKNEVLAIAQLQHRNLVKLQGFCLDGKEKMLVYEYVPNKSLDYFLFDPQKRRELNWCERYKIIGGIARGILYLHEDSRLKIIHRDLKPSNILLDGDMNPKISDFGMARIVAADNIAENTQRIVGTYGYMSPEYVMRGQFSVKSDVFSFGIMLLEIISGKRKGFSSESEHVDGIRKYAQTKWRCETPLELLDPKLEGCYSETEEDDDNDDITTRETLQFNFDTIRVATSGFSSSNKLGQGGFGAVYKGRLSNGEDIAVKRLSRESGQGDIEFENEVLLVAKLQHRNLVRLLGFCIEGRERLLVYEFVPNKSLDYFIFGKRNISRTAIIVAVLVIVLVVSLIICVPLYLRRRKARKFLKAEEDDDNDDITTRETLQFNFDTIRVATSGFSSSNKLGQGGFGAVYKGRLSNGEDIAVKRLSRESGQGDIEFENEVLLVAKLQHRNLVRLLGFCIEGRERLLVYEFVPNKSLDYFIFDQIKKGELDWVRRYKIIGGIARGLLYLHEDSRLRIIHRDLKASNILLDEDMNPKISDFGMARLFVVDQTQGNTSRVVGTYGYMAPEYALHGQFSVKTDVFSFGVLVLEIVSSQKNGGIHYSENMEDLLTFVWRNWKNGSTRNIMDPTLNNGSLNEIMRCIHIGLLCVQQNVAERPTMASVVLMLNSNSVALPVPSEPAFFVGTRTGNISWDYNSRAMSSIQSSQSRNISAQESVNEASITEPYPR >OIW06133 pep chromosome:LupAngTanjil_v1.0:LG08:21242204:21245573:1 gene:TanjilG_29889 transcript:OIW06133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQSISTSDTIVSAEGNFELGFFSKNSTEYFVGAWFKKIPGNKIVWVANRDNEFTGSSAILTIYKDGNLVIMQDELLFFMTNISSKSNSTSTHAMLLDSGNFILLNDLTLEILWQSFKYPTNTLLPEMNIGVGSVSGDPWSLRSWTSPNDPRTGFFSLEVVENFGSWLLVIKRNSVTYWIGDQSSNFTLGNFKRGNDVWFNLRMTLSITWQGEYGSLLELEVSGELNQLSWSDNDKQWISIQSSKCGTRALCGNFGVCNPQAMIPCDCVPGFEPNDPSSWMKGKTSAGCVRKKPLLCSNTSSDGSTDGFILIKAVDFPPNDSLILNTPNALICRTTCSGNCSCLAYAYNSQSQCLLWHDHVLDLKNISVDVGYADNQRPSFFLKLAASEIKNELNTGNNLGHKSNSSKKLSKIGIFVAVAAMLILGLFVYFYYKWKKLRGSGEDLLQFDVGMGMKVESSDHNEADKIEKVDKREVKLPFFSFTSVSAATDNFSAENKLGEGGFGPVYKGKLLNGDEVAVKRLSKRSGQGWDELKNEAMLIAKLQHNNLVRLLGCCVERDEKMLIYELMPNKSLDFILFDASKSMMLDWETRVRIIEGIAQGLLYLHQYSRLRIIHRDLKASNILLDIKMNPKISDFGMARMFGGNEVEANTNRIVGTYGYMSPEYALEGLFSVKSDVFSFGVLFLEIMTGKKNTGFYNMNSLNLLAYAWGLWTTGRAIEMMDPVLKYASNKQNMMIRYVNIAFLCVQEYPADRPVMSDVVSMLSNENVVLPCPKPPAFLYARGTKNSSSVGSSTGNVSLNIMTASVIEAR >OIW05784 pep chromosome:LupAngTanjil_v1.0:LG08:23793761:23796184:-1 gene:TanjilG_23570 transcript:OIW05784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVNPNDDAVDFPAMPTHGGKFIQYNIYGNLFKVTAKYRPPVMAVGRGAHALVCSLLNTETNELVAIKKIMNAFDNPMDAERILREIKLLRHLDHENIIAFRDVIPPPLRREFTDVYIVTELMGTDLHNVIRSNQNLSEEHCQYFLYQVLRGLKYIHSANIIHRDLKPRNLLLNGNCDLKIIDFGMARPASESGCMTEYVVTRWYRAPELLLNSSDYTSAIDVWSVGCIFMELMNKKPLLPGKDHVHQMRLLTELLGTPTEADQWLVKNEDARRYIRQLPQFPRQPLAEVFPHVHPLAMDLVDKMLTIDPTKRITAEEALAHPYLAKLHDEGDEPVCTEPFSFEFEHQQLDEEQIQDMIYREALALNPEYA >OIW05564 pep chromosome:LupAngTanjil_v1.0:LG08:25292329:25293015:1 gene:TanjilG_23350 transcript:OIW05564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDTCDKLVIFLAKRDGIDKLVKTFQYVSKLVHWHVETTQPDIAKRFKHWEVASGLSRKAFRTGRFLTGFNALRRNPGSTNTLRLLAVLSNAGEMVYFFFDHFLWLSRIGTIDANLAKKMSFISAFGESVGYVFFIIADIIMLKEGIKVERKLRMSKEESKSKEEIEKRVEKIKGDRIMRLMAVAANVADLIIGLAEIEPNPFCNHTVTLGISGLVSAWAGWYRNWPS >OIW06926 pep chromosome:LupAngTanjil_v1.0:LG08:6689246:6690549:-1 gene:TanjilG_18314 transcript:OIW06926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKSFPLSGTSTLASMESLSLPLVQEVVLSADMQCEKCQRRVADIITKMNETESVVVNVLEKKVILTFRLSTVAKVIRRQMTPINNTPIPKSATIKSIFRFSCG >OIW05603 pep chromosome:LupAngTanjil_v1.0:LG08:25062643:25071390:1 gene:TanjilG_23389 transcript:OIW05603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESDSENLNSLDAPPISEKSQPEELHDRKPRLGRKPKKRRRFHQDNETGASSGNFTTDNNLVVEDSEFKGILEGDGSAGVNSGVCGELGSEFDRRLGLGNVNSNGSEVIEVGVITCEESLDHKSVVANGNLLDLNARINLNLNEGCSSPFNNVEEDGSLKSRDSIDLNLDVDNEEDGVDRSVCLGRSGGKMLTEDCKIDLNVDVGEEKGDGVDGNGRPELDALFDKIEQSGDINQKSTEGDDVYVNLNDVSNANKSDAIHVSMEHAALGAATCLIEEKEGDDVNGDTTAIDSLQVTNVVSAKDCDYMEVQQIDNSPSEFQRSSQRKRRKVLDNGKSTTETILRRSSRQASARKQVSGTTALQEMYDPLLSVVPSSLTEEKPVNFGDEKYEQCNVPPLKLQLPPSSQNLNLDDVPVLELFSIYAFLRSFSTFLFLSPFELEDLVAAVKSETSSMLFDSIHVSILHTLRLHLEYLSSEGCQSAADCLRNLNWDFLDLVTWPIFMAEYLLIHASGFKTAFDLNHLMFRTDYCKQPANVKVKILQYLCDDMIEVEATRSELNRRSLAKEADMGFDQHMYFDTFKKRRAVMDISGGSCLTEEIVDDTTDWNSDECCLCKMDGSLICCDGCPAAFHSRCVGIASDNLPEGDWYCPECAIGPHRAWTKSHKSLRGADLLGIDHHGRLFFNSCGYLLVSDSSGTDSLFSYYHKNDIHVVVEVLKSMGTLYGSILMSIYEHWDIPANLSVGTSNLVVFNQSSCKNVHMSGKYYASLDTNLADDQRKLDENSTADCSTHPGQEFPKAGTLLDSMTTIESPCVASEGSADTTQMRSGIENVQMPGLYGSTRSNESLNQSGIPEKHHPVGDCSLTSSSLDVGHKINLSSVGASCTPSTENKDTSEVPFGINYVNYYSFARTAALVAQELMCKSREKINKNYAMSDEDIISEQGKAIIKKSSNFCWPSIQNLNAAAEKEKCGWCFYCKAANDDRDCLFNDVTKSVREVSNDTLVGLQSRKIQNGHLTAIICHVVSLEDRLRGLLLGPWLDPHQTNLWHKELMKTSDILPVKRLLLLLESNLRHFALSADWFKHADSVTTMGSSTHIVVSSSRTSSRHGIPRKRARYSDIGSNSSSTTTSGLGLFWWRGGSLSQQLFNWKYLPRSLVAKAARQAGYTKIPDILYPENSDFARRSKCVAWRAAVERSTSVEQLALQVRELYSNIKWHDIENSHPQYLLDKESKKSIRLFKKVIVRRKCSEGQSVKYLLDFGGKRRSIPDIVVKHGSLLEEPSSERKKYWLDESYLPLHLLKNFEEKRIVRKSTEKKHGKLIEIGRVNKRIPRESVFSYLFSKMEKSDCQQCGHCNKDVLIRYFPYSFI >OIW05581 pep chromosome:LupAngTanjil_v1.0:LG08:25190041:25198960:1 gene:TanjilG_23367 transcript:OIW05581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDPEQPPISFLAKEGKSSGEIGSAREPLLNVNGAHDSENYSIYAAILPFLFPALGGLLYGYDIGATSSATISIQSSTSSGITWYNLSSVEIGLVTSGSLYGALIGSLLAFSIADFIGRKRELIAAALVYLVGALVTAVAPNFPVLVVGRLVFGLGIGLAMHAAPMYIAETAPTSIRGLLISLKEFFIVLGMVAGYGIGSLLVDTVSGWRYMFGVSSPLALIMGIGMFLLPDSPRWLLLCAIQGKGDSKNLKDRAIRCLCQLRGQSLGVSAPQQVDEILAELSYVGEEKEVTLGELFRGKCKKALVIGGGLVLFQQITGQPSVLYYAGSILQSAGFSAASDATRVSILLGLFKLIMTGAAVGVVDRLGRRPLLLGGVSGMAISLFLLGSYYIFLDNVAVVAVIGLLLYVGCYQISFGPIGWLMISEVFPLRLRGRGLSIAVLVNFAANALVTFAFSPLKELLGAGILFYIFCAIAAASLGFIYFIVPETKGLTLEEIEAKCL >OIW06097 pep chromosome:LupAngTanjil_v1.0:LG08:20706466:20708762:1 gene:TanjilG_29853 transcript:OIW06097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGSGGDRRTEAVGMMALHDALRTVCLNSDWTYSVFWTIRPRPRVRGGNGCKVGDDNGSLMLMWEDGFCRGSVSDCLVDINGEDPVRKSFSKMSIQLYNYGEGLMGKVASDKCHKWVFKEPTESEPNISNYWQSSFDAIPTEWTDQFESGIQTIAVIQAGHGLLQLGSCKIIPEDLHFVLRMRHTFESLGYQSGFYISQLFSSTRNTSSSTPLPSKPISTTTPIRPPPSLFNWGQRPLGSAPPSMLSSPNFQHGFPQQHARDDETHMFLMPHASSETSRMEEIMGGGGGGERDQNDIKWPNGLSFFNALTARTDDAKILFNSESLGNKGGDHSHHHSLNANPTSDDSNMQNGSSSNLNEFLSLDSHHESARKMEKFKRSFPLSSASTSMDHHQQAPVEYRNSEGGMYSDVMDTILE >OIW06205 pep chromosome:LupAngTanjil_v1.0:LG08:18796474:18799527:1 gene:TanjilG_03830 transcript:OIW06205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELDLSLGDSSRSCVFMEKSHEASNQFGFGFNTTTLSIGQVTTKQSDQHQHEETTKEKHKHNSHLEDQEAANEYHISTSHKADNNTSLLQLDFLSHTPFVAPINALPLQSFPWNPPPFENAVGSCPRGLDMNMLPVAVAVAVEDEAEEVAGLSSSSPNSTTSSFQRGSSSRASDEDESGGSTRKKLRLSKEQSNFLEESFKEHNILNPKQKLGLAKQLHLRPRQVEVWFQNRRARTKLKQTEVDCEYLKKCCETLKEENRRLHKELQELRTLKTSNTFSMHLPATTLTMCPSCENVSTNSNSTTNNEPTTSKFQHGKPRF >OIW06318 pep chromosome:LupAngTanjil_v1.0:LG08:15397323:15405594:1 gene:TanjilG_17692 transcript:OIW06318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKPQNDRLLKAEMNCGSLLNELQIIWDEVGESETEKDRMLYELEQDCLEVYRRKVDQANRSRAQLRQEIADSEADLAAICSAMGERPIHIRQSDQNSRSLKEELARIRPELEEMWRRKSERINQFIEVQEQIRRISNEIYGPREDVSAIVDESDLSLRKLEELHRQLLALQVEKSECLKKVQDHLYTFNSLCSVLALDFREIVIGVHPSLGNAEGCKSVSYDTIKQLAIAIEEVRKVKLQRMQKLQDLATTMLELWNLMDTPIEEQQMFQNVTCNIAASEHEVTEPNSLSMDFINCVEEEVSRLEELKSSKMKELVLKKRAELEEICQKTHLALQVDSVVEYAVEAIESGSVDPASVLEQLELQVAQVKEEAFSRKEILEKVEKWLSACEEESWLEEYNRDENRYNAGRGTHLTLRRAEKARILVKKIPAMVDGLTSKTLTWEKDIGIGFTYDGTHLLSMLEDYTMLRQEKEQERRRQREMKKLQGQLTAEQEAKYGSKPSPTKLPSARKAPKLSTGSAANRRISVGGATIQTLKPDSYATPPSCSIKKTNKARQIEQQDYLDDDASYLSSARRGLDIAGAGSVFGSPLTRQPFTPISLSSKVSSKSNVENGIDDLNMHDTEKFQKTIALNKLPFTTPPKTAKAVDEENPIPVATTPLTVSFPMNLTMTPAPKSDENRYNAGRGTHLTLRRAEKARILVKKIPAMVDGLTSKTLTWEKDIGIGFTYDGTHLLSMLEDYTMLRQEKEQERRRQREMKKLQGQLTAEQEAKYGSKPSPTKLPSARKAPKLSTGSAANRRISVGGATIQTLKPDSYATPPSCSIKKTNKARQIEQQDYLDDDASYLSSARRGLDIAGAGSVFGSPLTRQPFTPISLSSKVSSKSNVENGIDDLNMHDTEKFQKTIALNKLPFTTPPKTAKAVDEENPIPVATTPLTVSFPMNLTMTPAPKSVSFGGDLVQETEYSFEERRLGFVLG >OIW05842 pep chromosome:LupAngTanjil_v1.0:LG08:23246026:23247480:1 gene:TanjilG_23628 transcript:OIW05842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYSTEILGFTSYQPAYINLEDKGNNLLNGANFASAASAFYDVIPMLYNTIPLHRQLEYYKECQSKLVEIAGQSKASSIISSAIYMHLYALGARRIGVTNLAPTGCLPILITLFGSHSNGCVGRLNKDAIYYNKKLNYTSEKLQKLLPDLNLVVFDIYQPLYNLVTKPSENGFLEARRGCCGTGFIELSILCNEKSIGTCANASEYVFWDSVHLTEAANKLLADQMVAAGIFQLIS >OIW06803 pep chromosome:LupAngTanjil_v1.0:LG08:8527460:8528482:-1 gene:TanjilG_11528 transcript:OIW06803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEAPSQPPVSVSTPETSPYWCYHCEKRVSTETLPNLFDLICADCKNGFVEQIPLLNHSPSPPSSDSDHSQFASNFLHVLRLIAQSARDHDAPPPPPPPPPRSRSPGSDFLRIELGGWNDDEEGDDDNDDGEEEEEERDRNGNMDLHGDDEDLRRSRRRREVLRLRIRDLAMRTRSMRNRILDWSDILSGLDDNSIQFRLQVPESDRYVGNPEDYVDAAEYEALLQTLAETDGGGKKGAPPAAKSAVEALPMVEIVSEKDVLACAICKDMVGVGDAAKRLPCGHQYHGDCIVPWLGSRNSCPICRFELPTEDKEYEQQRKNKRVMNSSSNGASGSGGGSG >OIW06585 pep chromosome:LupAngTanjil_v1.0:LG08:9758611:9760990:-1 gene:TanjilG_03979 transcript:OIW06585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHRKFEHPRHGSLGFLPRKRANRHRGKVKAFPKDDQTKAPKLTAFLGYKAGMTHIVREVEKPGSKLHKKETCEPVTIIETPPMVVVGVVGYVKTPRGLRTLNTVWAQHLSEEIKRRFYKNWSKSKKKAFTKYSKQYESEDGKKNIEQQLEKIKKYATVVRVLAHTQIRKLKGLKQKKAHIMEIQVNGGTIPQKVDFAYSFFEKQVPIDAVFQKDEMIDLIGVTKGKGYEGVVTRWGVTRLPRKTHRGLRKVACIGAWHPARVSFTVARAGQNGYHHRTELNKKIYRLGKVGQESHEAVTDFDRTEKDITPMGGFPHYGIVKDDYLMVKGGCVGPKKRVITLRQSLLKQTSRLALEEIKLKFIDTSSKFGHGRFQTTEEKQKFFGRLKA >OIW06542 pep chromosome:LupAngTanjil_v1.0:LG08:11068526:11074228:-1 gene:TanjilG_29963 transcript:OIW06542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGRVELKRIENKINRQVTFAKRRNGLLKKAYELSVLCDAEVALIIFSTRGKLYEFCSCTSGMLKTLERYQKCSYGAVEVNKPSKELEQSSYREYLKLKARFESLQRTQRNLLGEDLGPLNTKDLEQLERQLDSSLKHTQFMLDQLSDLQNKEQMLVEANRGLTMKLEEINSRNQYRQWEDAEQSMQYGAQNPHSQGFFQPLECNPTLQIGYNSVASDQLTAATQGQQVNGFIPGWML >OIW06666 pep chromosome:LupAngTanjil_v1.0:LG08:10329198:10331529:1 gene:TanjilG_04060 transcript:OIW06666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSSINGWCISSISPNKTSLNKSTLRPSVFASLNTNPSSSSSTSATSSFPSLIQDKPVFAAPSNIITPVVREDMGKNYEEAVEELQKLLREKAGLKGTAAEKVEQITAELSTATSSEGVQSSEASERIKTGFLYFKKEKYDKNPALYGELAKGQSPKFMVFACSDSRVCPSHVLDFQPGEAFVVRNVANIVPPYDQAKYAGAGAAIEYAVLHLKVSEIVVIGHSACGGIKGLLSFPWDGKYSTDFIEEWVKIGLPAKAKVKAAHGDAPFGELCTHCEKEAVNVSLGNLLTYPFVRDGLVNKTLALKGGYYDFVKGSFELWGLEFGLASSFSV >OIW06150 pep chromosome:LupAngTanjil_v1.0:LG08:19363052:19364284:-1 gene:TanjilG_01777 transcript:OIW06150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPISIHRDGGPVDNTVVLNSFKSMTWVIENKSSSPFSKVAVINMKLQDYGKSPSGERNVQFRVTRVTLEPMLKSMVHISQQLAVPVNRVAVINLKLQDTKTSSGETEVKFNVSKDTLGSMLRSMNFIQEQL >OIW06445 pep chromosome:LupAngTanjil_v1.0:LG08:11677746:11683347:1 gene:TanjilG_05216 transcript:OIW06445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAASGPHFSGLHLDAVVSPSCSSSSPFDSSTLNPNQPFVIGVSGGTASGKTTVCDMIIQQLHDHRVVLVNQDSFYRGLTPEELERVHEYNFDHPDAFDTEQLLECMRKLIGGQSVQLPIYDFKKHQRSTDSFRQVNASDVIILEGILVFHEQGVRDLMNMKIFVDTDADVRLARRIRRDTVERGRDINSVLEQYAKFVKPAFDDFVLPSKKYADVIIPRGGDNHVAVDLIVQHIHTKLGQHDLCKIYPNVYVIQSTFQIRGMHTLIRDQDISKHDFVFYSDRLIRLVVEHGLGHLPFVEKQVVTPTGSVYTGVDFCKKLCGVSIIRSGESMENALRACCKSIKIGKILIHRDGDNGKQLIYEKLPKDISERHVLLLDPVLATGNSANQAIALLIQKGVPESHIIFLNLISAPEGIQCVCKKFPSLKIVTSEIDHALNEEFRVIPGLGEFGDRYFGTDLVL >OIW06047 pep chromosome:LupAngTanjil_v1.0:LG08:20159569:20161815:1 gene:TanjilG_29803 transcript:OIW06047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTLKVSVLLCVLSVSVLGVFSDIPPPCNRIECPIYDTIEVGNGYEIRRYNSAVWISNPPIQDISLVEATRTGFRRLFDYIQGKNNYKKTIEMTAPVISEVSPSDGPFCKSSFVVSFFVPKENQANPPPAKDLNVQRWKNVYVAVRQFGGFVKDSNVGEEAAALKASIAGTKWLAAIEKNHRAGHASIYTVAQYNDPIEYDNRVNEIWFLFDYGNKLHAM >OIW06085 pep chromosome:LupAngTanjil_v1.0:LG08:20542543:20546157:1 gene:TanjilG_29841 transcript:OIW06085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METILQEHNNAMPAHDVLQALADKFSESPDRRGKITVQMKQVWNWFQNKRYSIRAKSSKTPGKLSISTMTRDDSAPPRTMPPPTTAPTPGASGSVPPTGKTIPESSVMEFEARSGRDGAWYDVASFLSLRYLETNDPEVLVRFAGFGPDEDEWINIRKNVRPRSLPCESSECIVVLPGDLILCFQEGREQALYFDAHVLDAQRRRHDVRGCRCRFLVRYDHDQTEEIVPLKKICRRPESEYRLHQLKTANEAAPEDQQKTAMDPANVHPTRVTGSSETAPKQHQNVNIPITTPVPQLNVPQVSQIMNLDPKKPETAPKQQHANIPFVTPISQTNLSPVPCVMNVEPKKAEAAPTVLSGNDSIIPPGFGGPIVTTSSVPEVPSQNMAEGK >OIW07072 pep chromosome:LupAngTanjil_v1.0:LG08:5749524:5752036:1 gene:TanjilG_02706 transcript:OIW07072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKEASLCFLFLSFLITFSSGALVGLSSTSSETISFLQQSKVSSLQIRFFVTDPRILSTFTNYNNLSVDFYLNKSMVETFIASTPFGVSCLKTHVMNFLSQINIKSVIVCCGSGEYLAQNKIQPSLLSALKSVHSVLNKFHVGKEVKVSVAFPLSFLEKLNASNERELVRILSFIKETKSFVLIEDSIDGELSIGEHFVQSIIKRVTLAASILPLKDVPVILTIKSNVIPSSKEIAQFSERVSKYLEPRPMVTKRIVALYAEVHTTKDFAQNQLKREELELFPHMRRTLDDTTTNPPNTVFPTNPKPAPTPVNIPPDTPAIITVPSTNPVTIPPNNPAAMPVTVPNTTPVPLTPTNSTNNSPVVPVSNPPATTPTIVPGATPVQPVTNPAVSYPPPSASVPVINHQPPPANTNIPPATQGQSWCVAKIGATQSSLQSALDYACGNGADCSQIQQGGSCYSPVTLQNHASYAFNSYYQKHPAPTSCDFGGTATLVNTNPSSGSCILPSSSSSTTTPISSPPTTNTPSNTIPPPSTITPPSSIPTTPPATPTQSIPTAPLKPPSSSGTSTFGYGTPPSVLNSSNPISGALSGFPPAENPAASTSHSVGPLRPYFSSMALMISFVTARVTMLP >OIW05684 pep chromosome:LupAngTanjil_v1.0:LG08:24501741:24508366:1 gene:TanjilG_23470 transcript:OIW05684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPSDIVQACHQGEGVDGQVMHGYQSAWMAHWKHTNYKSAATPAARNRLLNSFVVKEIEEDNDDEQRDLIRDCGPEVAIDGSVHAGATREADRDTRITFSDGVNPGKSKNASLGSKSFPIFRKKTDGISSMKREQNGVSRRRYRKSETEASSGEDDVSIYRTGSHLPSTSSHAPLRTATSVRENQSSSTGISAAPLLMKSLYSVEQNNLVSTSLWNGFVKSASDKVPNGNDKGKTLVSPSTRGQDKMYQSSYKLASQEHFTRTKYHSYSLLIREKKKSSLLDPRRSSFPRWFQGGDAHLQLDPIAGSGDGYSTANVETTKLYTSLDSVEESSRDYPKISLTTRHFLMSKKADANLSARGQFFRESIAPIKFKGNAFNEMEHSSPSTSDHDLEGMKLETLGSSKKSEGKENIQDFNSPTNLKNESSAETDTMDIYALHRNLFPGIVPFRTNKCSEDSHSSPTSQVAITSTVEENKGKLVYIAVPDINQESPKVLTMASPLVDRETSTSRTHSLDVEHLLSHADDHARSKSGTSSLGPDPSSRWAKRFKLCLSSSAHGTNSATIGETSSHEQVNNIFRKMKGRKTSLEPKMACQIEEQMVPDLCATTLTNNKSSTEENKTAEITLSHPWIRRWYHNQDVCPQKRHELSEFHEPSSNTPEEFQKKQCPSIAAMAMMGKAMKCLNPLVCCCGGESESVMMPSDIVQACHQGEGVDGQVMHGYQSAWMAHWKHTNYKSAATPAARNRLLNSFVVKEIEEDNDDEQRDLIRDCGPEVAIDGSVHAGATREADRDTRITFSDGVNPGKSKNASLGSKSFPIFRKKTDGISSMKREQNGVSRRRYRKSETEASSGEDDVSIYRTGSHLPSTSSHAPLRTATSVRENQSSSTGISAAPLLMKSLYSVEQNNLVSTSLWNGFVKSASDKVPNGNDKGKTLVSPSTRGQDKMYQSSYKLASQEHFTRTKYHSYSLLIREKKKSSLLDPRRSSFPRWFQGGDAHLQLDPIAGSGDGYSTANVETTKLYTSLDSVEESSRDYPKISLTTRHFLMSKKADANLSARGQFFRESIAPIKFKGNAFNEMEHSSPSTSDHDLEGMKLETLGSSKKSEGKENIQDFNSPTNLKNESSAETDTMDIYALHRNLFPGIVPFRTNKCSEDSHSSPTSQVAITSTVEENKGKLVYIAVPDINQESPKVLTMASPLVDRETSTSRTHSLDVEHLLSHADDHARSKSGTSSLGPDPSSRWAKRFKLCLSSSAHGTNSATIGETSSHEQVNNIFRKMKGRKTSLEPKMACQIEEQMVPDLCATTLTNNKSSTEENKTAEITLSHPWIRRWYHNQDVCPQKRHELSEFHEPSSNTPEEFQKKQCPSIAAMAMMGKAMKCLNPCELMEKGPVVVWNMKGF >OIW06000 pep chromosome:LupAngTanjil_v1.0:LG08:21827351:21828720:1 gene:TanjilG_11687 transcript:OIW06000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPDPNRAAGAGASSSGTTKDDAVTKKKVESEDLSDEDLALKQQLELYVERVQDSDPGLQKVALESMRQEIRTSTSSMTSVPKPLKFLRPHYGTLKAYYETMTESDLKKYLADILSVLALTMSAEGERESLKYRLLGSTGDIGSWGHEYVRNLAGEIAQEYAERE >OIW05971 pep chromosome:LupAngTanjil_v1.0:LG08:21528909:21530635:-1 gene:TanjilG_11658 transcript:OIW05971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLTLQFLKVEEIDPLAYKVLVKEHMIVVMVEKHCFFVFNYQSGQDSVLYVKNEDYANCNTGSPYAKFYDGHTVIKLNQSGPHFFISGNKDNCLKNEKVTVIVLADRNNHNSSNTNQRSNASPPSPQSYAPSPTPYKQEGQSPPPSGIVESNPSPAPVYEPPPPNAAASIFFNYAASIGTFMASLLLLSF >OIW06305 pep chromosome:LupAngTanjil_v1.0:LG08:15567215:15567403:-1 gene:TanjilG_17679 transcript:OIW06305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHIGFEVPMTYTDRDASSGSRDDVNMTDRYKRQTGNSGGGGKRGPEVAGCYSGCHAGDSCCF >OIW06664 pep chromosome:LupAngTanjil_v1.0:LG08:10302615:10303238:-1 gene:TanjilG_04058 transcript:OIW06664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKKKKNDMMMEENNNTPRLLRTKDGPTNIPTTTTIVSAATTTPQRSNNKSRFAATATVDDGGGIDCSGKYFKSCMAGLIADCVALCCCPCAVLHCFALAFVKAPWVVGRRCLGLGKKKNKDRKVKRKCCKKGYDYDYDYEDDNEDANNNVDIVLERNRQMNSMVDTNVVGEMDNVTVNAAFEAEKVWLELYQIGHLDFGRVSSSHD >OIW05583 pep chromosome:LupAngTanjil_v1.0:LG08:25179713:25181413:-1 gene:TanjilG_23369 transcript:OIW05583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSVEEIRNAQRAQGPATIMAIGTATPSNCVDQSTYPDFYFRVTNSEHKTELKEKFKRMCEKSMIKKRYMHLTEDILKENPSVCAYMAPSLDARQDMVVVEVPRLGKEAATKAIKEWGQPKSKITHLIFCTTSGVDMPGADYQLTKLLGLRPYVKRYMMYQQGCFAGGTVLRLAKDLAENNKGARVLVVCSEITAVTFRGPSDTHLDSLVGQALFGDGAAALIVGSDPLPEIEKPLFELVWTAQTILPDSEGAIDGHLREVGLTFHLLKDVPGLISKNIEKALVEAFQPLGISDYNSIFWIAHPGGPAILDQVELKLGLKPEKMRATRHVLSEYGNMSSACVLFIMDEMRKKSAQDGLKTTGEGLEWGVLFGFGPGLTVETVVLHSVAA >OIW05895 pep chromosome:LupAngTanjil_v1.0:LG08:22733801:22737049:1 gene:TanjilG_23681 transcript:OIW05895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKNQKTGLGRSLVKQHNHMIQETKEKGRIYKKNFLESFTQVTDIDTIIEQSENPQLHSDSDADDPHLTLLPPTLRINLDPGSGSIGMTPEEMRKQQRREEALHASSLRVPRRPPWTANMSVEKLDANERQSFLTWRRNLARLEENKTLVLTPFEKNIDIWRQLWRVVERSDLLVMVVDSRDPLFYRCPDLEAYAWEVDEHKRTLLLVNKADLLPESIREKWAEYFRAHDILFIFWSAKAATAALEGKKLGSSWEADNVGRTNNLDTKIYGRDELLARLQSEAEEIVERRRNSGSSGTRHSKVKSPDENAAGSSSSSNVIVGFVGYPNVGKSSTINALVGQKRTGVTSTPGKTKHFQTLIISDRLTLCDCPGLVFPSFSSSRYEMIASGVLPIDRMTEHRESIQVVADRVPRHVIEATYKIKLPKPKPYESQSRPPLASELLRAYCASRGHVASSGLPDETRASRQILKDYIDGKLPHYAMPPATSNEELAVEDPAGHDSSDKLHEPDSSGIEDSSDVENEVAPSNLEHVLDDLNSFDMANGLAASKKVTIKKSKESQKQHRKPQRKKDRTWRAGNDGDDGTPIARVFQKPVNTGPSKV >OIW05693 pep chromosome:LupAngTanjil_v1.0:LG08:24451370:24457205:1 gene:TanjilG_23479 transcript:OIW05693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQPLVKKDDDRDDEAEFSPFLGIEKGAVLQEARVFNDPQLDARRCSQVITKLLYLLNQGESFTKVEATEVFFSVTKLFQSRDLGLRRMVYLIIKELSPSADEVIIVTSSLMKDMNSKTDMYRANAIRVLCRITDGTLLTQIERYLKQAIVDKNPVVASAALVSGIHLLQTNPEIVKRWSNEVQEAVQSRAALVQFHALALLHQIRQNDRLAVSKLVTSLTRGTVRSPLAQCLLIRYTSQVIRESGNNTQSGDRPFYDYLESCLRHKSELVIFEAAKAITELNGVTSRELTPAITVLQLFLSSSKPVLRFAAVRTLNKVAMTHPMAVTNCNIDMESLISDQNRSIATLAITTLLKTGNESSVDRLMKQITNFMSDIADEFKIVVVEAIRSLCLKFPLKYRSLMNFLSNILREEGGFDFKKAIVDSIVILIRDIPDAKESGLLHLCEFIEDCEFTYLSTQILHFLGVEGPKTSDPSKYIRYIYNRVHLENATVRASAVSTLAKFGAAVDGLKPRIFVLLRRCLFDNDDEVRDRATLYLNTLGGDGSVVETDKDVKDFLFGSFDIPLVNLETSLKNYEPSDEAFDINSVAKDVKSLPLAEKKALGKKPTGLGAPSNGPPSTADAYERLLLSIPEFANFGKLFKSSAPVELTEAETEYAVNVVKHIFDRHVVFQYNCTNTIPEQLLEDVIVIVDASEAEEFSEVFAKPLRSLPYDSPGQTFVAFEKSEGLPTAGKFSNILKFIVKEVDPTTGEADDDGVEDEYQLEDLEVVAADYILRVGVSNFRNAWESLDPDSERVDEYGLGPRESLAEAVNTVINLLGLQPCEGTEVVPPNSRSHTCLLSGVFIGNVKVLVRLSFGLDGPKDIAMKLSVRSEDDTVSDFIHEIVASG >OIW07031 pep chromosome:LupAngTanjil_v1.0:LG08:6164225:6164686:-1 gene:TanjilG_02665 transcript:OIW07031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIQRSAASSGSEGGDPASIDERKRKRMISNRESARRSRMKKQKVLEDLTEEVYRLQSSNKEINQSIKTKEDAYLKMESGNNILKAQTMELSDRLRSLNSIIEMAEEVNGNRNGNFNVFPIEMPQILDPFMNPWQLYYPFHPLMASPDMSLH >OIW06321 pep chromosome:LupAngTanjil_v1.0:LG08:15326327:15331034:-1 gene:TanjilG_17695 transcript:OIW06321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVFEKIEVDGSMCCDEIDFEYEFDAPRFCDFTRPETFMDAFEAEQWFDYATSYPPSPFLLKFNMENAGAMESENDIADDEEVSAVDDNNSTDLLEFCNHTAEDSLNGKMKPFSKLTQSISKISRFMMPTASHLAKQKNPTEVQSTHSHRRFPSQSSSIDIELTKRQKLEAGYLSKVARLKHQTLFRHKKLKEVDLADNNVVSKSRVTIPREPNLETASRAQKHRSKANAVPGGDTKSSSKTIKARPVNKKAGGVLNSNSVSNSETRNLQRTNSSDRSMQEKCRMVNKGSPDDKELGH >OIW05807 pep chromosome:LupAngTanjil_v1.0:LG08:23632653:23636993:-1 gene:TanjilG_23593 transcript:OIW05807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSDLDGNPPPPPPFHFSDDERGSSSATTDIVHTIPHSLTSSWIPRKRNLDEDDASISWRKRKKHFFILSHSGKPIYSRYGDEFKLAGFSATLQAIVSFVENGGDSVKLVRAGKHQVVFLVKGPIYLVCISCTEEPYDSLRGQLELIYGQMLVILTKSINRYFEKNPKFDMTPLLGGTDIVFSSLIHSFSWNPGTFIHAYTCLPLAYATRQVAGAVLQDVADSDVLFATLMCRHMVISLVGAQKTSLHPDDMLLLSNFVMSSESFRQVDLARFYLSDITHKWTSESFSPVCLPRYNPSAFLYAYIHYFDADTYLILLTTSSDVFYHLKDCRIRVEMVLLKSNVLSEVQRSLLDGGMHVDDLPPTPCSGSSHLIHNSLPSDSPERFKEPDLGIAGPAGLWHFIYCSIYLDQYVSSEFSSSINTPQQRKRLYRAYQKLFVSMHDKGVGPHKTQFRRDENFVLLCWVTQDFELYAAFDPLADKALAINTCNRICQWVKDVENEIFLLGGSPLSW >OIW06821 pep chromosome:LupAngTanjil_v1.0:LG08:8223280:8224598:-1 gene:TanjilG_03716 transcript:OIW06821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARIKVYELRQKTKADLLSQLKDLKAELALLRVAKVTGGAPNKLSKIKVVRLNIAQVLTVISQKQKSALREAYKNKKYLPLDLRPKKTRAIRRRLTKHQQSLKTEREKKRETYFPLRKFAIKV >OIW06127 pep chromosome:LupAngTanjil_v1.0:LG08:21207210:21209879:1 gene:TanjilG_29883 transcript:OIW06127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPKKNGIVIKKYNDHWAFLEDIEAPMWADLTLEAEANSVGEDMSDDWFSISHPFHKWSARQLKSMFSHPGEGILTSEVDAPGVSSPDLPSSVSRSRGKQYTCKKWEGVNLNILLDKQQDLSKRCFQAGSSFRQEMKPKSKLNVSMPKGLLSAKSGLAFERNARGNAKSMANCRNLTSSSSSVDNKAGESSTRSTITSENTHQQQKYKEVSSQPSDQNSKNVLSVRSVSLKKSCVTKKASGVQQHRKYMEVSSQPCDQKSGSSPVRRAGLRKSCVTKKASRLDIGGDSMKSRGRKSSSGKSSVGSSSNPGYEVQSVSKYQREKDAVTINLAEKNKCKYANVSQRSSILVEGRGVKSRVDVGRDSMKSRGRKSSSGKSSVGSCSNPGYEVKFVPKHHREKIPDGKDVATVNLADKSKCKPSNISLTSSYRKESNVSFAKPAYHRTAKSLVPYQSTGLLSLLPAKVNKENFCSTGAKEKLRTSKANSWTGKRKENAAKNVTVNQKCTERVAPDGGMLKNHRSTECNHLQKGDTAGSSVLTILAGKI >OIW05922 pep chromosome:LupAngTanjil_v1.0:LG08:22253013:22253717:1 gene:TanjilG_07198 transcript:OIW05922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDREIDIFLKRLSFAAITIASLTLLFLFLQTPDTCIPRDAPLKPHLRFPKSTCDFTRTRSHLPTHKKNTRLWSTRHWINRLQSFTDLFSPLRDLGLLRNHSKVLCLSAGAGHEVMSISNLGVEDVTGVELIESPPLVSRADPHNLPFFDGAFDFAFTAHFDEALFPSRYAAEMERMVRPGGASLVLVEECGVGEVKDVVSLFRNSRLFESRNVSLSGMRMTSILLRTIKSPPSS >OIW05719 pep chromosome:LupAngTanjil_v1.0:LG08:24256398:24259227:-1 gene:TanjilG_23505 transcript:OIW05719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARNNGLFILGFVAFVLAMSISKVTCTEAVVGIDPIIDVASLNRQSFPPGFIFGAGSSSYQFEGAANEGGRGPSVWDTFTHEYPDKIKDRSNGDVAIDEYHKYKEDVAIMKDMNLDSYRFSISWSRILPNGKVSGGINQEGVNYYNNLINELLANGIQPLVTLFHWDLPQSLEDEYGGFLSPLIVKDFKDYAELCFKEFGDRVKFWVTLNEPWSYSSNGYTNGRMAPGRCSSWVNPNCTGGDSSIEPYIVTHYQLLAHSAAVRVYKTKFQESQKGSIGITLVANWYLPLADTKLDQKAAERAIDFMYGWYMDPLTFGDYPKSMRSLVRTRLPKFTAEESKQLKGSFDFIGLNYYSSTYASDAPFLSNARPSYATDALFDPAFERNGKPIGIKVASDWLYVYPRGIRDLLVYTKEKYNNPLIYITENGINEFNDQTLSVEEALMDTFRIDYHFRHLFYLRSAIRSGVNVKGYYVWSLFDNFEWFSGYTVRFGMTFVDYKNDMKRYKKLSALWYKKFLQKETRLYGSSK >OIW07279 pep chromosome:LupAngTanjil_v1.0:LG08:2422034:2423497:-1 gene:TanjilG_08394 transcript:OIW07279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPTNPTRIGLAGLAVMGQNLALNIAEKGFPISVYNRTTSKVDETVERAKLEGNLPLYGYHDPESFIQSIQKPRVVIMLVKAGAPVDQTIKTLSVYLEKGDCIIDGGNEWYENTERREKEVAELGLLYLGMGVSGGEEGARNGPSLMPGGSFEAYKYIEDIVLKVAAQVDSGPCVTYIGKGGSGNFVKMIHNGIEYGDMQLIAEAYDVLKSVGKLSNEELQSVFTEWNKGELLSFLIEITADIFGIKDDKGDGYLVDKVLDKTGMKGTGKWTIQQAAELSVPAPTIEASLDARFLSGLKEERVEAAKVFKSSDFVDLSADKAIDKQKLIDDVRKALYAAKICSYAQGMNLIRAKSIEQGWDLKLGELARIWKGGCIIRAIFLDRIKKAYDRNPGLANLLVDPEFAKEIIDRQSAWRRVVCLAINSGISTPGMSASLAYFDSYRRASLPANLVQAQRDYFGAHTYERVDIEGSYHTEWFKLAKHSRI >OIW07068 pep chromosome:LupAngTanjil_v1.0:LG08:5772807:5776195:1 gene:TanjilG_02702 transcript:OIW07068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNKHLWMGFCLWALTCSLLPSFSYGLMRIGLKKRSLDLQSIRAARLAREELRLGRPMIGAYDRYIGEPQDDGIVPLKNFLDAQYYGEIGIGTPPQTFNVIFDTGSSNLWVPSSKCYFSLACYIHHWYKAKKSKTYTKNGTSCKISYGSGAISGFFSQDNVKVGNVVVKNQDFIEATREGSLSFVLAKFDGLFGLGFQEISVENAVPVWYNMVQQHLVKEQVFSFWLNGDPNAKDGGELVFGGVDPKHFKGNHSYVPVTKKGYWQIEMGDFSIGGISTGVCEGGCAAIVDSGTSLLAGPTTVVTQINHAIGAEGVLSVECKQVVSEFGELLWDLLVSGVHPGDVCSQVGLCFFKNDQSNKSVGIEMVTEKERRELSAKDSVLCSSCQMLVVWIQNELKQKSTKEIVFKYVNELCESLPSPNGESVVSCDSISALPNITFTIGDKPYILTPEQYILKTGEGVAEVCLSGFIAFDIPPPRGPIWILGDIFMRVYHTVFDYGNLRLGFAKAA >OIW05732 pep chromosome:LupAngTanjil_v1.0:LG08:24162045:24164772:-1 gene:TanjilG_23518 transcript:OIW05732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHYNFKKITVVPNGKDFIDIILSRTQRQTPTVVHKGYAITRLRQFYMRKVKYTQQNFHEKLSTIVDEFPRLDDIHPFYGDLLHVLYNKDHYKLALGQINTARNLIGKIAKDYVRLLKYGDSLYRCKCLKVAALGRMCTVLKRIGPSLAYLEQIRQHMARLPSIDPNTRTVLICGYPNVGKSSFINKITRADVDVQPYAFTTKSLFVGHTDYKYLRYQVIDTPGILDRPFEDRNIIEMCSITALAHLRAAILFFLDISGSCGYTIAQQAALFHSIKSLFMNKPLIIVCNKTDLQPLEGLSEEDMKLVMEMKSEALKTVIGQDGDITNDEGVLLTMSTLTEDGVMAVKNAACERLLNQRVEIKMKSKKINDCLNRFHVALPKPRDQKERLPCIPPAVLEARSKQAAEEEKRKTEKELENENGGAGVYSMNLRKHYILADDEWKEDILPEILDGHNVYDFIDPDILHRVEELEREDGFRQAEDEDDFEIDGTELTPEQQAALAEIRKKKSLLIQQHRIKKSTAESRPTVPRKFDKDKQFTSERMGRQLSSLGLDPSLAINRMRSRSVSRRGRKRDRSPESAHGGDGMDIDGDTPSKKQRLSRSRSRSRSVTRPANEVVPGEGFKDSIQKGKAIKLAKNSNKKRNKDARRGEADRVIPNLKPKHLYSGKRSSGKTDRR >OIW06352 pep chromosome:LupAngTanjil_v1.0:LG08:14409120:14409671:1 gene:TanjilG_14997 transcript:OIW06352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKATIENKRQDGVVTAMSTMKHHVADDEPVSIRIKVKPMEHEEQRAYSLFESQTTMGLNKVAARRLYCSGMEVSYERHLTEPFEEGRVGSLEMLGGKMSMAIKETHTPQPSNPHDKEEVENVERKSMEDKEETRQMHENNGVGNTFLCFEVHSLCKSCLSISKPSIMGVNSRPLDKANKIS >OIW07187 pep chromosome:LupAngTanjil_v1.0:LG08:4451154:4452481:1 gene:TanjilG_10160 transcript:OIW07187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKAYVEELYSLDQDSLNSLRPVYGLIFLFKWRPGENDVRAVIKDPNPNLFFASQVINNACATQAILSILMNSPDVDIGPELTKLKEFTKNFPPELKGLAINNSEAIRTAHNSFARPEPFVPEEQKVASKDDDVYHFISYLPVDGVLYELDGLKEGPISLGQCTGEQGDMEWLNLVQPVIQERIERYSQNEIRFNLLAIIKNRKEIYTAELKELQKKRERILHQLNGPKSEGLEDYRNVEALNNSLSEVNAGIEAATEKILMEEEKFKKWKTENIRRKHNYIPFLFNFLKLLAEKKQLKPLIEKAKQKTSNPS >OIW06120 pep chromosome:LupAngTanjil_v1.0:LG08:20947258:20950099:-1 gene:TanjilG_29876 transcript:OIW06120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIKAINESDEETWKRRKLAVFEDGGVITFNEEDLKNVRGYERGSDYIEVLCGCTNKKYGDFIGKLRINDKVNVTLVEFEKHAGIKSNGKWKSNIWVHTEEEDRVPLRRTPLFKYYTHLANVPNWIDAANRICTCHRDEFIRCSSCQKERRFRLRTRQQIGQYHAALKNKVWKYSDWPYQKITCDSAQERLGLRTSRGCTRSSGCQGCTTCYCGGCIMCRFEDCSCQEC >OIW06597 pep chromosome:LupAngTanjil_v1.0:LG08:9863522:9864535:-1 gene:TanjilG_03991 transcript:OIW06597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKMESLILILLFLFTFSTTIHSSHGSSTGGIAIYWGQNNGDGYLTDTCDSNKYEIVLLSFLVQFGCDRQNKWNFAGHCGDWSPCTKLQPEIEHCQAKGIKVLLSLGGADVAEYDYGLCSPQDAKNVAKYLYDNFLSGQYGPLGSVTLNGIDFDIEKTELYWDDLARELDTFRQNKYFYLSAAPQCPNDPKNFYLEKAIQTGLFDYIFIQFYNNEECAYSTSTGTSLLLQSWHKWASLVKSNNSIFLGLPAAESAARIGYIPPEVVNSEVLPHIKETSNYGGVMLWDRYRDKEADFSGKIVANVPKSNVLLETVTSVSDTIYEYVSVALHSILSKA >OIW06822 pep chromosome:LupAngTanjil_v1.0:LG08:8229773:8235702:1 gene:TanjilG_03717 transcript:OIW06822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIETEIKYEEEFITNSRGFKLFASRWLPQNEAPKALVFICHGYAMECSITMNSTARRLAKAGFAVFGLDYEGHGKSEGLQGLVNNFDDVINDCSHYFTTISEKEENKKKMRYLLGESMGGAVALLLHRKKPEYWDGAILVAPMCKIADEMRPNIVMIGILSALSKMIPKWRIVPTQDIIDLAFKVPEIREQIRANPYCYKGKPRLKTAFELLRVSTEIEQSLSEVSLPFLVLHGEEDKVTDKSVSNELYEVASSSDKTIKLYPGMWHGLFTIKATIYLLFLTTTFLIANSARILDEVEQQPQVIDNNLPQPLASAIPTVTTTGPIATPQVSPTTTLPSGQTPTDTNIDEEANVVDPPEPEPIAPAEVQNQPQPEAEVPTTTNPNETPVIVGKEPSLSFFMHDILGGSHPSARVVAGIVANTDLTGLPFSTLNNNLFPITGGIPLVNPKLNGIITNNNLPNLVGLGGSQSSTVFQNRGTGNVVTGGNNQPFVSAGNLPAGFTIQKLMFGSVTVIDDQLTEGHELGSAVIGRAQGFYLASSLDGSSQSIVVTVLLHGADGEHHDHVVEDTISLFGVHRTASHESEVAVIGGTGKYENARGYAALDTLLQEDQHTTDGVDTILHFNVFLTQ >OIW06886 pep chromosome:LupAngTanjil_v1.0:LG08:7540385:7541819:1 gene:TanjilG_19535 transcript:OIW06886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTHLISEEWCSLSGLNIAEEADFMTQLLGGNSTFWSSHESTNTNTNSYFPSNVENSNLLYCSQGSSSSTDNSGTCSFDPATNFDSMSMDFFSGDSNFSPHIFQWNGNLSQQINVLNSDEEPCIDQDKPILNDYNLHAEEDKIRNLMNHAKRSRSSIEEVSENMRHAKSRKIPKPASMSSFNVGLASNFAPSSSSYNSEGDSNPSLELNGGASPSLSPKDSTPNRKSRSNSCLATGPQTLYARKRRERINERLRKLQSLVPNGTKVDISTMLEEAVIYVKFLQHQIKLLSSDDLWMYASIACNGINIGL >OIW06102 pep chromosome:LupAngTanjil_v1.0:LG08:20766395:20768077:1 gene:TanjilG_29858 transcript:OIW06102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLVSSINQNFNSILFILPSHLATNQTVPQFVETKISPPPPPPLVGAAIPRFAYLISGSKGDLEKLWRTLLALYHPLNHYVVHLDLESPLEERLELTSRIEKHPIFNEVGNVFMILKANMVTYRGPTMVANTLHACSILLKRSKDWDWFINLSASDYPLVTQDDLLYTFSDLDRSINFIEHTSHLGWKLDKRAMPLIIDPGLYMSNKSDVFSVGPKRTLPTAFKLFTGSAWMVLSRAFVDYVVWGWDNLPRTVLMYYTNFISSPEGYFQTVVCNSPELAKTAVNSDLHYISWDNPPKQHPHVLSINDTRKMIASSAAFARKFKQDDPVLNVIDKLLLHRQKGLLTPGGWCSGKPKCSKVGNTYKIKPGPGSHRLRVLVARLVLKSRFGQNQCK >OIW06918 pep chromosome:LupAngTanjil_v1.0:LG08:7819501:7821635:1 gene:TanjilG_19567 transcript:OIW06918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYHQLDEFDDMTEMEDFFDELDANNGHGHGYGGADREIGVVDDDDDYEYQMLNKVTDTSAAQARIGKDIQGIQWDRLNVTRQNYRLTRLQQYRNYENIPQSGDAVDKECKQMDKGGKYYEFFHNTRLVKPTILHFQLRNLIWATSKHDVYLISNYSVMHWSSLTRNSSEIINFAGHVAPTEKHAGSLLEGFSMTQISTLAVKENFLVAGGFQGELTCKRLDKKGVSFCTRTTYDDNAITNAIDIYDSLRGGTRFMASNNDCGVREYDMERFQLLNHFRFPWPVNVSSLLAH >OIW06246 pep chromosome:LupAngTanjil_v1.0:LG08:17734099:17739849:1 gene:TanjilG_23303 transcript:OIW06246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELELGFKITKTRDDFSSISEYRLAKDRTGPIFQSRETSTMFILIVHLKGYKKNNIGIKISEDGSKISISGEKPIQEMLMVGWVMLKKQVEITGFSKVFRIPDGVILDRIKAKYDEDESILKIVMAKSVKGICGARIEEVKEEEYDRGKSKGDQILKSVGETSQKRSEDSDVRGIEDSESIIGKKEGLLYENMLHDANGNIIGETNKREIESKLGIEDRNRDKVGEKEYVAMKTLELEKNLGASNPENIEDASQDKEFKVQEMEHSENVVEKKERVGNEKMLDDANGEIFGQIFRKEIEEYMLDSKDRNGESVTQKVGKEGYDVMKKSELEKNVGSSQNKESGVIKMKENESIMENKKGGMSNKIVDIDKKEIIGDKVQKEIEEYRFEFEYGNEERVKEKVSKAAYETVKKPEMKQNEGIGIPQNNGDTSQDRESEVIEMEDDGSVVGKKRKMVMDKRLDDAEGNIGEMVQKGIEDGDGESVREKDGKVGSTAMKTSQQVHNLADHNPRNFGGISQEDFEESKIQQMEKTENVEGKIDGWEAKSMPVKAIPKNVFEENDIVKSKSETENVDQESVREKAGKEELETMITEKEEVLENLPKATLEGSKGLNVSKIQETEYVDGATVIRKGNEIENFVEKEEGEEPIRMHVEAKRLAEKDETKNTRQERIERPKSETKDSDQQNVQKNTVKGGFEVPNRIIEEFTKQEGEKLSGGGKRFEVAKSEESEEVIKEAMPEIESLLEKVEAEESRKRTEEASRTTDKRTLTETLQKEIEEYIIERKSKDGANVSDNIIKGAFEVLGRAQEKLPKQMVEATAGDKKDKNEYGIVKMKRGGSIRMHVKAEEALQDDTTADRIEKEISEPKFKPSDQLRGKQKVDDIEFDGSERQKFPGMLETEDFKENAAEIEQLVKNVNREKFEKIPVEANGGFRKDMKMQTTREDRENPKRRVEASNAAKEEFPMKIVDSVPNRRDRLKDTKIKEAKEVKEELVKQKSEKKMEETEDVKDEGAKAEQFVNKVKGKKYGKIQVEANGGLMEDITKESTQTDREEPKIHATEKDQQCLREDMGKERFETSTIAREFPMQMVDAQAKKREGSNDREIEEAERVDEEVAKRNTDETKVVGSTTREKLQELGNERNTQTFQEVPEGKHPKPLETGIPERELQSAKGTTTWEKVVSMEFKEIEQGIAKNKTLKMVLLPPENQRNEAHESENDNVKQVILKPKKPKVGEGEQSNDNRESTKAVATIEDQVAKSLSEPRFPIAQQSEAEQKGKLYEGFKAKDKVSLESRKEDPIYDLQNSIKMKDSQESNQTETLKQEDPSEEHQKKRQQVSRRDEFYEGQKVKKTMQEKSEEPKNIIDDRDQQNVQWGISKGIEIAETKVKEMSTEKCVADVGQIAKRIHTKRDFEARLERDKFTTTQNVNDEKPLVKEEIRKAEPPEAVSSLPKVTSMWVGPKNENEEQPWKELSPKTEEMKSKGPIELEKHIMEWKFPKIKEHVPESAEDDEAPKVAAYQGPKPSNFQSPTLTKQAIDKENQKLENVVEAHEASKESSPKENTEIDFKECNERTATVESEELKTLQPHIPESHCLEEKQKADGKEEAADECKFHGIYEDDTKPPAILIVGENNEKGYQMTKNVEDELMKGEHKAEIVLEKRVGPKVTKSGETKEASKQLLQRESAKITPTTEDKKPQMEDKFEQFVEKMHETCRESEKMITKSGIVITPNKRVEESPLPLKIKRKEPHELSWPRMEHEIAPTEGTTEPHVVTDKVTKPSKISSSSSTQPFEDKEKDSIDASHDQIETLQPDSTPTNQQCKNKDSEECDGIPETEDKKSNEHDIELLKKQKEEASEGKKDGTKGSKKLLVPLLMAGSALLVSCIVIFVRNRRARKW >OIW05966 pep chromosome:LupAngTanjil_v1.0:LG08:21466065:21467901:1 gene:TanjilG_11653 transcript:OIW05966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSEENSNWLLDCDFIDDIQVPLSSSTFNWAPHPFNPFPITSVEIDGSLGDSSGLKESGSKKRGRSEACTASSSKACREKLRRDRLNDKFIELGSILEPGRPPKTDKATILIDAVQMVTQLRGEAQKLKDSNMDLQEEIKELKAEKNELRDEKQRLKAEKEKLEKQLMSLNAQPSFLPPPTAIPTTFAAQGQASFAAQGQAAFAAQGQAFGNKLVPFISYPGVAMWQFMPPASVDTSQDHVLRPPVA >OIW06035 pep chromosome:LupAngTanjil_v1.0:LG08:22065894:22066784:-1 gene:TanjilG_11722 transcript:OIW06035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCCLSKRNPQNQNQNPHHRNSLKTQQPHLKSNPTHVLAPPQQPPFEEESVKEVLSETPISKPHQVPILIPETKTQMPLVQNPAKDSETKDPNPIIKAEEEVSELVSQISEACSISESFSTANTGITTEKREEDEATSERSNRDRIATTTHKWNRSPSNASSRKGPYAVNGNVAVGRGGRPKSPAKRSEPSPEKKVQSGRRPVRGRESGPVANRKINVGVQRDSGEGSGRRSRSPSCNGKGGGTTMVCSEGGRKQVTPAKDVVEKEKSDGEEKNEVVTPEESLENPHVSMECFIFL >OIW06357 pep chromosome:LupAngTanjil_v1.0:LG08:14565157:14566566:-1 gene:TanjilG_15002 transcript:OIW06357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFEEMSDLTVASATTIIGGFTKRNRLDDAIYLFSRMLNSEIRPNEFTFGTVLHSSTALRNILVGRQLHACAVKMGLNSNVFVGSALLDFYVKLNAIEEAQMAFRDTLYPNVVSYTTMISGYLKKKRFEDALCVFREMPERNVVSWNAMVGGCSQTGHNEEALNFFIDMLREGFIPNESTFPCAICAAANVAALGIGKTFHACAIKFLGKLGGFVGNSLVSFYAKCGSMEDSLLMFEKLSKRNTVSWNAVICGYAQNGRGAEAISFFKRMCSSGCKPNGVTLLGLLWACNHSGLVDEGLSYFNQARLDNPSLLKSEHYACMVDLLARSGRFTEAKGFLDSIPFDPGIGFWKALLGGCQIHSNMELGELAARKILALDPDDVSSYVMLSNAHSAAGRWSDVSTLRMEMKEKGMKRIPGCSWIEVKGKVDVFLTADKNHVENNEIYVLLRFFYEQLRENEDSNLLNNFCSFS >OIW07148 pep chromosome:LupAngTanjil_v1.0:LG08:4851103:4856639:-1 gene:TanjilG_10121 transcript:OIW07148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQYEVLEQIGKGAFGSALLVRHKHEKKKYVLKKIRLARQTERSRRSAHQEMELISKLQNPFIVDYKDSWVEKGCYVCIIIGYCEGGDMAEAIKKANGVLFPEEKLCKWLVQLLMALDYLHMNHILHRDVKCSNIFLTKDRDIRLGDFGLAKILSSDDLTSSVVGTPSYMCPELLADIPYGSKSDIWSLGCCIYEMTSHKPAFKAFDIQALINKINKSIVAPLPTKYSGSFRSLVKSMLRKNPELRPSAAELLGHPFLEPYVHKVHLKINSPRRSTLPVHWPESNYVKKTRFVDPEDDPIPTYRDRRYSFCNGRTLNPSVSGADQDSVCSTLEIDCIPDHINQRLSELCVGDSHEVKSIHKPVSPTSGVPNTTRPPPSKVSVTKKKSMESSKSHKVLPVSRNTTKSSHTNRRASFPLPMGGTQQPSRRSSVGLLSHVSSPDISVNSPRIDKIAEFPLGSYEDSFFPINRTSTSAEGSAGNPPRSNRSTMVDKCTVEVYDRPSCTDAWQGIKRSMLKEINEDKSGSSDQNATAGPSSHTSSDLRRRQFDTSSYQQRAEALEGLLEFSARLLQQARYDELGVLLKPFGPEKVSPRETAIWLSKSIKENTLSPEESP >OIW07240 pep chromosome:LupAngTanjil_v1.0:LG08:3122578:3123768:1 gene:TanjilG_08355 transcript:OIW07240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDILSEEQIVDFKEAFCLLDKDEDNEQDHRQLLESLIGCITVEELATVIRSLDRNPAEEELQDMISEVDVDGNGTIEFDELLNLMTNKFKNADADEEEINEAFKIFDKDQNGYISATELRHVMINLGEKLTDEEVEQMIKEADLDGDGQVNYDEFVKMMMNIR >OIW05852 pep chromosome:LupAngTanjil_v1.0:LG08:23162092:23163713:1 gene:TanjilG_23638 transcript:OIW05852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPKQSYEHQQLAIVPRVRNSGMVSSNQSPIMDDKEEEMSRSALAMFRAKEEEIERKKMEVRGKVHAYLGRVEEETKRLAEIREELEGLTDPLRKEVGIVRKKIDSVNKELKPLGQTCQRKEREYKEALDAFNEKNKEKAQLVTKLMELVTESEMLRMKKLEELSKNIETLN >OIW06702 pep chromosome:LupAngTanjil_v1.0:LG08:10617102:10639947:1 gene:TanjilG_04096 transcript:OIW06702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKIRIGVCVMEKKVFSAPMSQIFDRLQAFGEFEVIHFGDKVILEEPVESWPICDCLIAFYSSGYPLKKAEAYAALRKPFLVNELEPQHLLHDRRKVYERLEMFGVPVPRYALVIREAPNQELDYFIEEEDFVEVHGMRFWKPFVEKPIDADNHSIMIYYPSSAGGGMKELFRKVGNRSSDFHPDVRRVRREGSYIYEEFMSTGGTDVKVYTVGPEYAHAEARKSPVVDGVVMRNTDGKEVRYPVLLTPAEKEMAREVCIAFKQGVCGFDLLRSEGHSYVCDVNGWSFVKNSYKYYDDAACVLRKMLLDAKAPHLSSVIPPILPWKVNEPVQPTEGLTRQGSGINGTFGQSEELRCVISVIRHGDRTPKQKVKLMVTEEKLLNLMLKYNGGRPRSETKLKSALQLQDLLDATRMLVPCTRPDRESDSEAEDVEHAEKLRQVKAVLEEAEELGRYFRNKIYPGEGTGLLRLHSTYRHDLKIYSSEEGRVQVSAAAFAKGLLDLEGQLTPILVSLLSKDSSMLDGLEDASIEMKEAKARLNETITCSAKTADSNGSPKFPWMVDGAGLPPNASELLPKLVNLTKKITEQVRLLARDEYDKLTERSLYDVIPPYDQAKALGKTNIDVDRIAAGLPCGSEGFLLMYARWKKLERDLYNERKERFDITQIPDVYDSCKYDLLHNAHLNLEGLDELFKVAQALADGVIPNEYGINPKQKLKIGSKIARRLLGKLLIDLRNTREEAISVAELKNNQDHSLSMKTEKEDSESKLKHLHKNDELRKCNTMSDISSVDQDDDDDRETKYRLDPKYANVKTPERHVRTRLYFTSESHIHSLMNVFRYCNLDESLQGEESLVCHNALERLCKTRELDYMSSIVLRMFENTEVALEDPKRFRIELTFSRGADLFPLENNDSKASSLRQEHTLPIMGPERLQEIGSYLTLERMEKMIRPFAMPAEDFPPPSTPAGFSGYFSSKTMLERLVNLWPFHKHPHYGK >OIW06242 pep chromosome:LupAngTanjil_v1.0:LG08:17994064:17995380:1 gene:TanjilG_26016 transcript:OIW06242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNTIVLYPAIGRGHLLSMVELGKLILTHNPSFSITILVPTPPNTTITKIDHTTFACDSAITFHHIPAASPPNSGGDTALPPHLLSIELSPRSNQNFHYILKSISNNSNLKAIVLDFMNYSAPNVTATLGIPTFFYYTSGASSLAVLLRRNGLLSIPGLPRLSKSDMPEPCDPLHPIHHVFVDIGRSMRQSSGIITNTFDGIESKVIEAFNEETMPPVFCIGPMLSIPCDEDDKNGCLSWLNSQPSQSVVFLSFGSHGKFSKVQLKEIAFGLEKSGERFLWVVRSDSDEESLEELLPKGFLERTKEMGMVVRNWAPQAKILSHDSVGGFVTHCGWNSVMEAVCEGVPMVAWPLYAEQRLNKVFMVQEMKVALGLEKTNDGYVSATELGERVKELMDSNKGKEIRKNIFKMKIAAKEARVEGGSSLVALSRLVQLWKEY >OIW05653 pep chromosome:LupAngTanjil_v1.0:LG08:24693586:24699214:-1 gene:TanjilG_23439 transcript:OIW05653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKRILKELKELQRDPPTSCSAGPVSEDMFHWQATIIGPNDSPYAGGVFLVTIHFPPDYPFKPPKVAFRTKVFHPNINNNGNICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMCKTDKFKYESTARSWTQKIDSYSRQLLNWALFKLAQPGDYVIALHVVKSQDYVSKNKALINGYLEVYEGLCDVKKVGLIGEVLSGSSFRSILVREAKIHAAMALVVGGRKTATAKYCSKRLPPNTNVLAIQDSRIVFSRFTYNQLSGGLMLDPRPSLTSIGNLSDRVNHSELGDSEAEAEKSTSQNSIELKEEAFDGLERRKLRSISMFAGDPAEQNLGWPLLRRAKSEITETHHARDMSVVQWVMSLPDRSPKRSHHSCYSIEENSSERGIDMEDESSTNGSPRCVVELPKALEGKLIVNSLNCKWFSLEVLKSCTSYFSSANLIGKGGSNRVYKGVLPNGKPIAVKVLKSSKEAWKDFALEVEIISSLEHKNITPLLGICVEDNALISVYDYFHKGSLEENLHGKKNESESILSWEMRFNVAVGIAEALDYLHRETLKPVIHRDIKSSNILLSHGFEPQLSDFGLAIWGPTASSFLTQEDVVGTFGYLAPEYFMYGKVSDKIDVYAFGVVLLELISGREPISSEPCKGEESLVLWAKPIIESGDVKGLLDPNLEGKFDEAQMQRMVLAASLCITRAARLRPNLNQILKILKGDEKVEYFLNSQGDDYEHSENQESIDDEVYPNSSAELHLSLALLGIDDDTTSYSSTDHSNNEHSKERWSRSSSFD >OIW06925 pep chromosome:LupAngTanjil_v1.0:LG08:6682274:6688191:-1 gene:TanjilG_18313 transcript:OIW06925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHDSRRKHHRSSSSDNVDKSSKRHKHRHHSHRHGSKRRDEETENDVVTVASVPSPIPIPIPAHDDVEEGEILEDNGDAEPGEIEVSGERDQDSRSDNKNLGLLTKSSKTRDEDIDNVKFISHEDDGSPNHSSAGHQDKKDARARSDGADNDNLDLKFSKGDKRQNGELVSFKGNKQLKDDYDDGALEANGGIVNNIWNSSSESGEKYRMLGNSPHDRYRSQSRSIGPNRERSRSRSILDERAHSKRMYSEEHGTHDYTNRNRSDYDYVDEERMKSCRKEHRHHSIDLVVDDRREHSSRYHSREAHDRDRSWDRDLHRENKRGETNRNREVEWVRRIEKERGRSKERDRMDVEEVKIREREEVRRRRREKEGDRSLEAVYERDRRRGKDRDRSIDRTRGGERERDWESERGDINRERYYIKEGDRRDDRYRYKGRDNANGKDKDLHHEDGHENQDRYRKYSRHEETEYPRDRKGNFPVKDFKSTGSTAEVESKLEIGEIEQDDIDEDTLQPEQEEDINWIKEESRRRREAIMEKYKKQHQQFEQVVENEGKDTKPTDIPEALDGKNDGADDSETPFAVGKSPENLKDASENVSGARGLGEGTPKSERSADKFCDDIFGETPTGVRKSGRGDGLLIERVGLNDNWDDAEGYYSYRFGEILDGRYEVTAAHGKGVFSTVVRAKNLKTGNGEPEEVAIKIIRNNDTMYKAGMDELIVLKKLVGADPDDKRHCVRFLSSFKYRNHLCLVFESLNMNLREVLKKFGRNIGLRLSAVRAYAKQLFIALKHLRNCGVLHCDIKPDNMLVNEAKNVLKLCDFGNAMFAGRNEVTPYLVSRFYRAPEIILGLLYDHPLDIWSVGCCLYELYTGKVLFPGLTNNDMLRLHMELKGPFPKKMLRKGAFTEQHFDQDLNFLATEEDHVTQKTIKRMILNIKPKDIGTIITGSPGEDPKMLSNFKDLLEKTFVLDPDKRLTVSQALNHPFITGK >OIW06223 pep chromosome:LupAngTanjil_v1.0:LG08:18512387:18513925:1 gene:TanjilG_03848 transcript:OIW06223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGGKSKTETKRADPKLSVNKKGAGAAKPARKPAKGKAAKDPNKPKRPASAFFVFMEEFRKQFNKENPDNKAVSAVGKAAGAKWKSLSEAEKAPYVAKAEKRKVEYEKNMRAYNKKQAEGPAAADEEESEKSISEVNDEDDGDDDGSDEDDDDDDDDE >OIW06981 pep chromosome:LupAngTanjil_v1.0:LG08:7282034:7284863:-1 gene:TanjilG_18369 transcript:OIW06981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLNSKNLHFWWTLSFSYFVLLLQSVHALNPDGVFLLSFKYSILSDPSSVLESWNYNDVTPCSWKGVTCSEIEDPGTPDLFRVTSLVLPNNQLLGSITEDLGMIQHLQHIDLSNNLLNGSLPNSLFNSSQLQVLSLSNNLITGELPELIGKLTSLQLLNLSDNALGGLIPENLTALQNLTVVSLRSNYFSGRVPSGFNTVEVLDLSSNLLNDTLPNDFGGESLHYLNLSWNKIPGAIPPEFAKQIPPNTTIDLSNNILTGPIPQSLALLNQKSDLLSGNENLCGKPLKILCSIPSTLSNPPTNVTTTSSPAIAAIPKTIDTDPSSNSTQGPNGSSQNVSPSGLKPATIAAIVVGDLAGISVIALIILLVYQQRNKREPKSTTDAADATSKEKKDETVAKQNHNVRTPSLPCSCLKLKEEESASEETSSDSDHDNNTINIITTQNGNIPKQGTLVTVDGEIRLELETLLKASAYILGTSRASIVYKAVLGDGRVFAVRRIGECGIERMKDFENQVKAIAKFRHPNLVKVRGFCWGEDEKLVICDYVPNGSLASIGFRRAGSSPFNMSLEVRLKIAKGLARGLAFIHEKKHVHGNIKPNNILLNSEMEPIISDFGLNRLLLNDINHRANGSARQLLLNQRTQQDQTNIGSSPYATTIGSSSSGTHMPYHAPESHQNIKPTPKWDVYSFGIVLLELLSGRVFSDRDLDQWPEPGSVEEEKNRVLRMVDVAIMCEIEGRENAILSCFKLGLSCASIVPQKRPSMKEALQILDKIPHATIY >OIW06659 pep chromosome:LupAngTanjil_v1.0:LG08:10267279:10272843:1 gene:TanjilG_04053 transcript:OIW06659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRAAFRSSAISGGATTSITTTTTTMFYSCSSTLVNKNPSFLFTCSTTPSSLKHNLLSFSTSHFHRVSLRSYATHPNFHTLQVLNPIVEMDGDEMTRIIWKMIKDKLIFPYLDLNIKYFDLGVENRDATDDRVTVESAEATLKYNVAVKCATITPDETRVKEFGLKSMWRSPNGTIRNILNGTVFREPIICRNIPRIVPGWKKPICIGRHAFGDQYRATDAIIKGPGKLKLVFVPEDGDAPTELDVYDFKGPGVALAMYNIDESIQAFAESSMSLAFAKKWPLYLSTKNTILKKYDGRFKDIFQEVYEERWRQNFEEHSIWYEHRLIDDMVAYAMKSEGGYVWACKNYDGDVQSDLLAQGFGSLGLMTSVLLSSDGKTLEAEAAHGTVTRHFRLHQKGQETSTNSIASIFAWTRGLEHRAKLDNNEKLRDFTHKLEAACVETVESGKMTKDLALLIHGPKVSREYYLNTEEFIDAVAHNLKRKLQETPALV >OIW07033 pep chromosome:LupAngTanjil_v1.0:LG08:6157231:6157425:1 gene:TanjilG_02667 transcript:OIW07033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGPRIAHATLKGPSVVKELLIGITLGLAAGGVWKMHHWNEQRKVRTFYDLLEKGEISVIAEEE >OIW06161 pep chromosome:LupAngTanjil_v1.0:LG08:19454974:19457435:-1 gene:TanjilG_01788 transcript:OIW06161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREIKVQKLVLNISVGESGDRLTRAAKVLEQLSGQTPVFSKARYTVRSFGIRRNEKIACYVTVRGDKATQLLESGLKVKEYELLRRNFSDTGCFGFGIQEHIDLGIKYDPSTGIYGMDFYVVLERPGYRVGRRRRCKARVGIQHRVTKEDAMKWFQVKYEGVILNKSQNIS >OIW05793 pep chromosome:LupAngTanjil_v1.0:LG08:23738036:23745043:1 gene:TanjilG_23579 transcript:OIW05793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEAETQRTLYPYVTGSSVVAIKYKDGILMAADMGGSYGSTLRYKSIERLKPIGKHSLLGASGEISDFQEILRYLDELILNDNMWDDGNSLGPKEVHNYLTSVMYNRRNKFNPLWNSLVLGGVKNGQKYLGTVNMIGINYEDNHIATGLGNHLARPILREEWNENLTFEDGVKLLEKCMRVLLYRDRSAVNKIQISKITEEGATVFPPFSLKTHWEFSAFRNPTAGAEGSWFTPEELCNVLTLQNDPLVCLELFHWASQKPRFRHDVLTYHVTIKKLGAAKMYQEMDDIVNQVLAVPSVGSEAMFNTIIYYFTEARKLSRAVSIFKHMKSCTKLNCRPSIRTYHIIFSALLSRGNNADINYVYMETIRSLFRQMVNDGIEPDIFLLNLMIKGYVLSLHVNDAMRIFHQMSGVYDCQPNSFTYDYLIHGLCAQDRTNNAKELCLEMKTKGFIPSGKSYNSLVSALALGGEVEEAVNYLWEMTEKQRSVDFITYRTVLDEICRRGRVQEAMRLLQELREKGLVEGHDYRKLHYVLEEDYGNSLSRIAAGSIFGRMVLHGCIRCPWLESSVMQDQIDYYP >OIW06700 pep chromosome:LupAngTanjil_v1.0:LG08:10592114:10596479:1 gene:TanjilG_04094 transcript:OIW06700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESSDSNKGTKRDFSTAILERKKAPNRLVVDESIDDDNSVVALHPDTMEKLQLFRGDTILIKGKKRKDTVCIALADDTCEEPKIRMNKVVRNNLRVRLGDVVSVHQCPDVKYGKQVHILPVDDTIEGVTGNLFDAYLKPYFLEAYRPVRKGDFFLVRGGMRSVEFKVIETDPGEYCVVAPDTEIYCEGEPIKREDENRLDEIGYDDVGGVRKQMAQIRELVELPLRHPQLFKSIGVKPPKGILLYGPPGSGKTLIARAVANETGAFFFCINGPEIMSKLAGESESNLRKAFEEAEKNAPSIIFIDEIDSIAPKREKTNGEVERRIVSQLLTLMDGLKSRAHVIVMGATNRPNSIDPALRRFGRFDREIDIGVPDEVGRLEVLRIHTKNMKLAEDVDLEKISKNTHGYVGADLAALCTEAALQCIREKMDVIDLEDDTIDAEILNSMAVTNEHFQTALGSSNPSALRETVVEVPNVSWEDIGGLENVKRELQETVQYPVEHPEKFEKFGMSPSKGVLFYGPPGCGKTLLAKAIANECQANFISIKGPELLTMWFGESEANVREIFDKARGSAPCVLFFDELDSIATQRGSSVGDAGGAADRVLNQLLTEMDGMSAKKTVFIIGATNRPDIIDPALLRPGRLDQLIYIPLPDEESRFQIFKSCLRKSPIAKDVDLRALAKYTQGFSGADITEICQRACKYAIRENIEKDIERERRRSDNPEAMEEDIEDEDVAEIKAAHFEESMKFARRSVSDADIRKYQAFAQTLQQSRGFGTEFRFADNTSSGAATAAASDPFASSGGADDDDLYS >OIW06536 pep chromosome:LupAngTanjil_v1.0:LG08:10967680:10969169:-1 gene:TanjilG_29957 transcript:OIW06536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSNSGVSWFKLEEKFETKILQWESINDTNNMTNTPKEADFALKEEGLITSDHEKAKASASSQWLRLKDSRIVRVSRAFGGKDRHSKVCTIRGLRDRRVRLSVPTAIYLYDLQHRLGLNQPSKVFDWLLNAAKHEIDELPPLPIPPGNFTLGYPSLATSNEVTNSRNNTSQLSASDSHLISTREDHEQGGGDRAGVHVSPNNLLLPRANHPSFLGVLNTMPLGGYQWEPSSDVAQLGNHGFVNQIDHIHSINVVPFPSTLSLSNGNSSSQILVCPHRATTQSYFPASQINHFQMLSSSSHQNHHLMNNSLNPSQHSTPKLFHSSNSSESQSHKDHDFPSE >OIW05548 pep chromosome:LupAngTanjil_v1.0:LG08:25394503:25396892:1 gene:TanjilG_23334 transcript:OIW05548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSFSFHSFVTLAVLFVNVLVFCDGGKTSTFVRKAEKAIDMPLDSDVFDVPHGYNAPQQVHITQGDLVGRAVIVSWVTIDEPGSTIVRYWTDKHSHQKKTAKGKIVTYRFFNYTSGFIHHTTIKHLKYNTKYHYEVGYGNTTRQFWFITPPPVGPDVPYTFGLIGDLGQSYDSNKTLTHYEHNPRKGQAVLYVGDLSYADNYPNHDNVRWDTWGRFTERVVAYQPWIWTTGNHELDFVPEIGETVPFKPFTNRYHVPFKPSESTEPFWYSIKRGPAHVIVLASYQAYGKYTPQYKWLEEELPKVNRKETPWLIVLVHSPWYNSYNYHFMEGETMRVMFESWFVKYKVDVVFSGHVHAYERSERVSNVKYHIVNGLCTPVKDQSAPVYITIGDGGNLEGLANNMTEPQPKYSAYREASFGHAIFDIKNRTHAHYSWHRNQDGYAVEADSLWFFNRYWHPVDDSTTHSH >OIW07183 pep chromosome:LupAngTanjil_v1.0:LG08:4482482:4482721:1 gene:TanjilG_10156 transcript:OIW07183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCSNVDASNLQAALCHPRRGDNNGSFISFNTKKLTRKQRTLICLIDILWPNFYSFNNETVLRMTTLYLHNTHLYFFIF >OIW06928 pep chromosome:LupAngTanjil_v1.0:LG08:6696776:6699337:-1 gene:TanjilG_18316 transcript:OIW06928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNSEDFGDDFVVKQQDSVVVAPLSAIAEAFEELAKWLKIKRVENEELPLNTFCHACSFISVLFYSLGFAFKFAELEYVAKLHTLVEASKTYGTLQDILDLDITTDTVKTSGSFSRNLRRVRQGLGLIKAIFEQFLVTDDTSLKDVASTAYAQSCAPYHTWAIRTAVYAGMYTLPTRDQLLANLNETNQSAEKKMRRYIDASLPVIEYIDELYLSRNIILDWTLVKCRVQSIQWH >OIW05686 pep chromosome:LupAngTanjil_v1.0:LG08:24491251:24493784:1 gene:TanjilG_23472 transcript:OIW05686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHCLNTSGNVGGNCSEITVLEMQRASRKWQQEQHQLHEEEEEEQQGFFCGGGGGGGGDSALVHVVAHSVKPGLDLENGLHKLRNFDMGLESSLLQHVSAFEENSSISRTCSRDMVSPMEKKQTFKKRKFEKTQHSKVVEENDNKDKKIKVSCDKEESKMTEQTSKKNIKPNTSKNKESVGGDSSKEKSKESEAQNPKPDYIHVRARRGQATDSHSLAERVRREKISERMKYLQDLVPGCSKITGKAGMLDEIINYVQSLQRQVEFLSMKLAVINPRFDFNIDDLFAKEVFHACSPSFPNIEMQSDMNINPAYLQFNPAQLVSYCGGLINNPSDIALRRITSAPQILPSSTCEGDFQNLYNNVPFDQARIASFPSQPLSGVVDTSNIKMEM >OIW06668 pep chromosome:LupAngTanjil_v1.0:LG08:10340407:10342701:1 gene:TanjilG_04062 transcript:OIW06668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHRKVINDLLVCFTLCLRSTLIEGYGVNYGSNKCLYPAIYNFGDSNSDTGTVYAAFTGIQPPNGETFFGTISGRASDGRLIIDFISEELKVPYLSAYLNSVGSNYRHGANFATGGSSIVEGKGYSPFHLGLQVSQFIQFKSHTNILFNHLSDNRTEPPFKSGLPRPEEFSKALYTFDIGQNDLSFGLQHSSEKEVLTSIPNILSQFSQAVQQLYHEGARVFWIHNTGPLGCLPYSYIYYEPKKGNLDANGCVKPKNEIAQEFNRQLKGQVFQLRRKFPLAKFTYVDVYSAKYQLISNSRSQGFVSPLEFCCGSYYGYHINCGKKAIVNGTVYGNPCKNPSQHVSWDGIHYTQAANQWISKHIIYGSFSDPPVPIGHACF >OIW07395 pep chromosome:LupAngTanjil_v1.0:LG08:109942:111441:-1 gene:TanjilG_10230 transcript:OIW07395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCNSTNDSVDTVLNCYKGELSSNLVLEVLMSYKQLGRVKTLQFFSWAGTHMGFQFNDSVVEYMADFLCRRKLFDDMKCLLMTVSLHNEGRVSSRALSICIRFLGRDGRVKEALSLFEGIGTMFRCKPDNHVFNNMLYVLCKKQSSDEMIELALTVFRKIECPDTYSCSNMIVGLSKLGRLETALEIFGQMNKIGVLPTRSALNVLIGELCLISAKEGSVEKVRVRNTRRPYTILVPNMGGNSDAIQPAVAVFSAAYDSSLLPSTFVIVKLISELCRLGKNEEAVEVLSIVEKRKLTCVEEGYSIVIKALCEHRQVEEAGKLFGRMLDRGLKPKLLVYNSVISMLCKLGKLNDATRVFEIMNKYRCVPDNLTYTALIHAHGEGKNWKAAYDLLMEMLGFGLIPHFHTYNLVDSLLREHDQLDLCLKVDRKFENQKLQKLCKEGELDAAYEKAKSMIEKGIHLSPYARDTFKNVFEKCGKFRVAHELLEKTERVTSLRSY >OIW06074 pep chromosome:LupAngTanjil_v1.0:LG08:20375683:20377107:1 gene:TanjilG_29830 transcript:OIW06074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALDPIKKRKLDENGFSVPDSDIVTLTTSDARKIIERFTQEQLLDILQDATVRHPDVLAAVRSVADTDQTQRKLFIRGLGWDTTTDGLRSLFSAYGDLEEAVVILDKTTGKSKGYGFVTFRHVDGALLALKEPSKRIDGRVTVTQLAAAGNSGSTTNTADIAQRKIYVANVPPDLPADKLLAHFSVYGEIEEGPLGFDKQTGKSKGFALFVYKSPEGAQAALVEPVKNVEGRQLNCKLAITDGKQGKRGVGGGQDGVQNHGNSHGHGDGTGLAPPSSVPGSYGGPVGGNVGSYGGFPGQPPIGGHPLNSSAGGLGSVANQAPSSLGAGGGYGSVGGGGGYGSVGGGGGGYGSVGAAAGGYGSGLGSQYGGYGGGPGSAGFGGAGGYGGVGAGANSGSAGGLGGAGGPGGALGGAGSLYRLPGSGGMPGGGYGEGGHYSLSASSGYQNQHHPPSGASPAPRVPPGSMYPNVPPYY >OIW06816 pep chromosome:LupAngTanjil_v1.0:LG08:8191003:8194227:1 gene:TanjilG_03711 transcript:OIW06816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANSGFKLKHLLIFACLIGVASAQLSTKFYDKTCPKAVKIITKAVDDAVSNETRMGASLLRLHFHDCFGCDASVLLDDTSNFTGEKNSFPNANSLRGFEVIDNIKSQLEESCPGVVSCADILAIAASESVGALGGQRWNVALGRRDSITASLSESNSDLPAPFLDLSGLITAFSNKGFTTEEMVTLSGPNK >OIW05622 pep chromosome:LupAngTanjil_v1.0:LG08:24920604:24932782:-1 gene:TanjilG_23408 transcript:OIW05622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRRGVNKGKGNGDLSLGDLVLAKVKGFPAWPAKISRPEEWDKPPDPKKYFVHFFGTKEIAFVAPVDIQAFTSEAKNKLLGRLQGKTKYFTQAVKEICAAFDGIEKRKGSGLTDDPDDSPVGSEAPSFDEVVGDQKDATDDVVSNAEKDNINMGNIDTSLEHCTERMGESDSPDQNLSIPGHPNESSSVLSPVVRGKLSLDAHVKKNANKSSLKGANSVNGFRQDDNEHSILTNGSKPRKLGTSSRRNEISNDKNRNGGSTAGIFFKDGNSAGGVDLSRSGETLKGGKKGKHVFSSKSDSSGILKSDSNGNTGIKDKNLLKVKTCLEVKKESQEILVDSEEADGKNSSKQKKAQFYAKHNLVANEPLHATKKVKRIDTKDAKSSGSLPKDVKSASPGSTVVQDKAFENLELKKSTSHLKTEKSKTSRGQIGVVGSDDLVLEVLPGTKFHSQVHQAMPDSAIVASNEKTGKSSLRLKSDANNVMIKQETRKRKAVCLVDDDDDGEPKTPVHGGAAKIIKSPFVTDVKKSNNAHSEKSNVAQLAPRKSSDHEDIHLKQSSSHSHNDTSSTRQSLKDRDNTVVPVNVSHSPDKLDSKQFPSKVAKLSFASPVKSPQSIPAIKSSAEQHKLSKPMLKVSSNATEKKVDHGSSKSVRNVTSSQNQVVTHNKKLTLSTEMSKTTPKTLARAVEVPSSTVGFKEFDAFHVDRLEVSMEEKGSVYTGSRSPGSAKTMKHLIAAAQAKRKLTQSQCLHLGNHSVLGGTPSPSTVQPFLSVSSNSVQANVQGVYEHPTLASPSTNNNHSNSQNQLDVEEIEEKRFGSVQRGVGGSLSGGTEAAVARDAFEGMIETLSRTKESIGRATRLAIDCAKYGIANEVVELLIRKLENETSFHRKVDLFFLVDSITQCSHSQKGIAGASYIPIVQAALPLLLGAAAPPGASARDNRRQCLKVLRLWLERKILPESVLRRYMDDIGVSNDETTISLSFRRPSRAERAVDDPIREMEGMLVDEYGSNATFQLPGFFCHAFEEDEDEDELPINSCKDTDGASPADPTPTLGESETSTVTPSDKRHCILEDVDGELEMEDVSGHPKDERPALFNSSDEIDLQRQGSCRHPNPTSNISVEISPTLNGSPPLPLDSPPPLPPLPSSPPPSLPLSPSPPPPPPPLLQPPPPPLPPSGPPLSLVLQSSGPARSSLVSQSLMPPQSSHQLGYQQNVPPNYSGTTSGNQVAQMAGNSFSGGHNNAVVTNEVPQPSAFVAAAGCSSQEPSSLNPSRQLAYGQHMYLNAQFPQPNHQFQLSNPQFTQRHAHPTPPQNPSNQYSYPNPTVQQHLPHSFHSPFSLPPLPDGMRQFAPDEQRRMSSNECKTNNQHGVWIGRNPSCPGQPFGQEGNFKPPVERPSVSNASFQRVISNNVPAVPPVADQTFLLLIVGDPVEDDWSLVLVKRPSRAERAVDDPIREMEGMLVDEYGSNATFQLPGFFCHAFEEDEDEDELPINSCKDTDGASPADPTPTLGESETSTVTPSDKRHCILEDVDGELEMEDVSGHPKDERPALFNSSDEIDLQRQGSCRHPNPTSNISVEISPTLNGSPPLPLDSPPPLPPLPSSPPPSLPLSPSPPPPPPPLLQPPPPPLPPSGPPLSLVLQSSGPARSSLVSQSLMPPQSSHQLGYQQNVPPNYSGTTSGNQVAQMAGNSFSGGHNNAVVTNEVPQPSAFVAAAGCSSQEPSSLNPSRQLAYGQHMYLNAQFPQPNHQFQLSNPQFTQRHAHPTPPQNPSNQYSYPNPTVQQHLPHSFHSPFSLPPLPDGMRQFAPDEQRRMSSNECKTNNQHGVWIGRNPSCPGQPFGQEGNFKPPVERPSVSNASFQRVISNNVPAVPPVAGHGVPQMLPSRSDISALNCWRPS >OIW05546 pep chromosome:LupAngTanjil_v1.0:LG08:25417163:25418915:1 gene:TanjilG_23332 transcript:OIW05546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRFRRFHIIEEEEEEEEALVYHYSTSPLFFTHETTSLLFPVTLTFPSFQPHKPHKQFCLQTLDDRISDLESRFHRITTGKSGGGGGDRKYTWTAEIKGEEKDGFDRKYKWIADLVEEEKKKEKKKKLKSIKWTAEIKGKGKESGNIRKYTFEVGSSDDDAEKKNKKETEKVKEEKKKKKGSDRLRIVEIQEANATAHRDVVLKQAFSKRFRAVQNDRGKKNELSPQDAALLIQISFKAYLIRRSKTLRALRELAVAKSKLKELRAKFNNFTYRRHVARDSEERQRFSEKVIVLLLTVDAIEGADLMVRSAKKSMVDELEAMLDVIDPQPDERSLSFKRRQFDMPDGVIRKEIEDGVAQVVQMLDEAENSSSTFEAYL >OIW06430 pep chromosome:LupAngTanjil_v1.0:LG08:11540924:11543490:-1 gene:TanjilG_05201 transcript:OIW06430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKFLRQQMEKAGCPVRDSFFRAVYCPPHRAAQGAFMRGKGVFVCSNYSVLQEQVDRVIIHELIHAFDDCRAKINWNNCAHHACSEIRAGHLSGDCHFKRELLRGFLKLRGQGQECVRRRVMESLSANPNCAGSVAKDSMEAVWDICYNDTKPFDRAP >OIW07132 pep chromosome:LupAngTanjil_v1.0:LG08:5104286:5106449:-1 gene:TanjilG_10105 transcript:OIW07132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIYTAIDTFYLTDEQLKNSPSRKDGIDEATETTLRIYGCDLIQESGILLRLPQAVMATGQVLFHRFYCKKSFARFNVKKVAASCVWLASKLEESTRKARQVIIVFHRMECRRENLPMEHLDLYSKKYVDLKTELSRTERHILKEMGFICHVEHPHKFISNYLATLETPPELRQEAWNLANDSLRTTLCVRFKSEVVACGVVYAAARRFQVPLPENPPWWKAFDAEKSGIDEVCRVLAHLYSLPKAGYIPVCKDGDFTFYNKSSETKSQSTPKDVPQSSPPADSDTSVSKGAMGEANNESGGKGALVKLNMDRRKDSKRSDDESKSMVPEGEARDESVPKSKSDRRMEASGETRRDRDRDGERDRDRDRKSRDRDRGRDSDKEREREELERDKLKDHSHRSRERAKDSGHSGHSDKSRHHSSRDRDYHGSSYSSREKDRSRHH >OIW05739 pep chromosome:LupAngTanjil_v1.0:LG08:24122490:24123062:-1 gene:TanjilG_23525 transcript:OIW05739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDVSRRTVTTTNIHIMALDGIVNVNSLFTLALFLGITTTNTNNTLIAGDTSCAAGPSVAEGILTYHVYSFSSFLFSSLIALSLKNIINMNKGIEEEAGAIGGSNRRVHGVGFVVRVNTVALRVGTLVSAFGSVFGCGFLVMALVDLVQIKLGTLGCGSHYTYLAIAPLLTLVPTALLIFIFLVLYAFTR >OIW06912 pep chromosome:LupAngTanjil_v1.0:LG08:7777353:7778357:-1 gene:TanjilG_19561 transcript:OIW06912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISSSQRTIVKEINHKSFIYIRIYNDGTVERPSESKYVPPILDDSHPILNSKDITISQNPLVTARIYLPKLTKSNDQNQLQKLPILVYFHGGGFIFESAFSQVFDNYFKTFVPLANVIVVSVEYRRAPEYHLPACYHDAWYALNWVCSHSTANKNPNYVEPWLINYGDFNRVFIGGDSAGGNITHNIALRAGVEALPGGVKISGAILSHPFFYSSYPVGSDHDYGDDDLAYAVWDLIYPSAPGGIDNPVSNPVDPGAPNLATLGCSKMIVCVAGKDDLRGRGVWYYECVKKSGWQGKIELFDEEKEGHDYHILKPKSKNAQKLMKLLVSFLNE >OIW06306 pep chromosome:LupAngTanjil_v1.0:LG08:15560339:15565754:1 gene:TanjilG_17680 transcript:OIW06306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKMNKAFEKVKIMVGMEVEDEEQQAAALDDNNGNFAFMDDFNRNCTLSTKQRLYGFAICFAAGLTFTLLSLLVFLKPIKFAITFTLGNLLSLGSTAFLIGPKKQVTMMLDPVRIYATAIYIASMIIALFCALYIHNKLLTLLAIILEFGALVWYSLSYIPFARSMVSKIMVSCFDTEF >OIW07059 pep chromosome:LupAngTanjil_v1.0:LG08:5886719:5891545:1 gene:TanjilG_02693 transcript:OIW07059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDNKGMNSSNRNILSRNNMPLHDEQVSNYEAQSTMIHDMYGEDGMDLPTSPKDEKTMILELAFEAMEELTMLALARSPLWLPQNNRYGPEILNEDEYYKTFPRGIGPKLFGYKSESSRGYAIILMNHINLIEVLMNVKQWSNMFCGIVSRASTIEVLTPGVNGNYNGALQVISAEFQAPSPFVPTRQAYFARYCKEHTDGSRVVVDVSLDHIRPNAISTCRRRPSGCLIQKSQNGYPMVTWIEHVEVDYGMLHNLYKHVVSSGLTFGATQWLISLERKCRSLSNMMVTTIPTADSGDVWSALSPHYDGVRVMSATNINDPGRPIGLILNVSTSFLLPVSPIKIFNFLREAKFRSQWDVLCRTGQVQENAHISNGGEQGNCITLIQVNQSQNANHNMFILQESCSDCTGSYVVYAPIGINPMHKILSGGEQDCIGLLPSGFAILPFVPNLSSSPLGGYMLDDGSEGSLITVAFQILVDNNPTSKLSPDSVTNVERFLKFSVQKIKNAVMSDVNTHFLHLPIVSAKVNGFICIEASKVLPVVSSEAYYPNQDQPTTP >OIW06400 pep chromosome:LupAngTanjil_v1.0:LG08:13069299:13070522:-1 gene:TanjilG_16812 transcript:OIW06400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKGFRRSSSMLSGSNSSSSSLTNDDVSSEEKIKSRTVSFFSATARYRQMKFKIVRKIRSKVIWTSLCSGNRNGNAAAAAKVSPGCNSGETSSCLSSNLNGKSSARSLRYAKRDNRSVRTVDDHAAVKSGVGSPHLRRRAEAILKLLSMAGGSSELKIRQMLGDSPDTSKALRMFDLSFFFSLSSLTCLM >OIW05914 pep chromosome:LupAngTanjil_v1.0:LG08:22186422:22188895:1 gene:TanjilG_07190 transcript:OIW05914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLESPNIPTVSETKLNFLKAYKRPIPSIYNSVLQEIIVQQHLMRYKRSYRYDPVFALGFVTVYDQLMEGYPNDEDRNAIFQAYIKSLNEDPDQYRVDAQKLEEWARDQNSTSLVDFSSRDGEVERILKNIAERAGGKGEFSYSRFFAIGLFRLLELANAMEPSILEKLCAALNVNKRSVDGDLDLYRNLLSKLVQAKELLKEYVDREKKKREERSEPQKANEAITKCLG >OIW07266 pep chromosome:LupAngTanjil_v1.0:LG08:2608122:2617437:-1 gene:TanjilG_08381 transcript:OIW07266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTATSSSSSNASAATPEAILEWLHKEMGYRPLSQYHAAAGKSHLPSVESLRKICRGNMIPVWNFLITRAKSEKTVHNIRRNITVHGGDGGGGGLVSAGGKEEEGRGKGKKKEKMMLAEEGSGAAESREVALQERDLAAKEVERLRNVVRRQRKDLRARMLEVSREEAERKRMLDERSNYRHKQVMLEAYDRQCDEGAKIFAEYHKRLCYYVNQARDAQRSDVDSSVETLNSFSGKSEKEAVYSTVKSNKSADDVILIETTRDKNIRKTCESLVAYLLEKIRNSFPAYEGNGIHSNPQAETAKLGFDFDGQIPDEVRTVIVNCLKSPPQLLQAITAYTLRLKSLISREIEKIDVRIDAETLRYKYENNIVMDVSSSDGSSPLLYGNGKIGVDVAPGGSQNQLLERQKAHVQQFLSTEDALNKAAEARDLCEKLLKRLHGGTDVSSRTIGIGSTSQNVGSLRQLELDVWAKEREVAGLKASLNTLMSEIQRLNKLCAERKEAEDSLKKKWKKIEEFDARRSELETIYTALLKANMDAASFWSQQPLTAREYASTTIIPACAAVVETSNSAKDLIEKEVSTFYRSPDNSLYMLPATPQALLEAMGVSGPPGQEAVANAEINAAILTARAGARDPSAIPSICRVSAALQYHAGLEGSDAGLASVLESLEFCLKLRGSEASVLEDLLKAINLVHIRRDLVQSGHALLNHAYCVQQEYERTTSYSLDLAAEQEKTVMEKWLPELKTSVLNAQQSLEDCKYVRGLLDEWWEQPASTVVDWVTVDGQNVAAWHNHVKQLLAFYDKELL >OIW07218 pep chromosome:LupAngTanjil_v1.0:LG08:3524787:3531592:1 gene:TanjilG_02538 transcript:OIW07218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNWLRHKVNVDQSKISSLVAPTELDPNQSLNFTFKKQGVLWVLESEKVSKILLDKPPREQKRKKELLEVSPIKMYGQIKDQSLILREPDGSHIAIELKGCTVQAVSASSLSSRKWANKFPIKVESKTSVLYKGSETLYIYLETSWEKEAWCKALHLVSCDQQEKIKWFIQLHEEFHSYLTSLNSVYHSIIKPSVGIESNLEAIERANKPDGTSAKVRQFLKKISKRTSRVSFENISTWTSLSGNEEKIKTDKLRPFKDAVLATGYIKTASTAKQLKSSLVDDPPPLSSKSSQSGSQNHHSIISGADEKFGIDEGTLCWNLLISRLFFDVKGNAQLKKSTLAKIQRTLSNMRTPSYIGEVICTDIDTGNVPPCITGMRVLPMEMSEVWALEIDIEYCGGLLLEIETRIGVRELELHRGTEDSNPEPSNFGSVPSYILEGVEYLGKQLNLFERTNDLQEHKEGSDWNSVLYIDVKSTASSSTHGSRLKSIFNSVAKQVSQVPLSLAIRVASLRGTLRLHIKPPPSDQLWYGFTSMPDIDFNLESLVGEHKITNGHFALLLVNRLKAAIQETLVLPNCESICVPWMLAEKDDWVPWNVAPFVWIDQESGNKTSTSIDTSNQPSSGVEASASTSSNGPENEQKNATSAETSQEPGRKSSDSLPLPSSSSSGSLTLESSSCLEELATPLLDNDRPQETIDLMESRTPSLQSDKGYETTEQKMEGNLEFQKQNHSIKQEDGMPRKMGRKERMLDLRKKMSEKLEEKRRHIEEKSRHIVEKMRGP >OIW05702 pep chromosome:LupAngTanjil_v1.0:LG08:24390035:24392497:1 gene:TanjilG_23488 transcript:OIW05702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSYILYNPTRTTLTLRSLNKPISAINSGLEASITDSKDDSVTITDAKIVAESGDENKTQLRVDLTGDQTRKIFDKMLVKLGRTAPPVPGFRMRKGGGLDLGFGLSALPRKIIEGACFQIKLSCLIPKDFLVQMLGEERVTKFVIQEILNSTMADYAEKENLDVKDRKISTTQTAEQLKKSFKPGTEFGFNVIIEPENSQDSS >OIW07051 pep chromosome:LupAngTanjil_v1.0:LG08:5969855:5971014:1 gene:TanjilG_02685 transcript:OIW07051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKATSSNSSSSINSNNNNNLFISTASSLTHANSDELHTDLRLGLGIPITQHVGSSMSGRNRQQLQPLQSGSTQSAEVNDESFFVKVYMEGIPIGRKLNLLAHDGYLELVKTLEQMFDTTILWGNEMDRVQPERCHVLTYEDGEGDLVMVGDIPWEMFLSTVKRLKITRVDAFGC >OIW07404 pep chromosome:LupAngTanjil_v1.0:LG08:13292:13660:1 gene:TanjilG_10239 transcript:OIW07404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGAFSGSRIMEIVKKHDSGGLLWKRIKLTTTRKANAKKRLFRVWQNEAVLKACSTPAPSTTSSGSADQAHENVTNSN >OIW07299 pep chromosome:LupAngTanjil_v1.0:LG08:1707648:1710687:1 gene:TanjilG_11933 transcript:OIW07299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKKTEDAVSSNKKEKFSVSAMLASMDEKPDRSKKVASSSSKPKAKVKSSAYTDGIDLPPSDDEEDVLEEEEQQNSTSKRPDVKQLEVSIAEKELKKREKKDILAAYVVEQAKKEALKDDRDAFAVVIGSRASVLDGEDDAADANVKDIAIDNFSVSARGKELMKNASVRISHGKRYGLVGPNGKGKSTLLKLLAWRKIPVPKNIDVLLVEQEVVGDDKTALEAVVSANEELVKIRQEVAYLQSETSVEGSVDKDNNDEEDDAGEKLAELYEKLQLMGSDAAEAQASKILAGLGFTKDMQVRPTKSFSGGWRMRISLARALFVQPTLLLLDEPTNHLDLRAVLWLEEYLSHWKKSLVVVSHDKDFLNTVCSEIIHLHDLKLHVYRGNFDSFESGYEQRRKEMNKKYEVYDKQLKAAKRSGNRTQQEKVKDRAKFAAAKEASKSKGKGKVDEDDAPQEAPRKWRDYSVEFHFPEPTELTPPLLQLIEVSFSYPNREDFRLSNVDVGIDMGTRVAIVGPNGAGKSTLLNLLAGDLDPSEGEVRRSQKLRIGRYSQHFVDLLTMDETPVQYLLRLHPDQEGLSKQEAVRAKLGKFGLPSHNHLTPIAKLSGGQKSRVVFTSISMSKPHILLLDEPTNHLDMQSIDALADALDEFTGGVVLVSHDSRLISRVCDDEERSQIWVVEDGTCRTFPGTFDDYKNEFCEPVTYIDSSAVQALKDLYQEYKLRDIQIAISNLNPDVLLTLSKSGMLEFVGEEWY >OIW05604 pep chromosome:LupAngTanjil_v1.0:LG08:25055706:25057070:1 gene:TanjilG_23390 transcript:OIW05604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFSPSLGSPQPLLLREVKFEERGLCLIHLLLNLANHVANGNIENANIIFEQISLLASPAGDTMQRIAAYFSEALADRILKSWPGIHKAVNSTRMTMISEEILVHKLFYELFPFLKVAFVLTNQAILEAMKGEKMIHIIDLNAAEPAQWIALFQALSARPEGPPHLRITGVHWKKEILDQVAHKLIEEAEKLDIPFQFNPIVSKLENLDFNKLRVKTGEALAISSILQLHSFLALDNEAMQRKSPLLLNSTNRVHLHRVLPMSQSTFRDLFEKDIANSYTASPDSTSSSPLPLIASNSMNMEKFLNALRRLIPKIMIVTEQDSNHNGLSLMERLLEALYTYAALFDCLESTVSRTSLERLRVEKMLFGEEIKNIVACEGSERKERHEKLDKWFQRFDLAGFSNVPLSYLGMLQASRFLQSNGCEGYRMREENGCVLICWQDRSLFSISAWRSMK >OIW05921 pep chromosome:LupAngTanjil_v1.0:LG08:22248372:22250768:-1 gene:TanjilG_07197 transcript:OIW05921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTRSSKRKNIAQILDNEAKSAIDRITDLPDAVLHHILFLLPIKCVAQMSILSKRWKFLWSTFPDLDFTTLNPFEISSKNLKFMNYDKHRNHPLDTAQMDFITQVFSIRDKHSDIRILCFRARLSFSRLNSLIRSAIRHNVKELDIEVTTSVTTDDYFNFPRCVIGSESLRVLKLKSGFRLPPSSIMRDGFQSLHTLSLSLVILYNQPNLSDLFSESSFPLLKKLHLDMCFGLKYLHVGCRGLEDLSLEKCFQLQGLDISCAKLAKMRVTSCFDAYSKKSWVRINAPKLEHLFWQFNAVSDTTIFEPSNFLREAYVGLFMLNRANGMGKVQSVNGFLSGLSHAGSLILESQTFEILSNNNFYIQPFCNLKSLELHTGFKKSNVQGLACLFRSSPTLHTLILKITNDRKIERKQWNRDLWDMSSTEEEQYWESQIPTLMSFLQHLRLVKIHGFLDCENEVTLAKFLLKHGKALEEMILCAGDCNDRDTLRRQKIRSQMMGFSSACSNAKVAFK >OIW05642 pep chromosome:LupAngTanjil_v1.0:LG08:24766255:24769944:1 gene:TanjilG_23428 transcript:OIW05642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYRVDALDDESKRVGDGDSENLKDLGGEEVFEEAMEPQDHFHDQGSTLDLPDVDVDKCDETDTVSPLASALVDETLHVTLETDNFEEAIDVVAGESEKQTEGEPEVIAAESEKHIEGEAEIIAGESEKQTELIADQELERDGQRLDNVHLDGVDSGGTGDGQLSGDSCGMQDDNFNSSDLSGGKEESGLSSDGGLVATEKGATEEGNSGLLSENSEIDDSKFLTPRENGAAVLENGSTDKVDYVVTESNLESESNEVVVNQGTIAEDLKDGDPVPEFRDHKIEEVHDISADSNNDLKRQGEVISDMKDGTPGTDFSNEDRNDRDISITDTKITEPIDIEYEDAKAGSDSEKPEAIGEIRTSPDLHETVEEREEMLPAVNSLPPENSADEIPSVQASAADPKEGSNKDAQSHVSEEIHRDRDNSSVAEEPEKIQEKNLEVKETTQVTKELKIQPANKLPSASENSASAVPPPVRPAGLGHAAPLLEPASRGVQQSRANGAVANTQSQQVDDSSNGEAEEYDETREKLQMIRVKFLRLAHRLGQTPHNVVVAQVLYRLGLAEQLRGRNGGRVGAFSFDRASAMAEQLEAAGQDPLDFSCTIMVLGKTGVGKSSTINSIFDEVKFNTSAFHMETNKVQDVVGTVQGIKVRVIDTPGLLPSWSDQRSNEKILHSVKRFIKKTPPDIVLYLDRLDMQSRDFSDMPLLDTITDIFGPSIWFNAIVVLTHAASAPPDGPNGTASSYDMFVTQRSHVVQQAIRQAAGDMRLMNPVSLVENHSACRTNRAGQRVLPNGQVWKPHLLLLSFASKILSEANALLKLQDGPPGKPYTARSRPPPLPFLLSSLLQSRPQLKLPDEQFGDEDSPDDDLDESSDSDDETELDDLPPFKPLTKAQVQKLSKAQKNAYFDELEYREKLLMKKQLKEERKRRRIMKKIAESAKALPSDYSENVDEESGGAASVPVPMPDLSLPASFDSDNPTHRYRYLDSSNQWLVRPVLETHGWDHDVGYEGLNVERLFVVKDKIPLSFSGQVTKDKKDANVQMEIASSIKHGEGKATSLGFDMQTVGKDLAYTLRSETRFSNFRRNKTAAGLSFTLLGDALSAGLKIEDKLVASNRFKLVFSGGAMTGRGDVAYGGSLEAQLRDKDYPLGRSLSTLGLSVMDWHGDLAIGCNVQSQIPVGRHSNLVARANLNNRGAGQISIRLNSSEQLQIALIGLIPLIKKLVLYHQQAQFGQ >OIW07235 pep chromosome:LupAngTanjil_v1.0:LG08:3172445:3174328:1 gene:TanjilG_08350 transcript:OIW07235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRPMVQPIGQKRLTNVAVVRLKKHGMRFEIACYPNTVLSWRSGVEKDIDEVLQSHTVYSNVSKGVLAKTKDLNAAFGTDDQSKICLEILKKGELQVAGKERESILSSQFRDIATIVMQKTYNPETQRPYTISMIERLMREIHFAVDPNSTSKKQALELIQELQKLFPIKRCPLRIRVAAPEEEFAALLEKLNEWKANIVSKEGSAGQLSVVFELEPSLYKDCHDFVMKNMHGRFEVLAHSLYVDGDTQVEQYNDYEDMPAPLPKETRESVLELNDKLQKQTISSTSRLTEGHQQKQNKCNTCNVSFEDTKLYREHHKSEWHKHNMKRKTRQLPPLTEEECIADMELSDSKSDLKDYSF >OIW06914 pep chromosome:LupAngTanjil_v1.0:LG08:7794372:7797097:-1 gene:TanjilG_19563 transcript:OIW06914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFDGKFFNTALLFVATLFVAKLIYAFLIPKSTKRLPPVVKSLPIIGGLFRFLKGPIVLLRDEFPKLGTVFTLKIFHKNITFLIGPEVSPHFFKASESDLSQQEVYQFNVPTFGPGVVFDVDYSVRQEQFRFFTESLRVTKLKGYVDQMVTEAEDYFSKWGSSGEVDLKYELEHLIILTASRCLLGREVRDKLFDDVSALFHDLDNGMLPISVLFPYLPIPAHRRRDQARKKLAEIFAKIIASRKSTGKSEDDMLQCFIESKYKDGRPTTEAEVTGLLIAALFAGQHTSSITSTWTGAYLLSHQKYLSAVLEEQKNLMEKHGNRVDHDILAEMDVLYRCIKEALRLHPPLIMLLRSSHSDFSVTTRDGKEFDIPQGHIVATSPAFANRLPYIYKNPDSYDPDRFAVGREEDKVAGAFSYISFGGGRHGCLGEPFAYLQIKAIWSHLLRNFELELISPFPEIDWNAMVVGVKGKVMVRYKRRDLSVN >OIW07318 pep chromosome:LupAngTanjil_v1.0:LG08:2015553:2019526:-1 gene:TanjilG_11952 transcript:OIW07318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVEQKVLLVANPTNGETDGAVEVNGTPLTSAVDGGGDDGRPAGRLPRWTRQEILVLIQGKTDAENRFRPGRGSGSAFGSAEPKWASVSSFCKNHGVNRGPVQCRKRWGNLAGDYKKIKEWENQIRDETESFWVMRNDLRRERKLPGYFDREVFDILGAESPAAAAAAAAAEHVVGDVEVHIYDSNRRVGSDDGLFSDTERDEVLVVKDVHAPVPISEKQYQPLLLLQGCTGEGNGQGATNNKQHSSNPETGSTSQEGRKRKRFATDGEEETLHSQLIDVLERNGKMLQDQLEAQNINFQLDRQQQKDTASNIVAVLDKLADALGRIADKL >OIW07287 pep chromosome:LupAngTanjil_v1.0:LG08:1577238:1579787:-1 gene:TanjilG_11921 transcript:OIW07287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRAYSRHNKFKEAIKLYHRMLENGVEPNKYTFTFVLKACNDSFDFNEGVGIHQDVASRELECDVFIGTGLVHMYCKMGHWDSARKVFDKIPVKDIASWNAMISGLSQSSNPWEAIGMFQSMQMEEGVEPDSVSILNLAPAVSKLEDIDCCKAIHGFVVRRCIYSGAVLNSLIDMYSKCAEVNLARRIFDKMRAKDDVSWATMIAGYVHHGCFLEVLQLVDEMKRENVYMNKVSVVNALLAAAEMRDLDKGKELHDYASRLGMMSDIVVATPVVCMYAKCGELMKAKNLFESLEGRDLVAWSAFLSVLVQAGYPREALSIFQEMQNEGLKPDKATLTSLVSACAEISNPRIGKVMHCYAIKADIESDISTVTTLVTMYTRCGLFEYATMLFNRMLYKDVVAWNTLINGFTKYGDPHHALGMFHRLQLSGIQPNRGTMVGLVSACALLYDLNLGICFHGNIIKSGFESDIHVKVALIDMYCKCGSLCSAENLFHLTEPIKDVVSYNVMIAGYLHNRCADEAISTFNQMKSENVRPNLVTFVTILPAVSYLSILREAMVFHACIIRMGFMSSTLVGNSLIDMYAKCGQLSYSEKCFQEMENKDSISWNVMLSCYAMHGQADRALALFSLMQETHVHVDSVSFLSVLSACRHAGLIQEGRHIFQSMCEKHHFEPNMEHYACMVDLFGRAGLFDEVSSLISKMPTEPDAQVWGALLGACKTHSNVKLGESALHHLLKHEPRNPTHYVVLSDIYAQCGRWIDAGRARSNINDHGLKKIPGYSWVGAEKQAPCLSGN >OIW07324 pep chromosome:LupAngTanjil_v1.0:LG08:2154902:2159503:-1 gene:TanjilG_11958 transcript:OIW07324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSTSLSPNVSLPISSTNSLTPPPSSQPSETSNSSSPPPSTSQDVPAAPPPSPPLSPPPPSTTPPPPPTISPPPPPPDSPPPAVTASPPPPQAATTAPPPSQNLSPPPPVVVAAPPPKAQNLSPPPPLVASAPPPQPSNPPPPQPISPSPPPPPPAKVASPPTTHSPPPPPRHGKPPKASHSPPSQATPPSVSQPPPPPGAPPPSTLPLATPPSVAPPTASLPGPAPNKTVAGGPTVALPSIPTEKPTAKPTNGNGMDVVSTSSNSGGMNTGVNAAVGVVVGFFVLSLIVMAVWFVKRKKRKGTGSKNGFPIDSPLTSSHNSGTLFLRPQSSANFAVTASSNDYVYPQSEFSGAGSSRSSFTYEELSEATNGFSAQNMLGEGGFGCVYKGLLIDGREVAVKQLKIGGGQGEREFQAEVEIISRVHHRHLVSLVGYCISDHQRLLVYDFLPNDTLHFHLHGKNRPVLDWPTRVKIAAGAARGIAYLHEDCHPRIIHRDIKSSNILLDQNFEAQVSDFGLAKLALDTNTHVSTRVMGTFGYMAPEYATTGKLTEKSDVYSFGVVLLELIVGRKPVDASQPIGDESLVEWARPLLSEAHETEDFENLVDPRLENNYNRNEMFQMIEAAAACVRHSAVKRPKMSKVVRAFDSLDEFPDLNNGVKPGQSSVFDPAQQSAQMRMFRRMAFGSHDSSTLFNETQSSWRSREQEDSTIMYSQNRSRPWNL >OIW06657 pep chromosome:LupAngTanjil_v1.0:LG08:10252511:10258216:-1 gene:TanjilG_04051 transcript:OIW06657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKSLSRNSTATTIANTNTNTDNNNNNNNKTLRLSSPQQSLRRFGLCSPIVFPERRGAKLKASSTGDHGIIDTSKDFEHRIDIGGTGIPGPGDEKSDLLGYVVFSGKLVLDKRKVTTIINNNNNNNNNINNKNNSAAAQQTSSDITINQQAVDAKLTSKALVWGSHLLNLDDVISVSYNVGLRHFTVHSYPFKKPSCGFSCFIKSRRTRKDFRFVASNFQEAVQWVAGLADQQCFVNCLPHPLVSSKKQASSELLPTDTPPELLFRCKTPPKMLVILNPRSGRGRSSKVFHGIVEPIFKLAGFRLEVVKTTSAGHAKNIASTVDISTCPDGIICVGGDGIINEVLNGLLSRDNQKEGISIPIGIIPAGSDNSLVWTVLGVRDPVSAAMAIVKGGLTATDVFAVEWIQTNKVHFGLTVSYYGFVSDVLELSEKYQKRYGPLRYFVAGFLKFLCLPQYSYEVEYLPASKTERGGNLSVESEVVDMSDLYTNIMSRSNKDGLPRASSLSSIDSIMTPSRMSGGDLDTCSSTHASTEPSELVRGLDPKSKRLSSGRANVTAEPEVIHPQLPLSTTPNWPRTRSKSKNDKGWSGLTTTHDTSRWGNTAANDREDISSTLSDPGPIWDAEPKWDGEPNWDVENPIELPGPPDDAGGSTKEVLPRFGDKWIVSKGHFVGILVCNHACRTVQSSQVVAPQAEYDDNTLDLLLVHGSGRLKLLRFFLLLQMGRHLTLPYVEYVKVKSVRIKPGKHTHNGCGIDGELFPLTGQVISSLLPEQCRLIGRSRI >OIW06502 pep chromosome:LupAngTanjil_v1.0:LG08:11376240:11378142:-1 gene:TanjilG_26691 transcript:OIW06502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTIPVTRCQPGIYAKNKSQVHRSPSKSCELPLDVNPLSRTSQSLGAYRSSGLQCMPALKSWRPLHVCLAGGKGMMGNNNENSPWESLEKAMQNIKGKSIEDVLREQIEKGEYYQNGSNGGKPPGSGGGGGGGGSSGPGGSEDGRFAGMSDETLQVVLATIGFILLYICVNDGVELAKLTRDFIKYLSGGGQSVRLQRVLYKWVRLYKNMTQKKEVDKDVLESEPTTSRSLSGMSLGN >OIW05738 pep chromosome:LupAngTanjil_v1.0:LG08:24124542:24126189:1 gene:TanjilG_23524 transcript:OIW05738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWSRLGSNQRSLKGSPDSTSYVVGMFEKMKLPESSYGNTCSNVGPSTYHKSFGQDQIRAIQLSRLKQEQILSLKQKLVVYRESRARIPHQYEPNEEIMQFQKKGKRVGVESGNGQHRTRPIRPAPMPHQTASTAASCGTGVFLPRGGIGDPFETGKGCSTVLIPARVVQALQLHFDQMAATPGPKVAGFPPLHDVIVSNRDGMYSLQSSQSVKANNQNEMILPQEWTY >OIW07343 pep chromosome:LupAngTanjil_v1.0:LG08:1097327:1100954:-1 gene:TanjilG_10178 transcript:OIW07343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTVNPFDLLGDDAEDPSHQIEAEQLKAAAAAAAAPKKGQPPQGKAAQLPTKPPPPAQAVREARTEPYRGGRGGGRGGGGRGYGRGRGGFSRDSSNEENTYGTIEANKGAFGGDAAKPSERQGYGAPRTPYRVGGQHGRRGSFGNGEGDEEGRPRRVFERHSGTGRGNGFKREGSGRGNWGTQSDEIAQVSDEVANETEKNFGDEKPAVKEDAAGDANKESPANEAEEKEPEDKEMTLEEYEKVLEEKRKVLQALKTEQRKVDTKEFASMQPLASKKDNHEIFAKLGSDKDKRKEALEKEEKSKKSVSINEFLKPAEGESYYNPGGRGRGRGRGARGGGGFRGNVTSNAPAPSIEDPGHFPTLGGK >OIW05724 pep chromosome:LupAngTanjil_v1.0:LG08:24213569:24214732:-1 gene:TanjilG_23510 transcript:OIW05724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRNGSAVFAMLLLIITLITLTISPVESCPPSEWAALMAFKAALTEPNIGIFNSWRGTNCCYHWYGINCDPTTHRVADITLRGLTIADDNPHVTTNRTATGYMNGSISPSICKLKQLSTLTISDWKGISGNIPHCITTLSLLQFIDLSGNIISGKVPYDIGHLAQLTVLNLADNHISGRIPRTLVNLPNLMILDLRNNAMEGPIPPDFGKLKKLNRVLLSHNRITGPIPRSISSIYSLADIDLSLNRLSGKIPWTLLSSRISHLDLSRNMLSGNIADVFRERSYFINLDLSHNELSGFVAKSMVSATYIGHLDLSHNNLCGPIPRGAPFNHLQPPSFDNNRCLCGHPLKPCTHHHHQHTRIKL >OIW06791 pep chromosome:LupAngTanjil_v1.0:LG08:8634494:8641344:1 gene:TanjilG_11516 transcript:OIW06791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVKQQEGLSEDNEPAGDACASSSLNQKGPVSLSEVSNPTANTQSSEVNEPGGVSCKKSNTPHFYRQDVVRNTTSGLIGIVTEVAGDSDSDSDSSITDDEDDSEDEDADFEEENVDDSNNASRNSDKNGADGDRKTDALLAEQMRVLWINESESTQNFNEVEVLDRGFLHGDFVAAASDPTGQVGVVVDVNMSVDLLASDGSIIKDISSKNLQRIRDFTVGDYVVLGSWLGRVDDVLDNVTVLFDDGSVCKVTKADPLNLKPVSKNILEDGHFPYYPGQRVRASSSSVFKNSRWLSGLWKASRLEGTVTKVTVGSVFVYWISSAGYGPYSSSSPAEEQSPKNLKLLSCFSHANWQLGDWCLFPSSALSSSISMDKGISKLQLNNSVNNEKDSNQTGSGCDSEEVTTEELNGNKDSMDLDPVDALDGNDGNVVSNPSRDSSSCGSSSMSVSKDPVHETWPLHRKKIRKVVIRKEKKVRKKEESFEKALLIVNTRTKVDVAWQDGVIERKRDSTSLIPIDNLGDHEFVAEQYVVEKTSDDGVDICETRRVGVLRSVNAKERTACVKWLKPVDRPEDPREFDKEEVVSVYELEGHPDYDYCYGDVVVRLSPVSVCLETTSVGESIQQSKQKNEDCGIKKETKSRTGTSKGENASAGETCEEFSDLSWVGNITGLMNGDIEVTWADGMVSTVGPQAIYVVGRDDDESIAAGSDISDAASWETVDDDEMEVLEESKEDNDVQNIERENSISVTSETEESGENDYVRAAALAVPLAAFRFVTRLASGIFSRGQRNLDLVDLQPKDESEHPSFDESSSQKSIAIDGESSGNMSGRNEEVIPETSEILEACEALCSLRNDDAPASYNDGACSFKHFDITKDPSDHHFTGSNGQSNNRKWFKKVQQDWTILQNNLPEEIYVRVYEDRMDLLRAVIVGPYGTPYQDGLFFFDFHLPPEYPDVPPSAYYHSGGWRVNPNLYEEGKVCLSLLNTWTGRGNEVWDPKSSSILQVLVSLQGLVLNSKPYFNEAGYDKQVGTAEGEKNSLSYNENTFLLNCKTMMYLMRKPPKDFEVLVKEHFKGRGHNILKACDAYMKGHLIGTLTKDASVSDKSIQNSTSVGFKLMLAKIAPKLYLSLSELGAECEEFKHLKEL >OIW05963 pep chromosome:LupAngTanjil_v1.0:LG08:21437422:21441375:1 gene:TanjilG_11650 transcript:OIW05963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEESIGLIIGGSTGVVIGVILAVFALFCFRYHQKHLQIGNSSSRRAATIPIRTNGADSCTILSDSTIGTESPLKSGWNGMSFWLDGFKKSHMVSASGLPEYSYKDLQKATYNFTTVIGHGAFGLVYKAHMSTGETVAVKVLATNSKQGEKEFYTEVMLLGRLHHRNLVNLIGYCAEKGQHMLVYVYMSKGEENEALSWDMRVDIALEVARGLEYLHDGAVPPVIHRDIKSSNILLDQSMRARVADFGLSREEMVGKHAAIRGTFGYLDPEYISSRKFTKKSDVYSFGVLLFEIIAGRNPQQGLMEYVELAAMNTEEKVGWEEIADSRLEGNFDVQELNEVAALAYKCVNRAPRKRPSMRDIVSVLTKILKSRHHRNHHNKALLSTAEVFIDLDQTETKNSVSNHRRQDSMDSATDIEV >OIW06238 pep chromosome:LupAngTanjil_v1.0:LG08:18067859:18073198:1 gene:TanjilG_28763 transcript:OIW06238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCFTKSHNNEVPISYESNSPPHDYQQLPKRTTPQQQQPHYPYHAPKSDPYPSTSSSSYYSEAAPILGKPYSDIKSLYTLENELGRGQFGITYLCTEKATGRKYACKSIARRKLTRKKEIDDVKREIMILQHLTGQPNIVEFKGAYEDKQYVYLVMELCKGGELFDRIIAKGSYSEREAASIFRQIVNVVHACHFMGVIHRDLKPENFLMVSKNDDAPLKATDFGLSVFIEEGVMYKEIVGSAYYVAPEVLKRNYGKEIDVWSAGVILYILLCGVPPFWAETEKGIFEAILEGELDLDSAPWPSISAAAKDLIRKMLNFDPKKRITASDALEHPWMKEGGAASDKPIDSAVLIRMKQFRAMNKMKKLALKVIAESLTDEEIKGLKQMFKNMDTDRSGTITFEELKSGLSRLGSKLSEYEIRQLMDAADVDNNGTIDYAEFITATMHRHKLDKEESLRKAFQYFDKDNSGYVTRDELRQALTEYKMGDEATIDEVIDDVDTDKDGKINYEEFVTMMRQGTVDNDDKEKP >OIW06188 pep chromosome:LupAngTanjil_v1.0:LG08:18862504:18866100:-1 gene:TanjilG_23068 transcript:OIW06188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLVVEVINAHNLMPKDGEGSASPFVEIDFENQLSRTRTVPKNLNPTWNHKLVFHIDATKPYHRQTIEVSVYNDRKRPIPGRNFLGRVRIPCSNIVKEGEEVYQTFPLENKWIFSSVKGEIGLKIYIASESKPKALSSFPSSELDKLLPSTPPQVPQSTTNLPPPPPHSTPSATKNTGRTDITLETDPGVEGITLKTSKATTEEASQSSGIDIDKGPEKEITEQLHKHQVMQQPRISIKKQPQDTSYTMHSVTPQVHPSHDGNYAHNETNPQPRISIRRRPQQQEGPFTMHSVNHQVHPSHDESYNLKNNNVQPRFSVERQTQGTPLTMHPVNSQVHPSHGGSYSHNDMNQQPRISIKRRPQAQSSPFTMHSVNNPQVYPSHDESYNLRNTNPEPRISIERQTQGTPLARHPVNNFQVHSDDGNYNLKDTNPQLGGRGLMSGSERFASTYDLVEQMFYLYVRVVKAKDLKPGTITSSCDPYVEVKLGNYKGRTKHFEKKSNPEWNQVFAFSKDRIQSSILEVHVKDKEMLGRDDYLGRVVFDMNEVPTRVPPDSPLAPQWYRLEDWRGDGKVRGDVMLAVWMGTQADEAFSEAWHSDAATVYGEGVFNIRSKVYVSPKLWYLRVDVIEAQDVIPSDRNRLPEVFVKAQVGCQVLKTKICPTRTTTPLWNEDLVFVTAEPFEEQLIITVEDHVHPSKDEVLGKISLPMSVFEKRLDHRPVHSRWFNLEKFGFGVIENDRNNELKFSSKVHLRVCLEGGYHVLDESTLYISDQRPTARQLWKQPIGILEVGILGAQGLPPMKMKDSRGSTDPYCVAKYGQKWVRTRTLIDTFSPKWNEQYTWEVYDPCTVITLGVFDNCHLGGGEKGPGGRAARDTQIGKVRIRLSTLEVHKIYTNSYPLLVLNPHGVKKMGELQLAVRFTTLSLANTVYTYGQPLLPKMHYLHPFTVNQIDNLRYQAMNIVAMRLGRSEPPLRKEVVEYMLDVNSHVWSMRRSKANFFRVMSLFSSMITMGKWFIDVCNWKNHITSVLVHILFLILIWYPELILPTVFLYIFLIGLWNYRYRPRHPPHMDTKLSWAEAVHPDELDEEFDTFPTSRSHDVVRMRYDRLRSVAGRIQTVVGDIATQGERFQSLLSWRDPRATSLFLIFSFCAALVLYAVPFRVVTLVAGLYYLRHPKFRSKLPSVPSNFFKRLSARTDSLL >OIW07239 pep chromosome:LupAngTanjil_v1.0:LG08:3128245:3132969:1 gene:TanjilG_08354 transcript:OIW07239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYMNWSARKGSPRMSSSHPRPLSWIVVSVGGLAVFLIYASFVLLSSSIGATVQDLFYVVGTSGKLNGSVVSSINKDIIDAKVNKSLELVDDKPSSDPQSRTVSSGVSSDSSIEQTDTKSSSQVELGGSSSVNSQITQDGSGIETSDAAASNAQESVTSFGIGADSANSSLPARSDPQIDLPLAAVNSSRAEATTSNEASTSFSNSTSTEDKETLEKTNNTLSADCDLYHGDWIYDPSGPLYTNNSCPVLTQMQNCQGNGRPDKDYENFRWKPSQCDIPRFDPKKFLELMRGKTLAFIGDSVARNQMESMLCILWQVEKPKNRGNRHMQRYYFRSTSVMIIRIWSSWLVKLTSEPFDYAPARVDKLFLDVPEEKLMEYIPKFDVVVLSSGHWFAKQSVYILNNEIVGGQLWWPDKSKPKKINSAEAYRISVETILTALVTHPNYTGITIVRSYSPDHYEGGAWNTGGSCTGKVKPLAPGELVENGHTNYMHQQQVTGFNRAIKKLTNKSKLKLMDITEMFQYRHDGHPGPYRSLDPNKITKRGHDGRPPPQDCLHWCMPGPVDTWNEIVFEIIKRELDGV >OIW06660 pep chromosome:LupAngTanjil_v1.0:LG08:10274161:10278774:-1 gene:TanjilG_04054 transcript:OIW06660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATATASVGPRYAPADPTLPKPWRGLVDGKTGYLYFWNPETNVTQYERPSSSAAPPKSSSVSSSVQVQQQSSLGMHRGRSPDLNDRYDRNGSRESNAEAGSRNHQSSKGGGFSSHNGMQDSGNGSSSIKDPVAFDAGPELSPEAYRRRHEITVSGDKVPPPIISFGSSGLPSEILKEVQSAGFSAPTPIQAQSWPIALQSRDIVAIAKTGSGKTLGYLIPSFMHIKRVSNNSRLGPTVLVLSPTRELATQIQDEAVKFGKSSRFSCVCLYGGAPKGPQLRDIDRGADIVVATPGRLNDILEMRRISLQQVSYLVLDEADRMLDMGFEPQIRKIVNEVPAHRQTLMYTATWPKEVRKIAADFMVNPVQVNIGNVDELVANKSITQHVEVLSSMEKQRRLEAIIRTQDPGSKIIIFCSTKKMCDQLARNLSRQYGATAIHGDKSQGERDHVLSQFRTGKTPVLVATDVAARGLDVKDIRVVVNYDFPTGVEDYVHRIGRTGRAGATGLAYTFFGDQDAKHASDLIKVLEGANQRVPPELRDMSSRGGGMNRSRRWGSGGSGYGGRSNDTGYGGRGSDSSFGGRGSDSGYGGRGGDSNYGGRGGWSASAGSGGGRGFDSDSQRNDRGRSPDKVSSWSDRFKNRDRSRSPDRNAPPQNSKISFHQAMMERSGGDGDRSKSFISPSSPGFGRSTGDGRNGGSNSKYNGEEEEGMIRDEEGTI >OIW06388 pep chromosome:LupAngTanjil_v1.0:LG08:13514174:13514386:1 gene:TanjilG_13574 transcript:OIW06388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSSFLFTISLVLTSYAILSASSSSLFEELCMKVKETGSDEGQCLHILNVHPKLGSAFERIEGGFRDCKL >OIW06109 pep chromosome:LupAngTanjil_v1.0:LG08:20813362:20819214:1 gene:TanjilG_29865 transcript:OIW06109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSSLSAVIGRHPSSDGVTQMGGTIRHKRKCRDISFLVIFIAFCIAMIVNSSFGFNQGNPLRLTHGLDYKGNVCGDKFAYHGLGELELRYWQNPNQVYQSGLKGSQFKLANARSICLLDCPIPSDDSLDWVCDYPEGEISLSMTDWINRKYDYFEFLTPEMRNSSLQLQGPCYPVIFPSVNVYWSCQFIARASNSSLKLWQQMGGVNIYEDIVIDKSIHKLINSHSAVLKRYMADIGKAWPVLIVCGGILPLFLSVVWLLMIRHFVAAMPWITVVLFNVLIISVTMFYYLKAGWMGNDVISPIIGEHDPYINVSGRELTHLRTATIVMTFIMSVAILTSIAIVRRILMATSVLKASIIYDTIVAAKVIGEVQVLIIFPLIPYCILAVFYMFWISAALHLLSTGQIVQNDCNSNCCTYDLVAKRVNCDRCCGYSIHYTPHIRVAILFHLFGCYWATQFFRACSSTVIAGSVASYYWAHGETSPEIPSLTVFSSMKRLMHYSLGSVALGSLIVSFVESIRFLLESIRRKLKVDSYEPDNCLGKAAYHSSQCFLRCIEWIMKSANRNAYIMIAIGGKSFFVASSIATELIMNNVLKIGRLNVIGDVILFLGKLCVSLSSVVFAFLMLDTHMYKSSHDKISSPLLPVTVCWALGYIVASLFFAVVEMSIDTIVLSYCQDSEEHQGTAHYAPPLLIETLSDQNEVQRLTQAPQ >OIW07380 pep chromosome:LupAngTanjil_v1.0:LG08:239556:240866:-1 gene:TanjilG_10215 transcript:OIW07380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESPTESSQWPLLPEDLLHEITNRLLKPSDYVRFGAVCKGWHSFAYKSYKQKHLLHIHQNFPVLLIPTKDQEGRSLYDITQGMVYHRFQLNLPNKRCCGSSYGWLFFVDKVTKSTLELILINPFLGDSKTIKLPPIKLNNDDILDMMDHYGVYKAILSKDPYVSPHDYEVVALYGSLAKLAHYKCGDKYWSYAKKVEGTTLADVIFYKGLVLALNRYDWIINFTLEPKARIQSTNCSPWYLKWNTLRKKLANTIRNYADNAYLVENSNGDLLLVRRCYWGEDRIQAREIAQELDAARRQDIAQGGSGDALLQEYKKIEERIYRKDEDPKLTVNFEVYRVSFSSDGRRLSKKIRTKTLDGEILFLGDNNSISIPASKYPKLHPNSIYYTDDYVAYYDLPLGSCDNGIFDVEKESFGKHYLPSFSIKDMPPPTFVVPK >OIW07094 pep chromosome:LupAngTanjil_v1.0:LG08:5458645:5460183:1 gene:TanjilG_02728 transcript:OIW07094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEFAENERRIVVAIDEGDESMYALSWCLKNIVFENSKDTLILLYVKPPAPLFPSFDAPEFVITAEAMAKIEKYSQEVADRVLETATRLCNNIPNVEMKVGQGDPRDVICEMTQKLGADMLVMGSHGYGTIKRAFLGSVSNYCAQNAKCPVLIVKKPK >OIW05673 pep chromosome:LupAngTanjil_v1.0:LG08:24575698:24576932:-1 gene:TanjilG_23459 transcript:OIW05673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGRFAEELYAESLQLSKLELRPTIADDQETKLTDCDGDDLDLDGSSWDAFDDKLDNSSDLDREWQRRHDQFHTIGYRDGLIAGKEASAQEGFNIGFKQSVLNGYSWGVVRGVTSAFAYLPDQLKEKLVETFVKRNEFQGLYESVHSLSTTDALGLFNEDIKAKEAVQQSEHVEISPQTARLREQTSDGSLGNYLGQLESLIRESPAIDIHLPKPE >OIW06444 pep chromosome:LupAngTanjil_v1.0:LG08:11669582:11674859:1 gene:TanjilG_05215 transcript:OIW06444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSTGMKQHLYVEDAALIKEMNLFRSLDLGRPSHFTESLKPMLGTSGILTNNGFKWSFQRNLISPEFFLSKIKNVVDLMEESTMEIIRKWESHIRESKGGIVEIVIEEDMKVLTADIISKTCFGTSYAQGNEIFAKLATLQATLAKPSVLFGFPNLSYFLTKEKKEIKKLEKEVEMLIMKVIHNREVENKNSTMHANEKDLLQRMIEGTTNATISDSKGKLLKLGKNEVNRMIIDMCKNIYFAGSDTTAIAVAWTLMLLTVYPEWQQSVRSEIVETFGHILPHSFNDMDKLQKLKSMTMVIQESLRLYGPGVMAAREALADVKLGQLVLPKGIILWLNLSALHRDPNNWGEDACEFKPERFADGVSKACKYPQAYVPFGLGSRICLGQNFSMIEMKIILCLLLSKFNFATSPNYQHCPVFNISLMPKYGIKLLVSKTIITIANHEEASKRCTTVDSLSPPLKKRKNRHGQPLVTVAGVEEEWRREDGGDNHTLFSNHQWLPLPARFATMTLPCIGENEDGRREWEKRLDLRISPLLFFSRDLGIVAKSAS >OIW06956 pep chromosome:LupAngTanjil_v1.0:LG08:7056226:7059600:1 gene:TanjilG_18344 transcript:OIW06956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLSSHVIENGHSEIPLDSGHARLNQLGYKQELKRDLSVLSNFAFSFSIISVLTGITTLYNTGLNYGGPVSIIYGWLIVCVFTMIVALSMAEICSSYPTSGGLYYWSAKLAGPGWAPFASWITGWFNIVGQWAVTTSVDYSLAQLVQVIILLSTGGKNGGGYKASKYVVIALHGGILVIHGIMNSLPISLLSFLGQLAAIWNFLGVFVLMILIPSVTPERASAKFVFTHFNTDNGAGITSRPYIFLIGLLMSQYTLTGYDASAHMTEETKDADKNGPKGIISAVGISIIVGLGYMLGISFAVTDIPYLLSEDNDAGGYAIAEIFYLAFKRRYGHGLGGIVCLVIVAVAIFFCGMSSITSNSRMAYAFSRDGAMPLSSLWHKVNKQEVPINAVWLSVFISFCMALTSLGSIVAFDAMVSIATIGLYIAYALPIFFRVTLARKRFVRGPFNLGGYGIIVGWIAVLWVVTISVLFSLPVSYPITIQTLNYTPVAVGILLIVVVLYWLVSGRFWFKGPVTNIQN >OIW06395 pep chromosome:LupAngTanjil_v1.0:LG08:13611939:13612091:1 gene:TanjilG_13581 transcript:OIW06395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEKSHKKAMNFAPNRCQPPNLFPNEMMFGRNLVDLKYESCCNQIHHIVA >OIW06440 pep chromosome:LupAngTanjil_v1.0:LG08:11619252:11622357:1 gene:TanjilG_05211 transcript:OIW06440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPMNFPLRWESTGDQWWYASPIDWAAANGHYDLVHELLRIDSNHLFKLTSLRRIRRLEVVWDDEEQFNDVAKFRSQVAQKLLLECESKRGKKSLIRAGYGGWLMYTAASAGDMGFVQVLLERNPLLVFGEGEYGVTDILYAAARSKNCEVFRLLFDFAVSPRFHTGKGGIFEEHIGEIPSVYKWEMTNRALHAAARGGNLKILEELLANCTDILTYRDAHDSTILHAAAGRGQVEVVKYLTSSFDMINATDQKGNTALHVAASRGQLAAAEVLVSAFPTLISLRNNTGETFLHKAVSGFQTPAFRRLDRQVELLKKLISGKNFNVEEIINVMNHDNRTALHMAIIGNIHTDLVQLLMTAPLINVNICDVNGMTPLDYLRQRPNSASSDMLIKKLISAGGMFGCQGYNSRKAIASHLRMQSIGSSPGASFRISDTEIFLYTGIKNAPYDNGNARKSSSSSEHIPYDSTAENNISTATSKRPSSVNYAAARLKRALQWHRVKDKKAEIPKKYMEEGCMDPCRKSNNNTDETPTPLRQRFSSSRPSTLPSNKRTLSVRSHQSSPNAKKRFASGLVHGVMQSMPQVKVSGRSRSSSFSKSSISSPRSIDNKQKGVYIDNDIAGPSCSSTQLPIDDYESPHLAKRTSVSKKLKGHYFCFGAPGLNVKNSVHRHHESHSYKGHVVAVA >OIW07223 pep chromosome:LupAngTanjil_v1.0:LG08:3412671:3414602:-1 gene:TanjilG_02543 transcript:OIW07223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNAVSKSITINPNNIIVDHAVLNVEELMKHQKYHATFCVYRVPKSLSCTKPEAFTPQFVGLGPYHHCIPQICFDYLKISALTSVLNHTNVLTKVVQQLSNSNLASLIHDCYDTSIVFKDYTLLYLMTVDALFLYDLLSNSVIVTEDEESVETVTKVDLKSSIFAAKHRMPLVNYGGVELTKDAIIRDIFMLENQIPIHIVEEIMKVVVVNMETEKPHPQYEDMGSKMLHFCKALCPFVYSDQELSDESEEPMKNVHLLDLMYHLMLRDPNPTPDEDDPNLNPDEHEPNFNPDEHDPELNPDEYDPNFNPDKDAEQSDSTLTRLKKMYRPVYSLIVWGTMPDGEPIIGGGLVKNIYGLFFQKSTNFDIHVEIPSVTELHQAGIHFNPTYGVISSSENHVLHEEFIFYLPKIRLDHNSEVIMRNLVAYESLTQSNCLYVTKYVELMRSLIRTAKDVKVLVDEKIIQTKLSDAKVMQIFNEINKSIRPTNTADLDEIINRVNCAFHRLKFEKLRKFLVKYVLPIWIVLVLAAIIGCSILLVDLIRVTLEGYMQDIIRYITSAVIKVFHWF >OIW05795 pep chromosome:LupAngTanjil_v1.0:LG08:23715405:23717867:1 gene:TanjilG_23581 transcript:OIW05795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELTITQPDDWHLHLRDGPLLHAILPHSARHFGRAIVMPNLKPPITTTATAISYRNSILKALPKDNTNFTPLMTLYLTDITTRDEIILAKKSGIVYGVKLYPAGATTNSQEGVTDLFGKCFSVLEEMVQQDLPLLVHGEVTNPEVDIFDREKVYIETILEPLVQRLPQLKIVMEHITTADAVNFVESCKEGYVAATVTPQHLLLNRNALFQGGLQPHNYCLPVLKREIHRQAIVSAVTSGSKRFFLGTDSAPHDRRKKECPCGCAGIYNSLVALSLYAKVFEEAGALDKLEAFTSFNGPDFYGLPRNKLKIKLRKSPWKVPECLSFSFGDIIPMFAGETLEWEALLN >OIW07136 pep chromosome:LupAngTanjil_v1.0:LG08:5044966:5045445:-1 gene:TanjilG_10109 transcript:OIW07136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVAQTLVAISQSSGALKAYTSSISDYGTTLAEGNISYPITGLTSTYQNNELTIYATLTLPSGTTSLVYLWQDAWSGVSGSTPQSYAMGNSNTQAKETLDLVSGASQGGGSSGNSVRRVRNTHGVLNALSWGLLLLLGAIIASWCCWMVNRSQTRQRPS >OIW06711 pep chromosome:LupAngTanjil_v1.0:LG08:10729095:10730264:1 gene:TanjilG_04105 transcript:OIW06711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCGPSKQTKCTHIDSYYSPVPRKNSKHVVHPPQNKDDKYNVVGLKSTTLGSVDEKSHCNYGNNGFMKFSNDNKVSESVSFKTQNKDVAEEAKACSNLIEQKLSKAMIFPKSSAITSPCELETINTWELMEGLEDTINPLRSPIHFRSFSFDICSNSNHVAVEIDPHRNSSFIETSSALCKPFWLQGELRSNLKPVASNFDDRVISSLFHNGNDGVPVMDFKAENELVFYFTSLRGVRKTFEDCCHVREILKGLRVKVDERDLSMDSGFKEELKELLGDGFGKGGLLPKVFLGRNYIGGVEEIQKLNEEGRLEKLLDCCEKIDDNDAVCEACGDIRFVPCETCNGSCKIYCEDNEEEEEAEDCETSQYGFWRCPDCNENGLIRCPICCH >OIW07154 pep chromosome:LupAngTanjil_v1.0:LG08:4790048:4792654:-1 gene:TanjilG_10127 transcript:OIW07154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHDPNVLISLLCTLVLLHALHASSKDSDPLILGCGLGEGGGKDGDGRQWLPDNKYVSGGNSITSKASFQDPSLLSEVPYMSARIFTSEATYKFPVQTDKRYWLRLHFYPSVYDSYDPSNSYFSVTANEVTLLSNFSAAITCQALSQAYLDREYSLAPLNSDTLTLVFKPSDKHNGAFAFVNGIQLIQMPEIFDSASLVGYNDQTVDAKSLHFQTMFRLNVGGQYISPNQDSGLSRMWYDDTPYIYGAATGVTNQAAKDVKIDYQSMPQYIAPSSIYSTSRSMGNNKDINMGYNLTWIFQVDPNSMYLTRLHFCDYYYSKVNQIVFDIFVNNQTAQAQADVIGWTGGKGVPTYKDYVVYVQDSVGEDQLWLALHPAPDTKPEFYDALLNGVEIFKLNDTNLSGPNPQPSDMMVEHEVKERKFEDKETHYSKTFVIGGAAGSVVGVALVAAICIVVHKRKKRSPGSYSLNSSWLPLYGNTHTSGNSKSTISGKSTVGSSNLSAMAQGLCRYFSLQEMKQATKNFDESNVIGVGGFGKVYKGVIDNGFKVAVKRSNPQSEQGVNEFQTEIEMLSKLRHKHLVSLIGFCEEGEEMCLVYDFMALGTMREHLYKGNKPTSTLSWKQRLEICIGAARGLHYLHTGAKYTIIHRDVKTTNILLDENFVAKVSDFGLSKTGPNMNQGHVSTVVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLFEALCARPVLNPSLPKEQVSLAEWAMLCKRKGTLEDIIDPSLKGKINPESLKKFIDTAEKCLSDHGLDRPSMNDLCWNLEFALNLQENPDGSPPSSSHVVECELEEVSLKDHNNDEMAAHYKNLSLGSEHDDSQESSENSTDIFSQIVNPKGR >OIW06753 pep chromosome:LupAngTanjil_v1.0:LG08:8973789:8974229:1 gene:TanjilG_11478 transcript:OIW06753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKVKIFNFITLGVTFLVLVPKIESQIRPSIGSLPPAPPRPLCASQFALVNYACAMLSFTPGSPDNIDGHNSHQHGHAHGHRRGHGHRRGDTPEEENCCRWVREMDSQCVCEILVRFPPFLTRPVHQYTVLIGESCDVTYNCGGPI >OIW06496 pep chromosome:LupAngTanjil_v1.0:LG08:12507007:12507213:1 gene:TanjilG_05267 transcript:OIW06496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEVHGEEDTDNNTNTSFFNGIEEPPKVEEEDDDIGGFVGFSESVWWILSELNSFIYFVSLEFYFNSM >OIW06935 pep chromosome:LupAngTanjil_v1.0:LG08:6827695:6828472:-1 gene:TanjilG_18323 transcript:OIW06935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQFGIQQHQNVVVSSREDMRDSLICPKPRRLALFNDHSSCSSGYNPLDMILTKGDCEMEQFWPLVESPPPFFSGSPPSRVANPIVQDARFGDDKFSPLSPTSWMVVPAPSGLPPSPSSSSARKGGCVRANFGNNPAVRVEGFDCLDRDRRNCSIPALA >OIW07330 pep chromosome:LupAngTanjil_v1.0:LG08:2313371:2314651:-1 gene:TanjilG_11964 transcript:OIW07330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEMESYLNLNDETHKSSPKRLIGKVAVITGGARGIGAATATLFAENGAYVVVADVLDDLGATLAESIGGRYIHCDVSKEADVESAINLALAWKGNLDIMFNNAGISGSEGSITSLDMDQLNHLLSINLNGAIHGIKHAARAMIKSHIKGGSIICTSSPAAIVGGLGSHAYTISKAAMDGLMRSSACELGAHLIRVNCISPHGVPSEMLVNAFKRFRNVDVTQEEVSEHIGKRASLLHGKCATTEDVAYAALFLASDESGFITAHNLLVDGGFTNANSYMSFIYQDPK >OIW05605 pep chromosome:LupAngTanjil_v1.0:LG08:25046244:25053191:1 gene:TanjilG_23391 transcript:OIW05605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRKHGWQLPAHTFQVVAITVFCLLVIAFYAFLAPFLGGHIWEYTLIGVYSPVGLAVFILYIRCTAINPADPGIMYKFDPKVGNTFNSAHGLSRKHQASKSDGIAAGEHSSRSSIASERSIANASKKSTVGDLDGVDNSTKMNKRNSCDLIGGIFCILFSLEDCRKQEVIAGDQSGGEDALFCTLCNSEVRKFSKHCRSCDKCVDGFDHHCRWLNNCVGLKNYYSFIALMGFSLMWLIIEAGVGIAVLVRFFINKRGMEYEIIDRLGNGFSRPPFATVVVVCTTVSVLACVPLCELFFFHMILIRKGITTYEYVVAMRAMSEAPAGASVDEDLPNVLYSPTGSAATGLSGGSSFGLQYKGSWCTPPRVFVDYQDEVVPHLEPGMLPSTVDPDAAGGQQMPKRPVRISAWKLAKLDSKEAVRAAAKARASSSVLRPVDNHRLQDPELSSSGNMSIRSSLSAETGSNKETKHEFRSTAVRDSIAPAQGSRDEYETGSQSMSSFSSPSHVHEAVTLSPLPQGQGLGGFREATPIPSLVPERPLSSKATLSNFRNPISNHSLGLDGRMMPKGISNDPLLLSASSTNILRDVRRTSVVWDQEAGRYVSVPLLPSEARNRLSTRVELPNLNAETSSAARKSAIPPHELSSSSAPKTTAQHAQNLMYTGNSIFFGGPLLSAPVNDGLENNRHLASAGAQDSIAVNIPQESRYKRNALSNQLPVFVPGGCDNTLQPGSNKN >OIW05846 pep chromosome:LupAngTanjil_v1.0:LG08:23204889:23205974:-1 gene:TanjilG_23632 transcript:OIW05846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEHLASIFGTEKDRVNCPFYFKIGACRHGDRCSRLHTKPTISPTLLLSNMYQRPDMITPGVDVNGKPIDPRKIEEHFEDFYQDLFDELNKYGKLESLNVCDNLADHMVGNVYVQFREEEHAANALNNLTGRFYAGRPIIVDFSPVTDFREATCRQYDENCCNRGGYCNFMHLKRISRDLRRQLFGSHHRSRHSRSRSRSRSPYRHRSYEDRSHRSHRRYDDRDYVESRNRKQKTMSPEHQRARSRSPGGKRNRSPVRDGSEERRARIEQWNRERDERVNPTEVDTERKEHDNNNCMHNGNEQQP >OIW05639 pep chromosome:LupAngTanjil_v1.0:LG08:24785554:24789098:1 gene:TanjilG_23425 transcript:OIW05639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASESNRGFHCEDIDSALNRHAISFQSGAMTMSNLSEMVPMGNYFGLNSNSGMIYSGNSTIINNNPMTSQAFNPSGSSSLLLDSVPGLKHDTGLAVEWSVDEQYKLEEGLVQYAGEPSIMRYIKIAATLPDKTVRDVALRCRWMARKRRKPDEHNLGKKVSNRKDKPVEAASNTNFHSAFPPSMATYSLNSHHMGQSQPISYDGVCGPMKQVIEQNAQAFSQISANLSTYKLQDNIDLFCHTRHNICTILNDMREMPGIMSQMPPLPVTIDEDLATILPNKSF >OIW06064 pep chromosome:LupAngTanjil_v1.0:LG08:20279394:20282202:1 gene:TanjilG_29820 transcript:OIW06064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNRYSYDEQKLTEEVIYLHSLWHQGPPTLSNHIPIPIPIPIPIPIPIPPPHHQHPFAPAHTRSFPHHTSTSYTNINKKRTRDADPGIEWPCPPSSGPSLKKWAPLNPHSTSSLPPALTVLSAQEKEKVSVLRAQNNASQAIRNILINDSDDSDNDVDNEEDDYDLEEIEDMIVGIFMEDDEMRGYYEKCYESGEFCCLVCGAIGKKKSGRRYKDCNRLVQHSMSISVKKTAHRAFGQAVCKILGWDILRFPTIVMKEPDSEKGDANNSVENLDEKLLENGEGGTIYEANYESLGSGAEWVCDNPHHESSSAEVQASVAGLQMQQDKALEACKEYLVGNAGCDWDGATLMRMNMSQ >OIW06603 pep chromosome:LupAngTanjil_v1.0:LG08:9898577:9902557:1 gene:TanjilG_03997 transcript:OIW06603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNGQDVLQSLTSEDNYVQVVVGVDTMEMLSDMDLSGPSEINFGIEEIDDEDNEDVDNNDEEDEDEDDNDDYDSEWVSVLSDEDEQVDADETLPVWTKSETMRFSHPVDFAKTLAEIASDDPFDWMEQPPACLAIQGLIRPAFIEEHSIIQKHLSASQSDNTDTSEPIDIKGEDTAMINGHVHNLGSSGDTAAEMQNTENGDIPINGTTFYKLEMTKIQVFSAHGRPTLLEVEDYMKAQPDAIAHSAPKIISRLKAGGEKTVQALKSLCWRCKAIQVEEAQLVCIDSLGFDLRVCSGTQVQTLRFAFKKRATSEYSAERQLNDILFPRVHQKMKQTHQNEC >OIW05551 pep chromosome:LupAngTanjil_v1.0:LG08:25374709:25378927:-1 gene:TanjilG_23337 transcript:OIW05551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRLRSSEDLHSYGDKKDSNSNSNSSSNLNRTFSSSSSAQRTTFYYKSDNARNPLIYSSPSSSRYDRDRTLDDDRDASRSLRKHDLDRRRYRDGGGGGSGGGDRSMTPRSESLCGSRRDVPKGFRSERDRSRREGSVSSWRRGLKDFDERGKSRVVVGMEERIVLTRSPRSFNVKSPTWSKDSESDHSKKMSSAKSLSKSKSPTCSKDSESDQSKSVELVNKTEELQLESATSSEMEEGELKPEPVLHIQQQPPSQLNPGITSEDQHSIPSEIDGKQVRNECHTNDTDTAMDEGHQISSENEEKPMEVVVSEVKDAELEADKVSDVQDDPNKKLIVTDTEIDTVTECLKDNKKDECVKAVIECGEEETKKGADMEVLLSNEEEHKQEKGVDVESSSDVDIPQLKDEVSTENEAAKEVINRETMTETVANNNARDKGKSISVTPTDVAHSSENALWIDKGPRDLATCAADVIEGPSTRGFELFSRSPVRKVEKTGHSDLNKQKDESLGMEQLDLSLSLPNVLLPVGALETTTQVPGSPSQARSVQSLSNTFCSNSDGFTASMSFSGSQSLYHDPSCSLTKNTLDFEQSVGSRPLFQGIDWQAQSQSDPKQKEVQFSQRAPTNGNGSFHHSQPPWGILDAVKVQQPRVLEGSSKLVNGLEKHLSFHKHLSGHSRHHDDVRSPSHSVGSQDIGSNYSFEKKRDIRDRNSGGLNRTTSYKEQEQVLGGGVDFVETIIAKIVSESVHVMSRKFHEMTGQSMACLKEGIREVMLNADKHGQILAFQKVLQNRSDITLEVLLKCHRVQLEVLVALKTGLTHYLHIDNNNISSSELAQVFLNLRCRNLSCRSQLPVDECDCKVCMQKNGFCRECMCLVCSKFDDASNTCSWVGCDVCLHWCHTDCGLRESYIRNGHSTTGMKGMTEMQFHCIACDHPSEMFGFVKEVFHNFAKDWSVETLCKELEYVKRIFSSSKDMRGRQLHEIAGLMLPRLENKSKLPEVLRHIMSFLSDSGDSSKLARAPSFSGKEPVKHNNGVAGPSQEAAWLKSIYQEKPPLLERSASILPSFDRSDKRSLTQELHISSVQKDFGFDELESVIKIKQAEAKMFQARADDARREAEGLKRISLAKNEKHEEEYANRIAKLRLAETEEMRKQKFEELQALERAHLEYFNMKMRMDADIRDLLSKMEATKRSLAM >OIW07036 pep chromosome:LupAngTanjil_v1.0:LG08:6111948:6112817:1 gene:TanjilG_02670 transcript:OIW07036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWKKKTKELFNHVSPTPKEVFYLLTHTLLTLLIPLSFLLLANLSGAQYYLQTLTLYHSPQPFSFLLTFALNINPCILYILLSIISIATLIQGLTGKITLLNEPSSTTIIQPGLYTAWVILCIFHFCVGLGIEGSIAAGIYDYESNDYSSFGVQRSLLSRMIFLLGLHETTQAWSRMIVRPVVNDTVFGVERKERWVEKVAIAATLGTLWWWKLKEEVETLVVMAEVKKEQLIDVGICDFVGWWLYYLIVTIGMVRVVKGLVWIFMISLCRRRATRISPLESSDNDDKV >OIW06835 pep chromosome:LupAngTanjil_v1.0:LG08:8320952:8322090:-1 gene:TanjilG_03730 transcript:OIW06835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDLKDLLFWLLLGSEECNKDVPVALISMHSCSLEAKIKMNLDCQVVEAAEVKKPERKRPKTKDPNAKRAKEQEGPNKSDEEAPEVEKLTDEEYKLHIGARQT >OIW07311 pep chromosome:LupAngTanjil_v1.0:LG08:1912368:1915758:-1 gene:TanjilG_11945 transcript:OIW07311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEITKTVTITVITILLLCIIPINGDDSSTKTLVKYTRSGKKLCDKGWECKGYSIYCCNLTISDYFQVYQFENLFSKRNTPIAHAVGFWDYHSFITAAAIYQPLGFGTSGNKTVQMMEVAAFLGHVGAKTSCGYGVATGGPLAWGLCYNHEMSPSQNYCDDYYKLTYPCSPGADYYGRGAIPIYWNYNYGAVGESLKIDLLSHPEYIEQNATLAFEAAIWRWMTPIKKSQPSAHDAFVGNWKPSKNDTLEKRVPGFGITMNILYGDGVCGQGDVDQMNTIISHYQYYLDLLGVGRERAGPHETLSCAEQKSFNPITKTASS >OIW05817 pep chromosome:LupAngTanjil_v1.0:LG08:23520184:23524582:1 gene:TanjilG_23603 transcript:OIW05817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSHSHQHSQPQHKLHKLFSSESFLNNNKLHDSYLSSSHKSDKTTSTSTLKSRSNFFGLKLVSASQSSSSHQHYLNQDEPHSSLCPSCFNVSSTRCGGFKLGCCEVSTMAGQVDISSLHPPSHNIRKKKVSGQKQKREELEREVSMLQRLLDQEKKIHKILEMVHNRPNGSAISIPNFLPPEMKELLSELVVVEDEISQLERKIKQLQVSSKNEEKTTKESKSKSWNQGNLSNSNNQLSTATIPSPSPIHRSVHERMAFEAKSLHFIRKAIKGDYNLNDFSLNDKTDFLKNSAEHKEDKFNFQDVKFQERVTRKNGIVKPLSPMRDPRHPSPKVRERNPEMYLDLPTRSLLDPLLSEENDLKWQPNKLSESIMKCLNFIYVRLLRTSRAMELEKSGSVSRSMHSSLSSRSFRVDTLSNNPKSSLVMQRESSRQQDPYGIFDTEESIPRDIGPYKNLVIFTSSSMDPKFISSPSSIPLLRKLRILMSNLQKVDLKSLTYPQKLAFWINLYNACIMHGFIQYGVPSTTEKRPTLLNKATLNVGGHMINAQAIEHLILRKQVTYNMKEVQKKGEWEEKDSVVRELYGLESMDPNVTFALCCGTRSSPAVRIYTGDGVTSELEKSKLDYLQASILATSTKRVAFPELLLRNMFDFAMDTDSLVEWVCNQLPTSGTLRKSMVDCFRNHSNVKPSTIVEKIPYDHDFQYLLAM >OIW07127 pep chromosome:LupAngTanjil_v1.0:LG08:5164615:5166827:1 gene:TanjilG_10100 transcript:OIW07127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKQDMMKIQSCMLKVNIHCDGCEQKVKKLLQKIEGVYSVSIDAEQGKVMVSGHVDPAKLIKKLKSSGKHAELWGGNRGMNLNYASNPQFKNLHIDNSKGGKDNKSQNHKGQKGGGGNGGGVQVAHFQNIKGGKDLKVPAKDQKSVKFNLQEDDEFDGSDDGFDDDYDDEDDFDDYDDEDEEEEYGHGHGQGGHGHGQGGHGHGMQTKMMPMMGNGGRGPQGPMGMIMNNGPAMNNHKGNGGFGGGVSNYGGGAKKGDVIDIPIQMKGKSGNGNYNEVKNGNGGKKNKEEKQKGGGGDGGGGDNNKKDKKKNGKAKSSGGGGFLVKLLGLGKKSKKGGSADTTSKNNKNNNAHHDGKNKGKDGKKSGGGGKLDKVDFDFQDFDISHQGKNVKGGKVNNNGHHGGNNGHGHGGNNGHGNHGGNMNQMGPMGQRGGPMEQMRNIPAVQGLPASAAAAAMNGGGYYQGMQMQMQPNPYNNNLQQLQQQQYMAMMMQQQQQQQQSNMGMYPPQHMMYGRPQQSMNYMPPPPMPSHPMADPITHVFSDENTESCSIM >OIW06856 pep chromosome:LupAngTanjil_v1.0:LG08:7893416:7897156:-1 gene:TanjilG_18238 transcript:OIW06856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTDDDLPPSHKNRFQRPDCIAGNGRSAVVGSGALPTMQNEMETQIHSLEKEAYTSMLRAFKAQSDAITWEKQSLITELRSELRVSDEEHRELLSRVNSEDKIRRIREWRKAGGGVHPGTVNNAQTVHDHVPSPIISASHKKQKTSQSAASLSLGAPSPVVHPPMQPTSSALRNGLPSGAKTKKPKPTLTSASSMKSIQLPSAGVASRSQVANRGGSSGAIATNGPAPSSYDLLIGKKVWTRWPDDNHFYEAVITDYNPAEGRHALVYDMNSVDETWEWVNLKEISPEDIRWEGEDLDPRIPHKGGRSGSGRGMKKSMSSGGAVTDAGRGRGITKSQPKKDFILPQNGSRKKPTGYIEILHTDTLIQKVERVFSASHPDPVEMEKAKKVLKEHEQALVDAIAKLGDVSDGESDGDPPFSQGQSMDQEIGRKKWQNDYVGGVRVLEGSNLPRKSFAPSYQHS >OIW06490 pep chromosome:LupAngTanjil_v1.0:LG08:12416654:12418158:1 gene:TanjilG_05261 transcript:OIW06490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLECLSFNSSSTSPPSQEGTSTSNVSREFNLTVQASSYTETRAKIQASHLRGQLHHVLQPEPQHVQDTLAKTEDSILISSYFHHTETASELCLHLHDCLHRARAMYAPLLDLIAIIEFDCIHITQSHCHRAFDLFCEFDRQDNPFTDSHKNNFASIHTCLSELKAQLGSCLKKSRSKIRLFQRANACSAVCFVATAVGVAAATVVLTVHAILAFAAAAGAVPVCTSYIPCKKRELARLGQLDAAAKGTYVLSNDLDTIDRLVARLHTAIEGDKLLVRLGLERGRESYPIQEVLKHLCKNHQHILHQLEDLEEHISLCLYTVNKARSLLFQEICNHQSL >OIW05939 pep chromosome:LupAngTanjil_v1.0:LG08:22445826:22449180:-1 gene:TanjilG_07215 transcript:OIW05939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQFCREAGHSTTLPRRFQHRVSVIRRCLLRVINRFIICSGGKLTDDTCRMLPPYAGLSPPPSPMVFSDDASNEELPISLSPSVFNNHVLDPDLVSLKITLLGDCQIGKTSFLVKYVGDEQEQQGRHGEGLSQMGKILDVRGARISYCLWEVQGDGTSEEQISMACKDSVAILIMFDLTSRCTLNSVLGWYKNARKWNQTAIPVLIGTKFDEFIQLPIDLQWTIASQARAYAKALNATLFFSSATYNINVNKIFKFITAKLFDLPWTVERNLTVGEPIIDF >OIW05692 pep chromosome:LupAngTanjil_v1.0:LG08:24458797:24460204:-1 gene:TanjilG_23478 transcript:OIW05692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSYTANGHGPTGNGYAIARHSYQPPIKGSLPWLDIRVFYVRVCKCELDDFTPEVLTLNHVPLNPDTLLEVNGVRSSIYSDGMTAVLKRDRVDRKSEEVTFVSTDSIRMTGNVRFEVFDKNLMLLSGALELCNTNGFIRESNYNGQSWSMNCESYIIPGTGFFKGKHFMLPGAALPTIEVYIAGSFSGTPIILTKTLQLSSQKKHARKGVLDSIPEQEANENGKYTSSSLTLQGQDYLGQRHEDEEYNSMYCRTAYADGEDGELSWFNAGVRVGVGIGLSVCLGVGIGVGLLVKTYQGTTGRFRRHLF >OIW06420 pep chromosome:LupAngTanjil_v1.0:LG08:11433875:11436892:1 gene:TanjilG_05191 transcript:OIW06420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSSCFLNSPLLKIDHYGKTIQPCGAIGMGQKFSLPIRSASVELPNQHILRSKLNITGDRSFLGGSRIVEPKVMSLVQYRKASPAYASWLSEAQLASSSFTVGTSAVLPFYTLMVLAPNSELTKKTMESSVPYVVLGVLYAYLLYLSWTPETVGLVFASKYLLPELSSIAKMFSSEMTLASAWIHLLVIDLFAARQVYQDGLKNQIETRHSVSFCLLFCPIGIVSHVITKALTKTTIENNDGL >OIW06382 pep chromosome:LupAngTanjil_v1.0:LG08:15019232:15024567:1 gene:TanjilG_15027 transcript:OIW06382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAFGKKLKDRQIQEWQGYYINYKLMKKQVRQYAQQIELGTLDRRNVLKDFSRMLDNQIEKIVLFLLEQQGLLASRIEKLGVQHDALQEEPEINKISELREAYRAVGQDLLKLLFFVEINAIGLRKILKKFDKRFGYRFTDYYVKTRANHPYSQLQQVFKHVGLGAVVGALSRNLHELQDREGSSYLSIYDHPTLPLQDPVIDSIKAAADRLTHSTNFLNFLGKHALIMQEELPTPSEEQVDDERYHFMSLLLNLANTFLYMVNTYIVVPTADDYSISLGAAPTVCGIIIGAMAVAQVFSSVYFSAWSNKSYFRPLVFSSVALFLGNILYALAYDFNSIWILLIGRLLCGFGSARAVNRRYISDCVPLRIRMQASAGFVSASALGMACGPALAGVLQTKFKIWKLTFNQDTLPGWVMAVAWVIYLIWLCITFKEPSRDTEEYHAPNQSNDEESGTLRKGLNQPLLITSEDKVDEDADQDCDDSEEAPEESRKPANSIRSAYRLLTPSVKVQLLIYFMLKYVMEILLSESSVITTYYFNWKISKVSVFLACLGLTVLPVNIIVGSYISNMFEDRQILLVSELMVCIGVILSFNIIIPYSQPQYICSGLLLFVSAEVLEGVNLSLLSRVMSSRLSRGTYNGGLLSTEAGTIARVIADGTITLAGYFGQSRLLNVTLIPSLFITIASILATFYTYNSLY >OIW05790 pep chromosome:LupAngTanjil_v1.0:LG08:23751332:23754550:-1 gene:TanjilG_23576 transcript:OIW05790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTSNHLIGALNFLTFLLSIPILGGGIWLSNRSNNTDCLQFLQWPLIIIGISIMVISLAGFAGACYRNTFLMRLYLVAMFIIIAVLIGFIVFAYVVTDKGSGRRVVNRVYLDYYLQDYSGWLEERVASDSYWGKISSCVRDSNTCRKLARNFNGVPESADMFYLRSLTSIQSGCCKPPTDCGYIYQNETIWNLGNGLMSANPDCTKWSNEQQQLCYACDSCKAGVLASVRKSWRKVSVINIVVMIILVIVYIIAYAAYRNNRRMDNDEPYGEARMTKSQPSHFHF >OIW07305 pep chromosome:LupAngTanjil_v1.0:LG08:1795246:1798347:-1 gene:TanjilG_11939 transcript:OIW07305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKLLCDSTTVAEPFQSSPPPSLTWLDPKSPSPLEAVGAVDLVGPANVAAASFGGGWEHVIGLEEQQRSYLQRLYAKGVLWKPLVEDSSSSPPSSSLRSVVFRLSHGGEVSSDGNCLFTASRKAMGEDKAVDARELRRRTVERFLEDFGSARSEEREAIDDAIMHMYSPDLNNGWGIHIVQEVKLLAKKEDRFHLDSAIDDLVHLGMQRELAAESIYKERCIPVNDGPSWAKYMLISGSPDDEYDIITLQYTEEGLLSVDENRQGHAAAFGDDIAIECLATEYKREIYVVQAHGSDAMVDEENCVFFLPHRPRSQIIELPFFLFMKGTGWCGAGADHYEPLIAHHATLVSQEKVAVNQSSLTSLAFAYGLSKP >OIW05917 pep chromosome:LupAngTanjil_v1.0:LG08:22208671:22209957:-1 gene:TanjilG_07193 transcript:OIW05917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRTPSFDKSGLKKGTWTQEEDRKLIAYVTKYGSWNWRQLPKFAGLQRCGKSCRLRWLNYLRPNVRRGNFTQEEEEIIIRLHNKLGNRWSTIATHLSGRTDNEIKNHWHTSLKKSFQQNTVIKEKDKASFKPKHTEFNPGSSYSVSNNVALQVTPPSTTSQISDSTTGSLSSISSEFSSSISWDDTSSTAKLVIEDDFPFLDDAFWIESDLADISNIHSEIIQGDYYNDVFQSLDTIDMLDALSPHPSSNKNIVMESDVGSFVYVDTEVTMNHLWTQSFVSDTCHVPSQLITPFEAESQYFSQAYGSESDFWSQNNLCDQHLSLF >OIW05592 pep chromosome:LupAngTanjil_v1.0:LG08:25136534:25139450:-1 gene:TanjilG_23378 transcript:OIW05592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSIAFSFSSLSSSSFSSSSSNSSISNLSFSSLRLTLTPSPTLTSLSINQRRRHLPFVLHSTGGDGNDGRGGGHGGGDDGNEDEGRKKEAILVLAEAGRSVESLPGDLAAAIKEGKIPGAVVSRFLELEKSALLRWLLQFSGFKERLLADDLFLAKLAMECGVGVFTKTAAEYDRRREKFFDELEIVFADVVMAILADFMLVYLPAPTVSLRPPLAINAGHIAKFFHNCPDNAFQVALSGTSYSLLQRIGAIVRNGSKLFAVGTASSLVGTAVTNALINAKKAVDKSSAGEIENVPILSTSAAYGVYMAVSSNLRYQVLAGIIEQRILEPLLHQHKLILSAMCFAVRTGNTYLGSLLWVDYARWIGVQ >OIW07282 pep chromosome:LupAngTanjil_v1.0:LG08:1525872:1529075:-1 gene:TanjilG_11916 transcript:OIW07282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQLPARVALAGDVIPLKEDKAKSLAKKLQEVIISERKTINEFTHTASGVLTSSDSSTSRSDNLQELLEDDERFSVYRFKMRSCTFIDGYGSTFDVDIEDMEKVKADLIAPFSAKLIDGINQSKSRRTALMLFCFVYMNAHAKDAYLTSVDRKGFEVLATVPGTVSKEGVGQYRGKEFRFMFKEEANDVEAFCRQLAEMEEEVVDKVSSSSGLK >OIW06890 pep chromosome:LupAngTanjil_v1.0:LG08:7561338:7572950:1 gene:TanjilG_19539 transcript:OIW06890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LPVSRDELHDLLSRPSLNGIPLLVLGNKIEKTGTLFEQDFTEQIFKIGYLSEFGAMVRLLLSDHEVTDSILEIASPLARQGCIHLPSQDPTKWESRPWVALVFMLQDLDM >OIW06837 pep chromosome:LupAngTanjil_v1.0:LG08:8327709:8330920:-1 gene:TanjilG_03732 transcript:OIW06837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKETVPALSYCAYPTPLAKYEEVAENSKVFMFTLEKLHAEMGTKFMIPIIGGRELDLHRLFVEVTSRGGFEKIIKERKWKEVTVVFNFPSTATNASFVLRKYYSSLLFHYEQIYYFKALGWAPPTTSDALQSQSTMPAPVQNMHILQPQFGIQSPAFQQSSSNATELREAMPASSAGSAIIGVIDGKFESGYLVTVTIGSEKLKGVLYQAPQNTTFSASHPSFSANNNNASGPLGVARRRRRKKSEIKRRDPAHPKPNRSGYNFFFAEQHARLKSLHQGKDRDISRVIGDLWNKLNESEKSVYQEKAVKDKERYKAEMEGYREKQKMDHIIRDAVPLQQRFPQPATDMVDAEADSFQTPEESSSGESNQEDGRSMEKDFDMDASHAIGESRFLGSEK >OIW06270 pep chromosome:LupAngTanjil_v1.0:LG08:16353696:16356168:1 gene:TanjilG_19708 transcript:OIW06270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDPISDSPKPRILCCIGDIHGYITKLQSLWSNLETQIDPSQFKTATIIFLGDYCDRGPHTREVIDFLIGLPSRYPDQRHVFLCGNHDLAFAAFVGALPPPPDGSEFCVGWKEYELSEEREGWFKGNGYEKMHLQGRRWGGNIKDRFNKAKGINYQGSIYDAGPTFESYGVPHGSADLVKAVPDAHKKFLADLVWVHEEDDVFINTNAGLQRCKLIAVHAGLEKGVDVKEQLELLKARDTRVPKVQALSGRMNVWDIPEELSASPTIIISGHHAKLHVEGLRLIIDEGGGFQHKPVAAIVLPSMEIIRGNDALEK >OIW05737 pep chromosome:LupAngTanjil_v1.0:LG08:24129144:24129590:-1 gene:TanjilG_23523 transcript:OIW05737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGHMHGMEPSTNGNMSMPHKKKHFMHMTFFWGKDSEILFDQWPGDKTGMYVLALVFVFVMSFLVELLSSTRFVKPGSNHFAAGLVQTVIHLLRVGLSFLVMLALMSFNGGVFLVAVVGHALGFFIFRSNAFKKKENNKDFDLPPMHC >OIW05825 pep chromosome:LupAngTanjil_v1.0:LG08:23421564:23424286:1 gene:TanjilG_23611 transcript:OIW05825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDNEPGFTPGTLVEKNFSFLAASGPSVEDLGHHAGYYSLPHSKAARMFYFFFESRTSKDDPVVIWLTGGPGCSSAIALFYENGPFKLANNLSLVWNDYGWDKASNILFVDQPTGTGFSYTSDKADLRTNETDVSNDLYDFLQAFFKEHPQFSKNDFFITGESYAGHYIPALASRVHKGNKAKEGIPINLKGFAIGNGLTNPGIQYPAFTDYAVDKGLITKEDQNRINMLIPDCEQATETCQTIGGNSCLLAYEACQTIFQSILSIAKNINYYDVRKQCEGQLCYDFSNAVSFLNDEKVKTALGVTNLKFVSCSRTVYSAMLNDWMKNLEVDIPTLLEDGIKMLVYAGEEDLICNWLGNSRWVHAMEWSGQKAFESATTVKFVVDGAAAGDLTSHEPLSFLKVYKAGHMVPMDQPKAALQMLKNWMGGKLAA >OIW05620 pep chromosome:LupAngTanjil_v1.0:LG08:24937796:24940246:-1 gene:TanjilG_23406 transcript:OIW05620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTKPSTGTSNLNLTSGHFSTGNFVSRSLFLLRDIQILEIMIAIIVFIVIHSLRQKKHHGLPIWPVFGMLPSLVTGVRTNTYEWLTDILSHQNGTFRFKGPWFSSLNSIITSDPRNIEHLLKTNFTNFPKGTYFKDTVRDLLGDGIFNADNETWQKQRKTASIEFHSTKFRQLTTDSLYQLVHTRLLPVLESCVEKSVAIDLQEILLRLTFDNVCMIAFGVDPGCLSLELPEIPFAKAFEDATEATVHRFVTPTCIWKFMRFINLGMERKLRESIKGVDEFAENVIRTRKKELSLHCDEDKKPRSDLLTIFMKLKDDDGKAYSDKFLRDICVNFVLAGRDTSSVALSWFFWLLHQNPEVEDKILEEICRVVIEDDTFPDGTVLKKGMKVIYAIYAMGRMEAIWGKDCKEFKPNRWLRNNGQFMSESAYKFTVFNGGPRLCLGKDFAYYQMKYVAANIIYHYHVKVVENYPVVPKLSLTMYMKNGIKVNLYKRDVAQVQEILGRLVEMS >OIW06920 pep chromosome:LupAngTanjil_v1.0:LG08:6645898:6649348:1 gene:TanjilG_18308 transcript:OIW06920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCFSLPIKASFNLTLPHQHPHPQIVVDDLQRKVNASLSRRELQDQACQTGNPIDDCWRCDPDWAANRQRLAECGLGFGRDALGGKGGQIYVVTDSSDSDPSNPTPGTLRYAVIQDVPLWIVFSADMVINLKHELIFNSYKTVDGRGANVHVTGNGCITLQLITNVIIHNIHVHDCKPSGNTKIRSSPTQVVSRGESDGDGITISSAQKIWIDHCSLSSCTDGLIDVIKGSTGITISNSHFTKHDKVMLLGHDDGYMDDKGMQVTVAFNHFGEGLVQRMPRGRHGYIHVVNNDYTKWEMYAIGGSAGPTFNSQGNRYTASSDPNAKEVTKRVDTKESVWSAWNWKTEGDIMVNGAFFVPSGSGDNAEYAEATSVQAKSASQIDQLTMYSGVFGDVRDNGGSNPGSDGGETMTGSTNGNDATGSGSGGNGNFRMIYGGGSSQAAPPSPTSLIVLVSTFLYLLIIFILDTRTNHVILLSLL >OIW06466 pep chromosome:LupAngTanjil_v1.0:LG08:12006899:12009613:-1 gene:TanjilG_05237 transcript:OIW06466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMKQGEEKVGYVKRDITKKRKPYHRVKKPLMFKTPRLLQKLFVSCRETFKGPATVPSPQDVNKLAHILDNMKPEDVGLSKDLQFFKPSGIVKENPRVTYSTIYQCDNFSLCIFFLPTNGVIPLHNHPGMTVFSKLLLGEMHIKSYDWVDPEVSHNMLHQPSQMRLAKLKANNIYKAPCDASVLYPKTGGNIHEFTAITPCAVLDVIGPPYSKEDDRDCSYYKDHPYTDFPNIEIDEVKEENDSYGWLEEIEMPQNSQMDRIEYMGPPIIDHKMYSIP >OIW05733 pep chromosome:LupAngTanjil_v1.0:LG08:24152450:24154907:-1 gene:TanjilG_23519 transcript:OIW05733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKPEASSDRNKKKLRKGLWSPEEDEKLMNYMLKNGQRCCWSDVARNAGLQRCGKSCRLRWINYLRPDLKRGAFSPQEEELIIHLHSLLGNRWSQIAVRLPGRTDNEIKNYWNSTIKKRVKDVSSSRSPKESDFSLYDPNNKDLNIGGFTSTMQHYQHVEFMPMFNSSSPSPSIQATVLNSIIESLPMLEHGINMPAGGGFFNGIIDNNKGFVDMFVPSLESVSTTSDHNLKVENACNNIDTNNNSYLNNSINKIKAENRNGVDNLFQEELAMGDWDLEEFMKDVSSFPFLDFSNW >OIW05690 pep chromosome:LupAngTanjil_v1.0:LG08:24467555:24468372:1 gene:TanjilG_23476 transcript:OIW05690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASADVEFRCFVGGLAWATDSEALEKAFSQYGEILDSKIINDRETGRSRGFGFVTFSSEQSMKDAIEGLNGQDLDGRNITVNEAQSRGSGGGGGGGRGGGGYGGGGGGFRSGGGGGYGGGRREGGGGGGYNRNGGGGGYGGGSGGGGRDRGYGGGDGGSRYSRDGESGGGGWRN >OIW06210 pep chromosome:LupAngTanjil_v1.0:LG08:18719579:18720430:-1 gene:TanjilG_03835 transcript:OIW06210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSGAATAAPFPDQRLRISEVDGEPEEKSMYTVASRTTSEVQKAVDLEVAVLPDDSDFRRFGSDVEDPEEDFVVQANLHEDEAEEKVEDA >OIW06279 pep chromosome:LupAngTanjil_v1.0:LG08:16835813:16837757:-1 gene:TanjilG_19717 transcript:OIW06279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSTSNVQSKKQHQRMNPFQKRQFTTIIGNQAKGAMSEQICKKKKITKKCPSMSLDNFLNNNQEYEDQDEGGDEHEGEDVQEEDVQEEGVQEGEDREENDEIYEVGEDINHQNEQVDESNNSTEQDIPESHFKELIRYWSLGNIQEMSEQNSKNKAQAEMETSNGTCELRCHKGKIGMRATKENKEMPNQAEMFCETRQSKKGEPLDQETTNAMAQLKDLIENSSQQPDEAFQSVFGKEKPGRVQCH >OIW05962 pep chromosome:LupAngTanjil_v1.0:LG08:21426767:21429506:1 gene:TanjilG_11649 transcript:OIW05962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKFHLQEVDKKMEQIVTLKEKVRMMLVPAKEKALRPLIVANLIDLIQRLGLQYHFEREIEEVLQQICNNYVENGIITLNEDLHSLSLLFRLLRQQGYPISPDIFKTFKDEQGKFKESMTKDVEGMLSLYEASHFRIHGEDILDEVLAFTSSHLKLMSNKLSPSLSAKVNNSLNRPLCKNLNRLMARHYISAYEEELFHDETLLLFAKLDFNMLQKQHQKELGNISKWWKDLDFASKLPFARNRIVEVYFWMTGLCYEPQYSLGRRLMTKVIALTSVIDDIYDVYGTFEELQLFTEAIERWDISCMDFLPEYMKCCYQAILDTYEEIDQEMAKEGRSFCVIYAKNEVSSGYMLLMSIIFVGMGSIATEKVFQWLSIRPKIVHASTLLCRLMDDIVSSEFEKERGHVASALDCYMKQHGVTKQDTIHEFQRQVICAWKDINEECLDPTEVPKPLLKRVLNMSRVMDVLYKDGDGYTHSKGNTKKNIVALFLNPC >OIW05808 pep chromosome:LupAngTanjil_v1.0:LG08:23623068:23626631:-1 gene:TanjilG_23594 transcript:OIW05808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKNQLQELAQRSCFNLPSYTCIREGPDHAPRFKATVNFNAEIFESPHYCTTLRQAEHSAAEVALNSLSHRGPSHSLAARILDETGVYKNLLQEIAQRVGAPLPQYTTFRSGLGHLPVFTGTVELAGITFTGEPAKNKKQAEKNAAMAAWSYLKQLAKETAGSSTEPENNDELEQITIARALLNYRLKEKIAMSNPNAPIPFPKRFQFQNSRPTSPQPAPAATSKILPLICPKTVPRSRHSFTTSNESPRIRHPVAAAAASDNPMNSPFSCALESRGTNRPKFPAVGAAPYVPIRQMRSPCQGIAPPVTIRTAIPVFSAPPLPPPAMMAHQVMRAPPVRVAPSVNIRQAVPVYAASPPVRKDEPVPIREDDPPAAITVPSQEDKLPATVEEDKLPATIEEDKLPATFEDGKLLGKSQEMDSKTQNIPPESETVQSLEQLKIRSSLE >OIW05565 pep chromosome:LupAngTanjil_v1.0:LG08:25286195:25290176:-1 gene:TanjilG_23351 transcript:OIW05565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAAVIGLSGGKRLLSSSYHYSDITEKLFYGSDFGFTHYQIAPTKYVIISKKSSNYTPTFPSCNKEKEIQSIKALKEHVDAAPTIADQWFEGYDNCDVLEVEGSDMDNSMDALLLLQKSMLEKQWNLSFQRGVLRKKSKREKSRRKDTVTCSGVSARQRRMSTKRKTPGMQLRSIISPELLQNRLKGYVKGVLSEELLSHAEVVNLSQKIKVGLSLDEHKCRLKERLGCEPSDDQIATSLKISCAELRARMIECSLARERLTMSNVRLVMSIAQRYDNLGAEMADLVQGGLIGLLRGIEKFDSSKGFKISTYVYWWIRQGVSRALVENSRTLRLPTHLHERLSLIRNAKLRLEEKGITPTIERIAKSLNMSQKKVKNATEAINKVISLDREAFPSLNGLPGETHHSYIADSRHENIPWNGVDEWALKDEVNKLINVTLVEREREIIRFYYGLDKECLTWEDISKRIGLSRERVRQVGLVALEKLKHAARKREMEAMLLN >OIW06363 pep chromosome:LupAngTanjil_v1.0:LG08:14689846:14690962:1 gene:TanjilG_15008 transcript:OIW06363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAETFAFQAEINQLLSLKINTFYSNKEIFLRELISNSSNALDKIRFESLTDKSKLDDLVNNLGTIARSGTKEFMEALQAGADVSMIGQFGVGFYSAYLVAEKVIVTTKHNDDEQYIWESQAGGSFTITRDTDGEQLGRGTKITLFLKEDQVT >OIW06137 pep chromosome:LupAngTanjil_v1.0:LG08:20057475:20061328:-1 gene:TanjilG_22359 transcript:OIW06137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARASPLLLIFLVLGSSFATYNLVTMIIHYGSSESVAIDGGALLLDPITEMPAHVKNRKTSKAPFHVALTATDAPYNKWQCRIMYYWYKKQKNLPGSEMGGFTRILHSGKPDNLMDEIPTVVVDPLPAGVDRGYVVLNRPWAFVQWLERTTIEEEYVLMAEPDHVFVRPLPNLAHGGHPAAFPFFYIRPDQNEKVIRKFYPEEYGPVTNVDPIGNSPVIIRKDLIAKIAPTWMNVSLKMKEDPETDKAFGWVLEMYAYAVASALHGVRHILRKDFMLQPPWDLETTNKYIIHYTYGCDYNLKGELTYGKIGEWRFDKRSHLRGPPPRNLPLPPPGVPESVVTLVKMVNEASANIPNWDTS >OIW07106 pep chromosome:LupAngTanjil_v1.0:LG08:5327598:5329188:1 gene:TanjilG_02740 transcript:OIW07106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIDLKAGGKSKKTKRTAPKSNDIYLKLLVKLYRFLVRRTGSKFNAVILKRLFMSKVNKPPLSLSRLIKYTKGKEGKIAVVVGTITDDIRTYEVPPLKITALRFTETARARIEKAGGECLTFDQLALRAPLGQNTVLLRGPKNAREAVKHFGPAPGVPHSHTKPYVRSKGRKFEKARGKRNSRGFRV >OIW05710 pep chromosome:LupAngTanjil_v1.0:LG08:24339722:24342674:-1 gene:TanjilG_23496 transcript:OIW05710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKDKFPVHGGGFPPPSARFSGYSSTATPFNVRTEPSSSTSSYPPLVDSASASFVHDISRMPDNPPKNRGHRRAHSEILTLPDDISFDSDLGVVGGADGPSFSDETEEDLLSMYLDMDKFISSSATSPFQIGEPSTAAAAPTSGTPASSADDIVVGTNQRPRVRHQHSQSMDGSTTIKTEMLVSGSEDISGADAKKSLSAAKLAELALVDPKRAKRIWANRQSAARSKERKMRYISELERKVQTLQTEAISSSAQLTLLQRDTTGVSTENSELKLRLQTMEQQVHLQDDCSILLSPCV >OIW05902 pep chromosome:LupAngTanjil_v1.0:LG08:22698973:22707802:-1 gene:TanjilG_23688 transcript:OIW05902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSSLSEDCSHNNNNTQPPNDQRLYLVPHRWWKDAQDSVPADLNEKKGILYNASPGSTGPMKIINNIFSSDIVFNLRREEDLPNNRENDEVGVSGQDFALVSGEMWLQALKWHSDSKNATKDEKGFSATADDMSDVYPLQLRLSVQKETNSLGVRISKRDNAVELFKRSCKIFCVDTEMIRIWDFSGQTTLFFVNDISKAPTDFQRQCEEIFLELQVYGLSDSLRCREGKKYEIASFNGCSSLKMNGSSGAMNGDSLTFSLRHGEAGSLGLTGLQNLGNTCFMNSALQCLAHTPKLVDYFVEDYGREINHDNPLGMKGEIALAFGDLLRKLWAPGASPLVPKSFKSKLDRFAPQFSGFNQHDSQELLAFLLDGLHEDLNRVKRKPYVEVKDGDGRPDEEVADEYWHNHLARNDSIIVDVCQGQYKSTLVCPVCRKVSVTFDPFMYLSLPLPSTAIRTMTLTVVNSCIDGIAQLSPYTISVPKNGRFEDLTRALGIACSLGADETILVAENTSEARAMLQVFTNCIIRFLEDPSDSLYLIRDADRLVAYRFKKDSGDAPLVVFMNQRLEEQYIHGKLTPNWKAFGIPIVVRLCNITDGSDLHNFYLKFLSLFRISTEETSEDFDASIKTEEIVKMEGITTPSLGPNEEGSDSPSDEGFQFYITDEKGTVKGSKILMNEPLVINAESRRLHMLVGWSDKRIQQYEPQLFSPLPEVFKSSFFAKRPQESISLYNCLEAFLQKEPLGPEDMWYCPSCKKPCQASKKLDLWRLPEVLVIHLKRFQYSRFMKNKLETYVDFPVDNLDLSAYIAYGNGKSYRYTLYAISNHYGSMGGGHYTAFVHHGGDQWYDFDDGHVHPISKEKIKSGAAYVLFYRRVSEI >OIW06759 pep chromosome:LupAngTanjil_v1.0:LG08:8932717:8936201:-1 gene:TanjilG_11484 transcript:OIW06759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GCQPLLYSPVPKPEIIRYQAPSFMLDSCEESRKSQNEWFNSNRFVNVNSSAQRPVLIDTQATCPNAVRFSFGIIEQCSKQDKIMQFIKSGTAEAGIGGTHISLLSDLMDLHLSDTDDPRQALTSLLYPNGKSYNQKAFVDIFQESALSSKVSVHPDGQVTFMGTAVEMRNFLSVVAESYLTENSHKGEKRAMLVPHFSRLNINEAGAQSHSSSLEIHSALTVPLRSPRKVKSKPSQKKNKKVGRERDLYKKNYLHACETLLSLMIDKKQQRKTAILSLKKSGPELPELLTQFSAGIAGTGLAVLLSVICKVACGRVPFSTSKLFSTGFGFGLVWLSWAVNKLRDTIISTSKNARKFALKDEEVIHKVDRSIKEVYFRAATLLAVAVLRLA >OIW06866 pep chromosome:LupAngTanjil_v1.0:LG08:7350343:7354402:-1 gene:TanjilG_19515 transcript:OIW06866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGNINEGITLAKWYYVILLLLPLLLCGTEGLNIEGQILLELKNGLLDQFNLLRNWKSSDETPCGWIGVSCTDHDNNHVVQSLNLSSMSLSGTLNASTSIGGLTHLTYLDLAYNKLSGNIPKEIGECSSLESLYLNNNQFEGPIPSELGKLSFLISLNICNNKLSGVIPEEFGNLSSLIELVAYSNFLVGPLPKSIGNLTNLVTFRAGANNISDSLPKEISGCKSLMYLGLAQNQIGGELPSEIGMLNNLTELILWDNQLSGYIPKELGNCSSLETLALYGNELVGNIPPEIGNLKSLKRLFLYKNNLNGSIPREIGNLSSCLELDFSENSLVGDIPSEFSKISGLRLFFLFENQLTGVIPNEFSSLKNLTRLDLSMNHLTGPIPFGFQYLTRMYQLQLFDNNLTGIIPQRLGLRSPLWVVDFSDNSLTGRIPPHLCRHSRLMLLNMASNKLYGNIPTGILNCESLTQLLLVGNRFTGSFPSELCKLVNITAIELNENRFTGPLPREIGTCQKLQRLHIADNYFTLELPKEIGNISQLVTFNVSSNLFTGGIPPEIVRCINLQRLDLSQNSFTGSLPNELGTLQHLEILKLSNNKISGNIPAELGNLSHLNWLKMSGNMFSGEIPPHLGSLSSLQIEMDLSYNNLSGSIPSQLSNLNMLEYLFLNNNHLDGQIPSTFGLLSSLLGCNFSYNNLSGSIPSTKIFESMAVSSFIGGNEGLCGPPLGDCNANPSSRAAPSSKSDDSPRGKIVMTVAATVGGVSLILIFVILYIMRRSSESIASLRHIETPSSESDLYFPPKEGFTFQDLVEATKRFHESYVIGKGACGTVYKAVMKSGQTIAVKKLSSNREGNNIDNSFRAEILTLGNIRHRNIVKLFGFCYHQGSNLLLYEYMERGSLGELLHGSANNLEWPTRFMIALGAAEGLSYLHHDCKPMIIHRDIKSNNILLDENYEAHVGDFGLAKVIDMPQSKSMSAVAGSYGYIAPEYAYTMKVTEKCDIYSYGVVLLELITGRTPVQPLEQGGDLVTWVRSHIRNNKNILTTDILDNRLDLQEQTTVNHMLTVLKLAILCTSMTPSERPSMREVVSMLIESNEREGNLTLTQTYHDLPSKDGT >OIW07381 pep chromosome:LupAngTanjil_v1.0:LG08:234477:237531:1 gene:TanjilG_10216 transcript:OIW07381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKLMDLPPKGGFSFDLCRRNAMLEKNGLKPQSFLKTGTTIVGLIFQDGVILGADTRATEGPIVADKNCEKIHYMAPNMYCCGAGTAADTEAVTDMVSSQLQLHRYHTGRESRVVTALTLLKRHLFNYQGHVSAALVLGGVDFTGPHLHTIYPHGSTDTLPFATMGSGSLAAMSVFESRYKENLSRDEGIKLVVDAICAGIFNDLGSGSNVDVCVITKGNVDYLRNHLEPNPRTYVNPNGFTFSKKTEVLLTKITPLTEKVEVIEVRGDAMEE >OIW07264 pep chromosome:LupAngTanjil_v1.0:LG08:2630966:2633462:-1 gene:TanjilG_08379 transcript:OIW07264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETNSANSEKKLVRVDISSDTVCPWCFVGKKNLDKAVAASQDKYNFEIKWHPYQLDPNAPKEGTDKREYYRKKFGSRSEGIGARMSEVFRNVGLEYSISGLTGNTLDSHRLIYFAGQQGLDKQHDLVEELNLGYFTQGKYIGDQKFLLESAAKVGIEGAEEFLKDPNNGLKEVVEEIKTYSSNINGVPHYVINGNQKLSGGQPPEVFLKAFEAATS >OIW06009 pep chromosome:LupAngTanjil_v1.0:LG08:21887409:21890276:1 gene:TanjilG_11696 transcript:OIW06009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDGPQHPSVVQKLAGHSYLVSRLSPNFNSRNYSNPGACFNGGVQPSGLAIVSPVSYIAVPAPAEKGAAGFLVDFLMGGVSAAVSKTAAAPIERVKLLIQNQDEMIKSGRLSEPYKGISDCFARTMKDEGVIALWRGNTANVIRYFPTQALNFAFKDYFKRLFNFKKDRDGYWKWFAGNLASGGAAGASSLLFVYSLDYARTRLANDAKAAKKGGGRQFNGLVDVYRKTIKSDGVAGLYRGFNISCVGIIVYRGLYFGMYDSLKPVVLVGGMQDSFFASFLLGWGITIGAGLASYPIDTVRRRMMMTSGEAVKYKSSLHAFQTILANEGAKSLFKGAGANILRAVAGAGVLAGYDKLQLIMFGKKYGSGGG >OIW06189 pep chromosome:LupAngTanjil_v1.0:LG08:18878005:18881722:-1 gene:TanjilG_23069 transcript:OIW06189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEKYFSSPHEFKVLQERPHLHYQVGVTPEKVEVRRSLIDEEMQEKLKAMPKEYQPHTPIGPDLKWRYFWRIGPRPSNTRFQELNAEPVIPNGFPEWKETMDSWGYKMISAIQAPHLLAPTGSDLQKYGQERTVLAGYQYDLNFLTIHGRSRFPGLNIWLRNGQKVEVKVIVGCLLIQTGKQIEWVTGGDCIAGMYEVVGTNRTADAIRLAKEQKRSLWRVSSTLFAHIASDAVLKPLGQFVESPLASKYLPICAGEYVEQELAVINLKGKK >OIW05571 pep chromosome:LupAngTanjil_v1.0:LG08:25249194:25257570:-1 gene:TanjilG_23357 transcript:OIW05571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ISFKDYYKVLEVDYDATDENIKLNYRRLALKWHPDKHKGDNAATAKFQEINEAYTVLSDPAKRLDYDLTGTCEIHKYSLQGMDPSSHIFHRIQMKYLNDDQDIRNYFSAFHLYHTLPAAVLIDDFPLFFHNNKTCQQHGDRDFAMAKTLALCHNAITYANQKGSCKLLLSDTHTHQGDIPRFNFIYKKWIHTTFTIQEGDVPGSFILKDRSHSRIGNRGGIKAAKYSVALQYLVLDGIIDDQVE >OIW07364 pep chromosome:LupAngTanjil_v1.0:LG08:701208:704217:1 gene:TanjilG_10199 transcript:OIW07364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRAAVLRHLRVPIQTAPWRGGASLRSMSSHDDHITKDEVIDRVLSVVRDFPKVDPSKVTPDVHFQKDLGLDSLDNVEIVMALEEEFKLEIPDKEADKIDSTHLAIEYISNHPMAS >OIW06615 pep chromosome:LupAngTanjil_v1.0:LG08:9965898:9973247:-1 gene:TanjilG_04009 transcript:OIW06615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTFCWWISPILILNKLKRCGFGGPTPIFPLGNIKEMKRNNSNIVHSSSLVSSNFTHDIHSTVFPYFSCWQKSHGKVFVYWLGTEPFLYVADPEFLKRMSAEVVAKRWGKPSVFKIDRNPMFGSGLVMVEGNDWVRHRHIIAPAFNPLNIKVLASMMVDSTNKMIERWVNKINSGNFEIDVEREVIAVAGEIISRTTFGMKDDNAMDVFDKLRALQVTLFKTNRYVGVPFGKYFNFKKTLEAKKLGKEIDHLLLSIIEARKNSHKDNSQQDLLGLLLQENQHQKVDGKLGKTLTMREVVDECKTFFFGGHETTALAITWTLMLLAKHEEWQNQLRDEIRDIVGNDMLDLSKLSGLKKMKCVMNEVLRLYPPAPNVQRQVREDIKVDNLTVPNGTNLWIDVVAMHHDTEIWGKDANEFRPERFMDDMNGGCKHKMGYLSFGFGGRMCVGRNLAFMEYNIVLTLLLSKFSFKVSQTYHHSPSVMLSLRPTYGLPLIVVSLCKMESLWKLSYLLEPAPVTLITTAVAVTFGSAFRALNYGKEMERNLDFSEASITLDRSQALMIPVMSSFSLLLMFYLFSSVSQILTAFTAIASVSSLFFCFSPYVAYVKSQFGLADPYVSRCCSKSFTRIQGMLLLACIVTVAAWLVSGHWILNNLLGISICIAFVSHVRLPNIKICAMLLLCLFVYDIFWVFFSERFFGANVMVSVATQQASNPVHTVANSLSLPGLQHITKKLELPVKIVFPRNLLGGTVPGENVREFMMLGLGDMAIPSMLLALVLCFDYRKSKDTINLFELHSSKGHKYIWYALPGYAVGLVTALAAGVLTSSPQPALLYLVPSTLGPVIVVSWIKKELLELWEGSIPNLNDKDQDAGSSKDLTNLCPSVAEAILEDMVPEACGTNLPCSDSVPKQSLHTLNNKVTPFAARKQSNSVTIKATYKQDIIRFRVSLSCSVVDLREEVGKRLKLEVGTYDIKYLDGDNEWVLIACDADLQECIDVSRSSGSNIIRFWCKIQCPILEALVKAQGSRVIISYIGQLCTQIFRLLWS >OIW06148 pep chromosome:LupAngTanjil_v1.0:LG08:19336219:19336656:-1 gene:TanjilG_01775 transcript:OIW06148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNVEEEKFKNMELPPELVKALLSDLHITMIEGCISVLRYHDIHDWDDQCDIWMMKEYGVTESWTKIVTIKFPKGVSRLIGRSTSAKVLLLQQNAAGRKVDEDFISMDSFDQIKEMMDVGIQGYKISAWDYRQSLVLLDKEKQVE >OIW06667 pep chromosome:LupAngTanjil_v1.0:LG08:10334118:10337277:-1 gene:TanjilG_04061 transcript:OIW06667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATMCSLISTLFHSSSSPSSFSKPIIPKSLKFPIINYDNTHSTLNPSKSSLSIFSSSSSSSSSSSALDSFVIVCTSLALSLTLVFSNPLSASAFVVNTPRKLQSDELATVNLFQQNTPSVVFITNLAVKQDAFTLDVLEVPQGSGSGFVWDQDGHIVTNYHVIRGASDLRVTLADQSTYDAKVVGFDQDKDVAVLRVDAPKDKLRPIPVGISADLLVGQKVFAIGNPVRWPDSIFGLDHTLTTGVISGLRREISSAATGRPIQDVIQTDAAINPGNSGGPLLDSSGNLIGINTAIYSPSGASSGVGFSIPVDTVSGIVDQLVKFGKVTRPILGIKFAPDQSVEQLGVSGVLVLDAPPSGPAGKAGLLPTKRDAYGRLVLGDIITSVNGKKVNNGSDLYRILDQCKVGDEVIVEVLRGDQKEKIPVILEPKADET >OIW05929 pep chromosome:LupAngTanjil_v1.0:LG08:22360852:22361733:-1 gene:TanjilG_07205 transcript:OIW05929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMFKFFSFLLLAFSFFHYTTSGEDLMHECSHSGNYTTSDPYYTNLEELFSYLTNEAPTNGFTMASKGEGENRTHGLALCRGDLSPTDCKNCVVSASNDILTFCPNNKGGIVMHENCTVRYSSHDFFGETMNNVLLCMQSSKNVNVSDPIIAIQFSQRIQDFLSKVTEEAVLNPKMYASGKSEIDEFHTAYGLAQCSRDLSRLACKKCLTQSVAFVAKPDCGEGKEGVKVYSEICRVRYELKPFVNDKHIPLPPTSYDAISPQPQPVASSHCLDAAVVIVVIGLLSHLLSYNS >OIW06865 pep chromosome:LupAngTanjil_v1.0:LG08:7341482:7344890:-1 gene:TanjilG_19514 transcript:OIW06865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRIREKQSAMPHGNDTHPGRVWGILHVIKYHHWRHVKRRLTHKKHGGGERNDASHRDGIPENVDDSVHDMSVHYEPNTTLSNAEENLEYSTLPAKSSIKSRLKAFIQDEMTRKKCRHKRSSTCPTKSQLTRADSIHHLEVDPLTELLLTGESPEPVLETFQNHHASGTFEASSPVFSNKAVTNNEKCVDCGTMFSSDILEQNMDHKHHKQCTDTSNLASPNESDPEEKLINAKILTNDVSPHLFKDFLDALDIINTNKDYLLNYIQDPGSPLPFNSHNELKFSGKRRSNSISFPVFTALSGTKDSESDQLINQMVDECFDEKGENKKSSMFDFIEDYRPLSRPSPAASSSVSSQVPSHVKTNHFKDLRMKIKQLIDENKNEKLRITMDAVIDKIPRGSSVSKNVKKLIHDKFKDNGEGKDSAGSGFERSLSFNSFKKRQQSMRTSSLKESARRYSQLYETCFNTDIKYPKPEKLKLKAEEKTSILKTPKSFKRFLSLPNLKSYFHQIEEPSISSSPQCSTTQFGEKIRSTSFKDEKRSFDHGDDLKSHILPLTFADNTIPESILNADQKNLLVRSASKSGLDVINEGKDDTNITIDVLENFRDSDIAAMPIDANPIFSSDTSFLDATFEFDKLNLMEDSELQPGPADEVDEQQEPEVYETEMVESVDNFHKIGTLSKGFNYEIPCIEVKESHKATFNYVRKVLELSGFTGHESFGIWYSDNQPVDPSIYEELEGCLLLDPDCSGNCDEDGQCNHLLLFDIINEGLLEIFGRSYSYYPRPLSYLSHVHPLPSGDNVLHKVWNLISWYLNSPSPQVYPSLDYYVSIDLAKNDGWMNLQFDSECVGLELDDLIFDDLLDEIIFTSA >OIW06892 pep chromosome:LupAngTanjil_v1.0:LG08:7583094:7584025:-1 gene:TanjilG_19541 transcript:OIW06892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVGQSILMALTVTVNKYYASSNLQAVHGRQGKTSHPSTNLNLGFGRRGLFLSTIIATTQLPDSRTELLQMLLLVMKLLSEYLKKSEENKEKNDKERLESYYKRNYKDYFESMEGSLNGKDGQLSETEKGIQDWLRSNKPK >OIW06860 pep chromosome:LupAngTanjil_v1.0:LG08:7866277:7871304:1 gene:TanjilG_18242 transcript:OIW06860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVRVSDPGRGRLKPQMAMALAIVLISTTVVSVAADGYPYYSPPPPYEYKSPPPPSSSPPPPYVYQSPPPPPIEHKAPPYEYKSPPPPSPSPPPPYEYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYVYQSPPPPPHEHKAPPYEYKSPPPPTPSPPPPYEYKSPPPPSPSPPPPYVYQSPPPPPHEKKSPSYEYKSPPPPSPSPPPPYEYKSPPPPSPSPPPPYVYKSPPPPPHEHKAPPYHYNSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPVKSPAPKPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPVKSPTPKPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPVKSPAPKPYYYKSPPPPSSSPPPPYYYKSPPPPIKSPAPKPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPVKSPAPKPYYYKSPPPPSPSPPPPYYYKSPPPPVKSPAPKPYYYNSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPVKSPAPTPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPVKSPAPTPYYYKSPPPPSPSPPPPYYYKSPPPPVKSPTPTPYYYKSPPPPSPSPPPPYYYKSPPPPVKSPAPTPYYYNSPPPPSPSPPPPYYYKSPPPPVKSPAPTPYYYKSPPPPVKSPAPTPYYYKSPPPPVKSPAPTPYYYKSPPPPVKSPAPTPYYYKSPPPPSASPPPPYYYTSPPPPIKSPTPTPYYYKSPPPPSPTPYYYNSPPPPVSYPPHPYPHPNYHPLIVKVVGKVYSFKCYDWEYPDKSHSKHHLKGAVVEVKCKAGRNIIKAYGETKSNGKYSITVKDFNYVKYGPLVCKAKLYAPPKGSPFTVPTKLNEGTDLNVKSKDKYEVVLKAKPFAYASKKHFEECEKPKPSPTPYYYKSPPPPSPVYIYKSPPPPSPTYSPPYYYKSPPPPSPVVKPPYYYTSPPPPSPSPPPPYYYKSPPPPSHVVKPPYYYNSPPPPSPSPPPPYYYKSPPPPSPSPPPYYYKSPPPPSPVVKPPYYYKSPPPPSPSPPPPYYYKSPPPPSSSPPPPYYYKSPPPPSPVVKPPYYYKSPPPPSPSPPHPYYYKSPPPPSPSPPPPYYYKSPPPPSPVVKPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPVVKPPYYYKSPPPPSPSPPPPYYYKSPPPPSPVVKPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYHYQSPPPPSPVSHPPYYYKSPPPPTASPPPPYHYVSPPPPSPSPPPPYHYTSPPPPSPSPPPPYQYTSPPPPSPSPSPAYIYKSPPPPAYIYASPPPPVHK >OIW06258 pep chromosome:LupAngTanjil_v1.0:LG08:17464283:17464618:-1 gene:TanjilG_19937 transcript:OIW06258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCAGCFLDLSLKEKGFVTYGDNNKDMNKEPFAATQGEYSGHASQEPALDKLTLMLWNNVSIIGCTSIFSISRIHIIRIWNILRNVRMHRLTTISNPCGTIFSLLLSLRIKE >OIW06105 pep chromosome:LupAngTanjil_v1.0:LG08:20790121:20790741:-1 gene:TanjilG_29861 transcript:OIW06105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNINNRFHGILESPSTPPPSPPLFSFIAAFSIAMLIFMCIHVFSIIVSFAILLAFFFISVFLCRFIKDRPETMAIDMRERNIFYGQAPQNNQWVVRIIDKLLLVVEERGGGNGRRQGSVKKVVGCVVCYGSQAIKLSSGSDCAICLEDFKEGEKCLAFTICGHLFHLNCIHCWLENKPTCPICRQCIPSIMNTKTRSVELLTNLV >OIW06917 pep chromosome:LupAngTanjil_v1.0:LG08:7811830:7816614:1 gene:TanjilG_19566 transcript:OIW06917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEKEKKVLTILHGAVIITMDKDKRVFKNGAIVIEQDRIKAIDQSRSILSQFSHLALHILDLTGHILLPGLINTHVHTSQQLARGIADDVDLMTWLHDRIWPYESNMNEHDSYLSTLLCGIELIHSGVTCFAEAGGQHVSGMARAVELLGLRACLAQSTMDYGHGLPSSWATRTTHDCLQSQKDNYEKFHNTSQGRIRVWFGIRQIMNSTERLLLETRDAARQLKTGIHMHVAEIPYENQLVMDVHKVNHGTVTYLDKIDFLQSNLLAAHSVWIDNNEISLLSRAEVKVSHCPAAAMRMLGFSPVREMLDADVCVSLGTDGAPSNNRMSIVDEMYLASLINKGREVYANGTTDPTALPAETILKMATVNGAKSVLWDDELGSLEVGKKVVIGSGYADIVVVNPSSWTMVPIHDCISSIVYCMRTENVVSVMCNGVWIMKNKKIINVDEEEVILKAKQASVELLKRAGITIPTRMNVI >OIW07071 pep chromosome:LupAngTanjil_v1.0:LG08:5753613:5757001:-1 gene:TanjilG_02705 transcript:OIW07071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSDKSSISSLPLTPSIAARDVDPMLKDLNEKKQSFRRNVVTLASELKELRTRLATQEQSYVKETLTRQEAESKAKSMELEIGRLQKNLEERNEQLQASASSAEKYLKELDDLRTQLVATRATADASAASAQSAQLQCVELLKELDEKKSSLREHEDRVSRMGEQLDDLQKDLQSRESSQKQLKDEVLRIEHDIIEALAKAGENKDCELRKILDEVSPRNFDKMNKFLVVKDEEILKLKDEIRIMSAHWKLKTKELESQLEKQRRADQELKKRVLKLEFCLQEARSQTRKLQRMGERREKAIKELRDQLASKQRGGSVAEEKQNFWDTSGFKIVISVSMLVLVVFTKR >OIW05762 pep chromosome:LupAngTanjil_v1.0:LG08:23966315:23967067:-1 gene:TanjilG_23548 transcript:OIW05762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSSSFTLSLFFASFLFLTITTNALFLTLVNNCKYTVWPAIQPNAGHPLLAGGGLQLNPLTHQTIPFPDSHWSGRVWPRTGCTYAANHQFTCATGDCGGRLQCNGAGGSPPATLAQFEVHHGNNDFSSYGVSLVDGFNIPLTITPHEGKGVCPVVGCRNDLVATCPPPLQHRVPLGHGPVVACKSGCEAFHTDELCCTNHYNSPQMCKGSVYSTYFKHACPATFTFAHDNPSFMHQCSSPRELKIIFCH >OIW07199 pep chromosome:LupAngTanjil_v1.0:LG08:3914961:3917734:-1 gene:TanjilG_17747 transcript:OIW07199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSYSSTALVNVFDTGKYNTELQKIQSGSCTVPPPKPLLIANPLEDGDFPLLLFLHGYLLYNSFYSQLIQHIASHGFVVIAPQLYSVAGPDISDEIKSAAAITNWLSEGLSKFLPPNVRPALSKLALAGHSRGGKAAFALALRKLNITTNLKFSALIGVDPVDGMDRGKQTPPPVLTYVRHSFDFDMAAIIIGSGLGEVKRNPLFPPCAPKGVNHEDFYNECQKPAWYFVAKDYGHFDMLDDDTKGIRGKATNCICKNGESRKPMRGFVGGVIVAFLKAYLHGDTSDLLAIRDKPEIAPVELKIDYFV >OIW06366 pep chromosome:LupAngTanjil_v1.0:LG08:14711854:14712090:-1 gene:TanjilG_15011 transcript:OIW06366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSKNPNSPEGFYLDPSGVTLPGLNPFASVTAVASPVDSAAILSEDAAKKIRKPYTITKSRENWTEPEHDKFLEALQL >OIW06547 pep chromosome:LupAngTanjil_v1.0:LG08:11112349:11115971:1 gene:TanjilG_29968 transcript:OIW06547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGVTSSMAAKLAFFPPSPPSYTVVEEVAGTKMRELELRENIEIFKVTTEKGNSIVAMYIKNQTASLTVLYSHGNAADLGQLYDLFIQLSVHFKVNIMGYDYSGYGQSSGKPSEQNTYADIEAAYKCLQEKYGAREEDIVLYGQSIGSGPTVDLAARLPNLRAVILHSAVSSGLRVMYPVKRTYWFDIYKNIDKIPSVNCPVLVIHGTDDDVVDCSHGKQLWEHCKQKYEPLWIDGGTHCDLELYPQYRKHLKKFISVVEKLPRRKTEYESIPDQLEKPQNSTDSIENSRASMDLRANLRHSFDYKETSSTSIYHKEKSRSSIDKRDKTRKSIVHSEKAENGIHMHQKARISIDRFGEKVRSVGLFNINCFRPTVTQA >OIW05987 pep chromosome:LupAngTanjil_v1.0:LG08:21678448:21681938:-1 gene:TanjilG_11674 transcript:OIW05987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGHMVGGDDGDREKKRFLEGKVYTRRKFKSTKKDPSIVNTVTAATTLAENDSATIAATTTANFVINNNSTVKCGDSDKAKSNSNIISGIILPVAVPEDGNSAQPQVSSAEDRNSAQPQVNSGEGEDLTQTQVCSREDEKLAQEQVNSREYEYLAKLPVNSTLDDEGSAQPQTESMLEDGNSAQPEVDTTLGDENSAKLQMDSTLEDEYLAKLPVNSTLDDEGSAQPQTESMLEDGNSAQPEVDTTLGDENSAKLQMDSTLEDEYLAKLPVNSTLDDEGSAQPQTESMLEDGNSAQPEVDTTLGDENSAKLQMDSTLEDEYLAKLPVNSTLDDEGSAQPQTESMLEDGNSAQPEVDTTLGDENSAMLQMDSTLEDGNSVQPLLDSTLVDGNSAQPQVYSTLEDVSLSWPVVNSTLNDGNPDEPALNSILEDRSSAQPQVDSTLEDGNSAQHMVVLVSDDLCSRQQDDGGPSSPYHWQDAVPMTHDQTFGNGDVELRQDDDGTSSPSHQQEMIPSSQDMPSGNGDLVLQQDGGGHSSHSHRLDMVPCMQVLPSGNGTVEPWMVDPMKISLISKSKQEKQELRWKLEDELNVVRSLVRRIELKQGQAGRYGTLNVSMGGEAGNGRRAVRAHSEVASAGVPRESTRPLQQLSFSMLENSHGANENVEREKRTPKANQFYCNSEFLLAKDKFPPVESNKKSKLHWKKQGGGEMGHGLGMASKFFKSCSSLLEKLMKHKHGWVFNAPVDVEGLGLHDYFTIITHPMDFGTVKSRLSKNWYKSPKEFAEDVRLTFRNAMTYNPKGQDVHVMAEQLSKIFEERWVIIESDYNREVRYGIEYGATPTAPSPLSRKVSAFPPAPLDMRRILNRSEPMTQTPRPMSITPSSRTPAPKKPKAKDPHKRDMTFEEKQKLSTNLQSLPSDKLDAIVQIIKKRNSALHHHDDEIEVDIDSVDAETLWELDRFVTNYKKSLSKNKRKAELARARAIAVQNAIQKSRAPVVVEVPRETQADERNDHPSMPVHGGNHADNGSRSSSTSSSSSDSGSSSSDSDSDSSSTSGSDEGPQRT >OIW07280 pep chromosome:LupAngTanjil_v1.0:LG08:2416634:2417014:1 gene:TanjilG_08395 transcript:OIW07280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSYKELWGAEGCSSSESGWTMYIDSPMQEDDAECSNENDGYCEIYSENIRKKQGIKVDADESDDSMASDASSGPIQYQNTNARGESNHGIAVSKKDKQDHGSNCSSRKNASKQEKKSVDSRSKKR >OIW05584 pep chromosome:LupAngTanjil_v1.0:LG08:25170765:25178395:-1 gene:TanjilG_23370 transcript:OIW05584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEENPKLTKLPIEGKRNILITSALPYVNNVPHLGNIIGCVLSADVFARYCRLRGYNAIYICGTDEYGTATETKALEENCSPKQICDKYHAIHKEVYNWFNISFDEFGRTSSPQQTEVCQAIFKRLYDNKWLSENTMQQLYCDTCEKFLADRLVEGDCPTPGCEYDSARGDQCEKCGKLLNPTELKNPRCKVCRKSPRIRDTDHLFLELPLLKDRLEKYINEMSVVGLWSQNAIQTTNSWLREGLKQRCITRDLKWGVPVPHEKYSDKVFYVWFDAPIGYVSITSCYTPEWEKWWKNPENVELYQFMGKDNVPFHTVMFPSTLLGTHENWTLMKTISVTEYLNYEAGKFSKSKGVGVFGNDAKDTGIPVEVWRYYLLTNRPEVSDTLFTWSDLQAKLNSELLNNLGNFINRVLSFIAKPAGQGYDSIIPAIPDDVSDDSHELTKKLANEVSANLEQYIDAMEKVKLKQGLKIAMSISSEGNRYLQEAEFWHLYKDNKSLCSLVMKTAVGIVYLLACLLEPFIPSFTLEVFKQLNLSPETHLSLCDEKGDIDRVKRPWDIISAGHKIGKPQPLFRELKDEEVEFYREKFAGSQADRIVRAEAEKVAEQLKKTKVSDGSGKKKSSAKSSKEAKNKAVAEPDISITRLDIRVGLIKKAEKHPAADTLYVEEIDVGEEQPRTVVSGLVNYIPLDEMQNRKVCVLCNLKPVTMRGIKSHAMVLAASNDSKVELVEPPTDARVGERVTFPGYEGNPDEILNPKKKVWETLQVDLHSNDELVACYKDVPLTTSAGICKVSSIPGGSIK >OIW06203 pep chromosome:LupAngTanjil_v1.0:LG08:18813568:18816500:-1 gene:TanjilG_03828 transcript:OIW06203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWIFNPFMQKEPSGLEGRTIDVGNLKINVQKAIAEGGFSCVYVVRDAVHMSKLYALKHIICNDDESLGLVKKEISVMKSLKGHPNVVTLYAHAIIDMGRTKEAFLVMEFCEKSLVNVLENRGAAYFDEKQVFAIFRDACNAVFAMHCQSPPIAHRDLKAENLLIGSNGLWKLCDFGSTSTNHKRFEKLEEMGIEEDNIRKHTTPAYRAPEMWDLFLREMINEKVDIWALGCLLFRICYFKSAFDGESKLQVLNGNYRIPDLPKYTSSVTDLIREMLQARPDDRPDIMQASALLDWPFISMNLGVSISANKSTSTTRGNSLVLPSGESKNTSHPSCSSMGNGGQLGAFWSTQSAKDSLVPEEKRKPVFDELSSHHISMKHNKILPDNNQLPKTDTTRKMVNTQTQTVKSSTHEKAYKPGTQLSKKPEIKFSQDDNVAGERPKSCTENALTFQGQDFNAFVAEFSATNLDSGPVHKSDREEALVAEVEKLKEQLKVANMEKAEITSKYEKLSAICRSQKQELQNLKQALAVRTSPTSPAILSSPVANNTERKAPCSEPNQPVSWKAFPEEPQSQNPIRNGEHSMQPAQLVPDFGTWGFGKDDLGAAHAGSPQMPRPGEGINSRVFSESKKTSEPAGWAVF >OIW07024 pep chromosome:LupAngTanjil_v1.0:LG08:6291148:6294343:-1 gene:TanjilG_02658 transcript:OIW07024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METMYLNSGISTIVPSISTAASLDTRAAANPSRVSAISRSAPADKPRQKSVFSSFSFKYPLESLWPRTRETGNSRYSGLALDDAVLEENAEAGNDVVEEGRNGNWVLKILRVNSVCKGEEGKNSVVEEEKDNESNEYEENAFECDGCKVNDDDDEKEEENVEFDRDSFSRMLKRVSLAEARLYAQMSHLGNLAYSIPNINPAKLLKHYGLRLVTSSIEKKELTAATVENNPQEVETNVKEEDDEERKEQKDNGYRISASAAYHIAASAASYLHAQTRRVLPFKSSNALAGEGSLEGNSQSLDINTEMASLMATTDSVTAVVAAKEEVKQAVADDLSSTRSSPCEWFVCDDDQSGTRYFVIQGSETLASWQANLLFEPIQFEGLDVLVHRGIYEAAKGMYLQMLPEVHAHVKAHGSRATLRFSGHSLGGSLALLVNLMLLIRQEVPISSLLPVITFGSPSIMCGGDSLLNELGLPRSHVQAITMHRDIVPRAFSCNYPNHVAELLKAVNRNFRNHPCLDSQKLLFAPMGELLILQPENKFSPSHPLLPSGSGLYILTCPLSESVNTDKPLRAAQLVFMNSPHPLEMLSDRSAYGSGGTIQRDHDMNSYLKTVRSVIREELNQIRKARREQRCKVWWPLVLPSGIDGSIVVGRSMVSVNLGHNKYPFSGMIKTGRESLKRFSRLVASQHMHLFVLLLFPARLLFLGTYNMTNFR >OIW06778 pep chromosome:LupAngTanjil_v1.0:LG08:8742337:8753883:1 gene:TanjilG_11503 transcript:OIW06778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLAFQSIGIVYGDIGTSPLYVYASTFTNGINNNDDILGVLSLIFYTITLITLIKYVFIVLKANDNGDGGTFALYSKICRYAKVGLLPNQQVEDAEVSNYQLNFPKSSHVMMASRLKSKLENSEFAKLFLLLITMLGTSMVIGDGVLTPCISVLSAVGGIKEADSSITEGRIVGISIAILICLFMIQRFGTDKVGYSFAPIVCVWFAFIGGIGVYNIIKYDPKVLKAINPKYIVDYFKRNKKDAWVSLGGVVLAITGTEALFADVGHFTVPSIQLSMCCITYPSLILAYTGQASFLRKHNDLVSDTFYKSIPGALFWPMFVVAVAAAIIASQAMISATFSIIQQSLSLGCFPRVTIVHTSAKYEGQVYIPEINFILMIACVAVTAGFKSTTNIGNAYGIAVVFVMTLTSSLLVIIMIVIWKTNIFLVITYVLTIGSVELIYLSSVLYKFDQGGYLPLAFAAVLMTIMYVWNNVYRRKYNYELKNKISPENFKEIANGADLIRMPGLALFYSELVHGIPPIFKHYLSNVPALHSNLVFLSIKSLPISKVPLEDRFLFRKVGHEELNVFRCVARYGYNDLRDDEHGPFENLLVTRLKAYIAEEMYLESHNKPDETLNDGWGDENDGVQKAIEKQVEAIEKAARAGIVHLIGESEVIAGKGSGIGKKILIDCAYNFMKNNLRQSDKVFDIPHERMVKGPITSVILHLAFQSMGIVYGDIGTSPLYVYASTFTNGINNNDDILGVLSLIFYTITLVTLIKYVFIVLKANDNGDGGTFALYSKICRSAKVGLLPNQQSEDAEVSNYQLDYPNSSHWLKSKLENSEFAKLFLLLITMLGTSMVIGDGVLTPCISGRIVGISIAILIFLFMIQRFGTDKVGYSFAPIVCVWFAFIGGIGVYNIIKYDPKVLKAINPKYIVDYFKRNKKDAWISLGGVVLSVTGTEALFADVGHFTVPSIQLSMCCITYPSLILAYTGQASFLRKHNDLVSDTFYKSIPGIAVVFVMTLTSSMLVLIMVVIWKTNIFLVITYVLTIGSVELIYLSSVLYKFNQGGYLSLAFAVVLMAIMYIWNDVYRRKYNYELENKISPENFKEIANGADLIRMPGLALFYSDLVHGIPPIFKHYVSNVPALHSNLVFLSIKSLPISKVPLEDRYGYNDLCDDEYGPFENLLVTKLKAYIAEEFYLESHNKADETLNDGRGDENDRVQKAIEKKVEAIEKAARSGIVHLIGESEVIAGKGSGIGKRILIDFAYNFMKNNLRQGDKVIDIPHERMVKVGMTYEL >OIW06057 pep chromosome:LupAngTanjil_v1.0:LG08:20230646:20238821:1 gene:TanjilG_29813 transcript:OIW06057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWFLMSSFKLVYVVNLGFLALSCFEGFESNAQLIPKEEVEVLQAISDKLENLNWKVTERSCMEIGGFDGKVNKDDDIVRNVTCDCTFHSSTVCHVTNIFLKGLNLSGVVPSEFGSLTQLQVLDLTHNYLNGSIPKSFVHTSLTILSLLGNRISGSIPAEIGEIANLESLNLENNLLGGPLPPSLGNLSKLKRLLLSANNFTGTIPETFSKLKNLTDFRIDGNSLSGKIPIFIGNWTNLQRLDLQGTSMEGPIPSTISELKNLTELRITDLNGPTMTFPNLKDLTNLKRLELRNCLITGPIPDYIGELTRLKSLDLSFNMLTGSIPNSIHGLKELDYLFLTNNSLTGPIQDWILDWKHNMLAYFLDFVLSNRMEFSHCRTPYNDTPDSLPCVINLEHSLNYKETKVQSYLLFFLRDLSYNNFTKSSATSCQISDLNLASSHSSSAITSNFCLRKNLPCPGKPQYYSLFINCGGPKGEFEGIHYVDDLQRDGISNFVLRNEDQWAYSSTGVYLGNDAANHVAANKFSLNISGPEYYQTARTSPLSLKYYGLCMRKGNYKVKLHFAEIMFSDDQTSSSLGRRIFDVSIQGFKYLKDFNIMEAIGGVGKGITKEFDVDVNDSTLEIHLYWGGKGTTAVPDRGVYGPLISAITVTPNFKIKSGGLSAGAIGGIVAASCVFVILILVALRKMGFLGGKDKTDKELLDLKTGYFSLRQIKAATNNFDQANKIGEGGFGPVYKGVLPDGDVIAVKQLSSKSKQGNREFVNEIGMISALQHPNLVNLYGCCIEGNQLLLIYEYMENNSLARALFGKQEQKLNLDWHTRMKICVGIARGLAYLHEESRLKIVHRDIKATNVLLDKDLNAKISDFGLAKLDDEENTHISTRIAGTIGYMAPEYAMRGYLTDKADVYSFGIVALEIVSGKSNTNYRPKEEFVYLLDWAYVLHEQGNLLDLVDSGLGSSYSSEEAMRMLSLALLCTNPSPTLRPSMSSVVSMLEGKTPIQASIVMCGESSESNQDARFKALQLLSQDSQTLVSSQFSQESMHERDKSVDGPWIDSSLSLPSVDHNSSTSKLL >OIW06261 pep chromosome:LupAngTanjil_v1.0:LG08:17492131:17518403:-1 gene:TanjilG_19940 transcript:OIW06261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVELVLSSKDLLKCCNCGCSCSLAAQSSGTWMRSVKRKHDEFELDSGLRVPMFARIGIENECMALREMVSSQQKTIEDLNAELEEERNSASTAANEAMSMILRLQREKAEIQMESRQFKRFAEQKMSHDQEEFLSLEDLLYKREQIIQSLTCEVQAYKHRMMSFGLTEEEVEGEQYELSTYEYPALKCNVLHGVMDANNDDTDIEKYAFGENPSDRLRDLENRIFQMERSPTYSQMDGDYTGKNTFEKVIVGQSPRRTRHSRKFSSDSTSFGGMGRENGPDFQMDSPKVNNNSRKDNFSQSDDPSNLKKEDKASESDDTSDRIYTVDFVQVGAPDNGFTESKARGGAFEDYATSPRESGKNADFEDSDIKKLYMRLHALEADRESMRQAIISMSTDKAQFVLLKEIAQHLCKEMSPERRMTERKPSIVGSFSFFSIFTREKETGDIPKSIQCYMNETRVSEKEACEYMKSMMHTTWKKMNEEACNSSFPESFIDVAINLAKMALCMYQHGDGHTIQDPKINSRIVSLIFQPIPDLYAQKSS >OIW06129 pep chromosome:LupAngTanjil_v1.0:LG08:21217313:21222308:1 gene:TanjilG_29885 transcript:OIW06129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFRWKFNFFMSSRVRNFYTLPSNNAKNQHAPVVWNRIFQLCLHKGPALQPIGQIARAVSLALARSNIIAPGFIAFIIGELAWTRQAWAEAESFPRKGSLYMHAQDGRLYLIAALFGAFEFFILLLRAIYLVILFSPCIAMAPFVNILGTQSRKTWIHVVRVTLEKAGSAFIKWGQWAATRPDLFPRDLCSELAEFQTKAPSHKFTYSRKCIEKAFGRKLTEIFENFDEEPVASGSIAQVHRATLKYKYPGKKIKPVVVAVKVRHPGVSEAIRRDFIIINFVAKIFTLLPNLKWLRLDESVQQFAVFMMSQVDLSREAAHLSRFIYNFRRWKDVSFPVPLYPLVHPSVLVETYEQGESVLHYIDELEGHDHFKSSLAHIGTHALLKMLLVDNFIHADMHPGNILVRVAKSKSSPIQLFKSRPHVILLDVGMTTELSKREQENLLNFFKAVALQDGHTAAECALKLSKRQKCPDPKSFIEEVDKSFKFWRSSEGEAVHTADRIQQLLEHVRRHKVNIDSSVCAVMVTTLVLEGWQRRLDPEYDVLHTLQTLLFKAEWEESPSYVIGGPVAPF >OIW06167 pep chromosome:LupAngTanjil_v1.0:LG08:19633589:19640586:-1 gene:TanjilG_01794 transcript:OIW06167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFLYIFVSSSITLLLAILTLHPSIPFSSFKWNSSFSHPLADFIITNALIYTSNDSLPFAHSMAVSNGRVLSIGNHSFVQEFAGYRTQVLDLGGKVVVPGFIDSHVHFIYGGLQRVSRNTTIRLLSDQEFTGSNPGKSSLLTRMKQVKLRGVNKKEEFIRVIKDAAQKTKQGSWILGGGWNNDLWGGDQPAASWIDYVTPNNPVWLSRMDGHMGLANSVALMLAGITNLTENPRGGTILKNANGEPTGLLVDSAMKLVTSLIPEDSVDDRREAMLRASNLALTRGVTTVVDFGRYFPGVSANLSWEDFSGVALSSSQSDYFQMSGYEVIPEEQNQNTNIWLSNKLYMVHVLDFMDGFDVINKMGHALSEWIYVGGVKGFADGSLGSNSALFYEPYVDDPDNYGLQVTEPEALLNMTLESDLYGLQVAIHAIGDKANDLILDIYGSVASTNGMGDRRFRIEHAQHLANGTPGRFGKQRVVASMQPDQLLDDADTASKKLGKDRAEKESYLFRSLLDNNALLAFGSDWPVVDINPLSAIKTAMRRRPPTWETAWIPSECISLDDAIKAYTISAARANFLDNDLGSLSPGKLADFVILSTDSWKDFAEEASASIQATYVSGVRAYP >OIW06237 pep chromosome:LupAngTanjil_v1.0:LG08:18063976:18064659:1 gene:TanjilG_28762 transcript:OIW06237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLGLFKALFCCTKTREIPILTSDSSPQYTYKQPRKNQPIPTPPPHRPNKPSQSSQIGPVLGKPYVNINIMYEMKKELGRGQSGVTYLCVEKSTGREYACKSISRRKLTSKREIEDVRREILILQHLSGQPNIVEFRGAYEDRQYVHLVMELCSGGELFDHIIQKGNYSEREATKIMRQIMNVVHVCHFMGVMHRDLKPENFLLTSKDGNAAVKATDFGLSIFIEEG >OIW05956 pep chromosome:LupAngTanjil_v1.0:LG08:22585060:22585608:1 gene:TanjilG_07232 transcript:OIW05956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSTKFTSFTTFLLIITILVSESNGNDPLPRPNQSETTLVFYLHDVTTGPNATVATVIGLKGKVWSYITFGTIFVVDDPVHLSPSVSSTQVGRAQGILTASALDGSNVNVILSVVFNNLEYSGSTIEIQGISRQRENYRELSIVSGTGKFRFARGFASLETSFYDASTSRSVIRLTLNVIL >OIW06884 pep chromosome:LupAngTanjil_v1.0:LG08:7515180:7518904:-1 gene:TanjilG_19533 transcript:OIW06884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFMKLGSKPDTFQTDGNNVRYVASELASDIIVSLGDVKFYLHKFPLLSKSAHLQKLISLSNDANMDEVQISDIPGGTSAFEICAKFCYGMTVTLNAYNVIAARCAAEYLGMHETIEKGNLVYKIDVFLSSSIFCSWKDSIILLQTSKSMLPVAEDLKVVNRCIESIANKACADVSKVDWSYTYNRKKLPEENGIDANQNEVRTRLVPKDWWVEDLCELEVDLYKSVVANIKTKAVHSNEVIGEALKAYAYRRLPNFSKSVIQSGGMSKHRLIVETIVWLLPAEKGSVSCRFLLKLLKASIFVESGERTREELIRRIGQQLEEASVTDILIPAPDGKTETYDVSIVQQVVKEFLKKDVNAEIESVGGGELEGIRKPGILSDASKLMVAKLVDGYLAEIAKDHNLPLSDFIDLAELVSGVSRPSHDGIYRAIDTYLKEHPGISKGDRKRICTLMDCRKLSVDACSHAVQNERLPLRVVVQVLYFEQVRTAASSGTSTPDIPKGIKDLNCGSNGSSRSGTTNPEDDMDAVAKAEELKALRKELTSLRLSNGVGSSDKDGGDIKQSTDKAVIGKMKGLLKSKKSFLKLWASKGVQGDNSGSDSSESIGSANPDEAKSTPSRNRRHSVS >OIW07125 pep chromosome:LupAngTanjil_v1.0:LG08:5176097:5176774:-1 gene:TanjilG_10098 transcript:OIW07125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKPYTFTPKSLFSISISNSHHPLPSPFISIPLSNISIPHFPSQSRSYRSHQPIVCARKNRNNRPWSSNRNILQLASTIALNLKIFPEPFNSLITQIAESDLNQIHLILNPGKKTKNKDKSVWFVFVLSCAVAGFLSWRISEPDLFLKALLFCVAGFSLFRGLRLGKKAFKEWFLGFLFGIVLLMSTGFRLGKEDVKFWVHRIRTCSPIAQFVTPKRNRNWRISK >OIW06145 pep chromosome:LupAngTanjil_v1.0:LG08:19316607:19317481:1 gene:TanjilG_01772 transcript:OIW06145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAGAVDNLQQMDKFNARAAAVTLDGYYGAQQNVQGMLNLMGPTRDNYFGNQHTIQGLGSMSSIPTSQDGYYGAHQSMPGLAQLDFLRTGFAYGMRDDPNVRAAQLHEDQSRHT >OIW05568 pep chromosome:LupAngTanjil_v1.0:LG08:25276178:25278451:1 gene:TanjilG_23354 transcript:OIW05568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSQSNGVSKGSNNNKVEGSNWVIVAAGALLSTLSIRLGYKLKQALDSNPNHNATNLIKGNGKSSNTRKSADCFMKSHGYSLTQDNHGCFNCTSGTGNTVEIKCPPNGQVVNESDGGLPLVTVPTAEFNKENGVIWTCFPDRLELPSKPYHSNCSESPCVSESGSDIFSKREVIQKLRQQLKRRDDMTLEMQDQIDELRNSLNAQLGLSSHLQLQLDAANRDLFDSEREIQRLRKVIADHCVGQFPHEKSATVTAWPAETRNGHANGHIDVEINVEPPEKIRDSDERVEILRKQVGELKEVIEGKDYLVQNYKEQKAELSLKIREMQQRLDSQLPNIL >OIW07155 pep chromosome:LupAngTanjil_v1.0:LG08:4783410:4784162:-1 gene:TanjilG_10128 transcript:OIW07155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVATQTKPKKTVPSKKPLSHPPFAQMITDAISNLKERTGSSQIAIAKFIEEKHKDLPSTFKKLLLQNLKRSVAAGKLVKVKNSFKLAPTVKASVKAAAADVKKPKTVAKPKTVAKPKTVTKPKTVAKAKTVAKPKAAAATKAKPKAALKSKSVTKPKAKAVAAGAKPKAKSVKSPAKKVAAKAVKKAAVKSVKKAKSVKSPVKKVAAKKARK >OIW07058 pep chromosome:LupAngTanjil_v1.0:LG08:5892182:5896739:-1 gene:TanjilG_02692 transcript:OIW07058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIKTFSFAAATPPLSVIAAAKLAGVSLNIDTSLPPDSVPIFLFSNGLKLRGAYVLLRYIGRFASIPDFYGQNAIEASQIDEWLEYAPVLSSGPAFENGCKYINEYLEKRTFIVGHSLSIADLAIWSGLAGTGKRWESLRKSKKYPNLVRWFNSLVAEYGTALNEVITTYIGKKGLGEPSDTKSKEQAVVPDQVKKVNGDVSEKVKGGNKLSAEIDLPDAVVGKVCVRFAPEPSGYLHIGHSKAALLNKYFAERYQGQLIVRFDDTNPAKESNEFVDNLLKDIDTLGIKYDKITYTSDYFPELMKMAESLIRQGKAYVDDTPREQMQKERMDGIDSKCRNNSVDENLKLWKEMIAGSERGLQCCIRGKLDMQNPNKSLRDPVYYRCNPMPHHRIGSKYKVYPTYDFACPFVDSIEGITHALRASEYHDRNPQYYWIQEDMGLRKVLIYEFSRLNMVYTLLSKRKLLWFVQNGKVDGWDDPRFPTVQGIVRRGLKVEALIQFIVEQGASKNLNLMEWDKLWTINKKIIDPVCPRHTAVIADRRVLLTLTDGPKEPFVRIIPRHKKYEAAGDKATTYTKRIWIDYADAETIAAGEEVTLMDWGNAIVEKIEKDQDGNVTGLSGVLHLEGSVKTTKLKLTWLPEIDELVSLTLVEFDYLITKKKLEEGEDFIDVLNPSTKKETLAYGDSNMRNLQHGDVLQLERKGYFKCDAPFLRPSKPIVLLSIPDGRGKQA >OIW06859 pep chromosome:LupAngTanjil_v1.0:LG08:7876119:7881737:1 gene:TanjilG_18241 transcript:OIW06859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKALGQTVRELKRGVNKKVLKVPGIEQKVLDATSNEAWGPHGLLLADIARASRNYHEYQMIMAVIWKRINDTGKNWRHVYKALTVLEYLVGHGSERVIEEIKEHAYQISTLSNFQYVDSSGRDQGNNVRKKSQSLVILVNDKERIIEVRQKAAANRDKFRNNSAGGMPRPGDRFDDDRYGSREEDRSGSGYGREREWGSRDGDQYSREGDRYGRDYEERSSRDGYRDDEYSGRNQSVDDYQYGSRGRSSDGDHDRGYDDDVQRSSRGSSAKAEDRSLEGRLEQKLQEQNVDAPPSYEEVVGESRSPIHNERDGETSAASAPKGSSPVSDNTHQPRAPTGSSPHMKDDPTKATAAATTTTSENQKVEAFDEFDPRGPPSAAPATANNAEIDLFGSLSEPFALNSLALVPSSQATTTPEGNVNLDSTASFAAAPSTSNNFNKSFEDPFGDTPFKASPSAETAPSQPQTHQSLEPSQSSGPNVEAVSNFGFGESFSIVPYSTSGANGTQPFSTNSVFLPQDFSTPPQETDILADILPLAPLPSQQSSAPPDSHSSPSFSDPFGQTASHSSFVQSGQLTQQGFSAPASQPAPHAFSVPSSQYAQQVFSAPSGQPVQPSFLSPTGQHAQQPFSAHAGQPGQQSFSAHAGQPGQQSFSAHAGQPGQQSFSAPPSGQPVQPPFVSPTSRHVQQPFSAHGGQPGLQPFSAHAGQPGQLPFSAHAGQPGQQPFSAYAGQPAQSSGHMYGGFHSRAGSLTPGASGMFLPQGSTSISSHTAPRAPTGHPSQNANYFPQQGGFTGHGTSPLASQSATGQASQGNAPPSTHQLSPFGGSSSMVSQSSNNKFETKSTVWNDTLSRGLVNLNISGAKINPLADIGIDFDAINRKEKRMEKPTTTAVTSTVTMGKAMGSGSGIGRAGAGALRPSPNPMGMQSYGGMNVPMGMGQGLQMQPPRGPNINLPGNYNNSMMGTGPGGYPQQQQPYGGGGGHR >OIW06733 pep chromosome:LupAngTanjil_v1.0:LG08:9092826:9096242:1 gene:TanjilG_11458 transcript:OIW06733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPAPMSNSTPLSEEASVLSSDARIHQDLVSTISPQQKIKKKRSLPGNPDPDAEVIALSPKTLLATNRFVCEICKKGFQRDQNLQLHRRGHNLPWKLKQRNNKEVKKRAYVCPEPSCVHHNPSRALGDLTGIKKHYCRKHGEKKWKCDKCSKIYAVQSDWKAHSKTCGTREYRCDCGTLFSRKDSFITHRAFCDALAEESARISANQIANNSTNPLSQSLFLFPTTQQNNFQNHFTTTLNPSWDPSHQNPNPSNFATTLHNSIKPEAQTFHIPNNNNNPSFLHHPKNIITSSPFRNLQVCTQNPSNSCTSAYLSATALLQKAATVGAAAITGPNATVSIGQQQHVTHFGTVTTQLNNSVVPDHNHYNMKRLNKNETRDFLGLTNGGNNVDVSMNMKDMLTFTGSVDYQNQPYENHHHQHSQFKYQQQGFGFVGTTTAPESWGNC >OIW05666 pep chromosome:LupAngTanjil_v1.0:LG08:24616799:24619295:1 gene:TanjilG_23452 transcript:OIW05666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVSRDEAASGGNPNSNVLNPNSNPNSVNPMREGEGSKGKSCKGCAYYSSLHKSKSINPTCVGFSTTLPQVPPYVVGETELKASKEGRTLTNFKYACIGYSLYLDNKDSSADMKEKTAKLPYCVGLEVVLEEKSSTSPVGHVPAHKTEEHEHATPQPRRYKPPSTIPEEFLNRQAEVLSLD >OIW06568 pep chromosome:LupAngTanjil_v1.0:LG08:9635169:9639360:-1 gene:TanjilG_03962 transcript:OIW06568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAKVVLIGNNLTLSFDDIEANFAPKVKGSGECGVLYLAEPLDACTELVNKAEYVSNVSSPFVLVVRGGCSFEEKVKSAQNAGYKAAIVYDNEDGGVLIASEILKKYAGLTNVELWLIAKFENSAWSIMAISFISLLAMSAVLATCFFVRRHRIRRERPRASRVSEFHGMSSRLVKAMPSLIFTAVLEDNYCTSRTCSICLEDYCVGEKLRILPCCHKFHAACVDSWLTSWRTFCPVCKRDARTGLTDPPPSESTPLLSSSLTSVASSVLSSGRSSLASSSAIQIARAPSQSPSVSRNHSLASTPYFQPSFRSYHHSPSLSTGRSPLDLRNGSRRSLASHLNSPRSTGYPSLSSLNSRYMSPYIPSPSNASVSYMGSSSHQQHPLRYSESAASFSPFASANSLPEV >OIW05927 pep chromosome:LupAngTanjil_v1.0:LG08:22301456:22305160:-1 gene:TanjilG_07203 transcript:OIW05927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLQEEVRRMINDENVDIWTTLELIDDVKRLGLSYHFEKDIVKVLDSFLSLERCNVQSTHINLHEVALSFRLLREYGYEVSADMFERFMDHNGNYKVSLGKDIKGMLSLYEASFLGYEGEEIMDKAKDFTSFHLRVHNEDKESIHFEKVSHALELPMHHRIQRMEAQWYIDAYGRRKDANRVLLDAAKLDFNIVQSTLQKDIQELSQWWKEMGLVPKISFSRDRLMECFFWTVGMVFEPQFSHVRKGLTKVTSLITIIDDVYDVYGTLDELELFSAAVESWNIKSIQLLPDYMKICFLALYNTINELAYDTLKDKGQFILPYLTKAWSDMLRAFLQEAKWCRDKNLPKFNDYLNNAWVSVSGGVILIHAYFLLNHDITEEALVSLENYHNLLRRPSIIFRLCNDLGTSMSELQRGEVANSIVCYMCENDVSYDGAYKHIHNLLDENWKKLNKDRVTYSPFPKPFVEIVINLARMSRCTYLYGDGHGAPDNAAKNRIWSLIIEPIAVRETNMKHVH >OIW05780 pep chromosome:LupAngTanjil_v1.0:LG08:23830401:23830619:1 gene:TanjilG_23566 transcript:OIW05780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTSSLTHDKDKANLPPKRGQIKAQIFSSFVKFVTSKGEKITENNDDNNNNAGGSASTTPPPSAYNSDVSS >OIW07363 pep chromosome:LupAngTanjil_v1.0:LG08:709496:712037:-1 gene:TanjilG_10198 transcript:OIW07363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVSTSNPFAPNTVFKDCSQGICSIYCPQWCYIIFSPPPPSITTLGADDLDDDSSSSFQFSPLIVAVIGILASTFILVTYYTIISRFCKSRNNDPTNSEMEHNHEGGVNNELVQVSSSSSGLDETLIKSITVCKYKKNSVLVDGSDCSVCLSEFEENENLRLLPKCNHAFHIPCIDPWLKSHSSCPLCRSNIDPNQRDCSSILMEVPTTMNMSINALEYQHMSDDVIIVIQSSETSEQQEDSVSFGDEVLPKWPAESAAANDDEDNTIEQLDVNNLRRVSVRDILNDNDHDVELQREGSDTGSSRGASEGEQSEENGKREVVNMVKRSMFTRYGTNASFLPSLIKLMIKSYICERPICWTWNDNGKANQISATFLHKKRAFIPDSGRLLSSQELSKLTEYPCLPAMPIRGILVYKLHYKV >OIW07398 pep chromosome:LupAngTanjil_v1.0:LG08:72005:73336:1 gene:TanjilG_10233 transcript:OIW07398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSMISSPAVNSINRASPAKASMVAPFNGLKSIVGFPVTRKANNDITTIANNGGRVQCMKVWPPLGLKKFETLSYLPPLTQESLAKEVDYLLKNGWVPCLEFELEHGFVYRENHKSPGYYDGRYWTMWKLPMFGCTDSSQVLKEVEEAKKAYPNAFIRIIGFDNVRQVQCISFIAYKPQSF >OIW06698 pep chromosome:LupAngTanjil_v1.0:LG08:10582193:10585581:-1 gene:TanjilG_04092 transcript:OIW06698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISSIAAIVFTVILAVIPIWAWKKVNALWLRPKRLEKLLRSQGLQGDSYKLFGYNNQSHMNMQQQPKSIGLTNQVAPYFFFPVHQTVNKYGKHSFLWDGRTTKVIITDPKQIKEIFNKMDDFTKPELGPIGKLLGTGLPFYEGQKWANHRKIINPAFHLEKLKDMIPAFFQSCHDMISKLEEMLLSSDGTCEIDVFPFLENMTRDAISRTAFGSSYEEGTRVFELLKMMGYLLMNRHISRSWFQPTTTKTNKMKEIERDMHTSLEAIIKKRERAMKNGEAPNNDLLDILLKSNHNEKNGMTNQEVIEECRLFYLAGQETTSVLLVWTMVLLGKFPEWQERAREEVFRVFGTQNPNFDGLNHLKIASMILYEVLRLFPPTIYFDRVVKKDVKLGNLTLSKGMKVSIPILLIHHDHDLWGDDAKEFKPERFSEGIAKATKGQVSFFPFGWGPRICIGQNFTLLEAKVMLSLLLRKFSFQLSPTYLHDPTVMQTLKPKHGVPIILHKL >OIW06933 pep chromosome:LupAngTanjil_v1.0:LG08:6799796:6801687:1 gene:TanjilG_18321 transcript:OIW06933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTSTKNVPNPVNGKTARACDNCITKRARWYCAADDAFLCQACDSSVHSANPLARRHERLRLNTASFKSSNELNNYAPPSWHHKKARTPRHGNQHSKHSSKNINNSFHLVPEVGSDEVNYNDENEEQLLFRVPIFEPLCSTPSSITSHEIVEKSKVPWDYNVNYHEGVESLHGGFVPSDIELYDFAADVESLLGQGLENECIGMEELGLVDIKEESLECSVGSGGKKVMFDEEASHEMENKMMMIEMERESFEFSYDYGSHEKVKEKLDLDVVKDVEDGGEVKENDEGKRKKILLQLDYEGVISAWDSQKCPWTTGDKPNLDPDECWPQCMGTCGKELHYPHGRFGCNPVVADGGREARVSRYREKRRTRLFSKKIRYEVRKLNAEKRPRMKGRFVKRASFVAPTFPHC >OIW05900 pep chromosome:LupAngTanjil_v1.0:LG08:22713563:22715996:-1 gene:TanjilG_23686 transcript:OIW05900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFHSLPNLYLILSFSILFLSSFLAQAKVTFKYCDKKGNYDVKVSGIEISPNPVVSGNPANFKISASSGKAISGGEVVIGVSYIGVPVHTERIDLCQEVTCPVSNGNFVISHSQTLPSITPPGPYALKMTLKDDNGELLTCIKFNFKIVFGSLVSDI >OIW06732 pep chromosome:LupAngTanjil_v1.0:LG08:9104225:9107140:1 gene:TanjilG_11457 transcript:OIW06732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSLIKCGALHLLPCTHSTMSTSISQHCYFNTAFTFHHQRPISSKLHLPSFSLKTHSNSLTLRDTLKLGSLKEAFQSLKIIFFNDPFAIQFNLEEACSLLLQLSANENALLQGQQLHALLVKTYGLHCSVFLDTKLVHMYGKCGSFIDAQKLFDRMRERTIFTWNAMIGACISNGNYVGAVELYKEMRILGVPLDAFTFPLVLKACGTLNERSVGAEIHGMALKSGYGAVVFVCNALIAMYAKCLYLDRAIMLFDSMKEKEDPVSWNSIISSHVAAGLSLEALSLLRRMLEAGVSSNTYTFVAALQACENPSFISLGMEIHAFILKSNHCADVYVANALIAMYAKCGRVEDAKRAFKNMIGKDYISWNTLLSGLVQNDLYNDALKHFRDMQNSGQKPDQVSVLNMIAASGRLGNLLNGMEVHAYAIRHGMDSDLQIRNTMIDMYAKCCCVKYMSHVFENMHEKDLISWTTIIAGCAQNECHLRALDLFRKVQLEEMNVDPMMIGSILQACGLKSETIKEIHGYVLKRDLADTLLQNAIVNVYGEVGNVDYARSVFESIESKDIVSWTSMITGYIHNGLATEALELFYSLKETNIQPDSIALVSVLSAAATLSSLDKGKEIHGFLIRKGFFLEGPVASSLVDMYARCGTVENSRKIFNIVKQRDIILWTSMINANGMHGRGNEAIDLFKKMTNENVRPDHITFLALLYACSHSGLIAEGKRFFEIMKDEYHLEPWPEHYACLVDLLGRSNSLEEAYHFVRNMPIKPSAEIWCSLLGACRIHSNKEIGEAAAKNLLQMDTQNSGNYVLISNVFAADGRWDDVEEVRLRMKGNGLKKKPGCSWVEIENKIHTFMARDKSHPQSNDIYVKLAQFTKLLEEKGGYRAQTKFVFHNVSEEEKKQMLYGHSERLALGYGLLLTPKGTPIRIAKNLRICDDCHTFFKIAAEVSQRTLIVRDANRFHHFERGHCSCGNFW >OIW06810 pep chromosome:LupAngTanjil_v1.0:LG08:8017536:8021782:-1 gene:TanjilG_03705 transcript:OIW06810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAACLSTTLFVTLIVGFVIWLWRIINSYWFIPKKLEKFLREQGLKGNPYRPLSGDLNDLSKSKKEAESKPMPISDDIIPRVSSYLHQSVTKHGKNSFIWYGAIPRVTLYDPALIRDAFNKIDDFQKPNAIPLTKLLVRGLVTYDGEKWSKHRKIINPAFNIEKIKIMLPIFFKSCNDLISQWEEMLSSDGSCELDVWPFLQNLVSDVISRTAFGSSYEEGKRIFELQKEQAGLTTKAFIKAYIPGWRFLPTPTHLRMKEVNRDIKSSLKDIINKREQAMKAGESTENDLLGILLESNHKEIQEHGDNKDVGMTIDDVIEECKLFYFAGQETTAVLLVWTMVLLSRYPDWQTRAREEVFQVFGNQKPDFDGMSRLKIVTMIFYEVLRLYPPATFLPRTVSNSVKIGNITLPAGIQVTLPIALVHHDREIWGDDAKEFNPERFADGISKATNDGKVSFFPFGWGPRICIGQTFALVEAKMALALILQMFTFELSPTYTHAPIVVVTLQPKHGAHLILRKIEI >OIW07288 pep chromosome:LupAngTanjil_v1.0:LG08:1585620:1592121:1 gene:TanjilG_11922 transcript:OIW07288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWFRAGASIAKHVIRRTLSQGGSSYLVSRARALPPLSNGRKLHTTVFNKKAQAAPAPHPVPLSRLSDSFLDGTSSVYLEELQRTWEADPSSVDESWDNFFRNFVGQSSTTPGISGQTIQESMRLLLLVRAYQVNGHTKAKLDPLSLEERDVSEELDLGLYGFFEADLDREFFLGVWRMAGFLSENRPVQTLRSILTRLEQAYCGNIGYEYMHIADRNKCNWLRDKIETPTPIQFNRERREVIFDRLTWSTLFENFLATKWTSAKRFGLEGGETLIPGMKEMFDRASDLGVESIVIGMAHRGRLNVLGNVVRKPLKQIFCEFSGGVQPEDEVGLYTGTGDVKYHLGTSYDRPTRGGGRLHLSLVANPSHLEAVNPVVIGKTRAKQYYSNDVGKLKNMGILIHGDGSFAGQGVVYETLHLSALPNYSTGGTIHIVFNNQVAFTTDPSCGRSSQYCTDVAKALDVPIFHVNGDDVEAVVHACELAAEWRQTFHSDVVVDLVCYRRFGHNEIDEPSFTQPKMYKVIRSHPSALEIYQKKLLETGELTKEDIDKIQKKVTSILNEEFMASKEYVPKRRDWLSAYWSGFKTPEQISRIRNTGVKPEILKNVGKAITTLPENFTPHRAVKRIYEQRAQMIETGEDIDWGCAEALALATLLVEGNHVRLSGQDVERGTFSHRHSVVHDQTTGEKYCPLDHVIMNQNEEMFTVSNSSLSEFGVLGFELGYSMENPNSLVIWEAQFGDFANGAHVIFDNFLVSGESKWLRQSGLVVLLPHGYDGQGPEHSSARLERFLQMADDNPHVIPEMDSTLRKQIQECNLQIVNVTTPANFFHVLRRQIHREFRKPLIVMSPKNLLRSKACRSNLSEFDDVQGHPGFDKQGTRFKRLIKDQNDHSDREEGIRRLVLCSGKVYYELDDQRTKVDAKDVAICRVEQLCPFPYDLVQRELKRYPNAEIVWCQEEPLNMGGYPYVLPRLISSLKAVGRGGYEDVKYVGRAPSAATATGFLKVHQKEQAEIAEKALQRDPISFPY >OIW06677 pep chromosome:LupAngTanjil_v1.0:LG08:10402379:10403521:-1 gene:TanjilG_04071 transcript:OIW06677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGNWFFEHTHLKMQWIHHQIHQKDGYLNYYPPPIQEPMSSSTSTPSSGTRISPAILFIIVILAVLFFISGILHLLVRFLIKHPSSSSSPRSNNRHEISASDALQRQLQQLFHLHDSGLDQAFIDALPVFHYKEIVGPKEPFDCAVCLCEFSEKDKLRLLPMCSHAFHISCIDTWLLSNSTCPLCRGTLLTQGFSIENPMFDFDDLREEDEGCPCNVESGFNKEKKVVVEESVVVDKGVFPIRLGKFRKMSLEEDGESGVGETSSSNLDARRCYSMGSYQYVVGNSELRVALNHDRKCRNFKFTSKGNEQQGENEKLSVEEDMEEAKKITSVSKGESFSVSKIWLWPKKGKFSSSNETPQISMPSFLNTELPRMRETEGV >OIW06226 pep chromosome:LupAngTanjil_v1.0:LG08:18487898:18492262:1 gene:TanjilG_03851 transcript:OIW06226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLTNVVIVLLIILEISKCQSKSRREILLEYVKNVQPEFMDLFVKRAPQQVVDAMRQTVTNMIGTLPAQFFAVKVSTVAENLAQLMYSVMMTGYIFSNAQYRLELQESLEQVALSEVQDKKEKPDYAPGTQKNVSGEVTRWNNVSGPEKIDAKKYIELLEAEIEELNHQVGRQSSNAQNDLLKYLKSLEPHNLKELTGTAGEDVVLAMNTFIKRLLAVSDPSQMKTRMSDTSAPELAKLLYWLMMVGYSIRNIEVRYEMEKVLATPPKLAELPPAEII >OIW07312 pep chromosome:LupAngTanjil_v1.0:LG08:1921751:1929050:-1 gene:TanjilG_11946 transcript:OIW07312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISTVSATHVVFNVKYKYAGQDPSLSALKAHDFRRHSSLITGVDIPLGGTGRPDSVWLYYAKIGIGTPAKDYYLQVDTGSDIMWVNCIHCKECPTTTGLGIDLKLYNIKESSSGKFVTCDQPFCKEIKGGLLSRCTGNSTCPYLEIYGDGSSTAGYFVKDIVLYDQVSGDLQTALANGSIIFGCGARQSGDLSSSNKEALDGILGFGKANSSMISQLASSGQVKKMFAHCLNGGNGGGIFAIGHVVQPKVNVTPLLPDQPHYSVNMTAVQVGHTFLSLPTDASEQGDVKGTIIDSGTTLAYLPEGIYDPLVYKIINQQPDLKVQTLHDEYTCFQYSGSVDDGFPVVTFYFQNGLSLKAYPHDYLFPSEDFWCIGWQNSGTQSKDSKNMTLLGASLIFAVVVVVVAAADLVLSNKLVFYDLENQVIGWTDYNCSSSITVRDEKTGTVHLVGAHHISSAITLNTKMMLLTMFPIALLHKLIY >OIW06796 pep chromosome:LupAngTanjil_v1.0:LG08:8585773:8589090:-1 gene:TanjilG_11521 transcript:OIW06796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFTFSLRLNRIHTGSPFFRHPLRRFTAPPIANPISTEPWRAVKRGALTTRTVVAPVQAGSRADDSAPFEMSLENALKLLGVSEGASFEDILRAKNSILATCKDNSETIAQVEAAYDMLLMQSLTQRRAGKVVNSSVRYADVKRVKSPATGPMPQWLQSTIKNPPVSIESPAATSNLGLQAGVYGALMGLTYINGVSTPSAAYGGADVPGLILAGSFGASLYFMSKKNVKLGKATIITIGGLLAGAVVGSVVENWLQVDIVPFMGVHSPAAVVSEIIIISQFLVSLYLR >OIW07113 pep chromosome:LupAngTanjil_v1.0:LG08:5280137:5282985:1 gene:TanjilG_02747 transcript:OIW07113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFRCNILAFVGGGPDPRYPINKVMIWDDHQSRCIGELSFRSEVKGVRLRRDQIVVVLGHKIFVYNFSDLKVLHQIETIANPKGLCEVSHSGAMVLACPGLQKGQVRVEHYASKRTKFIMAHDSRIACFALTQDGRLLATASSKGTLVRVFNTLDGSLLQEVRRGADRAEIYSLAFSQTAQWLAVSSDKGTVHVFNLKVDSGLLGHDRSRSTSESNPASPKALSSLSFIKGVLPKYFSSEWSVAQFRLPEGLQHAVAFGHQKNTIVILGMDGSFYRCQFDSAAGGEMTQLEYYNFLKPEETF >OIW05714 pep chromosome:LupAngTanjil_v1.0:LG08:24329689:24330705:-1 gene:TanjilG_23500 transcript:OIW05714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKLEYHMFITITKKETRISYRFIVFYTERVFYTEGVSDEAKITILSTCDLPKYESLEYPISHPNHHVEYHLTSGYCNGIHCLDARLLRNSQDYSYFDEIIFWNPTTREVKLLPPNPRAPTGNVICFGGFGTSDPDTFDQFKYIQIYMVTDDNNIAIVDAELYDLNSDSWFVIHDIHDSYFNVNTIPALNFIAEAYCNGLYHWLSGDFTFILCFDFRCNKFRTIKTPSTTKFCRIIVANDCIAYLAYESHDSSGDLVEIWNLKQDESWVKQCKIGPVETGIYIRALLNDYTEFLAEPVFDEMPLYNSRGQLLRKFDIPAQYYYFCIYHHVETIAPLSL >OIW07295 pep chromosome:LupAngTanjil_v1.0:LG08:1653482:1655428:-1 gene:TanjilG_11929 transcript:OIW07295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGNDDVRARKVFWRSASWSAFHTAADATHPSGEAGIDFADPNSGNGHNPRFPPPQQNSKARSCLSLLQPLSIAPRSLEEWPKASSDDISEWPQNATTPSGGRGNSSNSGSNGERLKLDLSSIHDNRNDSNGNNSVGFVKREKIAFFDKECSKVADHVYLGGDAVAKDRDILKHNGITHVLNCVGFVCPEYFKADFVYRTLWLQDSPSEDITSILYDVFDYFEDVRELGGRVFVHCYQGVSRSTSLVIAYLMWREGQSFDDAFQYVKAARSIADPNMGFACQLLQCQKRVHAVPLSPSSLLRMYRIAPHSLYDPLHLVPKMLMDPASSGLDSRGAFIVHIPSAVYVWIGKNCEAIMERDARGAVGQIIRYEKVQGPIIMIKEGDEPSYFWDAFSKVLPLMDKSRNGVVNIKSSVKVWPGERKVDSYDVDFEVFRRAIKGGIVPPFTSPEDELETLLPARESSWSALRRKVSSATMKEFVTAPKLPFPRVYSDSMLCIHTSENLSPSLSLSSSSSSPFYVSPYSISSDSSTHSKFLSELSTDSSSGVLASVPVPLPLSNISNLSLSSSSSQPMSNSADIHGVQLSHPYSQSASSPLKKLSTSLAEHRSSMSKSLKLPLMNDKTQEINKPSTFHSSPEAEGLMNTGTNSP >OIW06024 pep chromosome:LupAngTanjil_v1.0:LG08:21995513:21996546:1 gene:TanjilG_11711 transcript:OIW06024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLFLMCALQSILLYLILLHSCNIAAYMDEEWKKATATYTNDTEGSLITEGACGYGDLHKASYGKYSAGLSTILFSRGSACGACYEIRCVDHILWCMLGSPSVVVTATDFCPPNYGLSEDYGGWCNFPREHFEMSQVAFSEIAKRKADIVPVQYKRVKCQRSGGLKFTMSGSSHFYQVLITNVGMDGEVAAVKVKGSRTGWVPMARNWGQNWHCNVNLQHQPLSFEVTSNSGRTLTSYNVAPPNWQFGQTFEGKQF >OIW06620 pep chromosome:LupAngTanjil_v1.0:LG08:9997241:9998382:-1 gene:TanjilG_04014 transcript:OIW06620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEEEGRNMVVNTYPLSSYTFCTKEAKMEKDISVVDRCARLKFNYIKEGMRTTVGGILLVQEHNHPHILLFKNRYNFYTLPGGRLKLGENETDGLKRKLTSKLGADSPAYVPDWQIGECVGIWWRQKFGTIIFPYCLPHITKPKECRKLFLVHLSEKEYFAVSQNMKLLAVPLFELYDNAQSYGVVISTIPELLSRFQFNMMTN >OIW05885 pep chromosome:LupAngTanjil_v1.0:LG08:22865677:22866015:-1 gene:TanjilG_23671 transcript:OIW05885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKRIRSPRLELRLNLSPPRTPTSSTISANLSFDFSISSSSPSPSSVSTHSSEGSSCLSSEAEETRAMLLVGCPRCLMYVMLYDVDPKCPKCKSTVLLDFLNNEEKTKKTTI >OIW07276 pep chromosome:LupAngTanjil_v1.0:LG08:2490448:2493196:1 gene:TanjilG_08391 transcript:OIW07276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKGLTNWGKLEYDDDGGNDVEEREEFHVSFVPKKRFSTWEQVEDDEDSDNDDDYVEGGEEINESFVPKKGLSPYRVVEHDDENNVRDDNVRGRQEFNVCFVPEKGLPPWGQVEKDDDDDDDDVEGRDDDALFVPKKELFSMKEVVDDKHLSFRPAVMSVSGSKKMALMPDHGALFLEEMDVNVLSNRILALSRANKLRSAMEYFVSMELYGLCPNIHACNSLITSLMRNGWFDECLKVFNFTRTRKITTGHTYSLILMAHVKARGCDSALKFFRELESECDAEKDFDAVVYNTMISICRNVENWDEIVRVWRIMKANGCSGTHVTYRLLLNSFVRCSQSQLALYAYHEMIQNGFEPNSDTLNAMISVCAKEGKHDAALSIFQKMLSGRLKPNLIACNALINSLGRAGELQMAFRVYDTMKSLGHKPDAYTFNALISSLNRANRHHDALRLFEIIETDQSSQFNIHLYNTVLMSCSKLRLWDRAIEILWQMEASGLSDLTVSYNLVIRTCELARKPKTALQVYEHMVHQKCSPNMFTCLSIIRCCVRGQLWEELEEILNKTMPNAILYNAAIQGMCLNGKVNAANKVYEKMLESGLQPDVKTR >OIW06819 pep chromosome:LupAngTanjil_v1.0:LG08:8214055:8217304:-1 gene:TanjilG_03714 transcript:OIW06819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPTSPTYNNPLSEISPSPSPSSATAPALVLSNSGKRIDQAGKKKYVKQVTGRHNDTELHLAAQRGDVGAVKQILLDIGSQIMGTVNDDGGGGGGGGDVDDLKAEIAEVRASVVNEENELGETPLFTAAERGHLDVVKELLKYSTNETVSKKNRSGFNPLHIAASQGHHAIVQVLLDYDPGLSKTIGPSNATPLITAATRGHTEVVNELLSKDSSLLEIARSNGKNALHLAARPGHVEIVKALLIKDPQLARRTDKKGQTALHMAVKGQSCDVVKLLLEADAAIVMLPDKFGNTALHVATRKKRVEIVNELLNLPDTNVNALTRDHKTALDIAENLPLSEESSEIKECLTRCGALRANELNQPRDELRKTVTQIKKDVHTQLEQTKKTNKNVHNISKELRKLHREGINNATNSVTVVAVLFATVAFAAIFTVPGGDFDSGTAVVATGTAFKIFFIFNAIALFTSLAVVVVQITLVRGETKAEKRVVEVINKLMWLASVCTSVAFIASSYIVVGQKNKWAAILVTVVGGVIISGVIGTMTYYVVRSKRMRSMRKREKSARRSGSNSWHHSDFSNSEVDRIYAI >OIW05619 pep chromosome:LupAngTanjil_v1.0:LG08:24941300:24943711:-1 gene:TanjilG_23405 transcript:OIW05619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKRRARIAVPSQCSPFSALVGMTRYLFDGSMISYLLLGMKVLAKSPTIAKSPTFARDTRQLQFEADINRLFLYTSYNLLGKNADESDAEQIIEMASKSSVAIQQMQVQENVHSQIRTFCTFMDEVLVNDPLGSSPEASDRAILKQRPLSQAEISQKLKDQLGYTLNVKPSQISHKDSGQGLFLDGEVDVGSVVAFYPGVVYSPVYYGHIPGYLNEQNPYLISRYDGMIIDAQPWISGGDGQGVWNGRKMLENKADMEEAGKGSDSQSEPLEGTNIDNCDDVVEGRNPLALGHFANHPAKGMLPNVMICHYDFPLTENNMRLYIPNILFGNEEENVKSFDRFWSKSKLSKDSETHVPTLKTLVLVATRALQDEELLMNYRFNNSKQWPEWYVPVDEDEDLSRED >OIW06253 pep chromosome:LupAngTanjil_v1.0:LG08:17882448:17899434:1 gene:TanjilG_23310 transcript:OIW06253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERVLDFVEGVGESSSPPRSFSGFSNYDVRNDVYNRLVEIGHEQAVSNPDFKEQLEAHFNRLPPSYGLDVNIDRAEDVVLHQRLLNLAEDPEKRPVFHVRFLENVCTGTDEDQEIVSTHSSPRPSVDAINGGAVSCHKRTRDRATDYEACSKLEGLNLDVRKNSKEIEEKFLTDNFSQRQEQSSVPLHEVIFSTVDKPKLLSQLSALLSDIGLNIREAHVFSTTDGYSLDVFVVDGWPVEETDVLHDAMEKAVARSEGSWSRSSNSHLAVEKPIASEGTSGDWEIDRRLLKIGERIASGSCGDLYHGVYFGQDVAVKVLRCEQLNDALEDEFAQEVAILRQVHHKNVVRFIGACTKSPHLCIVTEYMTGGSLYDYLHKNHNVLELSLLLKFAIDVCKGMEYLHRNNIIHRDLKTANLLMDARNVVKVADFGVARFLNQGGEMTAETGTYRWMAPEVINHQPYDQKADVFSFSIVLWELVTAKVPYDTMTPLQAALGVRQGLRPELPKHGHPKLLDLMQRCWEGVPNNRPSFHEITVELENLLQEVESDSNSQANSA >OIW06672 pep chromosome:LupAngTanjil_v1.0:LG08:10355236:10367544:-1 gene:TanjilG_04066 transcript:OIW06672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSHPLRIDLNQIPSPSTFLDTIDVVRAYHQYPAPPSHPAALLPRGSTLCAACSNTGTSGVHAIVCDACERGFHVHCVGVRGGRGIVEWLCGECVAGGVKSKLWPLGVKSKQLLDINASPPSDVDGEELQDLRKHTVGDNPFGAPVAYSNLHNGCAFGFQKASGVVTHAVRVGSEDIRNHTQSLTRSFEEGLRDFISERCGVLEEGWRVEFRQSVCSSEVYAVYRAPDGKEFDSLYEVACYLGVTSGYNSMESEIRNDKSGGPQPSRKRKSTKMPAANCFAEKWGTLINSYCKDPPFDGLSVECAAIGGNIRKGTEAEIRREEDGLSSPEQSSDGLPLQFKDFFVLSLGKVDGRLSYHDVNLIYPVGYKSSWHDKITGSLFTCEVLEGGESGPIFRIRRCSCSKYVVPVGSTILSVSSLSQQFVSQTNEECERKTNDDMDHDGDESIQMILSDPCVPTENDVMSCIESFLNEALNTCTSDILQPVASSVQDKSRNSLADDSWSVDDIGEILVEERSSSSAWGVMSQKLVNACKDICKKKGILKFYCKHVENETCFNEWDARNGRNHSHISSLDKFCGSIGSVSIPDVIHAENDLDSLSEELVKWIGQDRFGLDVEFVQEILEQLHGVQDFSQYELLNSRSNSSSFPTVENGFLVVEQRGGSQYQEEEAVQDLYRRSKKARPTAKCVKENRCPPPPGKPLCSRVPNKHIGDIFQAWELIERFHEILDLKEPLLLDELEKELINPWFDGLEFVEKCERETNGSQVLNLPGGDGNCRSPRCELGPSGSVESSHAFIQVETEAMKQAAQAKLASFTYARCFGVALTKTHNSLLRVLIGELQSKVAALVDPSFESGETRSRRGRRKDIDIATPAIRTKLNMLPNNELTWPELARRYILAVLSMDGNLDSAESTAREGGKVFRCLQGDGGLLCGSLSGVAGIEADALLLADATKKIFGSLSEDNNVLTIEEEESDVKDDSENLLGGDDSIPEWAIELEPARKLPTNVGTRIRRCVYNALEKNPPEWAKKILEHSISKEVYKGNASGPTKKAVLSVLATVAGEGLQKKPPKKRRKKTEISISDIVMKQCRVVLRRAAAADDSKVFCNLLGRKLTNSSDNDDEGLLGSPAMVARPLDFRTIDLRLAAGAYGGSHEAFVEDVRELWNNVRVAFGDQPDLVELAENLSQNFEWLYKEEVVAYSQKFVEYAKLGCLNAEMRKERTFLLKFLCDELLNSFLIRHHLEQCAELSVELQQKLRAFYVEWKGLKAKEAILSTKAAKIDTCLLSKTGEVVHKEGFPATLVSVTNSDTGSQSMSSVDVEGQHRKISAAVESECIDKFTKSSPSPNHMDREINDAGGADHHILGNHQKCEGRDISTIVTSQQGQFVPVGAPQIAVNEAQPYHVELNATRREISTLQDSIASVQSQLLKLPVRREFLGSDSIGQLYWASALPRGHSCIVVDASDALLHGRGMASDRDSVDKFSFMPHSALTAKDNYKIIQPSNALGISSPWVAYETDGEIEELLSWLNDKDAKEKELKVSIMLWSKSRFHDLINAQTEGQVEDQGPFSIPGIREKILSSLVMKATSLLEKKYGPFFEWDTIEVLKKLNKKARNTNDLKMYRCECLEPIWPSRKHCIFCHKTFLNDVDLEGHNDGKCNAGLLALEKNKDSSGSSKGRGNLKCGTSSEKFRGDADTAGTSINGYSTSNLSSSLIKFSNEGFSCPFNLGDICSKFATNDSNKELVREIGLIGSDGHPSFVPSISPFVSDSTVMLFTAQNDDNVVNGESKGLESQVSQGSTDGAGRLAANESNNLGNPNTSLVEHRDGKSSFPIPASDMGVDGCCVVPSSSLRPLVGNVSHILRQLKINLLDMDAALPKVALKRSKVELHGRQAWHAFVKSAQTIYEMVQAIITFEDMIKTEYLRNDWWYWSSFSAAAKSSTLSSLALRLYSLDSAIIYEKLLSSNMTDSPKPSIAELKQPPIIVDADKSKATRKSNRKRKESDG >OIW06477 pep chromosome:LupAngTanjil_v1.0:LG08:12208956:12215004:-1 gene:TanjilG_05248 transcript:OIW06477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKRSGHHSQPESVSDKIFQLRGALVIVAIPLVIVSIVLYLMPSNEDYALTHRKMSPNYKSSYAVIFDAGSSGTRVHVFHFDHNLDLVNIGNDLELFLQIKPGLSAYAQNPQEAAESMSSLLDKAESVVPREFRPKTPVRVGATAGLRTLEGDTSDRILQTVRDFLKLRSTLRSDADAVTVLDGTQEGAYQWVTINYLLGNLGRDYSKTVGVVDLGGGSVQMAYAISETDAAKAPKVPDGVDPYVKEMFLRGRKYYLYVHSYLHYGLLAARAEILKVSDDSGNPCILAGFDGSYKYGGNSFKASSPSSGASLHECKSIALKALKVNESTCTHMKCTFGGIWNGGGGDGRKNLFVASFFFDRAAEAGFADPNSPVVKVRPVDFEDAAKQACQTKLEDVKSTYQHVEEGNRPYLCMDLVYQYTLLVVGFGLEPWQEITLVKKVKYRNALVEAAWPLGSAIEVVSSMQ >OIW05916 pep chromosome:LupAngTanjil_v1.0:LG08:22203631:22205222:-1 gene:TanjilG_07192 transcript:OIW05916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRAPFYDKSGVKKGAWSREEDERLRDYVQRYGHSNWRKLPKLAGLARCGKSCRLRWLNYLKPNLKHGDYTQKEEEMIMKFHQELGNKWSLIAEKLPGRTDNEIKNHWHSHLKKCLKSNDITTSDLNSKPGHDPLDGKTTHQFEKSESLDANEGADLYHILESSSPMSPQPCYINEAHNYSLTSNVAESTMNCNTSKEDIVDQWVTFEEFGSGFWTEPFIVEHTYTDNEILCDEGLAFFVPHF >OIW07348 pep chromosome:LupAngTanjil_v1.0:LG08:982379:985360:1 gene:TanjilG_10183 transcript:OIW07348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLKVSNANLVVYIHPSKSRDVSQALLRELSSLLFTYNESFDGVVLAYDVNSMDKCAKILPGVHPYFGVNLKVNLLLFSPKPDMHLEGKVVKLTQESIHVVVLGFSSAIITEKFIRDDLVYKTKRGQDVYASKSHKRHVIKVGSTIRFLVKSFDEEILHICGALDTDNTGSINWLDRNLEVSNHTDRSAKKRESESEPMLLEQAVSGERSTVDTVQKIKKSKKLKIRQES >OIW07102 pep chromosome:LupAngTanjil_v1.0:LG08:5369568:5370227:1 gene:TanjilG_02736 transcript:OIW07102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRKKVKLAYIVNDSARKATYKKRKKGLLKKVDELTTLCGIEACAIIYSPFEPQPEVWPSPWGVQKVLSKLRTMPELEQSKKMVNQETFLKQRILKAKEQVMKLQKENREKEITQLMFKCLGSDKVQFNNLSATDLNDLAWMIDQNLKHIKRMMEKVGKNDQMNQGRTHMVAPTTLSLSGRVPKNEEIALEMMNGNGNEAMQFGETNLIMGFDLNPLLF >OIW06958 pep chromosome:LupAngTanjil_v1.0:LG08:7102546:7106440:1 gene:TanjilG_18346 transcript:OIW06958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESNGHRRIKRHDYVNQNGNLHLPNGDDEHDPWTAWAYKPRTITLLLIGACFLIWASGALDPERDASGDLVTSVKRGVWAMIAVFLAYCLLQAPSTVLIRPHPAIWRLVHGMAVVYLVALTFLLFQKRDDARQFMKYLHPDLGVELPERSYGADCRIYLADNPTSRFKNVYETLFDEFVLAHIIGWWGKAILIRNQPLLWVLSIGFEMMELTFRHMLPNFNECWWDSIILDILICNWFGIWAGMHTVRYFDGKTYKWVGLSRQPNIIGKVKRTLGQFTPAYWDKDEWHPLLGPWRFIQVLSLCIVFLTVELNTFFLKFSLWIPPRNSVVIYRLILWWLLAIPTIREYNSYLQDRKPVKKVGAYCWLSLAICIVELLICIKFGHGLYPKSMPLWLVMFWSSVGVAILSFLLFWTWQIHRSLAKKRQ >OIW06851 pep chromosome:LupAngTanjil_v1.0:LG08:7927926:7931294:-1 gene:TanjilG_18233 transcript:OIW06851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRWLCCNCQVEESYSSNENEHQKSPRHYGDGNHRGSKASAPTKPETQKAPPPIEVPALSLDELKEKTDNFGSKALIGEGSYGRAYYATLNNGKAVAVKKLDVSSEPESNNDYSEFVSFVIQCSLFQVSMVSRLKNDNFVELLGYCVEGNLRVLAYEFATMGSLHDILHGRKGVQGAQPGPTLDWIQRVRIAVDAARGLEYLHEKVQPAVIHRDIRSSNVLIFEDFKAKIADFNLSNQSPDMAARLHSTRVLGTFGYHAPEYAMTGQLTQKSDVYSFGVVLLELLTGRKPVDHTMPRGQQSLVTWATPRLSEDKVKQCVDPKLKGEYPPKGVAKLAAVAALCVQYEGEFRPNMSIVVKALQPLLKTAAPAAPES >OIW06449 pep chromosome:LupAngTanjil_v1.0:LG08:11734920:11738685:1 gene:TanjilG_05220 transcript:OIW06449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLQQNELDRLLFFEHASNTAQAQYANNPLDADNLTRWGGALLELSQFQSFPESKKITQEAISKLEEALTVNPKKHDALWCLGNAYTSQAFLIPDQEEAKAYFDKAAEYFQQAVDEDPTNELYQKSLEMAAKAPELHVEIHKHGFGQQQQATGPSTSSGTKTQKKKKSSDLKYDIFGWVILAVSIVAWVGFAKTNTPPPPPLAR >OIW06806 pep chromosome:LupAngTanjil_v1.0:LG08:8495876:8499378:1 gene:TanjilG_11531 transcript:OIW06806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSLYLSQALLARAVHGLNPSSDRVSLSTSTLYLPSFSGLRSHSSSTSSSCKAPTSTSSSRRVSSSSSSSVRATAIETLDKTTDVTLVEKSINTIRFLSIDAVEKANSGHPGLPMGCAPMGHILYDEVMRYNPKNHTWFNRDRFILSAGHGCMLQYALLHLAGYDSVLEEDLKSFRQWGSRTPGHPENFETLGIEVTTGPLGQGIANAVGLALAEKHLAARFNKPDSEIVDHYTYVILGDGCQMEGISNEAASLAGHWGLGKLIAFYDDNHISIDGDTEIAFTESVDKRFEGLGWHVIWVKNGNTGYDEIRAAIKEAKTVTDKPTLIKVTTTIGYGSPNKSNSYSVHGSALGAKEVDATRKNLAWPYEPFHVPEDVKKHWSRHTPEGAALEAEWNAKFAEYEKKYKEEASELKYIISGEFPAGWEKALPTYTPENPADATRNLSQQNLNSLVKVLPGLIGGSADLASSNMTLLKSFGDFQKDTPEERNIRFGVREHGMGAICNGIALHSPGFIPYCATFFVFTDYMRAAIRISALSEAGVIYVMTHDSIGLGEDGPTHQPIEHLASFRAMPNILMLRPADGNETAGSYKVAVVNRKRPSIIALSRQKLPNLSGTSIEGVEKGGYTISDNSSGNKPDVILIGTGSELEIAAAAAEDLRKEGKAVRVVSFVSWELFDDQSDEYKESVLPAAVTARVSIEAATTFGWEKIVGSKGKAIGIDRFGASAPAGKIYKEFGITKEAVIAAAKELS >OIW05792 pep chromosome:LupAngTanjil_v1.0:LG08:23746101:23746703:-1 gene:TanjilG_23578 transcript:OIW05792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCLNIFRIISSLIFISTLLHTASASNSTNALNTYKKFIKVKCYSTTYSDVCYKSLSPYASKIKTNTFTLTKTSVYLALNATKTAYVTLKKLSKSKGNLTHAETEVLADCKDNIGDTVDLLQQSADTLGYLNGITTDEERFQWDGIKTWMSASITDEGTCTDEFDEMEVQPSLQKKIKPIVANVAKKNSIALALVNNLSY >OIW07388 pep chromosome:LupAngTanjil_v1.0:LG08:163206:165602:-1 gene:TanjilG_10223 transcript:OIW07388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFCEYHLDLIVEYGAVPVIVPRVSGVHMLLESFEPIHGVLLCEGEDIDPSWYEQDTIDLSEEELDEIRRLHVSDTSIDKEKDSIELSLAKLCLERNIPYMGICRGSQILNVACGGTLYQDIGKELSKKYPENEKVMHINYDDYDGHRHEVKVVENTPLHHWFKDSLEEGEMDICVNSYHHQGVKGLAQRFVPMAFAPDGLIEGFYDPDAYNPQEGKFIMGLQFHPERMRKPDSEEFDYPGCPFAYKEFVKAVVAYQKKQNSLISVEKPLKLNKEMETKRKSIVRSFSLAKDLYNHGINSIQESELEAGAEFLESNKALSVQQEIRLKQMGATVRNAGSYKERVKLNEEREKLARNVMTKMSVEQLSDLLSFYNTMAQICSQVMEIKIHGLQ >OIW07171 pep chromosome:LupAngTanjil_v1.0:LG08:4580294:4585063:1 gene:TanjilG_10144 transcript:OIW07171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEETLLDDIINRLLEVRGRPGKQVQLSESEIRQLCTVSRVTFLQQPNLLELEAPIKICGDIHGQYSDLLRLFEYGGLPPEANYLFLGDYVDRGKQSLETICLLLAYKIKYPENFFILRGNHECASINRIYGFYDECKRRFNVRLWKTFTDCFNCLPVAALIDEKILCMHGGLSPDLANLDLIRNLQRPTDVPDTGLLCDLLWSDPNKDVQGWGMSDRGVSYTFGADKVSEFLEKHDLDLVCRAHQVVEDGYEFFANRQLVTIFSAPNYCGEFDNAGAMMSVDETLMCSFQILKPADKRAKFNYGSTTTSRPGSSPAVVKPLQSFLGTNA >OIW06423 pep chromosome:LupAngTanjil_v1.0:LG08:11476395:11481153:1 gene:TanjilG_05194 transcript:OIW06423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDTTNTGKLSFSSSSSSLSITEKKKKKNQRSYGCMNIFCQLFDWNKRLTKKNLFSKKLLTLGHARAKQASSKKFIGDVKIPNSKPNLIANENNGGFPSAENGGNPVIEIEQKHEMRVPGLVARLIGVESFPDSQQDKSNEASFCYTCGVVEKESLGNHSSKADKCGVDSEIGVVEHDLRPHKIQKLGTNERRAVTRFGAEPSQIKSVLSRARKYNQRQHHPKPASPLKSPRISSRKTGPRSSRLIGGVTKILEPGLHASSRAKCSLTSASIHTPKNGIVTERVGTRSAYLEAQSDYDAGIANSSMEHTSCQNCGNLLVDCKPDVKALPHISLPNVSDVFTDSSLVLAPKERSLMPFHEHDIVFLESQEKNLVSVANEEEGKSNVQLCKESMTGRMPIFREGPDKWNSSCQPCIKDEASSFVFKHKTLTSNVNETKDFVALNRSLSGRTRMRSPTEENDSEFVLEGKPCSKPDDSLPWASTLELKRKTRQVEGMPSVNLVAVEPRNLSSDAHRGKMRDFNASSRTSSNVKRKRGVQQKTYKVNDNKVNEVVSFTSNSPFKQMIVLPCGREGTTSDNEMKKYLQGPLPFREDAIGAFLRQKLDELCSQEDGKLDIGYPPKKPTSMILRELISALSSEHLKSPDDHIFEDKYVTSGERLLRSSSFANHLSHESVLQTSFSSSSLDESSGHGSHPDPINCSCDKLGQLELDAELLDSATTFNKGKVGCEILTKLVDQVTTILQSLNFFGTRLTKSKFNHMKDVILNAELVLRNVTEQNEDGVPQLLISCFLRDNLDAMANDATWKDFNAIVDCDDDSKERIQLKAFLLDCVIEYLESNCSQYYDNVFKTFSAWTKLPLCAKAEKLVQEVKREIKKWSWIARMEPYGITEWDMSHSLVKWNDFDIEAFEASIDIDEDLLQTLIDEIVEDLVDSSTAS >OIW06909 pep chromosome:LupAngTanjil_v1.0:LG08:7746129:7747148:-1 gene:TanjilG_19558 transcript:OIW06909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIVCHGLQSHLESQILESRTLRLRLPSSKILPSNSQQPIDLALKSCLRDSNIKTHLEEENNKKKIETQTPLSSKANDMSGWNFLEALSNVTTKEPSSLYVHPQQNRSSLVLSPRSLELCTENLGSESGSDIVHNNIDINMNMNMNMFSSLEYYVGGNIEAMEQSKPCKNLAPKKGKAMNFPPPLTTIAGSESLSLRPHREDGRLVIEVTKAPPMFASCFHAERSHGCLRLRFSNNHIPCFDPEEEEEEHEEENERKDVDDLKYQRDEVFDEESENEMKGQMRDIEEEDNNDDDVNVGFECEMRMEKYEWQRRCKDGDGNENNEMLLNWVESHWVVTS >OIW06334 pep chromosome:LupAngTanjil_v1.0:LG08:14090398:14093044:-1 gene:TanjilG_14979 transcript:OIW06334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQLLETLKEAIVAYIGLSVPTFFTLLAVLIAFYYVVSSFFGSSDQHAHGSSRGYESPVQPLKPPVQLGEISEEELKAYDGSDSEKPLLMAIKGQIYDVSQSRMFYGPGGPYALFAGKDASRALAKMSFEDKDLTGDISGLGAFELDALQDWEYKFMSKYVKVGTVKKTVPVTEGEAQSSAEPSESTPCDAEADASKKPTEDGPSETPAVKSETPANIDADKE >OIW06825 pep chromosome:LupAngTanjil_v1.0:LG08:8253860:8256191:-1 gene:TanjilG_03720 transcript:OIW06825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVSSCSFTWISPCLSHKIKNLLHSNDLPRNTATSYSKNIFCAMDATSSEESHCQRRPLLLGIGALTVNLQPTTSLFAQEIPNKYRAFVDYTDGYSYIYPSDWKEFDFRAHDSAFKDVLLQLQNVRVRFIPTEKKDIRDLGPVEEVIPYLVKHRFAAPNQRPTIYDMQERSVDGKHYYTVEYVLASQNYSSASFATIAIGNGRYYTLIVGAVERRWKRVRDKLKVVADSFKLLDI >OIW06705 pep chromosome:LupAngTanjil_v1.0:LG08:10654580:10656431:-1 gene:TanjilG_04099 transcript:OIW06705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGSVTKVCFIVLVTLAIVIPCLEAGIAEFDDYLKAQAEEARKLTLETYVPNPEEITEDLNLHVHKALQEYENESTNSTTRRELRQGRKHRGPCQATNPIDRCWRCQANWANDRYRLAKCGRGFGRRATGGLGGPIYVVTNPSDTNMENPIPGTLRYGVTRKGPLWIIFKTSMVITLEQELMVSSDKTIDGRGANVQIKGGGGITMQFVNNVIVHNLRISKIVAKKGGMVRDSFDHVGFRTRSDGDAISVYQSSNIWIDHLSLSESEDGLVDVIQGSTGITISNCHMTKHNDVMLLGASDSFSGDKIMQVTVAFNHFGQGLIQRMPRLRWGFVHVVNNDYTHWLMYAIGGSSGPTVLSQGNRFIAPNNDAAKEITHRDYATPEVWKKWQWTSDQDYFMNNATFTQTGNKVTNLPYGKKFIMKPRHGIYANRLTRWAGALPCIAGLPC >OIW06848 pep chromosome:LupAngTanjil_v1.0:LG08:7948531:7949928:1 gene:TanjilG_18230 transcript:OIW06848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQQSQIMMNQTQPQIMNRGGYNKFWSQQQQQQPHMDPNMKFKNPMQSNFAKSGRGNNWKGKNVSDKRKDTRRIMEKPNPSGSITLPIPNNNTVVGIGGSYQPPTLHELQSQNRLKARKFYPKKKFNNRFAPYAPRNTTSFIIRAKKSGGIASLVSPCPVTPAVLPTPILSPSREMLGDMAKEEWGVDGYGSMKGLIRLRSPGHEADVHDEEDEEDGGGGSSESDVEEHVEVERRLDHDLSRFEMIYPNYGGDYNNGLENRVDDQDSHIAQLEEENLTLKERLFLMERELGDLRRRMLFLERQNHAVEDVNEEVVENGSDNESEGGLDVPVMGIENNVEMVHSMLESVRNENFEVQARVDNDGVSVAGGAGDVCMVESVPDEVIAKKNEIKSNEMEDEFVINEVEKAKVEQKDEEATQRFLPDEVLAKENDVLNKNVSGEFDMQDRNDESNFREAANDCGNDSLY >OIW06380 pep chromosome:LupAngTanjil_v1.0:LG08:15001989:15003494:1 gene:TanjilG_15025 transcript:OIW06380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHRDISSVNSLITSYVRRGHSLSAWALFHHVHQVRSNLDAFTFTPVLRACSLLPFANRGKQVHAHMIKMGADSGTVAKTALLDMYSKYGYLVESKRVFEEMGHKDIVAWNALISCFLRYDLPREALGVLRAMRRENVELSEFTLCSVVKSCASLKALELGRQVHGLVVAMGRDLVVLSTALIDFYSRVGCVNDALKVFYCLKGAKDDMMHNSMVSGCIRNKRYDEAFKVMGLVKPNAVALTSALVGCSENSDLCLGKQIHCVAVRQGFTCETQLCNAILDMYAKCGKISHARLLFDRIFQKDVISWTSMIDAYGRNGHGHEAVELFQKMREDGSKVLPNSVTFLSVLSACGHSGLVEEGKKCFNLLREKKYGLEPDPEHYACFIDILGRGGNIEEVWSEYNNMVEQGTRPTAGVWIALLNACSLSQDAERGEVAAKHLLQLEPNKASNVVLVSNFYAAIGRWDCVDELRSVMRTKGLVKEAGNSWINVSGFNQHARFLSA >OIW06708 pep chromosome:LupAngTanjil_v1.0:LG08:10690271:10693934:1 gene:TanjilG_04102 transcript:OIW06708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIERTLSDRKGKNFCELPEEKITNSKSRKKNRRRHKKAAPPVQKLFDTCKDVFVSDGVGIVPPPQHIQNLQSVLDGIKPEDVGLTPDMPYFLTNVEQRIPRITYLHIYECEKFSIGIFCLPPSGVIPLHNHPGMTVFSKLLFGTMHIKSYDWVVDLPANSSTTLKPSESLASETQLAKVKVDADFTAPCNPSILYPADGGNLHCFTAVTACAVLDVLGPPYSDPDGRHCTYYLNHPFSRFSVDGISIPEEEKNGYEWLQERVLPDDVEVVGHLYSGPKIVEN >OIW07402 pep chromosome:LupAngTanjil_v1.0:LG08:27113:34133:-1 gene:TanjilG_10237 transcript:OIW07402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKINVKTLKGTHFEIQVKPQDSVGDVKRNIEAAQGGDVYPAAQQMLIHQGKVLTDDTTLEENKVAENSFVVIMLSKSKVSSGGTSTASSAPTIPPTQPAVSLPPTSLPSSTSQPPALTVGQGESNAEQTPVLTPPTTAVPSIHGLGEPNLVTGSNLESTIKGILEMGGGSWDRDTVIRALHAAYNNPEQAVEYLYSGIPEQAEVPAVARSPTAGQGPNPSVPVPQPAVSTGGPNTNPLNLFPEGMPDVGAGDLDFLRNSQQFQALRAMVQANPQILQPMLQELGKQNPHLMQLIQEHQTDFLRLINEPEGEEDLEGELAAEVPETITITPEEQASIQRLEDMGFDRDLVLEVFFACNKNEELAANYLLDHNNEFED >OIW07139 pep chromosome:LupAngTanjil_v1.0:LG08:4991690:5004353:1 gene:TanjilG_10112 transcript:OIW07139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHYSRNTAQKQWDETLVLVLGGIARILRLFFPFFKSLCNFWSGWESLLQFVENSILNGSKEVALAAINCLQTTVNSHSSKGNMPMPYLISVIDVYELVLKRPPSYSGNATDKVKQEILHGLGELYVQAKGLFNDIMYTQFIAIIDLAVKQAMLTNDNFEIEFGNVPPMLRTVLEILPLLSPAEHISSMWHVLLREFLQYLPRQDSHLQNEDSKVDQARGSNFIPDSHMNHEAPNGIAPVSPNKVAASPVSGSTAAINMGIPSYLFAEKLVPVLEDLFMQAPASEKYIIYPEIIQSIGRCMTTRRDNPDGALWRLAVEAFNHVLVDYVSKLTCGGLYLSISKPVRTRIWKEIADVYEIFLVGYCGRALPTNSLSAVVLEADESLEMSILNILGDTILKLPIDTSMDILQRLVSTLDRCASRTCSLPVETVQLMPPQCTRFSLTCLQKLFSLSSYSNEVSWNVTRSEVSKISITVLMTRCEYILSRFLIDENGLGDYPLPKARLEEIIYVLKELSRLVIHPDAASILPLHPCLRSGLAEEKEKHDNRPHLFVLLPSFCELITSRELRIRELVQVLLRLVTKELSLEKLSLMGEKNTSR >OIW07195 pep chromosome:LupAngTanjil_v1.0:LG08:4301148:4304554:-1 gene:TanjilG_10168 transcript:OIW07195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSGAEVEKDDANSSLTEEDLSYLCKLVEEKDDGPVWIPMMDRSTPTMSFEAWRRDPENGPPQYRSRTVFEDASPELVRDFFWDDEFRSKWDDMLLYANTIQESPLTGTLLVHWVCKFPFFCSDREYVIGRRIWNSGQAYYCVTKGVPCPSLPRRSKPKRVDLYYSSWCIRAVKSRKDGQLTACEVLLFHYEDMGIPWELAKIGVRRGMWGTVKKFDPGLRLYEKERASGVPLSRSALAANISTKVSEDYLRSLENTTSNMLETENQVDSPSKPVGRNIPKLLVVGGAIALACTLDHGLLTKAVLFGVARKFAKIGRKL >OIW07346 pep chromosome:LupAngTanjil_v1.0:LG08:1065023:1068727:-1 gene:TanjilG_10181 transcript:OIW07346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEKKLIGARFFSKAFEAANGKLHPSQLTARDFVGHGTHTLSISGGNFVGGANVFGNGNGTAKGGSPSARVAAYKVCWSQTDASSCYGADVLSAIDQAISDGVDIISVSAGGRDSISSEEIFTDEVSIGSFHALSNNIVVVASAGNDGPQPGTVLNVAPWVFTVAASTIDREFSSTLSLDNNNQVTGASLFVNLPPKKSFTLIQATDAKLANATFRDAQLCKPRTLDPSKVNGKVVICVRGGKIKSVAEGQEALSAGASGVVMGNDDQSGNTVLAEPHVLSTVNVNNQKFKKNIHSIKTTSNNSTIKMSIARTLLGTKPAPVMASFSARGPNPIQPSILKPDITAPGVNILAAYSEAASASNLASDTRRGFPFNVLQGTSMSCPHIAGVAGLLKTLHPNWTPAAIKSAIMTTATTRDNTKRPIRDAFDKTLATPFAYGSGHVQPDFAMDPGLVYDITIVDYLNFLCASGYNQKLIAALRKSKKPFICSKSHSTITDLNYPSITLPNLGLSAVNVTRIVTNVGTPSTYVASVNLPGFKVVVVPNSLTFKKVGEKKTFQVVVQATRVIQRGDYQFGELLWTNNVNHVKSPIVARRK >OIW05999 pep chromosome:LupAngTanjil_v1.0:LG08:21820681:21821295:1 gene:TanjilG_11686 transcript:OIW05999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDILADTLQQPLLENAPIPQKLAKTPIQKTIRKTFKGAANLSNLLPTGTVLIFNILSPAFTHQGKCHTITSKTMTIALLTFCSLYCFIISFTDSFRDERGKVRHGIASLNGLWVMDSSVKLPSDEAKKYRLRFIDFVHAFMSTLVFIAIALSDKSVVSCFEPNLSDEEKDLLITVPMGIGLVCSFMFVVFPSQRHGIGFPLSPN >OIW07252 pep chromosome:LupAngTanjil_v1.0:LG08:2810441:2814171:1 gene:TanjilG_08367 transcript:OIW07252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRNRTIVWFRRDLRIEDNPALVAAARDGSVFPVFIWCPNEEGQFYPGRVSRWWLKQSLDHLNQSLKSLGVGLVLIKTHSTIAALLECVNAIQATKVVFNHLYDPVSLVRDHNIKEKLVEHGISVKSYNGDLLYEPWEVYSESGHAFTSFDPFWKRCLDMQTKGVSLNPPWQLFPAEGKVNKCSIEELGLEDELERSSNALLRRAWSPGWSNADKALTEFVEKHLLHYSKNRLMVGGDSTSLLSPYLHFGELSVRKVFQSARMKQILWVNEGNIVGEESVTLFLRAIGLREYSRYLCFNFPFTHERALLGNLKFFPWNADPANFKAWRQGRTGYPLVDAGMRELWATGWIHNRIRVIVSSFAVKMLLLPWKWGMKYFWDTLLDADLESDILGWQYISGSLPDGHELERLDDPEVQGAKFDPEGEYVRQWLPELARMPTEWIHHPWDAPLTVHSASGVELGQNYPKPIVGVDSARERLTQAIFKMWEMEAAAKAADSEGKNEVVADNSNSAGNLDIPKVVLKGKTPCATISANDQKVPAFQDPKNDQPIRKRSKCVAEAEPNPDKSQNHKNDPEVSGIDQEEMCSTAESSCKKQSTTSTYSFSVPQQCSSSSNPKWSWQEQIDMEQNSSEDSKHPYISKGEKK >OIW05906 pep chromosome:LupAngTanjil_v1.0:LG08:22673093:22674382:1 gene:TanjilG_23692 transcript:OIW05906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMDAITIIHAIFTILFFLPITTFSISSLSQSQSQINSNSILVALLDSHYTELAELVEKAMLLQKLEDTVSAHNITIFAPKNQAFFDPDFKRFLLEPRNLNSLQTLLMSHIIPTRIGSKTGSARHRTLAGDHHHLRLQTNSTTTDTQWTVDGACITHPDAVTRPDGVIHGIDHLLVPRSVQDDFNRRRSLRSISAVKPEGAPEVDPRTHRLKKPVPPSNPGSQPALPISDALAPGPAISPAPAPGPGGPHHHFNGEAQVKDFIQTLLHYGGYNEMADILVNLTSLATEMGRLVSEGYVLTVLAPNDEAMAKLTTDQLSEPGSPEQIMYYHLIPEYQTEESMYNSVRRFGKVHYDTLRVPHKVVAQEADGSVKFGDGDGSAYLFDPDIYTDGRISVQGIDGVLLPLEEVKDVTRVKTGQPAKVVVKQRRG >OIW07192 pep chromosome:LupAngTanjil_v1.0:LG08:4356465:4361337:1 gene:TanjilG_10165 transcript:OIW07192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAPIGFNPDGSTDISPSFPIYEGLNTHNTHHTSQDWNTKGFGTNSSEDNYCNNNSKNLLLETSCNQQQHPKLENFLGQHSFGDNHHPTYGGGTNNKASSSDLMFPNCSLQLPLTSGGDGGDGGGSPRNNTSIGLSMIKSWLRNQPQQSDSTNMNSSSVSQSSSSVALSLLADNVDNRESSSLENNKHNPKTSSAVVDSQGGGAVVESAVPRKSSDTFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQTRKGRQGGYDKEEKAARAYDLAALKYWGAATTTNFPTSNYEKELEEMKHMTRQEYVASLRRKSSGFSRGASIYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFGTQEEAAEAYDIAAIKFRGLNAVTNFDMTRYDVKSILESTTLPIGSAAKRNLKDNSNLDLPFTTTLDHNNIRSSSSVQNNSVTSHLGYGPTTTLYHGWNSSLAFQQPHPYSQIQNNYPTYGHNSHKLWCKQEQDSGDHTSHQTFNDIHNQLQLGHNNSTHNFFHSDSRLNGVMGIGSSSSIDNNSSSSSSVIYDGNGYGNVGGYVVPMATPTYNIGVDSNQSQRISNGFGRDNEVKVLGFENSYSTSDPYHARNLYYQQTSADACNTWVPTSISTLAPRTNNLALPKLLNPSHYCMNS >OIW07018 pep chromosome:LupAngTanjil_v1.0:LG08:6335620:6338849:-1 gene:TanjilG_02652 transcript:OIW07018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAKLSRAAKKLTSSPIQELSYLAQRCNAINLAEGFPDFPAPRHIKNAAVSAINSDFNQYRHVQGICDHVSKMVKEMHGLEIDPLTDVAICCGQSEAFAAAIFATIDPGEEVILFDPSYETYEACITMAGGIPVHVALDPPQWTLDPSKLLSSFTERTKAIVLNSPHNPTGKVFTKDELEAIAGACCSRNCLAITDEVYEHITYDNEKHISLASFPGMQERTIITSSLSKTFSVTGWRVGWAIAPAFLASAIRNIHTKVTDSAPAPFQEAALTALGSPPEYFESLKRDYQLKRDYIVKLLGGVGFKIQFIPKGSFFLFAELPDNYPHSDVDFVKKLILEAGVVAVPGQGFFHTSLSSNEASNVNIDYQKRYIRFAFCKSDATLATVSERLSKILDSEGLLALH >OIW06590 pep chromosome:LupAngTanjil_v1.0:LG08:9789943:9792240:-1 gene:TanjilG_03984 transcript:OIW06590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFRSIVRDVRDGFGSLSRRSFEVRLPGHNRGKSRNSVHELQEQTPVIQNSRWASLPPELLRDVIKRLEASERTWPGRRNVVACAAVCKSWRDMCKEIVNSPEFCGKITFPISLKQPGYRDGPIQCFIKRDKSKMTYHLFLCLSPALLVENGKFLLSAKRTRRTTCTEYILSMDADNISRSISTYNGKLRSNFLGTKFIMSDTQPPYNYAQLSPPGRRSRFYSKKVSPKVPSGSYNIAQVTYELNVLGTRGPRRMNCTMHSIPASSLEPGGTVPGKPELLPRTLGDSFGSISLSKSFDTSTEFSSARFSDIIGAGKEEKEGKVRPLVLKNKSPRWHEQLQCWCLNFRGRVNIASVKNFQLISATSSATSGTGSTLSQPTTQSDHDKIILQFGRVGKDMFTMDYRYPLSAFQAFAICLTSFDSKLACE >OIW06119 pep chromosome:LupAngTanjil_v1.0:LG08:20915521:20916158:1 gene:TanjilG_29875 transcript:OIW06119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKELNTKAELNVRLETLWEAMSKDLLMTQKVLPNVVKDVKVIEGDGGVGTILHFTFNPDVSSISYQKEKIVDLDENTHEFGLEVIEGGHLNQGLSYYKTSFQLSAIGETQTLVTMKISYDYEPNIEESTHSMKTSDSMLSYLRCLEKYILNDA >OIW07320 pep chromosome:LupAngTanjil_v1.0:LG08:2052447:2057447:-1 gene:TanjilG_11954 transcript:OIW07320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSFREDTKSFRSNITISSTASSPGYTLRDKKKDGRTRDTRDVGTQSTPTYLSSSCTSPASTTPSITERSKTRPIDSSNSKSNTKSQEEMEVKDKETLETKETEKEKNEWMKEEVQYFKQSGCFSWIKKKRKREKNRQKRNNIFLTHFNGC >OIW05687 pep chromosome:LupAngTanjil_v1.0:LG08:24481552:24481830:-1 gene:TanjilG_23473 transcript:OIW05687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSLSKQKPCDSSWTPKQNKLFERALAKYDKDTPDRWHNVAKAVGGKLPDEVKKHYEILLEDLRHIESGRVPIPNYKSSINTTNVDEEER >OIW06332 pep chromosome:LupAngTanjil_v1.0:LG08:14042838:14043362:-1 gene:TanjilG_14977 transcript:OIW06332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIHIRCNCGEGTCQEWGIVELQGIVEPNPSFHHSLQNLHIGTLCRPSSQEVYTFTVGYHELSGSKVPLKKPLLVLNKIKNEGGESGCSEVELRVVGIIRNRILFKTRPKALISSNISFLFLLYITFFFEATCEVYCYS >OIW07066 pep chromosome:LupAngTanjil_v1.0:LG08:5784921:5785172:1 gene:TanjilG_02700 transcript:OIW07066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGNKQRKSSSSFFSIFNFFSSKKSRAVKYDTYDSGRKVWPSDYDRDQWGAAEPDIDKKAEAFILNYKRRVSESERFQLDPAA >OIW05640 pep chromosome:LupAngTanjil_v1.0:LG08:24779461:24781990:1 gene:TanjilG_23426 transcript:OIW05640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNGLQGWTAFAGAQIEQRESSGNKYVVAHKRKQAYDSVSQKINLQMGTHYTLSAWIQVTGKNVPVIATVKTSKGYNLAGAIFAESNCWSMLKGGLTADTSGPAELYFESNNTSADIWIDSVSLQPFTEKEWNSHQVQSIDKARKRKILVKAVDKEGKPIRSASISIVQKKSGFPIGSAITNHILKNKAYQQWFTSRFSVATFANEMKWYSTESVQGKENYADADSMLQFAKQNNISVRGHNVFWDDPNYQPSWVSALSPEKLKSAVQKRIQSVVTRYKGQLIHWDVNNENMHFSFYESKLGKDFSGWVFNEVNKIDPKVTLFLNDYNTIEEIRDSLVTPSKYVQKVKEIKSYPGNNGLTIGIGLESHFTNVPNIPYMRSTIDTLAATGSPVWITEIDVANQPKQAEYFEEVLREAHSHPKVEGIVMWTGWSPKGECYRICLVDTNFKNLRGGDVVDKLLHEWSSTQVSGITNQNGILEATLFHGHYDVTITHPTKKNNNFTHPMQVLPINQSKKTTTQLIQLSI >OIW05599 pep chromosome:LupAngTanjil_v1.0:LG08:25090913:25095423:-1 gene:TanjilG_23385 transcript:OIW05599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLNFKWSPFIFKPRATATATAPSTVELPEKTRNSRVLVLGGTGRVGGSTATALSNLCPHLGIVVAGRNREKGEALVAQLGANSSFAQLDINDAFSLQNALQDVDLVVHAAGPFQQSENCSVLEAAINTKTAYVDVCDDTSYSLRAKSFMNRALAAKVPAITTAGIYPGVSNVMAAELVRAAESESEDKPERLRFYYYTAGTGGAGPTILATSFLLLGEEVVAYIKGEKVKLKPYSGMLNIDFGKGIGKRDVYLLNLPEVRSAHEILGVPTVSARFGTAPFIWNWGMEAMTKLFPPEFLRDRSKVGRLVELFDPVVRAVDGISGERVSMRVDLECSSGRNTIGIFSHRRLSVSVGISTAAFALAILEGSTQPGVWFPEEPQGLAIEAREVLLKRASQGTFNFAMNK >OIW06461 pep chromosome:LupAngTanjil_v1.0:LG08:11898648:11904370:1 gene:TanjilG_05232 transcript:OIW06461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGDELKEKLLHKQSDLEEIAEDEESLWKRVWEESKKMWLVAGAAIFFRFSIFGITVVNQAFIGHIGSMELAAYAVVMTLLVRFALGLLLGMSSALGTLCGQAYGAKQYNMLGLHLQRSWIVLLVASTILLPIFIFTTPILKALGQEESIVKEAGHISLWSIGVVYGYSISFTCQIFLQVQSKNMIVSYLAAASLVIHVILSWLLTVKFKFEVNGAMGSTVVAYWLSNLGQVLYILYKCPETWYGFSSSAFKDLWAVTKLSLSSGVMLCLEMWYYTILILLTGNLEGAEISLGALSICLNINGWGLVIAMGFCTAASVRVSNELGRGSAKAVKFSIWITVLTSLAIGIVFFFIIIFLKEKLAYIFTTSPEVVEAASDLSSLLAISMLLNTVQPVLSGVAVGAGLQGIVAYVNIASYYIIGIPFGILIGFYFDLKVKGVWLGMLFGTFVQTIVLIIITSRTDWDQQVEKAKNRLNKFGGVENSEITSSGTSI >OIW05869 pep chromosome:LupAngTanjil_v1.0:LG08:22956791:22958050:1 gene:TanjilG_23655 transcript:OIW05869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQLPVEVIGNILSHLSAARDVIIASATCRKWRHACCKHLDTLSFSSKDWPIYRDMTTTRIEILITQTIFQTSGLQALSILMEDVGEFSASTVIAWLMYTRESLRQLFYNVKTIPNVNILEICGRHKLEILDLAHNSIAGVEPNYQRFPCLKYLSLSYVSISALDLHLLVSACPKIEALELVNPEIAMSDAQVTVELSSSTLKRVYVEAISLEKLILEADGIECLYLKDCALEVFELIGKGTLKHFKIDDVSVIHLDIGETIENLEIVDISNFTIIWPKFYQMISRSSNLKKLRLWDVMFDDEDEVVDLETIATCFPHLSHLSLSYDVRDGVLHYGLQGSSCLENVVVLELGWTVINELFSHWVEGLLKRCPDLKKLVIHGVVSEAKTDEECQMLANFTTSVVELMRIYTNVDPHFKYE >OIW06355 pep chromosome:LupAngTanjil_v1.0:LG08:14509356:14511332:1 gene:TanjilG_15000 transcript:OIW06355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPRFTAGAAPDFFSTIPSTTTINNLTTTTTLNNNNHHLLPSHPIYRTQQQQQHLPAIFLDPSSQIPQQRHTQTLMGKRTLAEFQTHRNHNILNHNHNNLNNDQNHVFSNVLLRSVKPRTTFQHGSTSPLSPMDFSVPELQSPNLGFQTQRFSMPLLQQLRPQPINLFNTNNNSIFQNPNFPYRTSNLGQIPNRVQFQLQPTEPEKKIMENRLQELEKQLLEDNDEEEEEADRASVITNNEWSETIQNLISTNPAQKPVSSSPTSSTTSSTSSSCSIVSPSCSRQAILEAASAIYEGKNETASEILARLSRVGSNPNENSDQRLNNCMVMALKSRMNSVDNPPPVAELFSREHAESTQLMFENSVCFKVGFMAVNIAIFEAAFEEGNDKGFCVVDFEIGQGKQYMSLLHMISARKSQSPIAMKVKILAVEENGGEEKLRAVGEMLSRQAERLKIEFEFKVMAMSQKLAELTRESLCLDSDETVIVNFAFKLNRIPDESVSTENPRDELLRRVKGLAPRVVTLFEQEMNSNTAPFLARVAESCSYYGALFDSIDAVMGKDNSVRVRMEETLCRNLCNSVACEGRDRVERCEVYGKWRARMSMAGFKLKPLCQNVAESIKSRLAMVNSRVNSGLTVKEENGAICFGWMGRTLTVASAWR >OIW07391 pep chromosome:LupAngTanjil_v1.0:LG08:128952:129389:-1 gene:TanjilG_10226 transcript:OIW07391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTLHKFKLLATQCAVSGSPTRSPTASPVIHLRRRKTLRMFLSRRSDDPPQIHQNDVVRVRNKLKDLFVSSPSPPTPPSPLQDDDKSYRQQQQQQQEEQEILLPRFRSGSPFRRGGATSLRPVSSAFRYRLIRRAWRPMLLTIPE >OIW05662 pep chromosome:LupAngTanjil_v1.0:LG08:24650439:24653243:-1 gene:TanjilG_23448 transcript:OIW05662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPFSGDETAPFFGFLGAAAALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKSYYLFDGYAHLSSGLACGLAGLSAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRAD >OIW07290 pep chromosome:LupAngTanjil_v1.0:LG08:1618424:1620755:1 gene:TanjilG_11924 transcript:OIW07290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGEEKNKGIENGNASPDGYYEVEAIRRKRIFKGKVQYLVKWEGWPESTNTWEPIKNLKNVQEMIDDFEESHAAYVAAIEKQQRPPKRKPAKVVPLSDDYPVIRILRVTHYSLPDHIHKDILIHFLVLRADGAQITVSNKYLKLNNPRLLIEFYESRLRVNVI >OIW06564 pep chromosome:LupAngTanjil_v1.0:LG08:9598708:9601903:-1 gene:TanjilG_03958 transcript:OIW06564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKPNFASKSSSKCTAVLPYQTPRLRDHYLLGKKLGQGQFGTTYLCTHKATGKLYACKSIPKRKLLCKEDYDDVWREIQIMHHLSEHPNVVQIQGTYEDSVFVHLVMELCAGGELFDRIIQKGHYSEKEAVKLIKTIVGVVEACHSLGVIHRDLKPENFLFDTPGEDAKIKATDFGLSVFYKPGQYFHDVVGSPYYVAPEVLCKQYGPHVDVWSAGVILYILLSGVPPFWAETEAGIFKQILHGELDFKSEPWPNISDSAKDLVKKMLDRDPKRRISAHEVLCHPWIVDDTVAPDKPLDSAVLTRLKHFSAMNKLKKMALRVIAERLSEEEIGGLKELFKMIDTDNSGTITFEELKDGLKRVGSNLMESEIKSLMEAADIDNSGTIDYGEFLAATLHLNKMEREENLVAAFAYFDKDGSGYITIDELQQASKDFGLSDVHLDDMIREIDTDNDGRIDYGEFAAMMKKGDPDMSRSRTMKGNLNFNIADAFAVKEDSS >OIW06240 pep chromosome:LupAngTanjil_v1.0:LG08:18035600:18037946:1 gene:TanjilG_32671 transcript:OIW06240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIDFVPTETGVSLAEAPAFKKAPGGAPANVAVGISRLGGSSAFIGKVGADEFGYMLADILKQNDVDTSGMRFDTNARTALAFVTLRADGEREFLFFRNPSADMLLHESELDITLMKQAKILHYGSISLIEEPCRSAHLAALSIAKKSGAILSYDPNLRLALWPSAEAARKGIMSIWDQADIIKISEDEITFLTGGDDPYDDNVVLKKLFHPNLKLLIVTEGSKGCRYYTKEFRGRVAGVKVKPVDTTGAGDAFVSGFLYSVASDQSIFQDEKRLRKALYFANVCGAITVTERGAIPALPTKEDVLQFLLEAATI >OIW05530 pep chromosome:LupAngTanjil_v1.0:LG08:25480449:25482908:1 gene:TanjilG_23316 transcript:OIW05530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYAKCNCLTHARAIFDTSPHLDTVSCTALISAYVRCGFPHLALQLFDKMHNSGCYPDQVLLVTLINAYINLGNLHDAFRLFQQIPSPNVVAWNVMISAHAKTGHYNDALAFFRQMRKDDVKSSRSTLGSVLSVIATLAALHDGLLVHAEAIKQGLDCNVYVGSSLINMYGKCEMVDAAKRVFDAISDKNGVVWNAMLGVYAHRGYLSNVMDLFFNMTRCGIDLDEFAYTSILSSCACFQYIEIGHQMHSTIIKTRFATNLFVNNALIDMYAKAGALNEARRLFEHMKARDNVSWNAIIVGYVKEEEEMDAFNMFQRMTLHGTVPDEVSLASILSACGNVKVLQTGLQFHCLSVKLGLETNLFAGSSLIDMYSKCGAIEDARKIYSTMPEWSVVSMNALIAGYALNDTREAINLLHEMQILGLKPSEITFASLIDACKGSPKVILGMQIHSAVLKRGLLCGSEFLGTSLLVMYMDSERIADASILFSEFSNLKSTVLWTALISGLTQNDCSDEALNLYRRMRDNNILPDQATFVTVLRVCALLSSLQDGAAIHSLIFHTSFDLDELTSSALVDMYAKCGDIKSAVQVFEELSIKKNVISWNSMIVGFAKNGYAESALKVFDEMTQSYVTPDDVTFLGVLTACSHAGWVFEGRQIFDAMVNFYGIEPRVDHYACMVDLLGRWGFLKEAEEFIDKLDIEPNAMVWANLLGACRLHGDDTRGKRAAKKLFELEPKNSSPYVLLSNMYASSGHWDEAKSLRRAMMEKEIQKMPGRSWVVVGQNTTSFVAGYDISHPSSDEISQALKHLTALMRDNRFQEDVGQI >OIW07347 pep chromosome:LupAngTanjil_v1.0:LG08:990968:995751:-1 gene:TanjilG_10182 transcript:OIW07347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSNNTNNSSTTWLLLFVVRHYSRIRPPKAVPVEKVIRVSNNIARLDAPKERPKPRQLLSLPPFPRDPLPGKNSSSVPGQPDRVTAVNWIKYYFKGMWASVIESHFGDGLVQMEELISNDSFTQKEGQKKPLRKIKPNEVMKHGARLHVPVSMAETRISKRYDAIPSGTLYPNADEIKYLQRLDSAIIVLNKPPKLPVKGNLPVHNSMDALAAAALSYDYDEGPKLVHRLDRETSGILLLGRTNDSVSHLQWLFSSINNAKSSSKAWNDACEATYQRYWALVIGTPKEKEGIIHAPLSKVLLDDGKTERVILANHSTIEPRQEAVTEYRVLGPKINGCSWIELRPLTYRKHQLRVHCAEALGTPIVGDYKYGWFVHSRWKQMPRVDIEPTTGKPYKLRRPEGLDVQKGSVLSKVPLLHLHCRELVLPNISKFLHVFQKSSEELHPSLSMQPDVLRFVATMPSHMRISWNLMSSYLI >OIW06844 pep chromosome:LupAngTanjil_v1.0:LG08:7974612:7978525:1 gene:TanjilG_18226 transcript:OIW06844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPIDPHSFTDSTDPPTTHISLTLFFDFTTSTIHGSALFTLQDPHSGPFSLDTRSLTIHSILHPQTQTQIPFTLSPNTDPIKGTQLSITLSNQSTFVVSFTTSPSSSALQWLLPPQTFNKAHPFVYTQCQAIHARSVFPCQDSPAVRICYSARLNIPEQLSAVMAARHVERRAPDRDEVFGLLPVWKESWCGEGRVVEEFVMEQPVPPYLFAFAVGELGNREVGPRTRVYAEAVPAVLDSAAREFAGTEDMIREGEKLFGNYEWERFDLLVLPPSFPYGGMENPRMVFLTPTVIKGDATGAQVVAHELAHSWTGNLITNKNNNHFWLNEGFTTYAERRIVEAVQGEKRAALNIGIGWRGLNEDIERFKDNLEFTKLKTNQEGIDPDDVYSQVPYEKGFQFLWRIERQVGRPAFDEFLKKYIATFKFKSIDTETFIDFLKANISGIENQIDLVLWTEGTGIPPDAYEPESSIYKTIVSLANESVNGRTPKEDEVADWQGQEWELYLDNLPKSIEVSQIKALDSRYKLSESKDYEVKVSFLQQALSSGCKDYYSEVEKTLKEVGRMKYLRPLYTALVKGSGKEEDKVFAKTLFSEARESYHPIAQGVVESILAKYL >OIW06632 pep chromosome:LupAngTanjil_v1.0:LG08:10067682:10070009:-1 gene:TanjilG_04026 transcript:OIW06632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKMSCMLTSFLLLSIVVLTSNAELVKKTYIIQMDKLAMPNTFSNHLEWYSSKVQSVLSKSLESEMDKEERIIYTYQTAFHGLAAKLSQEEAEKLESSEGVVAIFPETKYELHTTRSPTFLGLEALHHHSTNKIWSEKLVDHDVIVGVLDTGIWPESESFNDTGLRAVPSHWKGACETGRGFRKNHCNKKIVGARIFYRGYEAATGKFDEQTDYKSARDQDGHGTHTAATVAGSPVHGANLQGYANGTARGMAPGARIAAYKVCWTGGCFSSDILSAVDKAVADGVNVLSISLGGGVSSYYHDSLSVAAFGAMEKGVFVSCSAGNAGPDPASLTNVSPWITTVGASTMDRDFPAYVKLGNGTKVTGVSLYKGKNMLSFKKQYPLIYMGSTSTSPDPRSLCLEGTLDPKKVSGKIVICDRGISPRVQKGQVVKNAGGAGMILTNTAANGEELVADCHLIPAVAIGEKEGKELKNYVLTNKKATASLAFLKTRLGIRPSPVVAAFSSRGPNFLTLEILKPDVVAPGVNILAGWSGVIGPSSLPTDNRRVKFNILSGTSMSCPHVSGIAALLKSKHPEWSPAAIKSALMTTAYVHDNTIKPLRDASTAGSSTPYDHGAGHINPTRALDPGLVYDIDPKDYFDFLCTQKLTPTQLAVFGKYSNRTCNHSLASPADLNYPAISVVFPDKKSISSFTIHRTATNVGPPVSKYHVIVSPFKGAYLKVVPDTLNFTRKYQKLSYKIIFTSKSRPAEPEFGGLVWKDGVHKVRSPIVITYMAPI >OIW06869 pep chromosome:LupAngTanjil_v1.0:LG08:7404722:7406555:-1 gene:TanjilG_19518 transcript:OIW06869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECKDEFEVDHHLNTTTTPTPGSSFSQLIFGGLDDNALGPVFPIHIAPQMLCFGKYQNEEDLTNALTPHISIITDSNDSSSASSCNHNTTTFNPLSKTNVNVLQKKRNGSRQEPVTKVDVGNQRPHKMTKADNPTSTGHAKSKEKLGERIAILQQLVSPFGKTDASSVPHEAMGYFKFLQDQVQLLCSPYLQRLHSSNPQLGIGDNNGEEVTKDLRSKGLCLIPMECTVHISSSNGADFWSPTEIGNNISPSTTMQ >OIW06274 pep chromosome:LupAngTanjil_v1.0:LG08:16606482:16609755:-1 gene:TanjilG_19712 transcript:OIW06274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFKSKYEDELIANATYIGSPGKGILAADESTGTIGKRFSSIGVDNVEANRRVLRELLFTAPGALECLSGVILFEETLYQKTASGKPFVEVLKEGGVLPGIKVDKGTIELPGTNGETTTQGLDDLGQRCKKYYESGARFAKWRAVLKISQNEPSELSIHENAYGLARYAVICQENGLVPIVEPEILVDGSHDINKCAYVTERVLAACYKALNDHHVLLEGTLLKPNMVTPGSESKKVAPEVIAEHTVRALLRTVPAAVPAIVFLSGGQSEEEATVNLNAMNKLKGKKPWSLTFSYGRALQQSTLKAWAGKEENIPKAQAALLTRCKANSQATLGTYQGDANLDGATESLHVKDYKY >OIW06173 pep chromosome:LupAngTanjil_v1.0:LG08:19723310:19723573:1 gene:TanjilG_01800 transcript:OIW06173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFGNNTNNIKNSVSSSSPTTSYIFPFLNRSLTTVYKNIEKPSQKPSLHRVGSMTKFSIDSVNSIRGQAVKKLCNIFKTKQLKPSK >OIW05723 pep chromosome:LupAngTanjil_v1.0:LG08:24217739:24219964:1 gene:TanjilG_23509 transcript:OIW05723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPDLGQHPPMFLDQQQQISSGLTRYRSAPSSYFSNIIDKEFYESTFNRPSSPETERIFARFMNNLSGSGGSDGGAEDSVSQNIQPGQQVSVVKEEIINQQSHDVPSMNNEQVLLQQQQQQSNINNYGSSTPQKNYQSSGRPPLPNHMKTGRGSTSNLIRHGSSPAGLFSTINIEGYTAIRGIGTMGTASSTSENANFSPAARLKNAQNYSSGIMLSKAEIRNESNEQNNQVKEAFAESQGNDFIPGFPVSPWDESSILPDNLGGVKRPRDDGDDDIKPFSRINAAETQNETGGEPSVPLAHQLSMPNTTMEMAAIEKFLQSSDSVPWKIRAKRGFATHPRSIAERVRRTKISERMKKLQDLVPTMDNQTKTADMLDLAVEYIKDLQKQVQTLSESRAKCSCSHKQQQ >OIW05823 pep chromosome:LupAngTanjil_v1.0:LG08:23455308:23456867:1 gene:TanjilG_23609 transcript:OIW05823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAPCCDKANVKRGPWSPEEDSKLKEYIDKHGTGGNWIALPHKAGLKRCGKSCRLRWLNYLRPNIKHGEFSDEEDRIICSLYVNIGSRWSIIAAQLPGRTDNDIKNYWNTKLKKKLMGLLPLSHQRKPPFPSSSHDQNPSPSPSMYSDYNCSTSYYIPQTLSFTDLEPISLPSTNFATTSCTTTSLSLPFYQSQDSTMVTTSAISPMQCYYPMFGSEGSCSSSDGSCTQIKQEEQGYQSYMSTSFDEFNNKTMFSYNINGRGGNINQWDEKSSGCFGQTQTPLENYYDLEDIKQMISSGSSSFINIDENKSEENCMYYYPDECN >OIW06941 pep chromosome:LupAngTanjil_v1.0:LG08:6931780:6932988:1 gene:TanjilG_18329 transcript:OIW06941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSTKRELGSNEEESELRRGPWTLEEDSLLIHYIACHGEGRWNMLAKSAGLKRTGKSCRLRWSKIAQHLPGRTDNEIKNYWRTRVQKQARQLNIESGSKRFIDAVKCFWMPRLLQKMEHDSSLSSYSSMTHMNFSNSAEASTSSMSTTSNIPYLPSPTPTQKGFIDAANANHLSIMSGPITPSSDLDSFKLSNLLEISEKLTCSPNVFENNVYNNPIQNNWYVNTNNYGMQGLNMEPLQVMESYDIPQFDFQTTGSDLMLDNIGDTLWNMDAI >OIW06201 pep chromosome:LupAngTanjil_v1.0:LG08:19038822:19040474:1 gene:TanjilG_23081 transcript:OIW06201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDQVQHPTIMDKVASQLNLRSGLSSDIQNYDAAFRRPAVFQRRSFGNYSNAALQHPVMPSCRATTDLSSIAPASPVFAAAPAEKGHFVIDFLMGGVSAAVSKTAAAPIERIKLLIQNQDEMIKSGRLSEPYKGISDCFKRTTAEEGVVALWRGNTANVIRYFPTQALNFAFKDYFKRLFNFKKDRDGYWKWFAGNLASGGAAGASSLFFVYSLDYARTRLANDSKAAKKGGERQFNGLVDVYKKTLASDGIAGLYRGFNISCVGIIVYRGLYFGMYDSLKPVLLTGSLQDSFFASFGLGWLITNGAGLASYPIDTVRRRMMMTSGEAVKYKSSLDAFAQILKNEGAKSLFKGAGANILRAVAGAGVLAGYDKLQVIVFGKKYGSGGA >OIW07182 pep chromosome:LupAngTanjil_v1.0:LG08:4486147:4489744:1 gene:TanjilG_10155 transcript:OIW07182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCLPCFTSQKSKKSNSKREHGTTPPENVMANAPDVKKPRPDEPNHGFDPANINAQNYNFRELATATKNFRQECLMGEEGFGKVYKGVIPSTGKAVAVKQLDRNGMQGCKDFLAEVWALSLLHHENLVNLIGYCADGDQRLLVYEFIQAIPLEVRLFEKKDNEPPLDWYNRMKVASVAAKGVEYLHDSVNPPVIYRDLKASNILLDENMNVKLSDFGMAKFAGADNKMTPSPARIMGTYGHCAPEYVRTGQVTIKADVYSFGVVLLELITGRRAVDTTRPNDEQNLVSWAQPLFRDPKRFPDMADPLLNKQFPEKDLNQVVAIAAMCLQEEPEARPLMSDVVTALSFLSIVPPTDAIPPSIPSATSVSKHSEGASESESESESVSESGSEYESGSEVEDGKESRRRYSSKKESSKYKGGASSKYQESDVSDVEDTMRSKEFFSKSSHKSSAESRNGTITSESEDGSASLNNKSSRKSNRKLSQRSSKESSKRELSQKSSKKASAKDLSHKSSRKSSNKDLSSKSSRKSSAGVLSRNSTKSSVESNDGGDLFGHSNSKISQRNISFGLTSSGSVHSDNNNSKRREEEIGNMHYHARI >OIW06350 pep chromosome:LupAngTanjil_v1.0:LG08:14338686:14341101:-1 gene:TanjilG_14995 transcript:OIW06350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSYIWLKTADGAIQQVDEDVAMFCPMICREVLQTGMGSSKTHAISLPQRVNPAILGLILDYCRFHQVPGRSNKEHKTFDEKFIRMDTKKLCELTSAADSLQLKPLVDLTSRALARIIEGKTAEQIRETFHLPDDLTEEEKLEPLRNITDDPRIRLLNRLYAKKREELKERKKLKNVEVEEEEPKDERSVDDLLLFINGANGDMKGTRVNKNKKKNRRRKDHAKDPSLKNSNEKDSKELSHLPSACHNDNFDNSFVASPSKNSRMQGLPAVNFSPKLEFIDGDLDDDLDPAMKEELDREVEDFARRLNSDWEARMQEILCVGQDRRLVPISTNTNGSTHLYTGLRARQVVMALQSHITYANYF >OIW06895 pep chromosome:LupAngTanjil_v1.0:LG08:7596723:7599169:-1 gene:TanjilG_19544 transcript:OIW06895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPTSLLEDYKKGNYGLDEEVYVNEEDWGFANRKYVSTNVERSLDNQRERSMTLNSEGLEGLAPRAKLLLTTGGTFFLGFGPLIIITVAFFSAIYFYFGPSFVHDASKMYLSPPQYVDPYELLEDERISEMAPRLN >OIW05537 pep chromosome:LupAngTanjil_v1.0:LG08:25448534:25452822:1 gene:TanjilG_23323 transcript:OIW05537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPINLAGQFGDTTYTKVFVGGLAWETQKETMNKYFEQFGEILEAVVITDKATGRSKGYGFVTFREPDAAMRACVDASPVIDGRRANCNLASLGVQRSKPSTPKHGGGGRNFRVMGSFQTGFGGGGVGSAFASAATFPHYAIQQGMPYNLYGYSPYSPDYTYPTSYYSVYGGATGGGGGQYPVYGSGGMMTGGGGGGGGGAAFYPYVQYGGDGNGGGYGAVNYQPHLFQYSHIAAAAAASTAAHGGYAQHYATPISLPPSPAIQSGLHSIPIPILDIPIPIPIPIPIPILDTNIFDSVFCCAPGVTMALQPLIPHR >OIW06605 pep chromosome:LupAngTanjil_v1.0:LG08:9910423:9915903:1 gene:TanjilG_03999 transcript:OIW06605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYDVMTQIIPEKNRKEICKYLFQEGVCYAKKDFNLAKHPEIDVPNLQVIKIMQSFKSREYVRETFAWMHYYWFLTNHGIQFLRTYLNLPSEIVPATYKKTPKPSSARPFAGPSADRPRGPPRFEGGSRFADRDGYRGAPRGDSGGDKGEAPADFQPSFRGPGGRPGFGRGGGGYGAANSSSVVIMEVEENTTSTTASESSIPASGAQTILPLHPLQPIIPPLIVPPIAPIPVVHTHASLPVRPPVIRPPPPVLHNGEAGSSDSDDSDNDDSVHGINKGMGDYEISEESRLVRERQEKAMQELLLKRRAAALAVPTNDMAVRTRLRRLGEPITLFGEREMERRDRLRMIMAKLDAEGQLEKLLKAHEDEEAAASALKDEVEGEELQYPFYTEGSKSLLNARIDIAKYSLVRAALRLQRARRRRDDPDEDMDSEMDWALKQAANLSLDFSEIGDDRPLFGCSFSPDGKALATCSLTGAAKLWSMPKLTKISTLKGHTERATDVAYSPVHNHIATASADRTARYWNDQGALLKTFEGHLDRLARIAFHPSGKYLGTASYDKTWRLWDIETGEELLLQEGHSRSVYGLAFHHDGSLAASCGLDALARVWDLRTGRSVLALEGHVKPVLGISFSPNGYHLATGGEDNTCRIWDLRKKKSLYTIPAHSNLISQVKFEPQEGYFLVTASYDMTAKVWSARDFKPVKTLSGHEAKVTSVDVHGDGSIATVSHDRTIKLWSSNVTSEQAMDVD >OIW05788 pep chromosome:LupAngTanjil_v1.0:LG08:23767817:23769070:-1 gene:TanjilG_23574 transcript:OIW05788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMDHPSNGQTQQISNQTLENIVGCSKAQQDKKPKPQPEQAQKCPRCDSTNTKFCYYNNYSLSQPRYFCKSCRRYWTKGGTLRNVPVGGGCRKNKRSSSSTKRVQDQTFTPNPNPLIGLTPISYDFNDLNLALARLQKGAMGYDDHDLSIMGNNTNTSTPCDNIVANLGMNPSNPGFLDALRTGFLGSHNNNNIMKNLYFGYGNGENMGEVENANDGCGEMMLSYDHEQQMNIVTTQAVSMTTMKHELCNAREQSESKVLWGFPWQLNGGGDPNMAETIDSGRANWNNGFTSSWHGLLNSPLM >OIW07377 pep chromosome:LupAngTanjil_v1.0:LG08:289555:293593:1 gene:TanjilG_10212 transcript:OIW07377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFKVCVSAGVDLWVSVGGVGGGGFSHESEHDLAMMVSDFLENGSSGAESWCSSDSDSGLSDFAHLAEKIQICKLSVAPHESDLLSTVHSLIRSMNETDLQSKLNSGPCYASCIRFYLVKLMKLSGYDAGVCASKWQGSGKVPGGDHEYIDVVVNNNSGGSERLIIDIDFRSHFEIARAVDSYNTILKSLPVVYVGSFTRLKQFLVIMEEATRSSLKQNSMPLPPWRSLAYLQAKWQSPYERYEDPEGNNIADGQCFDHKQCHGHLKRLQSCLQSAIDTDRLLKPRHGESNWRMKPDRWRHPLFRPI >OIW06689 pep chromosome:LupAngTanjil_v1.0:LG08:10497278:10497637:1 gene:TanjilG_04083 transcript:OIW06689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLTNASAMLRRRLLQSHRTRGGGSSGQSRWTSPGHEERPKGLFMNRTPPPPGQSRKWEDWELPCYITSFLTIVILGVGLNAKPDLTIETWAHEKALERLKLETINLHANNNSASDSE >OIW05607 pep chromosome:LupAngTanjil_v1.0:LG08:25019752:25024904:-1 gene:TanjilG_23393 transcript:OIW05607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKLLPESSLAKDERYHGTTMTAASLSGYDALHNIFSETEEEYSTRLANNLEELILKEGPDTIAAFIAEPVIGAGGVIPPPSTYFEKIQAVLNKYDILFVADEIRGTGLILGLEFAKNKSPNDPFPRVGAYFAAHCDKNGLIVRSAGDLIMLCPPLIISSEEVDELISICGEALKATEERVQELKSQLN >OIW06375 pep chromosome:LupAngTanjil_v1.0:LG08:14868622:14868831:-1 gene:TanjilG_15020 transcript:OIW06375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIIILMNPASSKFIQTIFLMLLMLQVEFVSAEEVRCIESERQALLNFKASLVDDDGSLCLSTWGAEEE >OIW06739 pep chromosome:LupAngTanjil_v1.0:LG08:9043896:9044360:-1 gene:TanjilG_11464 transcript:OIW06739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRNNLKRNNQHSQHDVVSSSAPTPNSNKLWRLPHVFANMLELPFHSHDDVSIEDSPRFLRFTASCNNNMNLFNANAGGVRAHIIQILPGITKIVIKGMDGSDVTVAALQHHQHLGRRVDIWRFRLPAWTRPELVTAVCSGGKLVVTVPKSKGD >OIW06273 pep chromosome:LupAngTanjil_v1.0:LG08:16566474:16569988:1 gene:TanjilG_19711 transcript:OIW06273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMEDHHNYNHHYHNYDHEEKYGITDLRQLISEPRSATHFTSTPLPPQPTAELFPSHHRNLTPLHQQHYEMMMFGRDIMPCTTTLHDFPSTTDSVAPAGSITVGYVATTTAAAGAATASASTPPLSGGLEAETACCIGGDASTGRWPRQETLTLLEIRSRLDPKFKEANQKGPVWDEVSRIMSEEHGYQRSGKKCREKFENLYKYYKKTKEGKAGRQDGKHYRFFRQLEALYGESINHSSVPETNFCSNIRLKTSNINTPYEANHENCCLSFNNSTDFDTSSSDDDDDNDHNNSIEGLMEINNESMLEKRRNKRKSGRSWKVKIEDLIDSQMRKLMEKQEEWLNKLMETMEQKEKERVLREEEWRKQEVNRLEREHKFWAKERVWTEARDVALIESLQQLTGREIKGSEANERVEVAAEMRNNSGNQNEDVIEILNSTVEVDDSWKEPEITRLQQLRDEMETWEEIANKMTCFGYERTAFMCKEKWESISRNYYAKERNKKKKEYSRCSFYLENNDQSSLYKEGSTYCDINDHQRPQTNDGSSPSITNVGHADTCFPFLMSEGVNLWENYGLKLNKNNQNH >OIW05983 pep chromosome:LupAngTanjil_v1.0:LG08:21643961:21644962:1 gene:TanjilG_11670 transcript:OIW05983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYEKHEQWMIRYGKVYKDAEEREKRFSIFNENVNYIEAFNNAGNKPYKLGINQFADLTNEEFNAYKNGFKGYMSSSITRTNNFKYENVTAVPSTVDWRQQGAVTPVKDQGQCGCCWAFSAVAATEGINKLRTGNLISLSEQELVDCDTKGVDQGCEGGLMDDAFKFIIKNHGLDTEANYPYQGVDGTCNADEAANHAATITGYEDVPANDEQALQKAVANQPISVAIDASGSDFQFYQGGVFTGSCGTQLDHGVTAVGYGVSADGLNYWLVKNSWGTQWGEEGYIKMQRNVAANEGLCGIAMQASYPTA >OIW06320 pep chromosome:LupAngTanjil_v1.0:LG08:15356607:15357056:1 gene:TanjilG_17694 transcript:OIW06320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQQLCAKNCGFYGCAANKNLCSKCYKEFLKENITKSYDDESETKNLKVNVSVHHGSSSTSQKPLDFYENVTANDVVDVCKSVKKKNRCKSCNKKVGITGFECCCGDVFCGRHRYPELHSCNVNFKEIGRQALAKQNPMCINDKLGSRV >OIW07123 pep chromosome:LupAngTanjil_v1.0:LG08:5187692:5188765:-1 gene:TanjilG_10096 transcript:OIW07123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGVPIIGDRLQKFNMLKEFITVIFNKAVEDTAYCAIYAKLLSDLNKNLAPLPSLKPFGKDITVKRILPNIFQSCLKAADKKLIPLGNIPFIVELFNQKLVPEWIVHQVLNHLLGISWLPTEYIDALCQLLNSIGKRLDKSPKSLKVINDMHFRRLKEFSTNTLLPSKLRFMVCDVLNLRANKWYRFSDPDLIRNDSLLHGKVFSFLEEYFSDMDSVDVVRCVKCLFSPAYHPDIVKEAILLGLSSSPPCVEGVMDFLMCLFISYTFSARDIVEGCLLFASLVDDIAIDFPESPSNFGEIIAELVMAGCLDFMALRDIFREVVLCNFPDLIYGSFLSVMSRYTLHDFLSIDLESLKE >OIW06686 pep chromosome:LupAngTanjil_v1.0:LG08:10483242:10484933:-1 gene:TanjilG_04080 transcript:OIW06686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIQQTLKLLVHRKNTHNKKSDKLPQPITPRFKWKQIFFHHTKSQQQPPSKPNNKITEKPPEEFLCPITKTLMSDPVIVSSGHSFDRITVQTCQNLNFTPQLLDGTTPDFSNVIPNLALKSAITKWCINSNNTLVAPDSTTTENIIHAIISKQSDQNQNVPTQVATVSEKDLILRMEENPNFNFNRAETQIPNRPALFYSTSDESIATNASASASTPPLQFSIKPSCYYSYSSNSSSEIEPTTIPELEQIISNLKSPQIFIIEEGLISLRKITRTREEIRVSLCTSQLLSVLKSLIVSKYTNVQVNALASVVNLSLEKLNKVKIVRSGIVPPLIEVLRLGSSESQELASGALFSLAIEHDNKTAIGVLGGLQPLLHALRSESERTRHDSALALYHLSMVQSNMSKMVKFGSVPVLMMMVESGHMISRVLLILCNLGSGSDGRAAMLDAGVVECLVGLLGGSELGIGSTRESCVSVMYALSHGGLRFKALAKAAGVVEVLQKVEKVGSERAREKVRRILEMMRGKEEEEEDVDWEDLLDSGLGCRTRGRHCSELDDSNANSSEF >OIW06456 pep chromosome:LupAngTanjil_v1.0:LG08:11835018:11837390:1 gene:TanjilG_05227 transcript:OIW06456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSQDESIQEPKGAPLVQPLVREGSLYNLTLDEVQNQLGNLGKPLGSMNLDELLKNLWSAEAGDFGGDENNMQQFVELVSGSSLNPQGSLTLFGDLCKKTVDEVWRDMQTKKGGDRDKEAKERQATLGEMTLEDFLVKAGVVTDSFHTKDDGGAISGIDSNVASGKNVSQHDHWIQYQQQQHQNQQDGMMVGFVAGNVIQQPFQVAANPILDAAYSETMMKMSPSSLIGTQTLGRKRVASDNVVVEKTVERRQKRMIKNRESAARSRARKQAYTQELEIKVSRLEEENERLRRQCEIEMILPCAPPPDPKHQLRRTGSATF >OIW06170 pep chromosome:LupAngTanjil_v1.0:LG08:19701768:19703001:1 gene:TanjilG_01797 transcript:OIW06170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAITSDAQFHVLAVDDSLIDRMLIERLLKTSSFHVTAVDSATKALKFLGLVEDDLRTFDTPSVASKFHQDIEVNLIITDYCMPGMTGYDLLRKIKESKSLKDIPVVIMSSENVPSRINRCLEEGAEEFFLKPVQQSDVNKLKPHLLK >OIW07369 pep chromosome:LupAngTanjil_v1.0:LG08:362369:370204:-1 gene:TanjilG_10204 transcript:OIW07369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIVPKETIEVIAQSIGINNFSPDVALALAPDVEYRIREIMQEAIKCMHHSKRTTLTADDVDGALNMRNVEPIYGFTSGGPLWFKRAAGHRDLFYIEDKDIDLKDVIEASLPKAPLDTAITCHWLAIEGVQPAIPENAPVEVISAPADVKKEEQKNDNLPVDIKLPVKHVLSRELQLYFDKVTELALSASDSVLFKEALVSLATDSGLHPLVPYFTCFIADEVSRGLNNFPLLFALMRVVNSLLQNPHIHIEPYLHQLMPSVVTCLVAKRLGSRLADDHWELRDFTANLVASICKRFGHVYSNLQSRLTKTLLNAFLDPKKAMTQHYGAIQGLAALGPNVVRLLLLPNLEPYMRLLEPEMLLEKQKNEMKRQEAWRVYGALLRAAGQCIYDRLKLFHNFPSPFPHAFWKTNARILTSTYKRKASLEQLEEQPPLKKTATDGEGDVVMTNSAPLGEAGTQASSADPASSSSGQTKTETTLDGTVRGNRDDSLAMKTSAALAQVWKDELDSGRILVSLFELFGEGILSFIPAPEMYMFL >OIW06776 pep chromosome:LupAngTanjil_v1.0:LG08:8765858:8773485:-1 gene:TanjilG_11501 transcript:OIW06776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISVGSRDARKGLGFGVVVVGMREMEDCELEEGEACSYQNHEDYDDNIDPDVALSYIDEKIQDVLGHFQKDFEGGVSAENLGAKFGGYGSFLPTYQRSPGWGHPRTPQKVHSQNTPGSPNKLQLEGGRGNTVPLSTGSQPLKLGPGSVSSSRLPDFKAPTVTDAIAREKCMTSTGAEAFSSKYEPLNMNSTSIPDQKTLKVRIKVGPENLPTGKNVEIYSGLGLNESPSSSLDDSPSESEGLSREPQHAPLESPTSILQILTAVPALLSPLSGDIIQLNEKETCRRESISVPVHIDDSESSGMLHRRSNILKGDQKLSGGNKMKSLGDHESSMEAKTFTKKNTQNDVVLSKKGQGMDELTTEELVSKTLKLPLLSSSYFDTNDSVKNVDGTCGTLKEANKNGMVREKTYSNHSQKGWMEPTSTEENGFVGRTKGSSGDLVQKVRVGPASTEVNGRTKGGLGKKVGDKGSVEDLSVYTAKDYHDQDKICDSVVAVPDFSKVRTISNAERIGHHKKSKVSHGTMVTDREKGKLKVGTPLVPKSKKSDGSTSKYGTEDVRVQKDPGKASDTYRDFFGDSEEDEDRIDSPETPNGDKLKETKVVKRSTPAINRGAKESSGGKIVDKPLTSDVYSKTTTNVKYTGNVHGADAYNGKGGTVSVPSVAVEDNWVQCDRCHKWRLLPVGTNPDNLPEKWLCSMLNWLPEMNRCSFSEDETTKAVIELHQGPPLEGQSTLQHQLHHDLHAVPGGKKKIVKEISNSANKDDSSQFYLKKNLNSSVKSRSLNDVNVSPVMSDANALPEKHRSKQRMLEQNPARGDTNNMKVKSRKNPNEDCFRPYKKSKIDGMHSTDKELILEQGWTPRNNSFTTTSVGKNQHRQKDRSSSRDSKYDQRDRLQVSVQITKDNGKGSLDEGSRDFGNHDSNGSIKKRKLKEYEDTQLQEGRVFVQAFGDSRKDKKARHSKSEGKEPGSSKGSGRADKKGSHTKNQKLRQYPESTQSQWSLGSVQASAAATTSSSSKVSGSHKTIASFQEVKSSPVESVSSSPMRILNTDKSTNREHMVGSPQRCSDGEDGNGSDRSKTVKKDKSVIMAYKKSHESSVLDSQNKGVNHDSYTKSKAQTSPSPDIVTNNFINHGVHTVDQDGTYPDTGKSIDQCNGEDGNGVYHANLSHPRKTGKGSQLKDNSESFKSGSNAERVRITGSPIHLQECPTSEPKHGDGKVKLQKFGFKPDDGENIHIGKKGYTENEKGKKEDQLNRKHDIQEVGIDAMCKQEALPAPRQNQLLDCDTEKSSKRSLSERTDQEVLGKGKLSLPPSGGDQVGTSARFPPPFVGLHKGNGNVEVDPPKINDASKLQKKQTRKADHQNGTQQISSKHPMLNGHSSKELEAPSPIRKDTNSRTTANSALKEAKDLKHLADRLQNSGSTVERTGIYFQAALKFLHGASLLESSNNDNTNEMIRSKEIYSSTANLCEFCAREFEKSKDMAAAALAYKCMEVAYMRVIYSSNTSASRDRNELQTALQMVPLGESPSSSASDVDNVNNSTTADKVALSKSTSSPQIVGTHLIAARNRPHIVRLLSYAKDMNFAMEASRKSRHAFAAANSSHGEGKHGEGISSIKQALDFSFQDVEGLLRLVRLAMETIAR >OIW07078 pep chromosome:LupAngTanjil_v1.0:LG08:5717249:5721619:-1 gene:TanjilG_02712 transcript:OIW07078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIISLIRNTATKSSRRILIVSTNSSFSGSPHAKCHHTLTTSLQPRPLWFQRIMGGAQKFFGIPSSRKTIKVQNLSTSIETHVNNDNNFESIFVHGGVNVKKPLVVERVDIDDKDENVVSAENSDSGGRVSNDVTAVMNKDEEHTNVEKEAWKLLESAVVSYCGNPVGTMAANDPGDKLPLNYDQVFIRDFIPSALAFLLRGQNEIVKNFLLHTLQLQSWEKTVDCYSPGQGLMPASFKVRTVPLDGNNHEEVLDPDFGESAIGRVAPVDSGLWWIILLRAYGKLTGDYSLQERVDFQIGLKMILNLCLTDGFDMFPSLLVTDGSCMIDRRMGIHGHPLEIQALFYSALRCSREMVAVNDGTNDLIRAINNRLSALSFHIRQYYWIDMKKINEIYRYKTEEYSMDATNKFNIYPEQIPLWLMDWIPEEGGYLIGNLQPAHMDFRFFTLGNLWSIVSSLGTPRQNTATLNLIEAKWDDLVGHMPLKICYPAVDNEEWRIITGSDPKNTPWSYHNGGSWPTLLWQFTLACIKMGRIELAEKAVALAEERLPVDSWPEYYDTRTGKFIGKQSRLYQTWTIAGFLTSKMLLKNTEKASLLFWEEDYELLEICVCSLNKSGRKKCSRGAAKSQILV >OIW07037 pep chromosome:LupAngTanjil_v1.0:LG08:6105499:6106907:-1 gene:TanjilG_02671 transcript:OIW07037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTNTSPKQDSEVSSDLKPETHASKKRKMVQKTVVTVKIGENVSKVKNEGLPNDFWSWRKYGQKPIKGSPYPRGYYRCSTCKGCSAKKQVERCRTDASMLIITYTSSHNHPSSDHVPCSKNLVQLPKQPETQTSEHVPSSPTPKEEDQEKKQEKENHNESTMTSDHNSTNEEKFHYLQSPTPCNEEVIDQDDIFKLNNQEKTHEEMDPILEIEPMCYSQIKNLPAPKLEELDFFDELEELPMSSSFLDYTKISFSNERIPIVPS >OIW06740 pep chromosome:LupAngTanjil_v1.0:LG08:9037835:9038743:-1 gene:TanjilG_11465 transcript:OIW06740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREFPSCFGENGVQIADSSSSSTTRAAQNVVTSVYQCKLRGNSCLIIVSWTKTLMGQGFSVGIDDLGNHCLCKVDIKPWLFSKRKGSKNLEVQSSKVDIFWDLSCARFGSGPEPLEGFYLAVMFNQEMVLLLGDLKKEVCKKIDSDTVSYGHSGAIFIAKREHIFGKKFYGAKAQFCDKGQVYDITIECDTVGVNDPCLVIRIDSKRVMMVKRLKWKFRGNHTIVVDGVPVEVFWDVHNWLFGNAMGNAVFMFQTCISNEKLWPGQSVSDPSALTWAYSQQFRDSQLQGLGFSLILYAWKNE >OIW05892 pep chromosome:LupAngTanjil_v1.0:LG08:22776732:22777958:1 gene:TanjilG_23678 transcript:OIW05892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDHETEVPVLFLCPISLQLMRDPVTVCTGITYDRENIERWLISCRNSICPVTKQPLLHTDLSLTPNHTLHRLIQAWCTSNANFGLEYISTPKPTIDKTQIVTLLSEAKSFPDKLLRCLKRLQSIALESESNKICLVSAGVIDFLASTLKNNHQEDLTDIMIIEAAIEVLVQLNLSAAQLEHLMNNEGIQFIESLFQVLRLGNNKCRGYATILLKSAFEVAGPTQLNSVRKELFVEIIRVLSDQISQQASKAALRLLLELFPWGRNRIKAVEGGAVFVLIELLLGVNDRRACELMLMALDKLCDCAEGRAELLNHGAGLAIVSKKILRFSHVVTDRGVRILGSICRYSASPKVLQEMLLVGAVNKLCLVLQVDGNIKAKERAMEILKLHSMVWKNSPCIHFPLLSLYP >OIW06756 pep chromosome:LupAngTanjil_v1.0:LG08:8949607:8962707:1 gene:TanjilG_11481 transcript:OIW06756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKEYYDDDWQAKQRERTKELRQRQREEDEEEERKIEEYREVGMRLKGYPEEDVRNARKLVSSFIRAEEEIEQKIEEAAEKGILTELVLMVIWNRLDLARRDNEKDVIRSLDLLYRRVETEILKREATPAMRLLNDLLIMYDGFDYEEWLKKCKKRMIDSFPREDPYSILVPPGFESFDIDKLEGPLSPPPEHDDTLLRVDFVREVDELLQEVRLEQSEVENEAGFDPESVANRLKQQEKQQSIRQVEALLDLAIGLKCNSAFDPLQITPIPHLTIPARVSLSMAPSRRRVSTKVAVAACREWKIGDLVLAKVKGFPAWPATVSEPEKWGYKTDRKKVLVHFFGTQQIAFCNPADVEAFTEEKKQSLVKRQGKGADFVRAVREIVDSYDRLKKEEETKHHEAATGEVADANISNPADSFSEDQTHALELTLNLPMKTSDSVTNRQELECAAVDDSVLALNDESYNKDASEEPSNNVSAVKSPEPVTYSSRKRSTGKICPQGYLRHINAPLRRPRSSSWVQNSLMPCSDSRKIAGDLSANVARSAYVRRNKCISKSPDLSSCGDFDSSAFVSNGSVEENGSEVLTIDSDAFSLNEGSTIDSTFKLEDTIECLEVELNKRVDVEIKSVVNRKKRKPSRKRVSHDVTKLANKPEDEAAVQNACQSSPNMCGNSEGRFVEHDGDEHLPLLKRLRVRMGKPSSTEAELNNFVQAQEKSFNSSLEIVTSSNCENGDSSLLNGTSDNVSPSKISAPSLDTQIYNTKKDQTLCSVDDEAALPPSKRLHRALEAMSANAAKEFQAHTEATSSIMTSSGKCCISTAKRCPCMAINDEEGSGVGSRELDNCGTGPSCINVYSFSTSSTPIISSESESSIQVDKQLTKLQQHKIGKEVISGVTDQVGEDLSDNVVCVTTKADLKIQLHRQISPVLGFKCCEGESNQEFLQNDEDSIKADNRSNTAFDTLERNGISLGPVAVSISNDFLAPNNIDAPPNEVVVCEDSERLKLPAIDSSKSNDMSVIVKEIKCKEPEEDLNFVSASNDLGEKGILGTRSSPSLTNGGDCIPHGSPPNTPACNVSMSDSSNVLQNGSCSPVVQPKQTLSGPGTVDGSKNRFAATQQSRSTDKSTDAGHTALCYFEAMLGTLKRTKESIGRATHIAIDCAKFGNAAKVMEILAHNLETESSLHRRVDLFFLVDSIAQSSRGLKGDVVLRVWLERRILPESIVCHHIRELDSYSNLASACVHSRRTSRTERSIDDPVREMEGMLVDEYGSNSSFQLPGFCTPQVLKDVDEGSDSDGGNFEAVTPEHNSEVHEVSSTIEKHRHILEDVDGELEMEDVSPSCDVEMNSIQNFNGGNAPQLQKNLPLPLAPLPQNVQSSPPPPSSPPPPPPLPPMLHLMKSAPDPYNTVVDTKGYTDSQILKDNLLHSTTQPLAAPRRRQPSSDAVHYQVPECREMQMHMPKSNCSFNSFPVPSDNFRHSDSVPMHNKGYSLRSPHHVPSNQFSFVHREHHVKHRRGFQPPLPPPPPPYSNRHHLVQNMERENFYNNHERLKPPPYDHRERWNAPVSYSDRWYQDRDVPPPYDCHPCESTRFSGHGWRFPPRSMDHRNSMSPFRPPPFYDAIPVANRGPNFWRPR >OIW06543 pep chromosome:LupAngTanjil_v1.0:LG08:11090001:11092268:1 gene:TanjilG_29964 transcript:OIW06543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANGFVAAAPPLKDELDIVIPTIRNLDFLEMWRPFLQPYHLIIVQDGDPSKTINVPSGFDYELYNRNDINKILGPRASCISFKDSACRCFGYMVSKKKYIFTIDDDCFVANDPTGKAVNALEQHIKNLLCPSTPLFFNTLYDPFREGADFVRGYPFSLREGVPTAISHGLWLNIPDYDAPTQLVKPLERNTRYVDTVLTIPKGTLFPMCGMNLAFDRDLIGPAMYFGLMGDGQPIGRYDDMWAGWCCKVICDHLGLGIKTGLPYIFHSKASNPFVNLRKEYKGIFWQEDIIPFFQNLTLPKEATTVQECYIYLSKQVKEKLSKLDPYFDKLAEAMVTWIEAWDELNPQGASKANGKA >OIW07227 pep chromosome:LupAngTanjil_v1.0:LG08:3350358:3352085:1 gene:TanjilG_02547 transcript:OIW07227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMNDQSAIELLNGEAILHSQLFSFIRPMCLKWAVELGIADIIHNHAKPITFPELMLVLVQVPPTKASLVKRFMRFLAYNGIFAIHESQEEQHETYSLTPASKLLVKGSDHCLTPFVVWATDPVRMNNYHHLGNWVSGEVPTLYETSLGITLWEYLEKNPEYMSTFNEGMASDCKLLQLTLKDCSCVFEGLDTIVDVGGGNGTIAKIISELFPKLKFIVFDLPQVVANLQGSNNVSYVAGDMFVSIPQADAVLLKRILHDWTDEICIKILKKCKDSISSRKGKVIIIETIINEKQEDKVMTETKLSVDIMMMTINGKERNEKEWKELFVAAGFKHYKIFHIHGLRSLIEVYP >OIW06494 pep chromosome:LupAngTanjil_v1.0:LG08:12496802:12499363:1 gene:TanjilG_05265 transcript:OIW06494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANFPDEVLERVFNYMNSHRERNNLSLVCKNWYKVDRFSRKSVFIGNCYSISPERVIERFPMLKSLTLKGKPHLVDSNFVPYDWGGFVYPWIDALVKSEVELEELRLKRMVITDESLQLISSSFVNFESLVLVRCEGFTTIGLAAIAANCRFLKDLDLQESKVDDHRGHWLSFFPDCCTSLVTLKFACLKGSVNLAALERLVARSPNLRSLRLNGNVPLDALKNILMQAPQLVDLGTGSFVHDPNPEALVGLRNIISKCKSITEISGFFNVLPYCLSAIYPICMNLTCLDLCRVVGIPSNSLIKLASRCAKLQRLWIMDWIGDKGLEAVASTCKDLQELRIYASVHIIGNDLDGVSEKGLFAISIGCHKLHSLLYTCRQMTNAVLITVAKNCPNFISFRLCILDPEKPDPTTMQPFDEGFREIVQSCRKLKRLTLSGQLTDQVFLYIGMYCEQLEVLSVAFAGESSDGITYVLNGCQKLRKLDIRDSPFGNSALLMDVERYETMQSLWTSSCNVSIGACKILAKKMPSLNVEIINDNQQPVSIADDEQRVKTMYLYRTLVGKRKDAPEYVMTL >OIW05767 pep chromosome:LupAngTanjil_v1.0:LG08:23936530:23936976:-1 gene:TanjilG_23553 transcript:OIW05767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKAEKKLAEKKPAEEKKSTVAEKAPPAEKKPKAGKKLPKEGGAAGDKKKKKSKKSVETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAQESSRLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSS >OIW05754 pep chromosome:LupAngTanjil_v1.0:LG08:24021151:24022299:1 gene:TanjilG_23540 transcript:OIW05754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKSMLGDLDSLPEADKQRMSTMIDQLQIRDSLRMYNSLVERCFTDCVDTFKHKSLTKQEETCIRRCAEKFLKHSMRVGLRFAELNQGAATQD >OIW05577 pep chromosome:LupAngTanjil_v1.0:LG08:25217849:25221658:-1 gene:TanjilG_23363 transcript:OIW05577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGLSSEKKVYIVYMGEKHKSGRVSTQSMHHSMLQGILGSVDAAKESMVYSYRKSFNGFAATLTEEEVAKISEMEEVVSVFPNRRLELQTTRSWDFMGFGTFGGLTPSFDGRNVVIGVIDSGIWPESESFDDKGLGPPPTKWKGICQSGYDFTCNNKIIGARYYQSNNYNNDVKIKSVRDDTGHGTHTASIAAGREVVGASYYGLAKGVARGGAPDARIAVYKVCWNNNCYDADALAAFDDAIADGVDILSISLGGNENTYYLDDPIAIGSFHAMKKGILTIAAAGNSGPNRGSLLNLSPWLVTVAASSTDRKIVSRLVLGNGDVVMANAINTYNANNKSHPLIWGGDAANFSAGYTPEDSSLCNFEALNLNKVKGSIVVCEDSSTNSLVLKAGGVGVISPNLPDENFAMPYPFPTARINDADFSRVLDYIKSSVNPKATIMFSETIEDLQAPYIASFSSRGPNLISPDILKPDLSAPGLNILAAWSPVAPHIQSEFKEFQDTSRVDYNVLSGTSMSCPHVVGAAAYVKATHPSWSPAAIKSALMTTAYPMDPQKHKYYENEFAYGSGHLNPVSAVDPGLVFNASEADYIDFLCKQGYETTTLRQIANDNNVCKSSKPGRAWDLNYPSFSLAIEEGHKIKGKFIRTVTNVGAAKSTYKAIIDVPNFLKVRVKPPTLAFSVVGEEKSFTVEVTGPKISQVPIISGSITWKHGVHNVRTPLVVYTVLSFTPPHM >OIW06358 pep chromosome:LupAngTanjil_v1.0:LG08:14596864:14599449:1 gene:TanjilG_15003 transcript:OIW06358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGLSAAITMKPLRLHHPFFHLHPKPMSTILFNPLGSFCPPLTQRRRNTTVCVVKDPKQSTQIENVAENKNTPQTQVSYPPLIPTHVAEKWARKESERSTYLVAALMSSLGFTSLAVLSVYYRFSWQMEGGEVPWAEMFGTFALAVGAAVGMEYWARWAHRALWHDSLWNMHESHHRPRDGPFEVNDVFAIINAVPAIALLYYGFWNKGLVPGLCFGAGLGITAFGMAYMFVHDGLVHKRFPVGPIANVPYFNRVAAAHRELEDIGGLAALEKEINRSLKSYSRS >OIW07256 pep chromosome:LupAngTanjil_v1.0:LG08:2743357:2748360:-1 gene:TanjilG_08371 transcript:OIW07256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQSQSKDDLLFQQVNYGNIEGIKALHIQGAGLEWMDREGKTPLIVACMNPELYNVAKALIELGANVNAYRPGRHAGTPLHHAAKRGLKRTVKLLLLHGANALVLNDDCQTALEVARAKGHANVVRAFESHLCLFSGWLREFHGPGFLEVVAPHLVSRKVWVSCDVFEASQFMFIWVVVLPAGSRNLTRPYKLELAIYSTMQDSQPRTIIALWKANLEEPKLHQSDPSVTIVDITHKTRFKLGPANENDRQQLTRFSNACKGIPQTDPAFLHNNVATVPPTAPPPPPAAEDPELAMAIHASLQYAINDRPPFPDAYPNFDASSSSGVNNTSKHGYLGTENPNASESVTEHEAEQGGNNQHVQIHDNDNISAVHTTTDLDFNPSAPPITSEIPVDGPIQYPSIDSSPIDVSSEVVERLPKEEENADGNSSSCVICLDAPAEGACVPCGHVAGCMACLNEVKRKKWGCPVCRVKIDQVIKLYHV >OIW06484 pep chromosome:LupAngTanjil_v1.0:LG08:12316571:12317884:1 gene:TanjilG_05255 transcript:OIW06484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGQESDKNIEIWKIKKLIKALESARGNGTSMISLIMPPRDQVSRVTKMLGDEFGTASNIKSRVNRQSVLGAITSAQQRLKLYNKVPPNGLVLYTGTIVTDDGKEKKVTIDFEPFKPINASLYLCDNKFHTEALNELLESDDKFGFIIMDGNGTLFGTLSGNTREVLHKFTVDLPKKHGRGGQSALRFARLRMEKRHNYVRKTAELATQFYINPATSQPNVSGLILAGSADFKTELSQSDMFDPRLQAKILNVVDVSYGGENGFNQAIELSAEILSNVKFIQEKRLIGKYFEEISQDTGKYVFGIDDTLKALEMGAVETLIVWENLDINRYVLKNGTTAEILIKHLNKEQEADQSNFRDPATNAELEVQEKLPLLEWFANEYKQFGCSLEFVTNKSQEGSQFCRGFGGIGGILRYQLDIRSFDELSDDGEVYEDSD >OIW06183 pep chromosome:LupAngTanjil_v1.0:LG08:19197168:19197864:1 gene:TanjilG_15067 transcript:OIW06183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKVSTLQYALLHFWVPFLLVVSFCYANSAAATEISGNEELAKTSLDFHDEEAKFKGFFHIKPLVKKPFFKKSIPIVKPIPKPYPLVKKPIPILFNKPISKPFPIVKPIPKSFGVKKPIPIPVYKPIPIVKSIPIVKPIPIVKPIPKFIPIVKPIPIVKPIPKPLIVKKPNPIPVFKKPFHKPFSIPKKPFFPPHIPSVEP >OIW06630 pep chromosome:LupAngTanjil_v1.0:LG08:10057579:10060580:1 gene:TanjilG_04024 transcript:OIW06630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKDYWNWSGSRSSKSKRSRPLQTAEIPSGCMCAVFQLFDFHPFHFSLNQQQQHQQQQQQHQQQQPSFNSSSHNVQQDLQTVPKGAEAPRNSLESEDGTISTISKDENLKIPKNIRIRTSGARGGNLNDFSSEIISSPGTKTPTLVARLMGLDLLPDAVHSSSSSSSSCLSTPNQQGHHPHVNHHFRQRQQVQLVKHRNSTGSYNNGGIRSLHETPRSSDVEHRRLSLQINKENMDLDLPQISFSKSKCNNENYNYSSSRNHYARQIVKQVKESVSRKVGLDITNTVKIREKEREEFVNQLRLKKSLKKSVDESSPGKHSSQSHSPGLSRFIDTKNNPSTKLSSQLTPKDQNIILKPQSPSPLANVEAQVSRVLTKARPQALPDEQELQNQKPAPKCKKIGKEKFSSMLKKPQQKSSIRKKQEEAFVIRPPPSPRRDNDIKTKTKTKRTHPLPCNVLKNLNTTVSTLHPVKTCPSPPATKIPQKQVSETREPKWSTQLSICSSQRYKQEALAHTLATQGRVTNVKNKSNGVSTTTEEGAEFQYISTILTRTTAPHQWYPSNFHQLELYPTYNSTLSSTHNDKDSIFTRNNQPGPRCNQRLLFDLIGQVLSEILVKPKYCNYDNGVSLLETVWNKVGSFPRAKCEVLEDIDGLIEMKDEEEEGREREEGLVAEIEENIFETLVHETVTVMVGGV >OIW06441 pep chromosome:LupAngTanjil_v1.0:LG08:11624465:11627583:-1 gene:TanjilG_05212 transcript:OIW06441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTAIKQLVRRNLQSHSPNFSFVSSIVTKKDGAGSTGRSSLRALALIGAGVSGFFGFSTTASADEAEHGLACPDYPWPHNGILSSYDHASIRRGHQVYTQVCASCHSMSLISYRDLVGVAYTEDEVKAMAAEIEVVDGPNDEGEMFTRPGKLSDRFPQPYANEAAARFANGGAYPPDLSLITKARHNGQNYVFALLTGYRDPPAGVSIREGLHYNPYFPGGAIAMPKMLNDGAVEYEDGTPATEAQMGKDVVSFLTWAAEPEMEERKLMGFKWIFVLSLALLQAAYYRRLRWSVLKSRKLVLDVVN >OIW06325 pep chromosome:LupAngTanjil_v1.0:LG08:13937660:13939494:-1 gene:TanjilG_14970 transcript:OIW06325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKGEIVSIETIKPSTPTHDHLRDLKLSLLDQLLPNQIYIPLLLCYSHHDINNAFGGTSDHFSTISQKLKTSLSQALTLYYPYCGKPVKDNLSIECNDTGVVFIESKVNAKLSDILNNPLLELMVKDFLPFDPYNFDAPEANLAVQLNQFSCGGIAIGVSFNHKLGDAITLGCFLRAWSLLARGQGSLMVAPQMETSNLYFPPKNIEKINFRDVICKEEIVTKRFMFSGTNLSRLRDKLSSSIQNLSRVETVTALIWKSALEAAAKTSSKEQIFHASKVCHVVNIRGRVVPPLPEVTRGNLFVQSLSPKLELKGEVGLHDFAEMARKAVRSVDKDYVSKIIGDGILEVIEEMIANKEEGVPVYTFSSVIGMDCYENDFGWGKPTWVGTVGGRPMKNAVILFPTRDGKGTEAWITLSKVDMVEFERNPELIHYTSVNC >OIW06896 pep chromosome:LupAngTanjil_v1.0:LG08:7601528:7605638:-1 gene:TanjilG_19545 transcript:OIW06896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASACDLSLFSLSTLPRNSQIHLKNVAFAASIVNNPRFCFNREKLKVNHGLKVHAVVEVGLEGSKSKTLEETFGFDVVSEGELKVKGFEGLRKTKLVCTVGPACSSLEELEKLALLGMNVARLNMCHGTREWHSDVIRKIKKLNEEKGFSVSVMIDTEGSQIHVVDHGAPFSVKVEEDSIWRFTVEHFEGSRPFTIQANYKGFSEGIEVGDELVIDGGMARFVVTEKTGGDLHCKCIDAGLFLPGAKFSFWRDGKLVRRNYELPTLSTKDWADIDFGITEEVDLFALSFVNNADSIKDLKNYLSTKSTKSIKVLAKIENLEALHNLEEIVQASDGIMVARGDLGVEIPLEQIPTVQEDIINICRQLNKPVIVASQLLESMIEYPTPTRAEVADVSEAVRQYADALMLSGESAIGSYGQKALAVLNMTSSRMESWVREENRQSLLNHRQLGASLPDHITEQICNCAVEMANKLGVDAIFVYTKHGYMASLLSRNRPNPPIFAFTDDDSTRMALNLQWGVVPLLVDLSDDAESNISKSIQHMKSKGLISQGDTVLVVSDVAPTHATHKAFQSIQVKTII >OIW06083 pep chromosome:LupAngTanjil_v1.0:LG08:20493279:20497824:1 gene:TanjilG_29839 transcript:OIW06083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSINSKTTYFTFIVIVLVAVHAEGRALGISATELVSDGVNDIQSENSHLHLKGSEPCENICEQMYGFLPCSTNILGHLFLILVYEYLLFHGESYMAAGSEKIFEILGPGIFGSSAFDLLRALPESLILLVTGLNSDKKSAQEYASSGVGLLAGSSILLLTVVWGTCVIIGSQNLNDDHNPSGSNRSKLSIKESLTGSGLTMDIDTVNMSRIMVFSIIPLLIMQIPTLFNLSSTPRAVTLMVSLIIAVIFLISYFVYQVFEPHIEKRRLEYIKHDHLILRIFQHVNKQTLQKILAEDGSANVAAINGLYHEISGGEDLLASDIKEMLLQNKENVVNIKEEQIADLLRIFDRNGDQVISREEFVDGLTNYINQTKRALEKKYIAKESMNKLYKSFIKPWIEHTRRELKLKGHIISQVLNHAQTDRVGSLCKDDGTPDEDAIRRFLIFISQS >OIW05919 pep chromosome:LupAngTanjil_v1.0:LG08:22238769:22242921:1 gene:TanjilG_07195 transcript:OIW05919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINTKGEIRRIVILNCYTNHMFSIFLLFSFFITFEYCSARDTITINNSLKDEGVNTIISAGENFELGFFTPNGSSISRRYVGIWYYKLNPQTVVWVANRNNPLRDSGGAFVVAEDGNLRVLDKNGKSYWGTNLERSSSLHRTVKLLDNGNLIVCNGDQESHSVKILWQSFANPTDTFLPGMKMDETIGLTSWTSNEDPAPGNFSFEQDQGEKNQYIIWKRSLRYWKSSVIGKLVGTSEMSSAISYFLSNFTLKISPNNSVPFITSSLYSDTRMVMTHWGQLQYLKLDSQKIWSLVWVEPRDRCSVFNACGNFGSCNSKYDSMCKCLPGFMPNSIESWNAGEYSGGCSRKTNVCSEDAKSDTFLSLKMMKVGNPDSQFNAKNEVECKSECLNNCHCYAYSYEDTEKGSQGDSDSAVCWIWSEDLNNLQEEHEHGCDLHVRVAFSDIEGNHSYQTDKHMSLLEIIVVTLTALVILILLSSTVTYIYLRKRNQENTQESRGYVKKKSGINMYGSEKYIRDMIESGRFKEDDAQAIDIPHFHLESILDATNNFANVNKLGQGGFGPVYKGKFPGGQEMAVKRLSSCSGQGLEEFKNEVVLIAKLQHRNLVKLLGYCLEEDEKMLIYEYMPNRSLDAFIFDRKRCGLLDWDMRLKIILGIARGLLYLHEDSRLRIIHRDLKASNILLDEEMNPKISDFGLARIFGGKETAANTERVVGTYGYMSPEYALDGHFSVKSDVFSFGVVVLEIISGKRNTGFYQVEHELSLLGYAWHLWKVDRVLDFMDQTLSDTCNADECLKYVNVGLLCLQEDPNERPTMSNVVFMLSSESNTLPSPKEPAFVLRRCLSSRASTSSKMETFSRNELTVTLENGR >OIW07076 pep chromosome:LupAngTanjil_v1.0:LG08:5728136:5731298:-1 gene:TanjilG_02710 transcript:OIW07076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGFIPFLAMVLVQLGYSGMNITSKLAMQSGTNPLVLVAYRQIFGTLSLAPFAYWLERNTAPRLTMRTGFMIFLSSLTGVTGNQVLYYMGLKYSTPTIACALTNLLPAFTFILAVLFRQEYLRIKTIAGVAKVIGTCLSVGGALLLSFYHGHLIGIKESSIHWRYAERMEGSSPSPTGISLFLGPLALIASALVWSVWFIIQADLSKTYPAPYTSSLYMCFMASIQCVVIALCDEHKASAWSLHNQMRLISSLYAGIVCTGLAYSLLSWTIAKKGPLYASVFTPLLLIITAVISWALLEEKLYVGTAIGSIVIVMGLYSVLWGKTKEMNDNDIIIEETVTEVMNDKEKDELKDLELQLYNPSNGNNYHVSA >OIW06820 pep chromosome:LupAngTanjil_v1.0:LG08:8219439:8221685:1 gene:TanjilG_03715 transcript:OIW06820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVLPYSDVDSTLRAMAGRAEGFGYLAIGGLNGPLYSVTTLSDDGPGSLREGCRRKEPLWIVFAVSGTIHLSSYLSVSSYKTVDGRGQRIKLTGKGLRLKECEHIIICNLEFEGGRGHDVDGIQIKPNSRHIWIDRCSLRDYDDGLIDITRQSTDITVSRCYFAQHDKTMLIGADPSHVGDRCIRVTIHHCFFDGTRQRQPRVRFGKVHLYNNYTRNWGIYAVCASVESQIYSQCNIYEAGTKKKTFEFYTEKAADKEEHKSGFIISEGDMFLNGAQPCSPIEYKEESMFHPSEYYPTWTMETAADSFREILQLCTGWQSISRPVDHIQ >OIW05761 pep chromosome:LupAngTanjil_v1.0:LG08:23970557:23975410:-1 gene:TanjilG_23547 transcript:OIW05761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTLISYSSSFIATPLPSLSRNLPHRITTKLNFSFHHIPPIQSIHNSIDFIEIVTRTEGILYTLADATVAVADSTTAVSGDAAVQKNGGWFGFIAEAMEFVLKVLKDGLTAVHLPYAYGFAIILLTVIVKAATLPLTKQQVESTLAMQNLQPKLKAIQEKYAGNQERIQLETSRLYNKAGVNPLAGCLPTLATIPVWIGLYQALSNVANEDGHPPLGWQDTAAYLVLPILLVVSQYVSMEIMKPPQTNDPNQKNTLLIVKFLPLMIGYFSLSVPSGLTIYWFTNNVLSTAQQVWLRKFGGAKPVVNENASGIITAGRAKRSGEKFRQFKDEESKKKLGKALPVDEVQPLASDSDDGSNEGSNKVQEVAEKSYASTVSEEVPSRERRSKRSKRKGAV >OIW07352 pep chromosome:LupAngTanjil_v1.0:LG08:892262:896402:-1 gene:TanjilG_10187 transcript:OIW07352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLPDGKTLVSDDGTFELGFFNPGTSLNRYVGIWFKTVPVRTVVWVANREKPINDNSSMLIISQQGNLVLVSQNHSIIWSTNLTATTKPLSPIVQLLNNGNLVLKEENDNNNNEGSFLWQSFDYPSDTLLPEMKVGWDLKTGLNRRLTAWKSWDDPSPGEFSCGIVLNNYPEPLMWKGTIVYHRDGPWNGLGFSGTPAQRPNPLFEYKFVNNADEVYYSYKLKNWSVISILVMNESLYLRQRITWIPESKTWRIYQSVPQDGCDAYNLCGAYGNCIVDASPVCQCLAGFEPKSSKNWNAMDWTDGCVQNKPISCRVKGKDGFQRFTGMKAPATTHTWVNESMTLKECNAKCSENCSCTAYANSDVNASGSGCVLWFGDLIDLKQFSDVGQDLYIRMAVLETSEGIDGNAKDKKKTTLVITFTLLSVAGILFLFVISYIYWTKRKLREEKDESGQEDLELPFFDLTTIVNATNSFSNDKKLGEGGFGPVYKGILVDGQEIAVKRLSIGSHQGMHEFKNEVILCAKLQHRNLVKVIGCCIEGDEKMLVYEYMPNKSLDSFLFDSAKSKHLDWIKRFNVINGIVRGLLYLHHDSRLRIIHRDLKASNVLLDNNMNPKISDFGLARMCGGDQVEGNTSRIVGTYGYMAPEYAIHGLFSIKSDVFSFGILLLEIVSGMKSKGLPNTSQSYSLIGHAWKFWKDGVPMKLIDSCLEDSCIPTQAFRCIQIGLLCVQQYPDDRPNMASVAVMLSSENSLPQPKEPGFMIEKMSFEGESSSKLTSSSVNEVTISILDAR >OIW06154 pep chromosome:LupAngTanjil_v1.0:LG08:19406960:19407331:1 gene:TanjilG_01781 transcript:OIW06154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVGMASNTFKTAMVITDVVILSNSAIKSLFFPLSDRAIIQAWAERRNSLQHTSFHRSTSSARWCSPFAKNTSTITADGSTQEVLYKQFSHIRNQIEVCHSCSRSLSTDICTECVHIMTLEKE >OIW05868 pep chromosome:LupAngTanjil_v1.0:LG08:22963036:22969654:1 gene:TanjilG_23654 transcript:OIW05868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESQRIGQMELSESGTSSHHVSYGVLHHGINIPTSSLMNQGSDFDFRELEEAMVLQGIKARNDEAKAALSTGRPAATLEMFPSWPMRFQQTPRGSSKSGGESTDSGSGVNTFCSSKTSEPPQFDTESPISKKASSSDQHHQVFDQQRQQNQLQQQPELMPFDASRTGPSLNQSPAKSTQDKKKGVGSISEKPLDAKTLRRLAQNREAAKKSRLRKKGMFLGCGGTGGNISSGAAMFDMEYGRWLEDDHRMMVELRAGLQAPLSDNELRVMVDGYLSHYDDIFRLKGMVAKTDMLIQQLEPLAEQQIMGMYGLRHSSHQAEEALSQGLEQLQQSLVETIAGGPVVDGVQQMMVAMGKLSNLEGFLRQADNLRQQSLHQICRLLTIRQVARCFIVIGEYYSRLRALSSLWTSRPRETLMGDDNSCQTTTDMQMVQASQNHFQSF >OIW06867 pep chromosome:LupAngTanjil_v1.0:LG08:7368631:7368969:1 gene:TanjilG_19516 transcript:OIW06867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTKLKSVTLLTLILLASFFATLLSVSEARPSPFEDGIVREVDGVFRTLKSSGPSPGVGHLNKKLQNLGDMKDSGPTPGIGHKPKTLQDIEVINHSGPSPGEGHNFNTNIHS >OIW06376 pep chromosome:LupAngTanjil_v1.0:LG08:14919606:14920163:1 gene:TanjilG_15021 transcript:OIW06376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMADNNTHIRGYHFTMSSMGQWASDGHKYTYTGPCGLSLHMVCNRSSMMIPHPDTHIDASFTLPPKRLMSPEVGLPYSPVKSDGVVHSHGYRTWQDCLDAPSTSRAKRSSGLGGGPCKKAPVVRMSSPLNYAAGLWHNEVEEEEDEDEVIELINVESSVEVIDLVSNSEEEEDPSEGSNIPGIF >OIW06850 pep chromosome:LupAngTanjil_v1.0:LG08:7935518:7936646:-1 gene:TanjilG_18232 transcript:OIW06850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRGVELFLQWAMMQGVAMLLQNRYQRQRLYTRIALGKAKRMDVVWGETAGVDGQLWLLCPILFILQGFEAYVGVLLLNTAFVGVVSEWQVIFCGVLLVLMAVGNFTNTVQTLMVKSRFKAKMIKSKSKQRLD >OIW06734 pep chromosome:LupAngTanjil_v1.0:LG08:9073115:9084813:-1 gene:TanjilG_11459 transcript:OIW06734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHFPSPHLFFFFFFFVFLLSIPFTHSESSTCLTVYNNGGAPAVFKSPKCPLWKLSDYNSPSQSTARCHNAILQGRRISQEDRTLCLQDLHIPFPGVNGIKEVVVGIVAVFDGHNGAEASDTASKLLVEYFILHTYFLIDAAYSVMFKTSIGSWPYKRGRDWVNLLQRWKELLGWHELYNERFQKTFTANFDDSFHLEILKEALLRAIHDIDAKFSEEASRSSLYSGSTATIALVADDKVLVANIGDSKAFLCSEKFLSPKEAKASLIKVHRQKEHDGSVSVWDRDKYRLVSSQGLTHFAVKELTSDHHPDRDDERIRVETAGGEVLTWGGVPRINGQLAVSRAIGDVFLKGYGVISAPEVTDWQPLTANDSYLVAASDGVFEKMSVQDVCDLLWEVHRFSNMRSECTPSSSFSLAEFIVKTAFEKGSMDNVAAVVVPLESAKFSENSPRRGYIEKIDADFPLVGLQDLVSRSSANDITFGLMHLEHHHPVDAKFKRILVEVKRGDFGCFYLSENLDALVDSKQSANKTDLEDYLYELPQPLPDALHQHSEVGGPLHLYNNQNFCFLPGLTGSEDKDQCINPEGFANFIGLLESIPLHDTDPNNGSSDYSMPDLRYVLKKSFGRGSYGEVWLAFHWNCNQGSNAAEMSKDDNNRNSSSTFPDCHDGPSNYTLYILKRIMVERGSAVYLSGLREKHFGEIFLNASTCFEDLLSAGKSNCVLETSQFDSENSFPSKFQHQRAIHEEGLRHIARYVESFESRSNEIWLVFSYEGLSLSKLLYTVEDANTADKEKNEEAEHAQILRPSKWWHWLKTTEEGQEEMRNLIWQLLMALKSCHDRNITHRDIKPENMVICFEDQETGRCLKEIPTKINKFSTKMRIIDFGSGIDEFTLKHLYGSTGPSRAEQTYEYTPPEALLNATWYQGPRSSTLKYDMWSVGVVMLELVLGSPNVFQINAFTRALLDRQLEGWNEGVKELAYKLRSFMELCILIPGISGSSSKKYHTVNQVGVSPASWKCSEEFFSRQIKSRDPLKIGFSNIWALRLVRHLLLWDPEDRFSVDEALQHPYFQPAPKT >OIW05827 pep chromosome:LupAngTanjil_v1.0:LG08:23390185:23394934:-1 gene:TanjilG_23613 transcript:OIW05827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIDDPLDFEFEDELLKPAPVINNNKRKKLIGLDDLVEDHYREQNKLLEKQTKQTKSKAKKNKRSYDDEDRKEALLTRIVEKCHNQLKAFGEEEEIPTWGIKVFGDKKAFPHLHLPDFGSCNLLQSFLNNKLNCVVGLSSEKGDIFLEGLLINGWLLKLAFLCGHVEKPIAIWAFNTMLYSPKEELQNFSTEFWCAILSSRNEIDQLPVKVDWFPEYTDLRRALDLYGFLFKFSSTVEPINLDSDIEGPPQNIIAWVRFVTACCVIRSKKPIFSTLEAEEVVEIIISLFLDRQFQGLMVLLNDCMQAIINYFTDEEWCLSCEKIAKFIACRVSKDLNCIQAVECLSEADSRCKQLRSSVAYQILLSCFDGVNSGEEILRSLIAVNFKDKSCDFLKMYIHLVLTENWVLSNSLIEDNPVIYEMFSLYLRHCSNLISATDLRSYASKPETVKC >OIW06727 pep chromosome:LupAngTanjil_v1.0:LG08:9152912:9154933:1 gene:TanjilG_11452 transcript:OIW06727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVEVANRVAVESCHRVLSMLSDPKGQNQQRNLMVETEGAVARFKKVVSLLHNGLGHARVRKLKKLQVPFSQSILLDNPNCQSKTINHSKNLHFPYTQTSFPENSVQEFGSSVVRNTLSLGNPSLELSSSGKTPLQLAQQASSAHYHVLQQQQQKQQRLIVQQQQMKHQAEVLYRRNNNSGINLNFDSTSCTPTMSSTRSFISSLSIDGSAANLDGSTFHLIGAPHSSDQNSQQHKRKCSARGDEGSVKCGSSSRCHCSKKRKHRVKRSIKVPAISNKLADIPPDDYSWRKYGQKPIKGSPHPRGYYKCSSMRGCPARKHVERCLEEPTMLVVTYEGEHNHPKLPAQSANA >OIW06784 pep chromosome:LupAngTanjil_v1.0:LG08:8709712:8709873:1 gene:TanjilG_11509 transcript:OIW06784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTRGAHPIQEKDQLMIFLELRMIQTFGSIHQFAKDKEDVLFVTSVNQIQDLV >OIW05717 pep chromosome:LupAngTanjil_v1.0:LG08:24280117:24288158:-1 gene:TanjilG_23503 transcript:OIW05717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNRYFLLSLLPLILVSSFQVTFTYAGGSTTQDIGSLNRSSFPPGFIFGAGSSAFQFEGAAREGGKGPSIWDTYTHNHPEKIRDGSNGDVAVDQYHRYKEDVGIMKDMNLDSYRFSISWPRILPKGKISGGINREGIKYYHNLIDELLANGIKPFVTLFHWDLPQALEDEYGGFLSSRIVNDFQDYADICFQEYGKKVKLWTTLNEPFMYSRGGYALGTFAPGRCSDWLKQNCTGGDSGTEPYIVSHNLILAHAAAVHVYKTKYQAYQKGTIGITLVSNWFIPLSDNDPRDIKAARRSLDFQYGWYMEPLTKGYYPKNMRALVGKRLPRFTSEQARLVNGSFDFIGLNYYSSSYASPAPPTNGKPSYQTDYLANSLFERNDRPLGLRAASNWIYFYPKGLRDMLIYTKNKYNNPLIYINENGMNEFNDPTLSLDEALVDTYRIDYLYRHLFYLRYAIEEHGVNVKGYYPWSFLDDHEWSNGFTVRFGLVFVDFKNGLKRHPKVSATWYKNFLKK >OIW06386 pep chromosome:LupAngTanjil_v1.0:LG08:13394086:13394394:-1 gene:TanjilG_13572 transcript:OIW06386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNTLRRASKVMTEQEARQILGVTEGTPWEEIMKKYNTLFENNAKTGSFYLQSKVHRAKECLESLHQAKDQGATPG >OIW06861 pep chromosome:LupAngTanjil_v1.0:LG08:7837470:7850096:1 gene:TanjilG_18243 transcript:OIW06861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSSSSSSSSQTGLTRYASAPSSLLTTAVDAVISAGSHPLPPQYFSGESSEPNFQALGGGGSSSNLIRQKSSPAGFLNHLATTLHHPDNAGFTITRGASTYSSHGHGISNSGHAHTVSRLKTQLSFTGQDSLSQISEVSENIEEGTTSDNGHHRPVHSYATTSFGMEPWDNSNSIVFSAPPTKRSKNMDGDILNCLNALESQFSLPQTTLEMATVENLLHIPEDSVPCKIRAKRGCATHPRSIAERERRTRISGKLKKLQDLVPNMDKQTSYSDMLDLAVQHIKGLQSQVQISEVSENIEEGTTSDNGHHRPVHSYATTSFGMEPWDNSNSIVFSAPPTKRSKNMDGDILNCLNALESQFSLPQTTLEMATVENLLHIPEDSVPCKIRAKRGCATHPRSIAERERRTRISGKLKKLQDLVPNMDKQTSYSDMLDLAVQHIKGLQSQVQVCNNTLPFTV >OIW07062 pep chromosome:LupAngTanjil_v1.0:LG08:5840602:5843967:-1 gene:TanjilG_02696 transcript:OIW07062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASETVASDHASATDEQIVNKEKSEAIADLITSLADEDEVKHDKPEDPNGGHTAPSSKTEDETNGKPGVVEVQKSDDSPALEASAEDNLKHDKIEDAQTPAATLSKTEEDPKPEPAVVVENTDDPVSLDAHIEDNLKEEKEVIPDSHTTDDAGKSQPKEPPSTETTVEETAQQLQKEPVEIEEEKQPEKVGIPEASDETIEKSNNIAEDIPQTESEAKVVKEAEILETSGQKEEKPKPIVVATEVQEQTQEPENEPEEKPQEAEQRTTVTIPELSTEAITIEEKTREFSAEILKEANESEAVHAETVKAEPLVTEVEDNPKEPENQVLEKKEEEQLNTVEIAKVPSTESSEAVEEKTSEPEVLKETNNHEEEPAEAEKAVAEFTKFDENLTEPEKESLVIPETETVGDTVEVHHPEEADIEVVKETDTSEAEAVPEEAEKPGDEIVVHQPIESDIEAVKETDTSQLEVVSAIEEKPEPVFTEVEEKPRELVEVADDVGETSKEIETKHEILLDTKIEETSKDTIKDPIPLKEDKTDKEEETSITANTEQVSVNEEAQADPENLVEPSLEVAKKIAEVDGKKESGFTDVTEGVSKDEASILEVPKPDSVDHEAETGLKEEREYSVPTSVEENVVGENDYKKEPEIPEAAQESSTKDTKQIEAKTPTTEDDELAVENVKEGHTEAKVDEISSGVSEPVRETLASKFEQETTKTEVENLEKEQTEEPVKTDAQVPEETTKGNDAAKTSSKDFPEEIPAKPVQKQSHNIISKVKQSLVKAGKAIIGKSPSSKNHSTEAKDDIPVK >OIW06624 pep chromosome:LupAngTanjil_v1.0:LG08:10019255:10020932:-1 gene:TanjilG_04018 transcript:OIW06624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTLVRLQSKARATRVHSSDNVYSFKASLSHYPVPEEYEHPHHAYSTKFDGSSILKRCSSNANFRNIDSERRQLDSNWLDHWMEENMCSQTRNTLKNGHLDDEKSDKILEVDTWKPNFKSHHAGGSSFQTSQHYYNLSSDYNNNNKSFMAYESSPSKRSTKALNQSLSSREVLQLSSLKLNKGKEELASRTSNNSPQTFSASSKSGARRGPFTPTRSECSWGYFNGYMGYPNYMANTESSRAKVRSQSAPRQRLEFDRYGSSSTKRFVQGLWDVGPNNSDSYSDL >OIW07216 pep chromosome:LupAngTanjil_v1.0:LG08:3538353:3540921:1 gene:TanjilG_02536 transcript:OIW07216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNEEDSIEEAVASRRERLLALRTAQQLSTTSQSQQDHEEQEDEDETHQQQNLNMKFRNYVPHDKHLQEGKLAPAVLPKFEDPAADAPPPPEEPSEDPFLNIAPKKPNWDLRRDVQKKLDKLERRTQKALYQLMVEQEKQKLLTEGDETTATAED >OIW06556 pep chromosome:LupAngTanjil_v1.0:LG08:9523173:9530934:-1 gene:TanjilG_03950 transcript:OIW06556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSRQRTRRAELPPAQDNIDKLEKVVNEGNYYGAQQMYKSISARYVSAERYSEALDILHSGACIQLTQGQVICGAELALLFVETLGKGKIPYDDETLDLVKRIFEKFPQVPLPPHLWDVDDMQQLSEEIRTAKARVEGCYSFLKAAIKWSSEFGASSTGSPELHIMLAEYIYSESPEVCYPGEDDLAIARAVLRYLCLGNLKDANILMGEIKKQAESTEVQFPQTDLMQFIIFLLQTMERNAPPLFNMLRANFKPSIDREPAFHEMLDDIAGKFYGIQRRDPMGMIGDMFKMMGPM >OIW06300 pep chromosome:LupAngTanjil_v1.0:LG08:15605613:15616856:-1 gene:TanjilG_17674 transcript:OIW06300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLARGIIEDEEKWLAEGIVGIQHNAFFMHRALDDSNLRDALKYSALMLSELRTSRLSPHKYYELYMRAFDELRRLEMFFKDESRHGVSIVDLYELVQHAGNILPRLYLLCTVGSVYLRCKDALVKDVLRDLVEMCRGVQHPIHGLFLRSYLAQVSRDKLPDIGSEYEGGDSGSVMDAIDFVLQNFTEMNKLWVRLQHQGPGQIREKKEKERNELRDLVGKNLHVLSQIEGVDLEMYKNTVLPSVLEQVVNCKDELAQFYLMECIIQVFPDEYHLQTLETLLGACPQLPPTVDLKTVLSQLMDRLSNYASSNVDVLPEFLQVEAFTKLSTAIGRVIEAQVDMPIVGAIALHVSLLTFTLHVHPDRLDYVDQVLGSCVKKLSGKPKLDYNRATKQVVALLSAPLDKYNDIVTALTLSNYPRVMDHLDNETNKVMAMVIIQSIMKNNTCISTADKVEVLFELLKGLIMDLDGAAMDEVDEEDFSEDQNSVARVIHMLHNDDPEEMFKPTVDLKTVLSQLMDRLSNYASSNVDVLPEFLQVEAFTKLSTAIGRVIEAQVDMPIVGAIALHVSLLTFTLHVHPDRLDYVDQVLGSCVKKLSGKPKLDYNRATKQVVALLSAPLDKYNDIVTALTLSNYPRVMDHLDNETNKVMAMVIIQSIMKNNTCISTADKVEVLFELLKGLIMDLDGAAMDEVDEEDFSEDQNSVARVIHMLHNDDPEEMFKMISAAKKHIMRGGPRRLLFTVPSLIFSALRLVRQLQGQDGDVAGEEVPTRPKKIFQLLNEIIEALSSVSSPELALKLYLQCAEAANDCDLEPLAYEFFTQAFLLYEEEIADSKAQVTAIHLIIGSLQRMSALGVENRDTLTHKATGYSAKLLKKPDQCRAVYACSHLFWVDDQDGIKDGERVLLCLKRALRIANAAQQMANATRGSSGPVTLFVEILNKYLYYFEKGNSQITSATIQGLIELITTEMQSDSPSALPVSDPFFTSTLRYIQFQKQKGGIMGEKYDSIKV >OIW05758 pep chromosome:LupAngTanjil_v1.0:LG08:23999895:24003926:-1 gene:TanjilG_23544 transcript:OIW05758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEREKTEVVAGIDIVQSLIESVNEIAAISDYKPMVKKQYCNLARRLKLLTPMFEEIRELNKEGIPLDTLKPLLAFKEALQSAKELLRFGSEGSKIYMVLEMDQIMNKFHKVTDKLEQALVGISCDKLDISDEVKEQVELVLAQFRRAKGRIDEPDVKLYEDLLSLYNKNNDADTDPAVLNQIAEKLQLKGVADLIQESLALQEMVAANSGDPGAHIEKISILLKKIKYYVQTENLVMDDNVGGRDLSSSVCSKGTNEKNHQAPVIPDDFRCPISLELMRDPVIVSTGQTYERSCIDKWLQAGHGTCPKTLQTLTSSVLTPNYVLRSLIAQWCEANGIEPPKRPNSSQPSKSASAYSPAEQSEIENLMQKLTFGSPEDQRSAAGEIRLLAKRNADNRVAIAKAGAIPLLVGLLTIPDSRTQEHAVTALLNLSIYETNKGSIVSSGAVPGIVHVLKKGSMEARENAAATLFSLSVIDENKVIIGSSGAILPLVSLLSEGTQRGKKDAATALFNLCIYQGNKGKAVRAGVIPTLMQLLTERGGAMVDEALAILAILASHSEGKAAIGSAEAVPVLVEFIVNGSPRNKENSAAVLVHLCSGDQQHLAHAQELGVMGPLLEMAQNGTDRGKRKAAQLLERMSRFLDQHQQQEDEVQTQTEI >OIW05553 pep chromosome:LupAngTanjil_v1.0:LG08:25356084:25362967:1 gene:TanjilG_23339 transcript:OIW05553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFPTFTTVSIPFSHLQVPGYSSLRTNLLHLAPRLANLPKEVKEGLEDPHSRYPSYCGSNIWPSSALPELEVAFKALGKLIFDVGLMLAYHCDQYVSRGMKIHKDEGLESILQRSRCHKGRLLYYFPSQQGNADGNSMSSWCGWHTDHGSLTGLTCAIFTRDGVEIPCPDSAAGLYIRTRSDQIVKVYILLLELQVVYGKDDIAFQIGETTEILSGGYLCATPHCVQAPKGEESSGIERSTFALFMQPDWDEKLNFPEEVHIHRELIPSNAALTFGEYSEMLLDKYYHQKQ >OIW06916 pep chromosome:LupAngTanjil_v1.0:LG08:7803557:7804183:-1 gene:TanjilG_19565 transcript:OIW06916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENYKTLIIEQKTPNSGVFYLYLNNPKQRNALTRDFFSEFPKALNALDHNPDVSVIILTAVGDHFCSGIELSALNSTATDAPSGESLRRNILAMQDSITALERCRKPVIASIQGACIGGAIDIITACDIRYCSKDAFFSVKEVDLALAADLGSLQRLPSIVGFGNAMELALTARRFNGLEAKELGLVSRAFDSKDELNKAVWNVAQG >OIW07054 pep chromosome:LupAngTanjil_v1.0:LG08:5927785:5929703:-1 gene:TanjilG_02688 transcript:OIW07054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSKHQRKKNYRKRSAPIEEEDQSHQSQYNNESDDERERRMALEEIKLLQKQRERKSGIPANPTLQPQSAIGGGVASKPAEKNDGDGGDKDDLVLQDTFAQETAVLVEDPNMVQYVENELAKKTGKKIDAVDQVENELKRAEDELYKIPEHLKVKRRNSEESSTQWTTGIAEIQLPIEYKLKNIEETEAAKKLLQEKRLIGKAKSEFSIPSSYSADYFQRGRDYAEKLRREHPELYKDRSVQDDASGSKQNESGTDAAGAGQRQAATDQLMLERFRKRERHRVMRR >OIW06718 pep chromosome:LupAngTanjil_v1.0:LG08:9276146:9282756:-1 gene:TanjilG_11443 transcript:OIW06718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGSAGSKDGSKVSSNQGGKTVFSEDEALKHFNALTEVTSKPVNSQADLTPALEYVLKESQNTKETADVDVDVEVNLVPENSSDSNMKNLVLSMLPKGVSDAKDNTVLVSTDIDVAPSKEGNGDKLSSAAFMMELARGVSKSAQELKNGVIFFFNTAEEGAKRFITQGVVPPGAIKSSTEFLGKLSGKDFPFTNKKDQPQVPHTKNYKFEPEKQGSFQHLGDNLLPFLLDHMVGSGAGKRFFSGVEALKHLNALTNKLSPPVDSKADDLNPSLQYVLKEIQKIKESADRDVNVEVDRFPINSGDLNLKNVVLRILPKAVSDAKANAALVSAHVDAASNKRNLLMQHQLSDAIRVAIDLDSLFAEGKKPSVFQNHKFDPEKPESFQHLGDNLLPFLLQLDHAVGPAASKGSSAAGKTVFSGADALKHAKALTEMLSRPVDSKADLNPAQQYVLKELQKIKGTAKGDVDVDVNIFPVKSGDSNMKNVVLRILPKAVSDAKEKAILVSANTDATAAASKEAARDKLSSAAFMLELALGVSKSARELENGVIFLFNSAKEDAKSFIIQDLSSSGPIKSSTSAETGVLSSGKGPSGDKDQNLDPVKQGTFQDMGDNLLSYLLHNDH >OIW06448 pep chromosome:LupAngTanjil_v1.0:LG08:11728691:11732981:-1 gene:TanjilG_05219 transcript:OIW06448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGLTAPSDYGREPPRHPLQKINSKQPFNSEPPRSALIASYLTPSDFFYKRNHGPIPIVEQIHRYCVSISGLVENPKELFMKDIRALPKYNVTATLQCAGNRRTAMSKTRPVKGVGWDVSAIGNAVWGGAKLSDVLELVGIPNLTSETQLGGKHVEFVSVDKCKEENGGPYKASIPLSQATNPEADVLLAYEMNGEPLNRDHGYPLRVVVPGVIGARSVKWLEAINIIAEECQGFFMQKDYKMFPPSVNWDNINWSTRRPQMDFPVQCVICSLEDVNTMKPGKVKITGYAASGGGRGIERVDVSVDGGKTWMEASRFQKSGTPYVADGPSSDKWAWVLFEVTADILHSTEIIAKAVDSAGNVQPEKVEDIWNLRGILNTSWHRVQVQASQSNL >OIW05861 pep chromosome:LupAngTanjil_v1.0:LG08:23044707:23048135:1 gene:TanjilG_23647 transcript:OIW05861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAEKPSWVRHEGMQIFSIDVQPGALRFVTGGGDHKVRIWNMKSVSRDMENDESSQRLLATLRDHFGSVNCVRWAKHGRYVASGSDNQVILVHERKPGSGTTEFGSGEPPDIENWKVVMTLRGHTADVVDLNWSPDDSTLASASLDNTIHIWNMSNGICIAVLRGHSSLVKGVTWDPIGSFIASQSDDKTVIIWRTSDWSLVHRTDGHWEKSLGSTFFRRLGWSPCGHFITTTHGFQKPRHSAPVLERGEWSATFDFLGHNAPIIVVKFNHSMFRRNSSNAQDVKSAPVGWANGSSKTESKEPQPYNVIAVGSQDRNITVWTTASPRPLFVAKHFFTQSVVDLSWSPDGYSLFACSLDGSVATFHFEVKELGQRLSDTELDELKKSRYGDGRGRQANLAESAAQLLLEAASAKRKSNKKGSVVQQNQKMAKGVGNNDQKVLVLAATNTPYALDQVHLGDTPHNLTEGDFEHLARKTEGFSGSDVAVCVKDVLFEPVRKTQDAMFFFKNHEGMWIPCGPKQQSAVQITMQDLAAKGLASKILPPPISRTDFDKVLARQRPTVSKSDLDVHERFTKEFGEEG >OIW06397 pep chromosome:LupAngTanjil_v1.0:LG08:13621508:13621756:-1 gene:TanjilG_13583 transcript:OIW06397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQSKSFPKCSCSYTEFVYRERSHSYNFNGPSHKGSGFRASNDPEIKRKKRIKGYNVLTVEGKIKTSVSNSFKWIKNKFGEW >OIW06396 pep chromosome:LupAngTanjil_v1.0:LG08:13616126:13618458:1 gene:TanjilG_13582 transcript:OIW06396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMASSIPCIKIPTCSSSPSSTSSSTSSYSFRFSSFKIHSVTIRNSKAEGPIRRPVAPPVRESSSSSSQPLKPTPPTIVPTPQKPSGVVVEGGKNVITLEFQRQKAKELQEYFRQKKLEEEAEKGLLFGFIGKNEISNGRWAMFGFAVGLLTEYATGSDFVDQVKILFSNFGILDLD >OIW07370 pep chromosome:LupAngTanjil_v1.0:LG08:361233:361646:1 gene:TanjilG_10205 transcript:OIW07370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVEILDGATIVNFIEDEEAFTISICKRFAYLDKDNDGLLSYAEMLKELQCLRVFETHFGVDVEPDPDELDRVYESLFIQFDHNLNGTVDLEEFKEETKQMMLAMADGMGFLPVQMVLEQDSILKKAVEKECNKFDA >OIW06209 pep chromosome:LupAngTanjil_v1.0:LG08:18727061:18729029:1 gene:TanjilG_03834 transcript:OIW06209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKQSEFDRTHVKKGPWSVEEDEVLLKHVNKYGPRDWSSIRTKDLLHRTGKSCRLRWVNKLRPDLKSGCKFSAEEERVVIELQAEFGNKWAKIATYLEGRTDNDVKNFWSSRRKRLERILKKPSPSKPQKNKGKIPLNLIQVEEVPACSSNQLEENYNSYPASYILNTEEIKMVHLPDLTKPNYQNQYLENDLNAMDVKATSFHMVPEPSFAYSSGYNCHQLPEPQMDFTLFPGCHDLAPEPFDPNFIDIFEQKNCSESVSSQKFVTKLPTLGLEGSCQNTIPNGFFEEFPTEMLEYFEHVPN >OIW06518 pep chromosome:LupAngTanjil_v1.0:LG08:10824927:10827011:-1 gene:TanjilG_29939 transcript:OIW06518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKKIKDRRITHCLLLPYPAQGHINPILQFSKLLQQQGVKVTLVSTIFYSKNMNKVPSNISFETISDGFDKGGVDEADNYKAYSDSFRKVGTETLSELIEKLDKQGNHVDCIIYDPFIPWVIEVAKRFEIVGAAYFTQNMNVNSIYYHVYKGKLQVPIIVDEISLPSMPKLEVQDLPSFFLTYEEDPSLVDLLVDQFKIIEKADWILCNTFYELDKEIADWSIKTWPQFRTVGPNIPSMMFLDKQHKDDEDYCVSQFKSEDCIEWLNDKPKGSVIYVSFGSLASIDEDQMKEIAYGLKNSGNYFLWVVRASEEIKLPKDFEKKSNKGLVVKWCSQIKVLAHESLGCFITHCGWNSTLEALCLGVPLIAMPQLSDQTTNAKYIVDFWKIGIRAKFDEKKIVRQEVLNDCIREILENERGKEIKNNAMQLKNLAMKAINEGGSSHKNIKEFMNDLFPLEPTWPA >OIW07092 pep chromosome:LupAngTanjil_v1.0:LG08:5473788:5475923:-1 gene:TanjilG_02726 transcript:OIW07092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDAALLALIENYCREAGVRNLQKHIEKIYRKIALQLVRQGETIDATIASAQSIEPKNAKVDFDESVQKESLLGSSNPEERDETSEEDDKVQIDLPAEQSPCPDNQSTDNEVVKLSGSTSQFSPVAKESEEDKETETKTIEKVLIDKPNLNDYVGKPVFHADRIYDQTPTGVVMGLAWTSMGGSTLYIETTLVEEGEGKGALHVTGQLGDVMKESTQIAHTVARAILLEKEPENPFFANSKLHLHVPAGATPKDGPSAGCTMTTSLLSLALKKPVKKDLAMTGEVTLTGKILPIGGVKEKTIAARRSQVKTIVFPSANRRDFDELAPNVKEGLDVHFVDDYTQIFDLAFGDEHSQNIEK >OIW06985 pep chromosome:LupAngTanjil_v1.0:LG08:7302739:7306728:1 gene:TanjilG_18373 transcript:OIW06985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPTTSKPRSSSSASSSSSSRPNPTWLPYLRRIIKWQQMDVEYTFWQMLHLCTSPKVVYQHTKYHKQTKNQWARDDPAFVVICSLLLTVATLAYCAAYDHSTAHAFFVFFSVLLFHFLLAGVFLATFCWFLTNSYLREEAPNSYVVEQRVEWMYAFDVHCNSFFPMFVLLYVIHYFLSPLLLAHGFIPVLLSNLLFMVGASYYHYLNFLGYDVLPFLERTTFFLYPIGVVIVLSPILILSGFNPSRYFMNMYFSRHI >OIW06905 pep chromosome:LupAngTanjil_v1.0:LG08:7715824:7717567:-1 gene:TanjilG_19554 transcript:OIW06905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCEKCEKKLAKVIVPDKWKEGASNTTEGGGRKINENKLLSKKNRWTPYGNTKCTICKQQVHQNGKYCHTCAYSKGVCAMCGKQVLDTKFYKQSNV >OIW05850 pep chromosome:LupAngTanjil_v1.0:LG08:23176689:23177636:-1 gene:TanjilG_23636 transcript:OIW05850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDIVSCFSENSVNVSRSSCSTYSKNACISPSLVPSTQNSVSNVYKLVLFNLKQLLITVTWCKSHSNQGFSISFGDNNHDPSASAPFRLNTNSRFFRKKKGSKLLELCDDSKVEVFWDLSNAKYEAGPEPVEGFYVVVMVDSEIGLVIGDNIAEEAFFKKFKTSTNHVAKVSLLSRREHCSGNTLYTTKAQFCDTGTFHDVMLRCSVENEGGGLMNSSSSPVLSVCIDKKTVIRVKRLQWNFRGNQTIFVDGLLVDLLWDVHDWFFNPASSNGYAVFMFRTRSGLDSRLWLEEKTAHKDKDRVEFSLLIYACKCS >OIW06037 pep chromosome:LupAngTanjil_v1.0:LG08:22081649:22082883:-1 gene:TanjilG_11724 transcript:OIW06037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKAVFLAIDIELHVDHICFHPSGDDSMDQAEGGCNSWVKGFEVLMKCIGVVHLPLSSSDIGAVQRIAKQISGRSGGLPSAHVMALAHVGGVIEVACDLLDPNKVGGERVQGEVEVLPREG >OIW05668 pep chromosome:LupAngTanjil_v1.0:LG08:24604919:24609820:-1 gene:TanjilG_23454 transcript:OIW05668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKLLSLILPRSLHTSPRQNPNLLSSSSPFSNLHQSLHFFPLSLLNTKTTLLSNLCLTATHHRTRFVTARVIRAQQLTDTGDDDDSLQSSTEEEGEDEELVRDGEKKKNELAEQSIWNQIKEIVAFTGPATGLWICGPLMSLIDTAVIGQGSSIELAALAFTGPKNAHIVSAANTYVQVVAAYMMIQALNNKGYNAYAFSIPSGKEFLTILGLAAPVFLTLMSKVAFYSLLIYFATSMGTHTMAAHQVMVQTFVMCTVWGEPLSQTAQSFMPELIYGVNRSLSKARMLLRSLVIIGATLGFFLGIVGTCVPWFFPYIFTHDQVVIQEMHKVLVPYFVGLAVTPPTHSLEGTLMAGRDLNFISLSMTGCLCLGTIVLWFLSSRYGLQGCWIALAGFQWREKGFVERKEGEKKRRKKKKRVKLEEEE >OIW06353 pep chromosome:LupAngTanjil_v1.0:LG08:14428205:14429035:-1 gene:TanjilG_14998 transcript:OIW06353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRYELEVKLISAKGLKNVNWRHGSNKPYAVVYVDPANKSTTNIDESGDVDANWDQTLKILLPAGPIEDKTLHIDIIHNGSEEGTKPLIGSAQFNLSEVIKDIGYGERLNKTLTLKRPSGRPQGKVEIKVSVIDKSYQQPGAYYNAPAYGVPQPRDYAPPAYGNPYGGGYNAPPAYGAAPPAYGAAYAAPPPQAAPQGSYGSSAPPAASHGSSAPPNTVVGDKKSKFGLGAGLAVGALAGGVGALALIGGADYVEDKIAEKVVEKLEDDYYDDDY >OIW07204 pep chromosome:LupAngTanjil_v1.0:LG08:4137249:4139126:-1 gene:TanjilG_17752 transcript:OIW07204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTKVKGIFKGFKYISQIFDEKEDEIQIGFPTDVKHVAHIGSDDPSANAPSWMNEYKEPTQGTENATEKLEVAEHNNNNSSSKGSKIRHLIPKSRHQSIDNNDSNDHTKHKHTRRHRSTDASSESTSVHDSSSGSSRHSRRHRRGSNHGSESPLPDGMPPTATKPRRKSKMASSEDGDSVRKPSTRTSRRSSKGDSITDISLTELESGEPGLHGSK >OIW06401 pep chromosome:LupAngTanjil_v1.0:LG08:13080538:13083958:1 gene:TanjilG_16813 transcript:OIW06401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVQQNSTLKSHKTQSKTSFPTNHSVETNSHDFSKCASHNLYKIVAIFLLVATVAVLFFLRNAGDTAALLCFEKKAYDLEKIAFPNVNWNAISPISDKNSRFATFRTDRWILVSVSSYPSDSLRNLVRIKGWQVLAIGNSRTPLDWELKGAIYLSLEQQSNLGFRVVDYLPYDSYVRKNVGYLFAIQHGAKKFFDFDDRGDVIDGDLGKHFDVELIGEGARQEIVLQYSHENLNRTVVNPYIHFGQRSVWPRGLPLENVGEIGHEEFYTEVFGGKQFIQQGISNGLPDVDSVFYFTRKSGLEAFDIRFDEHAPKVALPQGMMVPLNSFNTMYHSPAFWALMLPVSVSTMASDVLRGYWGQRLLWEVGGSVVVYPPTVHRYDRVEAYPFSEEKDLHVNVARLIKYLISWRSNKHNLFEKILELSYAMAEEGFWTDKDVKLTAAWLQDLLAVGYQQPRLMSLELTRPRANIGHGDRREFIPQKLPSVHLGVEETGTVNYEIGNLIRWRKAFGNVVLIMYCSGPVERTALEWRLLYGRIFRTVVILSEKKDMDLVVQEGNLDQAYKYLPKIFDQFSSAEGFLFLQDNTILNYWNLLHADKTKLWIMNKVPESWSSVLTSDKSDRWLSQQASMVQKVVSMMPAHYQVNYKETNGNDKNLLICTSEVFYVPRRFISDFVELVNLVGNLDIHQKVAIPMVFVSMDSPQNFDAVLDTTIYKQNPPTNASTLYSAKVAAVHPLNVSSEQDFIKLIRIMAEGDPLLMELA >OIW05836 pep chromosome:LupAngTanjil_v1.0:LG08:23311621:23316001:-1 gene:TanjilG_23622 transcript:OIW05836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIASLHNVSVLDSSFLRESHSQPSRRRGDGRLGSTRASSLLRMWREIEDEHLARQFQGRPGEVLLEQRSDGLIDDLSHAGTPHSNESGRAHLLEEAVLAANESEIWAQSQSQNVPHDDQEDLNNSSCENFYDLGEIERERVRRIFREWMNSGAREHGLNISRRNNNPRGEWLGQTEQERVRVIREWVQMSSQQSDGSSGDYREEQYAEIDTQIERVRDGFVLNQSEGQTQHTRRGIRKLRGRQVMLDMLKKSERERQREIQELLDHQPVSHFPHRNRIQALLRGRFLRNNRCGDINRSASIAQSELGLLRQRQSVSGLRKGFFFRKDNTDCNQAKNNLSDTPSNSDTDFNRSEQTGASSSHLVPTVHSEPNYRGTNGLHISSDRNCLQGVTFENLDTQDYTLHAKDQLQCTQIDSLDSQSLPCVIVKRRDSTRKNVDVNRKRFFSRKYNTDCNQQRNNLSDTPSNSDTDFNTSEQTGASTSHLVPTEHSERNYRGSDELHISGDRNYFQGVTFENLDTQDSTLHAKDQLQCTQIDSLDSQPSPCVVVERRDSTGQNVDVNREGFFSRKDNTDCNQATNNLSVTPSSSATNFNTIEQTGASSSHLVPTVQSEPNLRGSDGHHIAGDWNCMQGVTFENLDTQISTLHAGDQLQCTEIDSLDSQPSPCLVVGRRDNTGQNVDVMPTMATSNELTQESLQIEDSENSDLLEFYEASNEQSELGDINNGENNHMDGNIVDDMNWSESNALQGDELEEVIDSEGSDWHQSNAYWSNITEENVDDNHLSSTASEWHENSLRNEDGDNSRLPEAPEVWQEDGSFQEAVENWLGGPSDHEGAPVRRIPELYFPDDDNVYSGELRELLSRRSVSNLLHSSFRESLDQLIQSYVERQGNALDELELQETTLSSASVEEELEQQSRDQIVGEEGIVNSPLDLPSLPIPPPLPHWDQHHHRDNWSQNDVNNQLLGMDWEVINDLRLDMARMQQRMNTMQKMLETCMDMQLELQRSIRQEVSAALNRSAGSSGTNVFETPYDESKLECVRKGLCCICCESSIDSLLYRCGHLCTCSNCANELLESRWKCPMCQEPVVEVIRTYSLL >OIW06250 pep chromosome:LupAngTanjil_v1.0:LG08:17841050:17842381:-1 gene:TanjilG_23307 transcript:OIW06250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGDLSQGGIIQGATSFGSFDLPEPMQVHPLGQHPHMMNQHQAHPCGGSLVHPSIHEGFPFTTGTLHSCDQTMSVAEFSKGGISKNSASDEDEPSFNEDSGEGHHEAAGGKNGSPWHRMKWTDKMVRLMITAVSYIGEDATCDGGGRRKFAVLQRKGKWKCISKVMAERGYHVSPQQCEDKFNDLNKRYKKLNDMLGRGTSCQVVENPALLDVIDYLTEKEKDDVRKTLSSKQLFYEEMCSYHNNNRLHLPHDPALQRSLQLALRNRDDHDNDEIRRSNHDEDDQDFETEGHDFEENYASHGDSRGMYMSLGGAMKKLKQGQGQDDATTFGNSFNCQEHNKSSHAHGQMVQSDMNQALPESMRAAWLQQKRWIEYRSLQLEEQKLQIQAEMLELEKQRYKWQKFSHKKDRQLEKMKMENERMTIENERLALDLKRKEMEAGFD >OIW06499 pep chromosome:LupAngTanjil_v1.0:LG08:12734952:12738025:-1 gene:TanjilG_05270 transcript:OIW06499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSLVEHSTSFSHHKNDNNTNIVSEDGSDGKTLPGPAENVDHAIIKDEESLKQEVENVEEEIKKTEEDDVDAKGKKEEEEDVVAEIPPETPPPRLENVFEDIDAYINANSTSEIPDFVHMFLDIVEEKITSYDTGKTKWGEAKEEDSSLLEAIDRISKLIKLIPGSSISSSQIQEEEEIDAKNVKDSLLKNRIGAIHQQAMSYLEDEFQSLIDEPQNRIETDPSKHNSKGKHVTEAQPQPSDSEPGSERLPNFPGYGDEAISNLNNIAMKMISGGYQSECYNIYFISRKHALEESLHKLGLEKISIDDVHKMQWEALERDIPKWNNTFKECMEVYFPGERKLAEAVFSDHREVADSVFMMVCHRMVIRIVNFAEAIALTKRSGEKLFKFLDMYETLRDLNMNLDNLFPQEFVEELKAETTSAKCRVGESIIVIFCELENSIKSDTGKTPVAGGAVHPLTRYIMNYLKFACEYKDTLEDVFKEHSKIERADSTSRPYYEAENKNENHRVNNNSSNNDNNNNKENVSPFAAQLMRVMELLDSNLEGKANLYKDSALSSIFMMNNGRYIVQKIKGSPDLYKVMGETWCRKRSSNLRTYHKNYQIETWSKILGCLSPKGLNDNGKVHKPVLKERFKSFNALFEEIHKTQSTWVVSDEQLQSELRVSISALVIPAYRSFLGRFSQYLALGRQTEKYIKFQAEDIETYIDELFDGNPHHQSLARKKA >OIW07405 pep chromosome:LupAngTanjil_v1.0:LG08:9799:11833:-1 gene:TanjilG_10240 transcript:OIW07405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWLQLHLDGISLRQDSVMSFFATAEHERERLNYFASPEGRDDLYQYNQKERRTVLEVLEDFPSVEMPFQWLVQLVPPLKTRAFSISSSQSSHHNQVHLTVNVVSWTTPYKRKKKGLCSSWLAALDPEDANFVPAWFHKGSLPKPPPSLPLILVGPGTGCAPFRGFVEERALQSETTSTAPIMFFFGCQNVDGDFLYQDLWLSHAQNNGVLSEAKGGGFYVAFSRDQTQKVYVQHKMKEQSQRIWNLLAEGAAVYIAGSSTKMPTDVTSAFEEIVSKENEVSSDVAVRWIRALERSGKYHIEAWS >OIW07010 pep chromosome:LupAngTanjil_v1.0:LG08:6417613:6421254:1 gene:TanjilG_02644 transcript:OIW07010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMELCDCIESQWPTDDLIMKYQYISDVLIALAYFSIPVELIYFVQKSAFFPYRWVLIQFGAFIVLCGATHFINLWTFTPHSKSVAVVMTISKVSCAIVSCATALMLVHIIPDLLSVKTRELLLKNRAEELDKEMGLILSQEETGRHVRMLTHEIRSTLDRHTILDTTLVELGRTLRLEECALWMPSRSSANLQLSHALSYVVQVGITVPTNLPIVNEVFSSPHAMPIPHTCPLAKIKPLVGRYVSPQVVAVRVPLLHLSNFQINDWPELSAKSFAIMVLILPTDSARKWRDHELELVDVVADQVAVALSHAAILEESKRARDQLMQQNIALDLARREAEMAIHARNDFLAVMNHEMRTPMHAIIAMSSLLLETELTPEQRIMIETVLKSSNVLATLINDVLDLSKLEDGSLELEMEKFNLHMVLREVINLIKPIASIKKLPMTLILGPDLPIFAIGDAKRLMQTLLNVVGNAVKFTKGGYISIRASVAKPESLQDWRPPEFYPKSSDGHFYIRVQVKDSGCGIPPQDIPHIFTKFAESRSGVARPNSDAGLGLAICKRFVNLMGGHIWIESEGLDKGSTTTFIVKLGICRNPELSGHRVPNRGQAYSGSGDLIGYKPLVGDNDELGFSNRRYQRSL >OIW05540 pep chromosome:LupAngTanjil_v1.0:LG08:25437198:25438975:-1 gene:TanjilG_23326 transcript:OIW05540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGKAVSAKTIILLCIGCFVAGTLFTGQMWSGPSNHEDHVLLPLKRDCDHKRKLIEGKPGDVMEEVSNTHEAIKSLDKTVATLEMELKAARTSESGGKYLRQSASSNYNLQKAFVVIGINTAFSSKKRRDSIRQTWLPKGNEVKELEREKGIVIRFMIGHSSREGGILDKGIDAEEEEHKDFLRLDHVEGYHELSSKTRLFFSTVVSIWDADFYVKVDDDIHLNLGMLVSTLAKYRSRPRVYIGCMKSGPVLYQKGVKYHEAEHWKFGEEGNKYFRHATGQLYAISKDLATYISINWPILHRYANEDVSLGSWLLGLEVEHVDERSMCCGTPPDCDWKARTGNVCVASFDWSCSGICKSVERMKDIHKACGEGDGAVWNVDL >OIW06439 pep chromosome:LupAngTanjil_v1.0:LG08:11613432:11613824:1 gene:TanjilG_05210 transcript:OIW06439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMNHARSFRHILTTKEAIGVACQRTFATGKAKKGSKGGSADAPKASTLSKEVKSTTVVGANILKDGTDPKVLPDSEYPDWLWHLLDKRPALSELRRKSIETLPYEDLKRFVKLDNRARIKENNSVKAKN >OIW06606 pep chromosome:LupAngTanjil_v1.0:LG08:9918268:9918825:1 gene:TanjilG_04000 transcript:OIW06606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVPFNKGQNAGKEKKKQVKDELDRLKQAEKKKRRLEKALATSAAIISELEKKKLKKNEEQRRLDEEGAAIAEAVALHVLLGEDSDDSCKVVIDDNGRKTWNCNHNLDLFMAEKRACFPHLDGGTWSVTTENGEWSFSSGSFEKNVYEPLYEEAGWGTAGFSVDLIAAQAARSLQIADEDRILL >OIW06247 pep chromosome:LupAngTanjil_v1.0:LG08:17749914:17754220:-1 gene:TanjilG_23304 transcript:OIW06247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEAKCVKKAIIPSTLLQNPSPGSLQSTRLALHVSQDDPSSCFLYIASGSHIYNINVALEESSVTKGKDSLLIPEHTEIIASSLVNRCPHRSEIQSIVLADAESHGFLILGSVDSYGHLIVSKLDTCGKDIDRLTYSVLPHDNGIGEGSWSGLCFSPDQWSMAAVARSFCKTVDVYDQDIHIRTLRPLWSPTSLNYMQYLGNGNQSSMLAITEECQLSIWDLRMKENGGCVQRICGIPGDNLYAVCSSSTGNIAVGGADRTVTVYDPHGGIHLDG >OIW05926 pep chromosome:LupAngTanjil_v1.0:LG08:22280914:22283923:1 gene:TanjilG_07202 transcript:OIW05926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPCCNLEVDVNGEETFMVDKTIIAQYSGKFAKLFGKSSGARGKLKVIFNDFPGGAKGFEHMLRFCYNNGTTDLTPSNLLLSRCAAEFMEMKESVAGVSNLLEQTEKSLQEINFWTWSGLLIALKQCQNLSLAANSSIMLEKCFDTLVGRLVLASETSPCPSTCSTDSSGIRFSCDSKSTESIKTNSARLTWWFEDLLFLSPLLVAMLVKSMVFHKLDHVVISRFLLYYQKAKFSNAATDEKCKIIEMVIDMHYNMDHNYVSCKTLFGILRVTLSLNISKCSRKKLENMIGSHLDQATLDNLLVPSPHGISYLYDVNLVLRLLKAFLRRGTGVVAPVQMRKVATLVDVYIAEIAPDPYLKASKFLAIATVLPDSARDSYDELYHAVNMYLEVHDHLSQEERVKICCGLNYEKLSSQACLHLSQNSKFPSKSAVQALISKQSKLNNLLRTTPSTTPFNNSPCGSSGTAQRGKKDKISEQVVLYDGNIDLSVDNEKLRVHLQGMQCRVTELEKICLKMQSQMAKITKSKVSGCSNARSLPKLCS >OIW07147 pep chromosome:LupAngTanjil_v1.0:LG08:4892670:4895005:-1 gene:TanjilG_10120 transcript:OIW07147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDGVPDQFHQFITPMTSLPLHLPFPLHSSAVVAAAPNNTTFPSFDPYNPSPHQLPLQHQPNLLHQLHQPSTHKEHEPEKEQHNTLPMNFEIERDQSIDPWTNDEVLALLRIRSSMENWFPELTWEHVSRKLAELGYKRTSEKCKEKFEEESRYFNNISYTKNNNNYRFLNEIEELYNHGDNHQVAETVKPTSIEEGKDKIMDLEEGLSKQNEQGDNEEEMVGENAKEDKRPKRKRPDRFEMFKGFCESIVHKMMEQQEEMHNKLIKDMMKRDEEKFAREEAWKKQEMDRMNQDLEIIAQEKAIAGDRQANIIEFLNKFSATASSHNSTQIKVTNVSNPNICTTPSSPSSQNPNPNMVLENSSSIPSQETLQNASSTTSPTTLQNPSSSSLKRQNNTISVSNKYFSNVICTSEKDGVGRRWPKDEVLAMINLRCSSLNNNNNNNEEKEGNKPPLWERISQGMLELGYERSAKRCKEKWENINKYFKKTKDVNKKRSLDSRTCPYFHQLNNLYNQGRLVLHSEKPENELSSQANNPVGVSTPNQNQSQLAEPSLQVESGGFSMQQQQQQVDHHGGEKTLVQVTSLDFDQF >OIW05654 pep chromosome:LupAngTanjil_v1.0:LG08:24691447:24692229:-1 gene:TanjilG_23440 transcript:OIW05654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDGELDFSNQEVFSSPNMADIPSSGSMDSFFNELLKDNAHACSHTHTCNPPGPDFSHTHTCYHVHTKIVPAPGEDQVATDDTAESAEMKSKKRPVGNREAVRKYREKKKARTASLEDEVVKLRALNQHLMKKLQGQAVLEAEVARLKCLLVDIRGRIEGEIGSFPYQKPANSNPPIPNIPGSYVVNPCNMQCDDQVYCLHPVADGRVTEGSTLNGEGFNGCEFENLQCESGLKDHHSHGVGQTLSNVRSPASNKRKGDT >OIW06384 pep chromosome:LupAngTanjil_v1.0:LG08:15122964:15124364:1 gene:TanjilG_15029 transcript:OIW06384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDTIVLYPSIGRGHIFSMVELGKLILTHNPSFSITILIPTPPNSTTIEATTFGCDSSITFHHIPIPQPPSGTAVSPLSLIFHLTRNGNHNLHHVLQSISKTSNLKAIVLDFLNYTANEVTTTLDIPTFYYYTSGAASLCVLLYSKIIKQRKTEDSCNYLEIPGFPRISKEELPSYPEELENIFRDISANMSDCDGIIINTFNAAEERSIKALQEGSCFPDESNPPPVFCIGPVISVPGGEKDENECLSWLESQPSQSVVLLSFGSLGRFSKTQLKEIAIGLERSEQRFLWVVRSESDEDSLEDLLPEGFLDRTKEKGMVVRNWAPQAKILSHDSVGGFVTHCGWNSVLEAFSEGVPMVTWPLYAEQNLNRVVLVKEMKVALALEESENGIVSATELGDRVKELMDSEKGKEIRERVLKMKVSGVEARSEGGSSYVAMNRLIQLWKEKDHLSVLSPNTPLFYNFSD >OIW05809 pep chromosome:LupAngTanjil_v1.0:LG08:23594974:23612823:-1 gene:TanjilG_23595 transcript:OIW05809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAVAHHRESSSNNSGSIDKHLDSISGKYVRYTAEQVEALERVYAECPKPSSLRRQQLIRDCPNLSNIEPKQIKVWFQNRRCREKQRKEASRLQTVNRKLSAMNKLLMEENDRLQKQVSQLVGENGFMRQQLHTTTAATTDASSDSVVTTTQHSMRDANNPAGLLSIAEETLTEFLSKATGTAVDWVQMPGMKPGPDSVGIFAISQSCSGVAARACGLVSLEPMKIVEILKDRLSWFRDCRSLEVFTMFPAGNGGTIELLYTQTYAPTTLAPARDFWTLRYTTTLENGSFVVCERSLSGSGTGPNPAAATQFVRAEMLPSGYLIRPCEGGGSIIHIVDHLNLEAWNVPEVLRPLYESSKVVAQKMTIAALRYIRQIAQETSGEVVYGLGRQPAVLRTFSQRLSRGFNDAVNGFNDDGWTVVNCDGAEDVIIAVNSAKNLNGTSNPSSSVTFLGGVLCAKASMLIQNVPPAVLVRFLREHRSEWADFNVDAYAAASLKSGTYAHPGMRPTRFTGSQIIMPLGHTIEHEEMLEVIRLEGHSLAQEDTFVSRDIHLLQICSGIDENDTGACSELIFAPIDEMFPDDALLVPSGFRVIPLASKPVGDKKDTISTNRTLDLTSGLEVGPMTAHGADASSSQNTRSVLTIAFQFPFDSNLQDNVAIMARQYVRSVISSVQRVAMAISPSGISPGVGPKFSPSTPEALTLAQWICQSYRQDAILCCSVKSLPVFIFANQAGLDMLETTLVALQDITLDKIFDESGRKTLCADFAKLMQQGSAYLPAGICMSTMGRHVSYEQAIAWKVFNAEDNDVHCLAFSFINWSFVEAILDNENFSLEELLDEDEIIQECKALNSRLINFLRDRAQVEQLLRYVIEEPPEDAEHKRVFKFPFISCEIFTCEIDVILKTLVDEEELMNLLFSFLEPDRSHGSLLAGYFSKVVVCLMMRKTVPLMNYVQAHPHVFCQLVDLIGITSIMEVLVRLVGADDHVYPNFIDVMQWLAESNLLEMIVDKLSPSSPPEVHANVAETLCTITRVASSTLAIKLSSPSFVAKILGYAVEDSQSKSTLVNSLSVCISMLDPKRSAVSSSFFHSYRSQNMYDPPIPVSPDTIGAMLPKLGELIALLDVSSDEKVLPTTYGELRPPLGRHRLKIVEFIAVLIQTGNEVAEKELVNSGTIRRVIDLFFEYPYNNALHHHVESILLSCLESNTEAIVDHLLQECDLIGRFLQADKNCLLHAESNQPTLAAAGKRAPRAGNVGHITQIVNKLVHLSHNRSHILACLQEKNEWNEWQATVLQERNVVENVHRWSCGRPTALQDRMRDSDDDDRHDRDYDVTALANNLSQAFGYKIYGNEDNEETHDSIDQDEEDAYFNDDSAEVVISSLRLSDDQGSNLFTNSNWFAFQDNIIGDAPRDNTSSSEMMDDINLNGTATGDSSDNGVVVEEELIASKNTVNGTSSQSTNFLSGLSNSMNGGGALDFESDEASTSHDKGFFGFEAPDNGPLFGDRPSPDCVGCGGPSDIQVAGSSLNPFLDHDEPASNLSSSLQVSSPNPSSPSNEELISSNGFPTTSDSIEKDGDSSQRSVPVPSLFEEDVEFVGVELEGTEKAMEQALKEGTVGEAGPLKRDLAPKVAEMENSEEDSSGLKEFNDVNYWRVDQEVAVLE >OIW07045 pep chromosome:LupAngTanjil_v1.0:LG08:6026970:6032885:1 gene:TanjilG_02679 transcript:OIW07045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEARFGTEAYHFYGVGSSTDLRSLGKRSTEWDLNEWRWDGDLFLASRLNPGVASGVGQKFFPLGSGIAKTGGPGPTNSSSSCSEEADLENRKGNKEGERKRRVIVLEDDGLNEEVGTLSLKLGGHGEPYREIASWDGVNGKKSRVAGGTSNRAVCQVEDCGADLTKAKDYHRRHKVCEVHSKATKALVGNAMQRFCQQCSRFHLLQEFDEGKRSCRRRLAGHNKRRRKTNQEAAPNGSTLNDDQTSSYLLISLLKILSNMHTDRSDRATDQDMLTHLLRSLASPNGEQGGKNLSNLLREPENLLKEGGSSGKSEMVSTLFSNGSQGSPTAITQHQIVSTSKMQQVMHTHAARVTDHQTISSTKPSITNSPPAYSEARDSSAGQVKMNNFDLNDVYIDSDDGTEDLERLPVSTNHGTNSLDYPWVQQDSHQSSPPQISRNSDSASAQSPSSSSGEGQSRTDRIVFKLFGKEPNDFPLVLRAQILDWLSHSPTDIESYIRPGCIVLTIYLRQDEAVWDELCYNLTSSLKRLLDVSEDTFWRSGWVHIRVQHQIAFISNGQVVIDKSLPFRSNNYSKILSVSPIAVPASRKAQFSVKGVNLICPATRLICALEGNYLVCEDAHESMDRHSKELDEIQCIKFSCSVPVMNGRGFIEIEDQGLSSSFFPFIVVEEDVCSEICVLEPLLDLSETDLDIDGTGKIEAKSQALDFIHEMGWLLHRNQLKSRMVHLNSSAELFPLNRFKWLMEFSMDHDWCAVVRKLLNLLFDGTVNSGDHPSLYLALTEMALLHRAVRRNSKQLVDLLLRYVPDNVSDKLGTEDKALVEENKSLFRPDVAGPAGLTPLHIAAGKDGSEDVLDALTNDPCMVGIEAWKNARDSTGSTPEDYARLRGHYTYIHLVQKKINKRQGSSHVVVEIPSNVTESTTNQKQNESSTTFEIGKAVVKRGQGICKACDTKLSCRTAVGRSFVYRPAMLSMVAIAAVCVCVALLFKSSPVVLYVFQPFRWESLEFGTC >OIW07025 pep chromosome:LupAngTanjil_v1.0:LG08:6285298:6286919:1 gene:TanjilG_02659 transcript:OIW07025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWATRFLTAVAFLAVGVIFSPETFRSNSDATNVSTYLKLAHLLSFSTAFGAALWVTFIGGIIMFKNLPRHQFGNLQSKMFPAYFSMVGVCCATSVASFGYLHPWKTSSTTQRYQLGFLLSAFAFNLSNLFVFTPMTIELMKQRHKVERESNIGGEVGWSKNVEVAKSNPQLAAMNKKFGMIHGLSSLANILSFGSLAIHSWYLAGKLDL >OIW05574 pep chromosome:LupAngTanjil_v1.0:LG08:25231533:25235620:1 gene:TanjilG_23360 transcript:OIW05574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFFLRFSPHFSLSTSTSFLFPILPSSPSCSLPFHLPPHSPTPHITFCRYRRRGNSTRYGHFKVCSFSTIGVNGDAPYDGENPEEEEEEDEYGAEHIRASGGDGSEEEEEEDAIVSSLVLPERWDVLGLGQAMVDFSGTVEDEFLKKLGLEKGTRKVVNHEERGRVLQAMDGCSYKAAAGGSLSNTLVALARLGLRSVGATAINVAMTGSVGSDLLGGFYREKLRRANVQFLSEPIKDGTTGTVIVLTTPDAQRTMLAYQGTSSTVNYDISLSSAVSKTNILVVEGYLFELPDTIKTITKACEVARTNGALVAITASDVSCIERHLDDFWEILGNHADLIFANGDEAKALCNFNENESSAAAARYLSHFVPLVSVTDGPKGSYIGIKGEAVYIPPSPCVPVDTCGAGDAYASGVLYGVLRGISDLKSIGTIAAKVAATVVGQQGTRLRISDAVKLAESFAFQLDTPTLHSDVATDHISSIQSDGTLH >OIW05945 pep chromosome:LupAngTanjil_v1.0:LG08:22488271:22490095:-1 gene:TanjilG_07221 transcript:OIW05945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLLRSNKPKLFSSSLSRTFSSLPTSTPRIKPLPTATEIFHRNTATLSPENENPSKGNLTGEFGKFNSFPSLNNPIAMLPSDSIDKINNPLGYKASGQNTTVLAGAGYVIGSSRLWAAKSPMLLGANAVMARSLQRSVDTDTLGLTGHKRFMSDTAGTISETKTYGLRPLSPHLPLYQPQLSSTLSIFNRISGALLSTVILLFYMIYMKIGLISLSYDSFYQFLFYSSKLNLLAVELSGLALSYHLYAGIRHLVHK >OIW07231 pep chromosome:LupAngTanjil_v1.0:LG08:3246908:3247357:-1 gene:TanjilG_08346 transcript:OIW07231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKDSWTEEEDKILIEAHKDIGNKWAKIAKRLSGRTENTVKNHWNATKRRLNAKRRVNKHIDPKGELLLNYIKQVTTAIAKNELKKPITNINLRSDNHNDLNLHPVWYENNIADWKLHSYAPSINENDCYVPVMVNAGEIASGSVMEDI >OIW07222 pep chromosome:LupAngTanjil_v1.0:LG08:3438713:3443133:-1 gene:TanjilG_02542 transcript:OIW07222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYKEFILAYSKFAAKCDFILLLFDPHKVDISDEFKSVISSLGGNEDKICVVLNKADQVDTQQLIKVYGALMWSLGKVLNTPEVALCAYISDHSMKPMDEGFVGPLGLDLFKKEQNNLLADLIDIPKKACDRLTNEFVKLAPRDSLIFLITHIIFLYFINYP >OIW07249 pep chromosome:LupAngTanjil_v1.0:LG08:2840429:2842501:1 gene:TanjilG_08364 transcript:OIW07249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLLVFDKIKIKTFKAIKKIKKFKVIKKIKKFKNIKKIENIEKIKKIEKKDQDDQECQEDQECVSLAKLAVVTMDEQRNETFDTRGALDRLRKSVHLERLAFYHDSDRLPWEIHKRWEDINPNEWIEIFEEGINEANDYRRINPEIPFEKVSQPHAPENKAHDVPKAHTPDVPHMYMAHIKTHQTPRLAQSFPKLGVHMNLGQIPTSPGHKGTHLCQTQKWHGHDPGHAHA >OIW05820 pep chromosome:LupAngTanjil_v1.0:LG08:23477915:23478330:-1 gene:TanjilG_23606 transcript:OIW05820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSHTENLEEPTVVGFEVPKSPDSSYNNVYTGHEDEAREPPFAPFHLQHTLLSYPANSGDSSGSLPLPQNVILNHLYIENRESSRSVVALGYTHRFRSKYVTVVLYKPVQRS >OIW05854 pep chromosome:LupAngTanjil_v1.0:LG08:23151324:23156947:-1 gene:TanjilG_23640 transcript:OIW05854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSKDRISYFYDGDVGSVYFGPNHPMKPHRLCMTHHLVLSYDLHKKMEIYRPHKAYPVELAQFHSADYVEFLHRITPDTQHLFSTELAKYNLGEDCPVFDNLFEFCQIYAGGTIDAARRLNNQLCDIAINWAGGLHHAKKCEASGFCYINDLVLGILELLKYHARVLYIDIDVHHGDGVEEAFYFTDRVMTVSFHKYGDLFFPGTGDAKEVGEKEGKYYAINVPLKDGIDDTSFTRLFKTIISKVVETYQPGVIVLQCGADSLAGDRLGCFNLSIDGHSECVRFVKRFNLPLLVTGGGGYTKENVARCWTVETGVLLDTELPNALVQCCCGNLPCDLVVMGSNPENYLSVCGENLNSKSYLTTIKMQVMENLRCIQHAPSVQMQEVPPDFYIPDFDEDEQNPDERNDQHTQDKHIQRDDEYYEGDNDNDHMDVA >OIW06674 pep chromosome:LupAngTanjil_v1.0:LG08:10375703:10376326:1 gene:TanjilG_04068 transcript:OIW06674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLIISMVLLFGGVVLMVLLHVCITGRASRRESTGSVVENGANGSRSMSKDDLEKLPCYDYIAKDNTSSPVDCAVCLENLIVGDKCRLLPICKHSFHAQCVDTWLLKTPLCPICRSSADSSHNGNQFVSNNGYFVEQNSESREIQSSTESGTSLNVYVGIELRENVIIGSTNSGHRVVESRTHQIGTTENHMISATTLSHAVQVAVL >OIW06911 pep chromosome:LupAngTanjil_v1.0:LG08:7766840:7768435:-1 gene:TanjilG_19560 transcript:OIW06911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELNSQHKPFSIKLWPPSQNTRQTLVERITNNLTTKSIFAEKYGTLDKEEAEENAKRIEDVAFDAANLFYDKEPDGDGGSAVQLYAKECSKLLLEVLKRGPSKKDNGEVVATSDDTTAPHVSIFDISKGQRAFIEADEAHELLKPLKEPGNTFTKICFSNRSFGVGAALVAQTILVSLKDQLKEVDLSDFIAGRPEAEALDVMNIFSAALEGSALKYLNLSDNALGEKGVRAFGALLKSQNCLEELYLMNDGISKEAARAVSDLIPSTEKLKVLHFHNNMTGDEGALAIAEVVKRSHFLEDFRCSSTRIGAEGGVALSDALGNCAGIKKLDLRDNMFGVEGGISLSKALTKHAELREIYLSYLNLEDEGIIAIVNALKESAPHLEVLEMNGNDITADAVPAIAACLEAKQSLVKLILAENELKDEGTIQLSKVLEGRIQLKEIDLSSNQISWEGAQKLALSVVQKAGFKFLNINGNFISDEGIDELVDIFKNSPDRLGPLDDNDPDGKDDDEAEGSEDELESKMKNLAVDD >OIW06343 pep chromosome:LupAngTanjil_v1.0:LG08:14223594:14224902:1 gene:TanjilG_14988 transcript:OIW06343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVHCNLVKQVTLWFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSCMDEMEFTEAESNMNDLVSEYQQYQDATADDEGYEYEDEEELQEED >OIW06111 pep chromosome:LupAngTanjil_v1.0:LG08:20838241:20842165:1 gene:TanjilG_29867 transcript:OIW06111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELEIADIESQTTYKATIQEESRDIFHKGNRSIILKFHNVVYKIQTKKVGLFEKNKKAEEKVILNGVTGMVEPGEILAMLGPSGSGKTTLLTALGGKLGGQLQGTITYNGKPFSNSMKRKTGFVTQDDVLYPHLTVTETLVFTALLRLPNSFTKEDKIMHANAVMTQLGLTRCKNSIIGGPLLRGISGGERKRVSIGQEMLINPSLLFLDEPTSGLDSTTAQRILSTLWELASGGKTIVMTIHQPSSRIYYMFHKVLLLSEGNVLYYGKGSEAIEYFSNIGYAPTMAMNPADFLLDLANGVYTDQPNEDHALNKHKLISAYKNYFNTNLKPSLHEIPDYGKSQGRFEDNGVEKWPTTWWQQFSVLLRRYVKERKHESFSGLRVCQILLVSFIAGLLWYKSDISHLQDQIGLLFFVASFWAVFPLYQAIYTFPKEILMLKKERSSGMYRLSSYFFSRMVADLPMELILPIIFLFIIYWMAGLKANVVNFIYTLFSLILNVLVSQGIGLAIGAIVLDQKSATTLASVIMLSFLLVGGFYVQHVPKFISWIKYISTSYYVYHLLMGSQYDTSDTYPCSKGQCFVAEFPMIKQIGLDLRGQMIAASALGLMLIGYRLVAYFALMRIGVTKKLG >OIW06104 pep chromosome:LupAngTanjil_v1.0:LG08:20788032:20788499:1 gene:TanjilG_29860 transcript:OIW06104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAMMLKIKPNSKLPLQFLCSPMSSLIRPVGTIPQPQVTNESSQKKTIFPVLGMTQNLFTGELGFSGVRSGGFRLAGGAVSIRQMGTSRSTRGSSSKNEDFEDDDVEDFDDDNDEDTVDFDDDDDVDSFDEDEVDSEGFEDDDEEEKPKRKKRF >OIW05632 pep chromosome:LupAngTanjil_v1.0:LG08:24833555:24837938:-1 gene:TanjilG_23418 transcript:OIW05632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTTEKNLKIVHQHRRALQSSDNMKEELFYTKLKLKARDSVSYCEHLENDSVLEWKIPAIMSFAVPDRPREIRMEAAYFLQQLCQSSSLTLQMFIACRGIPVLVGFLEADYAKYREMVHLAIDGMWQVFKLQQSTPRNDFCRIAAKNGVLLRLINTLYSLNEATRLASMSVGGGFLIDGSAQRAHSAILDSTHPSIVQNEALFSSVDQHDLHKVKRGVLDHHLEPSHAPTSNPHRSDANHPIDIDRPRSSNAAAEVVPLEKISNLAFRESSVGALKERENVDRWKIDPSRAYFEPRQQRVSISSSRTSPDRRLKLTEPTSNGLSVTGTTRQEQVRPLLSLLDKEPPSGCLSGQLEYVRQFSGLERHESVLPLLHASEKKTNGELDFLMAEFAGADVSQHARENGNLDSGARVSRKVAPKKLGTEGAASTPGIVSQTASCVLSGSGVLNAMPGSSTSSGILSHMVSPLNTDVAREYLEKVADLLLEFAQADTTVKSYMCSQSLLSRLFQMFNRVEPPILLKILKCINHLSTDPNCLENLQRAEAIKYLIPNLELKEGSLVSEIRHEVLNALFNLCKINKRRQEQAAENGIIPHLLQFITSNSPLRQYALPLLCDMAHASRNSREQLRAHGGLDVYLNLLEDQIWSVTALDSIAICLAHDNDNKKVEQALLKKDAVQKLVMFFQCCPEQHFVHILEPFLKIITKSARINTTLAVNGLTPLLIARLDHQDAIARLNLLRLIKAVYEHHPQPKKLIVENELPEKLQNLIGERKDGQVLVKQMATSLLKALHINTVL >OIW06434 pep chromosome:LupAngTanjil_v1.0:LG08:11568088:11571257:-1 gene:TanjilG_05205 transcript:OIW06434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKQILNRLPRKPSKSVESRDGGGTSTSSSPASTSSRSSNSVEYYYGSSTAPSLSGVDSNSSLGLNHSDKFVQAVNSKLSLNGSLPASSYEALPSFRDVPNSDRQNMFIRKLRMCCVLFDYTDPTKNLKEKEIKRQTLVELVDYVSSPNGKFTEIMMQEIIKMVSINLFRTLITPIREKKTLEAFDLEEEEPSMDPAWPYLQLVYELFLRFVTSPETDAKLAKRYVDHSFVLRLLDLFDSEDPRERDYVKTVLHRIYGKFMVHRPFIRKAINNIFCCFIFETEKHNGIAELLEILGSIINGFALPLKEEHKLFLVRALVPLHKPKCLPIYHQQLSYCVTQFVEKDCKLADTVIRGLLKYWPVTNSSKEVMFLGELEEVLEATQPAEFQRCMVSMFRQISQCLSSSHFQVAERALFLWNNDHIETLIKQNHETILPIVLPALEQNARNHWNQAVKILTTNVRKIFSDTNPEFYEECMHEFQENEAKAKDLKSKREATWKHLEEIAAMRAASNEPVLVSPRTTSLSPSGKTSRAQFE >OIW06725 pep chromosome:LupAngTanjil_v1.0:LG08:9182148:9195014:1 gene:TanjilG_11450 transcript:OIW06725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIPENIVVGSHVWVGDPELVWIDGQVLNINGDEADIQASNGKKVVSRLSKLHPKDTEAPTDGVDDMTKLAYLHEPGVLHNLEIRYKMNEIYTYTGNILIAINPFQSLPDLYDANMMKHYKGATLGDLSPHVFAIAEAAYRAMITDEKSNSILVSGESGAGKTETTKMLMCYLAYLGGRVASEGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKYGRISGAAIRTYLLEKSRVCQISDPERNYHCFYLLCASPPEEKEKYKLGDPRAFHYLNQSSCYELAGVNAAQEYLSTKRAMDIVGISQEEQDAIFRVVAAILHIGNIKFAKSEETDSSVLEDEESKFHLQTTAELLMCDPNALEDALRKRVMITPEEIIMRSLDPIGSTVSRDGLAKTLYSRLFDWLVYKINVSIGQDPTSKFLIGVLDIYGFESFVSNSFEQFCINFTNEKLQQHFNQHVFKMEQEQYTKEGINWSYLEFVDNQDVLDLIEKKPGGIIALLDEACMFPKSTHETFSQKLYQTFKDHKRFIKPKLARSDFTVVHYAGEVQYRSELFLDKNKDYVVPEHQDMLSGSRCSFVSGLFPPLSEETAKSAKFSSIGSRFKLQLQQLMETLNLTEPHYIRCVKPNNLLQPGIFENMNVIQQLRSGGVLEAVRIKCAGFPTHRTFHDFLTRVGILAPEVLLGNFNEKDSCKMILEKIGLSGYQIGETQIFLRAGQMAELDAQRARLLNNSATIIQKQIKTHFSRKTYVALWKSSVFIQSICRGELARRSYYHMKREAAAVRIQSYMRGKLARKKYTEIKIYVIILQTGCRAMVARDKLRYRRHSRQTSASTSIQSYWRRHRALFEYQNLKKMSIISQRENHAMDNHEQEVVLEKSVENESPLVEEFSNPFQAESPDPFPEESQIPFQEESSNPLQDCESVEATRGSSIPFQDTEKTEDLTAEIKNLKIMLQEEKQRADEYERKYIEAEGSSEELRKKLAETEKRVYQLQDSLNRMISSMSSQVADLKMILSTSSRLSSTFRPIARVDVASSNSDTSSTDSDFTFPATVSNPEDVSSPQPSSFQLIVQDISAVEGSGSKEPKAPKGCQELSYPNQTLGFQPYAPKNNKNKALG >OIW06532 pep chromosome:LupAngTanjil_v1.0:LG08:10937054:10937860:1 gene:TanjilG_29953 transcript:OIW06532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHERNGERNMNNQQTHDYQLIRQGGVPQQQVQPQKPQRTQQCPRCESLNTRFCYYNNYNLTQPRYFCKECRRYWTQGGTLRNIPIGGSCRKRKHAKNSSNSHAQQPQPLTLTPIVVSSINPFHEGAHNGYLSSMATMRPSQSYPFAQSSRLGVDVVGSSSSYSSNLGFSSNFIVDSLPSQNQIQPSHFYQMGNTERDIASLYMRQGLVIPTNMSNSNNINATSHNDLTQSFTNNANNSNINCTTSSIGSSPLIQNQWSDFLGFGPLL >OIW07042 pep chromosome:LupAngTanjil_v1.0:LG08:6047190:6049115:1 gene:TanjilG_02676 transcript:OIW07042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRAVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIANKG >OIW06717 pep chromosome:LupAngTanjil_v1.0:LG08:9286376:9286543:1 gene:TanjilG_11442 transcript:OIW06717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPITTYLMMCISSIFYILWDAHLAIEDFTHQNLLLFPLSTMGYGLTHPDLPILL >OIW06515 pep chromosome:LupAngTanjil_v1.0:LG08:11197233:11202114:1 gene:TanjilG_26704 transcript:OIW06515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDMESVVATVSGYHGSERRNLIKMISHAGANYVGRMMPNSITHVVCWKFEGKKFDIAQKFNIIVVNHRWIEDCIKEGRRVPEDSYVWQSGHEVGPLLLKVPPPTVQANNLRKKKVINDRSCDTGSEKQTTELSSGASGNSVWEDFLMNKHEESSSNSSRRSRKQKRNICNDHGVSNVAGPSRKGRRTARNIVDEAALDTVILDLTREEDHLSRVNRLQTDAAATSSPSVGVNNEKNPENREGAEAGLHNQSGTVNIASNGIEQIKDSNNVSTNRNSILFEEDPLPIGQTSVCSGAESIPDGDQIDNVGPLPTSKELSCVICFEEYSSTRGILPCGHRYCYQCIQSWVDRRTSMGKSSTCPLCKASFVMFKKVEHAVTADQKVYSQTIPSGDSTSDIFINTVQEFPHYGSESSACVVCRGREPEDLLQSCDVCQHRRIHLYCLDPPLLPWTCSHCKDLRRLYHHHSY >OIW06802 pep chromosome:LupAngTanjil_v1.0:LG08:8529485:8532770:-1 gene:TanjilG_11527 transcript:OIW06802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKDNNNNRNEEQQHSNLNILEEHMIERDDAIGTGSASSPRRTPFTNLIQVDADLALARTLQEQERAYMMLRMNNDGSDHGSWEGGSYLHEGDSNDLHDAIDEDEDDDEDDDIEDVEGYEDEDVFDVHAHDSPRVEFDPAVFTSDEAYARALQEAEEREMAARLFALAGISDREEHGANSQDAWEDIDPDELSYEELLALGEVVGTESRGLSTDTIANLPSVNYKTGGDQHRSNDSCVICRVDYEDGESLTVLNCKHLYHPECINNWLKINKVCPVCSTEVSTPGSSL >OIW05897 pep chromosome:LupAngTanjil_v1.0:LG08:22724719:22726793:-1 gene:TanjilG_23683 transcript:OIW05897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGRAPKKSDNSRYYEILGVAKSASQDDLKKAYKKAAIKNHPDKGGDPEKFKELAQAYEVLSDPEKREIYDQYGEDALKEGMGGGGGGHDPFDIFSSFFGGGGNPFGGGSSRGRRQRRGEDVVHPLKVSLEDLYSGTSKKLSLSRNVICSKCSGKGSKSGASTKCAGCQGTGMKVSIRHLGPSMIQQMQHACNECKGTGETINDRDRCPQCKGEKVVQEKKVLEVIVEKGMQNGQKITFPGEADEAPDTVTGDIVFVLQQKEHPKFKRKAEDLIVEHTLSLTDALCGFQFVLSHLDGRQLLIKSNPGEVIKPDSYKAINDEGMPLYQRPFMKGKLYIHFNVEFPESLSLDQVKALEAVLPPKPSSQLTDMELDECEETTLNDVNMEEESRRKQQQAQQEAYDEDDDMPGGAQRVQCAQQ >OIW06707 pep chromosome:LupAngTanjil_v1.0:LG08:10677848:10681242:-1 gene:TanjilG_04101 transcript:OIW06707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGFEAMNFNIHGGYLEAIVRGHRAGLLTTADYNNLCQCESLDDIKMHLSATDYGSYLQNEPSPLHTTTIVEKCTLKLVDDYKHMLCQATEPLSTFLEYITYGHMIDNVVLIVTGTLHERDVQELLEKCHPLGMFDSIATLAVAQNMRELYRLVLVDTPLAQYFSECITTEDLDDMDIEIMRNTLYKAYLEDFNRFCQKLGGATAEIMSDLLAFEADRRAVNITINSIGTELTRDDRRKLYSNFGLLYPYGHEELAVCEDIDQVRAAMEKYPPYQSIFAKLSYGESQMLDKAFYEEEVKRLCLAFEQQFHYAVFFAYMRLREQEIRNLMWISECVAQNQKSRVHDSVVLIF >OIW07091 pep chromosome:LupAngTanjil_v1.0:LG08:5479001:5495071:-1 gene:TanjilG_02725 transcript:OIW07091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKHILSSSVHRLPKTVVPPLRPASVYFSPLHRVLGSLRGGRLFFCSGSGDGADAEAKVVELASGADESQTKASSAIVSTNPRPEDYLTVLALPLPHRPLFPGFYMPIYVKDPKLLAALQESRERQAPYAGAFLLKDEPGSDPSVVTGSDTDKNVYDLKGKELFNRLHEVGTLAQISSIHGDQVILIGHRRLRIAEMVSEDPLTVQVDHLKDKAFNKDDDIIKATFLEVISTLRDVLKTSSLWRDHVQTYTKHIGDFTYPRLADFGAAISGANKLQCQQVLEELDVYNRLKLTLELVKKEMEVSKIQESIAKAIEEKISGEQRRYLLNEQLKAIKKELGLETDDKTALTGKFRERIEPKREKCPPHVLQVIDEELTKLQLLEASSSEFSVTRNYLDWLTALPWGEYSDENFDVTRAQMILDEDHYGLTDVKDRILEFIAVGKLRGTSQGKIICLSGPPGVGKTSIGRSIARALNRKFFRFSVGGLADVAEIKGHRRTYIGAMPGKMVQCLKNVGTANPLVLIDEIDKLGRGHAGDPASALLELLDPEQNANFLDHYLDVPIDLSKVLFVCTANVVEMIPNPLLDRMEVVSIAGYITDEKMHIARDYLEKSTREACGIKPEQVEVTDAALLALIENYCREAGVRNLQKHIEKIYRKIALQLVRQGETIDATIASSQSIEPKNAKVDVDESGQNAIKKENLLGSSNPEQMGGTSEEDDKLQLDLPAEESPCPDNQSTDNEVAKETEEDKETETMTIEKVLIDKSNLNDYVGKPVFHAERIYDQTPTGVVMGLAWTSMGGSTLYIETTLVEEGEGKGALHVTGQLGDVMKESTQIAHTVARAILLEKEPENPFFANSKLHLHVPAGATPKDGPSAGCTMTTSLLSLALKKPVKKDLAMTGEVTLTGKILPIGGVKEKTIAARRSQVKTIVFPSANRRDFDELAPNVKEGLDVHFVDDYTQIFDLAFGDEHSQNIEK >OIW05676 pep chromosome:LupAngTanjil_v1.0:LG08:24555973:24556455:1 gene:TanjilG_23462 transcript:OIW05676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSGTSSGSSLIQNSGSEEDLVALMDQRKRKRMLSNRESARRSRMRKQKHLDDLVSQVDQLRKENQQILTSVNITTQQYMSVEAENSVLRAQVEELSHRLESLNEIIDYLNASTGVFGSAAASTMGNFDIGPSDSFFNPMDMAYMNMPIMASADMLQY >OIW05736 pep chromosome:LupAngTanjil_v1.0:LG08:24131684:24132148:-1 gene:TanjilG_23522 transcript:OIW05736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGHMHDMGGMHGMEPSANGNMAMPHMKKHFMHMTFFWGKDSEILFDQWPGDKTGMYVLALVFVFVMSFLVELLSSTRFIKSGSNHFVAGLVQTVIHLLRVGLSFLVMLALMSFNGGVFLVAVVGHALGFFIFGSNAFKKKEYNNDVDLPPMHC >OIW07005 pep chromosome:LupAngTanjil_v1.0:LG08:6479679:6481562:1 gene:TanjilG_02639 transcript:OIW07005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHFFYFFFFLLVSLPTLKFLFQTRRSKSFPPGPTYLPVIGNLHQLIQPLHCTFYGLSQKYGQIFSLVFGSHLVVVVSSLSIVQECFTKNDIILSQRPKLLIGKYIGYNYTAVGFSPYGDHWRNLRRIISLEVLSSHRLNSSLEIRRDEIMRLMQKLAKDSCKDFAKVELKSKILELTFNTMMRILTGKRYFGEDLDASEVEEAKQFREIIKELVVFSEFIPSVGLGWFGFVSEKSIKRIGLSLDVFIQGRIDEHRDGKKNTNCMIDHLLTQQQSQPQYYTDQIIKGLILDLLIGGTDTSGTTLEWAMSNLLNHPEILKKARKELDIHIGQDRLVDESDISKLPYLQNIVHETLRLHPALPLLVPRSFSEDCIIRGYKIPQNTTLIVNAWAIHRDPNLWTDPLLFKPERFEKEGEVNKLLSFGSGRRACPGANMAQRTISLTLGLLIQCFEWKRLEEELIDMTEGNGMIVVPKKFPLEGMCRVRQLSAIKNIF >OIW06049 pep chromosome:LupAngTanjil_v1.0:LG08:20166013:20169684:1 gene:TanjilG_29805 transcript:OIW06049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLINSTQTKHVSTKLPLFFRTHFTTSPNKPHLPFPPLYSKTHSQLQNNGGFCFQDCVPLLQHLRDHKDINCGRTLHSLFIKSALDKDVFVQNNMVRFYGDIGEIVNAHNVFDEIPDPSLICWTSLVSCYVHVGQHEVGLRLFCGLCRSGMHPNDFGFSVALKACRVMRDHLMGKLIHGLIVKTGFDSHDFCGASILHMYAECGDIENARKFFDEVCVGETCEALWNTLLNAYVQMSDVEGAMKLFREMGHSVVSPNRFTYTILAKLCVDVLDFELGRSVHGQTIKIGVENDVVVGGALVDSYAKLGFLEDACKVFHILEEKDNVALCALLAGFNQTGESKEGLEIYIAFLSEGNIPDPFICATVISLCSNLETVLAGTQVHCGIIKLGFKMDSYLGSAFINMYGSFGMTSDAYKCFLEVCNKNEICISAMMNNLILNSNDLKVLELFCGMREVVAQSNNAISYVLRACGNLFMLKEGRSFHSFIIKNLFEDDSRLGLDNALLEMYIRCRVIDDAKLVFQKMQMPNEFSWSTIISGCSESGQLVEALRIFKDMLRFSKPSQFTLISVIQACAEIEALDVGKQVHSFIMKVGFEYYPFVGSALINMYAVFKHETLNAFTVFLSMKEKDLISWSVMLTSWVQNGYHEEALKLFAEFQTDPVFQVDESILSSSISAAAGLAALDIGKCFHSWVIKLGFEIDLHVASSITDMYSKCGNIKDACKFFNAISGHNLVSWTAMIYGYAYHGLGKESIDLFNKATEFGLEPDGVTFTGVLAACSHAGLVKEGWEHFEHMRSKYSSEVTINHYACMVDLLGRAAKLEEAEVLIKEAPFHSKSLLWKTLLGACSKHENAEIGNRISKMLADIELNEPSTYVLLSNIYASASMWKNCLDLRNKMIKGSVSKQPGSSWIQLVVESSGYKATCSPSSSGESNVHDGTQDSELWGDDAKELKTEIL >OIW07345 pep chromosome:LupAngTanjil_v1.0:LG08:1073649:1074833:-1 gene:TanjilG_10180 transcript:OIW07345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLCLQLLVSSFILSTLFLNFVHANKKCYIAYLGAHSHGPTPSLLDLETATYSHYDLLASVIGSHEKAKEAIIYSYNRHINGFAAILEEEEADNLAKKPNVVSVFLSKEHKLHTTRSWKFLGLERNAMNTAWQKGRYGVNTIIANIDTGKL >OIW07134 pep chromosome:LupAngTanjil_v1.0:LG08:5092922:5094952:1 gene:TanjilG_10107 transcript:OIW07134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKNRVLVEMLQQSVEASGPVSSERFNNWVSECHGFWHNAFLLIASFLFVLYLAFQAKQSFLKLSHGRSYIIISYYISLWLISLLNLAWCSFQAWECSSGKVMTWNLLSLFTTSGMLFLEVSLLAFLLQGNTASGLEALTRTFGISGIIVGFDILLKAIYLFAFGIPLFIDSNDGTQHAKWNLWVVHKLLLTVVYGFILFMYHSRWRERLPARPAFYKYVTIMFIFNAIALFACGLTGNGAAFGFWLYRVTVVFYHAFYLPLLYITFLADFFQEEDLHLESVYYSEMKDAGFFESDWD >OIW06442 pep chromosome:LupAngTanjil_v1.0:LG08:11631741:11632643:-1 gene:TanjilG_05213 transcript:OIW06442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSIASYPLTLIFLLISLTLFSKSNAGGIVTYWGQDSREGRLTDACNSGLYSIVNIAFLSTFGGGRQPEINLAGHCNPGSCQGVGQGIKNCQNKGVKVFLSIGGDHSTNTYTLTSDDDARKLGDYIWDNFLGGQSASRPFGDAILDGVDFDIEGGELHYAALARKLHEHASSSSRKFYLAAAPQCPFQNNILSGALNTGLFDYVWIQFYNNGQANCEFNSNNQNGFRNSWNKWSSSINAAKFFVGLPAAHAAATTGYVPSQDLINQLLPIVKSPKYGGIMLWNRYFDNLTGYSSKIKNNV >OIW06488 pep chromosome:LupAngTanjil_v1.0:LG08:12376333:12377109:-1 gene:TanjilG_05259 transcript:OIW06488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKSFTSSSSSKSKKKQTITTRSGTSSTTTTQEKQPSQQQHESTWGGRYLGVRRRPWGRYAAEIRDPSTKERHWLGTFNTAEEAALAYDRAGCSMRGSRIRTNFIYPDTPPGSSVTSIVSPDQQTQNYHHQYQQFSSLSDLNQITHQLDPKSHFAITGFPGMTNTSLLYNYGYGDQSEGTTTLESSSFHHLYDDGETQLPPLPPDITSSVGYDMGHGFYSNEAGLSGSEMGADSSGPCYQYNGGSPGGFDFGTTSYFF >OIW06207 pep chromosome:LupAngTanjil_v1.0:LG08:18745181:18746086:1 gene:TanjilG_03832 transcript:OIW06207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKIPNHQVAGHKAIDGILGPLIDDSGNFYKPLQNDDRGSNEVSFYESFNSEPRVPKSILKYFPIFHGTKIVNASDGSGLHPHLVLEDVASCYPNPSVMDVKIGSRTWYPQASEEYIKKCLDKDRVTSSITLGFRVSGLKLVGSVANEDDLSWQPDRKFLQNLSAEDVKLLLSKFVSSNMPSEDAAACPDRAFVEKVFGGSNGVLEQLLELKKWFEVQTIFHFYSCSVLMLYDKESLLNGKSSGGAVVKLVDFAHVVDAEGAIDHNFLGGLCSLIKFISDIIASPDDENCISNNNGTKYEH >OIW05973 pep chromosome:LupAngTanjil_v1.0:LG08:21539384:21541110:-1 gene:TanjilG_11660 transcript:OIW05973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLTLQFLKVEEIDPLAYKVLVKEHMIVVMVEKHCFFVFNYQSGQDSVLYVKNEDYANCNTGSPYAKFYDGHTVIKLNQSGPHFFISGNKDNCLKNEKVTVIVLADRNNHNSSNTNQRSNASPPSPQSYAPSPTPYKQEGQSPPPSGIVESNPSPAPVYEPPPPNAAASIFFNYAASIGTFMASLLLLSF >OIW05652 pep chromosome:LupAngTanjil_v1.0:LG08:24700534:24702398:-1 gene:TanjilG_23438 transcript:OIW05652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASRFIKCVTVGDGAIGKTCMLISYTSNTFPTDYVPTVFDNFSANVVVDGSTVNLGLWDTAGQEDYNRLRPLSYRGADVFLLAFSLISRASYENVSKKWIPELRHYAPGVPIILVDLRDDKQFFQDHPSAVPITTLQGEELRKLIGAPVYIECSSKTQQNVKAVFDSAIKVVLQPPKQKKKKIKGQKSCSIL >OIW06940 pep chromosome:LupAngTanjil_v1.0:LG08:6925919:6926725:-1 gene:TanjilG_18328 transcript:OIW06940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQILSVIHTLNLSFLLHFQFFAYNFFKWSALVLALLASLRIMIRFRQRAPSTVLLSTNDENDYDFSDTDDENENGSSSSSEFEDDEEDDIAEDARRTGKYFRVGGENYVGSFLRRRSIGDIFSISEITNIRLGLGIGFGFDSEEESVVSLYNTEHSIRQIIPNGKTAATTSATSAVVVTAVENALGMRIWDTRLRRRVPAVIAEWGPTVGETVGVEYGGEHKVYLRDDARNGLTVGDVRNVRSPLENVTEYCVDPWWPNSLILKLQRR >OIW05871 pep chromosome:LupAngTanjil_v1.0:LG08:22944561:22946837:-1 gene:TanjilG_23657 transcript:OIW05871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYHLHQHQGKNTNSSSSRMTIPSSDRHMFLQTGNGSVDSGLVLSTDAKPRLKWTPDLHARFIEAVHQLGGPDKATPKTVMKLMGIPGLTLYHLKSHLQKYRLSKNMHGQSNNVTHKISAETGERHFENNGTHMNNLDLAPKANKDLHISEALQMQIEVQRRLNEQLEVQRHLQLRIESQGKYLQAVLEKAQETLGRQSLGIVGIEAAKVQLSELVSKVSSQSLNSAFSELEELQGFNPHDKQTNPPTDYSIESCLTSCEGSQKDQQDMNLRPFNGHNKSEFNWCTDQVKNNNFLASLSKNLERRNFVVERSPGNLSMSIGVEGEKENGEYKQRNLNSIRTEPVKLVADEKVSQDYRLSSYFASARLDLNKGEDNEPATNCKQLDLNGFSWS >OIW06694 pep chromosome:LupAngTanjil_v1.0:LG08:10543389:10543916:-1 gene:TanjilG_04088 transcript:OIW06694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDNIHITIVFTASTFLLMLCLKHFLVEQWRAWIFLVLNVILLSILFISMRQDYKGQSVESERNVEEVKGDKKMENNECCQEIEEGKECYKEQCWISTTSSTLVHVQNEIYEEEDEDEEEEEEQVPLLSKEELNERVEAFITMFRKHLISDVKQGENFRCQKTANLTPKIEVSCC >OIW06369 pep chromosome:LupAngTanjil_v1.0:LG08:14830975:14831649:1 gene:TanjilG_15014 transcript:OIW06369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCLLGGTSEHDEVIKVITSNGGIMEFYPPVTASFITEEYPGHGLFPSQDLFWKPLSQFYELVAGQSYYLLPLNQTEQSVGDNHIVRHGHVRSQSVPTTTNPAPYRMSLDHQHYREVGLLKRNSEPFSCRTSTSSRSISKTSSSSRFWKVKLVITPQQLLEILSQEARTKELIESVRIVAKCRVGGGGGVSSVAASSSIVSDEWSLSSSGRSTSKIDALVADI >OIW07365 pep chromosome:LupAngTanjil_v1.0:LG08:670017:671117:-1 gene:TanjilG_10200 transcript:OIW07365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLTTDNEEPVPTDITISAIPFTKYERWQWWFLVALCIVLLIVGQSGAVILGRFYYDQGGNSTWMATLVQTVAFPLLYIPFFFIPSPPEASTSSAPPSIKVIASIYFALGLLLAANNMMYSVGLLYLSASTYSLICASQLAFNAVFSYFINSEKFSAFIINSAVIVTFSSALLAVNDDSGGPSAVSEGKHIIGVLCTVGASAVYSLLLSLMQLTFQKVLKKETFSVVLEMQIYTSLVATCACVIGLFASGEWHTLHGEMSGFRKGGLAYVMTLVWTAVAWQLTSVAVVGLIFLVSALYSNVISTVALAVTPIAALIVFHDKMNGVKIISMLLALWGFSSYIYQNYLDDLKARSIAASKTHNDSSC >OIW06008 pep chromosome:LupAngTanjil_v1.0:LG08:21881332:21885675:1 gene:TanjilG_11695 transcript:OIW06008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVSADFDTVFKEMLDSKVCVNDSSLYVWSASFFELKGRLRDALTIYQLGISRNAEPIEWLKKAHTLFLSRISEIQNAASSEKIDDKEHMKLGNNDINPWDTSTMNDLLKKIDPLIAKVDGYYSSTKSYAGKVALSTLKNSSRNKVIEIGGNKYHIKGCAGQGGFAQVYKAYVNGDPDDLVALKVIQKPPFPWEFYMYRQLDQRILGRERLRYGLAHRVHLYSDCSILICDYLAHGTLQDAINSYAVIGKSMEEVLCIYYTTEMLHMVETMHDAGLIHGDFKPDNLLIRYARGDLTEDGFLDRSGSWRDQGLCLVDWGRGIDLHLFPDNTMFKGDCRTSGFRCIEMQEDKPWKFQANTYGLCVVVHMMLHNCYMEVVKKESSDGGSVYLPRLPFKRYWNIELWKTFFTKMLNQYPGNDDRRLLQELKKSFQDYMNSNPQLVKKLKELLAKQRASLCSA >OIW06406 pep chromosome:LupAngTanjil_v1.0:LG08:13236090:13244500:1 gene:TanjilG_16818 transcript:OIW06406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDAFCSDCKRETEVVFDHSAGDTVCSECGLVLESHSIDETSEWRTFANESGDNDPNRVGGPSNPLLTDGGLSTVIAKPNGSSGEFLSSSLGRWQNRGSNPDRGLIVAFKTIATMSDRVLIFSVQSRIVVCVWSFKFFWARSLGQAISDGCMLSDVDKGLDQDRANEIYKRVEDQKSSRGRNQDALLAACLYIACRQEDKPRTVKEICSVANGATKKEIGRAKEYIVKQLGLEKGQSVEMGTIHAGDFMRRFCSNLGMNNQAVKAAQESVQKSEEFDIRRSPISIAAAVIYIITQLSDDKKPLKDISLATGVAEGTIRNSYKDLYPHVSKIIPNWYAKEEDLKNLCSP >OIW06995 pep chromosome:LupAngTanjil_v1.0:LG08:6544921:6545172:1 gene:TanjilG_14333 transcript:OIW06995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKNKIEDKGIYGSKIEGGTRGGQSHGNGNGGTGAVPVYAAGAANNNHQHHRGAANCNLDKIKFPPMVMITLVYPVILLFLLT >OIW07057 pep chromosome:LupAngTanjil_v1.0:LG08:5897623:5902465:-1 gene:TanjilG_02691 transcript:OIW07057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSRPSQSSNNSGRSRNSARIIAQTTVDAKLQANFEESGCSFDYSSSVRVSDTVNGDHQPRSDKVTTAYLHHIQKGKQIQPFGCLLALDEKTCKVIAYSENAPEMLTMMSHAVPSVGDHPALGIGTDIRTIFTAPSASALQKALGFGEVSLLNPILVHCKTSGKPFYAIIHRVTASLIIDFEPVKPYEVPMTAAGALQSYKLAAKAITRLQSLPSGKMEKLCDTMVQEVFELTGYDRVMAYKFHEDDHGEVIAEIAKPGLEPYLGLHYPATDIPQASRFLFMKNKVRMIVDCHARHVRVLQDEKLPIDLTLCGSTLRAPHSCHLQYMANMNSIASLVMAVVVNDNDEDGDSSDAVQPQKRKRLWGLVVCHNTTPRFVPFPLRYACEFLAQVFAIHVNKEIELELQIIEKNILRTQTLLCDMLMRDAPLGIVSQSPNIMDLVKCDGAALFYKNKVWRLGVTPSESEIRDIALWLSEHHMDSTGLSTDSLLDAGFPGALSLGDIVCGMAAVRISSKDTVFWFRSHTAAEIRWGGAKHEPGESDDSRKMHPRSSFKAFLEVVKTRSLPWKDYEMDAIHSLQLILRNAFRDTVTTHINTSAIDTRLSDLKIEGMQELEAVASEMVRLIETATVPILSVDVNGLVNGWNTKIAELTGLPVGEAIGKHLLTLVEDSSIDRVKKMLDMALQGEEEKNVQFEIKTHRSYIDSGPVSLVVNACASRDLRDNVVGVCFVAHDITVQKTVMDKFTKIEGDYKAIMQNPNPLIPPIFGTDEFGWCCEWNQAMTKLTGWKRDQVMDKMLLGEVFGTQAACCRLKNQEAFVNLGIVLNKAMTGSETSKEAFGFIARNGKCVDCLLSVSKKLGTDGAVTGIFCFLQLASPELQRALHIQRLSEKTALKRLKSLSYIKRQIGNPLSGIMFSRKLLEGTELGTEQIQLLHTSAQCQRQLSKIVEDSDLDSIIDGYLDLEMTEFTLHDVLAASLSQVMLKCNSKGIQIINSVAEQIRMETLYGDCLRLQQVFADFLLISINFTPNGGQVVVAASLTKEQLGQSIHLVNLELSITHTGIGVPEALLNQMFGNDEHESEEGISLLISRKLLKLMNGDVRYLQEAGKSSLILSVELAAAHKMKT >OIW05585 pep chromosome:LupAngTanjil_v1.0:LG08:25166556:25169113:1 gene:TanjilG_23371 transcript:OIW05585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIASKCMRWNTITQTQSQPPIFSLPAPIPQWHQGQGFSSGLVNLGEIEVFKVTRFEFIWSSNAILDTKKVLTFYKPVGIPDSFHILGHYCQPTDKPLRGFVLVAREVEDRLPKNTNTDNRTKIPPLRNPLDFELVWSPNVGSMEFTSVGGYFWLPQPPEGYKALGYMVTNKPDKPKLDEMCCVRADLTDECEPYCLILASGSGTPESSFQVWSLRPCDRGMLGKGVSVGTFFCSSGLNLGDELPIACLKNLNPSLPAMPNMQQIHALINHYGPTVFFHPGEVYLPSSVAWFFNNGAMLYKKGTSTGEGIDTAGSNLPGGGTNDRQFWIDLPNDDRKNFIKRGDLKSARLYVHVKPALGGTFTDIVMWVFCPFNGPATLKVGITNIPLSKVGEHVGDWEHFTLRICNFNGELYSIYFSQHSGGEWVDAHDLEYIDGNKAIVYSSKCGHASYPHPGTYIQGSSKLGVGIRNDAAHSSFYVDSSICYEIVAAEYLGDVVTEPQWLQFMREWGPKIVYDSKAELDKIINSLPRMLQCSMKNLLNKLPVELYGEEGPTGPKEKNNWLQDERW >OIW06126 pep chromosome:LupAngTanjil_v1.0:LG08:21196415:21205409:1 gene:TanjilG_29882 transcript:OIW06126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLSSLPSSLTRSRLEEMLDSLRQTDEEEKQKDSPPALPPRPVSRARLPSARRSLPNNLNDFKVSGDHGAEECLPNGFDAKDESMRKEKELGHKRSSSFGSKRVKMDVEYYCATPQENMSLLTCNASSGEIEELEDDCISYFIKKKLRVWCKEPRGKWELGTILSTSGEEASVSISNRNVMKVDRSELLPANPDILEGADDLMKLSYLNEPSVLRNLKFRYSKEMIYSKAGPVLIALNPFKDLSIYGTDYVSAYRQKFIDAPHVYAMADAAYSDMLRDEVNQSIIISGESGSGKTETAKIAIQYLAAVGGGSGGIENKLLQTSCILEAFGNAKTFENDNSSRFSRVVQSGSTERSYHIFYQLCAGASSALKETLNLRPISEYKYLNQSDCVKIAGVDDARKFQELMRALDTVQICEEDQELIFKMVAAVLWLGNISFQVNDSENHIEVVDDEAVTSAALLMGCSSQELMAALSTGRAQSEDGTITKKLSLQQAIDTRGAIAKFIYATLFEWLVEQLNKSLQVGKKCTGKSISILDIYGFETLQKNSFEQFCINYANERLQQHFNRHLFKLEQQDYESDGIDWTKIDFVDNQECLDLFEKKPLGLLSLLDEESNLPKASDLTFANKLKQHLSPNPCFKGERGRAFGVRHYRGEVLYDTNGFLEKNRDLLPFDSVQLLSSCNCELLQFFAKVSSQSQKESNSFHTSALDSQKQSIGTKLKGQLFMLMCQLESTKPHFIQCIRPNTKQLPGIYDEDLVLQQIRCCGVLEVARISRVGYSNRMTHQEFSERYGFLLSEANVSQDPLSISVAILQQFNIPCEMYQVGYTKLYLRAGQIGALEDKRKQVLQGILGVQKCFRGYQARSYFREFTNGVTTLQSVVRGEITRIKYGITVKSSITIYSKKLEEIHAIIQLQSVIRGWMVRKGASGMHKLKKYPENAKPRYESRVKKPEVKDMTKEQLLSTLEELQRRVDNAEAIAEKKEVENTELKERLKQSEERWTEYEAKMKSVEEVWQRQMASLQISLVAARKSLASENGNVQTTRCDVVSPHYYDSEDATSVGSQLTCASTPMKISGGLSVSDGGRLANVNFTTVSNLMMEFEQQTQNFDDEVKVLNEVKPGQSANLNNIQELRKLKQRFEGWKKQYKVRLHDTKARLHKSEADKSRRTWWGKLNSRA >OIW07065 pep chromosome:LupAngTanjil_v1.0:LG08:5811043:5811513:-1 gene:TanjilG_02699 transcript:OIW07065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRKKVKLAYIIDDNARKATFKKRKKGIVKKVSELTILCGIDACAIISNPYDSQAEVWPNPKGAKHIIKRYLKTPVIDETKNMNQERFIMQKISKALEKLDKQLNENREKQIALAMFECMEKRRHLENLTIGDLKDMDKLIVNYMKDIKSKIHELV >OIW06565 pep chromosome:LupAngTanjil_v1.0:LG08:9604017:9617043:1 gene:TanjilG_03959 transcript:OIW06565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDSDEDELLQMALKEQSQRDLNYGKSSSATRKPVANYVQPPQPKRAAAPPTKQPPNKGRDVDDDDDSEVEMLSISSGDEDNVKDPVTTSKNRGRSVSRDIDRTWDGEEPSSWKHVDEAELARRVREMRETRTAPVAQKFAHKIERKGSAVGRKGLTYLQSFPRGMECVDPLGLGIIDNRTLRLITESSDSSPRTDKDNQDGSLREKLLYFSENFDAKLFLSRIHANTSAADLESGALALKTDFKSRTEQRKQLVKDNFDCFVSCKTTIDDIESKLRRIEDDPEGSGTSHLSNIIQGVSSQANHALKPLLERQAQAEKIRTVQGMLQRFRTLFNLPSTIRGSISKGEYDLAVREYKKAKSIALPSHIQVGILKRVIEEVEKVMNEFKSMLFKSMEDPQMDLTNLENTARLLLDLEPESDPVWHYLNIQNQRIRGLLERCTIDHEARMENLHNELRERALSDARWRQIQEELSESVDLNNSPILGNSHIAVQSHPEELSGEEVDGLRGRYIRRLTAVIIHHIPAFWKVALSVFSGKFAKSSQVSTDSNTNSKLEEKAGDGKYLSHSLDEVAAMICSTISLYGVKVTNVFRDLEESNVLRSYMSDAIEDISKACVALELKEAAPQIAVGALRTLQSEIIRIYVMRLCSWMRVSVEEVSKDVTWVIVSVLERNKSPYAISYLPLTFRSAVASAMDQINLMLQSLRNEATKSEDTFMQFQEIQESVRLAFLNCFLDFAGNLERIGVDLGQHRSYTEDSQLSNGYAHELEENAPTDLQGGVTDPHQQLLIVLSNIGYCKDELSYELYDKYRHIWQHSRGKDDGNSDVQDLVICFTGLEEKVLEQYTFAKANSIRSAAMNYLLNSGIQWGAAPAVKGVRDAAVELLHTLVAVHAEVFAGAKPLLDKTLGILVEGLIDTFISIFHENEAKDLRALDTNGFCQLMLELDYFETILNPYFTSDARDSLKSLQGLLLEKATETVTDAIDNPGHNRRATRGSEDALPDDKQQGTTISPDELIALAQQYSTDFLQAELERTRINTACFAESIPLDSVPEPAKSAYASFKSSMDSPSRHSRGTHNSASSNSSRHRY >OIW06971 pep chromosome:LupAngTanjil_v1.0:LG08:7192304:7197492:-1 gene:TanjilG_18359 transcript:OIW06971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSGVKFIPRDKVKDEDLDSISKQRKKSDSKREKNKRKGKSSRNSSSGDEDFDKIKKGSRKKKWYSSDENSSFYSPESEGEKDDKKHRRKAKKKRHDGSSGDSGERSRRRSRSRSSKKEYTSEDGSSYSDSSDSFSDRQGKHRKSNRKDKSKKNKIKDEATHVSEDHVGQKDIVRKEMGLNWMLRPESKKITGSETIETLPEEVPVEESKKANPKELNPYLKDDGVGYPEESGGAKVGVDQLLSSSLVGDGGASWRLKALKRAQEQAAREGKKIQEVVEERWGSLGELTASVASHAAAPSRAHLHAIKSRQRGITEENSPDSDKHKRRNSTRDYLKDVSVRQRDMKAPKVQDSLYWGKRKSQHAVAEGAGIISAAASSLNKFSNDGSFMGEFASKKSSNSDGSVLNSVEPENVLSEANTPGESSAVLKTEMSANQLAAKAMQLRLKGKHEEAEKLMEEAKVMNTKQGNQDHTIRPRPERSSTRHTMLNIPAQQKKGEDDADMHLARTIMQNKQFKVSTRADDEYDFEDGQSRKSRNKQGGDDHKIIQKNVHANRFMTQQERCLFCLENPKRPMHLVVSIANFTYLMLPQWQPVVPGHCCILPIQHESATRTIDDNVWTEIRNFKKCLIMLFAKQEKEVVFLETVMGLAQQRRHCMVECIPLPKDIAKEAPLYFKKAIDEAEDEWSQHNAKKLIDTSQKGLRNSIPKHFPYFHVEFGLNKGFVHVIDDEKQFKSNLGLNVIRGMLHLAEEDMYRRRQYEAVEVQKQAVASFSKEWEPFDWTKQLNETS >OIW06863 pep chromosome:LupAngTanjil_v1.0:LG08:7825982:7831341:1 gene:TanjilG_18245 transcript:OIW06863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSMRIIFGLLTFVTIGMIIGALSQLAFIRKLEDSYGTESLPFRRLRGLESNRYIQLPRGINIWNNDKEAEILRLGYVKPEVLSWSPRIILLHNFLSMEECDYLRAIAVPRLKISTVVDAKTGKGVKSDVRTSSGMFLTAAERKYPMVQAIEKRISVYAQIPIENGELMQILSSTGMRRINITNLIMTTFLILST >OIW07353 pep chromosome:LupAngTanjil_v1.0:LG08:876192:877980:1 gene:TanjilG_10188 transcript:OIW07353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELEIPSVMPKIITFLSSLLNRIAESNDLNQQQLTHQKISVFHGLTRPTITIQSYLERIFKYANCSPSCFIVAYVYLDRFTQRQPSLSINSFNVHRLLITSVMVAAKFMDDIYYNNAYYAKVGGITTIEMNFLEVDFLFGLGFHLNITPNTFQAYCAHLQREMLLMQPLNFADSSLSLEKSLKAHLCFNEDESSSHQNQQQLAV >OIW07186 pep chromosome:LupAngTanjil_v1.0:LG08:4454768:4460570:1 gene:TanjilG_10159 transcript:OIW07186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEALVNFLQDDDTSSDGILELEPMNSYNRLLLHRLAEIFGFAHESVGEGDDRHLILERCPDTSIPPILVSDILWEYDEPQSLVTSHQILRRKEASSVSQENTSSVPQSLEERKAAYLLARERIFSMNLEEVKEPNEQKPRSVPAVARRMIAHALGQRIHNGLARDSMKDKVLTDDFTAKDKNSEESNLVEDLRRNSTSKLGNSSSSNAASLNKRNHQTPTNKDLPQKSQDGKQGHSVSKEYMKKEHIGAAKRMFAHALGVQPGKDGSVEELAFLVKDNLPCKHLVLTMEEALVNFLQDDDTSSDGILELEPMNSYNRLLLHRLAEIFGFAHESVGEGDDRHLILERCPDTSIPPILVSDILWEYDEPQSLVTSHQILRRKEASSVSQENTSSVPQSLEERKAAYLLARERIFSMNLEEVKEPNEQKPRSVPAVARRMIAHALGQRIHNGLARDSMKDKVLTDDFTAKDKNSEESNLVEDLRRNSTSKLGNSSSSNAASLNKRNHQTPTNKDLPQKSQDGKQGHSVSKEYMKKEHIGAAKRMFAHALGVQPGKDGSVPRSRNGETKRN >OIW07093 pep chromosome:LupAngTanjil_v1.0:LG08:5467700:5470596:-1 gene:TanjilG_02727 transcript:OIW07093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRVPHPNLSSLLLLSLLTIASAKVFFEERFNAGWESQWVKSDWKRDENLAGEWNSTSGQWSGDANDKGIQTSEDYRFYAISAEYPEFSNKDKSLVFQFSVKHEQKLDCGGGYLKLLSGDVDQKKFGGETPYSIMFGPDICGHSTKKVHAILTYNDTNHLIKKDVPCETDQLTHVYTFILRPDATYSILIDNVEKQTGSLYSDWDLLPPKKIKDPEAKKPEDWDDKEFIPDPEDKKPEGYDDIPKEIPDPNAKKPEDWDDEEDGEWTTPTIANPEYNGPWEAKTIKNPKYQGKWKAPLIDNPDFKDDPELYVFPKLKYVGIELWQVKSGTLFDNVLITDDAEYAKQLAEETWGKQKDAEKTAFEEAEKKKEEEEKKEDPVDSDTEEDADDADDSEADSKTDAGEDGEAKEEDAHDEL >OIW05747 pep chromosome:LupAngTanjil_v1.0:LG08:24078435:24079448:-1 gene:TanjilG_23533 transcript:OIW05747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTDTRETLSYWCFNCTRYVHVVNHNNITCPHCETGFIERIQPDPSPNHPLTPFPDESTLTRPAIRRRHRNVTIHSPINPVIVLRSHGHVSAGYDDTVFELYHDDGNGLRPLPTAMSDFLLGSGFNSLLQQFSQVEVNGFDRPENPPASKATIELIPTIAIEESHICTESHCAVCKEEFELGSEARELPCKHIYHSDCILPWLSLRNSCPVCRSELPSAQNPQVSSEIDEESTGFTILRLPGGGIAVGRFSGSRRTSESRLPALYTEMERAMNNRSGTSISISRTARRNRVRESGIVRRAFRNFISFFGFSSSSRSRRLSSLSSLFNRGSRTFVIEV >OIW06192 pep chromosome:LupAngTanjil_v1.0:LG08:18893950:18917781:-1 gene:TanjilG_23072 transcript:OIW06192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKGTPPSLFVNDGSFMERFKQLQQEQDKGKNAKLEESKPIKVVSGSLSSPSIRKANDTQKPSQAGSGGKLAFSLKQKSKLVPPPVKLADDDDEETDAGDVSNDAPLKRQKLGQEDGTEQSLRHLDVAPSSPSDPTVKKVAEKLASFVAKNGRQFEDVTRQKNPGDTPFKFLFDKKCAEYKYYEHRLAQEEKALAQSREPQAHHTGGSNISSSRPSNGPQKSSQQHSTYQIPASVLYGRTQEPWSSGSSVQASSAGSTDEPSGSSNADSLALMEFYMKRAAQEERSKRPKYSKDEMPPPASLQAASGKKGHHMGDYIPLEELEKFMATCNDAEAQKAAKEAAERAKIQADNVGHKLLSKMGWKEGEGLGSSRKGIADPIMAGSVKKDNLGVGAVQPGEVTPEDDIYEQYKKRMMLGYRHRPNPLERRARISTRMKKLQDLFRKSGKQASTSDMLDLALEYIKDLRKQVKPIPDLVHLVHRAKVSKSIPDRGCSGAWCKILTEVAPHLVHLLCIILTEATPHLVHLLVKTDAYSS >OIW05873 pep chromosome:LupAngTanjil_v1.0:LG08:22938226:22939640:-1 gene:TanjilG_23659 transcript:OIW05873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETAKKAVPEAEELPKAIVRRVVKDKLSRCSEDGDISIHKDALLAFSESARIFIHYLSATANDICKESKRQIINAEDVFEALKETEFSEFIPSLKASLEEFRMKNAGKRAAASKEKENEAKKKRKLEAESSEKGEEGESQ >OIW05740 pep chromosome:LupAngTanjil_v1.0:LG08:24119390:24120932:1 gene:TanjilG_23526 transcript:OIW05740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRSFFKKWRFPHLVSPFLNQLTRTPNPIKQSSSTSDVAVFQCKTVSWGLREYHDGRPRGPLWKGKKLIGKEALFVILGLKRFKDDEDKIQKFIKNHVLRLLKMDLFAVLSELERQEEVSLALMIFNVMHKQDWYKPDVFLYKDLIIALARAKKMEEVMQLWESMRKENLFPDSQTYTEVIRGFLKYGSPADAMNVYEDMKNSPDPPEELPFRILLKGLLPHPLLRNKVKQDFEEIFPDSNIYDPPQEIFGVR >OIW07166 pep chromosome:LupAngTanjil_v1.0:LG08:4637253:4638009:1 gene:TanjilG_10139 transcript:OIW07166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKVESTTTSLTLTTTTTETATTGTTSSRYENQKRRDWNTFCQYLRNHCPPLSLALCSSVQVLEFLHYLDQFGKTKVHNHACPYYGHPNPPSPCPCPLRQAWGSLDALIGRLRAAYEENGGVPESNPFGTRAVRIYLRDVRDFQAKARGVSYEKKRKRPKPKITTNASTQD >OIW06403 pep chromosome:LupAngTanjil_v1.0:LG08:13136912:13153568:1 gene:TanjilG_16815 transcript:OIW06403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEHTESFNDASDLCHQLMDRYSNSSAPQHRHLLATAAALRSNLSSESLPLTPSAYFAAAISTIDSEALDATALAALVSFMAIDLPLLKPGDIAATKSRKAVEVVVKLLAREGEGLGVSSVRAAVKCLGVLIGFSDLEDWDSVELGFGTLLQFSIDKRPKVRRCAQESLEKVFRSLQSPNVIKEASKLVLSVLKSSIALAKKLTSSKTVDELKDDMISKNENLEVLHVLNVVNLAAAHLSTKVIPKVLLEVHKLFNSQNMALSRHILKTIEAILVASTVRNIVLEINDIVVSLASFVSLRDKNPLDTVILAATLLRLTMDLLYTGKSSLWIKNLPLVCKSVIGLLTFEGNTASQASSILNDLLKHHVGPQILSIGIDQTSHENSEISIECNAIKSTCAIFENALSVADGIPNEHVFSVISVLLIELGELSFAFMRNVVLKLADLMNQTYGGNGNNEHLRKCIGSAVFAMGAERFLTLVPISFNEEDYTYSNIWLVHILKRYVTGSSLAYYMEYIVPLAKSFKKASRKVKKSGISQDLLARAHELRGLLPSFCHHANDTYQIFSSLSIVLINFLRKDPSMHEDVYAALQILVNENKAALTPTKSESNICAVDDSSFHFSTQPSYSKEAATRNIKSMASCSNQLFHVLLDLFITSLPENRFSLKKAIGCLASITDSLVTKKVFLYLLKRFQFVDSEGDAEIPMSDSRVVDADPSDMDRDTQRCLVLEIASCLVEGAKDNLIEILYTLTIHSFKATNERVHHEAYHTLSKILEEQPCFSYTRYTELIDLLLSLKPPVDISFLRSRYTCFHTLVVHTVESSLEEEGDSKAFLILNEIILTLKDGNDEARKEAYDLLLNISSSLRDSSGGPTEPYHKLVSMIMGYLSGSSPHIKSGAVSALSVLVYKDSNLCLSVSDLVPSLLSLLQTKDVEIIKAVLGFVKVMVSSLQAKELHNFLSDVVAEILPSAMGSRHHFRSKACTMSSVTIIFEILMRKCGSAAVKLVVPEKYNSFLKTVLENRRGKSSKSISDDAEDIPDNSSAKGPEWRKFKSSDSQEKDTGKHRKRDGEKNFGSGWASNEESRFAKRSRHSNDRNSNAGKSEGNSNKGNKRQYKSFNEGGDRKPKSTNTWKDKSASHVPVRDIK >OIW06539 pep chromosome:LupAngTanjil_v1.0:LG08:11015738:11018169:-1 gene:TanjilG_29960 transcript:OIW06539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRWLGKGSDKKGDHCENEEMEVVEFNVLGGGDGGGGGGGEVVKSKRLIIRPRIKVWMARAITTVILWTCVVQLMALGELWGPRLLKGMPYCFNHIDAPEDLVAKVYVPPKRIYRNNGYLMVSCNGGLNQMRAAICDMVAIARHLNATLVVPELDKSSFWADPSEFQDIFDVDNFIASLRDEVRILKELPPNLKRRVELGLSYTLPPISWSDISYYEKQILPLLRKHKVVHLNRTDARLANNGLPPEIQKLRCRVNFNALRFTSQIEELGRRIVRILRENGPFLVLHLRYEMDMLAFSGCTHGCDSGEVDELTRMRYAYPWWKEKVINSELKRREGLCPLTPEETALTLTALGIDQSVQIYIAAGEIYGGKRRMASLLEAFPNLVRKETLLEPSDLMYFQNHSSQMAALDYLVSLESDIFIPTYDGNMAKVVEGHRRFLGFKKTIIPDRRLLVRLIDQYASGALSWDDFSLVVKKAHVSRMGNPKRRVVILDRPKEEDYFYANPQECLQLLDEPLRNTS >OIW06548 pep chromosome:LupAngTanjil_v1.0:LG08:11119763:11120253:1 gene:TanjilG_29969 transcript:OIW06548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTVKNDDPQDQKRDELAESLNDLFSSISAMVKSELQGTNNHLELLEKMNVRVAEEYKGFGDLASGLTVFMEQLKCKSDSFNEYVEQIDAIEKQVTEFEAVVSMLDKYTALLESRVQSAYQTKIHPPPN >OIW07146 pep chromosome:LupAngTanjil_v1.0:LG08:4920604:4920999:1 gene:TanjilG_10119 transcript:OIW07146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIAVVNSSTVTEFVEDLATFDNFVNIQFSMVDQNSDGLLSRDEIRGGLGRFMPLGSQSQPQEEIESMLGSIFERFDEDQKGALDLKEFKSLMVEIMHALARGIGGSPITAVLEQDSLLMKAVQHELATHP >OIW06200 pep chromosome:LupAngTanjil_v1.0:LG08:19010722:19012951:-1 gene:TanjilG_23080 transcript:OIW06200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDPNSKLFDGWFNFMPLQPYDAHDQSNYFFSSSSLYPLVYSSNNRFEIQSEASPSNNIACPPSPPLIEALPLINKLSLTKQHHQNIEPSNRNSVSEEDKYLENLFTGTEYDGTADTVSLNIGLPRMDTSSSDLGSRKVSTCVEKERVNMISAHPFDGLNKGQYWIPTPSQILIGPTQFSCHVCSKTFNRYNNLQMHMWGHGSQYRKGSDSLKGTQPTAMLRLPCFCCAPDCKHNIDHPRAKPLKDFRTLQTHYKRKHGVKPYTCIKCGKAFAVKGDWRTHEKNCGKIWYCLCGSDFKHKRSLKDHIKAFGFGHGVFGMDCMQEDDEPASEIEHDEESSL >OIW07241 pep chromosome:LupAngTanjil_v1.0:LG08:3109332:3110095:1 gene:TanjilG_08356 transcript:OIW07241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRSGMYRGTHAVIAVTESSDRAKISIMKDELFRLLRHEQLRTSVILVFANKQDIKDAMTLAEIIDALSLHSIKDHDWHIQACSALSGEGLYNGLGWISQQVTGKVQT >OIW05567 pep chromosome:LupAngTanjil_v1.0:LG08:25279668:25281201:-1 gene:TanjilG_23353 transcript:OIW05567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWVEDEVGNKKEMEEANAMQHQHHHHQQQQQRLMMLHQLQQQQQQETSIGLISRFPSNIHSHLRPINPQQNPNPNPNPNSSNQSQLDLQNAYQDAWRICHPDFKRPFSSLRDACLRLLPYHVVADYEAEEDDRLLDSDTTGKVLSRSQQWDNNISAKIAEFTATFEKQALAFNIISQKRGLGEFRSEERLMIEQALLQEEKRAMFELRVELESREKADREAHEAKLRMAAMVQAQQARAHSQSRAEMMSCAPIRGSALLSHGIGIISDHNMGEQNQGGNPSQMINGWGNNAQRDEKEPSDDFLNDEAENGETGTQDSWREVGEFDLNCR >OIW06675 pep chromosome:LupAngTanjil_v1.0:LG08:10382668:10389060:1 gene:TanjilG_04069 transcript:OIW06675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLESVIYKNPIKEESWKTVLTLAYQSLGVVYGDLSTSPLYVYKSTFAEDIQHSETNEEIYGVLSFVFWTLTLIPLLKYVFIVLRAGDNGEGGTFALYSLICRHARVSLLPNNQLADEDLTQYTIDGSTVPINKKNVGSCLKTLLEKHRILQRVLLVLALIGTCMVIGDGVLTPAISVFSAVSGLELSMSKEQHKYVEVPVACIILLFLFALQHYGTHRVGFLFAPIVLTWLLCISAIGVYNIFHWNPHVYEALSPYYMFKFLKKTQEAGWMSLGGILLCITGSEAMFADLGHFSQLSIKIAFTLLVYPSLILAYMGQAAYLSKHHYIENDYQIGFYVSVPVQLRWPVLVIAILQAVVGSQAIITGTFSIIKQCSSLGCFPKVKIVHTSSKIHGQIYIPEINWSLMLLCLAITIGFRDTKRMGNAAGLAVITVMLVTTCLMSLVIVLCWHKSVLLAICFIVFFGSIEALYFSASLIKFLEGAWVPIALSLIFLVAMYVWHYGTLKKYEFDVQNKVPINWLLTLGPTLGIVRVKGIGLIHTELVSGIPAIFSHFVTNLPAFHQVLIFLCVKSVPVPHVRPEERFLVGRVGPKEYRLYRCIARYGYRDFHKDDVEFERDLICSIAEFIRSDTSEYGLGFDHFEEDTKMTVVGTSSSTIEGVRMSEDDEDCGHDHDDGDHQTEGSSELMEVVKKSPEKVKKRVRFVVPDSPQINLDAREELHELMEAREAGMAFIMGHCYVRAKSGSSWIKKVVINYGYDFLRRNSRGPTYALSIPHASTLEVGMIYHV >OIW07333 pep chromosome:LupAngTanjil_v1.0:LG08:2372138:2374671:1 gene:TanjilG_11967 transcript:OIW07333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEHDTAKAIRTVACSNWAPRMCDTCRAATCTVFCRVDSAYLCAGCDARVHTVNHVASQHERVWVCEACERAPAEFLCKADAASLCSSCDSDIHSANLLASRHHRVPIMPISGFLYGPPATQEGGFVSGRGCGGGCGSEVEMDEEEDGVGVEDEDEAASWLLLNPMKNNNISSNNEQSNGFLFGGEVDEYLDLVDCNSCDGNNNNNNQFNSGTEHYVQQNQHQQQHYGVPQKSYAGDSVVPVQQHQQGHHFQLGLEFESPKAGFSYNGSISQSVSVSSIDVGIVPESTMRDVSISHSRPPKGTIDLFSGPSIQIPCHLTPMDREARVLRYREKKKTRKFEKTIRYASRKAYAETRPRIKGRFAKRSNAEAEVEQMFSSSLITEVGYGIVPSF >OIW07228 pep chromosome:LupAngTanjil_v1.0:LG08:3267688:3269701:-1 gene:TanjilG_08343 transcript:OIW07228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIATYHDSSSMSVPLLSELKHNEVTQDEDKGQSVHESTTRTVSFSGTCLNGLNALSVIVIPVPQRTLILSTGVGILSIPYALATGGWLSLILLFIISMVALYSGLLIKRCMDKDCNIKTYPDIGALAFGKVGRILVSALMYTELYLVATGFLILEGDNLDNLFPNTDIEIGGLVIGGKKLFVILVGLVIMPTVWLDDLSILSYVSASGVLASAIIICSIFFTATFDGSIGFHGNGTLLNWNGIPTASSLYAFCYCAHPVFPSLYNSMRNKHQFSNVLFICFVLSTAGYASMAIIGYLMFGAEVESQITLNLPLNRISSQIAIYTTLVNPISKYALMVTPITNALKALLPQQYKKSFINILISTTLVISTIIVAVTVPFFAYLTSLVGAFLSITASIILPCLCYLKISGTYNKFGCETIAIVTIIFFGVVIGIFGTYTAIVEIINHL >OIW05930 pep chromosome:LupAngTanjil_v1.0:LG08:22363169:22364951:-1 gene:TanjilG_07206 transcript:OIW05930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLFHKPSKVQSLINFILVISSFCGLYVLASMLLLGTSKLVHVHSSSSQDVSIPTTTTTTTLDHLVFGIASSKSSWPKRKEYVKIWWKPNNAMKGCVFVDSIPDEKGHVENDTSSLPPLCVSQNTSHFRYTCKGGLRSAIRVARVVAETIALNHSDVKWYVFGDDDTVFFPDNVVKTLSKYDHELWYYIGAHSEVYEQNRLFGFGMAFGGAGFAISSSLAKVLVKVFDSCIERYPHLYGSDGRVYSCLAELGVGLTHEPGFHQVDLKGNAFGLLAAHPVTPLLSLHHPDYTDPIFPNMTTTQALKHLFKVVNVDSQRVLQQTICYDRWFSWTISVSWGYAVQVFPNRMFLPDVLSVQETFKQWRKGNMLAKSYTFNTKEPHRDPCRRSTIFYLDNVSSGKDGIITSYKRSFQNCSNDVASPRKLEGIRVVSDKLDLDIKQLQAPRRHCCDVLPSSAHDQLEIAIRECKDEELIHMH >OIW06997 pep chromosome:LupAngTanjil_v1.0:LG08:6537925:6538386:1 gene:TanjilG_14335 transcript:OIW06997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSMNMSTMTMRVPTPAMTSCALFKSPSSSSYLGSKKNVPQIFGLKSSSFRVCAVAEVYKVKVIGPDGAENEFEAPGDTSILDAAEKAGLDLPSLCRAGTCSSCVGQLVSGSVDQSDQFLLDEQQVQKGYVLPCVSYPKSDCVIHTHKESELV >OIW06967 pep chromosome:LupAngTanjil_v1.0:LG08:7164135:7166087:-1 gene:TanjilG_18355 transcript:OIW06967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDHFVLLVDRMLTESTLESALQSRNSLMQAASSALNDMEVDVSSMKKGLHGAKSPGKLVECKICHDEDDDFNMETPCSCCGSLKYAHRKCIQRWCNEKGDTTCEICHQQFKPGYTAPPPLFRFGHIPMSFRGNWEISRRELNSAHVVSMVPTDQNLINSNYDEYSASSTGSLICCRSVAVIFMALLILRHTLPLTISGNMEYSFPLFMLLLFRIAGIVFPIYFMVRAVSLILRHRRQHRDHPNDLLSVSDDENEEALPPLPHIVRVL >OIW06979 pep chromosome:LupAngTanjil_v1.0:LG08:7267101:7268741:1 gene:TanjilG_18367 transcript:OIW06979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKINNTRSESKSDRKFDKKVQFYSKVKDSVSSLSAQKSIAKKKSRQQRRQSKKLKAYNLSHLLDSLPEFEASQKPASQDSFKVTCKSRKKILLEEEERQSKVRNHPDFQSDPVSAIRQHLLRTQPAAEEQPKIKKANKNGSKKKKNKSKASAGVQSMDM >OIW05646 pep chromosome:LupAngTanjil_v1.0:LG08:24746864:24747609:-1 gene:TanjilG_23432 transcript:OIW05646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWVTDECKNCFMEMKWKKVHKYVVYKIDEKSRLVTVDKVGGPGEGYDELAASLPKDDCRYAVFDFDFVTVDNCRKSKIFFIYWSPTASRIRAKFLYATSKDGLRRALDGIHYEVQATDPTEMGFDIIQERAK >OIW06157 pep chromosome:LupAngTanjil_v1.0:LG08:19434388:19440013:1 gene:TanjilG_01784 transcript:OIW06157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENRNSVENSSNIESTTNNSASRRSIMSNHSRGSGRNSIREVTFDQSGSKPVRYGSKGGADSEFLSMSQKEFSDEDARLIYIDDPERTNEKFGFAGNSVRTGKYSILSFVPRNLFEQFHRVAYIYFLIIAVLNQLPQLAVFGRGASILPLAFVLLVTAVKDAFEDWRRHRSDKVENNRLASVLVNGQFQGKKWKDVRVGEVIKVIANETMPCDIVLLSTSDPTGVAYVQTINLDGESNLKTRYAKQETHSKLPENGKYNGFIKCEKPNRNIYGFQANMEIDGKRLSLGSSNIVLRGCELKNTSWALGVAVYCGRETKAMLNSSGAPSKRSRLETRMNFEIIILSFFLVALCTVASICAAVWLKRNKNKLNLLPYYRKLDVSKGKEEHYEYYGWGMEILFTFLMAVIVYQVMIPISLYISMELVRVGQAYFMIGDSRMYDEATDSRFQCRALNINEDLGQIKYVFSDKTGTLTENKMEFQCASIRGVDYNFPKVSVENEQVEYFVQVDGKVLKPKMKVKVNPELLQLSKSGFGSKEGKWIYDFLLALAACNTIVPLEVDTSDPTVKLIDYQGESPDEQALAYAAAAYGFMLIERTSGYIVIDIHGERQRFNVLGLHEFDSDRKRMSVILGYADNSMKLFVKGADTSMLTVMDKKLNDIIQATEAHLHSYSSIGLRTLVIGTRDLNASEFEQWHFAFEAASTALIGRAAMLRKVALNVENNLCILGATAIEDKLQQGVPESIESLRTAGIKVWVLTGDKQETAISIGYSSKLLTSNMNQVIINSNNRESCRRCIQDALVMSRKLTTSSVVSNSSNAVTTQIALIIDGTSLVYILDSELEEKLFRLASRCSVVLCCRVAPLQKAGIVALVKNRTTDMTLAIGDGANDVSMIQMADVGVGISGQEGRQAVMASDFAMGQFRFLVPLLLIHGHWNYQRMGYMILYNFYRNAIFVLVLFWYVFFTAFTLTTAINEWSSMLYSIVYTSLPTIAVGILDKDLSKRTLLKYPQLYGAGQRQETYNKKLFWLTIADTLWQSIIVFFGPLVAYWESTVDTASIGNLWTLSVVILVNVHLAMDVIRWNWISHATIWGSIIVTFISAMIIDAIPSFPGYWAMFHVAGTGLFWLCLVGTVITALLPRFVVKYVYQYYFPNDIQISREAEKFGNTRDNESGQIEMNSMSDAPQR >OIW07030 pep chromosome:LupAngTanjil_v1.0:LG08:6181091:6193966:1 gene:TanjilG_02664 transcript:OIW07030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLRKCKTSGGASSLSIAPMSVPNQVDEMARSPKDMGTVGHIVQNNVDIDIREVYFLMMHFLSAGPCQKTFAQFQNELLEHQLLPRRYHAWFSRSGVPSEEDVDDNDGTSLPLDYNKLMDRYPHIDMDHLVKLLKQLMLRTVHPLHGKLGESSPNAADVPTLLGYGSFSLLNVDRKTSDKQGKSPPVYLRWPHMQANQVQGLSLREIGGGFTKHHRAPSIRSACYAIAKPSTMVQRMQNIKKLRGHRVAVYCAIFDRSGRYVISGSDDRLVKIWSMETAFCLASCRGHEGDITDLAVSSNNALVASASNDFVIRVWRLPDGMQISVLRGHTGAVNTIAFSPRPSAIYQLLSSSDDGTCRLWDARYSQRNPRIYLPKPPDATTGKSNAPPANQPSSSNGQQSYQILCCAYNANGTVFVTGSSDTFARVWSAFNFKPNSDDSEQPIHEMDLLTGHENDVNYVQFSGCSVASKFLTSDSWKEENTMKFRNSWFCHDNIVTCSRDGSAIIWIPRSRRSHGKALRWTRAYHLKVPSPPLPPQPPRGGPRQRFLPTPRGVNMIVWSLDNRFVLAAIMDCRICVWNAVDGSLVHSLTGHTASSYVLDVHPFNPRIAMSAGYDGRTIVWDIWEGVPIRTYEIGRFKLVDGKFSPDGTSIVLSDDVGQIYFLNTGQGESQKDAKYDQFFLGDYRPLIQDTQGNVLDQETQLPPHRRNLQEPICDSSMVPYPEPYQSQFQRRRLGALGIEWHPSLVKFAVGADFNVGQDYPVIPLADLEGMPEPQPEFLDAMFWEPEYDIVVSDDNDSEYYVNEDSSSAGEQGSVCASSSDSECSEDDSSNRDGLRRSRRKKNNVEGEATASSGRRVRKRNLNECDGNPSGSNRVKKKSKGISKSSKRKSSKAKTLRPQRIAARNARNMLSQISDTDGEDDISEGESSGSLEDSDILSEPEKKVHNKHDELKEPIFEEFANVAKPPAHSKSQVNVETKQRLVLKFTIRDSKKNVPMRLACETQANMGCQSLKHQKSDQETSAEVTNDKHPQNHNSDEHTDKSKAESDRLDTSISVERNMCRQTYPHSGSGDGFQIDTERHHEHNANGRSYHMSRKFNTVGSMVDTGPADIDNTLKVSSVEPSLLGSHDIYLTSGYKLNDSDKGQPGSSNCTEDLVENNEVFHSSHSRDLKMKAPMKARKLVIKKKQLLADNEGPCKLKFVSSQADSTGDRGDLISGNSFFRGPNLVMEVPEEAEHDRKVSSAQLLHSYSGRTSYDHAHEWKKSYKGEVLPDGSGCDPEENTSIFSNQHGFGIGPSDVTSDPRIRTRSMRMETASEEPNALNLRFKLRRGKNSRGTSSLEGSSINVPDQLHQRKRASRNRHDEYIANDPSILTERMPNLDKKKLSWLMLSEQEEGYRFIPQLGDEVVYMRQGHQEYIESFMLKESGPWKSCNGVSASEICRVEELEYAVLPGSGDSCCKLKLRFVDPSSHVHGKSFKLTLPELINFADFVVEKTWYDTAVNRNWSLRDKCLVWWRNEDGKSGSWWDGRITAVQAKSHDFPDSPWERYQVQYRTDLTETHLHSPWELFDPEIKWEHPCIDPEIRDTLLSYFTKLVHRGYDIQALDQLAEKSEFSNRFPVQFYPELIQTRLKNDYYRRVEAVKHDIMVMLSSAEEYYTVSKNVQFSTMVRRVSDWFRRKLDRLQ >OIW07004 pep chromosome:LupAngTanjil_v1.0:LG08:6485797:6487261:1 gene:TanjilG_02638 transcript:OIW07004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDERVRKTNKDGKKKEYNSVLLSSNISKGGGKRIPGLLVLGGAMAVAGYVAVLSLHSFVTKRNKAKEKSKSDVTEHEPKPQQLLLEDGCKSQGHGDHQIETTSNSDGDAVSACHVTPHMSINKPLILEEEETDSDLNNSSELVSPNNFQHQEIVLHDDSHPESVASSSSNENEFAEEDGLQQNLDSMQTETKDDDEDDNNDDIVIIESEKEENSSKETEGTSLNSNEDKAEQDLKGEERINESDIQTQEAAEMDISASDDTSLYAGTNMAMNVKANLSEGLNYQPSPSYTFQLRTWLMPMLLQALLLVLVLYTCTRLFISFTK >OIW06855 pep chromosome:LupAngTanjil_v1.0:LG08:7902435:7906436:1 gene:TanjilG_18237 transcript:OIW06855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRLTPSLSLGHTHFSPITVSSFFFSSLRTKFHFYPPIHHRAMSMDSQSFSSSIDSVTQHLQNHTLQTHSHASRSKLEDLNWDNSFVRTLPADPRTDSLPRQVLHACYTKVSPSVEVDNPQLVAWSESVADLFDLDHKEFERPDFPLIFSGASPPVGALPYAQCYGGHQFGTWAGQLGDGRAITLGEILNSKSERWELQLKGAGKTPYSRFADGLAVLRSSIREFLCSEAMHHLGIPTTRALCLVTTGKLVYRDMFYDGNAKEEPGAIVCRVAQSFLRFGSYQIHASRGDEDLGIVRSLADYAIRHHFPQIESISKSESLSFSTSDEDHSVVDLTSNKYAAWAVEVAERTASLIARWQGVGFTHGVMNTDNMSILGLTIDYGPFGFLDAFDPHFTPNTTDLPGRRYCFSNQPDIGLWNLAQFVTTLLAAQLINEKEANYVLERYGMRFMDDYQAIMTKKLGLPKYNKQLISKLLSNMAVDKVDYTNFFRTLSNVKADTSIPDDELLVPLKSVLLDMGEERREAWTSWLKAYIHELSTSDISDDQRKTSMNLVNPKYVLRNYLCQTAIDAAEIGDFGEVRRLLKLVEHPFDEQPGMENYARLPPAWAYRPGVCMLSCSS >OIW05942 pep chromosome:LupAngTanjil_v1.0:LG08:22475836:22478387:-1 gene:TanjilG_07218 transcript:OIW05942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKSQGSPISGAIHSISITPKVDELRPDMSPSTQPQPFIPLLAPSPLGSFTYNSQPKLSGLCSLNFSASQDIMTTTATDCWTSFAPYLANVVCCPQFDAMLMTLIGQSSKYSGVLALNTTHARHCLSDVQKVLVSQGANSDLKNICSVYPANLTEASCPTVFVDELESIVDSSRLLTACRKIDPVNECCDQVCQNAINYAARKIALNDMSISDGNHSLPRQTARVNDCKNIVLRWLASKLDPSTANNVFRGLSNCNLNKACPLVFPNVTSVVKECNAPISNQTPCCKAIKNYVSYLQDQSFITNLQALKCAASLGKELQKANVSSNVYNLCHISLKDFSLQESGCLLPSLPSDAVFDKTSGIGFICDLNDNIVAPWPSTLNQLPSSCNRSTELPSLPTAASSQNGKKHHSLYSIAED >OIW06690 pep chromosome:LupAngTanjil_v1.0:LG08:10499913:10505276:-1 gene:TanjilG_04084 transcript:OIW06690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQNGVYPSGFTFSSVLNACGRVPAIFEGKQVHARLVQSGCFGMKIVQTALLDMYAKFGCVIDARYVFDRMGDKDVVTWTAMIRGYAKVGMMVEAQWLFDNMGERDNFTLTTMVAGYANKGDMKAAKELYDGMDERDAVSSIAMIAGYGKFGDVSEARRIFDAIPMPRNASTYAAMLACYAQNGYANEAIDMYKEMRQVKIKITEVAMAGAMSACAQLRDIRMSKTLTDHLDEGCCERTHIVSSALIHMHSKCGNINLARREFGSMNYRDVYAYSAMVAAFAEHGNSHDAIDLFSKMQKQGLKPNHVTFVSVLNACSSSGLMEEGCRFFQIMTEVYDIDPLPQHYACMVDMLGRAGQLERAYSIIKENAYKTDATTWSSLLAGCRIYGNVELGEIAARHLFEIDPEDSGNYVLLANTYASKAKWECAEEVKMMMSEKGMIKPSGYSWIQMHGKSLLSINSIAKKPDPNDAVATARWLVSQNFWGVLSTISADLGGAPFGNVVSFSDGLPNKGKGIPYFYLTTLDPTAKNGLKDPKASFTVSEYPLGTCGKIDPENPTCSKITLSGKLKLVDQKSKEARFAKYALFSKHSEMKDWPKDHNFQVFKLEIENIFLIDWFGGPKPITVKQYLLSKS >OIW06075 pep chromosome:LupAngTanjil_v1.0:LG08:20388068:20391309:1 gene:TanjilG_29831 transcript:OIW06075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLKFKHINWVGNICQKFEAVCQEVDNVVSKNAVKYFESQVQNIGGNVEKIYSGVIQDLLPLPPLVNSENYLESQVQSVGGNVQKVYSGVVQQLLPLENEGSSSVKSVAVVEDISRKEDEVAPPNNFIESFQDSNAINLANDQQTGSPIEHDLVNQVSDETFSESVEMDDSHITQEEVGDYHSRETSGAKREMLDVTIEEISVEPAPQPMNLISVKEIEALEFSICSESYSGSSGSGCGVPIEKKHNFDVDVEPKSCPILKNNATNSSTVLNFMSPSEKESLKTSLFPEPSDVADDDTHGILAEVSPATSDASHERPITKTEPPCFKSSASSDSLDLRSLGSYSFEIESYKNNLGDAAWSISDSSLVHVYCEPSPLVAGQIMEPQSGLASSDHTQSMESKDESLVKSVENVLEDIKLNDDTKLGESCVFVDDSELHAVSRRVQKLRSYKKRIQDAFASKKRLAKEYEQLAIWYGDTDLEYGEGLSQTLLPFSSRTYVESKNLQGQDASETDWELL >OIW06118 pep chromosome:LupAngTanjil_v1.0:LG08:20899983:20900780:-1 gene:TanjilG_29874 transcript:OIW06118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITDRKPNCKAPGSACLDPRFVGADGIVYYFHGRRDQHFSLVSDVNLQINARFIGLRPEGRTRDYTWIQALGILFNSHNFSVEATPAATWNDEIDHLKLSYNGEELVIPESHLSKWQCPQDQLRIERTSSKNSVTVTIPEIAEIFINVVYVTKEDSRIHNYQIPDDDCFVHLEVQFKFYDLSSKVEGVLGRTYQPDFQNPAAKLGVAMPIVGGEDKYRTTSLLSADCGVCMFDPSEASEKNSMMEYSMLDCTGVTKSGNGIVCRR >OIW06693 pep chromosome:LupAngTanjil_v1.0:LG08:10527691:10537862:-1 gene:TanjilG_04087 transcript:OIW06693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRARDDVFSGSQFKRPFGSSRADFYGQNQIAGGGGVGGGGGGGSGTGGGGATTSQKLTTNDALSYLKEVKDMFHDQRDKYDLFLEVMKDFKAQRTDTAGVIARVKELFKGHNNLIFGFNTFLPKGYEITLDEDDAPPKKTVEFEEAISFVNKIKKRFQNDELVYKSFLDILNMYRKEHKDIGEVYSEVATLFKDHRDLLDEFTRFLPDTSGTHSAHHAPFGRNSMQRFNERSSSAPMMRPMQVDKQRYRRDRLLASHDRDLSIDHTDLDDDKTMIMHKEQRKRESRDRRIRDHDEREADLDNNRDLNSQRFPDKKKSFKKAEGFGMATDFASNDDKDTLKSMYSQAFSFCEKVKEKLSSADDYQAFLKCLHIFSNGIIKRNDLQNLVTDLLGKHSDLMDEFNDFLERCENIDGFLAGVMSKKSLSTDAHASRSSKLEDKDKEKKREVDGASVTDLLGKHSDLMDEFNDFLERCENIESLSTDAHASRSSKLEDKEKEQKREMDGGKEKERHRENKYLSKSIQELDLSDCKRCTPSYRLLPSDYPFPKASQRSELGAQVLNDHWVSVTSGSEDYSFKHMRRNQYEESLFRCEDDRFELDMLLESVISAAKQAEELYNNITENKINKENLSCIEDHFTVLNLRSIERLYGDHGLDVIEILRKNPNHSLPVIITRLKQRQEEWSRCRSDFNKVWAEIYAKNHYKSLDHRSFYFKQQDSKNLSAKSLVAEIKEIKEKQQKEDDILQSIAAGNKQPLIPHLEFEYSDTSIHEDLYKLIQYSCEELFSSKELSNKIMRLWSTFLEPILGVPSQSPRTEKVEERKADHNVCDGSPHGDSISTSSRSPKLDKNEADGRTTEVKNAHRTGLAANDKENVSVGGELVCRDDPLTDKGQKNVEYTDKSSGFSKQLAADEQGAKSNASIAVRGENSLSRMNTELTPVLFIFHGCVIDPSRTADVDDSVAKSQSANAPLVEGCDTTAPVPVTNGVTNESIKVKSHEESVRPSAGLCKIEKEEGELSPNGDSEEDNFVGYGDSNAQSMAKSNHSNERRKYQSRNGEDECCPEAGGDNDADADDEDSENVSETGEDASGSESAGDEGFREDHEEEEEIEHDDVDGKVESEGEADGDAQSVGDGSSLLLSERFLSSVKPLTKHISAVSFVEEMKDSRVFYGNDDFYVLFRLHQILYERILSAKTNSTSADTKWKTKDASSPDPYSRFMSALYNLLDGSSENAKFEDECRAIIGNQSYVLFTLDKLIYKLVRQLQTVATDEVDSKLLQLYEYEKSRKPGKLNGSVYHANAHVILHEENIYRLQCSSTPSRLSIQLMDNMNEKPEMFAVSIDPNFSFYLHNDFLSVSPSKKGPHGVILQRNKRKYEGLDEFSAYCSAMDGVQVINGLECKIACNSSKISYVLDTQDFFFRPKSKRRASSRTTSSSSRHRRQERYRRLLAFPQ >OIW06829 pep chromosome:LupAngTanjil_v1.0:LG08:8267376:8269669:-1 gene:TanjilG_03724 transcript:OIW06829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNMMEWQKQLQSLQKNGEMMYVKVMTDEQLETLRKQIAVYAIISEQLIQMHSTLSAHHDLAGVRLGNMYCDPLITSSGHKITSRQRWTPTPMQLQILERIFDEGNGTPSKEKIKDITTELSQHGQISETNVYNWFQNRRARSKRKLQNVAPESELETEVDSKDKKSKPEEIQSQHIVTEKLCFQNPEICSDLHYLNTDSNKPDCMVPSDRYTGNFNRVSLYNEVLSNSRSDYLDGKIDLPESYNLYQQGGDYI >OIW06012 pep chromosome:LupAngTanjil_v1.0:LG08:21915101:21916920:1 gene:TanjilG_11699 transcript:OIW06012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRWKRVEVKPEEHLKIPTFPDSTSSDQLYDHYFDEYVSSILTERTPQTKPLWEIHIIKYPTTNAIGTIIFKLHHALGDGYSLMGALLSCLQRADDPSFPLSFPSRVPSNSQHANKNLLKKLPSFISTFFSSMSDFGWSLMKSKIIKDDKTPIRSGYEGTESQPFTLSNISLSLDQIKKIKSKLGVTINDLVTGMVFYGLRLYMQEMNDKAKISNSTAIVMLNTRNLGGYQSVKDMLKPEAEGLWGNKISFLQVSIPKLNQTRMSNPLEFVWKAHEIIKKKRRSFSVYLIGLLLQLEMKLRGPEAVAKNIYNTMGNSSVVLSNLVGPIEHMTLAKHPISGFYFTMTGGPENVNITIISYVKVLRITLRTLKGFIDEQKLKLCMEKAFEIIFKASMEIPDKN >OIW05833 pep chromosome:LupAngTanjil_v1.0:LG08:23339917:23340321:-1 gene:TanjilG_23619 transcript:OIW05833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGEKKLAEKKPAAAAAEKKPAEKKVAKEGSIDKKKKKSKKSVETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLGQESSRLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSS >OIW05888 pep chromosome:LupAngTanjil_v1.0:LG08:22844256:22849928:1 gene:TanjilG_23674 transcript:OIW05888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCWCRKLTVVNLDPVRKRSGGLRTKQAGRGSCRGNKMGFLLKEALKTLCARNQWSYAVFWKIGCNNPKLLIWEDCFYEDLPSLLPPRTVGISNLPYQDGEVCWFSSESQLGIEEGKVGSLINKMMVNNSVNIIGQGIIGRAAFTGNHMWILLNNFNRDACLQEVYTELHYQFSAGIQTLAVIPVLPHGVVQLGSFLPIMENMGFVNDMKSLILQLASIPGALLSEDYSAKLTSERLSGPMTDGVPVTVDLPVITSNCTLSLPNGSNQPSNSSHASSRSISQPFNNYQGSVLTPQMQNLNQVSPKYGNLCHPMTHSMNRAIVSAQQENRVVEARAEVIPSNLDLYMQQHSAAYNTRYPFNELAAFGQSNFSDGSLKYMEQQIFSSIGGQGQVNPIMNPSSTSNISQLKRDGGHILQQSQSCVNNSVLGGIPIHNRMSNLLRTNLFNSSVSNSPKVSDTNFYGIQKVGERLHNDNSTKAGTYSLPNLANQSVASHMHLHGSHQKTLPLDLKHDHEAFVSTDQGIDSDLLQALKIPSLHLEEHASMSDHIQGFVHDHLNKDGSSQHMMKMNAKREEASAQLPSGDDLFDVLGVDFKRKLLNGNWDELLADESDANAENLDKKAAGMNMQAIGSGDSYSVSEAISDSGIFSGTDTGHLLDAVVSRAQSATKQNSDDMSCRTTVTRISTTSVPSPISKQVMSDNVVKGKLIDFPRMGDKIGAVETSSFKSGCIEDDAGNCPQTTSIYGSELSSWVEKVSNAKHENSVSTGYSKRPDEGCKSNRKRLKPGENPRPRPKDRQMIQDRVKELREIVPNGAKCSIDALLERTIKHMLFLQSVTKHADKLKHTGESKIVSKEGGLLLKDNFEGGATWAYEVGSQSMVCPIIVEDLNPPGQMLVEMLCEERGFFLEIADLIRGLGLTILKGVMEARNDKIWARFVVEANRDVTRMEIFMSLVRLLEQTAKGIASSSNAFDNNMTMYHSFPQATQI >OIW05880 pep chromosome:LupAngTanjil_v1.0:LG08:22897800:22898800:-1 gene:TanjilG_23666 transcript:OIW05880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSGKHSEATTVTKPETKDKGKAVTWAAPLSGYSVSTKAVPHPRPGWKKGVAITDFILRLGAIGAALGSAVTMGTNEEILPFFTQFLQFHAQWTDFPMFQFFVVANGVIGGYMILALPFSYVCIIRPHTIGPRLGLMIVDIVMMGVATGAASSATAIVYLSHNGSRDANWIAICQGYTNFCQTSSEAVVLSFVAAISLMCLVPLSALALKRT >OIW06482 pep chromosome:LupAngTanjil_v1.0:LG08:12282530:12283657:-1 gene:TanjilG_05253 transcript:OIW06482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSRSRNPLVVGRVIGDVLDPFESSIPMRITYNNRDVSNGSEFKPSHVVNQPRVTIGGDDLRNLYTLVVVNPDAPSPSDPNFREYLHWLVTNIPATTGPTFGPRCTKMAIAFHTNACMEYRNH >OIW06549 pep chromosome:LupAngTanjil_v1.0:LG08:11123045:11126259:-1 gene:TanjilG_29970 transcript:OIW06549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNGNLAPMAEKKISRKNKAIINDNEPLIPKTHQEKTDAGFDDFNGASFSGAVFNLSTTIIGAGIMSLPATLKELGMVPGLVAITLMAFLTEKSIEFMIRFSRAENLTSYGGLMGDAFGKYGKALLQICVIINNIGVLIVYMIIIGDVLSGTSSGGDHHSGILEEWFGIHWWTSRTFVLLLTTLLVFAPLVTFKRIDSLRFTSAISVVLAVVFLVIAVGIAVVKIISGGIGMPRLFPVITDIESFFKLFTVVPVLVTAYICHYNVHSIDNELEDSSQMHGVVRTALTLCSSVYLMTSFVGYLLFGEGTLDDVLANFDTDLGIPFSSVLNDIVRLSYAAHLMLVFPVVFYPLRINIDGLLFPKSKLLVLDNLRFASITISLIGIIFLGASFIPSIWDAFQFTGATAAVCVGFIFPAAITLRDQNNLATKQDKVISVIMIILAVFSNAVAIYSDAFA >OIW06003 pep chromosome:LupAngTanjil_v1.0:LG08:21845126:21846404:-1 gene:TanjilG_11690 transcript:OIW06003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPPATTATTVAKRKPVFIKVEQLKPGTNGHTLTVKVVSSEPVKSVPNRGNRSSSLIARPSRIAECLIGDETGSILFTARNEQVDLMTPGSTLILRNAKIDMFKGSMRLAVDKWGRVEVTEPASFEVKEGNNLSLVEYELVNVVEE >OIW06143 pep chromosome:LupAngTanjil_v1.0:LG08:19301187:19305561:1 gene:TanjilG_01770 transcript:OIW06143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPRFVVPYRDPYDTPLSPSIFNLTNPTISDLSNNVNNNYNGLVPLPQQIEYGDGNGVQGVNHPLLDPMMSNYYFPNGGNGNNNNFESQVNVGPSQPPNWNFLGLQNFSNMEVMSLPCWPDSPSPFSCSCCQVLREIIHTNGFTFNKLEIDGRLGIMCHAIHHQNINGNINGASSSNPQFQMIDFTMKNIQEIKNFLVQYCLGQNTSGYVMVQDPLSSYYEALCIGLDWAEDLNDYIDMNPNGSGGPSDEMEQEVDNGRARRPSLSEQGRKRDIGSLKECKDDEYLEYKLGALVRWSKWLLCEKNVIDSSRGISLLQMQGTGAHLAQKIMGKVEVFCRVEDID >OIW05899 pep chromosome:LupAngTanjil_v1.0:LG08:22717301:22719734:-1 gene:TanjilG_23685 transcript:OIW05899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFHSLPNLYLILSFSILFLSSFLAQAKVTFKYCDKKGNYDVKVSGIEISPNPVVSGNPANFKISASSGKAISGGEVVIGVSYIGVPVHTERIDLCQEVTCPVSNGNFVISHSQTLPSITPPGPYALKMTLKDDNGELLTCIKFNFKIVFGSLVSDI >OIW07267 pep chromosome:LupAngTanjil_v1.0:LG08:2602386:2604267:-1 gene:TanjilG_08382 transcript:OIW07267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLRMCWFLVIMFLSVTYDSTFSEARHDKKLPYAVVVGTVYCDTCFQQDFSMGSHFISGASVAVECRDGSSNPTFKKEVKTNEHGEFKVQLPFSVSKHVRRIKGCNVKLISSSEPYCAVASSATSSSLNLKSRKQGLHIFSAGFFTFKPLKQPNLCNQKPSIQNTNFLGSMKSYFPPNIDPSFPPPLQDPTTPSVGDLLPNLPTLPPILGIQIPPLLPPDEKVVNPQHLPFFSPIPFFPPPIVPNPLQPPPLVPNPLQPPPLVPNPLQPPSSPLIPNPLQPPSPTPLFPNPFQPPPSPPPSSPLFPFPPLFPSPGTPPSSSSSSSSTKNGSP >OIW07158 pep chromosome:LupAngTanjil_v1.0:LG08:4752769:4758841:-1 gene:TanjilG_10131 transcript:OIW07158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHSAILLGHSTTLGFTLKPFYHPSTNTASSLTFYLDQPSLTLKMKQKQFQHPHLSCQASPQDAVPERNDEIPVHGLSEMVVGVLGGGQLGRMLCQAASHMGIKVIVLDQQENCPASSLSYHHMVGSFDDSATVEEFAKRCGVLTFEIEHVDVATLEKLEKQGVDCQPKASTIRIIQDKYLQKVHFSQHGIPVPEFTQIDDLEGAKKAGEIFGYPLMIKSRRLAYDGRGNAVAKSEEELPSAVDALGGFRRGLYAEKWASFVKELAVIVVKGRDNSISCYPVVETIHRDNICHTVKAPVDVKCKIREHATEVASNAVNSLKGAGVFAVELFLTKDGQILLNEVAPRPHNSGHHTIESCYTSQYENHLRAVIGLPLGDPSMKTPAAVMYNVLGEEEGELGFQLAHQLIKRALTIPGANVHWYDKPEMRKQRKMGHITIVGPSLGNIESNLAIIVEGKRLNDKTAVSPRVSIIMGSDTDLPVMKSAAETLEMFGVSHEVLIVSAHRTPEFMFSYAKSADKRGIQVIIAGAGSAAHLPGMVAALTPLPVIGVPVRASTLDGIDSLLSIVQMPRGIPVATVAINNATNAALLAVRVLGIADENLRSRMSQYQEEEKEIVLRKGDKLENHGWESYLKND >OIW06066 pep chromosome:LupAngTanjil_v1.0:LG08:20294889:20295437:1 gene:TanjilG_29822 transcript:OIW06066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSKGKDITEESSRSSVSGDSGNDNDKKQQQLPLSRYESQKKRDWNTFGQYLKNQRPQVALSQCNYNQVLDFLRYLDQFGKTKVHVQGCLYFGQPEPQGPCTCPLRQAWGSLDALIGRLRAAYEENGGLLETNPFASGAIRVYLREVKESQAKARGIPYKKKKKKRRNTIKANGDTSNSTMQ >OIW05802 pep chromosome:LupAngTanjil_v1.0:LG08:23660342:23662293:-1 gene:TanjilG_23588 transcript:OIW05802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSLDSYDLSVENKTGVVLPATDAYAAEAVEALKAGKVIAVPTDTLYGFACDACSLEAVNRIYEIKGRRHTSPLAICVGDVSDMDRYAVIDHLPHGLLDSLLPGPVTVVLRRGESSVLERSLNPGFDSIGVRVPDCNFIRVIARGSGTALALTSANLSGQSSSVSIKDFENLWEHCAFVYDGGVLPSGPAGSTVVDLTTPNQYKILRPGSAKEETVAILEKHFLVEAETT >OIW06998 pep chromosome:LupAngTanjil_v1.0:LG08:6533566:6534462:-1 gene:TanjilG_14336 transcript:OIW06998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGHELLGFGFFIVGLWHLFNHIKLHALCSNSYTSTLWFPTRKSKYIELYFIIAICIIFIAMELFISPVHHQPFDPDGTIPSNHLHNFEHSSMALTFLVYATFAIVLDREKGTKKLQHGLTHLLGGIAFAQQLLLIHLHSADHMGPEGQYHLLLQLLVLICLVTTLMGIGLPKSFLVSFVRSVSIIFQGVWLMVMGFMLWTPGYEAKGCFLHHKEGQYVIRCSDEESLHRAISLVNIEFSWLLIIVTIFAMSLYLILGTKYGEKVEYVPLRKEEQYCEDGPNNDIESQYQMDSMQQKT >OIW06249 pep chromosome:LupAngTanjil_v1.0:LG08:17779886:17782809:-1 gene:TanjilG_23306 transcript:OIW06249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGDSAVLGAGGGGGGGGGGSSGDAVASASAAAAAAVHEGGGDTAVGGGGGSISGDEERGRGEEGDRSFGGNRWPRQETLALLKIRSDMDVAFRDASVKGPLWEEISRKLAELGYHRNAKKCKEKFENVYKYHKRTKEGRSGKSDGKTYKFFDQLQALEVVNHPAITIHQSSTPSKPTQTTAPLQVTPVSIVVTAASLPHTIPTTTTFPMVPSSNSITPSSVNVPFSHATLPISMPQPILNTTTIATTPSINLTIPSFPPPTNPTYIPPSSTPNPTTKPPPHVTNINPISFPSIPMDLLSNYSSSSTSSDETLEGRRKRKRKWKDFFERLMKEMIEKQEELQWRFLEAIEKREQERYSREEAWRMQEMQRINREREILAQERSMTASKDAAVMAFLQKLAEQQNLGQAFNNINIPQQHVPPVATGSLLVPAPAPAPIQVQQQQILFPQAASVPMPAVQAQMQPAGAVVSVAPQQQQQVSNMEIVKADNGENVTGTSSSRWPKVEVLALIRLRTSLDAKYQENGPKGPLWEEISSLMKNNGYNRSSKRCKEKWENINKYFKKVKESNKKRPEDSKTCPYFHELDALYRERNKLQNPMKHESMMAPLMVQPEQQWPPQQPPTAPPPNVTMEDAQNDPMDHQNHEEEEEDEDDKDMDDEDEDEDEGGGDNYEIVASKPSSVSASAE >OIW06978 pep chromosome:LupAngTanjil_v1.0:LG08:7262561:7263733:1 gene:TanjilG_18366 transcript:OIW06978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNLFFLCLTIITCLSVFDSNNFIAQAVTSWSEIATLKAFKSSINPSSIKPGSCLASWNFTIDPCSFPRRTYFTCGLTCTPDSTHINQITLDPVGYTGTLTPLISKLTNLITLDLSENSFFGPIPSSLYSLPNLQTLTLRSNSFSGSIPPTIKSLISLQSLDLSHNSLSGSLPISLNYLSNLRRIDLSFNKLNGSIPELPPNLSELAIKANSLSGPLQKSTFQKANKLAVLELSENSLYGKLEPWLFQLPSLQQVDLANNRFNGIEIWKPANGRSSDLVAIDLGFNTIQGYAPANLSAYPTLSSLSIRYNLLRGTIPLEYGESKTLKRLFLDGNFLTGKPPAGLLVSGNIFSGSLGNNCLVGCPASSQLCKPAQKPSSVCKKAYNGKPST >OIW07338 pep chromosome:LupAngTanjil_v1.0:LG08:1285339:1286877:-1 gene:TanjilG_10173 transcript:OIW07338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQDSSYKSDTLEKSSDIEDRISELPNSIICYIFTFLHLKEAIRTKVLSHTWKDRSMDMSIIVFDPKVINIDLDDDVSLESIPLPQKYQFIERVDQLLEIFTINQSVSFTIWFPMGKQFTSHIDKWVNRAIGKECETLDLEFKFARIDDEPYNFPFHILLSSKKSHLKCLSLCECQLKPTREVVHRLNLLESLTLVYVSMEASDLEIILSSCLNLELLELIDCEVLTSLRIFNQDMRLKRLLVTPLIFVANIELSIPCLELFIFNGEIENFTISRMNQLKTVELYIKGTYPRGMSQLLDELSRNAPLLQTLFLTCHFDQFQSYAHNNNQTPKFNNLTHLELTPKGDYGWNMSIGILYKSPKLEVLVIEVSKG >OIW06550 pep chromosome:LupAngTanjil_v1.0:LG08:11130450:11141668:1 gene:TanjilG_29971 transcript:OIW06550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLTLKLHFNLHHHHHNHANKNTIINNTNYNTCFSNKIQPKTIYNTNPLIITTRKLDSRVMQGDCCNMIIKSSLIEPDGGSLVDLVVGERERGLKRVEAESLPKVKLSKIDVEWVHVIGEGWASPLRGFMREDEYLQCLHFNSLRLKNGSLVNMSLPIVLAIDDESKGRIGSCSNVGLIGPDGDLVAILRRVMQGDCCNMIIKSSLIEPDGGSLVDLVVGERERGLKRVEAESLPKVKLSKIDVEWVHVIGEGWASPLRGFMREDEYLQCLHFNSLRLKNGSLVNMSLPIVLAIDDESKGRIGSCSNVGLIGPDGDLVAILRSIEIYKHNKEERIARTWGTIAPGLPYVEEVITPAGNWLIGGDLEVIQPIKYNDGLDNYRLSPKQLREEFDRRKADAVFAFQLRNPVHNGHALLMNDTRKRLLEMGYKNPILLLHPLGGFTKADDVPLDVRMEQHSKVLEDGVLDPETTIVAIFPSPMHYAGPTEVQWHAKARINAGANFYIVGRDPAGMGHPTEKRDLYDPDHGKKVLSMAPGLEKLNILPFRVAAYDTTENKMAFFDPTRSKDFLFISGTKMRAYARSGENPPDGFMCPSGWKVSRTSGCVKMRTENSEHDDAVAASACEVNVDPEGFVDIIGDLITRLDLSLAYFSEKVTNLSNFVMHVATMECEFEALIFDKDHMGFDYIQKGLQFDLLCGVLDSEVRDLGGFFDTLQVEIADAREMVSSFTHLGEDFLAMHYKLLDFEHCLKQSEEQFDEIKMQLVSFQKTLSSFKNAENGNAEAGQISREGDKSFDEDAEIKMQTISQQRSILRMLEKSLARELDLEKNINDSREIQEFLEVRLFSLEQLLVHTEEEASDVWERLFEADNASEILLGISKGLLGRLQVSQFNLNGLSHRESELRTKLEFFVQQLKVRDITLDQNGSSIAEQNSVLPGQTNGAKADVNDAEGKLVLADSEVFTLSEKVCSLEKQLKESESQLLNVKASADDYQKQYNIVCSEVSDKENLIVELKENIYDSESRANSAEARCKLLSDTNSELNDELTLLKDGGNTYERVDLLERQLKESDLKLQNALAYAEASQEKQIMLYSTIRDMENVIKDLKSKVGKAEIRADSAEDNCIILSESNAELNEELSFSRTRLECLEGSLHREEEAKMATAKDLGMKTKVLKNLVTQLAVERERLKLQLSSLASENNVLVIKLKATYKDPS >OIW05778 pep chromosome:LupAngTanjil_v1.0:LG08:23836278:23842207:-1 gene:TanjilG_23564 transcript:OIW05778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTQQRRGGLVQLSPSHTPRSSDKQSRDLRISDSNSNFSNHKNDKDKGVNVQVLVRCRPLSEDESRVNTPIVISCNEGRREVSAVQNIANKQIDKNFAFDKVFGPNSQQNEVYEQAVSPIVYEVLEGYNCTIFAYGQTGTGKTYTMEGGARQKNGEFPSDAGVIPRAVKHIFDILEAQNAEYSMKVTFLELYNEEITDLLTPEETSKAVDEKSKKPIALMEDGKGGVFVRGLEEEIVCTANEIYKILEKGSAKRRTAETLLNKQSSRSHSIFSITIHIKECTPEGEEMIKSGKLNLVDLAGSENISRSGAREGRAREAGEINKSLLTLGRVINALVEHSGHIPYRDSKLTRLLRDSLGGKTKTCVIATISPSIHCLDETLNTLDYAHRAKNIKNKPEVNQKMVKSALIKDLYSEIDRLKQEVYATREKNGIYIPRDRYLQEEAEKKAMSEKIEHMELEALSMDKQLMELQKHYNSQLLLTEELSDKLGKTQNALEETEWSLIDLEGRHKHANVTIKEKEFLISNLLKSEKAVVEHAIELRTELENAASDVSNLFSKIERKDKIEEGNRILIQKFQSQLALQLEDLHKLVAGSVMQQEQQLKEMEENVHSFVLTKSQATEDLRIRVGKLKDMYGSGIIALDNFAEEVKGNNQLTFEALSSEVAKHSFTLEDHFKGIALEADSLLNDLQSTLHKQEAQLTSFARQHREAHTRSVETTRAVSKITVNFLKTLGNHASNLTQVVEEAHSANDQKLCELEKKFEECTAYEEKQLLEKVAEMLASSNARKKKLVQMAVNDLREGSSHKTSQLQQETLMMQDSTSSMEAEWRVHLERTESNFHEATSDVESGEKELMEVLQNCLNKVEVGAQQWRNAQESLLSLEKRNASSVDDIIRGGMEANQVTRTRISSAVSTTLEDAETAIKDINSSIEYLLQLDHEACGNQNSMIIPCCDDLRELKGDHYHRIAEITENAGNCLLSEYVVDEPTCSTPRKRPLSIPSASSIEELRTPSFEELLKSFWDAKSPKHANGDVKYTGVYEAAAQSVIDSRVPPIA >OIW06900 pep chromosome:LupAngTanjil_v1.0:LG08:7673032:7676056:-1 gene:TanjilG_19549 transcript:OIW06900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNSINLIFPFILIIFFHFPLTEAQGPTYLYHICSNSTNQTTVQNSAFQLNLHTLFSSLSSNATGNTEFYNTTVTATNSSDKVYGLFMCRGDVSSCTQCVVDANEKISSDPKCSLSKQAVIWYEECMVRYDNTSFFSTVSIRPGVYLLNTANISNQGSFMELLFDTMNKTADEAANSSVLEKKYATNQATISGFQNLYCLTQCTPDLSPQDCRSCLGEAIERLPTCCEGKQGGRVLFPSCNIRYELYPFYQSPTPAPTPTPTPSGLVPPTNKSNSGGSSGISSGTIVAIVVPITVAVLLFIVGICFISKRTAKKKDSSQEQKTASEITGTQESLRFDFSTIEAATNKFSEANKLGEGGFGEVYKGLLPSGQVVAVKRLSKSSGQGGDEFKNEVELVAKLQHRNLARLLGFCLQGEEKILVYEFVANKSLDYILFDPEKQRMLNWARRYKIIAGTARGIQYLHEDSRLKIIHRDLKASNILLDEDMNPKISDFGMARLFGVDQTQGNTSRIVGTYGYMSPEYAMHGEFSVKSDVYSFGVLILEIISGKKNSSFYQTDAAADLLSYAWKLWKDGTPLELMDHTLKESYPPNEVIRSIHIGLLCVQEDPADRPTMATIVLMLDSHTVTLPVPNEPAYFLHSGTDPNMPKELLFSQSAVISTPQSVNDMSISEMDPR >OIW07100 pep chromosome:LupAngTanjil_v1.0:LG08:5416643:5420583:1 gene:TanjilG_02734 transcript:OIW07100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANRTDPSAKSIRGTNPQNLIEKILRSKIYQSTYWKEQCFGLTAETLVDKAMEVEHLGGTYGGNRKPTPFMCLVMKMLQIQPEKEIVIEFIKNEDYKYVRILGAFYLRLTGSDIDIYRYLEPLYNDYRKLRQKLADGQFALTHVDEVIDELLTKDYSCDIAMPRIKKRWTIESLGALEPRRSALEEDFEEEEEKEDNDQPADELEDRTHEKDYYRGRSPTRERDRDRRRDSHRHRDRDYDRDYDRDYDRERGRGRDRDRDREKERDRDRYRIRDDKDYGRDREGRERERRDRDRDRGRRRSYSRSRSRSRDRKEHDGGDYKKRRARGSISPRRHGDGAEDGEPKKKKEKREKKEKKDDGTDHPDPEIAEANKLRASLGLKPLKV >OIW06453 pep chromosome:LupAngTanjil_v1.0:LG08:11784557:11785414:-1 gene:TanjilG_05224 transcript:OIW06453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSIQSLDFFTEISPEIFIDQIMKLHARISKLESPRNSEEVNNLLGNLAKLCSLLPSTTDIKDFPKEVLNIRESLNNFTSQAEGLLELESSTLISLKPKPLDSVTEYPYYGNYVRIARMESKILKAHGMENAKKVAFVGSGAMPLSSILMALNHMESTHFDNFDIDDKANEVARRIVASDAELEKRMKFETQDIMEVKERLGQYDCIILAALVGVNRTAKVKILGHLRVYMKEGGFLVVRSAKGARTFIYPSVDVGDLVNFELLTTFQPTHALVHSVLLRKKTKA >OIW05777 pep chromosome:LupAngTanjil_v1.0:LG08:23855419:23863733:-1 gene:TanjilG_23563 transcript:OIW05777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDELLELQRQFEFAQQAKSSIRLSERNVVELIQKLQQLQFIDFELLHTVSGKEYITLDQLRNDMVAEVNKLGRISLIDLADATGVDLYYVEKLSQNIVSDHREFMLNQGEIISESYWDSIAEEINERLQECSQIALTELAAQLNVGLDLVSSVLEPRLGTMVKGRLEGGQLYTPAYVARISAMVRGAARGITVPTNLTVLWSSLQQLLQEMEGSSGVAVEGSFFQSLFNGLVKEGEILGSVRAGVHWTPANSFINYDVLHKLGIPQPIQFLQSRYPEGKPLVTTFVHPSMIEMLDAATEDAIERGSWSDSLSLLPSSFSPQDASRMLSLCQAVQLALKSNKAHIFGDVYVLGSSFVKDICDRIMKELETLSVSGSSGTMLSGDLQVATEAKVGYHSSRFSESNEVVSDSGVNRHVDKGSKKKKGKGTGNAVANVSESGPDNQDQTSTKSKKSQRRGKDTSSQTSDSKPSSRKESHKMKEDNLSTPSEEWIMQKIATLVPDFEEQGVDDPETILRPLANQLRPTIISSWMEKKKALLTQNAERTRHLLDNLQKKLDESFLNTQLYEKALELFEDDQSTSVVLHRHLLRTVAAPMVDMLLLKLDEHNKLKSGLDVQEDPNSEPVSLNPGDRVAISRNFPGTLSKKALAVAEALEGKRVDIFTDAFRMLTEESGLPLKKLDKKLERTLLHSYRKELTSQVSDETDPVSLLPKVVSLLYIQVHHKALQAPGRAISVAISRLKDKLDESAYKILTDYQTATVTLLALLSAAPGDNFESKGVSTKPNGGSQKLGLEHHTIVIDIVSQGTPISSIQASISG >OIW06716 pep chromosome:LupAngTanjil_v1.0:LG08:9289011:9291445:1 gene:TanjilG_11441 transcript:OIW06716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYSNVMEMQEPSIETDKLSYEIFSILESNFLFGYDQQKLWFSKQIPSTTVHSKPQLQQPPQPPPFDAVSALKNQRGKICVLAIDGAGMRGILPGKALSYLENALKKKSGDENATIADYFDVAAGSGVGGIFTAMLFATNDHRRPIFSADDTWRLLAEQGKKFYRQGSGTSGGGLFKRFFSSGGSGSTGSATAGLEKTVKEAFTEKNGRNLTLKDTLKPVLIPCYDLSSTAPFLFSRADALETDSFDFRLWEVCRATSAEPGLFEPVLMRSVDGQTSCVAVDGGLAMSNPTAAAITHVLHNKHEFPFVRGVEDLLVLSLGTGQLLEVKYDYDQVRRWKAKDWARPRTRISGDGSAEVVDQAVAMAFGHCCSSNYVRIQANGSSMGRCGPNMDTDSSPSNVKMLIRIAEEMLKQENVESMLFGGKKIGEQRNFEKLDWFAGELVQEHQRRSCRIAPTVAFKQATPKGT >OIW06196 pep chromosome:LupAngTanjil_v1.0:LG08:18962706:18970340:1 gene:TanjilG_23076 transcript:OIW06196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVDNRTIKANFTADGAAMLKERVNEKLKEFMGDYTDDTLVEYVIVLLRNGRSKEQAKDVLDVFLGDHSDTFVSWLWDHLALNIDLYVQPKELQDEAPKRKLISEVQVGGDGFQDLNSESEIGKSNKLSRSRRNRDWKGLVGREVEAPTLRSFVANDTNMEGKPRSKVNHSPRSSSPPPPFQRKRGRDDEQQKTKKDAVSQVTTDAPRRLLQFAVRDAVGTSRPNNLGTPVEPSLKRLRSVVSTSSGDSSLVERPQRVQSVSRVANPMATVIKAVAEAAEDVIKSKSSGSVFDRLGCSVNPSDGNIQLEDNYLHQEQSQSLYLKRTDYDGPNMTMLEHASDYPFDSNSDNEGFDDMNVMGRGVTGASQFGSSSGNRGNDSLMIQYSVAKNADDRIHLKHNRDQEQPAAAPNTSKIVNISVNVNAWKSPGLPQYQESREVANVDGHKALYSEIGAPRSGLRLVKENANTFKINNGNVHFAATKDGLSRHFNKFGEVLKVVIVIDAATGQPKGAAYVEFMQKEAAENALSLDGTSFMSRILKVVRKSDANQESAPVIPWPRTVRGSPFSSGRFSGVPTPRGIPGAFRPRPPMKYGARSMQWKRDAQGTSSDNVASSNNSSISSPAARGFTYVRPESKVEGS >OIW07368 pep chromosome:LupAngTanjil_v1.0:LG08:571186:571368:1 gene:TanjilG_10203 transcript:OIW07368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNLDERWRAYKYRLRCKYFYPNKSKEVILANPPSGLDCVDWTAFGHHYKEDKVKVKIML >OIW06670 pep chromosome:LupAngTanjil_v1.0:LG08:10346700:10348404:-1 gene:TanjilG_04064 transcript:OIW06670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVLKSTSPLSLSSSSSSSIHTTSSSSSSLLFLHHHVSSTTSKAVPPCRLTIRGYMDNSKSFGTFANKVIGSLPVVGLIARILSDEGGVGSDMIDFAEFRRRVGKKCTINDSRAFYEFKDRRGKPGEPLYVLLCCWLAATGAGLLKTEEILEGVARLRISDDIEFEEQTFIAMMNEAREKRAKQKTAPPTIPMEIRVEKALDAIYVCCFEKEPIEEEDERLLVTMLSAVFPSVQPQEMEKMVKDKGVKIAAGVRDYVAEAKPLSKEAVDLQMKDLQFLKQNSET >OIW07284 pep chromosome:LupAngTanjil_v1.0:LG08:1546583:1547134:-1 gene:TanjilG_11918 transcript:OIW07284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKELFYMFCWKRTSSTTTTSKTCFTSQHVHEESEVEESSQNSKEFIFKPFGEDIIDAEFMLGPPRFLFTIVEESKEDLESEDGKSRKGSKGKSLSDFLVVETPYLTPNASPTFFTPISPYNHGFNPLFESKTDAEFNRMKSSPPPKFKFLQEAEEKLRRKLQEDHNERKNGDGSCCLRSLQEF >OIW05587 pep chromosome:LupAngTanjil_v1.0:LG08:25156110:25157755:-1 gene:TanjilG_23373 transcript:OIW05587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEETSKSISHEIGGVQNDAFRFGLHGVKSDIVGSHPLQSSLHSARRVDEAMKRQCLVNLYGTAFPVKHELESQILSRFQRPPGAIPSSMLGLEALTGDLDNFGFEDYLNDPRELEGFRPLDMHHGMEVRLGLSKGPVYPSVM >OIW06260 pep chromosome:LupAngTanjil_v1.0:LG08:17471346:17471582:-1 gene:TanjilG_19939 transcript:OIW06260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLHFQSLKDSYHTDLEQFDERRVAYIDNHYQIMRSFVQNTHEAQNAKFCAEFEKLRFLIRGDSSLTAPDDRDPPRHP >OIW05968 pep chromosome:LupAngTanjil_v1.0:LG08:21481247:21490863:1 gene:TanjilG_11655 transcript:OIW05968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESILARALEYTLKYWLKSFSRDQFKLQGRTAHLSNLDINGDVLHSSLGFPPALNVSTAKVAKLEIMLPSSVSNVQIEPIIVKIDRLDLVLEENSDFDPADSPTSSTPSSASAKGSGYGFADKIADGMTIQIHTVNLLLETRGSGRGQGGATWAPPMASITIRNLLLYTTNESWQVVNLKEAREFSTNMKYIYVFKKLEWEYLSIDLLPHPDMFTDATLGRSQEGGNLRDDDGAKRVFFGGERFIEGISGEAYITIQRTELNSPLGLEVQLHVTEAVCPALSEPGLRALLRFMTGLYVCLNRGDVNSKAQQRSTESAGRSLVSIIVDHIFLCIKDSEFQLELLMQSLFFSRASLCEGDDDSNLTKIAIAGLFLRDTFSRPPCTLVQPSMQSVTGDAFQVPKFARSFCPPIYPLGEQQWQLIEGTPLICLHSLQIMPSPLPPSFASQTVIDCQPLMIHLQEESCLRISSFLADGIVINPRDILPDSSVKSFSFSLKGLDLTIPLDKAQLDISKSNMDNTVQTSFAGARLHIENFSFLDSPSSKLRILNLEKDPACFCLWEDQPVDASQKKWSARASQLTLSLEACTGTLGRQSSLGWTAGLWRCVDLKDTCVEVAMVTADGSPLLKVPPPGGIVRVGVACEQYLSNTSVEQLFFVLDLYSYFGRVSEKIIIAGKTKQLKDVRNKSFSGNLMDKVPSDTSVSLAVKNLQLRFLESSPASVEGAPLVQFVGDDLFISATHRTLGGAVVVSSSIHWESIQIDCVDAEGHLACENTPLGSGENVPSLNGDGYPQLRAVFWVNKKNHILNGNAHPVPFLDVTTVHVIPFCELDMESHSLDVSASISGVRLGGGMNYAEALLHRFGILGPDGGPGKDLCKGLENLKSGPLAKLFKTTPLIADRPEDVGSMTEGKETGFPHLKKPDNVDVTIELRDWLFALEGAQEMAESWWFSSHEDVRREERFWHTTFHSLQLNAKSSPKKVLGEKIQPRRIQQHAVDLVTVGVQGLQILKPHTQKDVTSSMLNETGVKEFSDTVGGIDLEVGLIVSEDNVDVEMANWEVENLKFAIKQPIEAVATKDEVQHLTFLCKSEVDSVGRITAGILRLLKLEGSVGQSVMDQLGSGGIDKIFTPEKLRSESSVHSGGLSPFSNLINGSPHKSLEPTLTLLEEEVVDSQAKVKSLITDIGTSESSIQHLTAVKQLNEKIESMQSLLMQLRNQL >OIW07300 pep chromosome:LupAngTanjil_v1.0:LG08:1744218:1746686:-1 gene:TanjilG_11934 transcript:OIW07300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLSLVQITFISPLQQPFSYNLNPKSLFPSFESEPLKRFIFSKKKTSLCTRTKTTITHASLIEAPVLWAGRICIFYALLKAGLAGSKDNPLVSDLGIVDANENESDADLGFSKWTQSILGKPVIFNLKRNDNVTCLDLNVMDSNLANNVFVYEAKEEDSGRKLVSKWHPTTKGTLRRNYRVPSKSEGRRLLKAIASLLSDDDHFVDATSHKGCQIRRESAHGESVCCNNVRALFDELPTPHITVEITPFPFGPLSEKDYTKAEKLEKVLRSSPSV >OIW06071 pep chromosome:LupAngTanjil_v1.0:LG08:20334251:20339335:1 gene:TanjilG_29827 transcript:OIW06071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSNLPRRIIKETQRLLSEPAPGISASPSEDNMRYFNVMILGPTQSPYEGGVFKLELFLPEEYPMAAPKVRFLTKIYHPNIDKLGRICLDILKDKWSPALQIRTVLLSIQALLSAPNPDDPLSENIAKHWKSNEVEAVETAKEWTRLYASGA >OIW06782 pep chromosome:LupAngTanjil_v1.0:LG08:8719605:8729705:1 gene:TanjilG_11507 transcript:OIW06782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMEPQTSCFALMLITILVLNLYVGVLSADKYSRDDFPVDFVFGAATSAYQVEGVAKEDGRTPSIWDTFAYAGYAQGANGDVACDEYHKYKEDVRLMVETGLDAYRFSISWSRLIPNGRGPVNPKGLQYYNNLINELIINGIQPHVTLHNYDLPQALEDEYGGWLSRDIIEDFTNYADACFREFGDRVLYWTTVNEPNVFAIGGYDQGITPPQRCSPPFCVTNSTRGNSTYEPYLAVHHILLAHSSAARLYRMKYKDNQHGFIGISVYAFGCIPQTNTEKDRVASQRVRDFFLGWIVEPLVYGNYPISMRTNAGARIPTFTDRESELVKGSYDFIGVIHYININVTDNPDILNNKLRDINADMAAKLIYGQDLFSEEEYPVTPFGLQQELNNFKLLYGNPPVFIYENGQRTTRNSTLQDVSRVKYLHGYIGGVLDALSADKYIRDDFPLDFVFGSATTAYQEDVRLMVETGLDAYRFSISWSRLIPNGRGPINLKGLKYYNNLINELISNGIQPHVTLHNSDLPQALEDEYGGWISRDIVEDFTNYADACFREFGDRVLYWTTVNEPNVFALGGYGQGTIPPRRCSPPFCVTNSTRGNSTYEPYLAVHHILLAHSSAVRLYRIKYRDNQHGYVGISVYTFGRLPQTNTEKDRVANERVRDFLVGWIMEPLVHGDYPISMKTNVGARMPTFTSHESKLVKGSHDFIGVIHYNNVNVTDNSDTLKRKLRDFNADMAATIIYNQDLFSDEEYPVAPWGLQEELDTFKLLYGNPPIFIYENGQRTPTNSSLEDISRVKYLHGYIGGVLKALRNGSNIRGYFVWSFLDVLELLDGYRSSYGLYYVDHDDPELKRYPKLSAKWYSRFLRGQSTSIVGDIELEEDSSLVSVGHLFE >OIW06596 pep chromosome:LupAngTanjil_v1.0:LG08:9859330:9860704:-1 gene:TanjilG_03990 transcript:OIW06596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSKTQILILVLSLFTFSSIIDPSLGSTGGIAIYWGQNNGDGSLTDTCDSNKYEIVLLAFLVQFGGGREVQWNFAGHCGDWSPCTKLEPEIKHCQAKGVKVLLSLGGADVYPYVYGLTSPQDAKNVAKYLYDNFLSDQYGPLGNVTLNGIDFDIEKTELYWDDLARELDTFRQNKYFYLSAAPQCPTEPYIYYLQKAIQTGLFDYIFIQFYNNPQCAYSTSTGTSLLLQSWDKWASLVKSNNSIFLGLPANVTAAGSGYIPPEIVISDVLPHIKETPNYGGVMLWDRYRDKDSDFSGKILPYVPKSNVLLQTVKAVWEGLFECVSGALHSIKASQ >OIW05695 pep chromosome:LupAngTanjil_v1.0:LG08:24440957:24441522:1 gene:TanjilG_23481 transcript:OIW05695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKSIFALFLVFSFLLVTNLSYARQHQGEYWKNIMKDQPMPETIKDLLVQDPQAYTEKYHFIRDFDIRPNVILYHTHVVSKKQKQHPFVKNFEPEFQEIGTRV >OIW07044 pep chromosome:LupAngTanjil_v1.0:LG08:6036939:6038412:1 gene:TanjilG_02678 transcript:OIW07044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSFNFKDKYTFDQRLEESRYIVAKYPDRVRVIVERYAKSDLPELERKKYLGKLTLPPGKALFVFVKNTLPRNASMMDSVHKSFRDEDGFLYMHYTTENTFGYVHNVKY >OIW07168 pep chromosome:LupAngTanjil_v1.0:LG08:4624886:4626646:1 gene:TanjilG_10141 transcript:OIW07168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITVSNEFLSQTLQFRIHLKLSTHSNLNTVVTCTTPLLNEGSTSNRRNNNKGYVRVFSSETRLQHFQNYDFRDPHLMKSLNRSCKVGKYNEALYFLHHMVTKGYKPDVIMCTNMIKGLFNSKKIEKALRVMEILEKHGEPDIVAYNAVISGLCKADRFDEANKVLERMKNRGFSADVVTYNILIGNLCGRRKLDLALKVMDQMLKDKCSPTEITYTILIEATIIQCRIDEAVNLLDEMLSRGLQPDMYTYNAIVRGMCKQGLVDRAFELVNNISTTGYAYAPNVISYNILLRGFLNEFRWEAGERLMSDMLIRGCDPNVATYSIWITFLCRDGRVEEAVNILKVMKEKGLTPDAYSYDPLITAFCKERKVDMAIEFLDTMISDGCLPDIVNYNTILGSLCKNRKADEALDIFEKLWEVGCPPNASSYNTMLCALWSSGEKIRALEIVLEMLSNGIDPDRITYNSLISCLCRDAMVDEAIDLLKDMEKSKCKPNVITYNIVLLGLCKVHRIIDAIEILISMVSKAYRPNETTYTLLVEGIGFAGWRNDAMELANSLVSIDAISENSFKRLNKIFPMLSVYKELSLSD >OIW06876 pep chromosome:LupAngTanjil_v1.0:LG08:7467083:7469503:1 gene:TanjilG_19525 transcript:OIW06876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKLGLPLLLPNPPQPSPTKPFNQNHSTTTTTTSTSTSTTSSSITLTPFLQHLFFDLNSTFPDSIDAVPLSNRRKLHRLSPLAHRTLQDLLHPSFDSTRLHEILHHLFKQRTSSAGFSSSLYLDIIGIIRGLGFNKKIELALNVIDWIRNSEEFSVSLLNGSVIAVVINILGKAGQVSTAASMLQSLESDGVEVDVYCYTSLITAYANNKRYREALKVFDKMKQVGCAPTLITYNAILNVYGKMGMPWIKIIDLVQDMKTHALAPDLCTYNTLISCCRSGSLYEEAFEFFQEIKLAGFTPDMVTYNALLDVYGKSRRPKEAMEVLRQMEGNGFPPSIVTYNSLISAYTRGGLLEEALELKTQMIEKGIKPDVYTYTTLLSGFVKAGKDEFAVKVFEEMRAAGCKPNICTFNSLIKMYGDRGKFAEMEKVFTEIKLCKCSPDIVTWNTLLAVFGQNGLDSEVSGVFKEMKRAGFVPERDTFNTLISAYSRCGSFDQAMAVYERMLEAGVTPDLSTYNAVLAALARGGLWKQSEEVLAEMMAGRCKPNEATFASLLHAYANGKEIERMNALAENIYSGRIEAHAVLLKTLVLVNSKVNLLTETERSFLELRRRGILPDIATLNAMLSIYGRKKMVAKTEEILNFMYQSGFTLSLSTYNSLMHMYSRTEHFHKSEEILREILEKGIKPDVISYNIVIYAYCRNGRMSEAKRIFREMKDPAPTPDVVTYNTFIATYAADSMLVEAINVIRCMIKQGCKPNQHTYNSIVDWYCKVNLQGEANNFVQKLGNLDPHISEQEKNRLLERIAKK >OIW06541 pep chromosome:LupAngTanjil_v1.0:LG08:11056772:11063059:-1 gene:TanjilG_29962 transcript:OIW06541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGRVQLKQIENKTSRQVTFSKRRSGLRKKAHEISVLCDAQVAFIVFNNKGKLFEFSSESSMKIILERYERHGHEAQIDGANIESQGNWSLDCFKLNNKVEVLERNLRNYVGHDLDPLNLRELQSLEQQLDMALKRIRTKKNQVINESISELQKKARLLHDQNNNVANKIKEQEKIVGENQQCWPQTLYPNSSTFNLCSPQRLVPSLTLGL >OIW07372 pep chromosome:LupAngTanjil_v1.0:LG08:352194:353901:-1 gene:TanjilG_10207 transcript:OIW07372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVNNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNTPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDALMKILTERGYSFTTSAEREIVRDVKEKLAYIALDYEQELATAKTSSSVEKSYELPDGQVITIGDERFRCPEVLFQPSMIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEISALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPSIVHRKCF >OIW06897 pep chromosome:LupAngTanjil_v1.0:LG08:7607897:7611643:1 gene:TanjilG_19546 transcript:OIW06897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVDEEISEEEPKEEEVGTTSFSCGECSGHRKVVIGYALTSKKKESFLQPKLITLARNKGIYFVAIDINKPLLEQGPFEIVLHKLSGEEWREIIEGQKVEAGPTSKVGIPQQLVISKEKNPSDIPYEVTKAGMKLPLATCDCHAFVDVH >OIW05544 pep chromosome:LupAngTanjil_v1.0:LG08:25425263:25426312:-1 gene:TanjilG_23330 transcript:OIW05544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSFFTIFIFIFIFLFASPLVHAWRPWPPSSNINNNNNNNNTSSHYPFSDSKKYEGSSEFVQLRYHMGPVLTSNITVHIIWYGTWNRNQKKIIRDFVNSVSDTTVPHPSISAWWRTVQLYTDQTGGNISKSVILGEEKNDRLYSHGKSLTRLSLQGVIKSAITASTRPLPINPRSGLYLLLTADDVYVQDFCTSVCGFHYFTFPSLVGYTLPYAWVGNSGKLCPGYCAYPFAMPVYLPPSNRKALKSPNGDVGVDGMISVIAHEMAELASNPLANAWYAGQDPTFPVEIADLCEGIYGTGGGGSYTGQLLDAHDGATYNINGIRRKFLVQWVWSHVLNYCTGPNALDH >OIW06393 pep chromosome:LupAngTanjil_v1.0:LG08:13578881:13582849:1 gene:TanjilG_13579 transcript:OIW06393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLYQWIMRQKEEGSKVAAVDVLNYIQNELDYCGEEPSMSPRAPLQQQQSQPVMHVPTSGFLVTFGSSGQPIAGQGLCSEHCDNQPKNSVFSNALSSPVRRSLQQAGSYSSGLGNRNTEPGFLQQQSRDSVTFSSNDSAMDTLAN >OIW06780 pep chromosome:LupAngTanjil_v1.0:LG08:8733262:8735155:1 gene:TanjilG_11505 transcript:OIW06780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDVLGCFNHRAQKLLDIHLASGFRKYLFWLKGKLHGDHTVLVQEGRDLVTYALINAIAIRKILKKYDKIHYSKQGQLFKSQVQTMHKEILQSPWLIELMALYLNLRRTNSESMKAPTLFDGCSLTFKDGKPSLTCELFDSIKIDIDLTCSVCLDTVFDPVSLTCGHIFCYSCACLAASTSIVDGLKAADPKEKCPLCREEGVYEGAVRLEELNILLARNCHEYWEQRLRTERVERIKQAKEHWESQCRAFMGV >OIW05819 pep chromosome:LupAngTanjil_v1.0:LG08:23496012:23500068:-1 gene:TanjilG_23605 transcript:OIW05819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASANALSSASILRSPKQQSLSRKVNQSGNGRVNYRQQNNRFCVKASAKDIAFDQRSRAALQAGIDKLADAVGLTLGPRGRNVVLDEFGNPRVVNDGVTIARAIELPDPMENAGAALIREVASKTNDSAGDGTTTASVLAREIIKLGLLNVTSGANPVSIKKGIDKTVQGLVAELEKKARPVKGGDDIKAVATISAGNDELIGKMIADAIDKVGPDGVLSIESSSSFETTVEVEEGMEIDRGYISPQFVTNPEKSIVEFENARVLITDQKISAIKDIIPLLEKTTQLRAPLLIIAEDITGEALATLVVNKLRGILNVAAIKAPGFGERRKALLQDIAILTGAEFQASDLGLLVENTSVEQLGFARKLTISKDSTTIIADAASKDELQARVAQLKKELSETDSVYDSEKLAERIAKLSGGVAVIKVGAATETELEDRKLRIEDAKNATFAAIEEGIVPGGGTALVHLSAYVPAIKETIEDPEERLGADIVQKALVAPASLIAQNAGIEGEVVVEKIRNGEWEFGYNAMTDKHVYDLNL >OIW06454 pep chromosome:LupAngTanjil_v1.0:LG08:11808671:11809546:-1 gene:TanjilG_05225 transcript:OIW06454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESFQSFYFDTEISAEIFIDQIMKLHASISKLESLRISEEVKSLLGNLAKLCTLLPSTIDIKAFPQEVLSIRESLNNFVSQAEGLLELEFSTLISHKPNPLKYLTEYSYYAHYVRITSIESKILKENGIGNAKKVAFVGSGAMPLSSILMATNHMESTHFDNFDIDENANEVARRIVASDAALEKRMKFETQDIMEVKEKLGQYDCIILAALVGMNREDKVKILGHIRMYMKEGGILIVRSAKGARTFIYPYVEDHDFVNFQLLTTFQPRHALVHSVLRKKPKAQHQKSHM >OIW06292 pep chromosome:LupAngTanjil_v1.0:LG08:15753759:15754154:1 gene:TanjilG_17666 transcript:OIW06292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDSVLLALFLPCIGISAVFIVYMCLLWYVTTHHPAHTSQPAKPVTEIGLSASELEKLPRITGKDLIMGSECAVCLDEIENEQPARLVPGCNHGFHLECADTWLSKHPVCPICRTKLDPQIFDSPENENPC >OIW06256 pep chromosome:LupAngTanjil_v1.0:LG08:17344130:17349365:1 gene:TanjilG_19935 transcript:OIW06256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLPPTNHVAPGEADIRLVEINLAPINAQDRFEINVDIIQQMEVLDSEPSGNWTGWEARALDNPHAVRTGGSLIELYRLRDELHEGGVQYDAFLRSGNQPSHRVGEEGGIPGSSAWRWTLSLGKGRRDLSTADAVHLSGEGKGDRTSFSRLVRTYGSLGRSSCVKGIALNLENENFEIVVFGSDTAIKEGDLVKCTGSILDVPAGNSLLGRVIDTLGVLINGRRALSDHERRRVEVKALGIMEPNASDPTPLQFLAPYSGCATGEYFRNNGMHTLIIYDDLSKLVVAYRQMSLLLPQPPGREAFPGDVFYLHPHLLERAAKRSDQTCAGSFTALPVIEIEVGDVSAYIPTNVISITDGQICPEKKLFYRVIRPTINTVLSVSRVGSTTQLKAMKQVCSSLKLELAQYREVAAFAQFGSNLDAAIQALLNRGARMTEVLKQPQYAPLPIEKEILVIYAVLNGFCDRMPLDKIGQYERAILTTLKPKLQQSLKGGLTSERKIELDAFLKEKALTII >OIW06682 pep chromosome:LupAngTanjil_v1.0:LG08:10457674:10460870:1 gene:TanjilG_04076 transcript:OIW06682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQSSLFSSGSQLAPFVISSGLLRLSWNAIISLETVIDSKQGVKLSYKVHSVPFHGKDLKIIVFETQDHDVKVDLISFNDIKKKKFIDFEFLCTERNPVFSVNKDVFSLLHENHQILVQLKDEITSSTQLIVTGSGLAGSVASLFTIYLLDTNGSGKNRPLCITFGSPLIGDKKLQESISRSSTWNSCFLNVVSHNDPLPRLFITNKTTSYMPFGTFLLVSDEGSTSSENSEFILELLVALSSTNVQNQGFQSAEYGNIVESLFRKVICKNLTSQAENINLSDSLLASISLQLLALGLAPLIQESNISKKLKTLEVKFIMDKRTLFDPSRKLNVLKKDMAQLEWYKKKTKNQGIGYYDSFRNMYSHFDLDVIGFQKNLTKYWEKMVEEAEMKPQKEGSQFRTRWLYAGTNYRRMVEPLVIAEYYRDKGQDYVNKKRSKHLKKLENWLNEGNERTRDSLNKTNKHNVESILTLDSCFWAHVEEAIIACKEFKELNNEKAKNKLDEFEKYVYNLLKNYAVSPEIFLSGSSYIKWWNEYKAIKGSSYDSTLSSFMSKSENYKEYAEGTFDFL >OIW07259 pep chromosome:LupAngTanjil_v1.0:LG08:2706411:2711058:-1 gene:TanjilG_08374 transcript:OIW07259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHVIGGKFKLGRKIGAGSFGELYLGVNVQSGDEVAVKLEPTKTKHPQLHYESKLYMLLQGGTGIPHLKWFGVEGDYNVMVIDLLGPSLEDLFNYCNRKLSLKTVLMLADQLINRVEYMHSRGFLHRDIKPDNFLMGLGRKANQVYAIDYGLAKKYRDLQTHKHIPYRENKNLTGTARYASVNTHLGVEQSRRDDLESLGYVLMYFLRGSLPWQGMKGGTKKQKYDKISEKKMLTPIEVLCKSYPLEFTTYFHYCRSLRFEDKPDYSYLKRLFRDLFIREGYQFDYVFDWTILKYPQIGSSSRARPSGKPAINLGLSGERVERPSGVPEVRDRFSGALEQFARRNRPNSSGLGLHGENSRHRSSDDVPSSKDVQADSERTRSSSRNGSTSKRPVVSSSRPSTSGEPNESRSSRLLSSSGRLSTTQRIQAGLESKTPLTQRIQAGLESKTSLTQRIQAGLESKTSLTRASGSRGGRDDTFRSFELLSIGTGKRK >OIW06567 pep chromosome:LupAngTanjil_v1.0:LG08:9632781:9633377:1 gene:TanjilG_03961 transcript:OIW06567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSATLTHHHAKVVPKQCNNFPSRRYLSSRRTPSFSSSCSSFSSLESFYFHDDPLLSPVTPLRFSGVPFSWEHLPGIPKNHNSKKNKDSSLKTLPLPPPTYSSKKLSHEETRVMKKNYIQTSVQRDPFFAAMVECSKDGNHEEASSSLKSGAKVSRSVSDRLGFISLYSSCKRTCAVSESLVYLPSSRRCNYEVSYR >OIW06394 pep chromosome:LupAngTanjil_v1.0:LG08:13609999:13611374:1 gene:TanjilG_13580 transcript:OIW06394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLGVEGGGFFSSSASGYSKGLTILLLGQRSDDKSIRVLPWNQYLLIDRESESQLQLACTKNRLSRGCASFVCFGRASAGLDTPSHLKVGPAHQHDVIPRPLVSNKGNDSSPHADDENRKVTLKSSLKKPQHNKPAPVDAISEHEETGGKGTDVPQTEKRKVQWTDDCGSELVKIREFEPRPFE >OIW06213 pep chromosome:LupAngTanjil_v1.0:LG08:18673132:18673893:1 gene:TanjilG_03838 transcript:OIW06213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRLTNAASRILGGNGVVSRSVASSLRLRSGMGLPVGKHIVPDKPLPASDELMWDNGTPYPEPCIDRIADTVGKINLLTFILPRDDNVIEPVSTHPSPTESDGKTALFEFGIGTGDFAQLEFTPTCL >OIW07153 pep chromosome:LupAngTanjil_v1.0:LG08:4793890:4795595:-1 gene:TanjilG_10126 transcript:OIW07153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQGGGSETEVTWEDQQNINKFGRLNNRLHDLEDEIKFSKQRGSGGEEVKLGEMVKESGFCVRHDEEMFVEETNDNLEDASNELIITDEEVVRFQIGEVFAHVPKDEVEDRIEQMKEATSQKLEKLEEEKESVLAQMSELKKILYGKFKDSINLEED >OIW05774 pep chromosome:LupAngTanjil_v1.0:LG08:23893865:23894632:1 gene:TanjilG_23560 transcript:OIW05774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKKLTFTPFPLKSIVFHLFLLSIAISNVKTDKVLTFFMHNNLGGLSPSGRIVAGIIANSQTSNIPFSKPNNRVFPIKGSVPLVDISIDIYPGSPTNTAIISNIDKNKVVIDHSKTLPYVIQNQLPLGATLGNILFGRITVIDDEITQGREFGSEVIGKAQGFHLGSSLDGNSQTMAFTTIFGNEEHEEEDAISFFGVHHTVELAHESFIAVVGGTGKYENARGYAKLETLHLSDDQHKTNYGVETLLQITVYLN >OIW06602 pep chromosome:LupAngTanjil_v1.0:LG08:9892596:9896107:-1 gene:TanjilG_03996 transcript:OIW06602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDLELKAKEAFVDDHYDLAVELLTQAIQLSPNNAHLYADRAQANIKLQNLTEAVADANKAIELNPSLSKAYFRKGTACLKLEEYQTAKAAFEIGASLAPGESRFTNLIKECDELIAEESGAVPVPENTTSHDVSTEDVQPEKDVPELQSVTVAKPKYRHEFYQKPDEVVVTIFAKGIPRNSISVDFGEQILSVSINVPGEDIYTFQPRLFGKIIPAKCRFEVLSTKIEIRLAKVELIHWTSLEFSGGVIAPQRANSSSVAGSQRPTYPSSKPKRVDWDKLEAQVKKEEKEEKLDGDAALNKFFREIYQDADEDTRKAMKKSFVESNGTVLSTNWKEVGSKKVEGSPPDGMELKKWEH >OIW05641 pep chromosome:LupAngTanjil_v1.0:LG08:24771774:24776313:-1 gene:TanjilG_23427 transcript:OIW05641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNWRCSCVMVIIFVLLLLPFLASPASIIKNLPGYNGVLPFKLETGYIGVGEGEEVQIFHLFVESQRNPNIDPVLLWFVGGPGCSGFSAFFFENGPLRMDSNYSGDIPKLELNPYGWTQRLNMIYIDMPVGTGFSYSETQQGYYSNDTQWVEHTYSFLQKWFIDHPKFGSNPLYIGGGSYSGLVTGPLVQKVYEGYIARHKPLLNIKGYVLASPAVDTYHDNNMKVLYAYQMSLIPEYLYESMKENCNGDFVNIDPENTKCVSDYEAYSESYYHILVNTWANDENVRKALHIREGTKEEFMRCNKTLAYTTDRLNVVEYYRNLTYANLEALVYTSDLDMAIPHLSTQHWIKSLNMSLHDKWRAWFVDGQVAGVLDMLLRHLSPRKFIK >OIW07112 pep chromosome:LupAngTanjil_v1.0:LG08:5284764:5291964:-1 gene:TanjilG_02746 transcript:OIW07112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGNKFSSDQVIAEMLEMGFEHSSIVEAIKVVGPSITSVVDHILNGASVGSRTNCEASSSTSTAINSKIHANNGEVLKKRTFRSSGQVRQSRILDHFQPTNDKVKESKKSHVAVDAVRNGEEHKEPFSQVVVDPCVMSGSVVVDSEDDLDIAYDWEKKAHIILQKHFGFSSLKSFQKKALNAWFAHKDCLVLAATGSGKSLCFQLPALLTGKVVVVISPLISLMHDQCLKLARHGISACFLGSGQPDNTVEQKAMRGMYSIIYVCPETILRLIIPLQELAESRGIALFAIDEVHCVSKWGHDFRPDYRRLSMLREKFNTSKLKSLKFDIPLMALTATATKKVREDILKSLCLSKDTNVVLTSFFRSNLRFTVKHSRKSLASYDKDFHELIEIYGRKQYTDENKRDIMSKDSDNVSNSDTDGDSPYDKDDNQDDYADRDINVADSGTRENIRKGKELSVEFLENDIDDFQSVDDWDVTCGEFCAQPPPNEWESSEIIDPPKKPGGRLRILKEPVQKGPTIIYVPTRKETVKIANYLCKFGVKAAAYNAGVVVATIAFGMGIDKPNVRRIIHYGWPQSLEAYYQEAGRAGRDGKLADCILYTNLARIPSLLPSSRSEDQTKQAYIMLSDCFRYGMNTSCCRAKILVEYFGEDFGCQKCQLCDVCVDGPPESQNLKEEASILLQTIAAEYARSYSMDDPYDDSIYFDSENRRFGERSSLKMFVGKINEQSPKFLTTAILWWRGLARILEVKGYIREGDEKTHVLIKYPEPTKLGLEFVKSVTEQDFYVYPEADMLLAKETANKPYSSFSEWKKGWADPEIRRQRLEQRQIKKTTKFRKPRKKRKRNADKVQPDLRTSRELLEALAGARATMNQALEFAVDFRRIQFQIITSFPDEINVLHLDNSKQTPTNRDGGLV >OIW06092 pep chromosome:LupAngTanjil_v1.0:LG08:20669661:20670224:1 gene:TanjilG_29848 transcript:OIW06092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPREKPSDLTGSNPTQKEIRYRGVRKRPWGRYAAEIRDPGKKTRVWLGTFDTAEEAARAYDKAAREFRGAKAKINFPTASELINLSARSPCQSSTVESSSPPPLDITVSPHSLEGSFVFPVTRPVLFFDVFARTETRVFDRPVPDIIHGFCGGANSDSVSSSVVDRVPHRRMLDLDLNFPPPPEVA >OIW06377 pep chromosome:LupAngTanjil_v1.0:LG08:14926343:14926672:1 gene:TanjilG_15022 transcript:OIW06377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPQTETKASIEFKAGVKDYKLTYYTPDYKTKDIDILAAFKVTPKPGVPPKEVGVAVAAESSTDTWTIVWNDGPTSLDRYKGQFYHIKLVVGEENQFIVYVAFPLDLFE >OIW06202 pep chromosome:LupAngTanjil_v1.0:LG08:19044335:19049772:1 gene:TanjilG_23082 transcript:OIW06202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDGRQMGLHYVDNGFPYAVNESFTGFYPHVPLNYAYAGSMPDQESVYWSMNMNPYKFGLTGPGSTSYYGCYEVNGNLPRMEINRAEWEYPSVMITEEPASTESPPRRDGVTNMQSLQTIPEECSPNNHESNNSQELLDLGEAIGTESRGLSQELIDMLPTSKYKFGSLFKRKSSGKRCVICQMTYRRGDQQIKLPCNHLYHGECITKWLSINKKCPVCNVEVFGEEPTH >OIW05582 pep chromosome:LupAngTanjil_v1.0:LG08:25182863:25187726:-1 gene:TanjilG_23368 transcript:OIW05582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRLQTYAGLSLIATLAVTYHAFNSRGQFYPAMVYLATSKISLVLVLNMGLVIMCVLWQLTKLIFLGSLREAEIERLNEQSWREVVEILFAITIFRQDFSVSFLAMVTTLLLIKALHWLAQKRLEYIETTPSVTKLSHVRIVSFMGFLFLLDIIFLYSSVKHLILTWQPSVSLFFAFEYVILATTTASIFVKYIFYVSDMLMDGQWEKKPVYTFYLELIKDLLHLSMYLCFFLVIFINYGVPLHLIRELYETFRNFKIRVADYLRYRKITSNMNDRFPDATPDELNASDATCIICREEMTTAKKLICGHLFHVHCLRSWLERQHTCPTCRAMVVPAENGTTSAGGLQASQSDAHRHGTGAGSTAQAEVGNGVATDNLNRHQARLQAAAAAASMYEKSYVYPSATSLARSQGYTQYPPVQRPVPESSSAELNREIASNSKQAQTHYVIPDGPMNVSSPPMGNFDSLVEAQRKLLQLQIEILQNQLQLLQKTNVDKSVDEGISVSDSRGKGIASSFVSNHEEI >OIW06507 pep chromosome:LupAngTanjil_v1.0:LG08:11295389:11296501:-1 gene:TanjilG_26696 transcript:OIW06507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLSFAEFLFGLCSSMTTRLEERAKKRVEEFANSIVNDVKMAGDSVKNLVKDKVNVVGDSFTNLVNEGKYIGESSKSLIKNQVNAVGDSFQNVINEGTIVGDSCKNLIKDQVNVVGDSFKSLVIEGKVVGDSFKNLLSEGDIIGDSYKNLVKDQVNVVGDSFKNLVNEGKVVGDSYTNFVKIGVNVVGGSFKKLVKKEEEIERDSSDNLVNNEIKVEGDSSENLVNKEIKVEGDPSENLVKNEGEVLGDSYMNFIKNGVDVVGDSFKSFVKNEDKVEGDLSESLVKNEGKVLGDSYMNLIKNGVNVVGDSFKNLVKNEEEVEGDSSENLVQNEVKVVGDSYKNFVKSEMNIMGDSFTKGWNKFTNIKKGL >OIW05706 pep chromosome:LupAngTanjil_v1.0:LG08:24367334:24371899:1 gene:TanjilG_23492 transcript:OIW05706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDPFFLKGKEFGYWTSPQAQVEEGPPSLDGGTRSSISEDMLNNFSELINFDNYAGWCTSPLMNDQSLTNGLSSFASAPYPPLSGFNLVEQNNSPFFMTEDSGNSNTMENSSSYGEKVVFQPMDTQLGFSDYANDTSNLDSNQNFNGSFQQLNTLDVDNYITSKPSGLSLDERMLRALSFFKESAGEGILAQVWVPKKHGDELILTTSEQPYLLDQMLAGYREVSRTFTFSAERKPGSFPGLPGRVFLSQVPEWTSHIGYYNKSEYMRVEDAINHEVRGSIALPISDLQSELPCCAVLELVTTKEKQDFDRELEIVSHALQLVNLRTPMPPRVLPQCLSNNKRAALTEITDVLRAVCHAHKLPLALTWIPCCYSEGMRDGTGRVRIKEGHASSGEKCILCIEESACYINDRMVGGFVHACVEHYLEEGQGIAGKALQSNLPFFYPDVKVCDIGEYPLVHHARKYNLNAAVAIRLRSIYTNDDDYILEFFLPVDMTGSSEQQLLLDNLSGTMQRICRNLRTISDAELSGIEGPQVGFENEKVSGFFSLSRENSHSTMLNGAHDSVQKMSLKASNLRNNGIGAVHNQAMNRSRRQAEKKRSTVEKNVSLSVLQQYFSGSLKDAAKSIGVCPTTLKRICRQHGISRWPSRKINKVNRSLQKIQIVLDSVQGVEGGLKFDPSTGGFVAGGSIIQAIDAHKGLMFPEKWTVKDPESLTKGAVSVLPASRSEDESLVIKLEEEDVSLEGNQLLHSRSVLISNSCDGELKKDNAFSFDCNDYSKSVTVDNESYRTTCPWTKSQDCPDQINPGSFLEKDSGSLNKSSHDIECHNSSSLVADELEIGGAVEHNHPTLSSMTDSSSGSGSTMHGSSSSSQISENQKQSKRKSTCVDSESKIIVKATYREDTIRFKFDPSIGCSQLYAEVATRFKLQNGSFQLKYLDDEKEWVMLVNDSDLQECVEIMGDIDTRSVKFIVRDMPCVVSNHGSNNCLLAEPT >OIW06254 pep chromosome:LupAngTanjil_v1.0:LG08:17907259:17908437:-1 gene:TanjilG_23311 transcript:OIW06254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLMASTTLTLVLALISLSLSSQISANNYIYSSPPPPYYYHSPPPPIHSPPPPYKYSSPPPPHKKPYKYSSPPPPVYKYKSPPPPVHSPPPPYKYLSPPPPVHSPPPPYYYKSPPPPKKEYKYPSPPPPVYKYKSPPPPVHSPPPPYKYSSPPPPVHSPPPPYYYKSPPPPKKEYKYPSPPPPVYKYKSPPPPVHSPPPPYKYSSPPPPVHSPPPPYYYKSPPPPKKEYKYPSPPPPVYKYQSPPPPVHSPPPPYKYPSPPPPVHSPPPPYYYKSPPPPKKEYKYPSPPPPIYKYKSPPPPVHSPPPPYKYSSPPPPVHSRPPPYYYKSPSPPKKEYKYSSPPPPIYKYKSPPPPVHSPPPPHYVYASPPPPVHSPPPPHYIYSSPPPPHY >OIW07242 pep chromosome:LupAngTanjil_v1.0:LG08:3049151:3050508:-1 gene:TanjilG_08357 transcript:OIW07242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPMKIQPIDIDTQKLKDLVVVRNDAVKPVLKSRLRRLFVFDRQFQKTTEKTISGAVVETPQSNKDVTAGSTIEFEPSSVCLAEMVRSFIEESNEKQQHTAAIKCGRNRCNCFKGNGNDSSDEELDIFGIGFDESVSSSSFGDASDTLKSFIPCASAVERNLLADTSKIVEKNSKVIKRKDELRKIVTESLLSLGYDSSICKSKWDKTLTYLAGEYEYIDVIVEGERLIIDIDFRSEFEIARSTVTYKAILQSLPCIFVGKSDRLCQIVTAVSEAAKQNLKKKGMHVPSWRKAEYMMAKWLSSSCVRVSPLPLSSSSSTVDDTAEKLNGVSAAESDCGQLELIFGEKITLPEAVSGEKSLPIWKPPAVKVKSVERRVKVVTGLASLLKDKP >OIW06720 pep chromosome:LupAngTanjil_v1.0:LG08:9260743:9263333:1 gene:TanjilG_11445 transcript:OIW06720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERRSSERCLDSQLWHACAGAMVQMPPLNTKVFYFPQGHAEHAHGKVDFGQTRVPPLIPCRVSAMKFMADPDTDEVFVKMRLNPLRENELNFEDDCFLGKNGVVSQDKPASFAKTLTQSDANNGGGFSVPRYCAETIFPRLDYSAEPPVQTIIAKDVHGQCWKFRHIYRGTPRRHLLTTGWSNFVNQKKLVAGDSIVFLRAENGDLCVGIRRAKKGGIGGGTELSSCWNNNHAAPPLFGGGSGFLCGNEKNNSLLMRKGSHEFIGRVEAESVVEAVTCAVNGKPFEVVYYPRASTPEFCVKVSSVRAAMQIQWCSGMRFKMPFETEDSSRISWFMGTISSVQVSDPIHWPDSPWRLLQVVWDEPDLLQNVRCVNPWLVELVTNMPTFHLSPFSPPRKKQKLLQDPEFHLNNQLPMPSFSSNLLNHHSNSLHNIQDHSSSSIQGARHAQFGLTPSVFPLNNNKQLQQEMHLFGFQRLNHAEKPVRPPCGIYKSSTKNNVDISCLLTIGNPGQSFKESDEAKAPHILLFGKLIHTEQKSSNSNSAGTNGNSVSDSTSQKTSNASDGHGSSALHQTSPIENSSNEGSPWYKDQHKTDLATEKVNILCMAL >OIW06044 pep chromosome:LupAngTanjil_v1.0:LG08:22116359:22116625:-1 gene:TanjilG_11731 transcript:OIW06044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVSAIRAWSVAASVGVVEALKDQGICRWNYALRSAQQHVKNHVGSFSQGKKISSSSVFSKRLKDEKAKKSEESLRTVMYLSCWGPN >OIW06684 pep chromosome:LupAngTanjil_v1.0:LG08:10473689:10475380:-1 gene:TanjilG_04078 transcript:OIW06684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIQQTLKLLVHRKNTHNKKSDKLPQPITPRFKWKQIFFHHTKSQQQPPSKPNNKITEKPPEEFLCPITKTLMSDPVIVSSGHSFDRITVQTCQNLNFTPQLLDGTTPDFSNVIPNLALKSAITKWCINSNNTLVAPDSTTTENIIHAIISKQSDQNQNVPTQVATVSEKDLILRMEENPNFNFNRAETQIPNRPALFYSTSDESIATNASASASTPPLQFSIKPSCYYSYSSNSSSEIEPTTIPELEQIISNLKSPQIFIIEEGLISLRKITRTREEIRVSLCTSQLLSVLKSLIVSKYTNVQVNALASVVNLSLEKLNKVKIVRSGIVPPLIEVLRLGSSESQELASGALFSLAIEHDNKTAIGVLGGLQPLLHALRSESERTRHDSALALYHLSMVQSNMSKMVKFGSVPVLMMMVESGHMISRVLLILCNLGSGSDGRAAMLDAGVVECLVGLLGGSELGIGSTRESCVSVMYALSHGGLRFKALAKAAGVVEVLQKVEKVGSERAREKVRRILEMMRGKEEEEEDVDWEDLLDSGLGCRTRGRHCSELDDSNANSSEF >OIW06172 pep chromosome:LupAngTanjil_v1.0:LG08:19709591:19720382:-1 gene:TanjilG_01799 transcript:OIW06172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMYGDPHNHQHHPQQQQQPPQHFQHHQQPGPEFHRGPPPPPPQMMRQPSASSINIAPEFHHPAPGGHPPPHYDAHGDSHGAKRIRKLAQRRAVDYTSTVVRYMQIRMCQRDSRDRTVLQPTPGAAIDMLPAVGYSDNPSTSFAAKFVHTSVNKNRCPINRVLWTPTGRRLITGSQTGEFTLWNGQSFNFEMILQAHDQAIRSMVWSHNDNWMVSGDDGGAIKYWQNNMNNVKANKSAHKESVRDLSFCRTDLKFCSCSDDTTVKVWDFARCQEESSLTGHGWDVKSVDWHPTKSLLVSGGKDNLVKLWDAKSGKELCSFHGHKNTVLCVKWNQNGNWVLTASKDQIVKLYDIRAMKELESFRGHRKDVTALAWHPFHEEYFVSGSHDGSIFHWLVGHETPQIEVTGAHDNNVCDLAWHPIGYLLCSGSSDHTTKFWCRNRPGDTARDRFNNGMQGYGEQNLAAGRVAGNFAMPEVPTTPGPFPPGLTRNEGTIPGVGVAMPLLDVPQGEQMQPHPASMGAPPLPPGPHPSLLTANQQRPYQQNPQQIPQHQHQGPPQQMGPLPLPLNLPQLQNPSQSSMVPHPHLPRPPHQMPLGMPGPTSHQMPMPGPMGMQGGMNQMGPPMPQGHYGGMNQMHSGSLPPSGGPPVGVFPGNLPNMQGPPNTGYPQGAFNRPQGGQIPLMQGYNPYQSGNQSGMPPNAQPGAPHSQMPQ >OIW05596 pep chromosome:LupAngTanjil_v1.0:LG08:25105114:25109127:1 gene:TanjilG_23382 transcript:OIW05596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSRLVPKPIHFRRLFSLPQKPYLSNSPINPKFTTIPKFFSTNNNNGNNTKDPFSPNVWKDFRDSEEKFDAFFADDNGETLAAVNDDGTGAAPAAPGKEQQLWLEEKGGLDNEDEDAIFNGIDKESEEKNNVGGNIGIGAEYITPWSMKDEEDKGVDVFNFEEGDDVKEVNDGFNAGDAEESGRKEEIDKLEKEEKELTAVLKGPTRAFGDLITSSGITDEMLDSLIALKDFDGVEGLPPLSVIEDLRYDNNTRKSSRGEMERLKQEEAAKARVRQVDEKGRAYGTGRRKCSVARVWVQPGDGKFRVNDKEFDVYFPMLEHRATLLRPFSETKTLGLWDVNCTVKGGGVSGQVGAIRLGISKAMQSWEPDLRPALRNAGFLTRDARVVERKKPGKAKARKSYQWVKR >OIW07308 pep chromosome:LupAngTanjil_v1.0:LG08:1856078:1863245:1 gene:TanjilG_11942 transcript:OIW07308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNLSGRESLIRLIGKRRRFLPHRNSILSIPLQSSLNLCTDNNSRRIYLGKAVEEDTLQANSNQLEPLGDNEPGNGASPGDEVDCPVCGSKISGGNNEINSHLDVCLSRGTKRKLTQRTLLQLNFSPVSKAKIVANDLVKLDNLSNASPVLAIQEEKEIESNKCEELTESLVISQKMDSTHSGTSSSSLNDMMPDNYKPNIFGVTLDTFIVGRKYADQGEICPGTTISLLRDPQNIKDPNAIKVVSADAACCKSLGFIPGELAQYLSPLIDSYCLRFEGHVTSVPEDSLDVVPIQIMCHRSSDGESEYKDETFKCLWKNAQRVVEFASRSPPSSVKYQLNFCLMLQEVLKNNSHLLTEDEKIHIESFTSLSNDSQRLFIRLYTRKGPWFRMSSISYPEIVDSQQAAKGLAEKGYACFVEEANQLCESDMNDILNTLTVSEIREIWSMTKKPKPINYDYG >OIW06948 pep chromosome:LupAngTanjil_v1.0:LG08:6980410:6981839:1 gene:TanjilG_18336 transcript:OIW06948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSLTILVLLIALHLIAFVLAIGAERRRSEAKVVPDEYDDRTYCVYTSDASTVYGLAAFVLLLLSQATVNAVTRCFCCGKGLVSGYSSTCAVIFFILNWISFLGAEACLLAGSARNAYHTKYQGYFVKHDLYSCATLRKGVFAAGAALTLFSMFASILYYWAHSRADTGGWVKHRGEGLGLTTEHQHYQGHEFDKA >OIW07339 pep chromosome:LupAngTanjil_v1.0:LG08:1264183:1264449:-1 gene:TanjilG_10174 transcript:OIW07339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DIEDHISELPNEITCYIFKFLHLKDVIRTKVLSHKWKDRFIDMSNIVFDPTLININLDDDVSLESTPLPQKYQFIERVEQLLEIFAIN >OIW06743 pep chromosome:LupAngTanjil_v1.0:LG08:9026599:9027928:-1 gene:TanjilG_11468 transcript:OIW06743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSKLTLEIFSKLEHKWLSHFKGTNKTRILSIDGGGTTAIVAGASLIHLEDQIRLQTSDPYAHIADFFDIIAGTGIGAILAAMITAADAFGRPLNSARDAVRLITERNSEMYKVKIAGVFRRQRRFSSRSMENVLKKVFQRKEEDGRFLTLKDTCKPLLIPCFDLNSSAPFVFSRADASESPSFDFELWKVCRATSATPNHFKPFSLTSIDGKTSCSAVDGGLVMNNPAAAAVTHVLHNKRDFPSVNGVEDLVVLSLGNGSTSAKAYNNPKSSTPSVVDIVLDGISETIDQMLGNAFCWNRTDYVRIQAFGLENEGMEEEEVLKERGLESLPFGGKRLLTETNGSRIESFVQNIVATGKTSTPSSPCKESAVTPLVNGR >OIW07178 pep chromosome:LupAngTanjil_v1.0:LG08:4525928:4528569:-1 gene:TanjilG_10151 transcript:OIW07178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPSLHLLPPLYSSHQFPSLSLTSLRVTTPTTSFSLNTISSIKPLTLRFALTDSNSPKSIQPDPQSLLQNIAESFDLPSDYFAKLPRDLRLDLNDAAFDLSNGPILDECGQELGQILLNLSRAWEIADTSTSHSLVSKLPIVEANLSGTAKSALGKRLVSAGRRFQSMGQYGQGEAQKISKAMIAAGKALSDSSTSAELDEQPKEETRTFKFGELQVEITPDKANIGAVIGIAFGFLSWEIAQGIQDIPESSLQYANNNALLLAKSLRVALLSIFYFSTFLSASTVVGLVLLGIQLKSKKS >OIW05981 pep chromosome:LupAngTanjil_v1.0:LG08:21632632:21633612:1 gene:TanjilG_11668 transcript:OIW05981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMDSLLGAPSPATPSLPRPLSSTPFPGREDCWTEDATYTLIHTWGDHYLSLNRGNLRQKHWQEVADAVNHRHASVAGNGNVNKKKNARRTDVQCKNRIDTLKKKYKIEKARVSDSGGSYESPWPFFSRLDDLIGDTFPIKKPSPPANSRCKPSAASKPAPPPAWITSVPVGPRSGTQKRPAALSPAIRDDFISRRNFSAFAAAAAAAAEADSDDSDGIKSSNGTRGRGGREESEKDLEFGYRELANAIERFGEIYERVESAKQRQMVELEKQRMQFAKDLEYQRMQLFMETQLQLHKVKRTKHSSGEALFQMLNLDNMLFNHTYV >OIW07070 pep chromosome:LupAngTanjil_v1.0:LG08:5765000:5766811:-1 gene:TanjilG_02704 transcript:OIW07070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIPIEVSPLLQVASSSDDKHAKGAQQWENTITGVGQRFNNVDEFRKSLRKYAIAHKFAFKYKKNDSHRVTVKCKAEGCPWRIHASRLSTTQLICIKKMDSTHTCDGASRPTGHQANRNWVADMIKEKLKVFPNYKPKDIVNDIREEYGVEINYFQAWRGKEIAKEQLQGSYKEAYGLLPFFCENLMEANPGSLAMYTTKEDSSFHRLFVSFHASLHGFQQGCRPLIFLDSIPLKSKYQGTLLAATAADADDGVFPVAFAIVDTETDDNWHWFLLQLKSVLSTSCPITFVADRENGLKNSITEIFKDSFHGYCLRYLIEQLFRDLNGQFSHEIKRLMIEDLYAAAYASKPEDFQNCMDRIKIISMDAYNWIMQSEPRNWANSFFQGARYNHMASNFGELFYSWASDADELPITHMVDVIRGKIMELIVTRRAESDQWLTRLTPSMEEKLKRETQKTHSLEILSSDGTTVEVRGDTTEMVDLDRWECSCKAWQLTGVPCCHAIVVIAGTGRSVYDYCSRYFTTECYRLTYSEFVNPIPNVDVSAPKDSQLVVAVTPPPTRRPPGRPTTKRYASQDIIKRQLQCSRCKGLGHNKSTCKDKELPLC >OIW06398 pep chromosome:LupAngTanjil_v1.0:LG08:13648483:13649007:-1 gene:TanjilG_13584 transcript:OIW06398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSSKVSYLLPTLFMILISHSPIPASSQSLYESVCKETGQDAGLCLQLLKANPQISSAKNYRDLSKLILDLAITKGTQGQNVLLNLQKTNPSPAIRQCATNDYVGTIGSLKSAIRELPVDLQTAQYDARVAGDGPANCATAITAAKINNPTIFNINKMTSLLCKVAFLALEHVS >OIW06147 pep chromosome:LupAngTanjil_v1.0:LG08:19330583:19334466:1 gene:TanjilG_01774 transcript:OIW06147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHDETRRLSDEYEVSNILGRGGFSVVRKGTKKSSSEKIHVAIKTLRRVGTSSNPFGTPRTKVGGGDGGGEKSIAASIMGFTTLRQVSVSDALLTNEILVMRKIVENVSPHPNVIDLYDVYEDSNGVHLVLELCSGGELFDRIVAQDRYSETEAAAVVRQIAAGLEAIHKVNIIHRDLKPENCLFLDVRKDSPLKIMDFGLSSVEEFTDPVVGLFGSIDYVSPEALSQGKITTKSDMWSLGVILYILLSGYPPFIAQTNRQKQQMIMNGNFSFYEKTWKGITQAAKQLISSLLSVDPSRRPSAQELLNHPWVRGDIAKDDQMDPEIVSRLQTFNARRKLRVAAIASVWSTTMFLRTKKLKSLIGSYDLKEEEIENLRIHFKKICANGDNATLSEFEEVLKAMNMASLIPLAPRIFDLFDNNRDGTVDMREILCGFSSLKNSKGDDALRLCFQMYDTDRSGCITKEEVASMLRALPEHFLPADITEPGKLDEIFDRMDANSDGKVTFDEFKAAMLRDSSLQDVVLSSLRPL >OIW06830 pep chromosome:LupAngTanjil_v1.0:LG08:8270908:8276291:-1 gene:TanjilG_03725 transcript:OIW06830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNLKLFSEVSLSLKFDSDTVTIRFSAFDIERNRIFFLSSHNHIYSSPLSSFHEKGALSKRLFSSDEHGDVVGTVDLENDDHVTAFDYLMEKESLIIGTSEGLLLLYSVETNVTEIVGNVNGGVKCISPSPDGELLAVITGFGNILVMTPDWDLLYEMPLHDEGCDFVSKGPEQHHLSWRGDGKYFSTMSNVQGSDSLHKKIKVWERDSGVLLASSEPKYFSGAVLEWMPSGAKIAAVYDRKAENECPSIALFERNGLERSNFTINEGINAEVKVLKWNCSSDLLAGVVECENYDAIKIWYFSNNHWFLKQEIRYLKQDEVSFIWNPARPLQLICWTLGGQITVYNFVWITAVTESSTALVIDGSNIQVTPLSLFLMPPPMYLFSLKFSSHVREMAVYSKNSKNQLAAFLSNGCVCVVELPSIETWEELEGKEFNVEACHTETVFGSILHLAWLDSHTLLAVSHYGFSHSSDLFQTSPSEGGLRGFYLQEMELKCSEDLVPGLLTCSGWHATVSNRNSLDELVIDIAPNPASKCSAYLQFSGGEIQEYVSKIGNSRGSLEQEHQGFSSTCPWMNVALIGSGGPPKLVFFGLDETGRLHANGAIVCYNCSSFSLYSNLDDQVITHLVFTTKQDLLFIVDVVDILNGELDLKYGNFVQLNSRKREEKENYINIWERGAKIAGVLHGDEAAIILQTTRGNLECTYPRKLVLVSIINALVQKRFRDALLMVRRHRIDFNVVVDYCGWQAFSQSASEFVRQVDNLSYITEFVCSVKNENIIEKLYKNHVSVPCPVDTNAMPVGGLQHFPAGNKVSSILLAIRNALEDHLTESPARELCILTTLARSDPPLLEDALKRIKIIREMELSHADDQRRISYPSAEEALKHLLWLADSDAVYEAALGLYDLHLAAIVALNAQKDPKEFLPFLQELESMPTLLMQYNIDLRLQRFEKALRHIASAGDSYYDVSMTLVKKNPQLFPLALQLFTDPAKRMPFLEAWGDFLSDEKCFEDAATIYLSCFSLDKALKSYRAISNWSGVLRVAGLLNLGKDEVLHLASDLCEELQALGKPGEAAKIALDYCDDVNNGVNLLISARDWEEALRVAFIHRREDLIKAVKSASVECASTLISEYEEGLEKVGKYLARYLAVRKRRLLLAAKLRSEERAASDVDDDAASEASSNFSGMSAYTTGTRRSSAASFSSTATSKARDARRQRKRGKIRPGSADEEMALVDHLKGMSLTVEARHELKSLLVTLMMFGEGETARKLQQMAENFQLSQIAAVRLAEETVSNDIIDEYAHTLEQYTRKVRDDLHKSEAFSWRLKIFLS >OIW06283 pep chromosome:LupAngTanjil_v1.0:LG08:16944141:16944520:-1 gene:TanjilG_19721 transcript:OIW06283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGVVPLTGVVVTSEFGIPRPGRRRPTPGRGLVMAVVPFVTPEAREMLSATPKAPLDEGSIGQGCPDHGHGGAAMSTLLLVVPIELNNVTDG >OIW06212 pep chromosome:LupAngTanjil_v1.0:LG08:18675860:18677697:-1 gene:TanjilG_03837 transcript:OIW06212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLKKELREMLPIIVYKESFSVKDTQCSVCLLEYQADDKLQQIPACGHTFHMSCIDLWLANHSTCPLCRLSLLTCATSSTETSNVQRSQSNEETQVTEFSESRSTRPLEIAVSGEVATNAHCIDVEGQNAVNNQ >OIW07358 pep chromosome:LupAngTanjil_v1.0:LG08:820453:821991:-1 gene:TanjilG_10193 transcript:OIW07358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHSLHLPTLSYYPFSSHSSSRYIKFQTTYRDNVRYLKTLTIIDPKTKPDNLPLPDAVNHVITTVNFFKSKSFTEQDFPRLQSLCPQLFSNFVDPTHISPTFDFLNVDVLASVEQSRALVMLCPKLLLSDVELCLKPTLQFLKQVGVENLNVPTNQNAHLLNTRVEKMRPKMRFLQERGFSYAEAANACKRLPAILGYGVESNLEPKFEYLVNEMQRDLVELKKFPQYFGFSLEKRIVPRHLHLKERGVRIPLNRMLMWGDDKFYAKWK >OIW07039 pep chromosome:LupAngTanjil_v1.0:LG08:6069577:6070934:-1 gene:TanjilG_02673 transcript:OIW07039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKDVVVKMEGTVVKSSFPCYNQFSNVFDFCEVEKSSLGFMELLGVHDYSPHFVDLPQQQQLLTMSVPKVVQTHTTVKECNTEVLNHQPATPNSSSISSASTIEAVNDEHNKTVEQAEEEGEEEEQQKTNKLLKAKKSNQKRQKEPRVAFMTKSEVDHLEDGYRWRKYGQKAVKNSPFPRSYYRCTSASCIVKKQVERFFTDPTVVVTTYEGQHTHSSPVIPRSGLAGAPITPGVSATNYLYQYQQQQFKQQQLLFNTLSSLSFPHNHSTPSKIDFAQERLVCNPGTNAALIRDHGLLQDVVSSHMLKEE >OIW07015 pep chromosome:LupAngTanjil_v1.0:LG08:6367908:6370195:-1 gene:TanjilG_02649 transcript:OIW07015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSNGFVPSRTQGSDRFYCPPAVRKNQKQQQQLQRPLNSETRPGSAEPDTRTGSDDSTLLRPNSVASSSPPTTPDSTNFDRILDSFTPFVHAQISHEHGMKGHRTLPHSNADPSFFLEDLWDSFTESSAYGVEVPLIVTGSDPIQQYYVPYLSAIQLYEDERRLDESSKEAASIDIYSQKLSRLNLREGTTMSSPTYETEVSALASEFPNLKKYRSSDLSPSSWFSVAWYPIYRIPVGETLKSLDASFLTFHTLSTKSRSRNQPYRASSGRKVQGVHRSLNRSLPIFGLASFKYKGSVLSPDGASEWEQVNALLQAASDWLQSLQVKHHDYEYFVSRIFQWR >OIW06159 pep chromosome:LupAngTanjil_v1.0:LG08:19450031:19450477:1 gene:TanjilG_01786 transcript:OIW06159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGAEGDRKEPINEQAVANIYAAMRSELNQIYSKITEMEMEVSEHSLVVNAIQPLDPSRRCYRMIGGVLVERTIKEVLPAVLRNKAGIEEIVARLNEALEKKKKEISEFETKYKIKIRKADAEVKDESGKKEGSAQGVLVGPASGSE >OIW06412 pep chromosome:LupAngTanjil_v1.0:LG08:13359007:13362986:-1 gene:TanjilG_16824 transcript:OIW06412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFASTALEMEEASRTKQEEMVRDFDLWDDPAKSNDILVKLANSAKLVDSLKDLRYKVEEAKLIQQLAERNAIDYGLYKQAYDASLDAGKIQDQYEMCKLLKGPFDVAGACLVIKAGSGGVYSKLWAEKLLNMYLKWAKKHGYEGRIVDRRPYKNEGITSATIEFEFECAYGYLSGEKGVHNLIRGSQNESSSLKACLATVDVIPMFLDSARDQEINSEDLIISSSLIFGEQKRQTELTVCIQHVPTGISVQSSGERSHFANKTKALNRLNAKLLVIAKEQGVASISSIRKDSIVNLLQEETRRYISHPSYKLVHDVKTGIEVPELNSVLDGNIEPLIAAHINTRVAQ >OIW07097 pep chromosome:LupAngTanjil_v1.0:LG08:5435958:5440667:-1 gene:TanjilG_02731 transcript:OIW07097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGDSSWSARLSSASRRYHSALQSRSDMFMGFDENEGDEDVREEFLCPYCSEYFDIVGLCCHIDEEHPMEAKNGVCPVCALRVGVDMVAHITLQHGMSSSNAVPDPLLSSFISPLANELASSQPQFLTETRSSKKSSDDTVSKRNVETPLSVKDKEEKAKRCEFVEGLLLSTILDDNL >OIW07197 pep chromosome:LupAngTanjil_v1.0:LG08:4266830:4268026:1 gene:TanjilG_10170 transcript:OIW07197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSGDRKLFGSPLVTYLDENPQYGANIEASVHKMLSPFRRAYSSTKSYDGKEEGFISTGSDEQSNISSIECESRNMTTGCSEQEGTSCGESSFRLVLTNESCLSCDPIEKDSLIKPSRLIRVFLDWTDSEHQLYDTSFLRDLPEVHKNGFTVKKTRQEAISLFTCLEAFLTEEPLGPDDMWYCPRCKEHRQATKKLDLWKLPEILVFHLKRFSYSRYLKNKLDTFVNFPIHNLDLTKYVKTKAGESYVYDLYAISNHYGGLGGGHYTAYAKLIDDNRWYHFDDSHVSPVTEAEIKSSAAYVLFYQRRSKGQMEGESQVHTGSHGQ >OIW06812 pep chromosome:LupAngTanjil_v1.0:LG08:8102359:8107601:1 gene:TanjilG_03707 transcript:OIW06812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSHKCVCVIAVIFVVVIGIAECRQFQKNELVDEFEGGGLGSGGGFEGGKRGGSGVAFGGGHGGGIGGGGGAGGGAGGGTGGGNGVGIGGGGGAGGGTGGGIGGGRGGGIGGGGGVGGGIGSGHGGGIGGGGGAGSGVGGGLGGGHGGGIGGGGGAGGGAGGGIGGGHGGGIGGGGGVGGGVGGGLRGGRGCGFGGGAGVGGGVGGGIGGGHGGGIGGGGGAGDGLGGGLGGGHGGGIGGGGGLGGGHGGGIGGGGGAGGGAGGGIGGGHGGGIGGGGGAGGGIGGGLGGGHGGGIGGGGGAGGGIGGGLGGGHGGGIGGGGGAGGGIGGGLGGGHGGGIGGGGGAGGGIGGGLGGGHGGGIGGGGGAAGGGGGGAGGGVVGGGIGGGRGGSIGGGGGACGGVGGGIEGAAGGGVGGGLVVA >OIW06509 pep chromosome:LupAngTanjil_v1.0:LG08:11280940:11282306:-1 gene:TanjilG_26698 transcript:OIW06509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPSTYTSSGPYTCYPSSNSNPFFPFLNPENASSSNNNTLLHDPLVHVPYKIPTHYYVHNKPIIQETLTNLAVSDAHAATMPKQDQIISGGGSGAYNHYEFSSLLTKKPAKKDRHSKIYTSQGLRDRRVRLSIGISRKFFDLQDMLGFDKASNTLEWLFNKSKKAIKELARSKNSNIIEGDAKSFSYSSDCEDCNEVVSGIKNEQGIINADHDNLNLQQQGLDSNAVKEMRKLKSAQKEPSCVHTKMNESREKARERARERTSNKMSNTTSNNGRVMQVQDMKKKCIATTENNTETLQQLRSTIQLEDCARSPNKMIQTKPHHHLALSNEAPRDGNFNVIEESIVIRRKLKPSIVSSSHHHHQNLMIPKEASLNNTDYNSFTNLSPNWDNSTNGGSGINGRPTFCTIASMNLSTGLQIFGKSWE >OIW06815 pep chromosome:LupAngTanjil_v1.0:LG08:8183305:8189198:1 gene:TanjilG_03710 transcript:OIW06815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDGDKSRKRTRDSHSDRDRDHKHRTTHRHHHHHRSSDQNLKRDHKSREEREGSRDRVYDRDEREGSKGRNKVRDEEREGSVERRHSSSHHSHKRKDREHSDEDRELEDKKIRVSENKSEGKRERRKFGDKVKKEDDVHIEKEEQDGKQQFNGAQASSSPNGNVSLQNGSASALPAVVLKSMPEAPLSLNPSSPIKVSSITTTNENKGVSITRSHEVTGKSSTDGSSSTAGKTGSLSFDALAKAKKALQMQKVLAEKLKKIPQASCALFMLNKSSTSNSQGSTHLGSKDESYVPPLTAGLASKSATPASSGPVVNMPVFPSAVASTLANPQSSGATDAGVANLPNYEAVRRAQELAARMGFRQDPQFAPLINMFPGQMVTDIGIPQKPTKAPVLRLDAQGREIDEHGNVVNVTKPSSLSTLKVNINKQKKEAFEILKPVLDVDPESNPHFDPRMGINKTKLLRPKKSSLEFVIEGKWSKDAEAIKLKSKFGEAQAREQKAKQAQLAKAKAAPDINPNLIEITTERVIKEKPKDQIPETEWWDMPLLHSGNYVDIVDGTIGEDKVKMDKINFYVEHPRPIEPPAEPAPPPPQPLKLTKKEQKKLRTQRRLAKEKDRQEMIRQGVIEPPKPKVKISNLMKVLGSEATQDPTRVEKEIRSAAAEREQAHIDRNIARKLTPAELREKKERKLFDDANALETLVSLYRINDLSHPKARFRVDVNAQENRLTGCAVICDGVSVVVVEGGNKSIKRYGKLMLKRINWSDFSKETEEAEDSDDDKPANKCVLVWQGNVAKSSFRRFSVHECITEAAARKVFVDAGVPHYWDQAVNFVEDEAV >OIW07406 pep chromosome:LupAngTanjil_v1.0:LG08:3766:9078:1 gene:TanjilG_10241 transcript:OIW07406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEQCKKLSIIYATETGNASDAAEHIARHAERRSCPLNLLSIHQYDPSLLSFEEAVIFVVSTTGQGDTPDSMKIFWKFLLQRNLTTSWLKGVHYAVFGLGDSSYPKYNFVAKKLDKRLMDLGGTPIVERGLGDDQHPSGYEGSLDPWMSSLWRMLYMIKPEFFPNGPDVLILDTVLIDQPKVQIIYHNIDSVESHFSTASDLTRLEIQVGSARSLHPGKSSPDRSRPDCFLKMVKNLPLTRSNHGKDVRHFEFEFVSDAIEYDVGDVLDILPGQDVAAVDAFIRRCNLDPDSFITVKPRELDDCSALGSRVPVKLRTFVELTMDVASASPRRSLRSLAECLGHGTSIFLLQYVMSFFATAEHERERLNYFASPEGRDDLYQYNQKERRTVLEVLEDFPSVEMPFQWLVQLVPPLKTRAFSISSSQSSHHNQVHLTVNVVSWTTPYKRKKKGLCSSWLAALDPEDANFVPAWFHKGSLPKPPPSLPLILVGPGTGCAPFRGFVEERALQSETTSTAPIMFFFGCQNVDGDFLYQDLWLSHAQNNGVLSEAKGGGFYVAFSRDQTQKVYVQHKMKEQSQRIWNLLAEGAAVYIAGSSTKMPTDVTSAFEEIVSKENEVSSDVAVRWIRALERSGKYHIEAWS >OIW06004 pep chromosome:LupAngTanjil_v1.0:LG08:21847992:21852046:-1 gene:TanjilG_11691 transcript:OIW06004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAIGGFWNWNPLLGKSKPSVRRRINSNSGSTGEGGYHFPLKQAFTAASLALTGDTIAQLRDRWSKAKADSKNRSVSDEDTDTTQDILWNQLSDHDWLRALRMTSYGFLFYGPGSYSWYQCLDHFLPKATVQNVMLKVLLNQIVLGPCVIAVVFAWNNLWLKKLPELPGKYKRDALPTLLYGFRFWIPVSVINFCCIHKQCLTFYEVKLLKWINQQSIGLGLRKIKEKCQ >OIW06977 pep chromosome:LupAngTanjil_v1.0:LG08:7258626:7259787:-1 gene:TanjilG_18365 transcript:OIW06977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLSLLPFLCALSLLSFATASTAYPSIPGTNSGEYTLAGDEIINLPPPPRREVYDNGRIFDISHKYVKCQCSVLTLTLLAIFDVDALDLERLIHLALLVDAPRDNNITAEVMKSLDIPKGVCPSCALQNIKY >OIW06286 pep chromosome:LupAngTanjil_v1.0:LG08:17030566:17030922:-1 gene:TanjilG_19724 transcript:OIW06286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLPLMQEDDRVGEATRKGFYLYDDKCKASPELKNYIEKDKSIYGVTIDPKLVKLPEKDIIEMIFFPVVNEACRVLDEGIVIKAVDFDISVVVGIGFPPYKGGIILWADSLGSKYVYS >OIW07396 pep chromosome:LupAngTanjil_v1.0:LG08:104022:108014:-1 gene:TanjilG_10231 transcript:OIW07396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNWELKNCCDYDQKVFIACVAAFTVLILLLWRTFLLTPFKLITVFLHEASHAIACKLTCGQVEGIQVHANEGGVTQTRGGIYWVILPAGYLGSSFWGMALILASTNLLTARIAAGCFIVALIIVLFIAKNWTLRGLCIGFIVFIAVIWVLQEKTTVRILRYVILFIGVMNSLFSVYDIYDDLISRRVNSSDAEKFAEVCPCCNGIGWGVIWGMISFAFLCGSLYLGLVILS >OIW06982 pep chromosome:LupAngTanjil_v1.0:LG08:7292622:7294452:1 gene:TanjilG_18370 transcript:OIW06982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTLLPTATRAANFTLSSPSLPLSVSSPRLPGLILRPNSSPSLPLKYFPSLTHSSSSFNSFIARRALNRSFTVTASSQLSPPFASSNDELEKAKLDQVSKRLEKTASFKNGIMNLLRMSAAILGMHATVGLLVAKALTSSANPYYQGISPGLQSGSRAGRIFGAASANTILSHFLGLVFSLELLRSVTLPPSEATPFPKFA >OIW05560 pep chromosome:LupAngTanjil_v1.0:LG08:25313035:25315927:-1 gene:TanjilG_23346 transcript:OIW05560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMLLIQDHGEKEMIRQSSTISASRLSGNNLPPALTIPNSTSSNSPSWATMSQLNTPDELIMSPNNFVVGSNNTSSSSLPFYHHASGRVSDPIDEFQLQDQLSFLNDGYDPLKNHDLFYHNHSDLYSSPSSGADPNLLPSYGWGGGSLHRSSSSVNNACLGSEDPNSGFGWKPCLYFARGYCKNGTSCRFLHGGGGGGIGDADIAMVGSPNKIEMMDQCHELLRSKTAQHQRLAAASQLMASSFPYSPKCMNMLLEQQQNDSPRGAVTALMMNEELHKFGRSRLERNDFSLISPEMVNPASRQIYLTFPADSTFREEDVSNYFSIYGPVQDVRIPYQQKRMFGFVTFVYPETVKLILSKGNPHFVCDARVLVKPYKEKGKVLEKKQQQQVDRVDFSPCGTPPRLDARDHFDLQLGGRMFCNTQDMLWRRKLEEQADFQQALELQSRRLMGLQLLDITKQHHRALSTGSPMPSLTRSPSMFNQNLGFPLFHSSSETWKDSGSSSDPASSNASVNTSLLKEVIGNGENGYNDGNGKSSSHEECDLQECLDHNLPDSPFASPTKAGGGDCIAAFSNGPIEAIDSDASAASSNSKFGTTTLLPSPSSLDTGSFKSFNCQIPRFSSGHGTIGMFAGTGRPIGI >OIW05800 pep chromosome:LupAngTanjil_v1.0:LG08:23671520:23676652:-1 gene:TanjilG_23586 transcript:OIW05800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSESVAQLQTAEPLLNMDLSSSKTLNPINAQDSTPESHLTVPNEPQITPVNDDVVVGEKRKRDDGDDVSIQDNDGTNTIACIHAHTEEELVPHPEITRDTTSEPAKKVLKIEEKRSVSEDSMVIDDAFVEGSDCYGSINEFSICVGVPFKHTKKKKTMGQGVIIFENAEQILSATKKLDGKMAGGRTLKVTGVIPQSFGKKKSDKENVSVDAETNDDNSKAKNVRDIVTPLAHLPYADQLEQKKSSLIPMLKKLTRNTRKACETGVSLPEWVLKSKEIAGLPCELEGIIASPIVNGYRNKCEFSVGYSMKGKVTVGFMLGDFREGVTAVEEALDCPNVSEIACKYATIFQEFLQHSDLPVWNSSTNTGFWRQLTVREGRTNGNAVGSEAFNGIAEVMLIMQVSTSGFDDAQVAAEFKRLAQAFVAGATSHSPTLPLTTLVVQDHQGISNVAPADAPLHSLPIPKAVGHPEMDEKSAAHTRIHDYISNLQFSISPTAFFQVNTLAAEKLYSLAGDWACLGPDTLLFDICCGTGTISLTLAHRVGKVVGIEMDASAVSDARRNAEINGIKNCEFICSKAEDVIGLLLKEYENVAKEQVDDPNISGSSNVVSKDSSCKEPKIGENESLQYHCSENNNTNNNVQEGSASQEPENGERASYCSENNNTNNDVSEGSASQEPENGEGASHCSENNNTNNDVSEGSASQEPENGEGAFHCSENNNTNNDVSKDSASQEPENVEGASHCSENNNTNNDVSKDSASQEPENGEGAFHCSENNNTNIDISKDSASQEPENVEGTSHCSENNNTNNDVSKDSASQEPENVERASHCLENNTAEIRSDVQKDSSSEKGNTSSPKRFKNVVAIIDSPRAGLHPTVIKALRTHPGLQRLVYISCNPESLVANAIELCTPSPVEIKNGNPDFRGWKNISSAGVARYRSKSMPISEPFKPVKAMAVDLFPHTPHCELVMLFER >OIW06749 pep chromosome:LupAngTanjil_v1.0:LG08:8984454:8986816:-1 gene:TanjilG_11474 transcript:OIW06749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSNVICITNGEDNIDHREGNKSFNFSFPSIISCLREKKIHCVTSYFSDNQMKNEHETKQIIHSIKVGISLVLVSLLYLVDPLYEQVGENAMWAIMTVVVIFEFSAGATLGKGLNRGMGTILGAGLGCLAAVLAQNLGAVGNKVIIGASVFIFGTIGTYFRLIPSIKKRYDYGVMLFILTYNLVVVSGVRADQKVWEIACERFLTILMGFIICICVSLLLFPLWASDELHQSTVSRFQDLANTIQGCLEEYINIGIKEENKSVASFGVCKSMLNSKSKDELLAIYAKWEPWHGKFGFWYPWEKYLKIGEALREMAAIILALGGSIEISTSPKAFTSVNQTIQLKLCEAIGSDVVWVLRELGDSMKQMRKCEADTHISEKLKAAKTELSLVISMFNISAHENIDALAVASFIFLLKEVVDKVEELTKEVDQVGDIAHFRTY >OIW06437 pep chromosome:LupAngTanjil_v1.0:LG08:11594885:11598007:1 gene:TanjilG_05208 transcript:OIW06437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYEIFVIFGLPQGTIMDTVPMHIWDKLADMSERFGRKIRVFETLTVSPSPTAAANNGEETDDFYEFTAEDYYRLLATKKEDKLLKTRKIREAEEAARRSRITKAVIRVRFPDNHTLEVTFHPSETIQSLIDFLTEVITKPEQPFYIYTTPPKKLIKDMSQDLYTAGFCPGAIVYFSYNVPKGDGTLVGHNGPYLCEEVMSLKGLNIGNDQGQPSSEPVQSAPEPVEAAQQNPAVEERKPADKKLVKPRWLKM >OIW05924 pep chromosome:LupAngTanjil_v1.0:LG08:22260980:22261357:-1 gene:TanjilG_07200 transcript:OIW05924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCYVGKATKIFIFIVTVLLILAIVLGFRLLRHRHHYSTIDCSVSTCNNIIYPPPTLLTPTQPNSVAPPAPPLMNLTPPPPTDSNAFPPPPPLLQSPPPPPPPSQAVPPTSTPRSVLEIPSPEVLQ >OIW05538 pep chromosome:LupAngTanjil_v1.0:LG08:25445498:25446553:-1 gene:TanjilG_23324 transcript:OIW05538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYAAVKPTKPGLEEPAEQIHKIRITLSSKNVQNLEKVCTDLVRGAKDKRLRVKGPVRMPTKVLNITTRKTPCGEGTNTWDRFELRVHKRIIDLFSSPEVVKQITSITIEPGVEVEVTIADA >OIW06804 pep chromosome:LupAngTanjil_v1.0:LG08:8520926:8524270:-1 gene:TanjilG_11529 transcript:OIW06804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTSMDKSSLLIYITLSLLILFFLSFSPTTKYSTLHHHHHHRHRRLILRSNFTLTPSHHHDPVSFDPLVAELERRREDKQWEKQHSHPELVLHDSVPGNESQTEWEDFMDAEDYLNDEDKFNVTDRLVVLFPKIDVDPIDGFVTENELTHWNVHQAQNEVLHRTQRDFELHDKNHDGFVSFSEYHPPSWVQSSDNSSFGYDMGWWKEENFNASDADGDGLLNLTEFNDFLHPADSKSPKLHQWLCKEEVRERDTDNDGKVNFKEFFHGIFDLVRNYDEENHNDSHHSDNSMDAPARALFAQLDRDGDGYLSDIELLPIIGKIHPSEHYYAKQQAEYIISQADVDKDGRLTLAEMIENPYVFYGAIFSDEDEDEYDFHDEFR >OIW05608 pep chromosome:LupAngTanjil_v1.0:LG08:25006923:25012364:-1 gene:TanjilG_23394 transcript:OIW05608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKTKVATEVLPTKVVEEKNKKDGSTKKTNEDLPQVKKEEDNGLNGEFVKVEKEENALVGKSNITERSSDPRSREFAEAQEKIHELEVELQRLTQSLKTSEHENAQLKGEISATKEKVEGSGKKYEELELSHKKLQEQIIEAENKYNLQLSTLKEALQVHEVKQKELVHVKEALDGVSHELESSRKKTEELQQELQFSVAEARKYEELHKQSGSHAESEGKKTLEYGRILEEFKLSAKGMEDEVASLKEELKGAYVKIAETEKVGEALKKTATELSTIQKELSLSKSHILETERKLSSKDCLADELTQELNQRKTSETQLKEDLSALQNLFVSTKEQLQEKISELESSKLKLQEEGKLRESVEATFKTQEAQVIALQKELKGAYDKIDEAAKVGEALKTTAAELSTTQEELSLSKSQLLETKTSLSSKDSLVGELTEELNRRKTSETQLKEDLSTLQNLFESTKQQLQEKISELGSSELKLLEEEQLRESAEVAFKTQEAHVLAVQEELTQLKAENKGLEATVEDLTRNVKQFKEVSADLEEKLKLSDKIFQNTDSLLSQALSNSAELEQKVKSLEVLNNKFGAEVDTASRRNLELEEHIQASNAVAEKAKSQLMEVEKRFIEAEQKNVELEQQLNAVQLKTSVAEREVTEFSEKISHLNTKLTEAEEAKKLLQSQLQEYTEKVTRLESGLNQSSLRSSQLEGELKILNDKCAENGDRASMHHQRSLELEGLFQSSQSKLEDANKKASELGLLLETEKSRIHELEKQIRTLEKRCTDSEANANKNLDKVSDLTSKLEAFQALASSLEISLQEANVREKKLEDSLNAVTDDKKRLEDSSNSLSKKLAEAENLFETVRDELNLTQDKLQSTENDLKASQLRESETIEKLKVSEQNIKIRGRDMDETSARNRELQLLHESLSRDSEQKLQQAIEKFNNKESEVQSLLEKIKILEEQVVEAAKQSKSLKNDFEESTSKLASLESNKEDLRRQIIEAENKSSQYLSENELLIGTNSQLKTKIDELQKLLNSALSEKESTLQQLVYHKNTLVELNDLQSKSAETHAANEAHLVEVESQLHKALQRHAEKESETKELNEKLNALEGKIKHSEKQAQEAVAISETLKAGLSESLLKLKHLETVADDNSKLNHEIAAYESKLSDLQSKLSVALVEKDGIAREILTSKNAIEELVTKHNALVQTLKSEISTVLNEKNFLNETNHNLKKELQSVIFDLEERLKEKQRDEDSLRSEVEKLKIEISEKSKLQSRVIEIEEQLIKSESRLNEEVGRLQAVVSQREVELRSKSEDFAAKVHDRNVLNEKVAELEKELQLARATIANQVGTESQKLELEATLKNSVAELETKNKEVSLLQKQVVDLEQKLQQVGDEISSVQVIPLALHVKVPPCPRSTFPPNLKTRKSKIGIHFNFPSKVNEYDSNSLKHLTFTF >OIW05753 pep chromosome:LupAngTanjil_v1.0:LG08:24023301:24026279:-1 gene:TanjilG_23539 transcript:OIW05753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCFHFSNGERERRERDREDEDAIASSSRTSRVSWARSLSIASSLVDTTRRSEFDSDSKDFSDTILFQDFLSQRRSNNLRLFSFSHLKSATRGFSRTLLIGEGGFGSVYKGILSSDDDDDDDCSHQIQGHKEWINEVNLLGVIKHPNLVRLVGYCAEDDERGIQRLLVYELMPNKSLEDHLLTRIPSGSTLPWSTRLKIAQDAARGLAYLHEEMDFQLIFRDFKTSNVLLDEDFNAKLSDFGLARQGPSEGSGYVSTAVVGTIGYAAPEYVQTGKLTAKSDVWSFGVVLYELITGRRAVERNLPRNEQKLLDWVRPYISDTKKFHLIVDPRLEGQYCIQSALKLAALANKCLMKQAKSRPKMSEVVELLRNIINETIPPGEDISQAIAAAGEGKEVNLSVEDTEPESAKQGNNYLKTVFDFSDMVSLRNKSIRRFHWKSWAPGLIRTW >OIW06678 pep chromosome:LupAngTanjil_v1.0:LG08:10407049:10407708:-1 gene:TanjilG_04072 transcript:OIW06678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNGSGSSIFDIQLDKIDDDWSKPFPDLDYAIISDGHWFFRIMYLHEAGKLVGCLFCPEQNVTHYNSDYILRMAFRAAFKHINRCNKCRKTLTLFRTFAPAHFENGAWNNGGNCNRTSPINEGEVNYGLFDWQLRNIQMEEFERARNRGKKKGQRFEVVDVSRAMLMRPDGHPSEYWGNQWMKGYNDCTHWCMPGPVDMWSELLLAVLKREEANKIMSF >OIW05995 pep chromosome:LupAngTanjil_v1.0:LG08:21779325:21781549:1 gene:TanjilG_11682 transcript:OIW05995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAYRADDDYDYLFKVVLIGDSGVGKTNLLSRFTKNEFSLETKSTIGVEFATRSIHVDDKIVKAQIWDTAGQERYRAITSAYYRGAVGALVVYDVTRHITFENVERWLKELRDHTDANIVIMLVGNKADLRHLRAVSTDDAKVFAEREKTFFMETSALESLNVDNSFTEVLTQIYNVVSRKTLEIGDDPAALPKGQTINVGGPDDVSAVKKVGCCSA >OIW07331 pep chromosome:LupAngTanjil_v1.0:LG08:2341636:2344927:1 gene:TanjilG_11965 transcript:OIW07331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLRSLVLCFVTFFLFWSFTNALLSPKGVNFEVQALMGIKNGLVDPHGVLDNWDADAVDPCSWTMVTCSAENLVIGLGTPSQSLSGTLSPSIGNLTNLQIVLLQNNNISGSIPSELGKLSKLQTLDLSNNFFSGEIPSSLGHLKSLQYLRVNNNSIVGACPESLANMTQLAFLDLSYNNISGPVPRILAKSFNIIGNPLVCSTGKEPNCHGMTLKPMSMNLTNTEDGLSSGRSKSHKMAIVFGLSLGCLCLIVLGFGLFLWWRHKHNKEAFFDVKDRHHEEIYLGNLKMFQFRELQIATHNFSSKHILGKGGFGNVYKGVLSDGTLVAVKRLKDGNAAGGEIQFQTEVEMISLAVHRNLLRLYGFCVTPTERLLVYPYMSNGSVASRLKGKPVLDWGTRKQIALGAARGLLYLHEQCDPKIIHRDVKAANILLDDYCEAVVGDFGLAKLLDHQDSHVTTAVRGTVGHIAPEYLSTGQSSDKTDVFGFGILLLELITGQKALEFGKTSNQKGAMLDWVKKIHVEKLELLVDKDLKSNYDRIELEEMVQVALLCTQYLPSHRPKMSEVVRMLEGDGLAERWEASQRADTSKCKPHESSLSDRYSDLTDDSLLLVQAMELSGPR >OIW05925 pep chromosome:LupAngTanjil_v1.0:LG08:22263945:22277716:-1 gene:TanjilG_07201 transcript:OIW05925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDGGMSCMPQQQQQQHVTENEDGFDSKLVKVADGKKKKKVRVVKVKVKKIVVVPKKKKVNVLNSSGGNNVESGEVCGEKVQKEEAEEGEFGTLKWPKTELENGEFSQKPQPPPPPRRGEFENGEFAQEKLPPPRSQRGEIVNGDIVTERWQKEVMVAEKGGRKGEAEKREYGLWRGGNGTKDDFEKGEFIPDRWNRGGDTGKDDYGYNRIHGSRGWKRERERTPSNERYTGCDDYFRKRELSRSGNQHAKSGPRWESGPERNVRISSKIVDGEKIERSNGRNYTREYSSGSRLKRHVNDSDACDRKQYGDYADSKSRRLSDDSSHHVYSEHYSWLSVETYYGNSSSKLSADKYSSRHRESSVSARSSHDRHGPSHGHSERSPFHRSRYYGPRDCTPVQRSSNGRDRSPYKHEKSPHGRERSPCDRNWDKSRHHEHKLRTPAHAEQTPRDTGQYHDRRDQSPNLVERSSLDQNKQKDRTRQNVHRETGGKALSSEKHNSQYTCKDHENKHIKNKSIHSFIESQGERNLHDTNGSIDIDVCSEPEKKQSSCSPTVSCKFSPLLEPSPEEIPSMEEDMDICDTPPHIPVVVDSSPRKWFYLDYDGVEQGPSKLSDIKTLADQGVLMPDHFIKHLDSDRWLTVENAASPFAAQSFQSIVSDSITQLVNPPEAPGNLLGDTGDIQSGPENYQETPAPLMQPMVCPDNSVLGSELSEDLHIDERVGFLLEGYDVMPGRELVIIKEALQINFEFAKWEGLGGYEGFPWHDMCLSTECDSRIDSASREYKSQLSVSSADKDNEFTFGASGDWFSAPWSCKGGDWKRTDDAQDRYCKKKHVLNDCFPLCQMPKSGFEDSRWSEKDDLYYPSYSRTLDLPLWAFCTDERVDCSAVSRPVQSKFASVRGVKGNVLSVVRLNACVVKDQGSLVSELCEKTRGKARYHSRSSRPCSSASDSKKSSTEEDSQSKAFNDQDSHGSCRSMEFINVPKDHLRTVHDLQLHLGDWYYLDGSGRERGPSSFSELQFLVDQGIIKKYSSVFRKCDKLWVSITSLAETSDVNHRSHWMSSSVSGECYGHPSTQSQGVSFSEPYTFNSIHPQFIGYTRGKLHELIMKSYKSREFAAAINEVLDPWINTRQPKRETEKQIYWKSEGKTHASKRGRMLVDDSEDSEFEDSSLTIEKDEFSFEDLCGDATLSGEESVINDSEVQSWGFLDGHMLVRVFHFLRSDLKSLVFGSMTCKHWRASVRFYKELSRQVNLSSLAHSCIDSVMWSIMSSYEKDKIKSLILTGCTNITADMLWKILLSFPALSTIDIRGCSQFGELTPKFTNIKWIKSQSSRITKTAEEPYKIRSLKQTNEQNSFVCKASSLGIRDDFGDLKDYFDSVDKRDTANQLFRQNLYKRSKLYDARRSSSILPRDARTRRWSIKKSESGYKRMEEFLASRLREIMKSNLCDFFVPKVAEIETKMKTGYYRGHGFSSVKEDISRMCCDAIKAKNGSDASDMNHIIALFIQLAKRLEESSKYVSDRDTLLKLWGNVSSSVLCSNSSKYKSNRLLTERKYKNNGKYCVLDKGEHASDQENRRRFSKLNKKSMDSESETSDDLYRSSEDGKSDGDTTTSGIESDQEADSESRIRESRGDGYFTPDNGLFITDDREWGARMTKASMVPPVTRKYDVVDQYIIVADEEDVRRKMRVSLPDDYAGKLSAQKSGPEKESDMELPEVKDYKPRKQIGNEVIEQEVYGIDPYTHNLLLDSMPEELDWSLEEKHLFIEDMLLRTLNKQARYFNGTGRTPMSYPLQPVIEEIVRHAEEDGDARMVRMCQGILKAIDNRPDDKYVAYRKGLGVVCDKEEGFGEDDFVVEFLGEVYPVWKWFEKQDGIRSLQKNLKDPAPEFYNIYLERPKGDADGYDLVVVDAMHKANYASRICHSCQPNCEAKVTAVDGHYQIGIYSVRKIQHGEEITFDYNSVTESKEEYEASVCLCGSQVCRGSYLNLTGEGAFEKVLKELHGILDRHYLMLQACELNSVPEEDYNELGRAGLGSCLLGGLPDWLVAYTAHIVRFINLERTKLPEEILKHNLEEKRKYFSDVCLEVEKSDAEVQAEGVYNQRLQNLAVTLDKVRYVMRCTFGDPWKAPPPLEKLSPEAVVSFLWKGDDSFVGELLQCLAPHVEESTLNDLKSKINARDPSSSGDIPKEVKRCLLWLRDEVRNLPCTYKCRHDAAADLIHFYAYTKYFFRIRDYKSVSSPPVYISPLDLGPKCADKLGAGFQEYQKVYGKHYCFEQLIFWHNQSNVEPECTLARISRGCLSLPDIGSFYANAQKPSRQRVYGPRTVRSMLARMEKQPQRPWPKDQIWSFKNCPNFFGSPMLDAVINKSPLDREMVHWLKHRPAIFQAMWDR >OIW06106 pep chromosome:LupAngTanjil_v1.0:LG08:20801717:20802478:1 gene:TanjilG_29862 transcript:OIW06106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTCFDSTGGVSPEKKMKFTSAETTVSSNDDGCFDCNICLESAHEPVVTLCGHLYCWPCIYKWIHVQSSSVEPDQQQTCPVCKAEISHASLVPLYGRGTSNSESDEAKKLQMGLGIPHRPPPYNLNATSASTRASSTSHPTQQLHPSYFQSQPRPIHYQQYFPHMYGGYGTNGLPYLGGAAMTSFFNPMIGMFGDMVLTRIFGVSDANLIPYPHNGSGSPTRMRRQEIQIDKSLNRVSIFLLCCIILCLLLF >OIW06799 pep chromosome:LupAngTanjil_v1.0:LG08:8554681:8561353:1 gene:TanjilG_11524 transcript:OIW06799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMARNNNVSNGTGVHHHNTAAQSRQQWKKLQVFNEVLRRLKDSGDDEAMQPYFDDQLWAHFNRLPTRYALDVNVERAADVLMHKRLLHLACDPDNRPAIEVRLVQVHPISDGNSNDSVLSDDPGKESDQSSSNFSRRQGLHPPPAFGSSPNLEALTLEANNSEDMEEEQSVHASAQYSRPMHEITFSTDDKPKRLSQLTALLAEIGLNIQEAHAFSTTDGYSLDVFVVEGWPYEETEKLKATLGKEVSMIEWQARSSQESESSVVKPDQDKVKCEPDQLTIPSDGMDVWEIDPKHLKYGTHIASGSYGELFRGIYCSQEVAIKVLKADPEHINSDLQREFAQEVYIMRKVRHKNVVQFIGACTKLPHLCIVTEFMSGGSVYDYLHKQKGCFKFPTLLKVAIDVSKGMNYLHQHDIIHRDLKGANLLMDENGVVKVADFGVARVKAQSGVMTAETGTYRWMAPEVIEHKSYDHKADVFSFGVVLWELLTGKLPYEYLTPLQAAIGVVQKGLRPTIPKNTHPKFFELLERSWQQDPALRPDFSEIIEILQELAKEFVCMYDRSKMKERSSTEINQEEDFYLSSDEVITKKLKFN >OIW06587 pep chromosome:LupAngTanjil_v1.0:LG08:9767478:9778989:1 gene:TanjilG_03981 transcript:OIW06587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSFTAPIGAERNPLIADNEIKVSRSMTEKRRFPRHDILDRLSEREKQELIDKLVRIQSDGTVKVDLERSTSVSELLELQSFEESTVSESLTSESKSSVPRLQIVMLVVGTRGDEYGHRVRLATHANFNTFVKSTGVDFYPLGGDPRVLAGYMARNKGLIPSDPAEISVQRKQLNAIIDSLLPACTAADLETGVPFRAQAIIANPPAYGHAHVAEALGVPIHIFFTMPWTPTYEFSHPFARVPRSAGNWLSYIIVDLLIWWGIRGIINDFRKRKLKLAHIAYFSMYRGSISHLPTGYMWSPHVVPKPSDWGPLVDVVGYCFLNLQSKYQPREDFVQWIQKGPPPIYFGFGSMPLEDPQRTTDVILEALKITEQRGIIDRGWGNLGNFAEVPDSVFLLEECPHDWLFPQCSAVVHHGGAGTTAAGLKAGCPTTIVPFFGDQFFWGDRIYQKEFGPAPIPISQLSVENLSTAIKFMLQPEVKSRVMEIAKLIENEDGVAAAVDAFHRHLPDELPLPTPSSPGEEDHQNPVDWFFARVGKWNAGLGIAAMLYITVDYLRHISPKLHSRLQPAFLSLLAIAAVARVPSYRHWSAEFRAVIPFVASMIFMLATLLYEAISVRSVTAVLGLDWHRENHPLPDTGQWLFLALNEKLPSAIVDILRARVIGLHHYLMLFMMLAFSVLFDSIRAPGLGLGARYMFTMAIGRLLRTITFASTILPSPRPWCAASRFRVPKHPHPWAQKYYVPYTSDHNAISQVIKQDIAYVDVGQPIGDRRPDWGSMSFLIDFLRPTASEGSWFSLLTKAGGGCNDLIYSGHMLVAVLTAMAWTEAYGGFSSALVWLLVIHSAQREIRERHHYSVDCIVAIYVGILIWKMTGFIWSQQDRKPTKFEKIQSRLIQASKDSDIDKMRELLKEVELSSEGSKNQTAMRHAQLFKGVTIGFALIIVVLALIFTSDG >OIW06328 pep chromosome:LupAngTanjil_v1.0:LG08:13983361:13983579:1 gene:TanjilG_14973 transcript:OIW06328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVSSDLSLLGENFEMGRVKDDDYESQSRSDNFDGASSDDGDADENMPQAHRKKKYHKHLLSKFRSLKWVAL >OIW06608 pep chromosome:LupAngTanjil_v1.0:LG08:9924311:9927148:1 gene:TanjilG_04002 transcript:OIW06608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSIPLLINGVGVAEEVVVVGEDGDYVAVKGLKEVKKVFWIETVKLWEIALPIVFNILCQYGVNSITSIFVGHLGDIQLSALGMGSATETLCGQAYGAGQVNLLGVYMQRSWVILFATSILLLPIYIFAAPILKALGQQKDIADLAGNFALLVIPQFLSLPLNFPTQKFLQAQSKVSVIAWVGFVALILHIGMLWLLIYVFDWGLTGAAVAFNITSWGITVAQLVYVVGWCKEGWNGLSWLAFKDIWAFVRLSLASAVMLCLEVWYMMSVIVLAGHLDNAIIAVDSLSICMNYNGWEGMLFIGVNAAISVRVSNELGLGHPRATKYSVYVTVFQSLFIGIFFMAVILITRDYFAIIFTSSKTVQDAVSKLGYLLAVTMVLNSVQPVISGVAVGGGWQGLVAYINVGCYYLFGLPMGFLLGYKLNLGVKGLWGGMICGVVLQTLILLFILYKTNWKKEVEETSRRMRKWGGQDNKVDSAVASA >OIW06128 pep chromosome:LupAngTanjil_v1.0:LG08:21212804:21214481:1 gene:TanjilG_29884 transcript:OIW06128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLRLWACFGGKGRHTSGDATIESHVATADLTAEEQKRGGPVLVEMFSSQGCKTSPAAEMVLSRLGRGDFELAVPVVVLVFHVDYWDHMGWKDPFGSTQWTVRQKAYVEALGLDTIFTPQVVVQGKAHCIGNDENALIDAITHAPRFPSLSFETTFTRRTPDSLQVSLTGTLRSKVDSQVANVMVALYESGLVTDIPRGDNIGRVLANDYVVRRLEKLCSVKDISAKKTVTGNINFSLWEGFNSSKCGLAVFVQSSSHQIFGSQSFQLPDAI >OIW06957 pep chromosome:LupAngTanjil_v1.0:LG08:7083856:7084359:-1 gene:TanjilG_18345 transcript:OIW06957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLQNQLNDVSSGSIPLLLLAQIAIYFNYLRSFLFTLFQSLGLSRFRTDQIVHDGFFSAVGSGLAGLIILSDQLSLNNHFFYTYSTSAADGHNSNNDCVFCQNTFNDGDQVRMLPCRHVFHSRCFDGWLRHLNFNCPLCRSSLISDERVAHTEARIGRELVSWFSMR >OIW06316 pep chromosome:LupAngTanjil_v1.0:LG08:15417781:15418242:-1 gene:TanjilG_17690 transcript:OIW06316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDSILEFITHAASSSAFIFCFCNLIIVIILVDLKPRLSIHQESEIPLPMDATQKQGTNFKHPKDTESSPEAEIAEVDTEPKAIAVNNIETKGNDDWNIIEEEEKEEVEEEEEEEEEEEEEEEEDDDELKRRVEEFIEKVNRGWKQESLRISS >OIW05949 pep chromosome:LupAngTanjil_v1.0:LG08:22512887:22518813:1 gene:TanjilG_07225 transcript:OIW05949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPKVKYDRQLRIWGDQGQAALEKSSICLLNCGPTGSETLKNLVLGGVGSITVVDGSKVEVGDLGNNFMVDESSLGQSKAKCVCSFLQELNDAVKAKFVEEDPETLIETNPSFFSQFTLVVATQLVESSMIKLDRICREANAMLIFARSYGLTGFVRISLKEHTVIESKPDHFLDDLRLNNPWPELKRLAEGFDLNVQDPVAHKHIPYVVILVKMADEWAKSHGGNLPSTREEKREFKELLKAGMVAQDEDNYKEAIDASFKVFAPRGISSELQQILNDSSAEVDSSSSDFWVLVAALKEFIANEGGGEAPLEGSIPDMTSSTEQYVNLQNIYQAKAEADFLVMERLVRSSLKKIGRDPNSIPRATIKSFCKNARKLKVCRYRPIEDEFNSPNLPELQKLLIDEDYSIAVGIYILVRAVDRFAANYNTFPGQFDSAMDEDIPRLKSTAISLLSDLGCNGATLAEDLINEMCRFGAAELHAVAALVGGIASQEVIKLITRQFVPMSGTFIFNGIDHKSQLLSL >OIW05741 pep chromosome:LupAngTanjil_v1.0:LG08:24115741:24116244:1 gene:TanjilG_23527 transcript:OIW05741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKEDTVKLISAEGFEFVIDKEAAMVSQTIHNMLTSPGSFAESQHGEVTFPEISTTILEKICQYFYWHLQFAR >OIW06894 pep chromosome:LupAngTanjil_v1.0:LG08:7593209:7595336:1 gene:TanjilG_19543 transcript:OIW06894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRECISIHIGQAGIQVGNACWELYCLEHGIGPDGQMPSDKTVGGGDDAFNTFFSETGAGKHVPRAVFVDLEPTVIDEVRTGTYRQLFHPEQLISGKEDAANNFARGHYTIGKEIVDLCLDRIRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTVYPSPQVSTSVVEPYNSVLSTHSLLEHTDVSILLDNEAIYDICRRSLDIERPTYTNLNRLVSQVISSLTASLRFDGALNVDVTEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSVAEITNSAFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVGTIKTKRTIQFVDWCPTGFKCGINYQPPTVVPGGDLAKVQRAVCMISNSTSVAEVFSRIDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAESAEGGDDDIEDY >OIW06823 pep chromosome:LupAngTanjil_v1.0:LG08:8240424:8242069:1 gene:TanjilG_03718 transcript:OIW06823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALEVAVKAAVDAPNVLGDCPFSQKVLLTLEEKKISYITHLIDISNKPQWFLKVNPEGKVPVLKYDDKWVPDSDVIVKILEEKYPDPSLFTPPQLASVGSNIFGTFVSFVKSKDPNDGTEQALLVELKALDDHLKAHGPYVAGEKVTVVDLGLAPKFYHLVTALGHFKNWTIPESLAHVHNYVKLLFSRESFEKTKSAKEHVIAGWEHKVNP >OIW05552 pep chromosome:LupAngTanjil_v1.0:LG08:25366773:25373294:1 gene:TanjilG_23338 transcript:OIW05552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKKSVPLQSSNNVNMEGGKTIEEMYQKKTQLEHILLRPDTYVGSVEKHTQTLWVYDDQNHDMVNRPITYVPGLYKIFDEILVNAADNKQRDPNMKWLKVWIDPVENMITVYNDGDGVPVEIHQEEKVYVPELIFGHLLTSSNYNDNEKKTTGGRNGYGAKLTNIFSTQFVIETADGKRQKKYKQVFSNNMGKKSEAVITKCKAGENWTKVSFKPDLEKFQMSYLEEDVVALMKKRVVDLAGCFGNTVKVELNGHLISIKSFRDYADLYLKSAEKLRPVQLPRIHTKVGDRWEFCVSLSDGQFQQVSFVNSIATIKGGTHVDYITNQIASFVMAKVNKKNKNANLKAYNVKNHLWVFVNALIDNPAFDSQTKETLTTKQANFGSKCDIPESTLKQVEKSAIMESLLSWAEFKQNKELKKTDGTKSQSVRGIVKLDDANEAGGRNSDQCTLILTEGDSAKALAVAGISAVNGGRNFYGVFPLKGKLLNVREASSKQLLENEEIQNIKKILGLQQNKEYTNVKSLRYGHLMIMTDQDHDGSHIKGLLINFIHSFWPSLLKVPSFMVEFTTPIIRATNKSNKKEKLSFYSMPEYESWKERLGNNVRHWQIKYYKGLGTSTREEGREYFSDLNKHMKQFVWEADYDADAIELAFSKKKAEDRKTWIRNFEPSNFRDHKEKRISYTDFVNKELILFSRADLQRSIPSMVDGLKPGQRKILYCSFKKKLFKEIKVAQFIGYVSEQSAYHHGEQSLASTIIGMAQNFVGSNNINLLQPNGQFGTREEGGKNHASARYIFTELCPITRCLFPEDDDNLLEYLNEDGKSIEPNWYIPVIPTVLANGCEGIGTGWSSYIPNYNPKDIIANVRRLMNGEAMIPMDPWFRGYNGIIEKSEKEGGYIVSGTIEDVDEQTFRITELPIRKWTKDYKQFLDSITEGSPNVKDPLIEDYRVNGDEEMLDILVRMKEDKVAMIMKEGLMKKFKLTSTISTSNMHLFDAEGKIKKYDNPEQIIEEFFPLRLEYYEHRKKYKLDTLQRLLLTLDNKARFILGVVSGEIIVSNRKKADLLLELKQKGFTPMPRNAKSSEPQVAGADDGNSEELENDNSREQESGSEAAKIGDYEYLLSMSIGTLTMESVQKLLAEKDEKWKQLEILKATSPQSMWTKDLDDLEKKLDQFESEEAQEERKRLAEAYNNHGDSKFSKKARAKAPRKINKKANNVEPETETVASSSSMEIENAAKVVPKPKGRAATKKAAPSKKVDDNDDEILSLQERLASYNMLAPSDQSPDAELETEDPIVHAVKKVNSKRGGGQKKSSSSRVVDISDSDNVDSEDEEDDEDFQAAPEAEKKKGGRKPAGQNVKKPAAASTRKRGVGNKQSQTLGQKFITGMLKPAESAPSPEKKVRKIRESPFNKKSGSLLGRVVAEDTSSLGSAMSHSIEEEVVEVVPPADAATRVARPQRANRTRTQYILSDTESEEDDGDDDVELTDDSEYDDED >OIW06760 pep chromosome:LupAngTanjil_v1.0:LG08:8905308:8911118:-1 gene:TanjilG_11485 transcript:OIW06760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMAATTSSSPSIPLQSSPTMLPLHSPPILVSDSFAKDSIIAWFRGEFAAANAIIDALCGHLTHLGTASDYSSVFAAIHRRRLNWIPVIQMQKYHSIADVALQLRKVTDNMSLTPPVTVTTEVEESKVYEKEKVIESDHNNSDEAGVEHEEYDSPVNVVKGLKLYEDIFTDSELCKLTEFVNELHNAGQNGELSGETFILFNKQMKGNKRELIQLGVPIFGQVNEDAKTNIEPIPALLERVIDHLIQWQLLPQYKRPNGCIINFFDEGEFSQPFLKPPHLDQPLSTLLLSESTMAFGRILMSENDGNYKGQLMLSLKKGSLVVMRGNSADMARHVMCPSPNTRVSITFFRVRPDSNHSQSPTPTMTSAMTVWQPAIASPYAFPNGAVSGYEGMDMTPKWGMFRAPMVMLAPMRPTTLNARKVDGGGTGVFLPWNVPSRKHTKHLPPRAQKGRFLALPPLTEPQMGESTSEPSIIVEG >OIW06360 pep chromosome:LupAngTanjil_v1.0:LG08:14628229:14634903:-1 gene:TanjilG_15005 transcript:OIW06360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNVVNEFPHDSQAFTEGLLYAGNDTLFESTGDYGKSSVRKVALRTGKVEELKKMDDSLFGEGLTLLGKRCLGTFNHEMNDGWGLATDGRVLFGSDGSSTLYQLDPQTFKAVSKQVVYYKGQQVYNLNELEYINGEIWANIYQSDCIARISPKDGFLGWILLQNLRKELVEAGNRGIDVLNGIAWDGEQNRIFVTGKLWPKLYEVKVSPIKEPIEEWVIEQLCLR >OIW05769 pep chromosome:LupAngTanjil_v1.0:LG08:23915260:23917071:1 gene:TanjilG_23555 transcript:OIW05769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVISSSRGKSIELQIPKKRFDTETSPERTKVWTEPKPKTPTRVSVVYYLSRNGQLQHPHFMQVPLSSRKGLYLKDVINRLNLLRGKSMASMYSWSSKRSYKNGFVWHDLWEEDFIYPTQGQDYILKGSEILDHSKSDDDSDFLPAIPTRRRNQSWGSVDSNEYRVYYKSESFGDSAGKIATDASTQTDDKRRRRRAVAEEDEQKNGIEVSEIETEGERDPHVTCQNQSTELSIDEISPPPSSDSSPETLETMMKVNGRLGLRALFGTKENNLTTESDSSGKMRAPSILLQLLSCGAVSFKESGVNKDQGFSLFGHYKNRLPRGAQNQKEVGISMEIDDLKRVMLEDKEYFSGSLIETKKVEIPALKRSSSCSAYSGSRLQIMEHENVVRAKCIPRKSKTLPTKKEEGASMHSVCSSQHGSKRFT >OIW05798 pep chromosome:LupAngTanjil_v1.0:LG08:23691840:23692682:1 gene:TanjilG_23584 transcript:OIW05798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQTALFFFSLFLLFSYTTLAISPAPAPKATVKTPPTPKAAAPSPKPLVPTLPQSPDSSDSVPDDITRILKKAKIFSVLIRLLKTTEIMNNINSQLITAKSGGLTILAPDDAAFSNLKAGFLNSLNQGQKIELLQFHILPEYVSSSNFDSLSNPVQTVAGKDPARLPLNVNAFGNSVNISTGVVNASIVGVVYSDNKLAIYRLDKVLLPLDFFATKAPALAPTTLAKAPKAAKDNSAAHDEDDTTQVQDNKSGAVSLVCISGTTLMLLGIAFVVVPMMWT >OIW06994 pep chromosome:LupAngTanjil_v1.0:LG08:6545997:6549364:-1 gene:TanjilG_14332 transcript:OIW06994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRMGSEAVVVAVPEWASKPCIMGIDEAGRGPVLGPMVYGCLYCARSYQKTLATLSFADSKTLKEEKREELFEALKENDSIGWAVDVIDPRELSAKMLKKNKINLNEISHDSAMGLIDRVLKMGVLLTEVYIDTVGDPGKYEAKLSKVFPSIKCVVAKKADSLYPVVSGASIVAKVTRDRAVREWVFDETAENMLRNFGSGYPADPQTKSWLEGHKHSIFGFPSLVRFSWGTCTTYFKDGVDVLWESDKDEDGGSNNQNGKRQLKLSNVGFATSKKRSEEIESSGKGRSRFFQARKLEHLPYF >OIW05864 pep chromosome:LupAngTanjil_v1.0:LG08:23000857:23001345:-1 gene:TanjilG_23650 transcript:OIW05864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEHRCQPPRLCNNNCGFFGSPTTQNLCSKCFRDLQLKEQQSSSAKLVLNQTLVPPPAISQPSPSTPSGSIGADSSSSIEVDLSRATTEEEKSGSVAQPNRCGNCRKRVGLTGFKCRCGLTLCGSHRYPEKHGCEFDFKEMGRDQIAKANPVVKGEKLRKI >OIW06313 pep chromosome:LupAngTanjil_v1.0:LG08:15456651:15459453:1 gene:TanjilG_17687 transcript:OIW06313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQNESHCHNGDTTLTTTPQQQQQQQPILTLHLKDPKNPILGTNSDTLLAITTMASTNSTSTEPIPSSSSDDIALKTVNKRYEGLVTVRTKAIKGKGAWYWAHLEPVLVRNPETGLPKSVKLKCTLCDSVFSASNPSRTASEHLKRGTCSNFSTGLRPAGSVPSPLPISMVTSSNRKRGSLGSNTVTATSPTATSTITPYHQNHTLAMVESSRFCVGGSDIGYAQVHNNSVHQHQNQHNLVLSGGKDDLCALAMFEDSVKKLKSPKTSPGPCLNNDQEKDVDQLLTRLASSDEAHIVLMELMKWRSDGLDPLYAQAVQMKQRDPVTALVE >OIW05691 pep chromosome:LupAngTanjil_v1.0:LG08:24465958:24466378:-1 gene:TanjilG_23477 transcript:OIW05691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTAMASTLCKSALRVGSRILANGYKSFTAKSSSPFLISSPSSTNITRALRILSGTGSVESSMPLHSAIANARLISNIAHASTCWSTLSQE >OIW07126 pep chromosome:LupAngTanjil_v1.0:LG08:5172640:5173416:1 gene:TanjilG_10099 transcript:OIW07126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHQGHSQHPSMGVVGSGAQMAYGSNPYHPNQITGSPGYGTIQSTGQPAGAQPGQNQLAYQHIHQQQQQLLQQQLQTFWANQHQDIEKVTDFKNHSLPLARIKKIMKADEDVRMISAEAPVIFARACEMFILELTLRSWNHAEENKRRTLQKIDIAAAINRTDIFDFLVDIVPREDLKDEVLASMPGGTMPDTGGPADGLPYCYMPPQHAPQIGAPGVMMGKPVMDPNIYAQQSHNPYIAPQMWPQPPEQQQSSSPDH >OIW07056 pep chromosome:LupAngTanjil_v1.0:LG08:5910974:5911303:-1 gene:TanjilG_02690 transcript:OIW07056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMVVYYLASRRMRSYGYEKLTSENQKMARPGRLWVKAMDGRLRGLRLSRSRKLSLRAFSAILLPRRKLVRIYNDFVNQMNLENMCSAIVLPTQWGLPVCRNVISLDRI >OIW06259 pep chromosome:LupAngTanjil_v1.0:LG08:17466362:17466514:-1 gene:TanjilG_19938 transcript:OIW06259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERGHSKRFKTTTHRTRRPPNASSSNPNVRHDRGDPYYSLILQETRCPNF >OIW05886 pep chromosome:LupAngTanjil_v1.0:LG08:22857083:22863452:1 gene:TanjilG_23672 transcript:OIW05886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGTTPPPSAAANPPEQIKLTMKRQLPFSSMKPPFVSAGDYHRFAPERRRNADQPAEAIVVKSPQLKRKNEVTDFETDSCDRMNPGSTEAPNSPFQTPVSGKMEKGGKSSRFTKSSRPGPQTPGSNIGLLTKKFINLIRQAEDGILDLNNAADTLEAEVENLTMEEHQLDEQIREMQERLRELSEDENNERLLIIPRGDTPLKFTGSPSGNNLTPAGPCRYDSSLGLLTKKFINLIRQAEDGILDLNNAADTLEVQKRRIYDITNVLEGIGLIEKKLKNRIQWKGLDVSRPGEAEDSFSSLQAEVENLTMEEHQLDEQIREMQERLRELSEDENNERILTKNLFVHFQNETLIAIKAPHGTTLEVPDPDEAVDYPQRRYRIVLRSTMGPIDVYLVSQFEEKFEQINGVDAAPNIPSSPEFNKQQSSVVSEDRGKGIAEGRGKEIEVQGQDGHGPSSDFTSAQDFVSGIMKIVPSDVDSDADYWLLSDADVSITDMWRTESGVEWNELDRTLQQDNLVTHDLTATPTNPPNSDVFPPTTYDIQPFLFNIALAVGLKVP >OIW07167 pep chromosome:LupAngTanjil_v1.0:LG08:4628691:4630466:-1 gene:TanjilG_10140 transcript:OIW07167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYTVSVQNNIFSFHSLNRCSKQESCISSDTFAFGRCSSKKSLSFHQICVGDYLPLTKYCVRRRGLQCRSSRGSVLIDRVDEVKHESFPLESSDVGGHKKSKFTESVDSNKYVYSPATLPEGAFVHNDEKTNNNILQKLCSQGKIMVAASLINVMARKSQIPHFSSCANLIRGFTKIGRLDKACKIMNIMILSGGVPDIITCNMIIGCMCKRGHLKYAVSLVDDMSMSGCSPNVITYNTIIRCMFDEGNANQAISFWKDQLTKGCPPYLITYTVLIDQVCKYCGVARALELLEDMATEGCYPDIVAYNSLVNFTSKQGKYEDTALVVRNFLSHGLQPNDVTYNTLLHSLSIHGHWDEVYEIMKIMNESSNPPARVTYNILINCLCKSGYLDRALNLYVTMVSEKCSPDTITYNTLLSALCKEGFIEEGIQLFYLMVGTCCSPCLVSYNTVIDGLSKMGSMESMEFAKKLHDDMVEKGIAPDIITYSCLFWGYCRLDQLEEAVEILKEMDKKKHKTKDNAYRYVILGLCKQRKVDIAIQALELMVKGTYKPDDSIYSALVKAVADEGMVKEADYLHQRLLKWKIMKKEVMLT >OIW07217 pep chromosome:LupAngTanjil_v1.0:LG08:3533474:3535680:1 gene:TanjilG_02537 transcript:OIW07217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRRSLGFNFNGNNDNSSRGNGVKAFLYNPAQDPVLKEALKEPVAFLGGVFAGVLRLDLNEEPLKDWITRTAEAAGISKEETDAEETTTEAAPQEIQIE >OIW06852 pep chromosome:LupAngTanjil_v1.0:LG08:7921731:7926521:-1 gene:TanjilG_18234 transcript:OIW06852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESSISVVKAKYSIHELEALRFVNIDHQRKLWNSIFKTLQSRDIAKPYQALAATHHSNVKRNPPHSLPNKKSPPSILVCNENMDSELLMKSSENVSLDDPSCTHSLMDGDGCSNLEESSENDDSDDDYASIQRPAFFVKGEPNFDAGAPEDGWEYLRRVRWEAKQIPKVKVAKFDRSKLNKEQSAYMPKIPDIPECPEHLLPLKQWEDVFLAEFSALRANLSSLEDSNNLQPIHSPNLLGQQLASVMNMDVLLHHMSVDKAMDQPTDLTTEDKDTAALPPENPASKTSVDQISSGSPALPLLSAILGLDSVARVSMLHKRVRLLESEDAIARNDCMWLFALCAAVDAPLHADTSAALRGLLRKCASIRATKAELDEEVVMLNILATISGRYFGQSEN >OIW06435 pep chromosome:LupAngTanjil_v1.0:LG08:11575515:11579912:-1 gene:TanjilG_05206 transcript:OIW06435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTGNAAMLLSCETSLQCIFASLPFPLNVVTGKANDGEGPDILRSAQEGSSSGHAESLSATLAEHQQHLASFQVLINQLKDVAPAIKKSISECTEKVNCIASNLPPTTRHHSQSTSHIQAQSSARTDSSTDDVGEVTSRISNVQLDKASVSPATLKLPQLFSLTPSSGKAGNVQRRQGNVPQISQTENLPDSKSSGPPSSTQVASSAEEDGYSYIINLKRSVREAALSLRSCNSESSRDSRSDGSSEHFFAPLSETGFSHLDAEKRAASLRSKRLFVSQMGDSFLESHASDGHRESKFDELPDMLNEMERLSDYDNVNGFLSYTGSNTTSDAQQSIYDFEDAQDQLLSPPLLMDSSLLADTFEDLLAPLSETERALMEH >OIW07131 pep chromosome:LupAngTanjil_v1.0:LG08:5111308:5112312:-1 gene:TanjilG_10104 transcript:OIW07131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVPLNMWVLISNFKLSYNLLRRPDGTFNRDLAEFLDRKVPPNANPVDGVFSFDVVIDRESNLLVRIYRPSEGEQVNIVDDLEKPAASSIEVLPVIIFFHGGSFAHSSANSGIYDTLCRRLVPLCKAVVVSVNYRRAPENRYPCAYDDGWTALKWVNSRSWLQSKDKKVHIFMAGDSSGGNIVHHVALRAVESGIQVFGNILLNPLFGGQERTESEKLLDGKYFVRVTDRDWYWRAFLPEGEDRDHPACNPFGPKGRNLEGIVFPKSLVVVAGLDLVHEWQLAYAKGLQKSGQDVKLLFLEQATIGFYFLPNNEHFFNVMDEIKSFVNHYDSCS >OIW05775 pep chromosome:LupAngTanjil_v1.0:LG08:23887824:23889707:-1 gene:TanjilG_23561 transcript:OIW05775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTKTMRSIFFKSSTLPSLPFPPSSPHRTFSDSLMEENIENAESVITKWNSVTDQSSSSYAKLTPLFNGTRLEAKQYINAVKGLQSTMEHLVAQDPNSKTLVKSQFLMQLAMKTLEKEFYRILSSNREYLDSETVSNRSSSDRRRSFSDYDDEITEDDEFSVAGNSISETERMSMIAMADLKAIADCMISSGYARECVKIYIVMRKSIVDEALYHLGVERLSFSQVQKMEWEVVELKIKTWLNAVKAAVGNVFYGERILCDHIFSAASEKRVAESCFTEITREGAMLLFGFPEMVAKCKKTPEKLFKTLDLYEAISDNWPQIESIFSLESTSGVRTTAFTSLLKLGDAVRTMLSEFESAIQKDSSKVAVPSGGVHPLTRYVMNYITFLADYSGVLGDIIADCPQSPLPETYYRSPMRDENPLVSDISERIAWLILVLLCKLDAKAKLYNDVALSYTFLANNMQYVVVKVRRSNLGFLLGEDWLAKHEAKVKEYLSKYERVGWSKVLSSLPENPTAAMPAEQIRATFMSFNAAFKETCRKQSTWIVLDAKLRDEIKISISSKVVDKYKKFYEKNRSGSDPVNGLLPEDVTNYLSDILNGNGDSGGGSSHSSSTTSSSHRSPARLDQSQ >OIW06930 pep chromosome:LupAngTanjil_v1.0:LG08:6717019:6717522:-1 gene:TanjilG_18318 transcript:OIW06930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDTSGKKRVRDDSSVSLIESSESKRLREDLLEFFDDTDPTPSTEDLDSIMKSLQDEISASSSPAPINVTSNSGESQPQIGFLLEASDDELGIPPPGDSSVPVGKKDDAELFRVSSDSSEIGELWPFEDQISSYDSFDIGNVFSYENSNTEYVPFDGLFDHSELYYD >OIW06204 pep chromosome:LupAngTanjil_v1.0:LG08:18806615:18810783:-1 gene:TanjilG_03829 transcript:OIW06204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYEPYDSSGTDDDLPPTHQNRIPRGGRLAGNGRSAVGSLPYPQRMYGEIDMETQIHQLEQEAYSSVLRAFKAQADAITWEKESLITELRKELRLSNEEHRELLGRVNADDVIRRIREWRQAGGHQPGMLSTGQALHDSNPSPTVSASRKKQKITPSVPSRSFGGPSPFPPQTVTAPHQPSSSGKRGPVPGSKGKKQKPSQIAPGVSSMKQYPSSGPGGRNQVPNRAEGASFDSLIGRRVRTKWPDDNNFYEAVITDYNPADGRHNLVYDMGSTEETWEWVNLSEISPEDIQWVGEDPGINQRGAFGGSGGNGMNRPVARDSVPGAGRGRGAPKGQSRKDFLSSQNGIRKKAPDDIKILHTDTLIKEVERVFSANHPDPHEIEKAKKVLKDHEQALIDAIARLDDLSDGESVYALSSHGRARFQERVLE >OIW05618 pep chromosome:LupAngTanjil_v1.0:LG08:24945836:24948073:-1 gene:TanjilG_23404 transcript:OIW05618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKGTATGCYKCGKPGHWSRDCPFSPNLNPNPNPNPIKPNPNPNTNPSVPSSEKPKKLPRTRPKLTPDLLLSDDGFGYVLRHFPSHFKYRGRGHEVSDLGNLLHLYSDWHSRLLPYYSFPQFVSKLEKVAATRRIKTCLRELRERVADGGDPTKLREPPVVDDFLPDEQGDVEASQQGDDVFSAFQNVDDIQDIQEDMLNDIYDTATEEPSQSMHTSIDPSRASKTMATEETSNEVPSDGVSLSGKAEITEEQKSRMEANRLKALERRTEITEEQRARMEANRLKALEKRAARGSPSQAS >OIW06651 pep chromosome:LupAngTanjil_v1.0:LG08:10183699:10186642:-1 gene:TanjilG_04045 transcript:OIW06651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDAAAAAIVEAYYSEFVEFDPKGRYGRYNEILGKGASKTVYRAFDEYEGIEVAWNQVKLYDLLQNPEELERLYSEIHLLKTLKHKNIMKIYTSWVDTSSRQINFVTELFTSGTIRQYRLKHRRVNIRAVKHWCRQILEGLLYLHSHDPPVIHRDLKCDNIFINGNQGEVKIGDLGLAAILRKSNGARCVGTPEFMAPEVYEEDYDELIDIYSFGMCILEMVTFEYPYSECNHPAQIYKKVVSGKLPEALYKVDDPEVRRFVEKCLATVSLRLSAKELLEDPFLHIDDSGSDFHCSSNTSMSGYTNNNNIGGYGPLYELDYHQHDFETREIDHFECEEHDSLAEVDTSIKGSRREDEGIFLRIRIADKEGRVRNIYFPFDTKTDTALSVANEMVAELDIINQDVTELADMIDNEIETLVPEWKRGPRIEESSECTSTSLCLNCATNGSFFDHVSSNNPTAKNLKFLDCSKKGCAAVHGRFEEITYQVEDSENSATEGAPAASSQTTSIHYTNINIWAKRDEPELVPEGLKDIHCKKAHYASNISTMKEDGKSIDESDPNDRKPCSSLASNCVISDYENEIRQELRWLKAKYQMQLRDFRDQQLEHGKGGVGRVSITSHLKLHNNKPSLGSMIPEKCTNVAIQNVDETSGSNNPEQMVTAKGFFKGALLPHLLHRATSLPVDAVDV >OIW06014 pep chromosome:LupAngTanjil_v1.0:LG08:21926642:21927949:-1 gene:TanjilG_11701 transcript:OIW06014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMENEEVSFADTVFGFWDEFQVQSENSSNSSSFDEDYNDEEESFCSDEKNKAFWEEQDQLLQGTLCRTSSSETKVRHATKEALREFNMSERACICWRPEAAAAKTKTCRDCLQRELRDRLLKLGFNCFICKSKWTSSPGITSGEHTYLEVVDKSNTKRGEVKVVIELSLRGEFEMARANEEYNQLLRKLPEVFIGKSERLKVLVKIMCSAAKKCMKDKKMHLAPWRKQKYMLAKWVGTYDRSIMEPLPRVYNAKPQKPKTSMLTFDLLENISGLHCNNAVEVV >OIW05794 pep chromosome:LupAngTanjil_v1.0:LG08:23720740:23733011:-1 gene:TanjilG_23580 transcript:OIW05794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMDLVPSCKEKLTYFRIKELKNVLTQLGLSKQGKKQDLVDRILAIITDEQVSKMWAKKNAVSKEQVAKLVDDTYRKMQIAGGATDLASKDQGASDTSSTVKIKGEIEDSFQSDTKIRCLCGSTLETEPLVKCDDPRCHVWQHISCVIIPEKPIEGIPPVPDKFYCELCRLSRADPFWVSVVHPLFPVKLNPTSISTDGTNQVQSLERTFQLTRADKDLLSKQEFDVQAWCMLLNDKVSFRMQWPQYTDLQVNGVPVRAINRPGSQLLGANGRDDGPIITPYTKDGINKIYLTGCDARVFCLGVRIVKRRSVQQVLNIIPKEPDGELFEDALARVCRCVGGGNADDIADSDSDLEVVSDTFTINLRCPMSGSRMKIAGRFKPCVHMGCFDLDVFVEMNQRSRKWQCPICLKNYALENIIIDPYFNRITSKMIRCGEEVTEVEVKPDGSWRVKAKSENEQLELGNLAQWHSPDGSLCISNDGEVKRLETLKQVKQEASDTPTALKIGIRKNSNGVWEVSKPEDTNTSSGNRLKEVFGNHEQVIIPMSSSGTGSGRDGDDPSVNQGGSGHLDYSTTNVVELDSLYLNNGASAYGYTAHNTFAQTDGTEVIVLSDSDEDNDILIAPAVANNRNNQTDAVGDGYSVPPPGIVDSYIEDHSLGGNSCLGLFPNEDDFGMHSSLWSLPSGTQAGPGFQLFGSDADVSDALIHLQHGSINCSSSLNGYSLAPDAALGCSNIPDSSAAPDLNGGLVDNPLAFAGDDPSLQIFLPTRPTESSVQHESRDQANMSNDVCTEDWISLSLGGAAGGSNGNASTQNGFNSGLQVPTREAATNNLADTAHLLLGVNDDRSVKTSRPRSDNPFSFPRQKRSVRPRLYLSIDSESE >OIW06862 pep chromosome:LupAngTanjil_v1.0:LG08:7833675:7836729:-1 gene:TanjilG_18244 transcript:OIW06862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESHNENVKNSNSNRKRKRKQIAPTKSLNKVPLASASASEQLGFFVEQFQSANGLQISSLELESLKDTCILELPQDSHLDVNMLGENIRPAFGTSWKEELCEGKLVEGKIDAGSPSVLIISSSALRCIELLRGFRSFTKECHAVKLFSKHMKVEEQIPLLKNRVNIASGTPSRIKKLIDIEALSLSRLKVLVLDMHPDVKGYSLLTLPQVRDEFWDLFKNYYYQPMIKGDLRICLYGPYQLAVRVKGKEGPSAPKKE >OIW05944 pep chromosome:LupAngTanjil_v1.0:LG08:22484284:22486108:-1 gene:TanjilG_07220 transcript:OIW05944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLLRSNKPKLFSSSLSRTFSSLPTSTPRIKPLPTATEIFHRNTATLSPENENPSKGNLTGEFGKFNSFPSLNNPIAMLPSDSIDKINNPLGYKASGQNTTVLAGAGYVIGSSRLWAAKSPMLLGANAVMARSLQRSVDTDTLGLTGHKRFMSDTAGTISETKTYGLRPLSPHLPLYQPQLSSTLSIFNRISGALLSTVILLFYMIYMKIGLISLSYDSFYQFLFYSSKLNLLAVELSGLALSYHLYAGIRHLVHK >OIW07251 pep chromosome:LupAngTanjil_v1.0:LG08:2816313:2818604:-1 gene:TanjilG_08366 transcript:OIW07251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIEHFRLLAIENRADHRLQGLRDRIFPSKGKNAKVPENSPSVTLPTKPKEGSLSSLKAEARKAKAAAKKVVTPRDEKCGGIENLDKVSTILSARRAKVAARKKFIQRESSPTCQPDEVTGDEKDDDGYSQLQTSNGTSKSRVQNTSKPELSKQKVPKKNLEGSTELWKDKAEMSEPLTFLVEAVRRNKSSNKSPMKEIAVTPVPVDSSDSDSEVPKFQVKKHSHTGGKRDSDSTKLRRLRDTQERTVKFYGDLNLPAPAPVIGSSTERNNKFGNLSAQPVIGSSGKSEKIFGGPVWFSLVASEDKEEGARLPQISSCFIRVKDGSLPVSYIEKYIAKKLDLPSDAQVEISLWGQPVLSSWKLQNLVEMWLQTMPKDEKIHTSVGSSAKDFVMVLSYGL >OIW05824 pep chromosome:LupAngTanjil_v1.0:LG08:23434078:23445493:1 gene:TanjilG_23610 transcript:OIW05824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDNEPGFTPGTLVEKNFSFLAASGPSVEDLGHHAGYYSLPHTKAASKDDPIVIWLTGGPGCSSAIAMFYENGPFKLANNTSLVWNDYGWDKASNILFIDQPTGTGFSYSSDIDDIRHNETGVSNDLYDFLQGFAIGNGLTNPGIQYPAFTDYAVDKGLITKEDQNRINMLIPDCEQATKSCQTNGEDSCLQAYKACLTIFEDILSITGNINYYDVRKQCEGSLCYDFSNAVSFLNDETVKAALGVKNLKFVSCSETVYSAMLNDWMKNLEVDIPTLLEDGIKMLVYAGEEDLICNWLGNSSFSFRKLIIGMHIINFKMYSDELGWK >OIW06462 pep chromosome:LupAngTanjil_v1.0:LG08:11922988:11923627:1 gene:TanjilG_05233 transcript:OIW06462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHKLPLLQFLIVLMIFSFLLSSATVPTARRLLLNNEKSSVQTTQVQGDLVLKNRREMIDVEEELKVKERMDLENADYPGTGANNRHDPKSPGRA >OIW06949 pep chromosome:LupAngTanjil_v1.0:LG08:6987962:6989444:1 gene:TanjilG_18337 transcript:OIW06949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSSLRESSQMPSLFGRQRPLHLVLGGGKLADILLWRDKRLSAAIVAGFSLIWVLFEVAEYNFVTLLCHILMAIMFILFVWYNAAGLITWRVPEIYDVQIPESTFRFFYIKLNLFLRIFYDISTGKDMKLFFVTIASLFIMSAIGSYFTTLNLLYMTFLCLVTLPVMYERYEYEVEYLASKGNNDVKRLFNKFDSNVLNKIPRGPIKEKKHR >OIW06304 pep chromosome:LupAngTanjil_v1.0:LG08:15572562:15573551:1 gene:TanjilG_17678 transcript:OIW06304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFLPKPLNKTLNSLSILASALRHFTAQSATAASKPFPDDPTSAYYDELATAAGNSGDLDAFRDLLNKRIEDGCFNTKRTFKFLTNTSSINDLIPTLSNLNPGVTRKSAFDSLVNRLCRLNRVDDALRVVETMVRDGTFSITASTFYPIINILNHNKSVHRARCVVDLMAGLGVRRDLTVHNLFLMTHCSAGDMAAAAEVLREIEGDGFFADSRTFDALVIGACKTGKVEGAMVLVRRMVDDGVPMLYSTHMFVIGALLEKGCFEQTVKYVKCFGGKDKALDADIYGCLASKLAKLKRVKEAMMVLEEMKQRGLSMGDKLKSFYERNGA >OIW06093 pep chromosome:LupAngTanjil_v1.0:LG08:20682156:20682502:1 gene:TanjilG_29849 transcript:OIW06093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLLHEFAHEKSQKYKEGKFVLERGRIVDGIDFSAAEFFIESRANMSDAEDA >OIW06724 pep chromosome:LupAngTanjil_v1.0:LG08:9202217:9206484:-1 gene:TanjilG_11449 transcript:OIW06724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISLNLCNGNSTITHEDPLNWNKAADALKGSHFDEVKRMVEEYRRPVVKLSGENLTIAQVAAVAGHHRAVTVELAEKARGGVKASSQWILDSIKNGTDCYGVTTGFGSSSHRRTNQGGALQNELIRFLNAGIFGNGTESKHILSHSATRAAMLVRINTLLQGYSGIRFEIMEAIAKFLNQNIIPCLPLRGTITASGDLVPLSYVAGLLIGRPNSKSIGPDGKVLNAEEAFELAGIEGGFFKLLPKEGLALLNGTAVGSGLASKVLFDVHILVILSEVMFAIFAEVMHAKLEFTDHLTHKLKHHPGQIEAAAIMEHILDGSAYFKVTDEIYSLQKPKQDRYALRTSPQWLGPQIEVIRHSTRMIEREINSVNDNPLIDVSRNKAIHGGNFQGTPIGVSMDNTRLAIASIGKLMFAQFSELVNDYYSNGLPSNLTASSNPSLDYGFKGAEIAMASYCSELQYLANPVTTHVQSAEQHNQDVNSLGLISSRKTSEAVDILMLMSSTFLVALCQAIDLRHLEENLKSCVKNTVSQVAKRVLTIHTNGELHPSRFCEKDLLKVVDQEHVFAYIDDPCNAKYPLMQELRRVLFDHALNNSDNEVNPRTSIFQKIGAFEEELKTLLPKEVENARVEVEKGNPVVANRIKECRSYPLYKFVRETLGTSLLTGEKVRSPGEECDKVFSALSEGNFIHPLLDCLKEWNGEPLPLH >OIW06359 pep chromosome:LupAngTanjil_v1.0:LG08:14621871:14624217:-1 gene:TanjilG_15004 transcript:OIW06359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDSLFGEGLTLLGERLFQLTWSQNFGFIYDQKNLSRLGTFNHEMKEGWGLATDGKVLFGSDGSSTLYRMDPQTFKAVSKEVVYYKGHQVQLLNELEYVNGEIWANVYMVCLFTCSTKIIGDNRSLSLKFCKVKTNVSHICIYLWSKVLY >OIW06096 pep chromosome:LupAngTanjil_v1.0:LG08:20698170:20698612:-1 gene:TanjilG_29852 transcript:OIW06096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSILVTRRTIYQVVRIRALNPRGRWTIINGVYVFDSLYINHGYNYGRVSRMNTTPYMYHSNNNDDGDSWMNTTPYMDHDNNNNNSNNGRVSRVTPAPEDSIENLESWIRSNSHALLSCLS >OIW05743 pep chromosome:LupAngTanjil_v1.0:LG08:24110709:24111350:1 gene:TanjilG_23529 transcript:OIW05743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKRLSREEVLAKKLRYPLSLRVFTLFLRQCNLIAIPFVVFLDKKTVLQHWKNQQSWEAPPHQSFGYNCLQVLGAFFVIAVGRILQQNAEVNGILMFAIAAFGFVLNFIMVVWLGHDHGFGVSDHSRSHRRHHGCGDSDNDQGHHHSCGHSNHIIEGGTT >OIW05672 pep chromosome:LupAngTanjil_v1.0:LG08:24578242:24579896:1 gene:TanjilG_23458 transcript:OIW05672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHSFFLSLSLSLLFVSVFSSDGADPLIRQVIDGDDARLGAEDHFSAFKIRFGKTYSSEAEHDYRFKVFNANLRRAKRHQNMDPSATHGVTRFSDLTPSEFRNSVLGLRRLRLPSDANKAPILPTDNLPNDFDWRDHGAVTPVKNQGSCGSCWSFSTNGALEGAHFLSTGELVSLSEQQLVDCDHECDPDEPGSCDSGCNGGLMNSAFEYILKSGGVMREKDYPYSGTDCGTCKFDKTKIAASVANFSVVSLDENQIAANLVKNGPLAVAINAVFMQTYIGGVSCPYICSKHLDHGVLLVGYGSDAYAPIRMKEKPYWIIKNSWGENWGENGYYKICRGRNICGVDSMVSTVAAVHTSTT >OIW06609 pep chromosome:LupAngTanjil_v1.0:LG08:9930733:9936646:1 gene:TanjilG_04003 transcript:OIW06609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSTDNIRPHSTSERDDRSMKYVEEAEEASDGTGTRKFYSVNNNSSSSSSSSRTDTRKRSSGLSRADSDDNNDYDSWSKQLKKRLEESALDKLSSWYEDGELDNSNGDKSAKLEHDGSHNRTRIKDDGSRNVQVEKVDKDYRYVEKIDSGREKGYGSSEQLRSSRRRWDEADVVQRNEDSFSDKGDIRSGKSSDAKRESSRERSGSVRNEHGESKTKVVDPSSDKVVKSNSRDDRKADSERCKSKGGRLEPLDVGCEDNKLDRDRTEKSRHQRMPTSYNAAECWDRPLNADGDGNMRIRDKTTRETGNSNRSWTPEMTGKWHQDSENSEMDYERSSSFKRKDLENDVYKDDRFKGKDDTWHDRKKDWENTKEIGKRRQPNSIDSDSKGDDSVLDHNRDWEFPRHGYDRIDNERPHGRAGGRKDIIRGEAVKATSKFGISNANYDVIEIQLNNHGKTESVSNLARRTEANQQYNAKSGANDEEWAYRQEERSRMNDSSGSGLPSEDMKERYGDDDYDVNGGRGRGQKGVVSGHSIGVQSSSSGGSQPQYENMESGSFNRAGPQGMKGNRVGRGGRIRPTGRDNQQVGMPLPMMGSPYGPLGLPPPGPMQPLPHGMSPAPGPPMSPGVFMSPFPPAVWPGARGVDMNIMGVPSAVSPVTPGPSGPRFSAPNIENSPNPAMYYNQSGLGRGIPPSIVSPGFNPIGPMTRGTQPDKPQGGWVPPKSGTLGKAPSRGEQNDYSQNFVDTGMRPQNFIRELELTSVVEDYPKLRELITKKDEIVTKSSSAPMYYKCDLKEFELSPEFFGTKFDVILVDPPWEEYVHRAPGVADHMDYWTFEEIMNLKIEAVADTPSFIFLWVGDGVGLEQGRQCLKKWGFRRCEDICWVKTNKSNATPGLRHDSHTLFQHSKEHCLMGIKGTVRRSTDGHIIHANIDTDVIIAEEPSYGSTQKPEDMYRIIEHFALGRRRLELFGEDHNIRAGWLTVGKDFSSSNFNKEAYIKSFADKDGKVWQGGGGRNPPPEAPHLVVTTPDIEALRPKSPMKNQQQMQQQQQQSVSITLTTPSVSNRRAAAGNSPQNLTSLGVNQDSSSSNPYTPAPWGENFKGSEGSALPSDDKLNDMYAFHGPATTYLDFESYRQINML >OIW07232 pep chromosome:LupAngTanjil_v1.0:LG08:3224182:3227032:-1 gene:TanjilG_08347 transcript:OIW07232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLKASLDPETLYLSSWTNNGKPCGDSFEGVACNEKGQVANISLQGKGLSGKLSPAIGGLKHLTGLYLHYNSLYGEIPRELANLTELVDLYLNVNHLSGNVPFEISYMENLQVLQLCYNRLTGSIPTQISALKKLSVVAMQSNQLTGAIPASLGELTTLVRLDLSSNNLFGSIPSSLADAPLLKVLDVHNNSLSGNVPIALKRLDDGFSYEENLGLCGVGFSSLKTCNASDHVNPSRPEPYGASTRDIPETANVNLPCKGTRCLKSSKSNHATSITVGTIVALVAMSAIGVLAFTMHRRRKQKLASSFQISDGRLSIDEAKGTYRKSGSPLVSLEYSKGWDPLADSRNFNEDSYDMFKSFRFNLEEVESATQYFSELNLLGKSNFSATYKGVLRDGSVVAVKSINKTSCKSDEDEFLKGLSTLTSLRSDNLVRLRGFCCSRGRGECFLIYDFVSNGNLSRFLDVKEGDGEVLEWSTRVSIVKGIAKGIAYLHAYKANKPVLVHQNISAEKVLVDHRYHPLLADSGVHKLLTNDIVFSSLKACAAKGYLAPEYTTTGKFTETSDVYAFGVLVFQILSGKKKITSSIRLAAEFSRFQEFIDPNLHGRFFEYEATKLAKIAFLCCHESPFERPSMEAIVQELGNCSSCL >OIW07006 pep chromosome:LupAngTanjil_v1.0:LG08:6465841:6468718:1 gene:TanjilG_02640 transcript:OIW07006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRILTGKRYFGEDLDASDIEEAKEFREIIKELVVFSDFIPAVGFGWLGFDPEKNIKSIGLRFDAFIQRRIDEHRNGKKIINSMIHHLLTLQQSEPQYYTDQIIKGLILDLLIGGTDTSGTTLEWTMSNLLNHPEIIKKARKELDIHIGQDRLVDESDIAKLPYLQNIVRETLRLHPVLPLLVPRSLSKDCIIGGYKLPQNTTLVVNAWAIHTDPNLWTDPLLFKPERFEKEGEANKLLAFGSGRRSCPGANMAQRTMSLTLALLIQCFEWKRTKEELIDMTEGNGMIVVQKKFQLEAMCRVRQLSTIKDIL >OIW05841 pep chromosome:LupAngTanjil_v1.0:LG08:23248675:23254235:-1 gene:TanjilG_23627 transcript:OIW05841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRGGSYQPEQRRDRPSPLQPTPSEVAGRGRGRGRGRGISGQGRGATHAPAPVTGSPSQSPVFDSQPPVIGSSSQAHVAPASSSVTVPPAASVEVAPPTASTSVEALTSEVAERLTLNAPAPAPAPSSSKAIRFPNRPNYGTLGKKIRIRANHFLVQVADRDLHHYDVAINPEVTSKKVNRDIMTQLVETYRETHLGKRTPAYDGRKNLYTGGALPFSSRDFVVKLADHDKQASSEGSASKKREREFKVTIRFASKPDLHHLQQFLRRQQLDSPQETIQALDVVLRATPSLKYNVVGSSFFSPDLGISGLPGSGIGPLGSGTEYWRGYYQSLRPTQMGLSLNVDVSARAFYEPILVSDFLVKHFKFNFSRPLSNQDRIKVKKALRGVKVELIGIGASRSYKVSGISKEPLRELTFTLDDKNTKKTVVQYFYEKYDVQLKYTNLPAVQAGSDTKPAYLPMELCQIAAGQRYTKRLNEDQVTALLRATCQRPHERENYIKQILRKNNFNTDKLVHDFGIQVNEELATIEARVLPPPRLVYHQTGKESSVDPWMGQWNMINKKMIDGGKVLHWACVNFSTRVSRDLPSTFCFELVNMCTSKGMVFAREPLIPIISAQPSQIEKALVDVHKQSVSKLANMKQEGKLKLLIIILPDVKGSYGIIKRICETELGIVSQCCQPRQASKLSKQYLENVSLKINVKVGGRNTVLNDAMQRKIPHVSDLPTLILGADVTHPQPGEDSSPSIAAVVGSMDWPWVTKYRGIISAQSHREEIIEDLYKSYQDPKRGLVHGGMIRELLRAFYQTARVKPARIIFYRDGVSEGQFSQVLLHEMDAIRKACVSLEEGYLPPVTFVVVQKRHHTRFFPVDRSQMDKSGNIMPGTVVDTSICHPREHDFYLNSHAGIQGTSRPTHYHVLYDENNFTADELQSFTNNLCYTYARCTRSVSIVPPAYYAHLVAFRARYYIEGESSDIGSTSGGSGNRVSNVMVTMPSVMDSVKEVMFFC >OIW06635 pep chromosome:LupAngTanjil_v1.0:LG08:10081558:10082700:-1 gene:TanjilG_04029 transcript:OIW06635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNETLRKKHVREAPSVPFLWEVKPGIPKKDWKPEVEPSVTHFPKTPLKQIASVPFVWEEKPGTPLPNYHFSVPLKPHAMLIHVASSSGNSVAFNYSSSDESQSINSTMGLANCLELSAKVSNAIPVNGNSFCNYSCDQLQTPLSPTSSETDSSTSSYATGISSPVGVSFLESLFPLYIPKTKRDVHSEKVVSSIPKEQSPEDNNISDMVRRPPTLEELIMMSRRRSNRRKAFQKWDPPKKMKINEAFGCCSFVTNSNMIEGLIKRKYFPRLKLA >OIW06953 pep chromosome:LupAngTanjil_v1.0:LG08:7016123:7019272:1 gene:TanjilG_18341 transcript:OIW06953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVVNVGELSHCALGFYGGHWSPNGDSILAHRYGGSFHLWKNVGNDNWLLQKVPSGHFSVVTDIAWARSGDCMTRQLEFLLHGKLRLLSVFWHEIARPQIHGHDINCMTVVPGKGNHRFVSGADEKVARIFEAPLSFLRTLDNATLQMSYSGDDVLTDVQILGANMSALGRSQKPIYVQAIREAPERNGIDGLDTLETIPDAGELVASSCKVWLWEVGSWKSVGRLQSHSLTVTQMEFSHDDNFLLAVSRDRQFSIFTITRTDTGGISYSLLAKQEGHKRIILSCSWNPHGHEFATGSRDMSVKIWAVEKGSSVRQLMTLPQLASSVTALSWAGLRDRRNDGLLAIGMENGQIELWRLSYNRADDGSIAAPSVAAALVVRIDPFICHASTVNRLAWRNNEEDHTSMQLASCGADNCVRVFDVIVE >OIW05975 pep chromosome:LupAngTanjil_v1.0:LG08:21576632:21577177:-1 gene:TanjilG_11662 transcript:OIW05975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQRFIVSNSVSYVVPRQNSQLRISVHRYDVFVSFRGEDVRNNFVDHLFGALSKNNITIFKDDTKLNKGEAIAPQLLHAIEGSRVLIVVFSKNYASSTWCLRELAKIVDCLNLSTKQNCVLPIFYDVAPSDVRKQSGNYEKAFGEHEERFKENKQMMVQIQKWKEALTQVANVSGWNLQNK >OIW07407 pep chromosome:LupAngTanjil_v1.0:LG08:738:1596:-1 gene:TanjilG_10242 transcript:OIW07407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSSKNQLKTTISWQERRKLDREKKQQKEDEQTLAKVEVPIPQSNIGFKLLKQMGYTPGSALGKQGLGIAEPVGLEIRRSRAGIGLEDAHKEKRKKEEIMVDRKRRKDEVLMEEFGSRQKSLWQSRRIVVNFNKAKAALDQLENREVVETQKNEDDLEDEEEEEEKITEEELHDVLMKLRDDFNYCLFCGCKYESKDALLDNCPGTNEDDH >OIW06405 pep chromosome:LupAngTanjil_v1.0:LG08:13195766:13200426:-1 gene:TanjilG_16817 transcript:OIW06405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKIEIKKIENLNSRQVTFSKRRNGLMKKARELSVLCDAEVAVIIFSSTGKLYEFSNSSMEHTLSRYSRGLDLECGEQSSDEPPTMVIESDSNLLKDEITKLRSAYLRMIGKELDGLSLKELQHLENQLNEGILAVKDKKEQVLLEQLRRSRLQEEKAMLENESLRKQLLEMENSTRSQFLQLNSLDRPNTINCSKFLSNCASEDNELSDASLQLGLSTDYGRNRKTLKIEPCNGSGSQVASQ >OIW05557 pep chromosome:LupAngTanjil_v1.0:LG08:25339111:25341741:1 gene:TanjilG_23343 transcript:OIW05557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRTLSRYNECIDSSDAAVVEYRKEEESKMVEMLKDEIANLEAKQLRLLGKDLTGLNTKELQLLEHQLNESLLAVKERKDELLMQQLEQSRVQVEELRCLFPLTERVVPSFLPYRKMERKNALVDNGVKCHNLVSNCANEKGYSDTTLHLGLPNDVHQKRKAPEKETISNDSGSEMALL >OIW05958 pep chromosome:LupAngTanjil_v1.0:LG08:21286025:21288589:-1 gene:TanjilG_11645 transcript:OIW05958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDNQFQGSGNWWESSRNMRFESGDQSQSSSSGLTNIGNFCWQQQQHDMVVDHMKPRSSSSMEGTTSVQVFHDKLQQQQQDSCATNSTNDHNNLHMMGLGLSSQAMDWNQASLLRGEKASESSFRSMLQENLSSSNTNFQHETGIGLSQQVQWRPEPSSTNEFKQVNRGFSLDQTQFSPQYSSGDSNVTSQGIPSTFHTDHYPSVLQGLLGPESNQQGSFENIRPMSFPYSPSYGLNSNELIPSWPGSKVPQFLRASPPKQPPIPNSNQLHFTNNAPFWNASEAPIKDARPSFFPSLQQPFSTPSFDVQSKNISEVRDSGAVMKKSGSEPPPKRPRNETPSPLPAFKVRKEKMGDRITALQQLVAPFGKTDTASVLSEAIEYIKFLHEQVTVLSTPYMKSGAPTLHQQNSGNSKEGEGPKQDLRSRGLCLVPVSSTFPVTHEPTVDFWTPTFGGTYR >OIW07086 pep chromosome:LupAngTanjil_v1.0:LG08:5588758:5591469:-1 gene:TanjilG_02720 transcript:OIW07086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLLLRAMILPLFLLLISSSPVYIVAQDCGNNGITKTIVVDKSGKADFTTIQEAIDSIKENNNQWVKVRINAGTYTEKVDIPYNKPCIYLEGENKDSTIITYDDHQQTDTSATFSSAPNNIIARFITFKNSYNLQDGKLGTKDREVVPALAARIYGDKSVFHDCNFIGFQDTLWDVEGRHYFKDCTIEGAIDFIFGYGQSYFENCILNATSSGYVTAQGRHERNETSGFVFSGGKLIGNGQTFLGRAYGPFSRVIFYGTYLSSVVVPQGWSAWKSNNGSGTIYIEAECEGPGANTTGRVPWSKKLDRSKMGNYTRKFFIDQDGWLSHIPIKF >OIW06426 pep chromosome:LupAngTanjil_v1.0:LG08:11515021:11518860:-1 gene:TanjilG_05197 transcript:OIW06426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNSEKDVTLLLNQNIKDNVLLQSNASNVTSTPKQPFFIGVAGGTASGKTSVCNKINNHFRDQHVVLINQDSFYYSLNDNMVQKVHEYNFDHPDAFDTELMLSSMEKLKLGQAVTIPNYDFNRHKRAEPGCKVNPADIIVFEGILVLHDSRVRDLLNMKIFVDEDSDVRLARRIQRLAIERGRNIQNVLDQYSRFVKLSFEEFVLPTKKYADIIIPGGGDNEVAIDLIVQNIRMKLGQHDLCKIYPNIFVMLATFQIKGMHTLIRDVRTTKHDFVFYSDRLIRLVVEHGLGHLPFTEKQVKTPTGCVYPGVIFCSRLCGVSVIRSGESMENALRACCKGIKIGKILIHGQGTNGRQLIYEKLPKDIASRHVLLLDPVLATGNSAVKAISLLVKKGVPESNIIFLNLIAAPQGIHEVCKRFPMMKLVTSEIDASLNENSRVIPGLGEFGDRYFATDDD >OIW07180 pep chromosome:LupAngTanjil_v1.0:LG08:4497624:4507159:-1 gene:TanjilG_10153 transcript:OIW07180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITVQGDHNRLYNHQPPPPPPQINGSAGSSRQQFTSDRVEPFSVKHEPASLTLLPLRGHDSNEVDEDFHLTLAHQMYKSGNYEQALEHSNIVYERNPLRTDNLLLLGATYYQLHDFDMCVSKNEEALRIDPHFAECYGNMANAWKEKGNIDLAIRYYLIAIELRPNFADAWSNLASAYMRKGRLTEAAQCCRQALAINPLMVDAHSNLGNLMKAQGLVQEAYSCYLEALRIQPTFAIAWSNLAGLFMESGDFNRALQYYKEAVKLKPSFPDAYLNLGNVYKALGMPQEAIVCYQHALQTRSNYGMAYGNLASVYYEQGQLDMAILHYKQAVACDPRFLEAYNNLGNALKDFGRVDEAIQCYNQCLSLQPNHPQALTNLGNIYMEWNMVSAAASYYKATLSVTTGLSAPYNNLAIIYKQQGNYADAITCYNEVLRIDPLAADGLVNRGNTYKEIGRVSEAIQDYIRAITVRPTMAEAHANLASAYKDSGHVEAAVKSYRQALILRTDFPEATCNLLHTLQCVCSWEDRDKMFKEVEGIIRRQINMSVLPSVQPFHAIAYPLDPLLALEISRKYAAHCSLVASRFSLPPFSHPAPIPIKQDGGCERLRVGYVSSDFGNHPLSHLMGSVFGMHNQKNVEVFCYALSPNDGTEWRQRTLSEAEHFVDVSAMSSDMIAKMINGDKIHILVNLNGYTKGARNEIFAMQPAPVQVSYMGFPGTTGATYIDYLVTDEFVSPLRYAHIYSEKIVHLPHCYFVNDYKQKNQDVLDANCQHKRSDYGLPEDKFIFACFNQLYKMDPEIFNTWCNILKRVPNSALWLLRFPAAGEMRLRAYAVAQGVQPDQIIFTDVAVKGEHIRRSALADLFLDTPLCNAHTTGTDILWAGLPMVTLPLEKMATRVAGSLCLATGLGEEMIVNSMKEYEDRAVSLALNRPKLQALTDKLKAVRMTCPLFDTARWVRNLDRAYFKMWNLHCSGQRPQHFKVTENDMECPYDK >OIW06578 pep chromosome:LupAngTanjil_v1.0:LG08:9710348:9710785:1 gene:TanjilG_03972 transcript:OIW06578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFRPRYPCHQSLKPTSPIPFNSPIPTSKLHSFSTITTTHFTIPHNFRGYSSVGGSSESLDQNKEVDTINLKFAEAREEIEMALESKDTVYFDEEAECARASVNEVLDLFEGLLAKLSEKDRAALQRSMGLKIHQLKAELQQLDE >OIW07340 pep chromosome:LupAngTanjil_v1.0:LG08:1193220:1199619:-1 gene:TanjilG_10175 transcript:OIW07340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCTASKLENEDTVRRCKDRRRLIKEAVSARHLLAAAHSDYCRSLRLTGSALCTFAAGEPLSISDDTPAVFLNTKSTYKTTTTSSAAVHHHHQPPPLHIPSLSPSSLHPPPPPFKPTPSPTITSSKLPHILSSSSVSSGNNPHGRKQPPPPQPKLPHILSDSSLSSTPRSNFGSSFYPTAFQRNFTYSNTPSQTSSVWNWENFNPPPTPPGSDYFNNLATKQHQQHETETEQGSGSDSEHSEYKYKQRIDNRNVDLDVQRKYISDYKMKTNVVDDADEERSEYDFFHGKHQDQDHYHHHHIHEEYTETEREEVQCSEWGDRYSTTSSSDDDNEEEEEDADIRSEIGTRSNFGSSVQAESVVGDLVAGTAAPAVASRNVYRPGKSEDAASSSAGSYRTGEVMDMKMVVRHKDLKEIVEAIRDNFEKAAVVGDKVSEMLEISKAQLDRSFRQLRKTVYHSSSLLSNLSSTWTSKPPLAVKYRLDAGSLEEPGSLKSLCSTLDRLLAWEKKLYEEVKAREGVKIEHEKKLSALQSQEYKGDDEAKIFKTKASINRLQSLIIVTSQAVSTTSSAIIGTRDSDLVPQLIDLCHGIMYMWKSMHQYHEFQSNIVQQVRGLVNRSSRSGSTSELHRQATRDLESAVTSWHSSFCRLIKFQRDFILSLHGWYKLSLVPVTNDNIVSREQPSYAYPFFDEWKHALDRVPDTVASEAIKSFINVVGVISCKQSEELKIKKRTETASKELEKKASALRNLERKFYNSYSMVGISLPDSAPDNGLGLDARDPLAEKRLDLATCQSRVQDEMLRHSKAVEVTRAMTLNNLQTGLPGVFQALTSFSSLFTEALESVCNSSYAISR >OIW05572 pep chromosome:LupAngTanjil_v1.0:LG08:25244765:25246156:-1 gene:TanjilG_23358 transcript:OIW05572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEENEWPPWLKPLLQTSFFVQCKVHADSHKSECNMYCLECMNGALCSACLNSHKEHTTIQIRRSSYHDVIRVSEIQKFVDITRVQTYIINSAKIVFLNQRPQPRPGKGVTNTCQVCHRTLLDSFSFCSLGCKIVGSSKKFQKKKKLGETEGSDVEGSMSGISNGSVRNKIHSFTPSTPPPIVVNYRTAKRRKGIPHRSPMGGGLIIQY >OIW06931 pep chromosome:LupAngTanjil_v1.0:LG08:6749027:6750043:1 gene:TanjilG_18319 transcript:OIW06931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKLRIIFNDPDATDSSDDEFEPRKIKRCIREVPLPLTSATDSTTTFTETTISCDERNKSVGGVLSKSCIEGQQQPQTNKRKRVLKQNPSSKLLPIGKYRGVRQRKWGKWAAEIRDPFRSTRLWLGTYNTAEEASQAYENKRLEFEAMAKAQSCNNGCYSSASSVVVPKPAATTATDKSNYTAVESVSEKSSSSTTMEDSESMLSHTSPSSVLDLDTSGSNLIDKGNVSCNEAVEACDFVAELAELEIPDLSTLNLPPLSTYNAAESGADSEPNHGLDFDLLQFDYANGFNDFGGLEDFHIFGFDDKEPSVLPDFDFGDFIADEFAGWIEDPLNATFV >OIW06831 pep chromosome:LupAngTanjil_v1.0:LG08:8283590:8284439:-1 gene:TanjilG_03726 transcript:OIW06831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSPPATRNGGDNRSPSAQPRFHSTVAEHKLRRFNSLILLFRLASFSFSLTSSIFMLTNTRGSDSPHWYHYDTFRFVLAANAIVAVYSLFELGASVWEISRGATLFPEVLQVWFDFGHDQMFAYLLLSASAAGTSMARTLKEMDTCTANNNAFCVQSDIAIVLGYAAFLFLGNDVVLAIPA >OIW06501 pep chromosome:LupAngTanjil_v1.0:LG08:11386873:11392357:1 gene:TanjilG_26690 transcript:OIW06501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMVMVVFTTLLVIFVTLVFKVAYDTISFYWLTPRRIKKIMDKQGVHGPKPRFITGNITDMASLVSTSISQDMNTISHDTVDRLLPHFVAWSGQYGKRFIYWNGIEPRLCLTETELIKEFLAKHSTISGKSWMQRQGSKNFVGFGLLMANGEDWHNQRHTVAPAFMGERLKGYSGDMIECTNEMLQSLQIAFDSGKTEVEIGDYLTKLTADIIARTEFGSNYEKGKQIFHLLTKLQVHCAQATRYLCYPGSRFFPNTYNKEIKSWKKEVERLLMEIIQSRKDSVEIGRSHCYGNDLLGILLDEIQKNGGSLNLQLVMDECKTFFFAGHETTALLLTWTTMLLATNPYWQDKVRNEVREVFNGATPSVDQLSKLNVLHMVINESMRLYPPATMLPRMAFEDIVLGDLYIPKGLSIWIPLLAIHHSEELWGKDANEFNPQRFSSKSFMSGRFIPFASGPRNCVGQTFAIMEAKIILAMFISRFSFTISDNYKHAPVTVLTIKPKHGVQICLKPLEP >OIW06584 pep chromosome:LupAngTanjil_v1.0:LG08:9751278:9756842:-1 gene:TanjilG_03978 transcript:OIW06584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPSKVIHVRNVGQEISENDLLQLFQSFGVITKLVMLRAKNQALIQMQDVATAVSALQFYENVQPNIRGRNVYVQFSSHQELAPMDQNQGRGDEPNRILLVTIHHVLYPMTVDVLHRVFSPHGSVEKIVTFQKSAGCQALIQYQSRQSAVTAKNALQGRNVYDGCCQLDIQFSNLDELQVNYNNDRSRDFTNPNLPVEQKGRPSQLDAGNMYGSGARAAGLSHIGNADAITAAFGGNLPPGITGENERCTILVSNLNPDRIDEDKLFNLFSIYGNIVRIKLLRNKPDHALVQMGDGFQAELAVHFLKGALLFERQLEVNFSKHATILQGADTREYANSNLNRFNRNAAKNYRYCCSPTWVIHLSSLPQDITEEDIVNLVEDHGTILNCKVFEMNGKKQALVQFETEEQATEALVCKHATSISGLVVRISFSQLQNI >OIW06921 pep chromosome:LupAngTanjil_v1.0:LG08:6650782:6654115:-1 gene:TanjilG_18309 transcript:OIW06921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNKIIKRAQKQSAKFGFNENSGSGFQFFDVVVKHASRAATTNSEPQTLSPPVEPPPPPPDPNIIEALPPLQDVPVSERSNLFLRKIQLCCFLCDFSDTLKCVYEKEIKRQTLYEIFDIIQTGAFKFSEHQEELVRMISVNIFRCLPPASHENSTTEIVDPEDDNIYLDPSWPHLQILYEILLRYIISPETDIKTAKQYIDHIFVLKLLDLFESEDQREREYLKTILHRIYGKFMVHRPFIRKAINNIFYSFIFDTQRHNGIAELLEVLGSIINGFALPMKEEHKLFLIRALVPLHKPQSISLYHQQLSYCVIQFVEKDNRLADPVIRGLLKYWPVTNCQKEVLFLGELEEVLEVTQAAEFQRCMVSLFRQIGCCLNSPHFQVAERALYLWNNEHIISLVAQNRNVIFPVILEALEKNMKSHWNQAVHGLTANVRKMLLEMDAELFEECQKQYLEKEARARESEEMRELTWKRLEAVAAQAVRDDMVLSN >OIW06826 pep chromosome:LupAngTanjil_v1.0:LG08:8257075:8258447:1 gene:TanjilG_03721 transcript:OIW06826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVYRIAIGSPREASHPAAIRAAFAEFFSMLIFVFAGQGSGMAYTKLTNNGPATPDGLIVASLSHAFGLFVAVSVGANISGGHVNPAVTFGAFVGGNITLLRSILYWIAQLFGSVVACILLNFATGGMETTAFSLSSGVSVWNALVFEIVMTFGLVYTVYATAVDPKKGNIGVVAPIAIGLIVGANILVGGAFDGASMNPAVSFGPALVSWSWTHHWVYWLGPFIGSAAAAIIYDNIFIGDDAHQPLSNTDF >OIW07040 pep chromosome:LupAngTanjil_v1.0:LG08:6062878:6066366:1 gene:TanjilG_02674 transcript:OIW07040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPKTHFHIVLFLLSSLYITSGSNRQANYDNWISWNVKNYQKKGTLAIKWKGQDLNLRKAESNKVRITVRQDGAGDFKTIREALNSIPPYNTRRVTMLIAPGVYREKLMIPRTMPFITLLGDARDPPTITGNDTASTTGRTFQSATVAVDASYFIAINIKFENSAPHEIGSMGGQGVALRISGTKAAFYNCTFYGTQDTLYDHKGLHYFNNCFIQGSVDFIFGSGRSLYENCKLNSITKKVASITAQKRTNSSLESGFSFKNSVVTGSGQVYLGRAWGDYSRVVFSFTYMDNIVISKGWSDWGDQKRDIGVYYGEYKCSGPGANLTGRVPWARMLSDEEAKPFVGINFIEGDTWLIRP >OIW06344 pep chromosome:LupAngTanjil_v1.0:LG08:14226241:14231266:-1 gene:TanjilG_14989 transcript:OIW06344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METPTTLTGILRSAADRFPSRRAISLAGQFDLTHSHLHDLVEIAAARLVSAGIKPGDVIALTFPNTVEFVIMFLAVIRARATAAPLNAAYTAEEFDFYLSDSESKLLITSEEGNKPAQTAALKLNIQNVTVSLTQTDSKQHKLNLNLNQTESDPNSNSTTELTNDPSDVALFLHTSGTTSRPKGVPLTQHNLASSVRNIESVYRLTESDSTVIVLPLFHVHGLIAGLLSSLGAGAAVTLPAAGRFSASTFWKDIVNYNATWYTAVPTIHQIILDRHQSNPEPVYPKLRFIRSCSASLAPAILSRLEETFGAPVLEAYAMTEASHLMSSNPLPEDGVHKAGSVGKPVGQEMATLDETGRVLETGVNGEVCIRGENVTKGYKNNPEANTAAFQFGWFHTGDLGYFDSDGYLHLVGRIKELINRGGEKISPIEVDAVLLSHPDIAQAVAFGVPDAKYGEEINCAIIPREGSNIDEEEVLRFSKKNLASFKVPKKVYITDSLPKTATGKILRRLVAEHYVSQN >OIW06116 pep chromosome:LupAngTanjil_v1.0:LG08:20893746:20893952:1 gene:TanjilG_29872 transcript:OIW06116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCFCFLVDQRKKVKRCKPVAGSCSRCGGGASVAEMMTQTRFCCVPFYWKSWKAIMCTFCGAMLKSYR >OIW07291 pep chromosome:LupAngTanjil_v1.0:LG08:1623765:1624097:1 gene:TanjilG_11925 transcript:OIW07291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRLNMARDKDMNEHLILTLKPKTHECSICGLEFALGQALGGHMRRHRSKNLNGNMHSSTTKSNNYGSTIYSPSKRIEASNKGVFVLDLNLTPFENDLELLKIEKSNCFV >OIW05883 pep chromosome:LupAngTanjil_v1.0:LG08:22880999:22881911:-1 gene:TanjilG_23669 transcript:OIW05883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATISSAAAALRRLEGKVALITGGASGIGEATARLFSKHGAKVVIADTQDNLGQSVCKDLLEQSSNASFVHCDVTKENDVENAVNTAVSKHGKLDIMFNNAGITGVNKTSIVENTLAEFKEVIDVNLVGVFLGLKHAARVMIPARSGSIINTASVCGSIGGVASHAYTSSKHGVVGLMKNAAVELGPYGIRVNSVSPYVVATPLAKNFFKLDDEGCLSVYSNLKGTSLVPKDVAEAALYLASDESKYVSSHNLVIDGGFTSVNPGFCVFGQSLSN >OIW05970 pep chromosome:LupAngTanjil_v1.0:LG08:21514200:21516343:-1 gene:TanjilG_11657 transcript:OIW05970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVAPRSGDAIFANVERVNAELFTLTYGAIVRQLLTDLEEVDEVNKQLDQMGYNIGIRLIDEFLAKSNVSRCVDFRETTDVIAKVGFKMFLGVTASVANWDADGTCCSIILEDNPLVDFVELPDNCQGLYYCNILSGVIRGALEMVSMKTEITWIRDVLRGDDAFELQVKLLKQVPEEYPYKDDE >OIW06961 pep chromosome:LupAngTanjil_v1.0:LG08:7119114:7122595:1 gene:TanjilG_18349 transcript:OIW06961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METQENSTLHVPMLPNQHRSNDMDSHRPEQLSSLKEEIIVEVKKQLWLGGPLVSVSLLQYSLQMISIMFVGHLGNLPLSGASLGSSFASVSGYSILLGMGSALETLCGQAYGARQYHMLGIHTQRAMLVLIGSSIPLSLIWFYTSNLLILMGQDHEISAQAGIFNRWMIPGLFAFAILQCLNKFLQSQNNVFPLLISSGVTTLVHVVLCWFFVFQLNLGSKGAALAISISYWINVFMLVVYINLTTACAATWNGISKEALNDIISFIKLAMASAVMICFEYWSFEMVVLLSGLLPNPQLETSVLSISLNTCWMVYNISVGLGCVISSRVSNELGAGNAQGALLALRVMMVIAILVGTTIGLVTILVRNVWGKLYSNEDEVIKYVAKMMPLLALSDFLDGFQCVLSGAARGCGWQNLCVGINFGAYYVVGIPTAILFAFVLHIGGMKNENLVLAGALDGNHMWTCSSRDSTGYN >OIW05617 pep chromosome:LupAngTanjil_v1.0:LG08:24952390:24958035:1 gene:TanjilG_23403 transcript:OIW05617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLICLTKHVLSSSYLSHDVVAEQEADRVHGLPGQPTVKFKQYAGYVTVNKTHGRALFYWFFESTEKSQDKPLLLWLNGGQGCSSVGYGEAEELGPFFPQKSNHPKLKLNPYSWNKATNLLFVESPVGVGFSYTNTSSDINELGDTITAKDSHNFVINWFKRFPQFRSHEFYIAGESYAGHYVPQLSELILDNNHNPTKEDYINFKGFLIGNALLDDETDQKGMIDYAWDHAVISDGLYHNITTACNFSLPNSSDACTMELDKYFDVYKLIDMYSLYAPRCFSNQSSTTREPHVIKGVAPHTFSKFDGWHKIAAAGYDPCASDYTEVYLNRPEVQKALHANVTKIPYPWTHCSDNITFWNDAPHSILPIIKKLIAGGIRIWVYSGDTDGRIPVTATRYTLRKLGLNVVQDWTPWYTSQQVGGWTIVYDGLTFVTIRGAGHQVPTFAPKQALQLIQHFLDNNKLPPHPI >OIW06114 pep chromosome:LupAngTanjil_v1.0:LG08:20877957:20882171:-1 gene:TanjilG_29870 transcript:OIW06114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQLKTLIPMVLVSVQITFLLPLVDSSSDSWSYITPPARAVLYSPDTKVPRTGEVALRKSIPANPNMKAIQDSLEDISYLLRIPQRKPYGTMEGNVKKVLKIAVDEKDAILASIPPELKERGSLVHASLIDGKAGLQALLQSIKEQDADKVSVSLASTLDTVAELELLQAPGLSFLLPKQYMQYPRLSGRGTVEFTIEKGDGSTFSPVRGEERKTATIQVVIDGYSTPLTAGNFAKLVMDGAYNGIKLNCINQAIISDNGLDKNSGYSVPLEIMPSGQFEPLYKTKLSVQDGELPVLPLSVYGAVAMAHNEDSEEYSSPDQFFFYLYDKRSAGLGGISFDEGQFSVFGYTTIGRDILPEIKSGDVIRSAKLIEGQDRLVLPKES >OIW06356 pep chromosome:LupAngTanjil_v1.0:LG08:14560387:14564065:1 gene:TanjilG_15001 transcript:OIW06356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDVILHIYDVTNSGSDKTNSTILQINKIFKDGIGLGGIFHSAVQVYGDDEWSFGFCEQGTGVFSCPSGKNPMYTYRESLILGKTNFSIFKVNQLLRELSREWPGSSYDLLARNCNHFCDEFCERLDVPKLPGWVNRFANAGDTAMEVAGNTAVRLRQAKTEIVSASKVAYRFLLGVTNNVKAGPEPPSNSNSGGGSPIFQATWLKNIITTGAKPSTSSEAENQNGVVPQPPIREDDKVLLHHMSSSHDS >OIW06040 pep chromosome:LupAngTanjil_v1.0:LG08:22090593:22093997:-1 gene:TanjilG_11727 transcript:OIW06040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSQPPTATPIPNDVLLKPTGNNIDMAWEWNHMKDHHGPPKVNCWTDPLSPSKWKEEHFVTVPLSGWGLLFYGGYKLFAGGKGKEEERRVELRQPRGGKMFDLPHESFTSLCFAFA >OIW05742 pep chromosome:LupAngTanjil_v1.0:LG08:24111740:24113345:-1 gene:TanjilG_23528 transcript:OIW05742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHRVDHEYDYLFKIVLIGDSGVGKSNILSRFTRNEFCLESKSTIGVEFATRTLQVEGKTVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDLTKRQTFDNVQRWLRELRDHADSNIVIMMIGNKSDLKHLRSVSEDDGHSLAEKEGLSFLETSALEATNIEKAFQTVLGEIYHIVSKKALAAQEASVGTSLPGQGTIINVADSSANTKKGCCST >OIW07203 pep chromosome:LupAngTanjil_v1.0:LG08:4080347:4081925:-1 gene:TanjilG_17751 transcript:OIW07203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFKRFIEIGRVAQINYGKEYGRLVVIVDVIDQNRALVDAPDIVRSQINFKRLSLTDIKIDIKRIPKKKDLISALEAADVKNKWENSSWGRKLIVQKRRAALNDFDRFKIMLTKIKRAAVVRQELAKLKKTAS >OIW07137 pep chromosome:LupAngTanjil_v1.0:LG08:5008848:5012145:-1 gene:TanjilG_10110 transcript:OIW07137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQLRLKQRILEGKRREALNKIFVIKGSITVFCRIRPFVLAEKRRVSEPVSVGSERVLVKYGGTRKDFEFDKVFTEAASQGYIFVEVEPILRSAMDGHNVCVFAYGQTGTGKTFTMDGTKEHPGIIPRALEHLFHEACTGNSSYTFSMSMLEVYMGNLRDLLAPRPNSRSYEPMTKCNLNIQTDPKGLIEIEGLTEVQVSDYAIAKWWYNKGRRFRSTSWTNVNEASSRSHCLTRINIFRHGDASEAKSQLSRLWMVDLGGSERLIKTGAKGLTLDEGRAINLSLSALADVIAALKRRRNNKLTQILKDSLGDGSKVLMLVHIRPSEEDVCETITSLNFAKRTRAVVSNKEVTMELKKQRDKNITELEEEVKETEKQLQNIRDEIKNAEFNLNKSKKLFSTTHSFTENDEVETCINPKDDVKKITETAEECKKYMKSNLSNSGPRFMNPTVASRERQNAVERDSIGLRSKNLRSIVTRSSVQFPCSQSLSYSDIRVKALLQSSKGKSRHIAAETNAVLTERTNALESKTLNPKSMIVTSSDTSLRIRLCHHRRRMSDLI >OIW06993 pep chromosome:LupAngTanjil_v1.0:LG08:6554315:6559106:1 gene:TanjilG_14331 transcript:OIW06993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRIRIEGLLAAFPKLIGSGKQHTYVETENVRYVYQPIEALYLLLVTNKQSNILEDLDTLRLLSKLVPEYSFSLDEEGICKHAFELIFAFDEVIALGPKENVTVAQVKQYCEMESHEEKLHKLVMQSKINDTKDVMKRKASEIDKSKIEKNRGDKGGFGPLQSMGSGRIESSFSDLSISSNGAGFGSGSGFGLNSDVDSFSTKPKARPPTSTGAPPKGLGMKLGKSQRTNQFLESLKAEGEVIHEDVQPKLGQSRSAATLLTDPITLTVEEKINVALKRDGGVSNFDVQGTLSLQILNQEDGNIQVQVQTGENQAISFKTHPNMNKELFANECILGLKDPSRPFPTGQASDAAGVGLLKWRMQSTDESMVPLTINCWPSTSGNETYVSIEYEASSTFDLQNVVISVPLPALREAPSVKQIDGEWRYDSRNSILEWSVLLIDNSNRSGSMEFVVPQADSSAFFPISVRFTATETFSDLKVMNIIPLKGGNPPKFSQRTQLITENYQVL >OIW06041 pep chromosome:LupAngTanjil_v1.0:LG08:22096139:22097882:1 gene:TanjilG_11728 transcript:OIW06041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSISIPTLLSFKPNFPFPTSPSSSHRCQTFSSLSSPKFQPLKPQLTPNNVIGFSPKATRFSIWKRFAVNDDDAVVGLDEADKDARGRSSMPERFRYLAKEAPDNPTRWPWLVVIAVLIYAWRAVLFELSNWRNATFSIVRFIGYTMKYVFAVFYRFIGNPITFSIRCVEDLLYTIRTFYSSIINYTPVPDLTITIVLASVVLAVAEATVPDCVNNQPYVLTVSGFLGYAAVRGYISEPLFWTLLLGVYGFSKLLKKRDDVSSVMPVAAVLAAVGEPWVRVLVIISFTALAIFQHSKMLPEGKEVETAERRLPIPLLVAALAIGIRIAAKWAGYRHLTWMIV >OIW05541 pep chromosome:LupAngTanjil_v1.0:LG08:25432175:25434063:-1 gene:TanjilG_23327 transcript:OIW05541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSNGGVPPGFRFHPTDEELLHYYLKKKVTFQKFDMDVIREVDLNKMEPWDLQERCRIGSTPQNEWYFFSHKDRKYPTGSRTNRATNAGFWKATGRDKCIRNTYKKIGMRKTLVFYKGRAPHGQKTDWIMHEYRLEDANDPQTNNNEDEWVVCRVFKKKNLFKIGNEGGSTQQLNNFSSDTNARSFMHHRENHYLLHHHQQQQQNPRNPSGSGFELDKPELGLHYPLLQHQNPHYSLFHSQQSLLQTHKDVDYDYSYASGIPSVPPLIVKQLMTNPKDCESGSEGLRYQISEAGMEVGSCEAAGGGGRTGEGMNEWGVLDRLVTSHLGNNQDSTKAVRFEDDAANPHNINQLSLRGEMDFWGYAK >OIW07017 pep chromosome:LupAngTanjil_v1.0:LG08:6341603:6342258:1 gene:TanjilG_02651 transcript:OIW07017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKANTKNKISPPTAPHPPYLEMITDAITTLKERKGSSQTSIAMFIEEKHKKSLPSNFNKLLSVQLNKFVKSEKLLKVINCYKISSNSTKETTNKTITATPPPKQKGKRKIVEKTKSLSQLKTPETLKKTTITTAQKKSAVVKVKRLSQVMTPEGMKKKNSNLTPTKRKSTPKPVNSTRPAKKARK >OIW06171 pep chromosome:LupAngTanjil_v1.0:LG08:19705284:19707047:-1 gene:TanjilG_01798 transcript:OIW06171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFIENHSQFIHSLYAIVTKGHWNNLLKVKNGSAFTSTTIHHIILYLSHYGYGPTHSLAFFKWVESTPNYKHSLQCSWAMIHLLTKHKHFKTAQHMLDKIAHRDFLSSPSVLSSLVRGYDDPEVNAQVLSWLVIHYAKLRMTLDAIQVFEQMGLFNVRPHLHACTVLLNCLTKDGVTNMVWKVHKRMVQLGIVENIHIYNCLIHACSKSGDVERVEKLLNEMEVKGVDPDIFTYNTLISLYCKKGMHYEALSIQDKMESGGISLDIVSYNSLIHGFCKEGRMREALRMFRDIKSATPNHVTYTTLIDGYCKTNELEQALKLREVMEAKGLDPGVVTYNSILRKLCQDGRIRDANKLLNEMSERKVQADNVTCNTLINAYCKIGDLESAFKFKEKMLDAGLKPDPFTYKALIHGLCKMHDLEKAKELLFSMLGAGFSPSYCTYSWIVDGYCKKDNVDAILTMPDDFLSRGLCLDVSIYRALIRRLCKIERIECAENLFNQMEGKGISPDSIIYTSLAYVYFKAGKSRAASNMLEEMARRRLMITAKIYRCFSDVDDSGNKVSQIFWDHVVERGLMSRNTMNKIRQMAT >OIW06436 pep chromosome:LupAngTanjil_v1.0:LG08:11580931:11584569:-1 gene:TanjilG_05207 transcript:OIW06436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEREKEREIELETALYTNCLMLGLDPQIIAFGSSNSTPRVGLFRHSNPKLGEQLLYFILSSLRGPIQSAKDFDKVWPIFDSAQSRDFRKVVQRIISELESQDALPRSNSRVSSLATCCGPRFVELLWQLSMHALVEVHRRTFTADVASNPLPAPLTDVAFSHAATLLPVTKAKIALERRKFLKNAEMAVQRQGMWSNLAHEMTAEFRSLCAEEAYLQQELEKLHDLRNKVKLEGELWDDLVSSSSQNSHLVSKATRLWDSLLARKSQHEVLASGPIEDLIAHREHRYRISGSSLLAAMDQSSQLGNLPAAHMDNKEENDGTHFSNETLTRLDDKTGRVHQTVDVAEVIRRWTHALQHIHKQALHLVCSLRSPTLCFLSFIVIYGYWLTMAKL >OIW05821 pep chromosome:LupAngTanjil_v1.0:LG08:23470531:23477092:1 gene:TanjilG_23607 transcript:OIW05821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHPCESWDSKSGKKDFSTAILERKKSPNRLVVDEDINDDNSVVSMHPHTMEKLQIFRGDTILIKAKIRMNKVVRSNLRVLLGDVVSVHQCPDVKYGEHVHILPLDDTIEGVTGNLFDTYLKPYFMDAYRPVRKGDLFLVRGGMRSIEFKVIETLPGEYCVVAPDTEIYCEGDPVKRENEERLGEVGYDDVGGVRRQMAQIRELVELPLRHPQLFKSIGVKPPKGILLYGPPGSGKTLIARAVANETGAFFFCINGPEIMSKLAGESESNLRKAFEEAEKNAPSIIFIDEIDSIAPKREKTNGEVERRIVSQLLTLMDGLKSRAHVIVIGATNRPNSIDPALRRFGRFDREIDIGVPDEVGRLEVLRIHTKNMKLSDDVDLERISKDTHGYVGADLAALCTEAALQCIREKMDVIDLEDETIDAEILNSMAVSNEHFQTALGTSNPSALRETVVEVPNVSWQDIGGLENVKRELQETVQYPVEHPEKFEKFGMSPSKGVLFYGPPGCGKTLLAKAIANESNVREIFDKARQSAPCVLFFDELDSIATQRGSSGGDAGGAADRVLNQLLTEMDGMNAKKTVFIIGATNRPDIIDSELLRPGRLDQLIYIPLPDEGSRYQIFKACMRKSPISKDVDLSALAKYTQGFSGADITEICQRACKYAIRENIEKDIERERKSAANPEAMDEDIDDDVSKIKATHFEESMKYARRSVSDADIRKYQAFAQTLQQSRGFGTEFRFPETGGRTNGTDPFATSAAGVDEDDLYNVKSQLQGRRVSSTVTFALPETAASVAVAATIVGAAATLLIRRTKASESTTQVQLKECENCGGSGICPECKGEGFVLRKRSEESAEKARKLANNMATRFTAGLPKKWSYCTKCSSARSCSTCGGSGKLSY >OIW07108 pep chromosome:LupAngTanjil_v1.0:LG08:5318623:5320402:1 gene:TanjilG_02742 transcript:OIW07108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAKTQFESLRKWVVEHKLRTVGSLWLSGITGSIAYNWSRPNMKTSVKIIHARLHAQALTLAALAGAAVVEYYDKNTEQKAIKSRH >OIW06761 pep chromosome:LupAngTanjil_v1.0:LG08:8902247:8903731:1 gene:TanjilG_11486 transcript:OIW06761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFFKSVFSEDPDPLESESNNDPLNNDHSDPNSTPTEPRSEQIPQTDSSGTGAWNFGGLIQTLTSKSESIIETYRRDLQEFSTGLKKEIEVAQDSLGTVTHVIDEFGNTVVKGTAQIISQGKDAILAVDLDSDSDNSNNTPKQRFGNISDKSLNSKRYSRFDAQVRVIQGDSSTYIEGPEDLDEYNKWKSEFSLDGRSEEIEGFLRENDDMESVYKRIVPDNVDHETFWYRYYYKVYRLKKAEDVRARLVRRMSKGEEDLSWDVEDDDDEEESQTKPEIVTNKEVGGESKGKTIDIDSQIGSSDTSNDETTLISNVEKVRNAGEEESKLERKDKLVQNEELGVKTDKSVEESQVEKSGVVHEVVDGKKETNEETGVGKASKSEVDNAVNKNDSATKSDGKEIAEKETDEAKSVDINNESSKVGSQHSAHDDDDDDDDEDDLGWDEIEDLSSIDEKKVTESGIRSEVDLRKRLSAAEADEDLSWDIEDDDEPAKP >OIW05791 pep chromosome:LupAngTanjil_v1.0:LG08:23747545:23747926:-1 gene:TanjilG_23577 transcript:OIW05791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSMINLHANHGVPLCLSGITNPLALSKEMADHDRRRKAMMRKQRSLAREGVHANQEFIAPQRNFIFEINVEENGDLDDIFNDLFLSHLDLTAKAA >OIW07399 pep chromosome:LupAngTanjil_v1.0:LG08:67713:67997:-1 gene:TanjilG_10234 transcript:OIW07399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METESCETLHPRPLVKATLRLDAESYSVEANKGSLLSEQLVSLKEQSMAILKEFITKHNVPQDVPDELLEASSSEEDDVIPEKPQIKSKKTKLT >OIW06880 pep chromosome:LupAngTanjil_v1.0:LG08:7493308:7494345:-1 gene:TanjilG_19529 transcript:OIW06880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMSKRNYARIDLVELKALIARKVGNQRAEKYFDQLVKLFSSKISKSEFDKVCIMTIGKENIPLHNQLMKGILMNTCLAKTPPQRGSARTGSTISGKVSNGDALPPSPWRHGSLAVQSCKFSKGRQIATGALGKPHSLASEELISKTLKQQSATELNSLGSRPPVSVEDGEEVEQMAGSPTIQSRSPVTAPLGIPMNFGGSRKLLSNVSLCSKYYTETCHSRGDLPDSRSLRSRMEQNLEKEGLTVSVDCVNLLNNALDSYLKRLIESSLALARSRFGNEELRQPNGRLASGSNRLFPRRYMQTTTQSAVTSVLDFRVAMELNPQVLGPDWPIQLEKICMLASEE >OIW06540 pep chromosome:LupAngTanjil_v1.0:LG08:11027917:11048787:-1 gene:TanjilG_29961 transcript:OIW06540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENLINLADVLFAGATPSPEDLLQKLRSDDNVRSALNAFYSVLRRGLEVDGDILRLQSWNLSQIQAISSFSYAIAFATRSLSVEQAEGILVAIVQRSIEFAVSYLEKSEFDGNDLGIQNNMIHLLEIALVDEMDTVADMLQPTSASALVDMLPVVDAYCGKFVDDYTKCHLQGFRCSKEEKTVDWLLKTLDSERVPPDRQRSGFNALTYCQDLNKFVFLSQHWAVIHGQCTPRLILLCNKLNNVRGAFHEKAVGQSFRMRLSFTLRMLKLLTTLTKDVPYVEYDVSLVRAVASYTDALSSLFRVQFEFVDTDATIEGSFESIVLMVVEEFLHNVQVIFGNSSATQNIQACIIASILGSLESSVWRYDKSSLNLNPPLAYFPRFVEKALKLINDLKMQRHQVPLEWKDFGAELFGSSTGSQNDSLSCLFHLESVPLGKSYTSDELLKLIFPSSSQWIDNLLQLALFLYSEGLKLMPKMERSYSSSAKVTGTSDIENAICHEDEALFGDLFSETGRSVACTDGCEQPPAATLISNSSYHNMPIQAAIELLNFLKTCIFSAEWHPSLYVDGCNKLSSRHIDILLYLLNCQGCCSEDKSFSPHEDTKIGHIHELCYDLFYNLLMNHALSDSLEDYLVEKILIVENGAFCYDDRTLTLLAHTLFCRVGSTGSQLRTKIYRGYVSFVFDMVKSVCTKCPSFKDLVGTLPSLFHIEVVLIAFHLSDEAEKVMMVNLIFSTFKEVANLALDLNNTQLTCWALVVSRLILVLRHLIFHQQTCPTALLLDVRSKLRIVSQSEPSMSNKVNDHLSSWPSTALKNLMGALVGEEVVSSLTEQLIDFSGFPVSLGRDDLTIDCLTSKWEDIYLTFSLVLGLWRGKKASAVVDLIVERYFFTLCWDIPCAGSEEHPIISCSRDHPLDLCDMLHFSYFSHSLLGHQQLIEKFTTCPDTVLSLLQHLSAMSMPEGIEEFGWDFLRSGMWLSLILSFTNTGILKYFMDNEISGQGLNWTDNYFGDQTYVELAGNMISSMIASGQFPLLVRLFSSLLNKYVKIHQKAFLATITSRQNQASGFLPVLLLKHTRIDKFLQGELLERSSSNESELESCLTLLSRFDSTVDKKASGILSRTSWECMFHGFPFHLSTPSATMFSCIVSIRGLIFALDGLLKINEAGGNVDMDVEVLREILDAVMNIKFDKIFENIHVKCDTIYHSLTAELEWSDYGNLILVKQMEGFLRDISAGGVSDGNILEWIICQVMEILSSLKKDPSKSVMLNLYLGLESVPVQINKHLELHHSDCLFLIDSLDTCCSESVNVKVLGFFVDLVSGELFPDLKKKIQRKFLDKDSSCLAQWLERRILGTVVDSSSGVNCANGSSSSLRETTINFVLCLVSSPSEQQSKELQNHMFESALLALDTAFLLFDIHVARSYFNFIVQISRGESLMKQLLKRTVMLTEKLAGNDNLLPGLKFLFAFIETVLSDCGSGIISSRRTTKKCSSGNTSGALGHVDVRPVGSMKNSETFTLSANQEGSVSLECDATSVDEDEDEDDATSDGEVVSIDKDDEDANSERSLASKVCTFTSSGSNFMEQHWYFCYTCDLTISKGCCSVCAKVCHRGHRVVYSRSSRFFCDCGAGGVRGSNCQCLKPRKFIGGSSAPVRGTNTFQQFLPFSEDGDQLPDSDSDFDEDMSSDVDNLVRLSIPKEFQDGILLLLEELDIENRVLHLCSSLMPSIITRRASHHNKDKKISLGEDKVISHGVDLLQLKKAYKSGSIDLKIKVDYSNAKELKSQLASGTLVKSLLSVSIRGRLAVGEGDKVSIFDVGQLIGQATIVPVTVDKTNVKPLSKNVVRFEIVQLAFNPVVENYLVVAGYEDCQVLTLNPRGEVIDRLAIDLALHGAYVRRVDWLPGSQVQLMVVTNRFVKIYDLSLDNISPLHYFTLPDDLIVDATLYPASQGKMFLVILSENGNIFRLELSVNGNVGAIPLKEVIQVQGKEIHSKGSSLYFSSTYRLLFISFHDGTTLIGQLSSDAASLVEFSSIYEEQESKLLPAGIHHWRELLSGSGLFICLSSMKSNSALAVSMGEHEMFAQSMRHSVGSTSPIVGVTAYKPVSKDKIHCLVLHDDGSLQIYSHAPVGVDASVSAASEKVKKLGSGILNKAYAGTNPEFPLDFFEKTMCITSDVKLGGDAIRNGDTEGAKQSLLNEDGFLESPSPGGFKISVFNSNPDIVMVGFRVHVGNTSASHIPSSISIFQRVIKLDEGMRSWYDIPFTVAESLLADEEFSLSVGPAFNGSSLPRVDSLEIYGRAKDEFGWKEKMDAILDMEARVLGSNSSHNGSGKKHRSIQSASIQEQVIADGLQLITKFYSSCRQLGCSRLEEARMELGRLKCKQLLEAIFESDQEPVLQASACHVLQAVFPKKEIYHQVKDTMWLLGVVKSSSSLLSRLALGGTVGSWIIDEFTAQMRAVCKIALQRRSNFATFLETNGSQVLDSLMQVLWGILDFGKPDTQTMNSIVMSAVELIYCYAECLALHGKDAGVCSVAPAVVLLKKLLFSSNEAVQTASSLAISSRFLQVPFPKQTMLATDDAAEGVVTIPGPADTSVGNNQVMVEEDAITSSVQFSCDGCSTVPILRRRWHCSICPDFDLCEACYEVLDSDRLPPPHSRDHPMTAIPIEVDSVGDGNEFHFTPEDVSDPNLLSVPADSNTHSSSPSIHVLEPNDSGGFSASLTDPVSISASKRAINSLLLSGLLEQLKGWMDTTSGVQAIPVMQLFYRLSSAVGGPFIDSSKPDSLDLEKLIKWFLDEINLNRPFVARIRSSFGEVAIVVFMFFTLMLRNWHQPGGDGSMPRQSETTCTLDKNVIQFPPSTSASAKTSVDDQEKNDFASQLIQACDSLRQQSFVNYLMDILQQLVHVFKSPVNNESMHSLNTGLGCGALLTVRRDLPAGNFLPFFSDSYAKAHRTDIFIDYHRLLLENAFRLVYTLVRPEKHDRTGEKEKVYKMSYGRDLKLDGYQDVLCSYINNPHTNFVRRYARRLFLHLCGSKSHYYSVRDTWQFSSEVKRLYKHINKSGGFQNPIPYERSVKIVKCLSLMAEVAAARPRNWQKYCLRHGDILSFLMNGVFYFGEESVIQTLKLLNLAFYTGKDNSHTSQKTESTDVRSNKSGTTLQESKKKKKGEDGPESGSEKSYLDMEALVDVFSDKNGDALQQFIDCFLLEWNSSTVRGEAKLVLCGVWHHAKPTFKDTMLMALLQKVKFLPMYGQNIVEYTELVTWLLGKSPDTGSKHHISELVDRCLTPDVIKCIFETLHSQNELLANHPNSCIYNTLSGIVEFDGYYLESEPCVACSSPEVPYSRMKLESLKSETKFTDNRIIVKCTGSYTIQTVTMNVHDARKSKSVKVLNLYYNNRPVSDLSELKNNWSLWKRAKSCHLAFNQTELKVEFPIPITACNFMIELDSFYENLQALSLEPLQCPRCSRPVTDKHGICSNCRENAYQCRQCRNINYENLDSFLCNECGYSKYGRFEFNFMAKPSFTFDNMENDEDMKRGLTAIESESENAHRRYQQLLSFKKPLLKIVSSIGENEMDSQQKDSVQQMMVSLPGPSCKINRKIALLGVLYGEKCKAAFDSVSKSVQTLQGLRKVLMNYLHLKHSGNGVASRFVVSRSPNNCYGCATTFITQCLELLHVLARHPNSKKQLVSAGILSELFENNIHQGPKASRFQARAVLCSLSEGDVNAVTKLNSLLQKKVMYCLEHHRSMDIAVTTREEMLLLSEVCSLADEFWESRLRIVFQLLFSSIKLGAKHPAISEYVILPCLRIVSQACTPPKPDTPEKEDGLGKPSVQTKDESDPNVSGSITSAVVGTKSFCDPSERNWDATPKTRDIQLLSYSEWERGASYLDFVRRQYKVSQAVKGAGQRLRSQRHDYLALKYALRWKRRACKTAKSDLSSVFELGSWVKELILSACSQSIKSEMCMLISLLCAQSSSRKFRLLNLLVSLLPATLSVGESAAEYFELLFKMTDSEDARLFLTVRGCLQTICTLITQEVSKVESLERSLHIDISQGFILHKLIELLSKFLEVPNVRSRFMRDYLLSEVLEALIVIRGLIVQKTKLISDCSRLLKDLLDSLLLENSENNRQFIKACINGLQIHGEERKGRACLFILEQLCNLICPSKSEPAYFLVLNKAHTQEEFIRGSMTKNPYSSAEIGPLMRDVKNKICHQLDLLGLVEDDYGMELLVAGNIISLDLSIAQVYELVWKKSNQSSNNVTNPNLLSPNTVASSRDFPPMTVTYRLQGVDGEATEPMIKELEEDREESQDPEVEFAIAGAVRECGGLQILLGMIQHLRDDFKSNQEQLVAVLNLLMYCCKIRENRRALLKLGALGLLLETARRAFSVDAMEPAEGILLIVETLTLEANESDNISITQSALTVSSEEAGTGEQAKKIVLMFLERLSHPLSLKKSNKQQRNTEMVARILPYLTYGEPAAMDALVQHFSPYLQDWGAFDLLQKQHLDNPKDENMAQQAAKQRFTLENFVRVSESLKTSSCGERLKDIIVEKGITGTAIRHLKHSFANAEQAGFKTSAEWGLGLKLPSVPLILSMLRGLSMGHLLTQRCIDEEGILPLLHALERVSGENEIGARAENLLDMLSNKEGKGDGFLEEKVRKLRHATRDEMRRRALQKRQELLQGLGMRQELSSDGGERIVVSQLVLEGLEDVQEEEDGLACMVCREGYSLRPADLLGVYSYSKRVNLGVGASGSARGECVYTTVSYFNIIHFQCHQEAKRADAALKSPKKEWEGATLRNNESLCNSLFPVKGPSVPLTQYIRYVEQYWDNLSALGRADGSRLRLLTYDIVLVCCSAAMYIFSLFYFLV >OIW06415 pep chromosome:LupAngTanjil_v1.0:LG08:12957744:12966475:1 gene:TanjilG_11996 transcript:OIW06415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNGIEIVEPNRCIRGCCSSSSIPLHLPPSSFTLLSPIARGAESVVYEGTLDGKKVAVKKPILSISDDIDKFHKELQLLCKLDHPGIATLIAAHAKPPNYMFFFKFYESLSLTHKLHVEEWAPTISHTLMITIQLAKALQYLHNLGIVHRDVKPANILLDKNLCPHLTDFGLAEYISDLKGVSFVNWKSSGKPTGGFHKKNMVGTLIYMAPEILRKQLHTEKSDVYSFGVSINEVLTGVVPYTDLRAEAQAHTVLEMNYTEQQLTSAVVSDGLRPALATEELGIPSRLLSIIQKCWDENPEKRPSFDDIVKELDFIMEDRKIHNAEDTYIRTRNLRDDQLEDETLQPYEESINWSSQGELLARSVSSATDSDLRTWHESSDEPVAFHPTLSWGSYATCGRRETMEDTHFVLPHMCNEKDLYGFGIFDGHRGAAAAEFSSKAVPAFLQTLGFTGSPANALVEAFIRTDAAFRKELDFHRKSNRYIQKDWHPGCTAIAALVVRNKLFVANIGDCRAILCRAGNPIALSKDHVASCPQERERVIRHGGQVHWQVDTWRVGLPALQVTRSIGDDDLKPAVTAEPEITERTLSAEDEYLVMASDGLWDVLSSIEVINIIKDTVKEPGMCSKRLATEAVERGSKDNITVIVVFLCPVSTAERIY >OIW06750 pep chromosome:LupAngTanjil_v1.0:LG08:8982193:8983247:1 gene:TanjilG_11475 transcript:OIW06750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLVRFHFLIFLFFYLFIYIIFINIIYIQNWTRFLMKLNNETVSIELKNGTIVHGTITGVDISMNTHLKTVKLTVKGKNPVTLDHLSVRGNNIRYYILPDSLNLETLLVEETPRVKPKKPTAGILHYLTVAAIVFIHRLYVQLDYYLVFNAFILIPGKPLGRGRGRGRGRGRGRGH >OIW05946 pep chromosome:LupAngTanjil_v1.0:LG08:22492531:22500163:-1 gene:TanjilG_07222 transcript:OIW05946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYTSTYSSASSLLRTTSSKNSTYSISRTFSYPPSSTSCSFSSAVARSLCSSLPRWSHRLHWRSPFTPRSQVRAVAPVVERLHRKIATTATENPFKGNLTSLPKPGGREFGKFYSLPSLNDPRIDRLPYSIRILLEAAIRNCDNFQITKEDVEKIIDWENSYSKQVEIPFKPARVLLQDFTGVPAVVDLACMRDAMNKLGGDSNKINPLVPVDLVVDHSVQVDVARSENAVQANMELEFQRNKERFAFLKWGSSAFHNMLVVPPGSGIVHQVNLEYLGRVVFNNEGLLYPDSVVGTDSHTTMIDGLGVAGWGVGGIEAEAAMLGQPISMVLPGVVGFKLSGKLQDGVTATDLVLTVTQMLRKHGVVGKFVEFYGDGMGKLSLADRATIANMSPEYGATMGFFPVDHVTLEYLKLTGRSDGTVAMIESYLRANNMFVDYNEPQQDRVYSSYLELNLSDVEPCISGPKRPHDRVPLKEMKADWHSCLENKVGFKGFAIPKEAQGKVAKFDFHGQPAELKHGSVVIAAITSCTNTSNPSVMLGAGLVAKKAHELGLQVKPWVKTSLAPGSGVVTKYLLQSGLQKYLNEQGFHIVGFGCTTCIGNSGDLNESVASAISENDIVAAAVLSGNRNFEGRVHPLTRANYLASPPLVVAYALAGTVDIDFEKEPIGTGKDGKNVFLRDIWPSNEEIAEVVQSSVLPNMFRSTYEAITKGNPMWNQLQVPADKLYSWDTNSTYIHEPPYFKNMTMDPPGAHGVKDAYCLMNFGDSITTDHISPAGSIHKDSPAAKYLLERGVDRKDFNSYGSCRGNDEVMARGTFANIRLVNKLLNGEVGAKTVHIPTGEKLYVFDAAMRYKSAGQDTIVLAGAEYGSGSSRDWAAKGPMLLGVKAVIAKSFERIHRSNLVGMGIISLCFKSSEDADTLGLTGHERYTIDLPSKISEIRPGQDVSVTTDNGKSFTCTVRFDTEVELAYFNHGGILPYVIRNLIKQ >OIW06685 pep chromosome:LupAngTanjil_v1.0:LG08:10479944:10480330:1 gene:TanjilG_04079 transcript:OIW06685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIEETIGYIGQEEEAAILKKHQKSGKCDPNKKKKPMCPVKRCKEILTFSNTSTCKTCSIKVCLKHRFPADHDCGRVTSSSLSSAGAGANGQWKNRFLAALASRNGQDCGKSKGHNSSSASNPSVKAY >OIW07234 pep chromosome:LupAngTanjil_v1.0:LG08:3178817:3180700:1 gene:TanjilG_08349 transcript:OIW07234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRPMVQPIGQKRLTNVAVVRLKKHGMRFEIACYPNTVLSWRSGVEKDIDEVLQSHTVYSNVSKGVLAKTKDLNAAFGTDDQSKICLEILKKGELQVAGKERESILSSQFRDIATIVMQKTYNPETQRPYTISMIERLMREIHFAVDPNSTSKKQALELIQELQKLFPIKRCPLRIRVAAPEEEFAALLEKLNEWKANIVSKEGSAGQLSVVFELEPSLYKDCHDFVMKNMHGRFEVLAHSLYVDGDTQVEQYNDYEDMPAPLPKETRESVLELNDKLQKQTISSTSRLTEGHQQKQNKCNTCNVSFEDTKLYREHHKSEWHKHNMKRKTRQLPPLTEEECIADMELSDSKSDLKDYSF >OIW06333 pep chromosome:LupAngTanjil_v1.0:LG08:14045267:14047809:-1 gene:TanjilG_14978 transcript:OIW06333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEKDQNHNKGRAGYSMNERNEDIRHMDKFARAHHKQNRKTPQALNVGMRPTHRKNPTRSTVITSNPTLSANIGLSSDLDMIANDQQISNPACSGEHMPMSMPISEHVSSQCVVDTKLTSSVLSQAEGSDQKQGLAVLDKSNVAPSCTDDAQERQLQENAQTKSGTEKAQTCQRKRHKKEINLPRRASKRLAGIKVDPLLELQTRGRSRRVAVKQSCEGETTTNEDKSLNSLHNGEAKQINTLDGGSEKCLYDSAANTPKSGSKHFYGKLPTSEKLHEKTVEEHGSNAGQECFPFLPREKHATMTENVRILENGDKVNGKLDYSLDCPLGELLTDHCIAFAIQTLTGVTFETSNDSQISPELKNIEHHETFLAAEGHGTKNIKGSLNMCDDVDKEGCIVFPSLAISQEHASGAKIDDKSEDNNNTGPSSEKTLGISPSWMDPCIEFAIKTLTMPLDSDQNPKNCLQQQQHSDMASSSVDLGNLNQTDYYSSQYFGAQRSMFKKNSFDDPTLQHSRNVGIGNSAGTILPHCGEDRRNIWQR >OIW06252 pep chromosome:LupAngTanjil_v1.0:LG08:17853010:17855540:-1 gene:TanjilG_23309 transcript:OIW06252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMMRTQISVTWRNRLCNLNAYFLGTRAESSWYLIHNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNKNFMRRI >OIW06577 pep chromosome:LupAngTanjil_v1.0:LG08:9702645:9707892:-1 gene:TanjilG_03971 transcript:OIW06577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEKASLLRGEIEEVVEENIKNVGNKVPEVEIQLYHQGRGPISVFKSKLGGWEQDQLQVHDILQLHALKSIYAFNPGSGRGVPIRFNPRNGRSILTYRDGAVVYVDGEPKELEAENAKLVSLLADCHSREIENKLHGPDEKGMQSKKGNRKSGDKIEKMPGHYTRFMSHHSKRYIALKVMYFGKRFYGFASEAQMEPTVESEIFKALEKTRLLVGDRKDSQYSRCGRTDKGVSAVGQVVALFLRSNLKISEANNGSPGEIVLDKWHG >OIW07394 pep chromosome:LupAngTanjil_v1.0:LG08:113668:114339:1 gene:TanjilG_10229 transcript:OIW07394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIEQHHDISCLNPSMEFDFCVSESFEIESCSAEELFSDGMILPTELKNRKNAPLKKNDDLAPYPPLHPSNATCTNCCSSSSSSKNLKKECHKERKYLNDEHEVCDKKSSSKSFWSFKRSSSSIGNVSSRYGSSLCSFPLLLRSNSSGSNTSVKRNAISKEGTNIKQNSQKHSSTRFSPTMPNNFHGYYGNKVRVSPVLNVPSANLFGLGSIFSSNRDKSKKK >OIW05664 pep chromosome:LupAngTanjil_v1.0:LG08:24625316:24631327:1 gene:TanjilG_23450 transcript:OIW05664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAIGGEELVKWEKMQGMSNREEKIVVLIRLRPISEKENAANKSADWECINDTTILYRNTLREGSTFPSAYTFDRVFRGDCATRQVYEEGAREIALSVVNGINSTIFAYGQTSSGKTYTMIGITEYAVADIFEYINRHEERAFVLKFSAIEIYNEIVRDLLSTDNSPLRLRDDPERGPILEKLTEETLQDWGHLEGLLAFCEAQRQVGETNLNEKSSRSHQIIRLTIESSAREFLGKGNSATLSASVNFVDLAGSERASQVSSAGVRLKEGCHINRSLLTLSTVIRKLSKGRQGHINYRDSKLTRILQPCLGGNARTAIICTLSPARSHVEQTRNTLLFACCAKEVTTKAQVNVVMSDKALVKQLQKEVARLESELRAPAPPTSNGDYAAALLRKKDLQIEKMEKEIKELIKQRNLAQSRIEELLHMVEKDQMSIKDGDICEDGDLLSESSSIFGPPRPDEHPDHYCKEVRCVELEESSRGNLEYLDQSASGNTELALPISGEVNGSSQEISTGSNDNRGESQVQVKSAYGMLEQRLHDVQTTIGSLVHPHPDEQSPQSMSGNMSNFRNSILTRSWSCTEYHMTDSPERTPANGFQKGFLGRPDGLRRKLPLLSYGSSTRLSRNVSPSSTGSPSADDFSANISMRTSANEDITSIQTFVAGMKEMVKLEYKKQLVDGQDQETDGKQYNFEKYVKDVGVDPMLLAPGTPLDWSLQFKRLQKEIIELWQSCYVPLTHRTYFFLLFSGDPADSIYLEVEHRRLSFLKGTFSEGNLSEKDGLITLASSAKALRRERELLVKLMQKRLSEEERNGLFKEWSIVLNSKRRKMQLANHLWSNTDMKHIMNSAEVVAKLVRFSEQGKALKEMFGLSFTPRLARRSSFSWKNSRVSLL >OIW06100 pep chromosome:LupAngTanjil_v1.0:LG08:20743728:20747171:-1 gene:TanjilG_29856 transcript:OIW06100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVEMEGFVMVQQPVENSAEDDEFISHEKENRELEQDLGVADSLKFWSHGDESAATEENGVTDFSGPKDIGEEWPAPQQIHSFYFVRLRRSDDPNIKPEMDKLDKEMNQKNEARIQLTNAIRAKRSERAELISQIKTLKQNNKQIQSILDEKIKEIEPLQHALGKLRTSNIAGRGGLCSSEEELNKMIYSLQYRIQHESIPFTEEKQIVREIKQLEGTREKVIADAALRAKVQGSVGKKEAIQEQVKLISEDLDGVKKERQAIRSKIKEVEDALKAIDKDILSLEEEKGAVTQKREKTFESIQQLRRQRDEGNTSFYESRQIMTKAQELAVKKDINALQELSHTEVENFMSHWNKDRAFSDDYEKRLLRSLDIRQLSRDGRMRNPDEKPLLEEPKPTENDTLPNGSVEQAKEEGLPNQKVQKETKNRGRDLKSNLDNKDFEDTSEYEFENPQKETSTKEPAIDPEKLKEIKREEEIAKAKLALERKKKLAEKAAAKAVKKAEMEAEKKLKGHEKKAKKETDEVVEATEQENVNGSDAEISAPVKEKAQKESSTSIFPDKLRYRYYKELYSNFLSGDRFTSVA >OIW07360 pep chromosome:LupAngTanjil_v1.0:LG08:754105:758048:-1 gene:TanjilG_10195 transcript:OIW07360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISIFIYGHFHFVTAEIVAGSTAWLGRGLSCVCAQRRESDARPSFDLTSTQEECLQRLQSRIDVPYDSSIPEHQDALRALWDAAFPEEKLHGLISEQWKEMGWQGKDPSTDFRGGGFISLENLLYFARNFPKSFQDLLRKQEGDRSVWEYPFAVAGVNITFMLIQMLDLEAVKPRTLVGATFLKFLAENESAFDLLYCITFKLMDHQWLSMRASYMDFNTVMKSTRRQLEKELLLEEITRLEDEKPKYGVIEVLEAAVKLFSQTRISEAEKLPEETENVELFS >OIW06709 pep chromosome:LupAngTanjil_v1.0:LG08:10700708:10704464:1 gene:TanjilG_04103 transcript:OIW06709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEISSLCGINIEDKRVPSIFSEENCITQMDAKEDKLKSTKAEMGEVKEENERLKMMLENVEKDYHSLKLLFFDILNREAPNKGVEDSYTSPNEVEEPKFVSLCLGRTPKEPKKEDKTSNSSKPKENEDLEDNLKLGLELVSDHSPMNNSEEVKKAERGGTCSRNILVRTKDSVDEILEQIPTKRARVCVRARCDTPTMHDGCQWRKYGQKISKGNPCPRAYYRCTVAAACPVRKQVQRCAEDMSILITTYEGSHNHPLPVSATAMASTTSAAASMLLSGSSTSQPENRHNSAPFGNAPTLLNGLNFSHFDQPSVKQAFLPNPASQNLFPTITLDLTSSSKTTNFNRLSSTYTSTPRFHPLSLSFCPPEPNIIPSFWGKGVPNIGTMTVNKTHIRPVNIGNQFQEHIYHNCIKNQTPFNEALAETLTKAISTDPSLRSAIAAAVSSIGRQGSINAKQVGEEILGSGLSLRLGEHPQYPSSNHLNQNGKGCLAGYFNRSSSSPSSSSSKVGNFMLLQPPQPFSISKRSTLPSNVDPINHWIPEIGHMYSKTTL >OIW07221 pep chromosome:LupAngTanjil_v1.0:LG08:3488242:3490203:1 gene:TanjilG_02541 transcript:OIW07221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMASNAVSKSITINPNNIIVDHAVLNVEELMKHQKYHATFCVYRVPKSLSCTKPEAFTPQFVGLGPYHHCIPQICFDYLKISALTSVLNHTNVLTKVVQQLSNSNLASLIHDCYDTSIVFKDYTLLYLMTVDALFLYDLLSNSVIVTEDEESVETVTKVDLKSSIFAAKHRMPLVNYGGVELTKDAIIRDIFMLENQIPIHIVEEIMKVVVVNMETEKPHPQYEDMGSKMLHFCKALCPFVYSDQELSDESEEPMKNVHLLDLMYHLMLRDPNPTPDEDDPNLNPDEHEPNFNPDEHEPNFNPDEYEPNFNPREYDPNFNPDKNAEQSDNNNFTGLKNMYLLMYYIIVWGTMPDGKPIIEEGEGCLYDAACIIMRLILILILPVLCILVVSFSLVALLLILTVVLLRFCYPAIVIVHNILTKVNLPFMKPITSLLGIVKSIHGFFVQKLTNLNMDVEIPSVTELHRGGIHFNPAYGTISSSENLLRLEGSTFYLPKIRLDLNSEVIMRNLVAYESLTQSNNLYLTRYVELMRSLIKTAKDVKVLVDEKIIQTKLSDAKVVQIFNEINPVRPTNTADLDEIINRVTNVYRQLKLKKLRKFLVKYVFPIWIVLVWAAIIGCSILLVDLIRVTLEGNGQDIIRYITSDVIKVFRWF >OIW06908 pep chromosome:LupAngTanjil_v1.0:LG08:7734430:7735568:1 gene:TanjilG_19557 transcript:OIW06908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKFKGIYKSFKHITQIFVVKEREMEIGYPTDVKHVAHIGLDGLSGSEPSWMNDFETANFSTSLGNLGGVRDPNPKDMYTSWSSQDFEESRGKKPTPNTYNCIPSSGASHVPKKPKRKKVKSTSFSETFSVISRLQSSRTMKSKDRYSESETTPIAQA >OIW06619 pep chromosome:LupAngTanjil_v1.0:LG08:9993738:9995387:-1 gene:TanjilG_04013 transcript:OIW06619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSQQGEKGKPKHRKGLWSPEEDNKLRNHILNHGHGCWSSVSIKAGLQRNGKSCRLRWINYLRPGLKKGMFSKQEEETIMTLHSMLGNKWSQIAQHLAGRTDNEIKNYWHSYLKKRVAKAKEMESHIQIQYATSSSDTLDSSPSHQKLATQGTQNINFTKEANQSTLPKLLFAEWLSVDHVSSGNSAISYDSLNLRNGFNQNQTFQEAPMHYLPEGPFGGGEYNDNNSLTHISATEMFNSQLKFENQIVANGFIHCIPGVDLSSNISISNYAI >OIW07009 pep chromosome:LupAngTanjil_v1.0:LG08:6422910:6424421:-1 gene:TanjilG_02643 transcript:OIW07009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLKLQKRLAASVLKCGRGKVWLDPNEGNEISMANSRQNIRKLVKDGFIIRKPTKIHSRSRARRIKEAKRKGRHSGYGKRKGTREARLPTKILWMRRLRVLRRLLRKYRESKKIDKHMYHDMYMKVKGNVFKNKRVLMESIHKSKAEKAREKTLSDQFEAKRVKNKASRERKHARREERLAQGPGEKPVAAPIAPAATATQPAQAPKKSKK >OIW05580 pep chromosome:LupAngTanjil_v1.0:LG08:25202122:25203639:-1 gene:TanjilG_23366 transcript:OIW05580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYMCADSGNLMAIAQQLINQKQQQDQQHQHQNQHQHQHQNQHHLFGITPPLSWTPFSDFHYPSDPSSFHFDDFDDDWVDSLIPTTTTTTTAANDSADLNRLFSPNDAFKPPLTPVTPHSAPHPLLKSLIDCAALIQTSPDRALHSLTHLTKSVSQHGNHTQRVAFYFVEALTRKLELENEKDRNFITGVAPISTISEELTLCYKALNDACPYSKFAHLTANQAILEETEGSTHIHIVDFGIVQGIQWAALLQAFATRSSGKPESVTISGIPAMALGTSPANSLSASGKRLSEFAKLLGLNFEFRPILSPIHELNEKSFCVKPNEALAVNFMLQLYNLLDDDEGDAASTAVHTALNLAKSLKPKIVTLGEYEASVTTRDGFLNRFKAALKYFSAVFESLDPNLPADLPERLQVESLLLGRRIAAAVGAEVPGSVRERMEDKEQWRVLMESAGFESVGLSHYAISQAKILLWNYSYSSLYSLVESEPGFLSLAWKDVPLLTVSSWR >OIW06792 pep chromosome:LupAngTanjil_v1.0:LG08:8626340:8631573:-1 gene:TanjilG_11517 transcript:OIW06792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIICVAVVGHQNNPLFIQSFTEADDALKLHHIVHCSLDVVDERVNNPKKSGPMLNETFLGLLYPFENYKVYGYLTNTKVKFILVTTDLDVRDADVRNFFRRFHAAYVDAVSNPFHVPGDDDDRDSMEINNNNNFIPIISDRHNLSLSPLSKDDAMGLVLSAATGRGWTTGSGMEGPPVPADKESGIGNISTFPWSLFTKSPRRRMLVAFTCTICGQRTTRAINPHAYTDGTVFVQCCGCNAYHKLVDHLNLFQETNCYLNSSFKYKGDGWDDLKFRFMDIDEEDDNTFPTS >OIW06091 pep chromosome:LupAngTanjil_v1.0:LG08:20663215:20665324:1 gene:TanjilG_29847 transcript:OIW06091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFSCSLVTLSSQMRNLSLTSSSSSPFPISNSASLSFSNNLSHALFSQGSLSLSTVKNPTQRLMVVCEVTTKKADSAVKRARLAEKHRFYNKARKSEIRTRMRKVLEALEGLKKKPEAQAEEILSVEKLIGEAYSVIDKAVKAGTLHRNTGANRKSRLARRKKAVEIHRGWYTPVPDVSSV >OIW05764 pep chromosome:LupAngTanjil_v1.0:LG08:23949997:23954601:-1 gene:TanjilG_23550 transcript:OIW05764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDKANYENLSMSSKPSSAAITGDKTYKKLLVASRTKGFAKKNYEHLVSLTHGDFGSSSVGRATFFLLKLAALEIVRRFSKTRFPCVWQGLQALQILVYPPFRWIQRWAPFMGLVKSMQVLSRPLLVLSVATAFTDQHSECSDGRSDGIPNSHDSEVSAELSLVQANSNTGNTERTPEVSEYENWLTQLNQELENQGIILPERINDDELHRFYIASNNDFSCFLDSIKKTIRWRDSYRIFSGEELDLWSNMVFWHGCDVMHRPCLIVRLGLACSSLASKDRPRFAQAIISQVEYGVLNLVDADNPQITVLVDCERLSPLKIPTKVMRSCSSLLQDHFPNRLGCLFVIRLPAVVRVIAQTFIKVLKPTTRKKLKIHGEIYQKVLHDNLPTLPSYLGGNCTCMKCSNIRKWDMLQSHASRTSRINNGADNSDNEASPSLHPSDELDHHQNSNYDQLLRSAIVSIIVFWVFVAVGAGIYHPGNLRLPS >OIW05711 pep chromosome:LupAngTanjil_v1.0:LG08:24336590:24337402:1 gene:TanjilG_23497 transcript:OIW05711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKPLFYEILEKPATSCIIGICSAIWFYIQKKNIGYSHVGLSYESAIEGHYWRIITSAFSHISVIHLVFNISALWSLGVVEQLDHMGLGIGYYLQYTLVMVVLSGVLVLAIYHLLIQRFNIEYFRRVTAVGYSCVVFGWMTILSVKQPSTKLELFGFFSLPISFAPFESLVLTSIIVPQASFIGHLSGIVVGYAIAWGLIHGMNSYWLLSLLGWIAVVFAWSLKKSGAVDLNFLEIESVTDPSLPVWILASGNGRTPQMTALPNGDVDIV >OIW06807 pep chromosome:LupAngTanjil_v1.0:LG08:8490887:8494611:-1 gene:TanjilG_11532 transcript:OIW06807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYGGSGMGAVVGPSGATASTIKQVKLERESELRIEVGNDAPLRLRLLNGTAEIFGTELPPQIWLNFPPTLKFAVFTWYGATVELDGSTETDYTADETPMVNYVNVHAVLEGRRSRAKASSPDDPDSSQGPRVIVVGATDSGKSTLSRMLLSWAAKQGWKPTYVDLDIGQGSITIPGCISATPIEMPIDPVEGIPLEIPLVYYHGHTTPSNNVESYKVLVKELAGMLERQFAGNAESRASGMVINTMGWIEGVGYDLLLHAIRTFKANVILVLGQEKLWSMLKDALKTEPKVDVVKLQKSGGVVLRNTKVRQRARSLRIREYFYGLANDLSPHSNIANFSDLCVYRVGGGPQAPRSALPIGAEPAADPTRVVPVNINRDLLHLVLAVSFATEPDEIISSNVAGFIFVTDVDIQRKKITYLAPSAGDLPSKYLIMGSLTWLET >OIW06048 pep chromosome:LupAngTanjil_v1.0:LG08:20163475:20164888:1 gene:TanjilG_29804 transcript:OIW06048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTLKVSVLFCILSVSVLGVFTEIPPTCNRIECPIYDTIEVGNGYEIRRYNSPVWISNPPIEDISLVEATRTGFRRLFDYIQGKNNYKKKIEMTAPVISEVSPSDGPFCKSSFVVSFFVPKENQANPPPAKDLHVQRWKTVYVAVRQFGGFVNDSNIGEEAAALKASIAGTKWLAAIEKSRKAGHASIYTVAQYNAPFEYDNRVNEIWFLFDYGNEVQDM >OIW05994 pep chromosome:LupAngTanjil_v1.0:LG08:21764043:21775509:1 gene:TanjilG_11681 transcript:OIW05994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGPLLLRSLWSSTRKPSPSPSSPFISKLNYFSRAFSSAAPAAAPPVPSSINPSHLRNVAVIAHVDHGKTTLMDRLLRQCGADIPHERAMDSISLERERGITISSKVTSISWKEYELNMVDTPGHADFGGEVERVVGMVEGAILVVDAGEGPLAQTKFVLAKALKYGLRPILLLNKVDRPSVTEETCNEVESSVFDLFANLGATEEQLDFPVLYASAKDGWASTTFTKDPPDQAKNMSKLLDAIVTHVLPPNARIDAPFQMLVVTEETCNEVESSVFDLFANLGATEEQLDFPVLYASAKDGWASTTFTKDPPDQAKNMSKLLDAIVTHVLPPNARIDAPFQMLVSMMERDFYLGRILTGRIYSGVVRVGDRVHGLRNNDSVAEKIEDGKVVKLMKKKGTNMVPIDCAGGGDIVSMAGLASPSIGHTVATVEAMSVLPTVVLDPPTISMTFGVNDSPLAGRDGTHLTGGRIGDRLMAEAETNLAINVLPGLSESFEVQGRGELQLGILIENMRREGFELSVSPPKVMYKTENGQKLEPVEEVTIEVNDEHVGLVMEALSHRRAEVTDMGPVSGTVGRTRLSLTCPSRGLVGYRSVFSTDTRGTGFMHCAFLAYEKFRGPLGNVRKGVLVSMGYGTITGHALMSLEARGTLFVSPGMEAYDGMIVGEHSKDTDLDINPVRAKALNNVRAASKDENVKLTPPRLITLEEAIGYVASDELIEVTPKSIRLRKKYLDVNKRKTMSKKPKE >OIW06140 pep chromosome:LupAngTanjil_v1.0:LG08:20114729:20116332:-1 gene:TanjilG_22362 transcript:OIW06140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGKMKKEKSKAQQHTPYQGGISFHKSKGQHILKNPLLVDTIVQKSGIKSTDVVLEIGPGTGNLTKKLLEAGKKVIAVEIDPRMVLELQRRFQGTPYSNRLTVIQGDVLKTELPYFDICVANIPYQISSPLTFKLLAHQPAFRCAIIMFQREFAMRLVAQPGDKLYCRLTVNTQLHARIFHLLKVGRNNFRPPPKVDSSVVRIEPRKPRIDVNQKEWDGFLRICFNRKNKTLGAIFRQKNVISLLEKNYKTVQALKLSHEDPPKETETKLDLSNLDDFTDDEGMDMDGATDDEMEVEDGEAGEVQSEFKGKVLGVLKEADFEEKRSSKLSLQEFLYLLSLFNKAGIHFC >OIW06002 pep chromosome:LupAngTanjil_v1.0:LG08:21840440:21841144:1 gene:TanjilG_11689 transcript:OIW06002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFSAARSIFRSCSATRSTFRAASEAKSARSPFRMASNKPLSQSQSTFRLPVELSFCVESMMPYHTATATALMNSMLSVSQRRSYAWLLDGS >OIW06101 pep chromosome:LupAngTanjil_v1.0:LG08:20749772:20750185:-1 gene:TanjilG_29857 transcript:OIW06101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVEMEGFVMVQQPVENSAEDDEFISHEKENRELEQDLGVADSLKFWSHGDESAATEENGVTDFSGPKDIGEEWPAPQQIHSFYFVRLRRSDDPNIKPEMDKLDKEMNQKNEARIQLTNAIRAKRVSQEYFYHGYVT >OIW07385 pep chromosome:LupAngTanjil_v1.0:LG08:189305:200705:-1 gene:TanjilG_10220 transcript:OIW07385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVERTSLCNLVVNFLLEHHYFLTAFELLHELLDDGRDHQAIRLQNYFSDSSLFPPHQISRLNSLRVADPQTLLEEKEAAEEKLAISGYELRLAQEDILKLKSELQKKAESPSEPNAAHSSGDVSVNDGQQILPQKKDISFTDLGPLKDTERRDLNCAVKEYLLIAGYRLTAMTFYEEVTDQNLDIWHNTPASVPDALRHYYYQYLSSTSEAAEEKFNLLRENETLLKSNKRLTQEKDTLLKKNDLADAQISAFTKSLEAMQKDLKDKDDLVQGLKQSLEFERKELNDCRVEITSLKMLIAASHSGNNLVVSDVNNVQSQSVEKYEEEIKKLRMEVEWLKEKNIRGPENGTLVGSENEILQTEDKVIEIHEDRGAISNPGDVASGVVSNEDAQSPVIQTLNEYADKHEDTLPELFIPAHTSSAFENNHHVSEQDIGQQALDSTLLVRPDTVNGEAISEKTASPFYSWINEILKHHYFLGLGTIQILADALPKIVPYVLINHREELLPLIMCAIERHPDSSTRDSLTHTLFNLIKRPDEQQRRIIMDACVCLAQNVGEMRTETELLPQCWEQISHTYEERRLLVAQSCGELAGFVRHEIRDSLILSIVQQLIEDSASVVREAAARNLSMLLPLFPNVDKYFKVEELMFQLICDPSGVVVESTLKDLVPAVIKWGNNLDHVLKVLLSHILSSAQRCPPLSGVEGSMESHLRVLGERERWNIDILLRLLMELLPFVHHKAIETCPFSSTTETTQAILSTTLLDLYARGQVEWDAFEWMHVECFPNLIQLACLLPQKDDNLRNRISKFLLSVSQWFGDCYTTCIMLPVFLIAVGDDANLTYFPSAIHSRIRGLRPRSAIADRLSTMCVLPLLLAGVLGAPGKSEQLSGYLRKLLLEDTSVENRPTKHTPEIINAIHFICIYEENHGLIFNILWEMVVSSNVNMKINAAKLLKVIVPHIDAKVASTHVLPALITLGSDQNMYVKYASIDAFGSVAQHFKNDMIVDKIRVQMDAFLEDGSHEATIAVIRALVVAVPHTTERLRDYILNLTFGKALCFGKSSCPDILISAFVILQLTAMPNAASDLMRRRERVDVFCEAIRALDATDLPVNSVRDFLLPAIHNLLKDLDALDPAHKEALEIIMKERSGGTFDTLKGMGAHLGLPSSVSNFFGDSGLLGKKETVESPSEAAVSPNATTPPVEDTRLRRLMMGNFSEMLRGKAKAREEGQNQ >OIW05667 pep chromosome:LupAngTanjil_v1.0:LG08:24611421:24614613:-1 gene:TanjilG_23453 transcript:OIW05667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAIRKQASKLREQVSRQQQAVFKQFGGGVYGGSDNAVTNEVELHLYQKLEKLYLSTRAAKHYQRDIVRGVEGYIVTGSKQVEIGTKLSEDSRKYGSENTCTSGSTLSRAAQSFARARAQMEKERGNLLKALGTQVAEPLRAMVVGAPLEDARHLAQRYDRIRQEAEAQATEVFKRHAKVRETPGNAENAMKLEAAETKLQDLKKNTAMLGKEAAAALTAVEAQQQRLTLQRLIATVEAERAYHQIVLQILDQLEGEMISERQRIEAPPTPSVDNSMPPPPSYEEVNGFYAGQTHERSSDSMGYFLGEILFPYSAVSEVELNLSVGDYVVVRKVTNNGWAEGECKGKAGWFPFSYIERRERVLASKVAAVF >OIW07063 pep chromosome:LupAngTanjil_v1.0:LG08:5835812:5836149:-1 gene:TanjilG_02697 transcript:OIW07063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVREGTATCIDILLAIILPPLGVFLKYGCKVEFWITLVLTLCGYLPGIIYAVYAITK >OIW06626 pep chromosome:LupAngTanjil_v1.0:LG08:10026645:10029120:-1 gene:TanjilG_04020 transcript:OIW06626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVALCCQFHSINYFNSQNVKSRSKNFIFCSVQPTQSNIKVVINGAAKEIGREAILAVTKARGMEVAGAVDTYHVGEDIGQVCGLEEPLEIPIINDLTMVLGSISQSKATGVVVDFTDPSTVYDNVKQATAFGMKSVVYVPRIKSDTVAALSAFCEKASMGVLVAPTLSIGSILLQQAAISASFHYGNVEIVESKDDASDLPSANANQIANNLSNLGQIYNRQDSSTDVLARGQVLGDGIRVHSLILPGLPSSTTVHFSGPGEVYSIKHDITDVQCLMPGLLLAIRKVVRLKNLVYGLEKFL >OIW06687 pep chromosome:LupAngTanjil_v1.0:LG08:10489497:10489883:1 gene:TanjilG_04081 transcript:OIW06687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIEETIGYIGQEEEAAILKKHQKSGKCDPNKKKKPMCPVKRCKEILTFSNTSTCKTCSIKVCLKHRFPADHDCGRVTSSSLSSAGAGANGQWKNRFLAALASRNGQDCGKSKGHNSSSASNPSVKAY >OIW06719 pep chromosome:LupAngTanjil_v1.0:LG08:9266966:9274918:-1 gene:TanjilG_11444 transcript:OIW06719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRRRETVSEEASKGSSSGEAIDKSTDGGAKVYTNVHIVNPRRSSFVWLALFLIITYCCTAIYNYQFQSMPVPLTADQAGKRGFSEIEAFKHVKALTEVGPHPVSSDALNLALQYVLAACQTIKKTAHWEVDVEVDLFHAKSGANHLANGLFMGRTLVYSDLSHVVVRILPKYLSEAKDHSILVSSHIDTVFSTEGAGDCSSCVGVMLELARGVSQWAHGLKRGVIFLFNTGEEEGLNGAHSFITQHPWSSTVRMAIDLEAMGIGGKSSIFQAGPHPWAIEKFALVAKYPSGQIISQDLFSSGAIKSATDFQVYKEVAGLSGLDFAYVDNTAVYHTKNDKLELLKKGSLQHLGENMLAFLVHIGAASDFPEGNEKETDEDKSNNNAIYFDILGTYMVVYRQQFANMLHTSVILQSLLIWTASLFMGGIPAIASLALSCLGILLMWVFALGFSFIVAYLLPLISSSPVPYVSSPWLVIGLFGAPAFLGALTGQHLGYLLLQKYLLNVHSKRKQLPPTIQADVVRLEAERWLYKAGSFQWLILLTLGNYFKIGSSYLALVWLISPAFAYGFFEATLTPARLPKPLKLATLLLGLATPILFSAGTFIRLAATIIGGMVRLDRNPGSTPEWLGNFVIAAFIAALLSLTLVYLLSYVHISGAKRAIILATLVLFSLSLAIVLSGVLPPFSEDTARAVNVVHVVDATGRLDERLDPVSYVSLFSNTPGKLNKEVEQIDNGFACGRDKTVDFVTFSVNYGCWTYNDTISGWSESDVPSIHVDSDAKENGRITQVSIDTKVSVRWVLAINTEEIEDFELRGAVNSEELISVDQKTSVDGWHIIQFSGGKNAPTLFDLVLYWRSGSTHNTDTPLLKLRTDVNRLTPITQRILTKLPSWCSLFGKSTSPHTLAFLTNLPVNF >OIW05755 pep chromosome:LupAngTanjil_v1.0:LG08:24013740:24016173:-1 gene:TanjilG_23541 transcript:OIW05755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNGVGKLTPCFTGNGGRRKHNEISVCITEPLDEGLGHSFCYVRPDPTRLSSSKVHSEETTTFRTISGASVSANTSTPLSTAFVDLYSYGSIDRAAAFESSTSFASLPLQPIPKVLMNSGPFSGNLTGFHGSGPIERGFLSGPIERGFMSGPIEKDVNGLDQFQRSFSHGGLGFGSRFGFGFNHRKHKGGWIRVLQRAISKTLSRGQKSIVAPMKGVVVKEQEWVVVAEKQNHNENLIVNSLNFSSEGSLEDDVLMESQNLQWAQGKAGEDRVHVVVSEEHGWIFVGIYDGFNGPDAPDYLLSNLYTFLHKELKGLLWDDNSEQVNVKENRNLEVQEVDDCSQCFDNNNRPCTSGDAANFDDCCKRKKVKSRKNKYKGIANKWEENQRRWKCEWDRERVELDRRLKEQLTRSNFSGKNTSSINHLDVLEALSRALRKTEESYLDAADKMVMENPELALMGSCALVMLMKGEDVYVMNVGDSRAVLAQKVEPDYWLGKVRQDLERINEETMNDLDESWDDADISSLVPTLSALQLTKDHSTSVEEEVQRIRNEHPDDPCAVVNDRVKGSLKVTRAFGAGFLKQPKWNNALLEMFRIDYVGTSPYISCQPYLKHHRLGPKDKFLILCSDGLYQYMSNEEAVAEVELFITLQPEGDPAQHLVEEVLFRAAKKAGLDFHELLEIPQGDRRRYHDDVSIIVISLEGRIWRSCV >OIW06789 pep chromosome:LupAngTanjil_v1.0:LG08:8647525:8656117:-1 gene:TanjilG_11514 transcript:OIW06789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQSPEAAVTEAVQSLSVSKPEIADSSNPNSNHELSLEERFQTIRNIGEECIQEEELRNLLAKKPEPICYDGFEPSGRMHIAQGVMKTINVNKLTSSGCRVKIWIADWFAKLNNKMGGDLKKIEIVGRYLIEIWKAVGMDLEGGKVEFLWSSKEINARADEYWPLVLDIAQKNNLKRIIRCSQIMGRSETEELTAAQIFYPCMQCADIFFLKADICQLGMDQRKVNVLAREYCDDIKRKNKPIILSHHMLPGLQEGQEKMSKSDPLSSIFMEDEEAEVNLKIKKAFCPPKVVQKNPCLEYVKYLILPWFNEFKVERSAANGGDKTFKNFEELAADYESGELHPADLKSALSKSLNKILEPVRVHFKTDKDAKELLKRVKSSKGNSVVIVVGFMAKPVSIEVWNPNGKYRVISTKPMPGTRWINLLVQQDCRVEICTEKKTILSVQDIIALIGDKCDGVIGQLTEDWGEELFSALSKAGGKAFSNMAVGYNNVDVNAANKYGVAVGNTPGVLTETTAELAASLSLSAARRIVEADEFMRAGLYDGWLPHLFVGNLLKGQTVGVIGAGRIGSAYARMMISLHPILDKTTYHLVNKERLAKMKKEAILINCSRGPVVDEVALVEHLRQNPLFRVGLDVFEDEPYMKPGLAELKNAIVVPHIASASKWTREGMATLAALNVLGKIKGYPVWFDANKVEQFLDENAPPPAASPSIVNAKALGNI >OIW05555 pep chromosome:LupAngTanjil_v1.0:LG08:25344351:25346774:1 gene:TanjilG_23341 transcript:OIW05555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMATLTPPMLSSSHVSSSSLSLRSSISLFPFISTKPPNWPHLNFTRYVVSAEVETVEEEDEEGVVDDVSNDTLIITTKPKKGKAALPLKRDRLRSKRFLEIQNMREHKKEYDLNTAISLVKETAKTKFVETVEAHFRLNIDPKYNDQQLRATVSLPKGTGKPVKVAVLTQGERFDEATNAGADLVGGEDLIEQIKGGFMEFDKLIASPDMMPKVASLGKILGPRGLMPNPKAGTVTPNIPQAIAEFKQGKVEFRADKTGIVHLPFGKADFPEEDLLVNLVAAIRSVETNKPSGAKGVYWKSAHICSAMGPSIRLNIREMLDYKLPSE >OIW07172 pep chromosome:LupAngTanjil_v1.0:LG08:4574326:4577655:1 gene:TanjilG_10145 transcript:OIW07172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDTQVHQNSTKYELDQSDRKQELKAFDDTKAGVKGLVDAGISKIPHIFISSVDGIQSKRSSSSTSTELQIPVIDLQLQQLQEVDCVARKDIIHKVQVASETCGFFQVVNHGIPKEILDEMIDGIRRFHELPYDVKKEYYSRDFARKVRFNCNFDLYQSKAANWRDTLYCVMAPDSPKPEELPTICRDITIRYSKHLQRLGDILLELLSEALGLKPKHLEEMECGKGHMLVSHYYPACPEPDKTMGTTEHSDRDFITILLQDHIGGLQVFCQNQWVDIEPVDGALIINLGDLIQVISNDKFKSAKHRVLANTIGPRISVACFFSTNLFPSNRVYGPIKELLSEENPPLYKETTARDFVLYYNSKGLGTSSLQDFRL >OIW05815 pep chromosome:LupAngTanjil_v1.0:LG08:23534399:23544943:1 gene:TanjilG_23601 transcript:OIW05815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSNCRNRESERSLKRKEPASPVTFTSKSSLPTTPIILRRSERTKNLFSSTSSPSQKQKKPKQHLEASSEVQIKRKLDSRNYRAVFVKPEIDNVSDCKEEQNKMEKLTSEGGNSGEGKVGEGYEGNPIDSKEVSKDGIMLSEDDKAKEVRAESRLNEPLEEQLENSVTHEASGETERIQSDCHEEDTLEMLESRSSISNINLIKNCVEFGKGEKMLSSKRKGTMVDIHLDDSSMLVNDESGNLIADADPSRLCGNTVGTSESCTKRIRPISVSDVQRNQTKLINNIDQPSSKPEGEKLSTGNEEGKSGDPVERSQSSNDEVRKQQQSLHLLLKPGIAKLCEVLCLPDDVKRMVDNCLEYIMNNRQICTEPVSLLQAFQLSLCWTAAALLKHKLGREDSLVLAKRHLNFNCKIEEVNEIRRVMRKLKKDFLYHTGNCNIPGSSKASESSNGVYSNTEVTPKVKFTGTDISRSINVKQLLMQQEEDKKKLTADIEREKVDFDIRYRVEWAAYLACSPNDVRRTEKLKVFISEHSKRIGELKRQHEKHLKDLEAKQLEERWKFQESLPPHALQNLPASMKHRIEVEYLQTCDQEQPCNDLVSDLGEGKSLNNIVEAMTRSGTWFGLSEGSDTNSPVVVSCSSPDELHTPLVKHAGSNEMDIMSSEDEPVSRNKCHDMVEDEHVSQQNTIPTHSDCREQCSSGATSMEYEDEGRDKLSHESNQDICYSETSINPSGEVARAVHKSSNSNDQDEVPTSRQEKLDGTLLSKPVFDCSVENRLNHFSDSSKNMASLNLRSPEEHIPSAIAILLPNCQNAAQILDNDIASNTPNIAATLNSPSTDERTADVAMVNLLDKVVSVEMPGIVSFTDSPENVICMNPPLSMEQLSGGIVNVSISDRDLSRPCGTAYPSNGPDANNTTLLNQLSLEEQHTDGDPLSISAEQITDEVPEISHEGVTVSVVDREAPVGMLGTVNCTDHPENATPFNSSSMGQISDGILSSRPSQASSLCDSPATVSLFNPPSLEQQIPDKGSFSIPDGQITVIVPETNHEVAECHLTGSAVADKNTTLDHQEGAQPLSSVEPAPEQDIDIQMLNSLVPSPVDTVPANQSNHVSSVIESPDVMQQQSPSTEFLSSNQSLSNLTIATGFEHQQTIDDAFSNPLPETSIEAPNQAIEQPASNLELNSHMPGGVRIQSSDRRNFSTPSEMNNHPIQTVTQAASMIFPSLCDDPLVNEMERIRKLTEQNMKNHEHMKLQMKYDFDKEFEDLRRKYEIKFQEMDIGFQQTRKKLDTDHKTVILNKILADAFRFKCEEVRASGAPGVQQGACSAQLSCQLSRPQITTRPSLVSCSSSCAPPAASLLSSYTTTSSQNMVRQPIHATQNTSGIFSCVSPRLPNINSISSPSGNPQANREMRAPAPHLQPYRPSTAVPSPSLGTIPHGMSSHHAPGNIPVTSTFSHRPATYQSDPYSGHRPVNLAMLPTTNLPAMDWRMCANSQSGINMQNVIQCMSNMASWNKSRFVTNSMLANPSPHQTTSSDVVCLSDDD >OIW06152 pep chromosome:LupAngTanjil_v1.0:LG08:19380500:19384986:-1 gene:TanjilG_01779 transcript:OIW06152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRSHASSCSSSSSCNVGFETPIEKRRPKQPRSNVKSQQCNNQNQTTGRRSSIYRGVTRHRWTGRFEAHLWDKSSWNSIQNKKGRQGAYDSEDAAAHTYDLAALKYWGNAATLNFPIETYSKDLEEMNKITREEFLANLRRQSSGFSRGVSKYRGVARHHHNGRWEARIGRVCGNKYLYLGTYKTQEEAAIAYDMAAIEHRGLNAVTNFDISNYIDKIKMNNEPSQEAETQTQTAPTSIDSEEVEQKNTPQPQPEIVDKEPQQIQYTNVVLSEESSQVIPMDHVFEQDMPWNFMDTNLSQFQDIDLGFCEKEDLLSMFDGKGFEDDIDFLFNTEPGAGDFNFNAILDSIV >OIW05750 pep chromosome:LupAngTanjil_v1.0:LG08:24039673:24046631:-1 gene:TanjilG_23536 transcript:OIW05750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGLIYGYYALILKVDEEEFGGHGALLQEGLFASITLFLPLKQNPLLGPSSSTLENMGALQVDKVVHRHQVWRLFSCVWLHGGVVHVLANMLSLVFIGIRLEQEFGFVRIGLLYVISGFGGSLLSSLFIQSGISVGASGALFGLLGAMLSELLTNWTIYANKCAALSTLIVIIVINLAVGILPHMDNFAHIGGFISGFLLGFVFLIRPQYKWVSQRNSSSRYVSRPNSKHKPIQYVLWVISFILLCAGLVAGTVLLLRGVDLNDHCSWCHYLSCVPTSKWSCKPQEVYCESTEMGNQLNITCLSNGRSDIFSVSNTSPSQAQELCSHLCS >OIW06641 pep chromosome:LupAngTanjil_v1.0:LG08:10117394:10118561:-1 gene:TanjilG_04035 transcript:OIW06641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAVLEKSVAKSPDALHTPNSNSVSSFKDDFLAQHFSSLHPSSVTVNLTPSALLAYSIHKQNPLLPRLFAVVDDIFCLFQGHIENVATLKQQYGLNKTANEVIIIIEAYRTLRDRGPYPAAQVVRDFQGRFAFILFDSASKTTFVSADVDGSVPFFWGTDADGNLVFSDEPEIVTKSCGKSFAPFPKGCFFTTSGGLSSFEHPLNELKPVPRVDSSGQVCGATFTVDAEAKKETTGMPRVGSAANWANNI >OIW06265 pep chromosome:LupAngTanjil_v1.0:LG08:15796968:15799102:1 gene:TanjilG_19703 transcript:OIW06265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVIAAYLLAVLGNNKNPSAKDIKNILASVGAEADDDRIELLLSEVKGKDIEDIIASGREKLASVPSGGGAVAVAAAPGRGSGGGAAPAAAEAKKEEKVEEKEESDDDMGFSLFD >OIW05547 pep chromosome:LupAngTanjil_v1.0:LG08:25401905:25416806:-1 gene:TanjilG_23333 transcript:OIW05547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPSSSSPVRVCSPLIQHPTAGIIKKLRLLNFMCHSHLETHFDNFLNFITGQNGSGKSAILTALCIAFGSRAKGTQRASTLKDFIKNGASDALVHVEIQNQGEDAFKPEKYGHLIIVQRKISQSSSSITLKDHQEGELSTTVSLLLSDYEVTCSNSGNILSTCKGLYATLRILALLSGGKTVSHGRADLQEIIEHFNIDVENPCVIMSQDKSREFLHSGNSKDKFKFFYKATLLQQVSDLLESISSEINIAAGIVEELEAAIRPIEKELREIEAKIRAMEHVEQISMQVQQLKKKLAWSWVFHVDRQLKVQNEKVEKLKSRIPSCQAKIDQQLRRIERLVENCSMKKDEIANMLEKTSQVKQLKENLSRSVSSATKEALELELDCKSKTSNVRKMEQQLRTLKQQMQDIREQHMKNTQAEEADMEEKLIVLQEEVRSAELDLKRLKEEEIMLSNSVQNIKDEIRKIADEIAAFGGHKVMNLLRIIERDHRRFKMPPIGPIGAHLKLLNGDKWALAVEHAIGRMLNSFIVADHKDLQLLKQCAKEAHYDNLQIIIYDFSRPRLMIPAHMLPDTNHPSIFSILQSDNHIVINVLVDLGNVERQVLVNDYNIGKVIAFEQRIHNLKEVYMANGSKCFSRGSVQTHIPPSKWIRTGRLRSSFEDQIKDLQIEASDEQKAANDGKSNKREAEIKLEELESKLKSIKRVCFNAEKSYSSKKLALDEAMHQQAVEKSSTPSSSVDELIEEISEVQKKIKDDKDLLEDLQHRRHEADGKAEDLKIKFSKLCESANGEIAALEKAEKELVEIEREMDLAKKDKDHYDGVMKNKVLPDIKEAEECYLNHMKTREENIKKASVICCERELDSLGGSDGSTPEQISAQYSESIDDLRMLYEKKLRKITRRQQVYQALRQKLEACKRALKLRRGKFQRNANYLKGQLSWKFNAHLRRKGISGLIKVSYEEKTLSIEVQMPQDASNRAVHDTRGLSGGERSFSTLCFALALHEMTEAPFRAMDEFDVFMDPVSRKISLDSVVDFATAHGSQWIFITPHDISLVKAGPRVKKLIMAAPRS >OIW06312 pep chromosome:LupAngTanjil_v1.0:LG08:15476250:15479584:-1 gene:TanjilG_17686 transcript:OIW06312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCSLHLLFFTLLLNPTWVYGNDEHKALMDLKTSLDPQDNYLSSWTMNGNLCDGSYEGVACNEMGQVANISLQGKGLSGKLSPSIAALKHLTGLYLHYNSLYGEIPREVANLTQLNDLYLNVNHLSGEIPPEIGNMENLQVLQLCYNHLTGSIPTQFGGLKKLSVLALQSNQLTGAIPASLGDSGTLMRVDLSSNHLFGSIPTRLANVPSLQVLDVHNNTLSGSVPPALKRLDDAFLYEKNSGLCGVGFSSLKACGASDHANSTRPEPYDAGVDGLTRNIPETANVKLPCNGTWCQSYSKSKQATSIKIGIVLVTIAMSAIGILTFALYRRRKQQLGNAFDISESRLSTDQGKSVYRKNGSPLVSLEYSKGWDPMADSRTFSGDSQDMFQNFRFNLEEVESATQYFSELNLLDKSNFGATYKGVLRDGSVVSVKSISKTSCKSDEAEFLKGLNILTSLHSDNLVRLRGFCCSRGRGECFLIYDFVSHGNLSRYLDVKEGDGEVLEWSTRVSIAKGIAKGIAYLHAHKSNKPALVHQNISAEKVLIDQRYNPLVSDSGLYKLLTNDIVFSELKASAAKGYLAPEYTTTGRFTEKSDVYAFGVLLFQILTGKKKVTSSTRLAAESFSFKEFMDPNLHGRFFEYEAAKLAKMALLCSHESSFERPTMEAIVQELSNCSSCI >OIW06267 pep chromosome:LupAngTanjil_v1.0:LG08:16268391:16268753:-1 gene:TanjilG_19705 transcript:OIW06267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSDGLHGAAPKVVQIETRYVQTDAVNFKDVVQSFTGKNSSTAWIGQRNSNNNVDQLYDVVMGSSLKIKGGTAVFTSKAEEVGTAATFPSMLMKNMAFKDFDTLLLELPSMEMENMPWF >OIW07201 pep chromosome:LupAngTanjil_v1.0:LG08:4031926:4033507:1 gene:TanjilG_17749 transcript:OIW07201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIVVSSYHVSLRDYYISLPDSPLVGSIGRPCFPEKKQVFYGHHGVDQLRTQMQREMGGYKDVVSDSKVFLLLKVGVTMFIDAIGLNLANYPLFNVSAVSFVERVFREDFSTRHVYKVAAKKVAFSIVSGINYKFLSFASIPPSSALASAELQY >OIW07253 pep chromosome:LupAngTanjil_v1.0:LG08:2805923:2806423:1 gene:TanjilG_08368 transcript:OIW07253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAAKHSVSTFLELQRKKVHRYVIFKIDEKTKEVVVEKTGGPSESYDDFTASLPENDCRYAVFDFDFVTSENFRKSRIFFIAWCPSVARIRPKMIYATSKYRFRKELKGVHYEIQATDPTEIGFEVIRDRVY >OIW06520 pep chromosome:LupAngTanjil_v1.0:LG08:10838007:10843694:1 gene:TanjilG_29941 transcript:OIW06520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILSALLTSVAINLGLCFLFFTLYSILRKQPGNITVYASRLVVEGKVKDGGEFNLERLLPTAGWVRKAWEPSEEEFLSSSGLDAFVFMRIFIFSLKAFTFGGIIGMFVLLPINYSGSQLKDYSDFQHKSLDLFTIANVNNGSNRLWIHFCAAYVFTGVVCYLLYYESGYISSKRIACFYSSKPQLHQFTILVRGIPVPRGSTCNDVVERFFQEYHPSTYLSHSVVRRSSKLQNLINDADKLYKRLTNLKSKKDVPQRHRRDGFLGVFGPKVDILDHYERRLGNIEDNVRMEQSYVAAKDVPAAFVSFKTRFGAAIALNIQESINPTEWISEQAPEPHDVYWPLFTVSFIRRWISKLVVFVACAYLTVLFLIPVAIVQGLTHLDQLITWFPFLKGILRLSFVSQVVTGYLPSLILQMFLSFIPPVMVMLSSLQGYISWSLIQKSACTKVLLFSIWNIFFANVLSGSALYRVNVFLEPKEIPRVLAEAVPSQASFFIAYVVTTGWTAIASELFQLPKLIFNFINRIFRRNIDDDFEPPSIPYHSEIPRIRLFALLGVTYFILAPLILPFLLVYFCLGYIIYRHQLLKVYVPKYETGGGYWPTVHNSTIYSLVLMHVIAIGIFGLKKLPLASALTVPLPVLTLLFNEYCQKRFFPLFKDYPAECLIKKDRTDQNQHNMPEFYDKLANAYNDPALMPTKYSERSDSHTSPLLHGSQA >OIW07028 pep chromosome:LupAngTanjil_v1.0:LG08:6207153:6209761:-1 gene:TanjilG_02662 transcript:OIW07028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVVLFVDDLRSLSEISRCRICHEEEFESLKSLEAPCACSGTVKFAHRDCIQKWCDEKGNTVCEICLQKYEPGYTAAPKKSPISDAAMTIRESLQISRMEQEPLNTRIEGIVEGIAIENRYSECTSAADRSASCCRSLALTDSDNDTSMSDEDDQENETINVATLRHSS >OIW06379 pep chromosome:LupAngTanjil_v1.0:LG08:14998216:15000847:1 gene:TanjilG_15024 transcript:OIW06379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLRAVARPLMAKVKQTTGIVGLDVVPNAREVLIGLYSKTLNEIKAVPEDEGYRKAVESFTNHRLKVCQEEEDWEDIEKKLGCGQVEELIEEAQDELKLISLMNEWKPWGVPDDYECEVIENDAPVPKHVPLHRPPPLPTEFHKTLEAIQSGKDTPAVSSGESKA >OIW07215 pep chromosome:LupAngTanjil_v1.0:LG08:3542916:3548209:-1 gene:TanjilG_02535 transcript:OIW07215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCMVSTPKDSGGNRRRPGSIGEVSVYVPGLRIPKPVDFAQSLGDYLSKSIVERLSALRTRIVVMAAQEGPTISRTKRKSATQHGGSTLADLQQALEDYLPVLLGLVKDGNHLQYKVQFVWVNQEDDAEETAMSNAWYEVLSVLHLMATLLLSQANLLLLPRTSSDGYQPKVSEESRRASVDIFLKAAGYLDCAVRNVLPQLSPELRRNLPVDLAEGVLRALCLQALGQSVDIQLGMAIDSTKATLAVKRRLACEMVKYWQQAQDNIMNLPLANGWGEKHRLYVKWKYVEAKAAAYYYHGMILDEGNTEKSHGMAVAALQAADEYFKESKKLCEAFNAATPLSRNPPLWGTMKYLSEKIPKDASSKVRINRDLYTYERIMETAPTLPDFALALKPDEYQLPPVDPSWRSENMNGVQSGANHVKG >OIW06809 pep chromosome:LupAngTanjil_v1.0:LG08:8013020:8016098:-1 gene:TanjilG_03704 transcript:OIW06809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNIGIVAMATVTLSVIWLWRMLNWLWLRPKKLEKLLREQGLKGNPYRLLGGDLKDFHKSLSEAESKPMAISDDIVPHVSSYIQQSVNKHGKNSFIWFGPIPRVTLKDPELIRDVLNKMYDFPKPDTNPLYKILATGVASYEGDKWSKHRRMINPAFNIVKLKILLPLFFISCNDLITKWEEMLSSDGSCEMDVWPFLQNLAGDVISRAAFGSSYEEGKKIFQLQKEKVEFLMKVIMKVYIPGWRFVPIPIHRRMKEIDRYIQASLKDMVSKRDQALKAGEVTKNDLLGILMESNQKELQEHGNDKNVRMTLQDIIEECKLFYFAGEQTTSVLLVWTMVVLSRYPDWQARAREEVLQVFGNQKPDFDGLSHLKIVTMILYEVLRLYPPVPGLGRTVHKDMKVGDITLPAGVQITLPIVLVHHDCELWGDDAKEFNPERFSEGVSKATEGRVSFFPFGWGPRKCIGQNFALLEAKMALSMILQKFSFELSPSYAHAPAVVITLQPQYGAHLILHKVEI >OIW05727 pep chromosome:LupAngTanjil_v1.0:LG08:24189899:24190414:1 gene:TanjilG_23513 transcript:OIW05727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHDKTGCQAPPEGPILCVNNCGFFGSAATMNMCSKCHKDMMLKEEQAKLAASSFGNIMNGSSSSTTTESVAAKVDVPVNAVEPKPISVQPSFAFGSGESGDTKPKDGPKRCLSCNKRVGLTGFNCRCGNLFCAVHRYSNKHDCPFDYRTAGRDAIAKANPVIKAEKLDKI >OIW07157 pep chromosome:LupAngTanjil_v1.0:LG08:4761900:4763867:-1 gene:TanjilG_10130 transcript:OIW07157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTVASAPDPAPPANNNTENHKKNRIQVSNTKKPLFFYVNLAKRYIQQHDEVELSALGMAITTVVTIAEILKNNGLATEKKVLTSTVGMKDENKGRLIEIVLGKSDKFDKLMAPANTTESEAADDEKK >OIW07302 pep chromosome:LupAngTanjil_v1.0:LG08:1767758:1769489:-1 gene:TanjilG_11936 transcript:OIW07302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTSEKYAAFKEMVGRTVYFDNLSPQVTESVLRTALEQFAIVKTVKFIPNYIGPSNLPQCALVELDSAKKAKEVILMIRQYPFMMSGMPRPVRARHAEEEMFDDRPIEPDRKIKCTWLDPSDPDFEVAMELKRLACKHAAEIAFMHRLQLLEEEKLAQQQTETLKVHYRKFKMIEDITTDGTARRLAREYDMHIADE >OIW07342 pep chromosome:LupAngTanjil_v1.0:LG08:1109248:1110423:1 gene:TanjilG_10177 transcript:OIW07342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKMMNLSSLRSSSSSSSTENFGRRWKEYQGMSNWDGLLDPLDEILRAEILRYGQFVNAAYKSFEFDPSSSNYATCKYPKTTLFERCGLHNTGYKVTKHLRATSGIQLPCWVDKAPTWVSNQSSYVGYVAVCDNKEEIKRLGRRDVVIAYRGTSTCLEWLENLRATLTNLTCNMGNENNGVKQNEPMVESGFLSLYNSNNSSYKSLQEMVKEEMGHILETYGEEPLSLTITGHSLGAALATLTAYDIKTAFLGLPVTVISFGSPRVGNSSFRQCLEKQGTKVLRIVNSDDVITKMPGFVFDDMDKTNADMERNTNFHVTGFPSWIQKQVEEAKWVYSEVGEELRLCSRDSPYLRGVNVAMCHDLNTYLHLVDGFVSSRCPFKATAKRFLQQ >OIW07013 pep chromosome:LupAngTanjil_v1.0:LG08:6387200:6392741:1 gene:TanjilG_02647 transcript:OIW07013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALSFSVASVVENVLQQHGTRLKDLDLESRKAEEAAFRRYDAAGWLRKLVGIVAAKDLPAEPSEEEFRLGLRSGIILCNVLNKVQPGAVPKVVESPVDSALIPDGAPLSAFQYFENVRNFLVGIKEIGIPTFEASDLEQGGKSARIVNSVLALKSYSEWKQTGANGVWKFGGTIKPTTSTKSFVRKNSEPFTNSLSRNSSTNEKSLAGFKSDVESNKMSGSYSLSMLVRSILLDKKPEEVPLLVESVLNKVVEAFENQIVSHCEQTKITPRATVSQSNGSLSKFVMADKKVENKIPMLTKKEGFIHENHVADEESQRQLLKKRMLFDQQQRDIQELKHTVHTTKAGMQFMQMKFHEEFSNLGMHIHGLAHAASGYHRVLEENRKLYNQVQDLKGSIRVYCRVRPFLSGQPNFVSSVDSIEDGTITISIPSKNGKGRKSFNFNKVFGPSSGQSEVFSDMQPLIRSVLDGFNICIFAYGQTGSGKTYTMTGPKEITEKSQGVNYRALSDLFLTADQRKDTFCYDVSVQMIEIYNEQVRDLLVTDGTNKRYPFTKIRSNSNKGLSVPDASLVPVSSTNDVIELMNLGQRNRAVGATALNDRSSRSHSCLTVHVQGRDLTSGTLLRGCMHLVDLAGSERAGKSEATGDRLKEAQHINKSLSALGDVIASLAQKNQHVPYRNSKLTQLLQDSLGGQAKTLMFVHMSPEADSIGETISTLKFAERVATVELGAATVNKDVADVKDLKEQIASLKAALARAEVQPDDSLSATSGKYKTRKASELSPYHATQRGADVVGDLRCRQPMFDVDNSELHSDTTLRQKSQSFDFDEISANSPPWPPVKSPGPTYREDDGETVSVSGEWVDKVMVNKLDVNNIESMLECWEAENGHLSDIFYQKYLQDSSKIYSQQSYSMFTGGNQFNIAGSHNMDDLDAATSDSSEPDLLWQFNHSKLTTLDNENRSKSRKSVSKSAKSPELSKNAINSSLGPSPSRKQANGVLHRTGRHPAPVDMKRKTGSRK >OIW05683 pep chromosome:LupAngTanjil_v1.0:LG08:24510080:24514062:-1 gene:TanjilG_23469 transcript:OIW05683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESACVTLKANTHFANSRKGHTFGQDNGFLGERIKGGFNYSPWVINQVATSLRTQERVHNAKPGLIRAVFTSNNAKESVASWLNSVLGSILAFFYLLLHLSFQVPTFLRRKADPKNVVSIILGGGPGTQLFPLTKRAATPAVPVGGCYRLIDIPMSNCINSGLNKIFVLTQFNSASLNRHISRAYFGNGINFGDGYVEVLAATQTPGEAGKNWFQGTADAVRQFTWVFEDAKHTNVENVLILAGDHLYRMDYMDLVQSHVDRNADITVSCAAVGDSRASDYGLVSVDGRGRIINFSEKPKGEGLKAMQADTSLFGLSPQEALKSPYIASMGVYVFKTEVLLNLLKWRYPTSNDFGSEIIPAAVREHNVQAYFFRDYWEDIGTIKTFYDANLALTEESPMFKFYDPKTPIFTSPRFLPPTKIDKCRVVDAIISHGCFLRECTVQHSIVGERSRLDYGVELQDTVMMGADYYQTESEIASLLADGKVPIGIGSNTKIKNCIIDKNAKIGKNVIIMNKDGVQEADRADDGFYIRSGITIIMEKAAIEDGTVI >OIW06190 pep chromosome:LupAngTanjil_v1.0:LG08:18883148:18883447:1 gene:TanjilG_23070 transcript:OIW06190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIEQLSLIVMLFSIGVEATNFTVQNRSRNTIWPGILTGAGKPQLMDGGVQLKPGQQINITAPTGWSGHF >OIW06062 pep chromosome:LupAngTanjil_v1.0:LG08:20268962:20270779:-1 gene:TanjilG_29818 transcript:OIW06062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNSIFLLLILFPLFLLQNPISASNLHKLKQLRSDFHSQEETSLSSSHGIPPTKYFEVTKPIKLPKTKPCSYNVLTHDFGFTYGKPPVLANYTPPSSCPYQSFSKIVLEWKATSKGRQFDRIFGVWLGGVEILRSCTAEPRATGIVWTVKKDITRYHSLLLSHQTLAVSLRNVVDGTYTGIYHVDINIHFYPHESKVETLTSGSDFPADLILPISRNIPSDNGFWFEIQNSTDIGLKEFKIPQNAYRAVLEVYVSFHENDEFWYTNPPDAYLYANNLTDTPGHGAFREVVVTLDRKNVVGSIWPFTVIYTGGVNPLLWRPITGIGSFDLPSYDIDVTPFLGTILDGKVHSLGFKVTNALNVWYIDANLHLWLDRKSSRTEGKLLNHIAKPLVESVVSDFNGLNGKFWINAKRSILSNGWVRSSFGNISTSSVQNLTYDSSVVIGKDGNKQNVNQIISFNDIVHAKLPSHVHDFISETHGAFSLNLDTDTLDQANGTYLAVSNITLEFDENKSKNAASGFSKSSLKNVQDGQGTMVVKNNLVISGVGATQQDYRYKSEGFCYFRKVGASNYTILYDKVRKSCNKRRNNRSHSPLGLNFVKKWPAML >OIW06182 pep chromosome:LupAngTanjil_v1.0:LG08:19178142:19184988:-1 gene:TanjilG_15066 transcript:OIW06182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAACCVAAKDKTVQSGSTYDVLHRNIRCSPSWSFRWDHPARVAGEDAPVTWFSDGIRRNDGSESKNESSYVSEGGSPLESDQRKRCQKYPISEGGAGLVINSTSDQSISTNVSMDVNVEQVKGLEESSTVSGTSPTKPPSSLPSTSLSASPLPSQSHLAPSSSTPSRSPYQSPGHQLLWQVSNSRVPGCKSPSSFYVSEEKPVFPSWNNELGMHSRDGSSDGWSVPGFSELMGTSRRERWSFDSESFGFNPERLHRSSNRFSTSPVDFQTCGVCSKLLTEKSSWSSQKIIASNDLAVVSVLICGHVYHAECLESMTPEISKYDPACPVCTFGEKQTLKLFEKALKAEMDLKAGNKKSKNRIVDSEIDDFVFDRVKDGGCQGKGPRMDSSSSGRRFFGKPFLKRHFSFGSNSSKSMLHNHPTKKKGFFWGKSSKQ >OIW07173 pep chromosome:LupAngTanjil_v1.0:LG08:4570433:4572903:1 gene:TanjilG_10146 transcript:OIW07173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDLVLDTAIRNWVLIPLSVVMVLIGVLRYFVSKLMRSSQTPDAKIVKEGQVMLRARNLRAAANFIPSKAFRERKIYFCNEENGLLFVPKGQATNPQAQMFSDPNMAVDMMKKNLSMIIPQTLTFAWVNFFFSGFVAAKIPFPLTQRFRSMLQNGIDLSTVDVSYVSSRSWYFLNLFGLRGFFSLILGEENAVDDTQRMMQMGGGGFGFDSSKGLSVEKDNLDITQHDWALPNFEQRAEAVLTKLLS >OIW06059 pep chromosome:LupAngTanjil_v1.0:LG08:20251075:20253597:1 gene:TanjilG_29815 transcript:OIW06059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGFRVLHLVRPFLSFLPEVQTADRKVPFREKVIYTVISLFIFLVCSQLPLYGIHSTTGADPFYWMRVILASNRGTVMELGITPIVTSGLVMQLLAGSKIIEVDNNVREDRALLNGAQKLLGILIAVGEAVAYVLSGMYGSVGQLGVGNAILIIIQLCFAGIIVICLDELLQKGYGLGSGISLFIATNICETIIWKAFSPTTINSGRGAEFEGAVIALFHLLITRTDKVRALREAFYRQNLPNVTNLLATVLIFLIVIYFQGFRVVLPVRSKNARGQQGSYPIKLFYTSNMPIILQSALVSNLYFISQLLHRKYAGNFLVNLLGKWKDSEYGGGHSIPVGGIAYYITAPSSLADMAANPFHALFYLVFMLSACALFSKTWIEVSGSSARDVAKQLKEQQMVMPGHRESNLQKELNRYIPTAAAFGGICIGALTVLADFMGAIGSGTGILLAVTIIYQYFETFEKERASELGFFGF >OIW06031 pep chromosome:LupAngTanjil_v1.0:LG08:22034994:22035239:-1 gene:TanjilG_11718 transcript:OIW06031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGRMAKLEDIDDSAAKMKRNHGSSTFFVFVDYLFLFIFLAFLCFIIFKIISVV >OIW07206 pep chromosome:LupAngTanjil_v1.0:LG08:4189778:4190125:-1 gene:TanjilG_17754 transcript:OIW07206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNVLYACDVLDDCKLIQPGGSCFIPDTLLNHASVVMNEYYAKKGRNTWDCYFSDSGLISHSDPSYGSCKYA >OIW05988 pep chromosome:LupAngTanjil_v1.0:LG08:21690548:21691447:-1 gene:TanjilG_11675 transcript:OIW05988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLMFSTLLISSVHSRRLEGHAYRQHLLQDFILADRASPTGPNPKHNDAPPIARDITAPSGSNLKYNAALPIRGDITTPTGPNPRHNDAPPIAHDRTAPSGSNHKHNVALPITGDITTPTGPNPKHNVAPHIASDRTVPSGSNPKHNVALPITGDITTPTGPNPTHNGSPPIARDRTAPSGSNPKHNVALPITGDITTPTGPNPKHNTSPPIPGVPHIPGDSRTISLGPNPKHNVALPITVDRSAPIGPNPKHNDAPPITVDKSAPTRPNPKHNDAPPILGDKTTPSGPNPKHNTTPPS >OIW05656 pep chromosome:LupAngTanjil_v1.0:LG08:24677213:24678123:-1 gene:TanjilG_23442 transcript:OIW05656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIQAQFYHSNGGSNPFCDNGFYTAGFTDSCFNPQQRHQQQMQQLQQRLQQPHNESHNNLVDPNLLAHNSKALNPPNSFSQLEEVDHYIRLQNEHLRFMLQEQGKQQVSALLNRVESHSLKLLKQKDEEIAKATKKRVELEDFIKRLEAENQGWQKIALENEAMALSLCRTLEEMKEKSSYHNNVADDAESWCDESRRDKEEAIEENRIGVKMEQITREIMLCKSCNSRRSCFLFLPCRHLCSCKACDAFLKACPVCTMPKKASIETLI >OIW07188 pep chromosome:LupAngTanjil_v1.0:LG08:4411990:4436845:-1 gene:TanjilG_10161 transcript:OIW07188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKQEVAEIDQCKNQCRPGGSEALPAGIVNSTSSFEMKPLWELARRKRHNTVDVKANATTNLFAMAVGIKQKDLVNKMVKKFLDCNFMVMLFHYDGIVDKWKDFEWSNHVIHVAAINQGKWWFAKRFLHPDIVAEYDYVFLWDEDLGVENFHPDRYVSIIKHEGLEISQPALDPKKSEVHHQITARGRRSTVHRRTYKPANGGKGCDKSSTAPPCTGWIEMMAPVFSRPAWHCVWYMIQGDRTKKVGVVDAEYVIHYNRPTLGGIDKTTVTSNKETDHRVDVRRLSYRELDVFRKRWEKAADEDKCWVDPYQ >OIW07164 pep chromosome:LupAngTanjil_v1.0:LG08:4648390:4650745:-1 gene:TanjilG_10137 transcript:OIW07164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSYPVLPYSSTRAASAKSNIRSAGHMFSTPAKCPDDIPFSPVSEIHSPTFISHPHESDDISFEPYPFQDLLQFPDGVPVHNNQVEHSASYISGDNAQTTDIGDWIDVEPLISVDVDDSLLSNWTQLLGDDNVAEPKPKEFQVSQQQHGQSTEVNAPPNSVSTAPQTKSRMRWSQELHEAFVEAVNQLGGSEKATPKGVLKLMKVKGLTIYHVKSHLQKYRTARYKPEPSEGTSEKSLPEVEEMKCLDLKTSKGITEALRLQMELQKRLHEQLEIQRDLQIQIEKQGKRLQIMFEKQVEMDKPSASISSMAIALPSPIDNLETTNEDHEKFRITVPEESTQDACTKQKRDDAKHELGDDQFSAQLLKRMKSL >OIW05965 pep chromosome:LupAngTanjil_v1.0:LG08:21459729:21464551:1 gene:TanjilG_11652 transcript:OIW05965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVESPINGRTRLDFTIVNGGDDVSPSSVPPSNAGSDCGIVEFTREDVEALLNEKAKRKDRFNYKERCENMMDYIRRVKVCIKWFQDLEMNYSLEQERLKNSLELTQHKCAEIELLLKIKEEELNSIITEMRRNSTSLQEKLIKEESERMVAVESLEIEREARLNIERSHITLSEDLGKAQREIENANQKISSLNDMYKQLQDYIISLQQYNGKLHTELSSAEDEIKRIEKEKADAVENIALLRSQLTLSISSEEAAVKHNETLASKVASLRGELQQERDERDDQLSQVQTLTFELEKFKEATETSCTELNKLKLKANELEVKCSSKDNQIRELQGQLPIAEMKFQGLGISALETRMEFEEKQKFVDELQRRVADAELKVLEGERLRKKLHNTILELKGNIRVFCRVRPLLPDESCSTEGNIFSYPTSMEAYGRDIDLAQSSQKHSFTFDKVFTPEASQEEVFVEISQLVQSALDGYKVCIFAYGQTGSGKTYTMMGRPGHPKEKGLIPRSLEQIFQAKQSQQPQGWKYEMQVSMLEIYNETIRDLLSSNRLSSEGTRLENGTPGKQYTIKHDSSGNTHISDLTVVDVQSIEEVEFLLNQAANSRSVGKTQMNEQSSRSHFVFTLRIYGVNESTDQQVQGILNLIDLAGSERLSKSGSTGDRLKETQAINKSLSSLSDVIFALAKKEDHVPFRNSKLTYLLQPCLGGDSKTLMFVNISPDPTSAGESLCSLRFASRANACEIGTPRRQTNWRSSDSRSS >OIW05730 pep chromosome:LupAngTanjil_v1.0:LG08:24172153:24172833:-1 gene:TanjilG_23516 transcript:OIW05730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTSQWPRLIYAVAFCLIATIVVADYHPYNAGQPYYYYQPPSYYYQSPPPPSPSPSPPPPYVYKSPPPPSPSPPPPYAYKSPPPPSPSPPPPYLYKSPPPPSPSPPPPYVNKSPPPPSSSPPPPYIYKSPPPPSPSPPPPSPSPPPPSPSPPPPSPSPPPPSPSPPPPSPSPPPPSPSPPPPSPSPPPPYVYKSPPPPSPSPPPPSPSPPPPYHPYLYSSPPPPVY >OIW06658 pep chromosome:LupAngTanjil_v1.0:LG08:10264303:10264899:1 gene:TanjilG_04052 transcript:OIW06658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKNKTAYDIVEPAHMELAQPSIADAFESCVQQGAQRIIVTPFFLLPGRHWSQDIPSLSAEAAKDHPGVSYIVTAPLGLHHLLVDVMDDRINHCLKHVAGDVDECSVCAGTGKCRLY >OIW05787 pep chromosome:LupAngTanjil_v1.0:LG08:23779272:23781868:1 gene:TanjilG_23573 transcript:OIW05787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPMAASRIVIAHPYRHSIPSETVPSPFLSLNLNLKSHPKRYRYIGIHASIRSHKENDLNDKTNPLEIPNTPSDHSSSSHVISKDLLSLPRPLSSSLFSDTVSDGSRLRVAYQGVPGAYSESAALKAYPNCEAVPCDQFDTAFEAVEKWLVDRAVLPIENSLGGSIHRNYDLLLRHNLQIVGELKFAVHHCLMANDGVKLEDLNRVLSHPQALAQCENTLTKLGLVREAVDDTAGAAKHIASHKIRDAGAVASSAAAKIYGLNILAEDIQDDSDNITRFLILAREPIIPGTDRPFKTSIVFSLEEGPGVLFMALAVFALRQINLTKIESRPLRKQPLRVSDDNNNVKYFDYFFYVDFEASMADQSAQNALRHLKEFATFLRVLGSYPLDTSS >OIW06131 pep chromosome:LupAngTanjil_v1.0:LG08:21228934:21229698:1 gene:TanjilG_29887 transcript:OIW06131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQHQNPTTLDSDPHLPQIKIHHPSSPHHHPTSAATPTPTAGARRKIGVAVDLSDESAYAVRWAVQQYIRPGDAVILLHVSPTNVLFGADWGSIDLSINTDPNTDEETVNSVNHSDLSKRKLEDDFDAFTATKSSDLAKPLKDAQIPYKIHIVKDHDMKERLCLEVERLGLSAVIMGSRGFGAVRRGSEGKLGSVSDYCVHHCVCPVVVVRYPDDNDSVEVGTGGAAAAKAVVVVKEGDEGEAVIKPVDEHKKG >OIW06824 pep chromosome:LupAngTanjil_v1.0:LG08:8247541:8253084:1 gene:TanjilG_03719 transcript:OIW06824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVLWSLPTWFIVAANTFFFISFPLFLSSQTIAITVKPTPQQPLSFNSLPFIWPLPKKFTFGNESLSLDPKLSLSGNAVFSPILRAAFDRYKGIVFKNTDRYGLVTTFKTVYDVAKLKIIVHSYSEELQLGVDESYSLFVSKAQVHSAAGEVKIEAKTVFGALRGLETFSQLCSFDYTTKTVQIYKAPWSIRDKPRFAYRGLLLDTSRHYLPIDVIKQIIESMSYAKLNVLHWHIIDKQSFPLEVPSYPNLWKGSYTDLERYTIEDAYEIVKGIGYPDLWPSPSCREPLDVSKKFTFDVLSGILTDLRKIFPFELLHLGGDEVNTGCWTNTTQVKKWLQNYNLTGEDAYEYFVLKAQDIALLKNWSPVNWEETFNTFPTKLHPLTVVHNWLGPGVCPKVVAKGFRCIFSNQGVWYLDHVDIPWDVVYNAEPLEGIHKASEQKLVLGGEVCIWAENADTSDVLQTIWPRAAAAAERLWSQIDSSPGGNINKTALSRLQYFRCLLNRRGIPAAPVTNFYARTAPNGPGSCYEQ >OIW05602 pep chromosome:LupAngTanjil_v1.0:LG08:25074640:25076894:1 gene:TanjilG_23388 transcript:OIW05602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGDLVVQGLLDSLTHVTDAKMGLNLKGNKKTLSKVRQVRSQTNKKIPPSLPLRRSARNVKSLYLQHQMNGGNKKGIHGKKNVGRKKRKQSKSKKLTSQKSEATTVQLKNLAVTTERKKRTNICTSYWLNGLWLSRKPNDERVMLFREKKHVVFSEDFPGTLDHYKCRLCCQDGCTSNYIACGTCGDWYHGDAFGLTLDNARQLIGFRCHVCRDRAAPVCPHIINALPHTESNAATECAEESSKPISLLPLSENDRDRPFTRVYTRRSKHGIRRDGRREEGGGGNSKSGYFGLQQVVLNTESKNEGEQEDGIHNKLSTRLASIGGEEDLGITP >OIW06187 pep chromosome:LupAngTanjil_v1.0:LG08:19239857:19248125:-1 gene:TanjilG_15071 transcript:OIW06187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLNAISDKTSIAQDVEALHLAFKGLGTDVNTVIRILGHRNSDQRQLIIRAYKDLFQGDLIKRLESELSGEFEKAMYRWMLEPADRDAVLAHVAINQGRKHYHVLVEIVSVLSPEEVLNVRCAYHDRYKRSLEEDVAAHTTGDLRQFDILKLGFDFEHLLVGLVSAFRYGGNEINAKLAKTDAEILHESMKEKNGNHEEAIRILTTRSKAQLLATSTATEMIIAYPLPSDFHKALHTAIRCISDYHKYYEKVLLNAITRIGIEREDAITRVVVSRAEKNMKDIMELYYKRNSVHLEDEIAKEFHGDYKKFILTLLGKEI >OIW05909 pep chromosome:LupAngTanjil_v1.0:LG08:22638957:22642877:-1 gene:TanjilG_23695 transcript:OIW05909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADFEATMRPTPSSSLPFNAPLLAAFLSFTIAQFLKIFTTWYKEKRWDSKRMLDSGGMPSSHSATVSALALAIGLQEGTGSPAFAIAVILSCIVMYDASGVRLHAGRQAELLNQIVCELPPEHPLSNARPLRDSLGHTPFQVLAGGLLGCIIAFFMRSPY >OIW07008 pep chromosome:LupAngTanjil_v1.0:LG08:6432352:6433448:-1 gene:TanjilG_02642 transcript:OIW07008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGGAIIADFIPHRVNRRITASEIWPNSFGANQNDFDLDSSQQQSTTVKRTQPSPVVTEQVEKPVKRQRKNLYRGIRLRPWGKWAAEIRDPRKGVRVWLGTFNTAEEAARAYDKEARKIRGKKAKLNFPNEDDEYSIQLRPNPPRLPPTQPKKTPLFLQEPRHCDSLNNAPIKNLNWEFGYDLNQAGEIPSHHVTDPVIISGDENSGSAGSEGAYSITVNESGCFSGEVNVKEVSEVKDAMNEGQGLKDEVLKITDELISYENYMKFFEIPYYVDQSTEPNNVHENLVGDLWSFD >OIW06007 pep chromosome:LupAngTanjil_v1.0:LG08:21873464:21878021:1 gene:TanjilG_11694 transcript:OIW06007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVTGAGSSDNGCVTMIDETYEFSAPRFFDFINGESDEDKSKAELWFDTDLFYAPSPFMPKIKTSRSITVGSLCDFSEADEMQKTSATADDNVLEANMQPHCMTTKIKDDDAPCSDAKEENNTLASHASHSEAKEKNNITASHAPCNESKEENKSTASHVVSADKEIIDNDKKGDSACLSGVSSSGGAAIEVGKDACTPNPTLQKRVSSTNSKKQQTVKKIVMSAKHQIRSSAKKSTAATPNLIQENQAIKRQKLEEGKTRQILNIKNQVLPHKSKLGSNANSLSASKSNKEDRKVYVRETPKPAPVPFISTAEMIRRFQSSTRDLSLSNAISNTKPKLTLTRPKEPDFETTQRARPARMKSTAELEEEMMAKMPKFKARPVNKKILQTPTLLPIPRSTPQPPEFKEFHLETMTRAHQNADSASIASTELSYKENLGKPHHLTEPKTPVLQTSLRARPAKVKSSLELEQEELEKIPKFKARPLNKKIFESKGDIGIFCNTKKHVTEPQEFHFATNERIPPPAAMADLFEKLSLKSEQNHNPIPRNTTPNPFHLHTEERGAEKERRLCMELLQKQMEEERARVPKANPYPYTTDYPVVPPKPEPKQCTKPEPFQLESLVRHEEEMQREMEEKLRMEREEAEMRRFKAQPVLKEDPIPVPEKIRKPLTQVQGFNLRVEHRAVDRAQFDEKIKEKEMMYKRYREESEAERMIEEEKALKQLRRTMVPHARPVPKFDHPFCPQKSAKDTTKPKSPNLHVLHRKERRKVFNGTVISTPASNMR >OIW06525 pep chromosome:LupAngTanjil_v1.0:LG08:10884188:10884517:-1 gene:TanjilG_29946 transcript:OIW06525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVLEVNKTNYESCNSDHPLHNWTTGAGRDVVPLNVTRNYYFISGKGFCYGGMKIAIHVQNLPPPPKPAPVKSGTTTTTTLTVSYKSNIILLSLVFAIGTLWDAFIHLW >OIW07373 pep chromosome:LupAngTanjil_v1.0:LG08:345479:347942:-1 gene:TanjilG_10208 transcript:OIW07373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDNDDIQPLVFDNGTGMMKCGFGGDDAPRAVFPNIVGRSKNKNLNNVYVGDEAQSKRGNYTLTYPIKHGVVNNWVDMEKIWHHTFKNELHVNPEEHPILLTEAPLNPKANREKMTQIMFEKFNVPAMYVAIQAVLSLYASGRMTGIVLDSGDGVSNTVPVYEGYSLPHAILRLDLAGHDLNDYFMKILNDRGYSFTTSAEHEIVRDMKEKLGYVALDYEQELEASKNNTSIEKTYELPDGNVITIGNERFRCSEVLFQPSMIGMEAQGIHEIIYNSIMKCDVGENDFDLKKELYGNIVLSGGSTMFHGIADRMSKEISSLAPCSMKIKVVAPPERKYSVWIGGSILTSLSTFQQVC >OIW06224 pep chromosome:LupAngTanjil_v1.0:LG08:18505659:18509001:1 gene:TanjilG_03849 transcript:OIW06224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPYKNRPSSASNSPYWTTNSGAPVWNNNSSLTLGSRGPILLEDYHLVEKLANFDRERIPERVVHARGASAKGFFEVTHDISQLTCADFLRAPGVQTPVIVRFSTVIHERGSPETLRDPRGFAVKFYTREGNFDLVGNNFPVFFIRDGMKFPDMVHALKPNPKSHIQENWRILDFFSHHPESLHMFSFLFDDLGVPQDYRHMEGSGVNTYTLINKAGKAHYVKFHWKPTCGVKSLLEEDAIKVGGSNHSHATQDLYDSIAAGNYPEWKLYIQTIDPDHEDRFDFDPLDVTKTWPEDILPLQPVGRLVLNKNIDNFFNENEQLAFCPAIIVPGVYYSDDKLLQTRIFSYADTQRHRLGPNYLQLPVNAPKSAHHNNHHDGSMNFIHRDEEVNYFPSRYDPVRHAETHPIPPAIFNGKREKRIIEKENNFKQPGERYRSWAPDRQERFVRRWVEALSDPRVTHEIRSIWVSYWSQADRSLGQKIASHLNLRPSI >OIW06233 pep chromosome:LupAngTanjil_v1.0:LG08:18262493:18267796:-1 gene:TanjilG_03858 transcript:OIW06233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELNIAAVSALCLCIIVSLFAITSAEDPYKFFDWKVSYGDISPLGVRQQGILINGQFPGPDINSVTNDNLIINVFNNLDEPFLISWSGIQQRRNSYEDGVFGTTCPIPPGKNFTYKLQVKDQIGSFYYFPSLAFHKAAGAFGGIRILSRPLIPVPFPKPADDYTVLIGDWYKTNHKDLRHQLDSGKILSFPDGILINGHGPNGASFNVVKGKTYRMRISNVGLQNSLNFRIQDHTMTLVEVEGTHTVQTTLTSLDVHVGQSYSVLVTADQPDKDYYIVVSSRFTSQVLTTTGILHYSNSKSPVSGPPPAGPTTDINFSLGQARSIRTNLTASGPRPNPQGTYHYGQINISRTIILSNSQGTVNGKQIYAINSVSYVAPDTPLKLADHFKIEGVFRPGSIQDKPTGGNIYFDTSVLQTDYKAFIEIVFQNNEDIIQSYHLDGYSFFVVGMEGGQWTTDSRKKYNLQDAIPRCTTQVYPKSWTAVYFALDNVGMWNLRSEFWARQYLGQQLYLRVYTTSTSVRDEYPIPTNALLCGKATGRSLGNVTIA >OIW06176 pep chromosome:LupAngTanjil_v1.0:LG08:19093385:19093660:1 gene:TanjilG_15060 transcript:OIW06176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLDRAKAKIDRVKFKVHRATPPHDRGKINLDRGIMVRDRRNEKSMQDNALPSTHQSKALHNTLTVAHRPWHIDSDRGSLASAHPTWAQHI >OIW07143 pep chromosome:LupAngTanjil_v1.0:LG08:4938265:4940080:1 gene:TanjilG_10116 transcript:OIW07143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKAVTIRTRKFMTNRLLSRKQFIIDVLHPGRANVSKAELKEKLARIYDVKDPNSVFVFKFRTHFGGGKSTGFGLIYDSVENAKKYEPKYRLIRNGLDTKVEKSRKQLKERKNRAKKIRGVKKTKASDAAKAGKKK >OIW06950 pep chromosome:LupAngTanjil_v1.0:LG08:6994409:6997608:1 gene:TanjilG_18338 transcript:OIW06950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSRSYSNLLELTSCGSPAFGREKKRLPRVATVAGVLSELDDEASNSAGSDVPSSISQDRMIVVGNQLPLKAHRKDNGTWDFTWDEDSLLLQLKDGLADDVETIYIGCLKEEIEPSEQDDVAQYLLDTFKCVPTFLPPELFTKFYHGFCKQHLWPLFHYMLPLSPDLGGRFDRSLWQAYVSVNKIFADKVMEVINPDDDFVWVHDYHLMVLPTFLRKRFNRVRLGFFLHSPFPSSEIYRTLPVRDELLKALLNADLIGFHTFDYARHFLSCCSRMLGLSYQSKRGYIGLEYYGRTVSIKILPVGIHIGQLQSVMNLPETESKVAELRNRFRDQTVMLGVDDMDIFKGISLKLLAMEQLLLQHPEKRGKVVLVQIANPARGRGKDVQEAQSETYATAKRINDTFRRPGYTPVVLIDTLQSYERIAYYVIAECCLVTAVRDGMNLIPYEYVICRQGNEKINEILGISPFTQKKSMLVVSEFIGCSPSLSGAIRVNPWNIDSVAEAMDSALVVAESEKQMRHEKHYRYVSTHDVAYWARSFLQDLERACRDHLRRRCWGIGFGLGFRVIALDPNFRKLSVEHIVSAYKRTKHRAILLDYDGTMTQPGSISNTPNAEAVGILNSLCMDPKNCVFIVSGKERKTLTEWFSSCEMLGMAAEHGYFVRTNQKAEWEACVSVPDFEWKQIAEPVMQLYMETTDGSNIEAKESAIVWNYEFADRDFGSCQAKELLDHLESVLANEPVSVKSGPHIVEVKPQGVSKGIVAERLLSTMQQKGVVPDFFLCIGDDRSDEDMFEVIASSRASLSPVADVFPCTVGQKPSKAKYYLDDTGDIWRMLQGLANASE >OIW06722 pep chromosome:LupAngTanjil_v1.0:LG08:9248257:9250230:1 gene:TanjilG_11447 transcript:OIW06722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGLNFMDELDCGSFFDQIDDLLDFPVEDVVDGTTTTTTTALPAVSASGNCNSLASIWPPQSESFPCSDSIFSGKSAPDLSAELSVPYEDIVQLEWLSNFVEDSFSGGSLTMNKVEQPSCTTTTTTSTKEDTSSVHSQFQTSSPVSVLESSSSYSGGKTIPRSRPEIYIPVPCGRARSKRPRPAAFNPCPAMQLISPASSFLGENMQPNVIISTKASSDSENFAESQPVTKMRKQGSGEHKKKKKIKPSLPSAPAADDTSQNGSQAVRKCMHCEITKTPQWRAGPMGPKTLCNACGVRYKSGRLFPEYRPAASPTFCPSVHSNSHKKVLEMRYRSIEETGFETHSAASPELIPNTNSSILTLEYM >OIW06383 pep chromosome:LupAngTanjil_v1.0:LG08:15088300:15089700:1 gene:TanjilG_15028 transcript:OIW06383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDTIVLYSSIGRGHIFSVVELGKLILTHNPSFSIAILIPTPPNSTAIDTTIFGYDSSITFHHIPIPQPPSGTALSPPSLIFHLIRYGNHNLHQVLQSISKTSKIKALVLDFLNYTANEVTNTLNIPTFFYYTSGASPLCALLYYKTIIQIKTEDSCNYLEIPGFPRISKEELPSYPEELENIFLDIVATMSGCNGIIINTFNALEGRANKALQEGSCFPDESNPPPVFCIGPVISVPGGENDENGCLSWLDSQPSQSVVLLSFGSLGRFSKTQLKEIAIGLEKSEQRFLWVVRSESDEESLEDLLPEGFLDRTKEKGMVVRDWAPQVKILSHDSVGGFVTHCGWNSVLEAVCEGVPMVTWPLYAEQHLNMIVLVKEMKVALALKETENGIVGATELGDRVKELMDSEKGKEIRERVLKMKVSGVEARNEGGSSYVALNRLTQLWKGKDNLSLLSPNSPLLSNYSG >OIW07067 pep chromosome:LupAngTanjil_v1.0:LG08:5780177:5780437:1 gene:TanjilG_02701 transcript:OIW07067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKSSFRSIFNIFKSNNKHRGRGYNDAYDEGSKAWTKVWPSDEDKGRWGVADPVIDMKATAFIAQYKKRVSESEIHCQAQPQPQQ >OIW06303 pep chromosome:LupAngTanjil_v1.0:LG08:15578058:15583054:-1 gene:TanjilG_17677 transcript:OIW06303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKWTLPSVLLLLSLLLLFSDQGQKLKANAEANSEELVDPPKVEDKIGAVPHGLSTDSDVAKREAESISKRSLRSNAEKFEFQAEVSRLMDIIINSLYSNKDIFLRELISNASDALDKIRFLSLTDKEILGEGDNTKLEIQIKLDKEKKILSIRDRGIGMTKEDLIKNLGTIAKSGTSAFVEKMQTSGDLNLIGQFGVGFYSVYLVADYVEVISKHNDDKQYVWESKADGAFAISEDTWNESLGRGTEIRLHLKDEAGEYLEESKLKELVKKYSEFINFPIYIWASKEVDVEVPADEDDSSNEDESSESRSPEEESEEAADESEDEEKKPKTKKVKETTYEWELLNDVKAIWLRNPKEVTDEEYTKFYHSLAKDLSDDKPLAWSHFIAEGDVEFKAVLFVPPKAPHDLYESYYNSNKSNLKLFVRRVFISDEFDELLPKYLNFLKGLVDSDTLPLNVSREMLQQHSSLKTIKKKLIRKALDMIRRIAEEDPDESSDKEKKEEVSSKNDEKRGQYTKFWNEFGKSIKLGIIEDATNRNRLAKLLRFESTKSEGKLTSLDQYISRMKAGQKDIFYITGTNKEQLEKSPFLERLKKKNFEVIYFTDPVDEYLMQYLMDYEDKKFQNVSKEGLKLGKDAKDKELKESFKDLTKWWKNTLASENVDDVKISNRLDNTPCVVVTSKFGWSANMERIMQSQTLSDSSKQAYMRGKRVLEINPRHPIIKELRERIVKDPEDESVKHTAELMYQTALFESGFLLDDPKDFASRVYDSVKSSLDISLEATVEEEDDTEEVEAESDAKEDASTSKPDAEDVNDNADFKDEL >OIW06175 pep chromosome:LupAngTanjil_v1.0:LG08:19732085:19733924:-1 gene:TanjilG_01802 transcript:OIW06175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSPFFDDIQSHSDVDPPQIEELTDVSELVNDPTQTALKPNGTVSSSVRELLECPVCLNAMYPPIHQCSNGHTICSGCKPRVHNRCPTCRHELGNIRCLALEKVAASLELPCKYQGFGCIGIYPYYSKLKHESQCAHRPYNCPYAGSECSVMGDIPYLVAHLKDDHKVDMHNGSTFNHRYVKSNPQEVENATWMLTVFSCFGQYFCLHFEAFQLGTAPVYIAFLRFMGDDNDAKNYSYSLEVGGHGRKMVWQGVPRSIRDTHRKVRDSFDGLIIQRNMALFFSGGDRKELKLRVTGRIWKEQ >OIW05575 pep chromosome:LupAngTanjil_v1.0:LG08:25226126:25229445:-1 gene:TanjilG_23361 transcript:OIW05575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKSRSNLSETPNKASLATPRVSKASKGVSKSESESSSPLRNPRLSVERSPRSVNSKATVDRKSPRPTSTPPDKLPQKPAKGSELQNQLNLVQEDLKKAKEQLIQSEKEKVRAIDELEEAKRVAEEANEKLGEVLLAQKWIEEDSEIAKFQALELEQGGLETVKKEEEWQKEIESVRNQHAVDVAALLSTTKELQRVKQELAMTCDTKNQALNHADDATKIAEIHVEKAEILSAELLRVKALLDSKLETEANDHEIKLKLKKEIEALKQEVEKAKDYDEKLIEKETSIEQLNVQLEAAKMAESYAHSLLDEWKMMVKEQEMNVKEANKSERSALESLKSVMNQLEASNDLLHDADSEIVALKEKMGLLEMTIGRHRADIEESDRQILMAKEESIEMSKKAESLKSELEKVKEEKAQALNNEKLTASSVQTLLFEKNKLINELENSRDVEEKSKKAMESLASALHEVSAEARETKEKLLNSQVEHESYETQIEDLKLVLNATSDKYKSMLDDARGEIDVLTCKFENSKNELENSKAEWEQRELHLVSCLNKSEEEKSSLGKEINRLVHLQKAAEEQASSSREEECQLKENLKEVEAEAIHLQEALKEVMGESMKLKESLLDKENELQSLFHVNDELQMREAEYIKKVEELSKLLEEAATINKNKNNHTEENGDLSDSDKDYDLLPKVVGFSEENGHGEDNDANIVLNDNAGKIDSPKPENVNGKLKEDEREEKDESAEVEYKMWESCKIEKRELLRERESEPESLEEEVDQSKIEGSDESLDKINGTTAEDGGSSPSKQQQLKKKKHLLGKFGSLLKKKGAATNHK >OIW06676 pep chromosome:LupAngTanjil_v1.0:LG08:10391437:10395143:1 gene:TanjilG_04070 transcript:OIW06676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSCFVMLFGFAILLSLFSTTSATPHAKIVTGVVSNLVSALLKRLWSLKSPAKPVQHTRSMMKFESGYNVDTIFDGSKLGIEPHSIEISPNGEYLLLDSENSNIYEISTPMTRYSRPKLLAGSGEGYIGHIDGRPREARMNHPKGLTVDDRGNIYIADTMNMAIRKISDEGVTTIAGGKWGQVGGHVDGAGEDAKFSNDFDVIYVSSSCSLLVVDRGNQAIREIQLHQDDCTTYEYDSNMPLGIAVLLAAGFFGYMLALLQWRVRALFSSPDDPRPPPLRKGTQYAAQQRPTKSVRPPLIPTEDEEYDKSDESFFVSLGRLFVNSGSSMAEILGGLFSGGSKRKPLQYQYHHQQQYQNQFSNRQQHHHHPNALPMQESFVIPDEDEPPPPLETRKAYPFMSNEIEKPQQFKRTRAYLNGYYEESRETNEIVFGAVQEHDGRREAMVIKAVDYGDPKYSNNNIRPRLNYVGYSNGY >OIW06278 pep chromosome:LupAngTanjil_v1.0:LG08:16802095:16807000:1 gene:TanjilG_19716 transcript:OIW06278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMDYYNILKVNRNASDEALKKAYKRLAMIWHPDKNLVNKSEAEAKFKRISEAYDVLSDPQKRQIYDLYGEEALKSGQFPPPSQSSSSSSSRAHQQRHNPNATSFQFNPRDADDIYAEFFGSDGADSGGGSGGRGGGRRDGFFRTSSNASPFGGVGRKAAAVENALPCNLEDLYKGVKKKMKISRKVYDAFGKCHNVEEILTIEIKPGWKKGTKITFPEKGNQEPGVIAADLIFVIDEKPHALYRRDGNDLTMNQEITLLEALTGKTLELTTLDGRSLVIPLTDIVKPGAEIVVPNEGMPISKEPGKKGNLRIKLDVKYPSRLTAEQKSDLRRVLGGIS >OIW06165 pep chromosome:LupAngTanjil_v1.0:LG08:19474322:19477406:1 gene:TanjilG_01792 transcript:OIW06165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTFLLNPFAPFPSHTPITTTRSKPFPFYRHHFLPSSPHSKNLTFSSRCAKSRRENLNGKDFDLDLIKEDLESLEDGAGDFGRREDEKDIDMDLLQVLNDDGADEDEYSGIQQKKNKDFDKDPEFAEIIGGFLDDPQKAQSKMEDRLRRKRSKILHAKTGSGIPMKVSVNKFDFSNSFIWFEFHNTLLPKDISLICDTIRAWHIIGRLGGCNSMNMQLSQSPMEKRPSYDYIQGANVTPTTFYNIGDLEVQDNLARIWVDIGTSELVLLDVLINALTQISSDQPTPPGGSNAWWLLFLMAMLLSWLAPNPNA >OIW05681 pep chromosome:LupAngTanjil_v1.0:LG08:24526618:24528189:-1 gene:TanjilG_23467 transcript:OIW05681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKKEKAPPPSSKPAKSGGGKQKKKKWSKGKQKEKVNNMVLFDQASYDKLLSEAPKFKLITPSILSDRLRVNGSLARKAIRDLMARGSIRLVSAHSSQQIYTRATNT >OIW06943 pep chromosome:LupAngTanjil_v1.0:LG08:6947808:6949188:1 gene:TanjilG_18331 transcript:OIW06943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGDIEAGFSQGHAKDQSNGFYPYMIESPELRWGFIRKVYIIISTQLLFTAAFASIFIFFEPARHFARYNPYALIVLFCAIIVTIIALLVLSKFYNKHPVNLFLLALYTLGMSITVGFSCAFSKGIIIAEAAFLTGVVVGSLTLYTFWAVKRGTDFSFLGPFLFASLMVLLMFAIIQMFFPLGPLGRMVFSAVGALLMCGFIVYDTCEIIKRYSYDDYIWAAISIYGDIINLFLYILTLLNEL >OIW06836 pep chromosome:LupAngTanjil_v1.0:LG08:8322756:8325437:-1 gene:TanjilG_03731 transcript:OIW06836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFSEEWKSWFPIGAGGSTVPLLLHSNPSKLGPLFFNPKPNSITQIISSLSLIPSLHLPPHLLPSRFLVSSNPSSILPSTASSISQRFSQNDTVSYFIHNRIQTLNYPNGSNVVLFFPTGDNDDQVGFVMVSVMGSKLRVVVDENGDVFRALVGGGGGGGGSSSSHRILRILINPVPDFGDECNVLAYLFVTTLYSVHWFVVRHDSILDRPSVVCLGGKVFKTCSIVNACWSPHVLEETLVLLQSGELFLFDLESNSFKGTRLRIAWGDDSDENKVWVSCEFSWHPRVLIVVRSDAVFLVDLRLDECSVSCLMRIEMFRMYAPERNEQFLALSRAGPDDFYFAVASTSLLVLLDVRKPLVPVLQWVHGIDGPYYMTALSLSMLRSHSKEDSFKFASDTGFCIILGSFWNCEFNLFCYGSTLPVQNGSSASMLSKIETSFYAWELPSEINLSSHECSCGSCLLREELSKDVLPEWVDWQLKKEMVLGFGILSSNFASLLCEADEHGGFTLIRLMSSGKLELQRYHSSWTPVRYLDNCHEEELSLDRYALYPMSTEQYKFPRRFHYLKFDYLHSYASGDLMQFLTKKLKNTSVDVQDKEPVATEMHEFLSEKLNACGLGRSRSCPASSAVFKEVKLPESFHEIALRRLWADLPMELLQLAFLKYSECREVVVDQHKVALEFLAVPDLPQLPPFFLRKPSRHNNNDIVGPVIPLPVLLAVNEFRNECSDSEKGEFSIEAELSLKYKEVMQVADEIAVSAHDSMQLDDHAVSLAEDGEEAWVGSSKVKAFPSYRPVAFNCSTTDLFEEKSVYTDKVYDTFIFHVAEKFSDQTESVGEEMFDDLCPVELRFNAPVKKFTPQGLKTYNLLKKQMSNWQEKMDSYKEFCIQSRSQKVVKK >OIW07107 pep chromosome:LupAngTanjil_v1.0:LG08:5322590:5325357:1 gene:TanjilG_02741 transcript:OIW07107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHFSLQNLLFLNMGLLDHLWDDTVAGPPPENGLGKLRKHHTFAFRSASAKETEGGSMRSYGEDTTEDAVRVTRSIMIVKPPGYLQSPTASAPASPAGSTPPVSPFSGKDLGSPFGFEEGRPQMRMRRQARTNQALNLLLMSEI >OIW06522 pep chromosome:LupAngTanjil_v1.0:LG08:10860801:10865412:-1 gene:TanjilG_29943 transcript:OIW06522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCISSKNVVARRDSSLPIIDDHHSVSGTIIESHHSHHYNHSNPGSRAHSGSVVLSSEEKKKLKKNSSRKSNGSFSFRIGLFSNHRYVDAEQNAAGWPPWLTASAAEAIQGWIPLKADSFQKLDKGELNAMIRLLLSDHEVTGSNPGNSLSTCEIGQGTYSSVFQAREVESGRMFALKKVRFDNLQPESIRFMAREITILRRLDHPNIMKLEGIITSRQSNSIYLVFEYMEHDLAGLVSRPDIMFTDSQIKCYMRQLLSGIEHCHVRGIMHRDIKVSNILVNNDGILKIADFGLANTISPNNKHPLTSRVVTLWYRPPELLMGSTNYGVSVDLWSVGCVFAELYLGKPILKGRTEVEQLHKIFKLCGTPSDEYWKRIKLPHATMFKPQTTYESSLRERCADFPETAVSLLETFLSIDPYKRGTASSALMSEYFNTMPYACSPSNLPKYPPSKEMDAKNRGDASRKKTGSKMRESATSRRQQRRVYKVSQEPKNFHKTASKEDMQNIPENSDMDDRKGHLTKGKAGTMHKEQPKHSVDTMSEASQNMNIAMNGMGYSVHSGQVQAPGSSGFTWAKRRKPDVSSTLSDGERSKISALDPNFAKGTYDLTRQAILERKYNENHAHRDETSRHVLQKHQAQHGQQKVQMDFDPSELLNPQGYKEYSGHWNENGLRHGISRAAKFTMLPPNGVGNDRYTDLSLQMKRLFSGFEPMTFGSLGKQPYH >OIW06322 pep chromosome:LupAngTanjil_v1.0:LG08:15317943:15320038:-1 gene:TanjilG_17696 transcript:OIW06322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESVLFLSHSIIPLKGLCENKKGVIGTHFNSCSFGINGRKQRGVVLVKAEAVSINPDIRKSEGKVVDSVVVTELAKPLTAYCRCWRSGTFPLCDGSHVKHNKATGDNVGPLLLKK >OIW07260 pep chromosome:LupAngTanjil_v1.0:LG08:2694862:2695299:-1 gene:TanjilG_08375 transcript:OIW07260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NSFRFLLHDNSQLITCRLCNKHFANLEEAIIHFRTHFVLGMVATRRLYSENRINSWLDLLLNFTCQPYNRRSIFEMTHLLPNPSPRWVIGNQWGPPIHQDLSEMEVSRDDETRPFINLLDKPINNNEFVNVINMEVVNLDLHAID >OIW06465 pep chromosome:LupAngTanjil_v1.0:LG08:11995915:12000371:-1 gene:TanjilG_05236 transcript:OIW06465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRLHSSMLLVLVTITLFIYHSEQLQSSNFQSHTLLSIQHQLHFPSVLRSWNNNIDFCNTNSKSSLTIVCYEDTITQLNIVGETRTPLLPKNFSIDNFVTTLVRLPSLKVLTLVSLGIWGPLPGKIARLSSLEIVNVSSNFLYGSIPQEFSSLLHLQTLILDDNMFAGHIPHWLDSFPALTVLSLKSNLFNGTLPNSLGRLENLRILSLSHNHFYGSVPDLSHLTNLQVLELDDNAFGPQFPRLGNKLVTLVLRNNKFRSGIPDEMSSYYQLERFDISSNAFVGPLQLALLSLPSITYLNISRNKLSGMLFENLSCSSGLEAVDLSSNLLTGNLPKSLSSNSNGRTVLYANNCLEETDQNQHALPFCHTEAIAVGILPERKKHKQASMAAIAIGIVCGTFACVALSMLIFFIIRRVNSESKLKSPATKLISDNATSGYTSKLLSDARYISQVSQPMKFGSVDLPPYRTFSLEEIEAATNNFDTSSFMGESSKGQMYRGQLRDGSLVAIRCLKMVKSHRTEDFMHQIELLSKHRHRHLVSALGHCFECYLDDSSVSRLFLVFEYVPNGTLKSWISDGHYRKSLSWNQRIAAAIGVAKGIHFLHTGIVPGVYSNNLKITDVLLDQNFVAKISSYNLPLLCNMGKVGCGNPSSGFKGPSINKSVTHEDKSDIYEFGVILMEFILGRTIKPRNVDTLKDLLQASIAADDEAKRSIIDPSIRNTCMDQSLKTMMEICVRCLVKENEENPSLEDVLWNLQFAAQVQDAWRCDSQISSEGSPISPLPSRPMTFH >OIW05997 pep chromosome:LupAngTanjil_v1.0:LG08:21802095:21804569:1 gene:TanjilG_11684 transcript:OIW05997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLENMDDGEVSNGFPQNLITDPEAEVIALSPKTLMATNRFLCETCGKGFQRDQNLQLHRRGHNLPWKLKQRTSTEIRKRVYVCPEKTCVHHNPSRALGDLTGIKKHFCRKHGEKKWKCDKCSKCYAVQSDRKAHSKTCGTREYKCDCGTVFSRRDSFITHRAFCDALAEETARVNATSNISNTLGDNISYNIMGAHLGPNMETHFSSIFKPVSTTDHETSNQTCTGLSLWMDQLSHQAHETMVNGNIHQLGSTPRSGSAIYGNPFSQCTNNPPPSNNYHLNWVFETKPSSNSNQELIASTTTSLPLGNIIKDATCTSQLLSVPSLYSTQHQSHQTSSATNMSATALLQKAAQIGATSTIDPSFLGNLGLKYSNSLGQDGNKLCLMYGSSSVLTSVGSEAEKSACDLSQMHPAKRRQVQNEEGIGGGQTRDFLGVGEGKGAN >OIW05558 pep chromosome:LupAngTanjil_v1.0:LG08:25326343:25334616:-1 gene:TanjilG_23344 transcript:OIW05558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIKGVNRSASVALAPDAPYMAAGTMAGAVDLSFSSSANLEIFKLDFHSDDPELPLVAECPSSERFNRLSWGKSGSGSDGLALGLVAGGLVDGNIDIWNPLNLIRSEANESALVGHLVRHKGPVRGLEFNSIAPNLLASGAEDGEICIWDLANPSEPTHFPPLKGSGSASQGEISFLSWNSKVQHILASTSFNGTTVVWDLKKQKPVISFADSARRRCSVLQWNPDIATQLVVASDEDDSPSLRLWDMRNTMTPVKEFVGHNRGVIAMSWCPNDSSYLLTCGKDSRTICWDTISGEIACELPAGANWNFDVHWYPKIPGVISASSFDGKIGIYNIKGCSQYGAGENDFGAGPLRAPKWYKRPTGLSFGFGGKLVSFHPKASAAGSPPASEVYVHSLVTEDSLVSRSSEFEAAVQNGERSLLRVLCDKKSQESVNDDERETWGFLKVMFEDDGTARTKLLTHLGFNVPSEAKDTVNDDLSQEVNAVGLEDTPTDNVGHVPADETTNTSTDNGEDFFNNLPSPKADTPSSTSVGNFVVADSTNGSEKVQDDVEMEESSDSSFDDSVQCALVLGDYKGAVAQCVSANKWADALVISHVGSPSLWESTRDQYLKTIRSPYLKIVSAMVNNDLLSLVNTRPLKFWKETLALLCSFAQRDEWTILCDTLASKLTGAGNTLAATLCYICAGNIDKTVEIWSRSLSIEHEGKSYVDRLQDLMEKTIVLALATGKKQFSASLFKLVEKYAEILASQGLLTTAMEYLKLLGSDELSPELVILKERIALSTEPEKDLKTTAFENPQPQSASFYGATDSSNYNRNYYQEPVSAQVQHGVSGTQYPENYQQQFDPRYGRGYAASTTPYQQPQQPNLFVPPQATQVPQAPQMNFSNTAVPPPALRTFDPQTPPVLKNVEQYQQPTLGSQLYNTTTNPPYQPAPPAPSQMGLGHSQNLSQVVAPTPNPMGFRPVSNSGGIQRPGVGPVQPLSPPQVQPVHPPASPAAPPPTVQTADTSKVPVHQAPIVTTLTRLFNETSEALGGSRANPAKKREIEDNSKRLGGLFAKLNSGDISKNAADKLLQLCQALDNGDFGTALQIQVLLTTTEWDECQSWLGSLKRMIKTRQSVRLS >OIW06654 pep chromosome:LupAngTanjil_v1.0:LG08:10205100:10206484:1 gene:TanjilG_04048 transcript:OIW06654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVLLIVMMAAVQVSYAAVYKVGDSAGWTTLAHIDYTNWSATKNFQVGDIVTFEYNAQFHNVMRVTHVMYKSCNASSPIVTFTTGNDSIKITNYGHHFFFCGVPGHCQAGQKVDINVLRVSAAAAPTPSALAFPILPVAPSPRSAAPFIYLKGAFGMMGLAMAILAFSNSA >OIW06234 pep chromosome:LupAngTanjil_v1.0:LG08:18191021:18196467:-1 gene:TanjilG_03859 transcript:OIW06234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNIAAVGALCLCVIVSLFAITSAGDPSKFFDWKVTYGDISPLGVRQQGILINGQFPGPDINTITNENLIINVFNGLDEPFLLSWSGVQQRRNSYQDGVFGTTCPIPPGKNFTYKIQVKDQIGSFFYFPSLAFHRAAGAFGGIRVLSQPLIPVPFPKPADDYTVLIGDWYKTNHKDLRNQLDSGKILSFPDGILINGRGPNGTSFNVVKGKTYRLRISNVGLQNSLNFRIQNHKLTLVEVEGKHTIQTTYTSLDLHVGQSYSVLVTADQPDKDYYIVVSSRFTSQVLTTTGILHYSNSKSPVSGPPPAGPTTDINFSLEQARSIRINLTASGPRPNPQGTYHYGLINVTRTIILSNSQGIVNGKQRYAINGVSYVAPDTPLKLADHFKIEGVYRNGSIQDKPTSGPIHLDTSVTQSDFKAFLEIVFQNYENTIQSYHLDGYSFYVVGMDGGQWTPDSRKHYNLHDAIARCTIQVYPKSWTAVYFAPDNVGMWNLRSEFWARQYLGQQFYLRVYATSSVSKYEYPIPKNVLLCGKATGRSLGNVTTA >OIW05716 pep chromosome:LupAngTanjil_v1.0:LG08:24311870:24314958:-1 gene:TanjilG_23502 transcript:OIW05716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRSSVRPPLINVIINPSPGNIFDKYQLGKELGRGEFGVTHRCVDLKSGEIFACKKISKTKLRTEIDIDDVKREVEIMRHLPKHPNIVSFREAYEDRDAVYLVMELCEGGELFDRIVAKGHYTERAAANVTKTILQVCKVCHDHGVIHRDLKPENFLFADGSETSPLKAIDFGLSTFYEDGERFNEIVGSPYYMAPEVLRRNYGSEIDVWSTGVILYILLCGVPPFWAETEEGIAQAIIHGNLDFTRDPWPKVSEEAKDIVRLMLDLNPHTRITVEEVLEHSWIQNKDHARNISLGDQVRMRIKTFSLMNRFKKKVLRVVADNLPDEQVEGIRKMFDMMDKDKNGNLTFEELKDGLATLGDTLPDPDVEMLMEAADIDGNGTLNCEEFITMCVHLRKIESDEYLTEAFSYFDKNQSEYIEFEELKNALSDEDSEPHSDKVIRDIINDVDLDKDGRISFEEFKAMMKTGGDWKMASRKYSRAMLNALSIKMFKDKSISVAN >OIW05843 pep chromosome:LupAngTanjil_v1.0:LG08:23237242:23241211:1 gene:TanjilG_23629 transcript:OIW05843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLMRFRKMLYMEPIKCSSLGFQYFEPKNSEKKESQNILSSRVKNEKRSQEKQWRCIDYWFWMIGYVCTTWWLFCFLCRFLPGFQHVPDSPGVRLSSEGVTALHPVVLVPGIVTGGLELWEGRPCAEALFRESLWRGSFAEILKRPLCWLEHLSLHNETGLDPPGIRVRAVPGLVAADNIASGYLCWAVLIENLAKIGYEGKNLYMVAYDWRLSFQNTEIRDQALSRLKSTIELMFVTNGYKKVVVVPQAMGATYFLHFLKWVETPLPMGGGGGIGWCDKHIKAIMNINPAFLGVPKAVSNIFSAEDNDVAFVRSMASGILNLGYLSLQTLEHVMRVCRTWDSIISLMPKGGETIWGNLDWSPKDRNNCDHEKKGPAKHFASDGSQYSSYMQKGLQLKESIDHGRIFSLGNAVSELTDSHVTPLDSEGILWKSNSDTFNLSELLLWTEYDEMIRESIRKVGKKKVYTERTPFDLLNFVAPKMMKRAEAHFSHGIAENLDDPKYAHYKYWSNPLETRLPNAPDMEIYCLYGVGTPTERSHAYKLSHSDKCNSIPFQIDNSADGERDHCLQNGVYFVDGDERVHVISAGFMCAKGWRGRTRFNPSGIATYLREYKHKQQGIQSGESDNVMGNVALVEDVLRVAAGAKGEDIGGDRIFSDIMRISERINLTL >OIW06814 pep chromosome:LupAngTanjil_v1.0:LG08:8173815:8176088:-1 gene:TanjilG_03709 transcript:OIW06814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRIVAENAFPVNNTAPYFGLMELGDVTRRPHSGESLTLGQLLKHVGDVQKEASGDGSETPVHHTLQISDGGDGTDHRAVPFVLSFSNLTYSVKIPWKLNFSEIFSRRRNHHGAAAVAVEPTIGESTFTRSKILLNDISGETRDGEIMAVLGASGSGKSTLIDALANRIAKGKLKGTVALNGEALESRLLKVISAYVMQDDLLFPMLTVEETLTFAAEFRLPRTLSKSKKKARVQALIDQLGLRNAAKTIIGDEGHRGVSGGERRRVSIGIDIIHDPILLFLDEPTSGLDSTSAFMVVKVLQRIAQSGSIVIMSIHQPSYRILGLLDRMIFLSRGQTVYSGSPSQLPSFFAEFGHPIPETDNRTEFALDLIRDLEGSPGGTKTLVEFNKSWQSMKKSQHQTLHTTNRSPEQNGMSLKEAISASISRGKLVSGATNTKTNPSSMVPAFANPFWIEMGTLSKRSFLNSRRMPELFGMRLGTVMVTGFILATMFWQLDDSPKGVQERLGFFAFAMSTTYYTTADALPVFLQERYIFMRETAYNAYRRSSYLVSHALVALPALVFLSLAFAAITFWAVGLDGGFLFYFLVILASFWAGNSFVTFLSGVVPHVMLGYTIVVAILAYFLLFSGFFINRDRIPSYWIWFHYMSLVKYPYEAVLQNEFGDPIKCFVKGVQIFDNTPLKAVPNALKLKLLESMSNTLGMRITSSTCLTTGSDVLQQNGVTQLGKWNCLWVTVAWGFFFRILFYLCLLVGSKNKRK >OIW06311 pep chromosome:LupAngTanjil_v1.0:LG08:15494618:15497208:-1 gene:TanjilG_17685 transcript:OIW06311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLGMSEMFGNTINLSGATRLAPSPSNPAAFKTVSFFSNFNNKKAPPPPNKKPASDELAKWYGPDRRVFLPAGLLDRSEIPAYLTGEVAGDYGYDPFGLGKKPEYFAKYQANELIHGRWAMLGAAGLIIPEAFNKYGANCGPEAVWFKTGALLLDGATLNYFGKPIPINLVVAVAAEAALVGGAEYYRITNVLDSEDELHPGGPFDPLGLAKDPEQAAILKVKEIKNGRLAMFAMLGFFLQAYITGEGPVENLAKHLSDPFGNNLLTVIAASAERVPTL >OIW06969 pep chromosome:LupAngTanjil_v1.0:LG08:7179480:7180283:-1 gene:TanjilG_18357 transcript:OIW06969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIPYPHFIASEKAAMEAGLLSPSSSSHPSLILTQDDLKKIAAYKAVEYVESGMVLGLGTGSTAKHAVARIGELIQQGKLKDIVGIPTSKMTHEQALSVGIPLSDLDSYPVVDLAIDGADEVDPYLNLVKGRGGSLLREKMVEGACKKFVVIVDESKLVNHIGGSGLALPVEVIQFCWKFTASKLQKMFEESGCEAKLRTFGEKKEPFVTDNKNYIIDLYFKKSIGDLKVASDAILNLAGVVEHGMFLDMATTVIIAGELGLTVKNK >OIW06418 pep chromosome:LupAngTanjil_v1.0:LG08:11411556:11412071:-1 gene:TanjilG_05189 transcript:OIW06418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSSKIAKTRMQLHRENERCEICEEKWKKRNGNSQLHKVIKAKEKRVVYKNVKVNDEVVKEKLGLVQENVVQNSEVNTQKEVIIDDAIHIEASLLPSENGGVVIEDKDKNFFSTNINGGESDPFPGWNEFQFQAEQSWSCSYPYWETQDGAFNFWEVEPSPNDWVESLWVL >OIW06068 pep chromosome:LupAngTanjil_v1.0:LG08:20304050:20304220:-1 gene:TanjilG_29824 transcript:OIW06068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTNSSIIPTCVFGQHPTLSHLPRANLDHVSNNFAVMTISTTSHEPQFVCYQVSNK >OIW05554 pep chromosome:LupAngTanjil_v1.0:LG08:25351522:25354511:-1 gene:TanjilG_23340 transcript:OIW05554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSGAILCHISSLKEMLDQVNEDIEANIEVTREIESSIVKCEEIQKDFQTKEAALVHTSASLQFETLGYVTVAADFSVSVSSLEKELCCLKMKREQITNRMDTKREDFTTLCLEFQRDIDKRKNCDVRILLSEKDSLENEIQLLEKKSNVLKNSVLAFVEEILEDLHSSNKALEVEIQRRNWENEKLLKDINDLKTTLLSAMGKSDDIL >OIW06174 pep chromosome:LupAngTanjil_v1.0:LG08:19724889:19728620:-1 gene:TanjilG_01801 transcript:OIW06174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQDGNNFCADCGTPEPRWVSSSFGVFICIKCSGIHRSLGAHISKVLSLKLDEWTDEQVDALAKLGGNRILNKKYEACLPSYINKPKPYSSIEERSDFIRRKYELLQFQESDERSLCPIVPYQGRSSSFAQSSTSCNNYLADKKPYDKQPNKNRIGHAFRNSWGRKDSEHKSSSKKSTSLAGMVEFVGLIKVNVVKGTNLAVRDVMTSDPYVILSLGHQSVKTRVIKNNLNPVWNESLMLSIPENIPPLKVLVYDKDTFSTDDYMGEAEIDIQPLVLAAKAYEKSTINESMQLGKCVASKDNTLVRDGVISLDEGKIKQEISVKLQHVERGVLEVELECVPLTQ >OIW06079 pep chromosome:LupAngTanjil_v1.0:LG08:20443699:20445030:1 gene:TanjilG_29835 transcript:OIW06079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEQQQQTPTTRGMRSTPTAGVGEIVEVQGGHIVRSTGRKDRHSKVCTAKGPRDRRVRLSAHTAIQFYDVQDRLGYDRPSKAVDWLIKKAKAAIDQLAELPAWNPTATTEQQQQQQQQQINEILRREPHAAEDGLIGSSSCRVTAATTTVVSSGGRISEDFQQQQYGEENNNSNKYNSGGSGFLPPSMDTEIADTIKSFFPMVAAAETTTTSFHNYSTPPDLLSRTTSAAANHHNHQQDLRLSLQSFQDPVLLHHHHQQQQNQTQNQNQQMLFTGTNTLGFDGGGSSGWSEHHHHGEEQEHDHGRFHRMVAWNAAVADAANSGHGGGFVFNSPPPSTVAPAGMFGHHGQYFSQRGPLQSSNTPSIRAWIDPNPYAAAATVAAASHPHYHHHYLSPAIHQASVSGFASPSSGFSGFRIPARIQGEEEHDGVSDKPSSASSDSRH >OIW07022 pep chromosome:LupAngTanjil_v1.0:LG08:6308669:6311314:-1 gene:TanjilG_02656 transcript:OIW07022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSDWNLGIGGSPSPSSSSPNSKRIRDPEDEVYVDNLRSHKRYLSEIMASSLNGLTVGDSLPDNLMDSPARSESMFSLRDEMSLQYSPLSEDSDDSRYCDTAVHSCSSQPESLTSSPASSPHRYQRPQYASLSSAPSTSSNASHGSALSTLTCSQPRQRGSDSEGRFPSSPSDICHSANLRRAALLRSVQMRTYPSGSASMELPFGSGQEPVPNIDTDERSCCPYMKSLVDEREYQIEECSPMNIPEPEFDRDSKPCRILNMNLKPSESSS >OIW06954 pep chromosome:LupAngTanjil_v1.0:LG08:7023321:7025787:-1 gene:TanjilG_18342 transcript:OIW06954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAQQLPTPSTVAPPQSTVAPPPSHPSHLNYPDSVDSSPRSRNTDSWDEPFPPASAKLRLMCSYGGHIVPRPHDKSLCYVGGDTRIVVVERNTSLADLSTRLSRTFLDGRSFTLKYQLPNEDLDSLISVTTDEDLDNMIDEYDRTNSNSSIKPSRIRLFLFPIKPDSSQSIPPILDSSVKSDDWFLNALNSAGLLNRGFSDSASVDSLLRLDDAVVGNNLEGSKEGGVAVEGSILQTGSFANSKNLNSNSKQDVHSVPDSPMLENSSSFGSTSSSPSLANLPPIRVHVEDGNGGGKVKVLQHDQKVMGIEEQFAQMGVGVGQKQEEGFAVMSSPPPPPVPTTLSAAAVSAPIGSAAVPGDYPNRVISDDQRSDQGVPVGYRKPPTSQQQTQTQVLLPSQFQQKSTGAVDLPSPDSVSSDSSFQNAMSRQKPVNYQEQVQTPSGTRVLSSPVDPKLNVSDPHGAMPISAYYPVYPSQQQSHPHPQVYYMPARQPQAYNLSMQQANIGESATAIQPQNPPNPAMSAQPSAAYNPIRNAPLPKTEMTAAAYRTTATGAPQLIHVPANQHQQQYVAYSQIHHPSQSIAPNSAAPPNYAYEYADPAHAQIYYSQPLPPTMPSQYQTMTAAAVAMPEVSAQHPSDSMKQQQIRTSQPL >OIW05905 pep chromosome:LupAngTanjil_v1.0:LG08:22678941:22680775:-1 gene:TanjilG_23691 transcript:OIW05905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSLIKWSELCSRVANASPFSYVKTEHICGRPLGLRFDKKTGDLYIADAYFGLLNSEIRILLFHLYRDFIQLVFSGDDSGRVLKYNPATKETTVLERNIQFPNGISLSKDGSFFVFSEVVVGRLRRYWLKRDKAGTSEIFAILPGYPDNVRVNENGDFWVALHCRRNMYAYLHGLYPKIRKAILKLPIAAKIQYLLHIGGWPHAAIVKYSPEGKIVQILEDNQGKVVRAVSEVEEKDGKLWIGKQLDINQLSSPPTNPF >OIW06061 pep chromosome:LupAngTanjil_v1.0:LG08:20263635:20266022:1 gene:TanjilG_29817 transcript:OIW06061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGYRAEDDYDYLFKLVLIGDSGVGKSNLLSRFTKNEFNLESKSTIGVEFATRTVNVHDNKVVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTRHATFENVDRWLKELRNHTDANIVVMLVGNKSDLRHLLAVSTEDGKSYAEKESLYFIETSALEATNVENAFAEVLTQIYQIVCKKSVEATENGTASVPAKGEKIDLKNDVSVLKRVGCCSS >OIW06741 pep chromosome:LupAngTanjil_v1.0:LG08:9034263:9036189:1 gene:TanjilG_11466 transcript:OIW06741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTMANSTSSSSNLPYKPYRHLKTLSDHHRAVSCVKFSNDGTLLASSSLDKTLIIWSSSSFSLLHRLSGHSDGVSDLAWSSDSHYICSASDDRTLRIWDATRGGDCIKTLRGHSDAVFCVNFNPQSNYIVSGSFDESIRVWEVKTGKCIHVITGHSMPVTSVHFNRDGSLIVSGSHDGSCKIWDTSSGTLLKTLIDDKVPAVSFAKFSPNGKFILVATLNDTLKLWNYAAGKSIKNYSGHINRVYCITSTFSVTNGKYIVSGSEDRCVYLWDLQQKNMVQKLEGHTDTVISVTCHPTENKIASAGLDNDRTVRIWVQDL >OIW06280 pep chromosome:LupAngTanjil_v1.0:LG08:16901559:16902409:1 gene:TanjilG_19718 transcript:OIW06280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCPLRFILVFFSTVLAAYFAWNTIRSPETDFVGHDHKNNEHFRFKKMIQNGFWVFIDMASGRYLWRNLKSKNDEVQAMSS >OIW07265 pep chromosome:LupAngTanjil_v1.0:LG08:2625977:2627837:1 gene:TanjilG_08380 transcript:OIW07265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVCGCFRDDDFEDYMNPSSSVYRNCPCLGCFMQSLLNVYGLIFCRSEVHAIPSSIEGAASMTSTASIDNSLSDMYRSPPRPLPYDADPRIFRSQSDGLVSRRDKGSSHSNEESEPLRSDVDVNPESLNSGGKWKDSAGEDASKVYRSKSSIRLSSAKLTTGAGFVYSSSEEEDVCPTCLEEYTEENPKIVTKCSHHFHLGCIYEWMERSDTCPVCGKVIFLLLTHCN >OIW07254 pep chromosome:LupAngTanjil_v1.0:LG08:2772835:2775591:-1 gene:TanjilG_08369 transcript:OIW07254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRAPCCEKMGLKKGPWTSEEDQILISYIQKHGHSNWRALPKQAGLLRCGKSCRLRWINYLRPDIKRGNFTNEEEETIIKLHEMLGNRWSAIAAKLPGRTDNEIKNVWHSHLKKRLLKTDKLDLKTKIRVSKSKIKRSDSNSSTITQSDPDTSTCTTSRQNIKIEDIESLDTMPEIDESFWSEEAAMEGETNTTMVASQSLTISNELPLQCPFNNYEESFQQSNGYISNLDDGMDFWYDIFIRSGDSIELPEF >OIW06323 pep chromosome:LupAngTanjil_v1.0:LG08:15287431:15290019:-1 gene:TanjilG_17697 transcript:OIW06323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMKLVILMLFLAFGLTLSVSESKFGSLLPQAADQSFSVGYIQMKSAENCSYGVVISTSCSSPKFTTDEISIVFGDAYGNQVYAPKLSDPISKTFERCSSDTFQIDGACASKICYVYLYRSGANENAGWKPETLKIYGINTKPITFDFNTSIPNATWFGYNLCDFPKVPPPPFEPFIPTTPPPFHPFTPTTPPPFHPFPPTTPPPPHPSSSYKLFTPKWFIYVVLGFVFGFNV >OIW06534 pep chromosome:LupAngTanjil_v1.0:LG08:10948275:10951258:1 gene:TanjilG_29955 transcript:OIW06534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFSVPCPKCPPPPPPPSSLLGLKASKLSFQSGLLCLKQFQSFGSMSSESATFGIRCLNRKQISGGKLQAKLNEAATVENSNSAPVLNGPSVVTSSKEEENHNGALSNGTAAAAAAAADESSISAFLSQVADLVKLVDSRDIVELQLKQSGCEVMIRKTEALQPPPAPASPQYPYPTYQAPPPPPPAAPAPPAAAPANSPPSKAALALPSPGKAIASSHPPLKSPMAGTLYRSPAPGEPAFVKVGDKVQKGQVICIIEAMKLMNEIEADQSGTIAEVLVEDGKPVSVDTPLFVIVP >OIW06156 pep chromosome:LupAngTanjil_v1.0:LG08:19416244:19420530:-1 gene:TanjilG_01783 transcript:OIW06156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLELPFNMSLRLSMLDLKQLPFVENLRPELVGGAVALVAVGLTAAYIYYFRRNPKGCLDPKNFKEFKLVKKTQISPNSARFRFALPTPTSVLGLPVGKNILVRGKDSQGSEVRRSYTPITLDSDIGYFDLVVKMYPNGKMSHHFRQMKVGDFLAVRGPKGRFSYKPGQVRAFGMIAGGSGITPMFQLIRAILENPMDKTRLHLIYANVTVDDILLKEELDRFANKFPDQFKVYYVLSKPPNEWKGGVGYVSKEMIQGHCPEPGPNIQILRCGPPPMNKAMGTLLDTLSYTSKMQFEF >OIW06987 pep chromosome:LupAngTanjil_v1.0:LG08:6598390:6607288:-1 gene:TanjilG_14325 transcript:OIW06987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKEKHVFQIFDRTKRIIDQAQQQCHIWEHHLFPKLILSGITPPPWLCNSPLHALTKELSKDAPVSEVPFLQPQFAVPFSGRQCSLYNNLGFVSDDVQYPIRLRDEVHASENDCNPGDRISNLPDCSVNNDACASSGPSELDSGAAISPQNQIEHRVSDSYHDPALLSLAKLQRSKPRQKALQLRHSAKATKDRSGGDNSAAGLHANMVTGSTSSSLQAGHIELSELVKDLNYNIESCSMEEVNRDDCMTQNQNCDKSNHSGHITRSKTVAQKFNPMNAASPSVVKEDVPPINNLDEPLEPIHSPSDKNGSCEVKETNEGDYQRKEAGSSAYRKGSKKSGSSNQEICNSEILKHDSTSGRGKGVEVHDFMQPLAHVEFTDLSNAFDHNNGSRRNSVRDGHFCNKKQESSSHDKKGLLRSSCSPPGNDILMTDGSVQSIRKSAQSPESLIAQHSRDPAVSVVGSFHSEKDRDFCSVKAKDSSSSKNAPGEVYTSRDSKSQNHSKEISNSRCSNFCGKGATCSEYLSKKPQSAQLIELDSRRLSSCKKDSKLDGEIATNSSEQENIAPIDASRNSTAVTTCPTEVSVKPVSSFSLDGGSLSGKSLCFETAMTDIVLYGQENILSGANPADNIEERSPTTVSKVVADSVEKDRSCLGSRFTNVSPKVGLDVSVMRPPSDIVVSVMPKQLDFDDVVASSMNRICSPDLKEGHHGMSQEPINLLEPVDLLDNESSLVCQGKCNSLGGMDLIGTHEASTIEEGRQIEYCASHMGEKEEANVARKALNAMSSNKELPLVQKELGIFASPLMNHSSTLQVAGENSPGSLSKEVMASKFVTQHSTLANGESSTKLEDAFSAAVTTYGLHTYTDKIVTNLTNEFPSAAIMDETNLGCLSDVKNTGFTTDLQNVKSSTESFTYDVEHSWPQHKRRKTETETEKILPASSSFTEKPLDSVDQRSVGRNMNVEEKSHEAVLEFQHLTSNQEDDTGLQYICYSATEEMQDTAERRTIEGFSLKVRKEEKLVMDGRDICEDNLILPETNLPSSSRIYPGGHTKLSGGSFVSPEIQCLDLIGTDDTVPEFEGFIMPTDNAQPCSSGDQMDMEKMNLLSNSIDYTSLGKSRFMHSPLCYSATPYKLHNIPDLYQSLPNGLLEGTGVRISFPLNNGIASSHSDCLSSCKGTDTPSVQTIWDRINSNFGSSGKRQSLKLELPCINEENENMDDIADTFHDGIGSEEMARSITREPPAEIIDNGPSTSVLQDAGHVEFVSTEFNFSGTQNKVKPRLDNQDVGRKRFLSKGKENQSTSLGKNGAKRTTGSLLNRSSRPKLSGKDSLKRPGPTYSEGNSKHNNIVCGITSFIPLVQKKQEAAIITGKRDINVKALQTGEAAKRMLEKKENDRKMRREALMQERLQKKKEEEERKKVAEMAAKKRQREDEEKKDKERKKKRVNNVKKQQQERKKTQAKKEYTEIQSQATGEEVQERNKPVDERENHKNLQLQENRESSVENISKYQPSIIWDSTNNKTKENCPEYSEAVHNCANNGKGMGNLTKATEDDDLIIKNSVQEQSYEISPYKGSDDELDDEDDVPNNKFIPPWASKQQLSRVVPSQKTDPEMIFPPQSFCNIVEVLPRKLQL >OIW06644 pep chromosome:LupAngTanjil_v1.0:LG08:10128067:10133852:-1 gene:TanjilG_04038 transcript:OIW06644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSLLHSESRRLYSWWWDSHISPKNSKWLQENLTDMDAKVKAMIKLIEEDADSFARRAEMYYKKRPELMKLVEEFYRAYRALAERYDHATGELRQAHKTMAEAFPNQAHYLVDDDSPCGVSSGPEAEPHTPEMPRSFRPLLELGDQKKDLFGFSSTHNTSKKNGWSSEEFDDGVSRKGLKQLNEIMKTEKHSEFEHAGNAEGAAQTLRKALAEIQTDKDAIFLQYQNSLDKLSEMERELNKAHQDAAGLDERASKAEIELKILKEALAELKSENDAGIVQYDQCVERIASLETMLLQAQLDAKENEEGAAKAETEAKNLKQELARLEAEKDYGLVQHKQSLEKISLLEAKITFAEENSRMLNDQIERAEMEVKALRENLAEVNDEKEAIAVLYNQCLQKISALESELLHAQEASERLSREIEIGAEKLKTAEEYCDTLEKSNRSLQLEADNLVQKIYVKDHELLEKHTELEMLQTLMHEDNSHFLEIESTLQTLQKLYSQSQEEQRSLALELKHGLQMLEDLELSRQAFKEEMQQIVEENKTLHGLNLFSTRSVKEQEMEICKLKEIKEKLERQFSIKVEESNALQQESYQIKYEIQGLNNKYQAILEELDSVGLSPRCFAASVKDLQNENSKLKEVCKMEKNEKEALLEKSKDMDKILTEKAFMECSLSTLNDELDGVRDAVKKFQESCHVLQEEKSVLVAEKSALLSQLQIITESMQKLLDKNTLLENSLFDAKSELVELRAKSSSLEEFCKLLNNEKHDLINERSILVSQLESVKASLGNLEKRFTTLEEKYSDAEKDRESRVNQVDELHVLLLAQKEKHANHKHSSEARLANLENLVLRLQEEHQLGKKEFEEELDKAINAQVEMFILQKCVEDLEHKNLGLLIECQKHVETSKFSDEVISELESENLMQQMELEFFVDEIRKFKMGVQRVFDDLQVGLDGGHGKRIKQEEMPISHILNNIEGMKGTLLKMLEEKQQLLVENFVFLNFLSQQQSQGEELELKKNNLEQEFVDIREQNAMLQKDKLGLLEMNKQLKSKVTEGEDKENVLKSKLEALHVELLDLQRTIVVFQEENCRVIEEKNTLLKSVLDLKDAKSTADNENNIILHEALALKNLSLVYESFVTEKVLEQKELVEHLSNLRHMNSELEHELGLLRKKIEMKEAENIYLNESVEKMDKDLWEAKNANDHLSHQIESSECHLMKKEAELLEIEGRLKAAVKLNAEFCKNVENLKMEQQESRLVNENLERQILELSEGCVNHKKEIENLNEANENILSEMRLLREEVDQQRAREETLSSELLDKTNEFEIWEAEAATFYFDLQISSISEALLENKVNELTGVCMKLEGESTAKSSAIVQMTERVSVLESEIGGLKEQLSAYIPVISSLKDDFASLEHTVLQTTKTSFIGSQEQKDVAVEGKICLSLTENISTLTPDGVLDLLSIKERIRVIEKSIVEENVTAKVNVGTLTEVPEDSNVEILPYTEKDSRRVEKEIKDKSAFDLNLWRTKSENGSLMKDIPLDHISDNSASKNGRRVNSGTDDQMLELWETAEQDSSDGSMKQSFAQAEDVIACHQSDNNSGKLQNTSSELEMEKEYGIDKLQLSKSIRERTQDGKRRKILERLTSDAHKLTNLKMAVQDLKKKMETKKGSKKGNDAEYETVKRQVEEVEGAVMKIVDTNNELRKDVEESAWSLKREISVEMEKSRQMERKRVSEQARRGSEQIGRLQFEVQNMQYVLLKLGDEEKNKGKNRFSGKTVVLLRNFIYSGKKSNKKHNKGCFCGGSRPSTHEE >OIW06374 pep chromosome:LupAngTanjil_v1.0:LG08:14864156:14867197:-1 gene:TanjilG_15019 transcript:OIW06374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQVEFVSAEEVRCIESERQVLLNFKASLVDYDGSLCLSTWGAEEDKRECCQWMGVGCSNQTSHVEILNIGGCQLRGEIPIFLMDLQHLKYLDLSFNKLGGSIPRQLGYLPKLKYLDLNFNNLVGSLPSQLGNLSKLQFLDLSFNDLEGTIPSQLGKLSSLHTLFLKFNGLKFDNENHMGGQWLSNLSLLTHLDLSEVSNLNNSNTLLQMIDSNQITGTLPDLTVFPSLKILSLDTNRLSGKIPDTIKLPPHFESLDVSSNSLEGGIPKSFGNACTLRSLRFFNNSLNEELQLIIHHLSGCARHSLQELHLDSNHINGTMCDFSTFSSLKGLYLSENRVNGKILENISFPPQLETLDIAENSSKGVITDYHFANMSKLQFLDLSDNSWALIFSHNWIPPFQLEIIQLRSCKLGPSFPKWLQTQFYFTMLDISHAGISDNVPEWFWPLVATNLILMDISYNNLMGTIPNFPLRLIEFPLISLAANQFEGPIPPFLRRALELDLSKNKFSDSTLFICANGTNKGLGKLDLSHNLLSGKIPDCWNNFKSLAYLDLSNNNLSGQIPSTIGSAVELKVLILRNNSLIGNLHSSLRSCTKLVMLDVGENKLSGVIPSWIGGTLQQLKMLILRRNHFSEKLPLSLCYLSNIYFLDLSSNNLNGQIPKCFKNFSAMAEDEFLLHDAPTYTMNHTFELHFYPTTYIYDYDLIALLMWKGVESIFKNDKMLLKGIDLSSNQLTNEIPSEIEDLVGLVSLNLSRNNLSGKIPLKIGRLTSLDFLDLSRNHLSGSIPSSLTQIDRLSVLDLSHNYLSGEIPTGTQLQSFNASSYEDNLNLCGMPLQKDCTKEEPSKEPLIKFDEDEDSLLCLGFYISMAFGFVIGFWGIIGPIAVKRSWRHAYFRFFNDLADDIYVRIAITVAKWKLWLKD >OIW05893 pep chromosome:LupAngTanjil_v1.0:LG08:22759558:22761159:-1 gene:TanjilG_23679 transcript:OIW05893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKTQQGFSSSYLRIEQESNMVMMQKNQGIVTILGSNCDDTNTPSEHSSLRRTLSADMSSHKWLSHETIKRVPSSEQLMQHSSSKTIAESLSSSSSSSSEDEGEAERERLEIWSSIQRNKKAEQEKSCTGAFDMWNSLVSLKENNDMSKSLTASPYIHPLVKRKKSCLSEKSLEICTESLGSETGSDGLFSSHPSSEKEGDKEEEHHQQQQEQVQEEKVVIEEEPKYNYGGGATKKYSPSRSFPPPLPSLGPSLHMRTHRDNGRLVLEAVSAPSNNNFCVQRQDGRLVLTFSDAANDDDDEEEVAEENDDDGVAVEELEEEFEEVHDEEIGDEAEDESDETEEVEDVKDIESVVIEKEPFLSSEITTNGVHRLAMVMNKAIGLVNRNPKWSEKLNELDVNVVKEVETNPVTKSLPPRPRVTRLVPSTNFNAYEYYWKTKPTTAQGVVTTTLSNSLPQHQKLNNKSSTTLVNNNSTSKVIFSGDFKKMSNDQRQQLMVVRVENGDYKLVHNLDQSCKDSRRSFLFWKPYCIATS >OIW05947 pep chromosome:LupAngTanjil_v1.0:LG08:22504536:22504895:1 gene:TanjilG_07223 transcript:OIW05947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRRIRPVKPNPFHKFLKPGALAKLRDSQITARRRFHRLNSLSHIPSPLPPNDVQPQQNDTEGFPCFVARIFSPRCPQRKKLMASKSVYFVTTSPIRSVADSPDSVLVESLGNDVVVAN >OIW06053 pep chromosome:LupAngTanjil_v1.0:LG08:20205242:20207332:1 gene:TanjilG_29809 transcript:OIW06053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSYTPKNILITGAAGFIASHVANRLVRSYPDYKIVVLDKLDYCSNLKNLIPSKSSPNFKFVKGDIGSADLVNYLLITESIDTIMHFAAQTHVDNSFGNSFEFTKNNIYGTHVLLEACKVTGQIRRFIHVSTDEVYGETDEDAVVGNHEASQLLPTNPYSATKAGAEMLVMAYGRSYGLPVITTRGNNVYGPNQFPEKLIPKFILLAMQGKNLPIHGDGSNVRSYLYCEDVAEAFELILHKGEVGHVYNIGTKKERRVIDVAKDICTLFKKDPEASIKFVENRPFNDQRYFLDDQKLKILGWSEKTTWEEGLKKTMDWYTQNPDWWGDVTGALIPHPRMLMMPGGLERHFEGSEDEKSASFGSTNTRMVVPSTKNTGTQQKPPFKFLLYGRTGWIGGLLGKLCEKQGIPYEYGKGRLEDRNSLIADLQHVKPTHVFNAAGVTGRPNVDWCESHKTETIRTNVAGTLTLADVCREQGILMINFATGCIFEYDAAHPEGSGIGYKEEDKPNFIGSFYSKTKAMVEELLREYDNVCTLRVRMPISSDLSNPRNFITKISRYNKVVNIPNSMTILDELLPISIEMAKRNLKGIWNFTNPGVVSHNEILEMYRDYIDPNFKWTNFTLEEQAKVIVAPRSNNEMDGSKLKKEFPELLSIKESLIKFVFEPNKK >OIW06813 pep chromosome:LupAngTanjil_v1.0:LG08:8162013:8171078:1 gene:TanjilG_03708 transcript:OIW06813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANEGGNTGSLAPAESNLFEHRHLDTSQYQPSAYAPATTGCEAVSWTIHNSAANGIYSNPTYQHDQHPQPPGGSIQDGQNVTSVAGNTSNLGTANVPHDYSAYTSHPSSGNSSNLGTANVPQDYNAYTSYTTPSNPYGYGSTGYSGYYSSYQQQPSHTYSQPVGAYQNTGAPYQPISSFQNTGSYASSASYSSTYYNPGDYQTTGGYQNNGGYENQATTWNNATYSSYSSQPYTNYAPDSSGSHSSGVAATSVQYQQHYKQWEGYYNQTEVSCAPGTENLSVTSSYTLGCPIPSATSGYATPVATSGYATPKSQPPQSYPQFWRQESSSSVMPSFQPAAGNSGGDHDGYWKQWAQTSQIHQTNPIQSTYQSPLDLKSSYDKFQDQQNTTSSEGTGLHYLPPPPPLPPPQQVNPAPLQSAPYLDTRQVQIQTNPRIASNLAFGQPKTEKDSSTTSVAQKPAYIAVSLPKPTEKVSSGDDANSILKPGMFPKSLRGYVERALARCKDDKQMAACQAVMKEMITKATADGTLSTQNWDMEPLFPMPDADVVNKDSSLSSTPDSLLPKYKRSPRRSKSRWEPLPEKKPVDNPSLISNDSVKYSGWVPNEKDRKVVMENKGSKEDVFRNSKFSPSIQRTPSKAPQRAFKKQRLADASFASENGDASSDSDKEQSLTAYYSAAMGFSDTPEERKRREYRSKRFELGQGHRSENNHFRKKIAGAGNVYNRRASALVLSKSFEDGVSEAVEDIDWDALTVKGTCQEIEKRYLRLTSAPDPATVRPEEILEKALLMVQSSQKNYLYKCDQLKSIRQDLTVQRILNQLTVKVYETHARLALEFGDLPEFNQCQSQLKTLYAEGIKGSYMEFAAYNLLCVILHSNNNRDLVSSMSRLSGEAKKDEAVKHALAVRAAVTSGNYVRFFRLYKAAPNLNTCLMELSVEKMRYKAVSCMCRSYRPSVPVSYVSRVLGFSTIVPTNEVSDEKDTDGLEECLEWLKAHGASIITDNNGDMLLDTKASSSTLFVPEPEDAVAHGDANLAVNDFFARPTL >OIW06125 pep chromosome:LupAngTanjil_v1.0:LG08:21153551:21159275:1 gene:TanjilG_29881 transcript:OIW06125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQKANVSKELNAKHKKILEGLLKLPENKECADCKAKGPRWASVNLGIFICMQCSGIHRSLGVHISKVRSATLDTWLPEQVAFIQSMGNKKANSYWEAELPPHYDRVGIENFIRAKYEEKRWAARDGRPASPSRLQEEKAPSHWQRPVERVGHGYGATSENTFVERKKVLSSNAIPGIRISVPAPPKGPEQVTPVTKPQHVEKVKPMAAQPQAETLKQTTGSYQDTPPKYDFATDLFDMLSVDGPSEKGSEAAGAPADDNNWAAFQSAAEASTAEETDIPSAVESAPLSTSGIEDLFKDSPSMTPSLAPEKPQKDIKNDIMSLFEKTNVVSPFAMHQQQLAMLAQQQSLLMAAAAKSAGGDPKHPSSVQQHQLAMLAQQQSLLMAAAAKSAGGDSKYPSSVQQPGSKIPVQSWPASGYPIPGVIPMGGQGQGQGELHNLMQTMNMTGVHPGASSVQYPPSSFYPMGQIAPVNGTMTTGVSKPQSADPVSSTTSQKGKDYDFSSLTEGMFAKQ >OIW06715 pep chromosome:LupAngTanjil_v1.0:LG08:9312132:9313479:-1 gene:TanjilG_11440 transcript:OIW06715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVRSANSSANRHRVNSASPHPVDTSSVSQRLQKELMALMMSGGDLGVSAFPDGESIFTWIGTIEGGKGTLYEGLSYKLSLRFPLDYPFKPPQVKFETMCFHPNVDQFGNICLDILQDKWSSAYDCRTILLSIQSLLEEPNLESPLNSYAATLWNDKEGWKHTFEIANMWFQL >OIW05773 pep chromosome:LupAngTanjil_v1.0:LG08:23894957:23898356:-1 gene:TanjilG_23559 transcript:OIW05773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLQLFLSSLLLPLLYFLFRSIFHRRHHHHHLPPSPPFRLPIIGHMHLLGPILHQSLHNLSLRYGPLFSLHFGSVPCVVASSSVFAKQLLQTNELSFNCRIETTAVKRLTYGASLAFAPYGAYWKFIKKLSMNELLGSRSINNFQHLRARETLHFLRLLANKGKACEAVNITEELLKLTNNVISRMMLGEAEEARDVVRGVTQIFGEFNVSDFIWLFKKLDLQGFEKRIEDLFQRFDTLVERIISKREEIRKNKRVKNGEIKDFLDVLLDCVEDESLEIKINRIHIKALIMDFFTAGTDTTAISTEWALVELLKHPMLLQKAREEINNVVGNTRLVEESDCPNLPYLQAIIKETFRLHPPVPLVSRRCVEDCKIENYVIPNGTLLFVNVWSIGRNPKYWENPLEFRPERFLKNGECDNMDVRGQQFQLLPFGTGRRMCPGVSLAIQEVPALLGTVIQCFDFHVVDHKVIDVSERPGLTAPRAHDLVCVPVQRSICPQLNVLAS >OIW05969 pep chromosome:LupAngTanjil_v1.0:LG08:21491962:21512013:-1 gene:TanjilG_11656 transcript:OIW05969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNNSMFRYADGIDKLLMLFGTLGSLGDGLQNPLMMYILSDVINAYGDKNSILTMHDVNKYALRLLLVAIGVGISAFIEGVCWKRTAERQAWRMRMEYMKSVLRQEVGFFDTKNVGSSTTYQVVSLISSDSNTIQVALSEKIPCCMAYMSTFLFCHIFAFLLSWRLTLASIPLSIMFILPALLFRKSMLDLAMKMIESYGVAGGIAEQAISSIRTVYSYVGENQTLDRFSSALQKNMKFGIKQGFAKGLMLGSFGVIYISWGFQAWVGTMLITDKGEKGGHVFVAGFNVLMGGLSILSALPNLSAITEANVAVTHLYEMIDRVPTIDSENKIGKALSYVRGGIEFQDIYFCYPSRPEVPVLQGLNLTIPAGKRVGLVGGSGSGKSTVVALLQRFYDPVEGEILLDGHKISRLQLKWWRSQLGLVNQEPVLFATSIKENILFGKEGASMDSVISAAKSANAHDFIVNLPDGYETQVGKFGMSLSGGQKQRIAIARALLRDPKVLLLDEATSALDAQSERVVQAAIDQASRGRTTIIIAHRLSTIRIADIITVLQAGRVIESGSHNELMEMNGGQGGEYAKMVELQQVTTQNDESKTSDTQVEGRRSSHNHSHRMSGIPLSPGVSFKSSTQGTPMLSPFSQGLSMGTPYSYSIQYDYDDDCFEDNLKITNYPTPSHWHLLKMNAPEWGSAVFGVLAAIGSGAVQPIHAYYVGVLISFYFEPENSKMKSKTRTLALTFLGIGVFNFFASILQHYNFAIMGERLTKRIREKILGKLMTFEVGWFDSVDNTSAAISERLSSDANLVRSLVGDRMSLLAQAVSGSVFAYTLGLFLTWRLSLVMIAVQPIVIGSFYSRSILMKSMAEKSRKAQREGSQLASEAVINHRTITAFSSQKRMLALFKSTSVGPKKESIRQSWISGLGLFGSQFFNTASTALAYWYGGRLLVDNLIEPKHLFQAFLILLFTAYTIAEAGTMASDISKGKSAVGSVFSILERNTEIDPDTSWGANKKRKIRGSVELISVFFAYPTRPDHMILKGMYLKVEAGRQVALVGHSGSGKSTIIGLIERFYDPLKGTVCIDEQDVKSYNLRMLRSHIALLSQEPILFAGTIRENIAYGKANATESEIRKAAAMANAHEFISGMKDGYETYYGERGVHLSRGQKQRIALARAIIKNPTILLLDEATSALDNVSDKLVQEALEKMMVGRTCIIVAHKLCTIQQSNNIVVIKNGKVVEQGSHNELISLGHEGAYCTLVKLQGEDLSNESTTTQLSSILYSPSRERAGPQETDDSEEKRKSALDIPWLTDRLDGDFSHKRKETSRERKHKCIFKFSGDGRFDRVADMCSQKLGTGATLDVFSKLGRATGVKEYNALIKVCIKNARGTDDECVAVDEIVKAFHIFKSMRERGFPLEEQTYSPVLRYLNDMGFVREFELFSNVIKAESPNSASRLGYYEMMLWIRVSNEEMIQDICEYITVENSEDTSALRESYLLALCKSDRKTQIMDVLKNLDITKLSSSKSIASVFQSLGWLQQKSFAENLLLDLRARDHNSDDISEFIVSYAISIPNLVVDDIVSDVNDLHLLLEVLPSTSSYEMLITYCCGIRKAEAALNIVDKMCEAGHTSKTAVLQSILQICTETYEYSLFEGAYKMVDDLEKMNFKPTTAMYNAIMAGYFREKNISGGLRVLKHMQSANVKPDSQTFGYLIGNSETKEDIIKYYEEMKQSEIKPTKHIYMALVNSYAACGELEKAKQIVADPKISVKILNEIKSVLVSALATHGKLSEALLVYEEIKNAGHNLDPKAIMNLIDEITQFEGELDGLLVLLNELSDRNYWVEGCFRIIMYCVQNKNLSGAIKLFKQLKSMFESDELVMEALFDAVFSLIAESQSTHLQIGLDLLWAIKDELSLVPSRQCLDFLLTSCANAGDLNNARLIWREYEVAGYPYNVLSYLRMYHALLASGDHRSANIMLKKIPKNDTDVCCMIKACQDKYHEDPNSVETKIKKGKTKKEKRET >OIW06310 pep chromosome:LupAngTanjil_v1.0:LG08:15500353:15509737:-1 gene:TanjilG_17684 transcript:OIW06310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRIFSRWKKVKEMKTLSDTHFAVSFNNRSLNRTGFKFNQVCPISTHMKNYKLHIISARRRREPLVGVQERYKWDRSGSDDIINPTRKIRVESNCPRCTKDMNLIFSNNHFPPPDSNSGFESFDSNLASPIVTEDGCGYQSVNICPNCKSAYHFRPNNTAPLQGTFVEIGRGSDNNNSVVKSRNSKIPRRTTHSKGSSSGKSGSVVGSNWLEVTVWDNIRSAKVNGHDNGEPPVNCPPPPPPGGNDGNGLAVHNPPGPPFVLGVNVIRHTGLREGGAGSGRGGGGNGEKATWGGSNLGKDLPSPKEICKGLDKYVIGQDRAKKVLSVAVYNHYKRIYHATIQKGSGAESGTLEGFDDDDVELEKSNVLLMGPTGSGMTFIVATFFQQGLLFYLKLRHDHAAGYVGEDVESILYKLLAAADFNVAAAQQGIIYIDEVDKITKKAESLNISRDVSGEGVQQALLKMLEGTIVNVPEKGARKNPRGDSIQVTDALHTSCFCKEYSLTFISYTRRQDSSIGFGAPVRTNMRAGGVTDSAVVSSLLESVESSDLIAYGLIPEFIGRFPILVSLSALTEDQLMLAESLNISRDVSGEGVQQALLKMLEGTIVNVPEKGARKNPRGDSIQMDTKNILFICGGAFIDLEKTVSERRQDSSIGFGAPVRTNMRAGGVTDSAVVSSLLESVESSDLIAYGLIPEFIGRFPILVSLSALTEDQLMLVKLYFTEKALRLIAKRAMAKNTGARGLRALLESILTEAMFEIPDIKTGTDRVDAVVVDEGSVGSVNAPGCGGKILRGDGALKQYIAKVKDSMVNVEVAETDLAEGDSELSSRAMSM >OIW07087 pep chromosome:LupAngTanjil_v1.0:LG08:5551797:5554362:-1 gene:TanjilG_02721 transcript:OIW07087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILPLFLFFISSSWVCIVAQDCGRNQVTKTIVVDKSGRTGFITVQSAIDSIAENNNQWVKVRINAATYTEKVEIPYNKPCIYLEGEDRHSTIITYNDHQQTDISATFSSFPDNIIARSITFMNSYNVPTTAMKTLVPTSHKLQRGKRRTQDSEVLPALAARIYGDKCVFYDCSFIGFQDTLWDVEGRHYFKDCTIEGAIDFIFGYGQSYYENCILNATSSGFVTAQGRSGKNDKSGFVFRGGALIGNGQTFLGRAYGPFSRVVFYGTYFSSVVAPAGWSAWESNLGFGTTYIEADCKGPGANTSGRVPWSKKLRGSKMAKYSRSSFIDRDRWLSYLPVAW >OIW06768 pep chromosome:LupAngTanjil_v1.0:LG08:8819319:8822703:-1 gene:TanjilG_11493 transcript:OIW06768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGLVAATKGGGCGRRKRSRSVRTAEEEEQQQSQLSLVALLLAALRKSMVSCKVDRPDDVISTVHQMEIGWPTNVQHITHVTFDRFNGFLGLPVEFEVEIPGRVPSASVSVFGVSAESMQCSYDSKGNSVPTILLLMQDRLYSQGGLKAEGIFRINPENSQEEHVRDQLNRGIVPDDIEVHCLAGLIKAWFRELPSGVLDGLSPERVLQCNTEEDSVELVKQLKPTESALLSWAIDLMADVVEEEDYNKMNARNIAMVFAPNMTQMSDPLTALMHAVQVMNLLKTLIMKTLREREETATTGGYSPLSFHSSDRQSEDEYDSRQEMDTSGELRDENKADYDDNAHCIDNSEEEMEAEPLSEIEECFLEQLDVITQEFSEPASYLQEYASPRSYSAHSMESISITGSKTANSCLSSADGGNLRTTLTSLNSNVDTSSPSVGCTSTNGVEMIDKITDSVSPMLWLASS >OIW07144 pep chromosome:LupAngTanjil_v1.0:LG08:4931826:4933918:-1 gene:TanjilG_10117 transcript:OIW07144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQPNILSSISRFYGHFKSFHLSPIQPIKCHWNIFRTLWGCSTPDTAGDNFDNSSIIIDHTNLLRPSFNSKTGLHVLDLIDRGSLEPDRNLYNTLLKRCTQLGKLKEGKLVHYHFLNSNIRDDIVIQNSIVFMYAMCGSLDDARHVFDEMQKKDMVTWTSLITGYAQNERAVDALVMFSQMVRDEYKPNEFTLSSLVKCCGLILSYKDGRQVHACCVKYGCSGNVFVGSSLVDMYARCGYLGEARLVFEKLVSKNEVSWNALICGYARMGEGEEALALFVKMQREGYRPTDFTYSALLSSSSCVGSLEKGKWLHAHVIKSRRKLAGYVGNNLLHMYAKSGSIRDAKKFTKNPK >OIW06027 pep chromosome:LupAngTanjil_v1.0:LG08:22016279:22018677:-1 gene:TanjilG_11714 transcript:OIW06027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSKSNLDCFLRSTTPVVQSQFLQKHEIRNLNPLWHPWEREVVEYFTLGDLWNCFDELSAYGAGVPITLANGETVVQYYVPYLSAIQIFISNTTVREETESGDSETRDSGSDSFSDDSECDKACRWDGTSSEEGGFEQDCLSHLNNRLGHLYFQYFERSTPYGRVPLMDKINGLAERYPGLMSLRSVHLSPASWMAIAWYPIYHIPMGRTIKDLSTCFLTYHTLSSSFQDMDLDDDTEGTHVKRKEGEGVSLPPFGLATYKMQDNVWVSGNCGRDHERLASLLSVADSWLKQLRVQHHDFNHFMGIRNG >OIW07328 pep chromosome:LupAngTanjil_v1.0:LG08:2296834:2299545:1 gene:TanjilG_11962 transcript:OIW07328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRVIPPRILRKLRYNTATKPLNSTHPFPLSPTLSSPTILDQKPPSSTILRPAVDPSALNFHDVEKLFSYVPTSKLLRSTTVLYATGVESMVDLGMWIMRSKFMEVEGARDIILATIRGSFYDHFCAGEDAISASKSIGSLNDAGIRGMLVYGVEDAHDNDGCDRNLKGFLHTIDVSKSLPPSSVSFEIVKITAICPMKLLERMSDLLRWEQQDPSFILPWKQESFPIFSESSPLYHTRKRPEPLTSEEENDLELANKRLFELCQKCVQANIPLLVDAEHTEVQPAIDYFTYSSAIMHNKVENPIVFGTMQTYLKDAKERLLLVTNAADKMGIPMGFKLVRGAYMSMERRVASSLGYASPIHDTIHDTHKCFNDCSTFMLEKIANGPGGVVLATHNIESGKLAAAKAHELGIGKVNHKLEFAQLYGMSEALSFGLSNAGFQVSKYMPFGPIETVMPYLLRRAEENRGMLAASGFDRQLMRQELGRRLKTAIF >OIW05979 pep chromosome:LupAngTanjil_v1.0:LG08:21606003:21609618:1 gene:TanjilG_11666 transcript:OIW05979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSRSYTNLLDLASGNFPDMGNQPKERRRMPRVMTVPGIISELDDDQAVSVSSDNPSTISTDRMIIVANQLPLKAKRREDNKGWSFSWNEDSLLLQLKDGLPDEMEVLYVGSLRVDIDPAEQDDVSQYLLDKFKCVPTFLPPDVLEKFYDGFCKRQLWPLFHYMLPFTTNKSHRFDRSLWEAYVLANKLFFQKVVEIINPEDDYIWVHDYHLMVLPTFIRRRFNRVKMGFFLHSPFPSSEIYRTLPVREEILKALLNSDIIGFHTFDYARHFLSCCSRMLGLEYQSKRGYLGLEYYGRTISIKIMPVGIHMGRIESVMRMADEESKAREIKQQFEGKTILLGVDDMDIFKGINLKILAMEQMLRQHPKWQGRAVLIQIVNPARGKGIHVEEIHAEIQESCGRINRVFGRPGYEPIVFIDRSVSIAEKVAYYSMAECVIVTAVRDGMNLTPYEYIACRQGISGSESCSNVSSPKKSMLVISEFIGCSPSLSGAIRVNPWNVEATAEAMNEAISMSEGEKQLRHEKHYRYVSTHDVAYWSRSFLQDMERACTDLLRKRCWGIGLSFGFRVVALDPNFKKLSIDTMVTAYMRARSRAILLDYDGTVMPQNSINKSPSKEVISLLKTLCADPKNVVFIVSGRGRGSLSDWFTPCRKLGIAAEHGYFMRWSQSEDWEICGKSTEFGWMQIAEPVMKLYTEATDGSGIEKKESALVWQYRDADLGFGSAQAKEMLDHLESVLANEPVSAKSGQFIVEVKPQDVSKGLVAEKIFSSMVEKGNQADFVLCVGDDRSDEDMFEVISSAVSRNILSSNASVFACTVGQKPSKAKYYLDDTFEVINMLQSLAEESDSSPPCIEEETGGSSLRQM >OIW05679 pep chromosome:LupAngTanjil_v1.0:LG08:24537652:24538532:1 gene:TanjilG_23465 transcript:OIW05679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNIYLTSTNPPETYKAYLRQFQHDFKLFLKSRSEELVPGGAIFLTFVGRKNTPEIRTVFGLLGMSLNDMVLENLIEGAKLEFFNMPLYSPTAEEAKAVIEEEGSFTIQRLESMMLGWDANINEDELDENSRCEFIAKTFRAITETLLKARFGEDIMDELYLRLKNKLIQMLMKGEKLESPNLVISLVKKSYKDANGNGATQVL >OIW06214 pep chromosome:LupAngTanjil_v1.0:LG08:18665695:18671137:1 gene:TanjilG_03839 transcript:OIW06214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEYLHYMKTLRSQMNDVEEQAAKISVEEEMQSTNVRTLEKDIDSAKSEISQLKEDSEKMKKEKGEMCSKILEKQKKVASLESDISSLTQQTLELIQQERVGLSAKLSQKRAYYSKVAEDMSAKLQHQQEWFRNEKICREVKEQELDKEKVNGQKSEAEGMGFKLLREASIDGDLVMDNQECDARKNLITKVDSAKAKLDEILLLKAKVLMENNKMKLAIEDVKSSMVDFKPELKAADVTALEEEFNALLSDKAGEREYLQSLENQIEKLKEFRHVVKCACGEEYTVAVNM >OIW05783 pep chromosome:LupAngTanjil_v1.0:LG08:23798392:23800888:-1 gene:TanjilG_23569 transcript:OIW05783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSYPTVSADYQKAVEKAKKKLRGFIAEKGCAPLILRLAWHSAGTYDVKTKTGGPFGTIKHAAELAHGANNGLDIVVRLLEPIKEQFPILSYADFYQLGGVVAVEITGGPEVPFHLGREDKPEPPPEGRLPDAAKGSDHLRDVFGKAMGLSDQDIVALSGGHTIDKPEPPPEGRLPDAAKGSDHLRDVFGKAMGLSDQDIVALSGGHTIGAAHKERSGFEGPWTSNPLIFDNSYFKELLSGEKEGLLKLPTDTALLSDPVFRPLVEKYAADEDAFFADYSEAHLKLSELGFAEA >OIW06471 pep chromosome:LupAngTanjil_v1.0:LG08:12092803:12094884:-1 gene:TanjilG_05242 transcript:OIW06471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDKSKVSLKIKTEDTKQGDIEGKSTVSLKIKTEDKNPSDVKEEKTEIAVELKNKSLEKEHKHKDEKKVNDDGEKKKKKDKEKKIKENDGEDGEEKTKKKEKEKKKEKRGNDGDVDIEKKKGKGNEDEHLEDKEKKDEKKKKEKKDKDEETDINKEKKEKKHEKKDKGEDVGEDGEEKKEKKEKKKETKDKGEIEGDDDEVKKEKKKEKKKETKDKGEDEGDDGEVKKEKKKEKKKETKDKGEDEGDDGEVKKEKKKEKKKETKDKGEDEGDDGEVKKEKKKEKKKETKDKGEDEGDDGEVKKEKKKEKKKETKDKGEDEGDDGEVKKEKKKEKKKETKDKGEDEGDDGEVKKEKKKEKKKETKDKGEDEGDDGEVKKEKKKEKKKETKDKGEDEGDDGEVKKEKKKEKKKETKDKGEVEGDDGEEKKEKKKEKKKENKDKGEDEGEDGEDKEKKDEKKKKKEKNDKDEETDIKKDKGKGEDGEGKKEKKKEKKKETKDEGEVEGEDGEVKKEKKKEKKKENKDKGEDGEDGEEKKEKKKKKEKDEKSKDKKDKGKTDEGDNEKKKKDKKKNEKKDKDEEKYEKKAEDGKVEITSRGIDEQKDDEEDDAGEQKTNAKDGKDKNEKKDKNKGWKKRKVTGKDKSKDVSKLKQKLEKINGKIEALSEEKATIEKQIKEAEDEGNVAIEKAKEVVE >OIW05898 pep chromosome:LupAngTanjil_v1.0:LG08:22721423:22723030:-1 gene:TanjilG_23684 transcript:OIW05898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFHSLPNLYLILSFSILFLSSFLAQAKVTFKYCVLEAGVMDRCPQCKGEKVVQEKKVLEVIVEKGMQNGQKITFPGEADEAPDTVTGDIVFVLQQKEHPKFKRKAEDLIVEHTLSLTDALCGFQFVLSHLDGRQLLIKSNPGEVIKPDSYKAINDEGMPLYQRPFMKGKLYIHFNVEFPESLSLDQVKALEAVLPPKPSSQLTDMELDECEETTLNDVNMEEESRRKQQQAQQEAYDEDDDMPGGAQRVQCAQQ >OIW06728 pep chromosome:LupAngTanjil_v1.0:LG08:9138698:9143042:1 gene:TanjilG_11453 transcript:OIW06728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASTLNMLNTIPFSKSQLESITHTKFPTTPPSLSLTQNSLSTIRKLISCKTNLHETSSSFSSLNQEVVEKEEEEQKLEDHLQLPQAWREIHGENDWIGLLDPMNPLLRSELIRYGEMAQSCYDAFDFDPFSKYCGSCRFTPPNFFDSLGMHHHGYNVTRYLYATANINLPNFFKHSRWSKMWSKNANWAGYIAVSNDYTSKRIGRRDITIAWRGTVTYLEWIADLMDILKPISANNIPCPDQSVKVESGFLELYTDKEESCGYCKYSAREQILTEVKRLLEMYPNEELSITITGHSLGSALAILSAYDIVETGLNVLNDCRAVPVSVLSFSGPRVGNVRFKERLEKLGLKVLRVVNVHDMVPKSPGFVLNEKLPPSVMKLVEGSPWSYSHVGAELVLDHKNSPFLNPNADAVCAHNLEALLHLLDGYHGKGERFMLASGRDPALVNKGCDFLKDDYMVPPNWRQDENKGMIKSNDGRWIQPERPKLDDHPQDIHHHLMQLGLVSYDTSMVDIQDKYI >OIW07278 pep chromosome:LupAngTanjil_v1.0:LG08:2432751:2433308:-1 gene:TanjilG_08393 transcript:OIW07278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTKEITGLNYLLPSDPSPYPNSMIQNNIPTFQLQKFSNQFYGLQNPTQVFADFSPQSSCISSNSTTSDEADEQQQCLINERKHRRMISNRESARRSRMRKQKQLDELWSQVVWLRNENHKLVDKLNHVLESHDKTLKENSQLKEETSELRQMICDMKLQSPCHPFSPFQDIPCNSPFLNSDSSN >OIW06992 pep chromosome:LupAngTanjil_v1.0:LG08:6560348:6561865:-1 gene:TanjilG_14330 transcript:OIW06992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQRGLIYSFVAKGTVVLAEHTQYTGNFSTIAVQCLQKLPSNSSKYTYSCDGHTFNFLVDNEFVFLVVADESVVRSVPFVFLDRVKNDFKQRYGASIKNNDAHPLADDDDDDDLFEDRFSIAYHLDREFGPRLKEHMQYCMNHPEEMSKLSKLKAQITEVKGIMMDNIEKVLDRGEKIELLVDKTENLQFQADSFQRQGRQLRRKMWLQNLQMKLMVGGGILILVIILWVIACKGFKC >OIW06088 pep chromosome:LupAngTanjil_v1.0:LG08:20597382:20602399:-1 gene:TanjilG_29844 transcript:OIW06088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGALVSVKHESKTTSTITIKGILSLLMASVDEHNDTSKRVISLGMGDPTIYTCFHTTTVAEEAVADTLYSHKFNGYAPTAGLLQARNAIAEYLSHDLPYQLSSDDVFITCGCTQAIDVSIAMLAHPGANILLPRPGFPIYELSAAFRHVEVRHYDLLPEKGWEVDLDAIEALADQNTVALVIINPGNPCGNVYSYNHLEKIAEIARKLGTIVIADEVYSHLAFGDKPFVPMGVFGSIVPVLTLGSLSKRWIVPGWRLGWFVINDPCGTYRKPKVVERIKKYFDLLGGPATFIQAAVPRIISQTEEVFFQKTIDNLKHTLEICCKEIQDIPCIFCPYKPEGSMAMMVKLNLSLLEDISDDIDFCFKLAKEESVILLPGTAVGLKDWLRITFAADSSAVREGMERIKSFYQRHARKSSKQ >OIW06392 pep chromosome:LupAngTanjil_v1.0:LG08:13565663:13567993:-1 gene:TanjilG_13578 transcript:OIW06392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSSKADKKAADAAAWMFNVVTSVGIIIVNKALMATYGFSFATTLTGLHFATTTLMTTILRMLGYIQASHLPLPDLLKFVLFANFSIVGMNVSLMWNSVGFYQIAKLSMIPVSCFLEVVLDKIRYSRDTKLSIGVVLLGVGVCTVTDVSVNTKGFVAAFIAVWSTALQQYYVHLLQRKYSLSSFSLLGHTAPAQAASLLLLGPFLDYWLTDKRVDRYDYNTTSLIFIIMSCTIAVGTNLSQFICIGRFTAVSFQVLGHMKTILVLIMGFFFFGKDGLNLHVVFGMIIAVAGMIWYGNASSKPGGKERWSHSLPTNKTETK >OIW05685 pep chromosome:LupAngTanjil_v1.0:LG08:24497580:24499679:-1 gene:TanjilG_23471 transcript:OIW05685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGTGGSSASAAAPVNQWLLEFSRRFQYYLDKSTPHATYRWIGTVVIASIYILRVFYIQGFYIVSYGLGIYLLNLLIGFLSPLVDPELEPSDGPMLPTKGSDEFKPFIRRLPEFKFWYSFTKALCIAFVMTFFSVFDVPVFWPILLCYWIVLFVLTMRRQIAHMIKYRYIPFNLGKQKYGGKRSSASSSGARAD >OIW06103 pep chromosome:LupAngTanjil_v1.0:LG08:20771192:20771626:1 gene:TanjilG_29859 transcript:OIW06103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSGFTLICVLHSAIALTSGILMIFYSKEISAFGHRPETATKLRGSTPHDQLLIQTSDSFSGFLLFTIGFILLMASFVKDKDFQSFFAKGCVLLHFSMAVWRFFFEREIEDLVHDWPRHVVGNIALAISWVFFLVYSWREKYD >OIW07048 pep chromosome:LupAngTanjil_v1.0:LG08:5982624:5988061:-1 gene:TanjilG_02682 transcript:OIW07048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASENGLDEATTSNDPPTDTNGEKSKQKEKEKPETVPFHRLFSFADSTDILLMIVGTIGAIGNGISMPLMTLLFGQMVNGFGSNQITDNIVKEVSKVSLGYVYLAIGSGLAAFLQVKCWMIAGERQAARIRGLYLKTILRQDVAFFDKETNTGEVIGRMSGDTVLIQDAMGEKGGFLLTLVMMATFPLLVVSGATMTIIIGRMASRGQTAYAKAAHVVEQTIGSIRTVASFTGEKQAVSSYNKFLEDAYKSGVHEGSIAGAGLGTVMLVVFCGYALAIWFGAKMIMEKGYDGGTVINVITAVLMASMSLGQASPSMSSFAAGQAAAFKMFETIERKPEIDAYDPNGKKLEDIQGEIELREVYFSYPARPDELIFNGFSLHIASGTTLALVGQSGSGKSTVISLVERFYDPQAGEVLIHGINLKEFQLRWIRGKIGLVSQEPVLFASRIKDNIAYGKEGATIEQIKKQLNSQMLLSSSINCHRDWTQWLVSMELSCLSERVVQDALDRIMVNRTTVAVAHRLSTVRNADMIAVIHRGKMVEKGTHSELLRDPEGAYSQLIRLQEVNKGTEETADHWKKSELSAASSRQSSQRKSLGRSISRASSLVNSIRLSFSASLRLSARVNGIDTEPKNSQAKEKSRRHICFEKVVNMELGWFDVPENSSGAIGARLSADAASVRALVGDAVGLLIGNLATVLAGLIIAFTASWELALIIPVLIPLIGLKGYAQMKFMKGFSADAKMMYEEASQVANDAVGSIRTVASFCAEDKVMELYRKKCEGPMKTGIRLGLISGSGFGVSFFLLFCVYATSFYAGARFVKAREDNIRRCFQALLLLSKAKSATASIFGIIDKKSNIDPSDESGTTLESVKGEIELRHISFKYSSRPDINIFRDLNLTIHSGKTVALVGGSGKSTVIALLQRFYDPDSGEITLDGIQIRQLQLKWLRQQMGFVSQEPVLFNETIRDNVAHGKGGNATEAEIIVAAKMANAHRFITALQQGYDTIVGERGTQLSGGQKQRVAIARAIIKSPKILLLVEATSALDAESERIVQDALDKVTVNRTTVVVAHRLSTIKNADVIAVVKNGVIVEKGRHETLINIKDGFYASLVQLHTSAATV >OIW06235 pep chromosome:LupAngTanjil_v1.0:LG08:18106353:18109735:1 gene:TanjilG_03860 transcript:OIW06235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNTKANNKVPDIAKAGFTSAWLPPAVDSFSPDGYTPQNLYSLNTKYGSEHQLKALLQKMKQYKVRSMSDIVINHRVGTTQGRGGMYNRFDGIPLSWDERAVTSCTGGMGNRSTGENFHGFPNIDHTQGFVRKDIIGWLLWLRHNVGFQDFRFDFAKGFSPKYVREYIEGARPLFSVGEYWDSCNYNFSTLDYNQDSHRQRIINWIDGTGQLSTAFDFTTKGILQEAVKGNFWRLRDPQGKPPGVMGWWPSRAVTFIDNHDTGSTQAHWPFPKDHLMEGYAYILTHPGIPTVFYDHFCDWGNSIREKIVKLIDIRKCQGIHSRSPIRILEAKQNLYSAIIGEKVCMKIGDGSWCPSGREWTLSTSGHNYAVWNK >OIW06618 pep chromosome:LupAngTanjil_v1.0:LG08:9986161:9990095:-1 gene:TanjilG_04012 transcript:OIW06618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSNASIVQNQVQGNDEHHPNNVDPQQVVHVEEKQPQEVKSVFNKVKAKAKKIKDSIKKHGQNVLDHGHGHDSEDHSEDQQVHEIHETEAVRSAAPASEKVENLEKSEVNFEGTKVEVEETDQKPRVVDVFPTTEIEQNITTDQGKTFVGEEKSEQPNAYLEEDPLKYNQTKPTDLNETGAAEIDIAPVEKSFERLNVQDEKENVTETKSPSAGTGSLNQFVPEPQVQEEEPHQEPQVVDVNPTTGINQNITTDQDKTFVYEENSDQPNEYFKEDSHGSGSRDESYTPPQSHQTKVTDLTGPADTEEIGITTVEKFFVQDELKHRPEPNVFPNVTETQSVPEISSPTKTENPSAEIHDQFVQDFSTAAKTQDPSDGSHDQFDPETISTGTNRDHEISEATEQTFNSNNTTIKVEEQPSYESVEKPSNVSKTDKTHESDYGSNKSGSTAEYGENIDQSLTEKLGPDYGKVAEVGTGTGAETEDEVKEEKKGVSSVKDSLADYEENDEKPEKVSEESSVQSPGKGYVDKLKGVVGSWFATKGEDQSTQGDEVLPKNEKSGVEVEQVNKAEDELHKRPSGIVVREVLFGVLKSINGENKTRCAKLVYKFFTWCGQQESYQHTVNGYHLVMSIYAECEEFKALWRLVHEMITGYVVAGEIEKAREMFHDMISREQVPNVFTYNSIIRGLCMAGKFDEACSMFKDMETRGCSPNFVHYNSLVRSLQNAGKVVDAHEVIRPNILISA >OIW07214 pep chromosome:LupAngTanjil_v1.0:LG08:3567091:3568016:1 gene:TanjilG_06341 transcript:OIW07214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSEDANSSSFASPANPVRHSLNNNNNNNRGNNNNQGRNSNRGNNGKNSDRGGRSYTDGGGSGGSQNYGGGGRGQYPQWHQQFPWQQQWQQYPWAPPPCPYSSYWTKTNAGPKYQQSVQHKHD >OIW06351 pep chromosome:LupAngTanjil_v1.0:LG08:14348777:14352535:-1 gene:TanjilG_14996 transcript:OIW06351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENINNTVQTVNAAATAIVTAESRVQPTTAPVRSSLNKKRWGSFWSQYWCFGSHKTSKRIGHAVLVPEPVAPTGPAAIPAPNPSTTTVMPFIAPPSSPASLLQSDPSSATHSPAGLLSLTSLSVNAYSSGGPASIFNIGPYAYETQLVSPPVFSNFTTEPSTAPYTPPPESVQLTTPSSPEVPFAQLLASSLDRARKNNGTQKFALYNYEFQPYQQYPGSPGAQIISPGSVISMSGTSTPFRDKRPTLEFRKGETPKILGYEHFSTRRWSSRLGSGSLTPDGAGQGSRLGSGSVTPDGVGLVSRLGSGTVTPDGLGQDSRLHSSSLTPDVSGPTTQGSLCVQNQISEQASLANSESGHQNNATIVSHRVSFELTGEDVARCLANKTGVLLRNMSRSSQGILANGTVDRERIQQDANSCCDVCSRKTNDRPNDPLGEGGQCCQRHHSATSSKDFNFDNRKGNVSGNAANGPEWWTHKKINGKEGRSANSWAFFPMLQPEIN >OIW06005 pep chromosome:LupAngTanjil_v1.0:LG08:21854878:21855988:-1 gene:TanjilG_11692 transcript:OIW06005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVCKQHKFHPSHQLLSLKKSLRDIDIPPRKLLTRRAPAIYDGATDMFSDEILFQKYLPHNNMNMVDDSDVDYSDPYSSDHFRIPRQLRVLPANSHSGTFSCSTNKKSKFLNPGSSIKHSSLFLHCNSSSASICSPTSTTLFGMSHFSSPPMSPSSCSSASPANGLSPMSRFLGSEKKHDHGVGVMSYKDMLNELMFSLEDMNFNEANSNYNSPNSCDSSKKNLNLFDASFNCEDQQHFVLSPSSATSLGFGRFDDDINAPDLGWVNDLLM >OIW06218 pep chromosome:LupAngTanjil_v1.0:LG08:18564725:18568832:-1 gene:TanjilG_03843 transcript:OIW06218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESCNCIEPQFPAEELLMKYQYISDFFIALAYFSIPLELIYFVKKSAVFPYRWVLVQFGAFIVLCGATHLINLWTFTMHTKTVAIVMTISKVLTAVVSCATALMLVHIIPDLLSVKTRELFLKKKAAELDREMGLIRTQEETGRHVRMLTHEIRSTLDRHTILKTTLVELGRTLALEECALWMPTRTGLELQLSYTLRQQNPVGYTVPIHLPVINQVFSSNRAVPISPNCPVARLRPHGGKFMPGEVVAVRVPLLHLSNFQIYDWPEVSTRNYALMVLMLPSDSARQWHVHELELVEVVADQVAVALSHAAILEESMRARDMLMEQNVALDLARREAETAIRARNDFLAVMNHEMRTPMHAIIALSSLLQETELTAEQRLMVETILKSSNLLATLINDVLDLSRLEDGSLQLEEATFNLHSVFREVLNLIKPIASVKKLSLTLQIASDLPTYAIGDEKRLMQTLLNIVGNAVKFSKEGSISVTAFVAKPESFKDARIPDFFPVPSDGHFYLRVQVKDSGAGINPQDIPKLFTKFTQSQTLATRNPAGSGLGLAICKRFVNLMEGQIWIESEGIGKGCTVTFMVKLGIPDRTNESKLSFAPKIPGNHISTNFAGLKVLVMDDNGVSRSVTKGLLMHLGCEVTTVSSSEECLRVVSLEHKVVFMDVCTGLDGYELAVRIHEKFTKRLDRPLIVALTGNTNKVTKENCMRVGMNGLILKPVSVDKMRGVLSELLERRVLFETIE >OIW06774 pep chromosome:LupAngTanjil_v1.0:LG08:8788925:8789239:-1 gene:TanjilG_11499 transcript:OIW06774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVVTRLTAEKPVVIFSKSTCFMSHSVKALICSFGANHMVIEVDKIENGLQIESALVELGSRPSVPAVFIGQQFIGGADEVISLNIQNKLAQLLLSARAIFIWD >OIW05799 pep chromosome:LupAngTanjil_v1.0:LG08:23678865:23683243:-1 gene:TanjilG_23585 transcript:OIW05799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCCNCFGFIRRPRSRRQRPKHTISSNNNISQELLLDDDIDVDDRSYSDSATNTSSGDDGEQKVRPKRSEDILNLRVENDMMCRQFPVKETHKVVRSEDEYGNKMINEYIRECKIGSGSYGKVALYRSSVDGNHYAIKAFHKSYLLKLRVAPSETAMTDVLREVLIMKMLEHPNIVNLIEVIDDPDSDNFYMVLEYVEDKWVCHGTGPACSLGEDIARRYLRDIVSGLTYLHAHHIVHGDIKPDNLLITRHGTVKIGDFSVSQAFEDDKDDLRRSPGTPVFTAPECILGLTYHGKAADTWAVGVTLYCMILGEYPFLGDTLQDTYDRIVNNPIVLPNDMNPQLKNLIEGLLSKDPRLRMTLNDVAEHSWVIGDDGPIPGYLCWCERKCFEREDTDESNMLA >OIW07336 pep chromosome:LupAngTanjil_v1.0:LG08:1429730:1430295:1 gene:TanjilG_10171 transcript:OIW07336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIEQKQSEIIDHFIKQASSATSNASEIASVITDATSHPSLSAFLEILALPNVLQMSITCICKDTLMLNSLSSVRGELNMKNGLHFDWDNFDLARFVGSGRDTSSE >OIW06341 pep chromosome:LupAngTanjil_v1.0:LG08:14201387:14202503:-1 gene:TanjilG_14986 transcript:OIW06341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSDECKLKFLELKAKRNYRFIVFKIDNQEVVVDKVGSPQETYDDFTASLPPDECRYAVFDFDFTTIENVQKSKIFFIAWSPDTSKVRHKMVYASSKDRFKRELDGIQFELQATDPSEMTMDIIKGRAI >OIW06468 pep chromosome:LupAngTanjil_v1.0:LG08:12046401:12047850:-1 gene:TanjilG_05239 transcript:OIW06468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDTHERDAIVAREALQQDETNFKALMEIFVGRKSSHVLLIKLAYQKRFRRQLDQDIINMDPPHPFQKILVALAASHKAHQVDISHHISKCDARRLYETGEGNLGALDEAVVVEILSKRSIPQLKLTFLSYKHIYGHDYTKSMKKGNCGQFCEALMMVVKCICNPTHYYAKALHRSIKGGTRERGNLARTLVCRVEIDMDEIKMVFKEKYGKELGDVISESISSCDYRDFLVALAKRSTAST >OIW07315 pep chromosome:LupAngTanjil_v1.0:LG08:1981897:1982685:-1 gene:TanjilG_11949 transcript:OIW07315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEPETLPPPPEPPIATATAVETVVEPTTALQPSAENSGPSRKRQRRPSVRLGEIGNQRAADKAHKSYTRRLNMPPWSWKTPKEASRAAKGRSLTNIANGFEESNGISEFANRRGKAKRGSTSTKRLRTNQAPKTTIDDVDDGDEGLRDFNNDQSPVNSVQQNVHDHRDDDEDDDHGRDLEDDVESSDSREWKSNKKCEFVRSWLMELGLSKYAPIFEIHEVDDELLPLLTLEDLKDMGINAVGSRRKMYTAIQKLQKGFP >OIW07014 pep chromosome:LupAngTanjil_v1.0:LG08:6372474:6377466:1 gene:TanjilG_02648 transcript:OIW07014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNPHAPISKGLLCNAGAGAAAGVIAASFVCPLDVIKTRLQVGVPQLPNATVKGSLIVGSLEQIVQREGLRGMYRGLGPTVLALLPNWAFDSWLMWMSSSIWEIGYDLLIDMVLYVEQDESCCLSVGANVVAASGAGVATTFATNPLWVVKTRLQTQGIRPGVVPYKSTLSALRRISCEEGIRGLYSGLVPALVGVSHVAIQFPTYEKIKFYLAKQDEKAMEELGACEVAIASSVSKFFASTLTYPHEIIRSRLQEQGHHSEKRYSSATDCVRKVFQQEGISGFYRGCAINLLRTIPAAAITFTSFEMINRFLVSSFPSDTHPSIL >OIW07163 pep chromosome:LupAngTanjil_v1.0:LG08:4659933:4661854:1 gene:TanjilG_10136 transcript:OIW07163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTVADMAPITADRNLPQDLDSKLSKPYMPRALVAPDTDNVNGTWGHEHNNMSVLQQHVAFFDLDKDGIIYPWETFRAFRSMGFNVISSFVLTVLLHAALSYATLPTWLPSPVFPIYIQNIHRAKHGGDSGTYDTEGRFTPANFEFIFSKYAREVPDKLTLRELWHMTQANSVAHDYFGWAASKLEWGVLYSLARDEQGFLSKEAVRRCFDGSLFEYCAKLRKGTAGKMA >OIW06929 pep chromosome:LupAngTanjil_v1.0:LG08:6701627:6705513:-1 gene:TanjilG_18317 transcript:OIW06929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVFVKTLKGTHFEIEVKPEDTVSEVKKNIETVQGADVYPAAQQMLIHQGKVLKDATTLEENKVAENSFIVIMLSKSKTTSGEGSATSTTPSVKTPQTTAAPTSTLPVSVAPQAPAATVAPPAPAPAPVPIPSLAPAPAPIPSSTAVEGSDVYGQAASNLVAGTNLEEIIQHILDMGGGSWNRDTVQRALRAAYNNPERAVEYLYSGIPEQAEAPIVAPVPASEQPANPTAAASQTTQPAPVTSGGPNALPLDLFPQGLPNVGSGAASAGSLDFLRNSQQFQALRAMVQANPQILQPMLQELGKQNPHLMRLIRDHQADFLRLINEPVEGGEGNLLGQLAGGMPQAVTVTPEERQAIERLEAMGFDRATVLEVYFACNKNEELAANYLLDHMHEFDEQ >OIW07361 pep chromosome:LupAngTanjil_v1.0:LG08:744448:749142:-1 gene:TanjilG_10196 transcript:OIW07361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPRKKSNASSSSSSSKKPSKFGIQHFFDRHTASQQKIQQSNDANPNTASDSKPTTDFTVLPSPNLPEPSGSSKSGHVVLRTDALDASHNSGGSNNNDVDRPSEVTPPESLVLPGSDNAATESFAAEESPEMSKSVSLKRFKFSPGMFIKQSQDDGADEVTWKISPVNERLQAVSKQMPAIIKALSDTSRINLLPFRSCSENKDSLDKDGKVEKLFTSPTPKASAKSLVSMSKLGLKRINPDRDVDSNISAGAVSNSEASSGESPFRTPPSLSYCSDKLVKDIERSGPPHHSYLRHKKEFLELLDQVEDAIAVDDANVCNQSISSFKSQDGVADELPVIANHKVEKTKSQIPKEVVGVFSNYIFLVLEVTEKFRISDSSAAGCQYKVLRLLNEQTGEERAVNLWDEWSCSVVAPGDTVNVIGQFEGGNCNVDHDNNFLIVHPDILMSGTRVK >OIW06854 pep chromosome:LupAngTanjil_v1.0:LG08:7907413:7909597:-1 gene:TanjilG_18236 transcript:OIW06854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNVVQKKQDTRYIIFICNTQLQKKDWFFQSQRRSANAMIYSSTLNNLNPSSSSTSIEVMNDDYSKPISMKQSSVSPALSLSHLSHSELLKRRYDNLKLLSKCYRDLYWALLEKIKTHYRDYLLEYGVTPYKEDNDVSENKNKSSELGQNESPLCDFVGAESGPIRCGKPILRSSVPSLCSAHFQKAQKHISSTLKKTRMNVSSTSKMVPKLHIIVTEYIRHIQMKRRKELSRRKK >OIW06811 pep chromosome:LupAngTanjil_v1.0:LG08:8063405:8066824:1 gene:TanjilG_03706 transcript:OIW06811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSHKCVCVIAMIFVVVIGIEECRLFQKNELVDEFEGGGLGGSGGFEGGKHGGSGVAFGGGHGGDTGGGGGAGGGVGGGTGGGNGGGIGGSGGAGGGTEGGIGGGRGGGIGGGGGAGGRIGRGHGGGIGGGGGAGDGVGGGLGGRGSSGVGGGAGGGVGGGFGGVGGGFGGGGIGGCFGGGVCVGGVAGEGNDFGGGSGGGAGDGF >OIW06514 pep chromosome:LupAngTanjil_v1.0:LG08:11221032:11222628:1 gene:TanjilG_26703 transcript:OIW06514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVKASEVLNGKYTTRNTPKSIVLVLFTMLLIMFFPLSLMRDSSESSTKISSVTGLKNSTEVKECNLFSGKWIHYPEGPYYNNETCHWIIDQQNCMKFGRPDREFLQWRWKPDECELPRFDATQFLKVVKGKKMVFVGDSVGINQMFSLLCLLSHVSEPEDISIKHSTDHTYFKRFYYAKYNFTLANLWSPYFVKSSDADTNGHSYDSIMKLYLDEVDEAWASQVEDFDFVIISAGHWFFRPLLFFENRELVGCNKCGKQNVTDLAHYYGYKKAFRTAFRTLINLRRFKGVTFFRTFSPSHFENGDWNKGGNCIRTKPFTKEEMKLDGNFLETYLTQVGEFKAAKKDAIKRGLEFNMLDTTEIMLLRPDGHPSNFGHAKDKNVTINDCVHWCVPGPVDTWNEFLFYMLKLGQKSSG >OIW07109 pep chromosome:LupAngTanjil_v1.0:LG08:5308979:5310589:-1 gene:TanjilG_02743 transcript:OIW07109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVYQDLLTGDELLSDSFPYKEIENGMLWEVEGKWVVQGAVDVDIGANPSAEGGEDDEGVDDQAVKVVDIVDTFRLQEQPSFDKRQFVTYIKRYIKLLTPKLEPENQELFKKNIEGATKFLLSKLKDFQFFVGESMHDDGSLVFAYYREGATDPTFIYFAHGLKEIKC >OIW06903 pep chromosome:LupAngTanjil_v1.0:LG08:7704060:7706732:1 gene:TanjilG_19552 transcript:OIW06903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVVEIPKLNLNSSCSESLEREEKNMGSEKISVSEQINGYQYEESESFVVDMDSFSPRINNKDTKPNSRIALQRNLPRKGSQRGVDRKVNGNATLYDGDPVSATSSPKASLLGSNWPEKTAVAAVGSPRHSTNTQVHHQITITAGNIINNNNTESKSLSRRYSFKRPSSWLLDPKRVVLFCATLSCMGTMLLIYFTLVTSKENVGEYGGD >OIW05763 pep chromosome:LupAngTanjil_v1.0:LG08:23956158:23961955:-1 gene:TanjilG_23549 transcript:OIW05763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQASLLLQKQLKDLCKNPVDGFSAGLVDETNIFEWSVTIIGPPDTLYEGGFFNAIMSFPSNYPNSPPTVKFTSELWHPNVYPDGRVCISILHPPGDDPNGYELASERWTPVHTVESIVLSIISMLSSPNDESPANVEAAKEWRDRREDFKKKVSRCVRKSQEML >OIW06904 pep chromosome:LupAngTanjil_v1.0:LG08:7709217:7712046:1 gene:TanjilG_19553 transcript:OIW06904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLPPPPMRWRATAFPFLVVLLVLAAISPSLAIYCDEDDCYDLLGVTQYANASEIKKAYYKLSLKYHPDKNPDPESRKLFVKVANAYEILKDETTREQYDYAIAHPEEVFYNTAQYYRAYYGHKTDTRAVLVGLLILISCFQYLHQSSRYNEAVAMVKKTPAYKNRLKALELERSGGVTNKKKTQKNIDKKKEEDLSNELDLQITGAEKPSVWTLVGVQFVLLPYTLGKLLLWSGCWFWRYNLRKHSYSWEDASYLTQRSLGIPHDRWINIDEARKEDLVLRRLWVKSNFKSYVADTRKESKRRR >OIW05562 pep chromosome:LupAngTanjil_v1.0:LG08:25300005:25301285:1 gene:TanjilG_23348 transcript:OIW05562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDAHVSKTNLSNSTPCFSALSSSSSNNSISCSNSETDESPLSSQRFSIQNVNYQFSTKCISMPNSHSHRSLAVLSGHVGPVSCLAVCGEFILSASQGRDIIVWQKPDMRFFAKFGHGDGSVKALVSIGNKVFTAHQDSRIRVWKVSRSSENVFKLVDTLPTTKDYWGKFMKQSNYVQTRRHHKHLWIEHADSISCLTVNNGLIYSGSWDKTLKVWRLSDLKCLESIKAHDDAINGLAACKGIVYSASADGKIKAWGKEGKKDSHCLKGVLEGHKDVSFNSVVVSDDGKWVYGGGSDGFVIGWEGNGSFENWKLVSETKAHNMAVLSMCLMGGFLCSGSADKNIGIWKREAFGKLCKVDVISGHEGPVKCLQASSNRIDGGFMLYSGSLDRSVRVWWVPRNNNNAQVEEENSPATLSTLNQSIISC >OIW06601 pep chromosome:LupAngTanjil_v1.0:LG08:9885508:9886197:1 gene:TanjilG_03995 transcript:OIW06601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSKPFSIESFSYSWLEELNPSLESQDGSLRTSLDASDEAPFIELDPKMPPSKRFFNTSHASISKYDFPISHSPLTLVHADELFSNGYLMPLFDESLKMELYEASHSNPNLPSTLLAPKTVIPKRHSRCFSLKRCRSESRRVFQKYLNYLRTLCRRLKGHKAGSNSKNVGKKAEAVKNMGYYSETSPRISVAYSADDWRRSCDSESSIHEAVLHCKRSIGMCKFNNGY >OIW07029 pep chromosome:LupAngTanjil_v1.0:LG08:6196446:6202086:-1 gene:TanjilG_02663 transcript:OIW07029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTDAISNQHVQFYLATKDGKVLVQGIKNTRLVHYNDTVSFQSLNPNGNLISNSGSVSCKDKAIATRLNIWDTEYLIQCHPIDIMGKKSVYILAVPQNGSVNFDLNHYKKKGLQLMIAMIVMILIAMFSFLFINVRATRREMQLCASLIKQMEATQQAERKNMNKSLAFASASHDVRTSLAGLTGLIEMSYELVTPHSELETNLKQMNNCTRDLLGLLNSILDTSKIEAGKMHLDEEEFDLSYLLEDVVDLYHPVAMKKGVDIVLDPCNGSLMRYSSVKGDRGKLKQVLCNLLSNAVKFTDEGHIAVRAWAQKPNMQNSIMATNQYSFTKYLSCLFYKKNETHDDIEAAKIPIQQDPYSIDVTFEVDDTGKGIPKEKYKSVFENYVQVKETALGQGGTGLGLGIVQSLVRLMHGDIGIMDKDIGEKGTCFRFNVLLTVCETVTNVSTKEGSEYGSGDRNSGQGLIIRTTSSGSSICNSLSPRLHICSSTRRLQGSHVVLLIIDKERRRISQRFMESLGIEVKVVRHWKHLFDTLKHINQKGSHSSNQSSPVSSELSFRSISHSSFARTKAFPLSSMDGTESMPPVLKKNDTIGAATGFILIVIDANAGPFSELYRVVSIFRKGLFNPCKIVWLNKPLFHGNNFKTTLNKDLLDPNDIVISKPFHGTRLFQVIKLLPEYGGAWQSSFWRSKKENANEQIGGKICRVASLSKQKSPLMDRSQSEPSTDRRSCQSVEQMKKGTQECGDSINNKSLSGKRFLVVEDNALLRKLALATLFPLGVTIEQCENGEQAVQLFEEGLARRDLPYPPYDYILMDCEMPVMDGFEATRQIREMERPYGFHIPIIALTAHTDNVATEDGMDFHLVKPIKRQHLLEAIRYIQLKY >OIW06535 pep chromosome:LupAngTanjil_v1.0:LG08:10960013:10960336:1 gene:TanjilG_29956 transcript:OIW06535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIMNLNTKHSYKLYVKKATRIIRKQQQQRRRRRRRSFKPMKFKLSQKLQELNNLIIPSHSGDIVKLDQLFKETADYIVLLRTRVVVMQKLIEFYGNNHENENAILL >OIW05768 pep chromosome:LupAngTanjil_v1.0:LG08:23930880:23934664:1 gene:TanjilG_23554 transcript:OIW05768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKGSGHINDKETAFHNPSEIEPKRPHEWLVDAAEVDFFPKKKQAVEDANGKSSSGFLSAKFSHWENNPNFHSIPNICQLFGSENRPVNFAENNTSYVPDGDSNVRPKMVDNQYGDGPSFGLSISHPVEDSEACLNFGGIKKVSVNQVKDSDGVQTSKEHHSNRQSNGDLHLAFNGEDKPKSASIGQAFDKDGDVALMGLTYNRREACIRSFGAPYGKGDNTVISIDDSYDKEDTNIISFDGFPDEQDINSVGRPDIDFVQFYNQSSVHVSTAREKEVDASNFDAVVGTPQPVKFKSETLSKNKQEFKAARKEAPNSFPSNVRSLISTGILDGVPVKYVSVAREELRGIIKGSGYLCGCQPCNYSKVLNAYEFERHAGFKTKHPNNHIYFDNGKTIYQVVQELRSTPQSLLFDTIQTAFGAPINQKAFRNWKGLSYDTNETVLRDAFGQHGEIIEAKVICDHVTGKSKGYGFVRFISEFEAVTSRKQMHGQMLDGRRIRVSYAHKA >OIW07355 pep chromosome:LupAngTanjil_v1.0:LG08:849381:852583:1 gene:TanjilG_10190 transcript:OIW07355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDTLRDIIKLVEVGQPQSLSNLDSYLCENSISKVNKNEGLQVEGSRDMEYIKMSASDIVELLMDMNQWSREFFNIVSSTTMVGTLLDGAQGSTDGKLHVMSAELHLPTPFAPSRECYFARYCKQLSQHIWGVVDISLEKFFPSPSNNFRKRPSGCLIEAMPNGFSKVIWVEHVEANHDQVNKQFRPLVTSGFAFGATRWLSSIVQHSEWLETLKGPTLVADNGDRMMRTFVSDINTSTRNSWIQIPIIPGSADVKFIVKNNSDEIGKPIGTSVVFTTSLWVNASPNQLFNFLRHGSSRKKTSEDKTEIFYIQKSYTDSMASYVVYAPLDESSLKGLGNGSNPNIVMILPSGFVICPSGFPRNDVDDKNNSGGSILTIAFHIVESSSIRSFIPSESVETLYKVITDTVSAISDAVVYNNMYKTWLM >OIW06272 pep chromosome:LupAngTanjil_v1.0:LG08:16489762:16490070:1 gene:TanjilG_19710 transcript:OIW06272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQDARRGTNLAKGHKATLTEAHALSSVERIRHGIGRRTNSNRATYIILGAGHMGQGRWAHGRSDRGMSTNQAQVGCVHQVRRWAWHTGQLGVAWHHFPHWA >OIW06339 pep chromosome:LupAngTanjil_v1.0:LG08:14182496:14182832:-1 gene:TanjilG_14984 transcript:OIW06339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLIQLLHILRIRNLTVIGQMDTVRVVKKLKKVGKVDIISVGPAKEEKKEERKEEKKEEKKEEKKEKK >OIW06069 pep chromosome:LupAngTanjil_v1.0:LG08:20319917:20325076:1 gene:TanjilG_29825 transcript:OIW06069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNKNLEKMASIDAQLRQLVPAKVSEDDKLVEYDALLLDRFLDILQDLHGEDLKETVQEVYELSAEYEEKHDPEKLEKLGNLITSLDAGDSIVFAKAFSHMLNLANLAEEVQIAHRRRNKLKKGDFADENNATTESDIEETFKRLVGELKKSPQEVFDALKNQTVDLVLTAHPTQSVRRSVLQKHGRIRDNLSQLYAKDITPDDKQELDEALQREIQAAFRTDEIKRTPPTPQDEMRAGMSYFHETIWKGVPQFLRRVDTALKSIGINERVPYNAPLIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMAAKLYYSLIEELMFEMSMWRCNDELRARADEINRTSKKDAVAKHYIEFWKVIPATEPYRVVLGEVRDRLYRTRERSSHLLAQGYSDIPEEETFTNVEEFLEPLELCYRSLCACGDRAIADGTLLDFLRQVSTFGLSLVRLDIRQESDRHTDVLDAITQHLGIGSYQEWSEEKRQEWLLSELSGKRPLFGPDLPQTEEVKDVLDTLHVIAELPPDNFGAYIISMATAPSDVLAVELLQRECHVKHPLRVVPLFEKLADLEAAPAALARLFSIEWYRNRINGKQEVMIGYSDSGKDAGRFSAAWQLYKAQEELIEVAKKFGVKLTMFHGRGGTVGRGGGPTHLAILSQPPETIQGSLRVTVQGEVIEKSFGELNLCFRTLQRYTAATLEHGMHPPISPKPEWRALMDQMADIATEEYRSIVFQEPRFVEYFRLATPELEYGRMNIGSRPAKRRPTGGIETLRAIPWIFAWTQTRFHLPVWLGFGAAFKQVIEKDVRNLHTLQVMYNQWPFFRVTIDLVEMVFAKGDPGIAALYDRLLVSEDLWPFGEKLRTKFEETKQLLLQVAAHKDLLEGDPYLKQRLRLRDSYITTLNVCQAYTLKRIRDPNYNVKLRPHISKESVEISKAADELVKLNPTSEYAPGLEDTLILTMKGIAAGLQNTG >OIW05721 pep chromosome:LupAngTanjil_v1.0:LG08:24228981:24232976:-1 gene:TanjilG_23507 transcript:OIW05721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTTAPCGDSVSTFQTPTLDTESHELLHSISEHGGYAYVSMAAMAAAGDFCAAEAAREMAWEQLHSGPWHSVLPVWRDAYSMACLHVAKHHYENGEFEKSLRVLDMGIIMGGTLLGKDLHYAVGKVSEKARSVRVSEGRCEDLENSENRLVDQEFDVSKVFQLLPVKSLTSKIVVKRSALSLEGFLKDHYLSGSPVIISDCMAHWPAKMKWNNIDYLQRVAGDRTVPVEVGKNYLCAEWKQELITFSEFLQRIRSNGCSPGGPTYLAQHPLFDQVVGKKYIRLYSASFSEELFPYPDTMLCNSSQRLGLGAMVK >OIW07110 pep chromosome:LupAngTanjil_v1.0:LG08:5303478:5307370:1 gene:TanjilG_02744 transcript:OIW07110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAMVEDTSFEDDQLANMTTDDIARASRLLDNEIRVLKEELQRTNLELESYKEKIKENQEKIKLNKQLPYLVGNIVEILEMNPEDDAEEDGANIDLDSQRKGKCVVLKTSTRQTIFLPVVGLVDPDKLKPGDLVGVNKDSYLILDTLPSEYDSRVKAMEVDEKPTEDYNDVGGLEKQIQELVEAIVLPMTHKERFQKLGVRPPKGVLLYGPPGTGKTLMARACAAQTNATFLKLAGPQLVQMFIGDGAKLVRDAFQLAKEKSPCIIFIDEIDAIGTKRFDSEVSGDREVQRTMLELLNQLDGFSSDDRIKVIAATNRADILDPALMRSGRLDRKIEFPHPTEEARTRILQIHSRKMNVNPDVNFEELARSTDDFNGAQLKAVCVEAGMLALRRDATEVNHEDFNEGIIQVQAKKKSSLNYYA >OIW07103 pep chromosome:LupAngTanjil_v1.0:LG08:5359752:5361730:1 gene:TanjilG_02737 transcript:OIW07103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGGEEKRKRIVIIGFSTLLLVAMIVVVIMGISNETEFNDDNDDDIEDNQKHVASTMKAVKTLCYPTDYKKECEENLMPAAGNTTDPKELVKIAFNVAISRIGDKLKQTEILHELENEPRAKLALETCKQLMDLSIDEFLRSLDQIREFDLINVDKIFTSVKVWLSGAITYQDTCLDGFENTTSEASKKMKDLLTTSMHMSSNALAIITNMADTIADWNVTRLLGGRRLLEESKNENSFNLPTWVDDAASVHKILAETPFKIKPNVTVALDGTGDFKSINKALKKVPSDNEKPFVIYIKKGIYHEYVHVTKDMTNVVFIGDGGDKTRITGNKNFIDGVNTYNTTTVAIQGDHFIAINMGFENSAGPHKHQAVAIRVQADKSIFYKCQFDGYQDTLYAHTHRQFYRDCIISGTIDFIFGDAIAVFQNCTFIVRKPMSNQNCIVTAQGRKDRHQPTGIVIQGGSIVADPMLQAAKLDHKSYLARPWKNFSRTIFMDTFIDGFIDPEGFLAWQGEQGPMHMNTCFYSEYHNYGPGSDKSKRAHWAGIWNLNSKAAHLFQPSKFFHGDDWIEDAGIPYFSGIPKHYRHKMTVRNWLPEKEDKEDKKEDKKDKEEKKDKEDKKN >OIW07119 pep chromosome:LupAngTanjil_v1.0:LG08:5225497:5226986:1 gene:TanjilG_10092 transcript:OIW07119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCCCDEDDTDILTHLMISNFPSSSSASPSSPSSSPTPSTVISPMNSHFSALSSTDILRLIFQNLPISDLARASCVCRVWNSVASDREIVTKAFIAPWKLKDLVRDPVSRSFWRDNNIGKFAISHRIVRGDSVASLAVKYSVQVMDIKRLNNMMSDHGIYSRERLLIPISNPDILINRTCFIELDVHAKREVAVLYPNDVPDRRNWCLSTRVSSEESKKRVVDSLKRSMQVDNETAQYYLSVSNGDPRAALAEFSQDLRWERQAGRS >OIW05954 pep chromosome:LupAngTanjil_v1.0:LG08:22558790:22560727:-1 gene:TanjilG_07230 transcript:OIW05954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKLNANNVEYSIRAFPLGGFVGFPDNDPESDIPIDDKNLLKNRPILDRVIVVSAGVIANIIFAIVIVFVQVIAVGLPVPEVFPGVVVPEVKPFSAASRDGLLPGDVILEVNGNEFPKPGPSAVSEVVDVIKKNPKRYVLLKVKRGEQNFEIGVTPDENYDRTGKIGVQLTPNVKIAKVRPKNLMEAINFTGKEFWGLSSNVLDGLKQTFFNFSQTASKVSGPVAIIAVGAEVARSNIDGLYQFAALLNINLAVINLLPLPALDGGTLALILVEAARGGRKLPLETEQRIMSSGIMLVVLLGLFLIVRDTLNLDFIKEIL >OIW07179 pep chromosome:LupAngTanjil_v1.0:LG08:4510071:4520761:-1 gene:TanjilG_10152 transcript:OIW07179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLLSLHTPHTFSYAPFSFKSNRFFSPRTTVVVSAAVSSPEKRTRKKKQLNKDDESSLENNLRYTFMENLMDRARNRDSAAVTGLIYDMIAAGLNPGPRSFHALVVSHVLNGDEQAASLSHSFICYVALGELIRNKYLEDANQVFLKGAKGGLKATDEIYDTLIEENCKVGDHSNALDISYEMEAAGRMATTFHFNCLLSVQVSLVSIPLICVNCVFFFFFFLCFCIDFMKPDTETYNWVIQAYTRADSYDRVQDVAELLGMMIEHHKRIQPNVKTHALLVECFTKYCVVREAIRHFRALKNFEGGTKVLHNEGNYGDPLSLYLRALCREGRIVEMLEALEAMAKDKQTIPPRAMLLSRKYRTLVSSWIEPLQEEAELGYDIDYIARYVAEGGLTGERKRWVPRRGKTPLDPDADGFIYSNPMETSFKQRCLEELKLHHRKLLKNLQNEGLAALGDGASESDYIRVRERLKKFIKGPEQNSLKPKAASKMLVSELKEELEAQGLPTDGTRNVLYQRVQKARRINRSRGRPLWVPPVEEEEEEVDEEVDELISRIKLQEGNTEFWKRRFLGEDITSNNGKPMDAGKSESDEVSDDVDAVEDSAKEVEDDEADDDEDDEEVEQVEEEVEQAENQDVDRVKQKEVEAKKPLQMIGVQLFKDSNQPTSSKKYKKTSRRLLVEDDADDDWFPDDIFEAFKELRKRKVFDVSDMYTLADAWGWTWERELKNKPPRRWSQEWEVELAIKVMQKAICSSLLNENMGYMLQVIELGGTPTIGDCAIIIRAAIRAPLPSAFLTILQITHSLGYKFGRPLYDEIISLCLDLGELDAAVAVVADLETTGILVSDETLDRVISSKQRISNTSNYNNNNIIDV >OIW06070 pep chromosome:LupAngTanjil_v1.0:LG08:20329472:20332357:1 gene:TanjilG_29826 transcript:OIW06070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEAMKQVAKLDVELSVEERNLFSVGYKNVVGSRRASWRILSSILQKEESKGNELNAKRIKEYRYKVELELSKICSDIMIVLDEHLIPSTGIAEPTVFYYKMKGDYYRYLAEFKDGDEKKEVGDQSLKAYQTASTTAESELPPTHPIRLGLALNFSVFYYEIMNSPERACHLAKQAFDDAVSESDAMNEESYKDSTLIMQLLRDNLTLWTSDIPEDGGDQKLESIERSGAGEDEASR >OIW06411 pep chromosome:LupAngTanjil_v1.0:LG08:13349925:13355684:1 gene:TanjilG_16823 transcript:OIW06411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDETKFEQKLNLWALRIPCKLCKLATRILNGYLFDKPRVKPVVEDPLCQKNRYLVLSDKVKSQDLSDIPEKKLDELKGLCEFEVVPYSLTLGYSYWSAGHIAHLNLHDELLPYKDVIAKVIYDKNYPRIKTVVNKVGTITNEFRVPEFEVLAGEHDMITEVKQYGVTFKLDFSLVYWNSRLEHEHSRLVSQFKPGETICDMFAGIGPFAIPAAQKGCIVYANDLNPDSIKYLKVNAKINKVNDHVFTYNMDARKFISQMMEVPNPEIKLESNVPILETCETCEIQNHVDLNSENEKLTADLGHSINSSMENLQSSTTNAATSVIAVKRSFSSCHEENGNVHDTGIVESGGAKGRSNKRKKGSQISDTKTWEHIDHVIMNLPASAIQFLDALSGLIQKKYWKGPLPWIHCYCFIRATETPESIISSAESLLNAHIQESIFHKVRDVAPNKVSATQQHF >OIW06517 pep chromosome:LupAngTanjil_v1.0:LG08:10804970:10807386:1 gene:TanjilG_29938 transcript:OIW06517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGTLGVRKGAWSNFEDDLLKACVQQYGEGKWHLVPKRAGLNRCRKSCRLRWSLIAGRLPERTPNDVKNYWNSNIKKKLSSNKEDVNARPKEIVMEPHIVIKPQPRTISRTSPLLRGKVIKEDESENKQCNVSSETCAAASLECNINWLGTLLEENGSFIENDTCLLGEQDATPLEDLSWDKEHFSLTTTEIEDFLKEDQSWSDVIDFKW >OIW05782 pep chromosome:LupAngTanjil_v1.0:LG08:23809777:23815928:1 gene:TanjilG_23568 transcript:OIW05782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDQGERTCPLCAEEMDLTDQQLKPCKCGYEICVWCWHHIMDMAEKDDTEGRCPACRSAYDKEKIVGMASNCERLLNGINVEKKMKNQKAKSKSSDGRKQLSSVRVIQRNLVYIVGLPLNLGDEDLLQRREYFGQYGKVLKVSMSRTAAGVIQQFPNDACSVACFGTTKYCHAWLRNVPCNNPDCLYLHEIGPQEDSFSKDEVISAYTRNRVQQITGATINTQRRSGNVLPPPMDDSVSNSSGKPIMESASSNTVSTVRGSPPNGMYGRPVTLPASAAWGTQATNCQPGAEDVSCPNGSSNSKPDTVRNILDFSAVVAGTIQAPALHSDITKRPHSGDGSSTMMPRVKNESFKPAKQYNSMDTMASAGERTLTSDDSHVPVNLNGQLSSMPFPLDSGRGSCTTANTVNSTALTGQSHSSDPEEAITTTNEEIRHLSGELSSINIDRNDANEPCGISKPSSPLPDDVLIKSPQILELQFSADRFRDVIITNGAVKAATSDNGVCNSMDQSQWGFDSQSQVLSNIAEMEDDVTSFDNQRLEDPEVVCHSYLPNSTSLLHVSNHSTPRILQHAEPFTAVNAGSLSADDRVRDESLLQSSSLSCNGYPEKLVSGSSYGLPHDKSSGRSMGRLVSELVNAGHDAAIDKGESCIISNILSMDFDAWDDSLTSPHNLAKLLGDNTDNQSGPLKKSSSWKLQSNNQSRFSFARQEEPKIQNFDVHPSYTVSQQQQKRHPFIQDFAERDLYTDKYGIANGFPSSNFEQAENIGSGHFVASSNMLSAISRAQVSAPPGFSVPSRPPPPGFSSHERVGHAFDSISGNSLLDHSSLRNSYQTPSAGNIGNAGDVEFMDPAILAVGKGRHQSALNSPAMDMRSNFMPQLNQFENDARLQLLMQRSLSSQQNPRYPEIGDSFSQLGDSYGISSRLDQQVNNLAPFHRLSLQQSTNAALSNGQWDGWNGVQTGNSLEVAELLRNERLGFNKFYSGYDDSKYRMPNSGDLYNNRTFGM >OIW06600 pep chromosome:LupAngTanjil_v1.0:LG08:9879547:9882686:1 gene:TanjilG_03994 transcript:OIW06600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTYSSKKWRFSCFKHEDVPLEVTGSEFKEEKLSEDLVKLESDHSEELNKDWLATLHKVIASILNVEPWTVPWTAKTIVQVMLLWIASFWFIGSWIVPFLAYTAGFRKECLTYRGQALYSLLTDVVEGVVGIALLHRCLEKFKPLSPDWFKFELKGKWQFDVGLGCLMFPVINHLSQVNLNLIPVLQSTPVSNTISSVEQSIVARDPVAMALYAVVVSVCAPIWEEIVFRGFLLPSLTKYMPVWCAILISSIAFALAHFNIQRMLPLVFLGMVMGSVFVRSRNLFPSMLLHSLWNAFVFLDLMK >OIW06370 pep chromosome:LupAngTanjil_v1.0:LG08:14837212:14840961:1 gene:TanjilG_15015 transcript:OIW06370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNKMSSYFEEKVPCCEPHFWVILTLSSCFVLFAAITSGLALALMSFSHVDLEVLVKAGQPHIRKHAARIMSIVKNEHLLLCTLLLAKSIAMEGVSVIMEKMFPEWVAVLISATLLGIIAEVIPQALCSRYGLSVGATMAPFVRVLMLIFCPIAYPISKLLDWVLGKGETALLGRAELKTLINIHAKESGKGGELTLHETTIIAGALDLTQKTAKDAMTPISETFSLNINSKLDMHTMGLIMSKGHSRIPIYSGIPTNIVELILVKNLIFCRPEDETPIKHLTIRRVPRVGENWPLYDILNQFQKGQCHMAVVVKCDENIRTAATNAQGKILRLCSSIEPQEYISISTDVSTHQSYETEYYSATLKNVMLEKGDSDPLYRRSEQPDSSTSFANSLQINEEVIGIITLEDVMEEILQEDILDETDQYVDVHQNITIKLQHPRRGASGSSRRTSTASRVHFFSPTYVAPISPSNQS >OIW06327 pep chromosome:LupAngTanjil_v1.0:LG08:13972081:13974372:-1 gene:TanjilG_14972 transcript:OIW06327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRDLESGGETKNNRALNNNNYNNYSAARNSYVYDPETRWISWLVPFFVLVNVVAFVVAMYINNCPTENQGNCVAKFLRRFSFQPLSENPLFGPSSLTLTKMGALKWDSVVNDHQGWRLISCIWLHAGLIHLAANMFSLVFIGIRLEQQFGFVRIGIIYLLSGFGGSVLSSLFIRNNISVGASGALFGLLGAMLSELITNWSIYSNKVAALLTLVVIIVINLAIGILPHVDNFAHIGGFLTGILLGFILLLRPQYGWLEQQHLPAGTRRKSKFKAYQYILLIVSLVLLIAGLVIASVMLFRGENGYDHCHWCHYLSCIPTSQWKCNDS >OIW06891 pep chromosome:LupAngTanjil_v1.0:LG08:7579054:7579951:1 gene:TanjilG_19540 transcript:OIW06891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNALASEWSSGCESGWTLYLEHSYRGSDASYSDEFYEDHKDKGTKEQEYEEEDLSMVSDASSGPPHIPYDEAYLNEEENNGGFYSESNAVNLPKSGKKKQKVKENQQLLPSFLHDTASSCVFDLSTNEVDVNNQQTSTESMLDYSQGFSANYIKGRSTFHQHLDFIKPSLSENEYHYQGNDIWYGGKGIWMR >OIW07314 pep chromosome:LupAngTanjil_v1.0:LG08:1967775:1976507:1 gene:TanjilG_11948 transcript:OIW07314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIRLLLKYLVSKLRVENESEIEITCRGQQLLPFLTLQHVRDNIWTLRDTTRTLLSDSSSTMDHVMVLHYGRSIS >OIW06544 pep chromosome:LupAngTanjil_v1.0:LG08:11094187:11096313:1 gene:TanjilG_29965 transcript:OIW06544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRYVISALCVLVLFSYACAYDPLDPTGNITIKWDVMSWTADGYVATVTMYNFQMFRHIIQPGWTLGWTWAKKEVIWSVVGSQTTEQGDCSKFKGNIPHCCKKTPTVVDLLPGVPYNQQFTNCCKGGVVAAWGQDPSQAISSFQLSVGQAGTSNKTVKLPKNFTLLAPGPGYTCGPAKIVPSTNFLTPDKRRKTQALMTWNVTCTYSQFLARKNPSCCVSLSSFYNDTITPCPSCACGCQNKKNCVKSDSKILSMVGVHTPKKDNEPMLQCTHHMCPIRVHWHVKQNYKDYWRVKIAITNFNYRMNYSLWALAIQHPNLNNVTQVFSFDYKPLVPYESINDTGIFFGMKYFNDLLMEAGPTGNVQSEVLLQKNMDTFTFKQGWAFPHKVYFNGDECMLPPPDTYPFLPNSAPARLLALPAFIFSLLFFLAVW >OIW06107 pep chromosome:LupAngTanjil_v1.0:LG08:20808804:20810228:1 gene:TanjilG_29863 transcript:OIW06107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKIESQLVFLPSPGIGHLISTIEFAKLIINRDHRLSITILVMKTPLQTVTPSFTDSIFSTKRLHVINLPQPQITNSDLNAPGSFITTLIHTYKPYVRDHVTQLVNSPHSPRLGGFVVDMFCTPMIDVAREFHVPAFVFFTSSAASLGLVLHLYTLRERDGFNATLLKNTDTELVIPSFVNPVSAKVLPSFVLNKEWEPAFLILGEGLKKADGIIVNSFEELESHAVHFLNLADNNNIPIYPVGPILKINDDNDVVIVKWLDDQPHSSVIFLCFGSMGSVHEDQVREIARALEDSGARFIWSLRKSPPKGLTNMAQPTEYAPQELIDVLPYGFLDRTAETGRVIGWAPQAQILAHQATAGFVSHCGWNSTLESIYFGVPIATWPLHAEQQINAFQLVRELNMAVEITLDYRVEFKVGSNTLLGADKIERGIRDLMENDEVKKRVKDMSDESRKTLTLGGCSYSHLGRFIDSIIN >OIW06033 pep chromosome:LupAngTanjil_v1.0:LG08:22051472:22056366:-1 gene:TanjilG_11720 transcript:OIW06033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQILSPNNSSSSNGNDHSGNDIINWFEDVSKNAGSVQTQMLSQILKQNFGVEYLKKWLGDYNIQEMDACALESLFTSVVPLSTHADFEPFIQRIADGDTSPLLTQQPITTLSLSSGTTDGRQKFLPFTRHSAQTTLQIFTLSAAYISRVYPIREGGRILEFIYGSNRFKTKGGLTVGTATTHYYTSEEFKIKQEKTKTFTCSPDEVISGGDYKQTTYCHLLLGLFFSEQVEFITSAFVYSMVQAFHSFEEVWREICSDIRNGTLSSRIKSPKMRNAVLNIISPNSHLASKLEVACYELEVVDWFGLIPKLWPNAKYLYSIMTGSMQPYLEKVRHYANGLPLISAGYGSTESWIGLNVDPSLPPEKVTFTVVPTFSYFEFIPLYRHQQGCTSDADDFMEEKPIPLSQIKVGQDYELVLTTFTGLYRYRLGDVVEVTGFHNGTPKLNFVCRRKLILTVNIDKNTERDLQLVVEKGSKLLRKAKAELVDFTSYADISAQPGHYVIYWEIKGEVEDKVLHACCSEMDISFADHGYVVSRKTNSIGALELCIVEKGTFKKILDNFIANGAALSQFKTPRCTNNHDILRILNTCIIKKFLSTAYTSNQPSNI >OIW06381 pep chromosome:LupAngTanjil_v1.0:LG08:15010798:15014088:1 gene:TanjilG_15026 transcript:OIW06381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFFGGSEISPSPPAPAASGNNGHMMYVFNRNGICLLYREWNRPLRTLNAQQDYKLMFGLLFSLKSLTAKMDPTSAEKGNLGVPQLPGQGCSFHSFRTNTYKLSFMESPSGIKIILVTHPRTGDLRESLKYIYNLYVEYVVKNPLYTPGSPIR >OIW05644 pep chromosome:LupAngTanjil_v1.0:LG08:24757585:24759552:1 gene:TanjilG_23430 transcript:OIW05644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSIVKEILASPIQMADQVSKMADEVQNFRQECLELKAKTEKLAALLRQAARNSNDLYERPTRRIIEDTEQVLDKALTLVSKCRANGLVKRLFTIIPATAFRKTSMQLENSLGDVQWLLRVSASADERDDEYLGLPPIAANEPILCLIWEQVAILLSGASLEERSDAAASLVSLARDNDRYGKLILEEGGVPPLLKLLKEGKMDGQENAARAIGLLGKDPESVEHIVNAGVCSVFAKILKEGHMKVQLVVAWAISEMAAHHPKCQDHFSQNNAIRLLVSHLAFETIEEHSKYAIVSKQNMSSIHSVLMASNDPNKKNLQENDDKKMAHPTANQTTSQMHSVITNTLAIGGQGDQRSQQLNPMNQRGNNNVKANNAVVKHNNNQQGNSHVSIAGTSIKGREYEDPETKGQMKAMAARALWQLCRGNVTICRHITESRALLCFAVLLEKGYEDVRSYSAMALMEITYVAEQHAELRRSSFKPNSPAAKAVVEQFLKIIDKGDSDLLIPCIKSVGNLARTFRATETRFIAPLVKLLDEREAEVTTEAAIALNKFACTDNYLHETHCNAIIEAGGAKHLIQLVYFGEQMVQIPSLILLCYIALHVPKSETLAQEDVLIVLEWCTKQAHLIGDSSIETLLPEAKSRLELYQSRGARGFH >OIW07016 pep chromosome:LupAngTanjil_v1.0:LG08:6348913:6349353:1 gene:TanjilG_02650 transcript:OIW07016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGITVNVFKGLKEYLGRRGYHRVNGSGRRIKVVELGSTRTRRWKIKITPKIRINRIPSPKKLLVWLRDAYVRMMMGLANTRVMSVSASASGFGGGAIASGFVRDPPPKEYDEKMIIQIYKSLVMGQGQLVPCDAARMAARISCRR >OIW05722 pep chromosome:LupAngTanjil_v1.0:LG08:24225018:24227244:1 gene:TanjilG_23508 transcript:OIW05722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDATTTTTTSVFKDKNNNNGKHEITRQEIQSAIAKAVELRALHEALMRGNSPANARFTTPSPAPRSVSHFSAHDYPLFTPSDEYDQACNQNSMKSRTISETWDENGLERGNNIETMVADYKEKSSSRKGLSHICPADDSTSVTDSCANQITVLQTSPANDYFNCRRTNSSEDFKSMSIVTSEFENTRNSKSSNVDVSVTEPHTKSKGVISRLFPRLKKKSKNENSPSRAESEDVSQVLKDLGIMSVETLKKELVEAHENRDLALMEVSEMKSSLGELKQKLEYLENYCGELKKALKEGMKTRDSQVCEQLNNLPQRGKSFHENLMPVSEEVMVEGFLQIVSESRLSVKQLCKTLINNIDETDHSLTQNLNFLLQPYKLSLNSKYSKAVLYHFEAFINHSLYQDFENCVFQMNGCPKFLDPQQDFQAQFSSFVSLRNLSWNEVLKKGTKYYSEEFSMFCDHKMSCIATSLNWTRPWPEQLLQAFIVAAKCTWLLHLLAFSFNPPLRILRVEENRNFDSHYMEDMCPRSQGPSRVKIMVMPGFYVQEKVLRCKVLCRYKSAA >OIW06308 pep chromosome:LupAngTanjil_v1.0:LG08:15535519:15536106:-1 gene:TanjilG_17682 transcript:OIW06308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLVMLPLILFFLASFPSKAKGSLLPFIDSPGNLLADLWSDRFPDPFRVLEQIPFGVDKYEQSSMALSPTRVDWKETPEGHVIILDMPGLNKDEIKIEVEGNRVLRVSGERKKEEEKKEDHWHRLERSCGKFWRQFKLPENVDLDSVKAKLENGVLTLTLNKFSPDKIKGPRVVSIAEDDEKKAKIEGNENKQEL >OIW06248 pep chromosome:LupAngTanjil_v1.0:LG08:17759548:17759742:1 gene:TanjilG_23305 transcript:OIW06248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRVLKITTPQNVTLSGYIFGKIMQNVTPSWGKTSDINYGMTSWYQTNEDSANLNFQSFTKFKK >OIW06922 pep chromosome:LupAngTanjil_v1.0:LG08:6670763:6672248:-1 gene:TanjilG_18310 transcript:OIW06922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKEKNRIFVGGLSPDVTERELEHAFNRYGKILECQIMMERDTGRRRGFGFITFEDRRGMEDAIKEMHGQEIDDHIISVNKAKPRPNPRPKMGGDDYADQGYSATRVGYGRGDRVGQDDCFKCGRKGHSARDCPRFRGERDQYADDRRYGDSYHYMSHRYPTNGDRFASDWYGGGGSDYRYGTERGYDWYNGPRGGAGRYGSEMAGRDEGRNYRGRPGPYDRPSWGGGRPSSFGRY >OIW07104 pep chromosome:LupAngTanjil_v1.0:LG08:5352996:5357944:-1 gene:TanjilG_02738 transcript:OIW07104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSAILTNQNTCLDGFHEVTPYPRITTALLNSLSDGIKLYSISLALFTHGYVSGHGTGKLTFERKLLQNTSIDNNVVVREKVVVNLDGSRDFTTINDAVEAAPNNTGTNNGYYVIYVVTGVYYEYVSIAKSKQNVMIVGDGINRTVITGNRSVIDGWTTFQSATFAVVGEGFVAVNISFRNTAGSNKHQAVAVRNGADMSTFYNCNFEGYQDTLYTHSFRQFYKNCNIYGTIDFIFGNSAAIFQDCFMYPRLPMQNQFNAITAQGRTDPNQNTGMCIQNCYIVASYELGDATNNYNKMKTYLGRPWKEYSRTVYMQSFIDKLIDPKGWMEWSGNFGLSTLYYAEFGNWGPGSNTSKRVTWEGYHLINGNDADHFTVYKFIQVMATSIVLSIKQNENSSKDYDHNKTHAVSTMKVINILCQPTDYKKECEESLKAEANNTTDTRKLIQIAFNITIKRIGNGIKKTNLMHEVEKDHKAKMALDTCKQLMDLSINEFNRSLERIRRFHINDFDNILTSLKVWLSGAITYQETCLDGFENINSEVGEKMRDVLTKSMQMSSNALAIIYGLSKTLSDVNGSNVAARGLIQGFEDQQVLNHDELHPSWVDGGSAVRILLHTNLDNLKPHVVVAKDGSGKFKRINEALKMVPKKNQKPFIIYIRKGVYHEYVEVTKQMTHVVFVGDGRKKTRITGNKNFIDGINTYRTATVVIQGDYFVAMNMGFENSAGPHKHQAVAIRVQADKSIFYKCSFDGYQDTLYAHTHRQFYKDCTISGTIDFIFGDAIAVFQNCTFILRKPLKTQNCIVTAQGRKERYQPSGIVIQGGSIVSSREYHSVRFKNKTYLARPWKNNSRTIFMDTYIDDMIQCNGYVPLQGPKGTFSSKDTCLYAEYNNIVPGKNKSKLGKCNGIKKLTKQSASSFLPSKFFHEDDWIKVTRIPYQSGMASTKH >OIW06566 pep chromosome:LupAngTanjil_v1.0:LG08:9625861:9630047:1 gene:TanjilG_03960 transcript:OIW06566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVSYRVKKIDNKTSKRSCIKSFFTTHDSPPPSDQIRSTEEKTILHSVTGIAYPGEILAILGPSGSGKSTLLNALAGRLHGHALTGTILANSSKLNKPVLRRTGFVTQDDILYPHLTVRETLVFCSMLRLPRTLPRETKLAAAESVMAELGLLKCEDTIIGNSFIRGVSGGERKRVSIAHEMLVDPSLLILDEPTSGLDSTAAYRLVTTLGALAKKGKTVVTSVHQPSSRVYQLFDKVLVLSEGQCLYTGKGSEAMRYFESVGFAPSFPMNPADFLLDLANGVCHVDGVSERDRPNIKQNLVHSYNTILAPKVKADCMDTASIPNKNTHPLRSNPSKQCRHSDRVSLFDWFNQFSILLQRSIKERKHESFNTLRVFQVLAAALLAGLMWWHSDYRNIQDRLGLLFFISIFWGVFPSFNSVFAFPQERAIFMKERASGMYTLSSYFMARIVGDLPMELILPTVFLSVTYWMGGLNPDLWSFVLTLLVVLGYVLVSQGLGLALGAAIMDAKQASTVAAVTMLAFVLTGGYYVHKVPHYMAWIKYISTTFYSYRLLTKIQYGNGNKILSLLGCHHGGSDRARCKFVEEDVVGQIGTVGSIGVLFLMFVGYRILAYLALRSIKS >OIW07212 pep chromosome:LupAngTanjil_v1.0:LG08:3589706:3612758:1 gene:TanjilG_06339 transcript:OIW07212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKDKVEEVVDMKSCGLWRCSEKVTTWRGDLITPVVEESEEDDVGRRRLRSCFVKYIDITISPSMEMRKVEILTLRNKLKALCTYGDDGEDGSSYAIFWSFHPQNPLIIGKAAFTGKQWVHSDDQNQRWNLSGQNIFETTVAIPIKACGVVQFGSRKKILENVEFLEQTQRLLMEMDNVGMVDMSGNAVSPLDCEDYDLNSLLASFSSENLYDSTHKYAHSENSEDIMRKVYSSEKTLSGEASCNPLNSSNFEHEFSPNKRQAVEFAPTNIYPIQFGHRARPTEATSDLMGSISYLEKTNNLARKKDTFPELQVPRWIDDGHSINIGKVVPAHHQTHKPEEGTTKRTKKRARPKESTRPQPKDRQQIQDCIKELREIIPNGEKYSIDLLLEETHKYMGYLQSLTKYADKLQEPIEQKANEVALEDRNVEHPIIVEDMNTPGLMRIEFLCKDKGHFLEIAETIRDIRLNILIATMEPRKNKLWGYFIVAEKQILECNNIVQQAKRHLTSKDVFYFLFDLLQQTYTSRMDSANNIANDIVLEKQ >OIW07185 pep chromosome:LupAngTanjil_v1.0:LG08:4463822:4465824:1 gene:TanjilG_10158 transcript:OIW07185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLEEAESEEWNSNNNNKVRRNVFTLGKKILMAGIIASSAPIVLPPLVVASAIGIAVSMPYAFFYATHACTHNLMSKLLPTPTYNNTIDNDIQQQFETPFEVTNVVFEEFHDQEIDGEELQRETKGLLERIRDEGRDGNGGGEEYKVDNVNNNESENSMAQAEVEVASQSQVQDEIVEDLMIEMLHECNVLEAEDSNEVITEKIEIHMIVEQDPEPLIDGSTILYEGKLDNNVSDFVNQESQLHEYNEVTELSNADARGTADENIIDPEVYNYSIDLHEESSNVMVDGHTDYMEVSVSMTENKFKASECSSAEDIMCSSHQVVLDEENIWKQIHVIRKIIGYEGATQATCMDELKALYIFTGVEPPTILNENLCDLAEINEKLHFLMSIVGIKSNVP >OIW07246 pep chromosome:LupAngTanjil_v1.0:LG08:2904496:2904705:1 gene:TanjilG_08361 transcript:OIW07246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKVAYENDLNLKATELRLGLPGTEENDEKTKSGVRTNKRSLPETSEECGSKGSNAQHMKSDAAPPSK >OIW05797 pep chromosome:LupAngTanjil_v1.0:LG08:23695967:23697542:-1 gene:TanjilG_23583 transcript:OIW05797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNRFICEICNKGFQREQNLQLHRRGHNLPWKLKQRENNDQVRKKVYVCPEKSCVHHDPCRALGDLTGIKKHYSRKHGEKKWKCEKCSRKYAVQSDWKAHNKICGTREYKCDCGTLFSRKDSFITHRAFCDALTEESVRVPGALSNLRRDIHLINNSTTQVPRIPQIFPRFHSGLDGNSVSEPLEFNYANNSQLQCFPRKLSAFSSNVNSVACNNMPDLVETMNMFGSPPQQETQWLMNYRASFTSANNNNLSIPLPAQLPHGLKQEQEENKQDLSYITANSVYFNNQNSQGGGGGPTHMSAAISLLHKDNASSTFDNNNHNVFGMIGFSGKVNNNNTVEVQKFFKEGNLNHDQLVSLEDGSTNLGASGFSMNDSSSNNNKDQFGLTRDFLGVGDESITISRPFDLTEFNAIGSVMDLQSSHYGGHYC >OIW06335 pep chromosome:LupAngTanjil_v1.0:LG08:14117473:14121488:1 gene:TanjilG_14980 transcript:OIW06335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKALCCDKQGVRRGAWTPEEDEALVEYIKMNGHGSWRTLPMHAGLLRCGKSCRLRWINYLRPDIKRGPFTTEEESTIIQLHGMLGNRWATIASQLPGRTDNEIKNYWNTQLKKRLPNSGHFVGSQQPSFSTDHSTIVKTESPSMRHMVQWENARVEAEARLSMESSLLNSWSTSESHPDHFLQLWHSEVGQSFRAIKGKEGGGGVCQSLVSQASSSKLESCSDVSLQDKNTGSSTFANMKQEQDSSYKPKLEDGTAESELGYYEFLDSSDSALNHMLDMHDGEIGFLCESDSFLNTLDG >OIW05859 pep chromosome:LupAngTanjil_v1.0:LG08:23075146:23078553:1 gene:TanjilG_23645 transcript:OIW05859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELGSITQFLQDKNVLVVGATGFLAKIFVEKVLRVQPNVKKLYLLLRAKDTESATRRLQNEIIQKDLFRLLKENLGAKFNSFILEKLTLLPGDISQEDLDLKDSVLQEEIYNGIDVIVNLAATTNFDERYDVALGINTFGTKHVLSFAKKCVKLKVLVHVSTAYVCGERGGLIVEDPLQLGVSLNGIPGLDIDVEKKVVQEKLKQLQDEGATEDEIKMAMKDLGMKRASVYGWPNTYVFTKAMGEMLVGTLKENMSVVIVRPTIVTSTYREPFPGWVEGVRTIDSLIVAYGKGKLTCFLADLKAVFDVIPADMVVNAILAAMVAHANQPCDTIYHVGSSVANPVRYLNLRDYGFRYFMAKPCLNKEGIPIKVGKVTVLDNMASFQRYMFIRYLLPLKGLELVNAAFCQYFQGMYLDINRKINIVMRLVELYRPYIFFNGIFDTMNTEKLQIAARQGGVEMDLFYFDPKMIDWEDYFLNVHIPGIVKYVFK >OIW06934 pep chromosome:LupAngTanjil_v1.0:LG08:6806011:6807013:-1 gene:TanjilG_18322 transcript:OIW06934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVSCNGCRTLRKACNDDCVFRPCLEWINSPESQANATMFLAKFYGRTAFTNLISAAPEALRPDVFKSLLREACGRLLNPTYGLIGLVWTGSLDQCEAAVEAVLNGSWINGVTAFDWPATGITNYGGAENVIAPGDIQHVPRVRNMGERTRFNRAMRTMNNPTTQVNMVDSPQNESMETVEQPLNQFAVENELNLELTLTFHG >OIW06419 pep chromosome:LupAngTanjil_v1.0:LG08:11423048:11424473:1 gene:TanjilG_05190 transcript:OIW06419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSMISSPAVTIVKANMVTPFTGLKSIAGFPVTKKASNDITIVANNGGRVQCMKVWPPLGLKKFETLSYLPPLSTESLAKEVDYLLKNGWVPCLEFELEHGFVYRENHRSPGYYDGRYWTMWKLPMFGCTDSAQVLKELDEAKKEYPNSFIRIIGFDNKRQVQCVSFIAYKPPSF >OIW06023 pep chromosome:LupAngTanjil_v1.0:LG08:21990151:21993013:1 gene:TanjilG_11710 transcript:OIW06023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRHRSSAVLNLSLLCYCLVLKQLVLLCGAEGQPQHRLPDLHWYPGTATWYGEPEGDGSTGGACGYGTMVDVKPFRARVGAVGPVLFMKGEGCGACYKVKCLDKNMCTRRAVTVIITDECPGCPSDRTHFDLSGAAFGRMAITGENGQLRNRGEIPVIYRRTPCKYPGKKIAFHVNEGSTPFWLSLLVEFEDAEGDIGSMHIREAGSSEWVQMNHLWGANWFIIGGPLRGPFSVKLSTSTGRTLSARDVVPGNWVPKATYTSRLNFYP >OIW07329 pep chromosome:LupAngTanjil_v1.0:LG08:2305983:2310645:1 gene:TanjilG_11963 transcript:OIW07329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKRIDDHEPGPVPSPRALDRFGFVKQDVSASDSLVKNRSAFENERIREERRVRKWRKMIGVGGSDWKHYLRRKPHVVERRIRKGIPDCLRGLVWQLISGSRDLLLMNPGVYEQLVIYETSSSELDIIRDISRTFPSHVFFRQRHGPGQRSLYNVLKAYSVFDRNVGYVQGMGFLAGLLLLYMSEEDAFWLLVALLKGAVHAPMEGLYLAGLPLVQQYLSQFEQLVREHLPKLGEHFSQEMINPTMYASQWFITVFSYSFPFHLALRIWDVFLYEGVKIVFKVGLSLLKYCHDDLIKLPFEKLLHALKNFPEDAMDPDTILPLAYSIKISKRLEELTQEYEKKNGKVVQSEELSEKHKFHESKGTET >OIW05948 pep chromosome:LupAngTanjil_v1.0:LG08:22508530:22508889:1 gene:TanjilG_07224 transcript:OIW05948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRRIRPVKPNPFHKFLKPGALAKLRDSQITARRRFHRLNSLSHIPSPLPPNDVQPQQNDTEGFPCFVARIFSPRCPQRKKLMASKSVYFVTTSPIRSVADSPDSVLVESLGNDVVVAN >OIW07367 pep chromosome:LupAngTanjil_v1.0:LG08:615141:629913:1 gene:TanjilG_10202 transcript:OIW07367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRTTTVECPGCPPLRVLTFDTLVVEARDKQHGGVPKIVERWGEPDSSKSIMAVSMIDRKTQPLMAVARKNGQVEVMSPINGDLQAIISNANSTDLQSEENNVVGLHLFEKQDLEVASRSCTLLTCTSKGNASIRSIEFTDLSTESPCNDSSKSWNVCSGGNILCCKVDTSEKFALFGGKGVEVNIWDLDNCTKIWNAKSPPKNSLGIFTPTWFTSATFLSKDDHKKFVAGTNSHQLMAVARKNGQVEVMSPINGDLQAIISNANSTDLQSEENNVVGLHLFEKQDLEVASRSCTLLTCTSKGNASIRSIEFTDLSTESPCNDSSKSWNVCSGGNILCCKVDTSEKFALFGGKGVEVNIWDLDNCTKIWNAKSPPKNSLGIFTPTWFTSATFLSKDDHKKFVAGTNSHQVRLYDISAQRRPVLSFDFRETSIKALDADIDGYSVYIGNGSGDMASFDIRTGKLLGCFIGKCSGSIRSIVRHPELPVIASCGLDSYLRLWDTKTRQLLSSVGFRSFFYVFTVFLKQPLMHACFDSNFIVEETPAGAVSLPNKEQTTNIISEELEASPLKRKKSSKNKENIDGGERKKKSKRSEEHKKSKGKDGGEKIVSKDKQSKSTSKKKNKGSKFAIPDEGL >OIW06628 pep chromosome:LupAngTanjil_v1.0:LG08:10038905:10039552:1 gene:TanjilG_04022 transcript:OIW06628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDQNPQPPRPPSIQVPQFSISSSSSATPTPTTPIPTSGDPNATVRYRGTRCRSGKWVCEIREPRKTKRIWLGTYQTPEMAAAAYDVAALALKGPNTPLNFPNSILSYPIPRSLSPADICAAASAAAQARIVTPQQHQQQLETQNPCGGTGVNDESGSSSAQAPQEYIDEDELLNMPNLLNDMARGMQVSPPRMPSFSSDDDSAANSDIDNLWSF >OIW06530 pep chromosome:LupAngTanjil_v1.0:LG08:10922783:10927540:-1 gene:TanjilG_29951 transcript:OIW06530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLHFSASMRSITISSNNGFIDLMKIKVAACHISYRTLFHTILILAFLLPFAFILTALVTLEGVNKCSSFDCFGRRLGPRLLGRVDDSGRLVRDFYKILNEVNTGEIPADLKLPDSFDQMVSDMKNKQYDSRTFAFILRGTMEKFEKEIRDSKFAELMNKHFVASSIPKGIHCLSLRLTDEYSSNAHARKQLPPPELLPVLSDNSYQHFLLSTDNILAASVVVTSAVQSSQKPEKIVFHVITDKKTYAGMHSWFALNPASPAIVEVKGVHQFDWLTRENVPVLEAVENQNGIRNYYHGNHVSGTNLADTDLRKFASKLQARSPKYISLLNHLRIYIPELYPNLDKVVFLDDDIVVQRDLSPLWEIDLNGKVNGAVETCRGEDKWVMSKHFRNYFNFSHPLISAHLDPDECAWAYGMNVFDLRAWRTTNIRETYHSWLRENLKSNLTMWKLGTLPPALIAFRGHVHPIDPSWHMLGLGYQNKTNIESVKKAAVIHYNGQSKPWLDIGFEHLRPFWRKYVNYSNDFVRNCHILES >OIW04769 pep chromosome:LupAngTanjil_v1.0:LG09:14288794:14289420:-1 gene:TanjilG_08652 transcript:OIW04769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRVLDMLPELLTFDPHNDLYPIFDFLLNEVEIPYTNVKKSILRCPRLLVSSVELQLRPALCFLRELGFVGPHSLTCQSTLLLVSSVEGTLLPKIEFLKGLGFTHVEVKNMVVRSPGLLTFSIENNLGPKVKYFLEEMNGDVVELKGFPQYFSFSLERRIKPRHRMLVENELHLPLRQMLKVSDGRFESWLFEMRLRKLEGMELEGVE >OIW04089 pep chromosome:LupAngTanjil_v1.0:LG09:20438682:20442168:-1 gene:TanjilG_00649 transcript:OIW04089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMVDRNINSRMNHTMSDVVLDCVLPYIHDPKDRDAISQVCRRWHELDSHTRKHVTIALCYTTTPERLRRRFPHLESLELKGKPRAAMFNLIPENWGGFVTPWVREIALYFDCLKSLHFRRMIVTDSDLKNLAHSRGHVLQALKLDKCSGFSTDGLYHIGRFCRNLRILFLEESSITEKDGEWLHEIAVNNTVLETLNFYLTDFANVRIQDLELIAKNCPNLVSVKITDCEILGLVNFFRHASSLEEFCGGSYNEEPENYSSVSLPPKLSRLGLTYIGKNEMPIVFPYAAILKKLDLLYAMLDTEDHCNLIQKCPILEVLETRNVIGDRGLEVLAQTCKRLKRLRIERGDDDQGMAEEEGVVSHRGLIALSQGCPQLEYMAVYVSDITNACLEHIGTHLKNLCDFRFVLLDREERITDLPLDNGVKALLRGCNKLRRFALYLRPGGLTDVGLGYIGQYSPNVRWMLLGYVGETDAGLLEFSKGCPSLQKLEMRGCSFFSEYALAVAATRLTSLRYLWVQGYGASQSGCDLLAMARPYWNIEIIPSRHVVTNDDPEEPIFVEHPAHILAYYSLAGPRTDFPDTVTPLAPATATYVHT >OIW04241 pep chromosome:LupAngTanjil_v1.0:LG09:21496539:21499803:-1 gene:TanjilG_00801 transcript:OIW04241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEIRESDNVCRIMAELQSLKEAKSTIDNKISLLEAELRDLQNDAANNNELSPQMIYRYSRHLLLPNFGVLGQQNLLNSSILVVGAGGLGSPALLYLAACGVGRLGIVDHDIVELNNMHRQIIHTETYIGKPKVKSAASACRSVNSSIRVVEHQEALRTSNALEIFSKYDIIVDATDNAPTRYMISDCCVVLGKPLVSGAALGLEGQLTVYNYNGGPCYRCLFPTPPPTTACQRCADSGVLGVVPGIIGCLQALEAIKIAASVGEPLSGRMLLFDALSARIRIVKIRGRSLQCEACGENSKFTQQQFREFDYENFTQTPLSVSPLKLNLLPSESRISSKEYNEIILKKEPHVLVDVRPAHHFKIVSLPKSLNIPLSTLEARLPEILSALKKEEEDNRGVVSGSSAQLYVVCRRGNDSQRAVQSLQKLGFTYAKDIVGGLESWAHNVDPNFPTY >OIW04790 pep chromosome:LupAngTanjil_v1.0:LG09:13109719:13116975:-1 gene:TanjilG_11092 transcript:OIW04790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSETVVAEASAAEVYASAGHADTVMNPVLEAGQTVTDSAGEGAEGNSTYGFGYSNAGDGNAYAGDPNSVLQAQFNAADDNKQAVGAIGTDEASGRLGNAATETPVQVSENGSVVGAVENATGHENGNAVENIGRSGDEKQLADAVMSAEEDRLWNIVRANSLDFTSWTALIEETEKVAEDNILKIRRVYDAFLEEFPLCYGYWKKYADHEARVGSVDKVVEVYERAVQGVTYSVDMWLHYCIFAISTYGDPETVRRLFERGLAYVGTDYLSFPLWDKYIEYEYMQQDWGRLALIYTRILENPNQQLDRYLSSFKELAGNRPLSELRIADEASAVVGAASETAGQTIEGEVRPDGAESSPKPVSAGLTEAEELEKYIVIREEMYKKAKEFDSKIIGFEAAIRRPYFHVRSLNVGELENWHNYLDFIEREGDLSKIVKLYERCVIACANYPEYWIRYVLCMEANKSMDLANNVLARATHVFVKRQPEIHLFSARFKEENEDITGARAAYQLVHDEISPGLLEAIIRHANMEYRLGKLEDAFSLYEQAIAIEKGKEHSQTLPLLFAQYSRFVFLASGNAEKARQILVEGLENVLTSKPLIEALLHFEAIQPWPKQVDIDFLDSLVVKFIMPNAESPNVASAAEREELSSIYLEFLNLFGDVQSIKKSENRHAKLFFPHRSMSELRKRHADDLLTSDKTKVARTYSVPSPAQPVVGTYPTAQNQWTNYGVQPQAWPPATQAQGQQWTAGYTQQASYGAYAGYGGNYANPQLPAPVPQSVPYAGAYPPAYPVQAAAAVPQQSYAQPVAAPPPPTQQPAAVPQAYYGTTYY >OIW04218 pep chromosome:LupAngTanjil_v1.0:LG09:21351934:21360205:1 gene:TanjilG_00778 transcript:OIW04218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATENSNVSKAEEFKLLANKAFGARKYAQAIELYTKAIELNSQNAVYLANRAFAHLRREEYGSAIQDATEAIEVDPKYSKGYYRRGTAHLAMGKFKEALKDFQQVKKLCPNDPDATKKLKECEKAVMKLKFEEAIAVPESQRHSVAESIDFHTIDVEPQYSGARIEGDAVTLEFVKKMMDDFKNQKFLHKRYAFQIVLQTREILRALPSLVDINVPNGKHFTVCGDVHGQYYDLVNIFELNGLPSEDNPYLFNGDFVDRGSFSLEVILTLFALKCMSPSALYLARGNHESKNMNKIYGFEGEISSSSFATKVLPDMATENSNVSKAEEFKLLANKAFGARKYAQAIELYTKAIELNSQNAVYLANRAFAHLRREEYGSAIQDATEAIEVDPKYSKGYYRRGTAHLAMGKFKEALKDFQQVKKLCPNDPDATKKLKECEKAVMKLKFEEAIAVPESQRHSVAESIDFHTIDVEPQYSGARIEGDAVTLEFVKKMMDDFKNQKFLHKRYAFQIVLQTREILRALPSLVDINVPNGKHFTVCGDVHGQYYDLVNIFELNGLPSEDNPYLFNGDFVDRGSFSLEVILTLFALKCMSPSALYLARGNHESKNMNKIYGFEGEVRSKLNETFVELFAEVFCSLPLAHVINEKVFVVHGGLFSVDGVKLSDIRAIDRFCEPPEEGLMCELLWSDPQPLPGRGPSKRGVGLSFGADVTKRFLHENNLDLVVRSHEVKDEGYEIDHDGKLITVFSAPNYCDQMGNKGAFIRFEAPDLKPNIVTFSAVAHPNVKPMAYANNFLQLFS >OIW05445 pep chromosome:LupAngTanjil_v1.0:LG09:889647:892056:1 gene:TanjilG_12036 transcript:OIW05445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFCIRTLVKIEEQDPFFNHCVLIGGAVLTTLNFIFLFMTSSRDPGIVPRNSQLPESEALDISISSMEWIHNKTPNMKLPRMKDVIVNGHSVKVKFCDTCLLYRPPRASHCSICNNCVQKFDHHCPWVGQCIGATTYENFRYRYDKKQNPYTKGIMANFRELSCFKIPSPLINFRSLVTEEDDFQDGSFTSDLEKGFIHSSHKFDMDKGTMYGKDGSRVPSVVHDLDYNGVEDHLKRKAGSKEAGFEIFVNSDQDHKYSQWKSKTGIDSPLDERNQ >OIW04934 pep chromosome:LupAngTanjil_v1.0:LG09:9429079:9432427:1 gene:TanjilG_15679 transcript:OIW04934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQQQFDPYYLYQQDDRSSINTLFISGLPDDVKAREIHNLFRLRPGFDACQLKYTGRGNQVVAFATFFNHQSAMAALHALNGVKFDPQSGSVLHIELARSNSRRKHMPGGAAYVVIDKRSKKGEADDQRSSSDDGEFESDPDESSGSGSDHGDLATTKSDEDVVASGNAVEQHQKGSDGGPCSTLFIANLGPNCTEDELKQAFSVHAGFNLVKMRSRGGMPVAFADFERERWGVSRPELGVHD >OIW05476 pep chromosome:LupAngTanjil_v1.0:LG09:442348:446246:-1 gene:TanjilG_12067 transcript:OIW05476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFWSSSLSMDDEFQKLVTRMNPPRVTVDNNSSRTTTLIKVDSANKRGSLLEVIQVLTDMNLIIRRAYISSDGGWFMDVFHVTDQNGKKFLQEDVAERIQQSLGSRGRSFQSLRRSVEVQAAAEHTTIELTGKDRPGLLSEVFAVLTDLKCNVIAAEVWTHNSRMASVVYIADEATGLSIDNLDRLAKIKQLLLFVLNGDIDNRSANTAVSVDSTHKERRLHQMMYADRDYDIYDADNGSAINKNKIYVTVDDCADKGYTVVNLRCPDRPKLIFDTVCTITDMQYVVYHGTVNAEGTEAYQEYYIRHVDGCPINSQAERQRVINCLEAAVRRRSSEGIQLELCGEDRVGLLSNITRIFRENGLSVNRAEIATRGSKAMDVFYVTDPSGMPVTSETIEAVRKEIGMITMHVKGNVCSKSQSHETGPFCLRNLFRSRSAKLLYNLGLMKSCPWI >OIW04537 pep chromosome:LupAngTanjil_v1.0:LG09:17682235:17684980:1 gene:TanjilG_13919 transcript:OIW04537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANEGDKGNDFYGILGLNKECSESELRIAYKKLALKWHPDRCSASGNSKFVEEAKKKFQAIQQAYSVLSDANKRLMYDVGVYDSDDDENGMGDFLNEMVTMMSQTKPNENGGESFEELQQLFEDMFQDDIISDRRTSHTTATCSTSSTCMTFSETSNSNKRNSFEMNFGRVDDSFEFNGGYPNFCLGVKHIQDIKKEKGGIQEGGGKSRHRSGRKQKISHGHDVSSDDFPSISAM >OIW05188 pep chromosome:LupAngTanjil_v1.0:LG09:5276065:5277479:1 gene:TanjilG_19819 transcript:OIW05188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKRKHDDSEQECFDCDSIVRVEMGDECAALREAVSNQQQAIQDLYAELEEERNASSSAANEAMSMILRLQREKAQLQMEAKQFKRFVEERTSHDQQELLVLEDLLYQREQMIQSLTCEIQAYKYRLMSFGFTESEADEIPPYEYPPLRCNVMHDVMDADNDDTDIEKYAFGETPRDRVRNLKNRITQLETSPTYSQMEGDLTGKSVLDKVVVGQSPRWTKHSRRFSSDSTSFCPELLMDSPRLNGSFTKIDEEHSNLKRGDNASEADDDMSDTRVYTIDYANNGAPYNGSTEFKAGAGVFDDYGMTPRELGFNADFEDPYAKKLYMRLQALEADRESMRQTIISMRTDKAQVVLLKEIAQHLCKEMSMQRKKTVRKQSFVAQLPFVTFFKWITSIIFWRRKANDIK >OIW04085 pep chromosome:LupAngTanjil_v1.0:LG09:20402497:20406093:-1 gene:TanjilG_00645 transcript:OIW04085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSYKKKLLKKFTLALKKNISEEQVTLLCDVDGHVQIPDTVHQISTDSWLQVGFVLTTGINSAYVLGYSGTMMVPLGWIGGVVGLILATAISLYSNALIAMLHEFGGQRHIRYKDLAGYIYGKKVYSLTWALQSVNLFMINTGYIILAGSALKGIYVLFRDDDQMKLPYFIAIAGCVCAMFAICIPHLSALGIWLGFSTVFSLVYFVIAFVLTLRDGIKSPAQDYSIPGTPTSKIFTTIGATANLVFAFNTGMLPEIQATIRQPVVKNMMKALYFQFTVGVVPLYLVTFTGYWAYGSSTVTYLLNNVNGPVWMKVMANITAFLQSVIALHIFASPMYEYLDTKHGIKGSAMAFKNLSFRVMVRGSYLTLTTFISALLPFLGDFMSLTGAICTFPLTFILANHMYLVANENKLTTIQKLWHWLNICFFSIMSVAATIAAVRLVVLDSKTYHVFADL >OIW04654 pep chromosome:LupAngTanjil_v1.0:LG09:15531466:15532646:-1 gene:TanjilG_07789 transcript:OIW04654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGNEMFELPENYDSIPETLRFRESPIGTTRADVVIPITTGSNILSAELWVMDNIFARSMIFMTALDELNATPLSEPQNTSSCPFCFSFDLCVSPLAPRVKLVLNYSGVNIREVKLPSQAEQHFIEMNRNAPESYVAVLEMDGGLGCIIGMPTDSRNFTAIAEFEKAKLIMGVFGNGDFKTYMMMEIIGYCALQAPISTLYNYVAGILRYHGMGAFTFIADVLLPVQSPVLFHPRVLHEVDRFSDAFIAVHLTQYPQYFYILAGPSVNQLVERSNFPILARVAQLIKQGTASTLNQLVAPRCRENPIVLELVELHNRAILGRSLNIARPTLRMLNAYSEGNMEVIFMENTQAANDEQSDLAAIQQPPPPLN >OIW04565 pep chromosome:LupAngTanjil_v1.0:LG09:17139081:17139814:1 gene:TanjilG_20921 transcript:OIW04565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLGEVACSYSLTILHDDNIFVTGDKISSLLKAANVEVEAYWPSLFAKLAEKRSIGDLISSAAGGGAPVAVAAPAAAVGAGSAAAAYAARTEEKEEPKAESDDKMGFSLFD >OIW05396 pep chromosome:LupAngTanjil_v1.0:LG09:1612770:1619137:1 gene:TanjilG_28861 transcript:OIW05396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAECIFTVSQNGGGDFQTVQEAIDAVPLGNTSRTVIRLSPGIYRQPVYVPKTKNFITLAGLRPEDTVLTWNNTAAGIDHHQPAKVIGTGTFGCGSTIVEGEDFIAENITFENSSPEGSGQAVAIRVTADRCAFYNCRFLGWQDTLYLHYGKQYLKDCYIEGSVDFIFGNSTALLEHCHIHCKSAGFVTAQGRKSSQESTGYVFLRCVITGNGENSYAHLGRPWGPFGRVVYAYTYMDPCIKHDGWDNWGNTENERSACFYEYRCFGPGCCPSKRVAWCRELMDEETDQFLMHPFIDPEPDRPWLAQRMALRIPFSA >OIW04399 pep chromosome:LupAngTanjil_v1.0:LG09:19583623:19583994:-1 gene:TanjilG_32591 transcript:OIW04399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRLLAPDLEWRFHGPSCHRHHLVQFLTGSSSSPPSKPCLVPDIIVGFGLVVIAEGYDEENMVWWVHAWTATADGVITEVREYLNTSVTVTKVGDVVAANSKCQTIWQSKLSNDSVPGLILPI >OIW04972 pep chromosome:LupAngTanjil_v1.0:LG09:8612701:8614435:-1 gene:TanjilG_01168 transcript:OIW04972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCCKNNGNMFSTASGRNLKASLEDTAEKPQSNEQDPPPCDEEMQNSTPLSDCRKALFEPLEPIANVSGKRPSAESLLPPPDFEYANYPRGWVIGKKRKLVNVDVVESMRRIAVQEMNRKDREIDGLNEQLEEDSRCLEHLQLQLVDEKSKRAQVERENAMLKDQVNILMNMLQENEEIGEEQNYQFGDEEQNES >OIW05368 pep chromosome:LupAngTanjil_v1.0:LG09:1939752:1943929:1 gene:TanjilG_28833 transcript:OIW05368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFLYLYLYLILLFTIPSSIQSSSEEAISRFQQYLQINTAQPTPRYQESTNFLISQANSLSLDSQTFEFVTAKPLVLIKWPGTKPDLPSILLYSHTDVVPAEFEKWAHHPFSAHVDDGGRIYARGSQDMKCVGMQYLEALRRLKAEGFQPLRSVYLAFAPDEEIGGHDGAEKFAESQVFEKLNVGIVLDEGLASPDGHYRPFYAERSPWWLVIKATGAPGHGAKLYHNSAMENLLKSIESIRRFRASQFDLIKAGLREEGDVVSVNMVFLKAGTPSPTGFVMNLQPSEAEAGFDVRVPPTTDPESLERRIAEEWAPISRNMSFSFKQKVPVHDNSGKPIITKADSSNPWWTLLQNAVQKAGGKLGKPEVFPASTDARYFRRRGLPAIGFSPMANTPILLHDHNEFLHKDEYLKGIEIYESIIKAYASFDDHGKHGGSRDEL >OIW05383 pep chromosome:LupAngTanjil_v1.0:LG09:1736328:1738250:-1 gene:TanjilG_28848 transcript:OIW05383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSQLLNFSSLICLFIFMIVALKIGKNLKKSHSNLNIPPGPWKLPIIGNIPLILTSTPHRKLRDLAKIYGPLMHLQLGEVFTIIVSSPEYAEEIMKTHDVIFASRPKILASEILIYNSTDIVFSPYGDYWRQLRKICALELFTPKRINSFKPIREEVFTNLIKMIASEKGSPINLTEAVLSAIYTIISRSAFGKKNKDQEEFISLIKEVVVVAGGFDMGDFFPSARWLQVLTGLRPKLERLQQKIDRIHENIINEHKELKLKAEEGQGGVQEDLVDVLLKFEDGNGNNQDICLTKGNIKAIIHDIFSAGGETSATTIDWAMAEMVRDPRVMKKAQAEVREVFNEKERVDETYINELKYLKSVVKETLRLHPAAPLLLPKECGQACEINGYHIPVKSKVIINAWAIGRDPKYWTEPERFYPERFIDSSIDYKGSNFEYVPFGAGRRICPGSTLGLLHVEMALAFLLYHFDWKLPNGMKSEDLDMTEIFGVTVRRKQDMYLIPIAYSPLFAT >OIW04949 pep chromosome:LupAngTanjil_v1.0:LG09:8829404:8842606:-1 gene:TanjilG_01145 transcript:OIW04949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGACSRKQDRGNEDSSRRALLPRFSKSGSLRWWASSFSCPSVDIQLRKGECPSLLDLCILKINQDIDKYDTFSMLSRDISQQIFNKLVFSQRLTDVSLEAFRDCALQDFYLGEYLGVNDAWMDVIASQGSSLLSLDLSGSDVTDFGLTYLKDCEGLMALNLNYCDHISDHGLEGISGLSSLTTLSFKRNDSISAQGMSTFSGLVNLAKLDLERCPGIHGGLVHLQGLTKLESLNLKWCNCIADADMKPLSELASLKSLEISCSKVTDFGISFLKGLQKLTLLNLEGCLVTAACLDYLAELYALSTLNLNRCNLSDVGCEKFARLENLKVLNLGFNDITDACLAHLKGLTKLESLNLDSCRIRDEGLMNLAGHRKLNCLELSDTEVGNNGLHHISGLSSLEKINLSFTVVSDSGLRKLCGLSSLKSLNLDAHQITDAGLANLTSLTGLTELDLFGARITDFGTDYLKKLKNLTSLEICGGGLTDAGVKNIKELSSLMCLNLSQNCNLTDKTLELISGLSGLISLNVSNSRITHSGLQHLKTLKSLRSLTLESCKVTANDIKKLQSTYLPNLVSFRPE >OIW04751 pep chromosome:LupAngTanjil_v1.0:LG09:14075284:14077988:1 gene:TanjilG_08634 transcript:OIW04751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSQIAKKLGLSDSKLIIRKAAELRRLSDLQFDCSVIRVGEVAKAVICLEIAATRLVVVFDRSCAVKLSGMSERAYIRSYNSLNNGLGVKVKLDVRELAIQFGCVRIIRLVRDGLNLFKARFLSSLPASRRASADFTRPVFTAVAFYLCAKKHKLKVDKIKLIELCGTSESEFSSVSTTMKDLCHDVFGVAKEKKDTREVKSNRDLLDVLPCKRKTEGGGYLSDDEPELSSNKKRKQMEKGDYENYKSSVHASNEQNTKKACK >OIW05317 pep chromosome:LupAngTanjil_v1.0:LG09:2726406:2764443:-1 gene:TanjilG_28782 transcript:OIW05317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQMTWQPSLLSDKRKTGPPLGLRNLGNSCYLNSVLQCLTYTPPLANFCLRFQHSSLCDSAAASSCPFCILEKQIARSLRVDLTHDAPSKIQNCLRIFAEHFRCGRQEDAHEFLRYVIDACHNTCLRLKKLRRKGGVGVGDGIGGSGGGGSSIVKEIFGGALQSQVKCLNCGYESNKADEIMDISLDVFHSNSLRDSMQKFFQPEVLDGNNKYKCDSCKKLVAAKKQMSILQAPNVLVIQLKRFEGILGGKIDKAVAFEEFLVLSSFMCKASQDPLPEYKLFGTIVHSGYSPESGHYYAYIKDAMGRWYCCNDSCVTLATLQEVLSEKVYILFFSRTNQRPVPINKSPASNGGKPHHSNGSQASDCPKVDVPPKAVRAKSNSEQSQHKDMPNISKIGKVPSSSRVKFDINGNSSSKRVFASASVNGKDVVSKNQSLAINGHAKVSISLENGKKDPSSLPTRNGFEKNKVDVADNSEKKEAVLTNGHTENQKVDIHSVKSNPMEDTDRSRVTMVRGPDTFNQESNGLNNKPKILGNKREIQEAPCILLAQDIQSRTRVEELKNILGKEAKSVLMSCGWTDMVYDFMRSRKRLCTEEAGNLTSGDEASSAGASSPAFPTINGESRRHGSEKSNADSQLMVDLVQGALNRSCRPWERSDFLRRLSTFKLAGKKPKVAGSLACAKRGWVNVDVTKIECELCNAQLDFALLLTSFEAGTFSEEISKLLDKGHKVTCPWRGNSCPESLVQFPPTSSSALIGGFKDRCDGLLQFYSLPIVSSSAVEQMQVTHSPQIDRFLARLQVPTTGELGYRVENVSGMGVTGEQALHSYSYAQKLISLCGWEPRWLPNVLDCEEHSAESAKHGYSSGPAKGSAPDPALRRKEFSASSRKDAGDNDVLGSEFNCESRSPLLDCSLCSATVRVWEFLTVPRPVHLAPCGIDTPQASKKMASTRGISAASGINERAAADGFEKEHTGDRDDAATSDKRQLVSKKSLDLNLKMASRPSCSTPDHMLDANIGRELMIGRPSGSEVGDRAVSYESQGPNARKRRLEDGGITAARPHLGTQQADSAETTKIDREYDEIIAGQQFSTGPSKRSRDTNLSGNFQFPFRKTSGGVPSNLLDVQIEAGTNKVNQLNTERDHVIGNLSTRDSAHTSSIIAMNTVYHSSDDESIESVENIPVSDNAVNFPSVDLNETSELNNSYRAQQSVCFQPLLDRAVRETGVSSSNASGEVLNTEILTAHVRDGPSFGISGGSVGMGASHEAEMHGTDTSVHRGDILGDVEPIAEVIENQGQAGQFVPYHGLAGDFVPEEMSREDNQGDSQAVVSQSTPRTVSGSKLIVSTKVEFVESGEKPSGSMQMPGYENSAHPSLSCNAVVCSAYEVSKEEVTQTRKGSHNDDGACYESGYLSADVVGTPYRDNTNGGVEFNPIKLHNDYCPWVNGDVAVAGSDSPFSSSGVGTVALCGWQLTLDALDSFQSLGHLPVQTLESESAASMCKVVLHGYLTGNEVVPMHDFLLKETTQWNAEKVKTMKPLKCQSECH >OIW04775 pep chromosome:LupAngTanjil_v1.0:LG09:14373282:14374521:-1 gene:TanjilG_08658 transcript:OIW04775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFTHATTLLHAHIKTKHKTTTTNNPLPLNKAPYDVQNNVTNRRKLISTFLATSVAVLGVQDTPLALAQNWGTRSFLREHFFEPGLSPEDAVARIKQTAEGLHSIRDMLETMSWRYVMFYIRLKQAYLDQDLKNALTTLPENRRKEYVKTANELVDNMAEFDRYVRSPKVYESYLYYEKTLKSIDELVAMFA >OIW04370 pep chromosome:LupAngTanjil_v1.0:LG09:19309133:19312883:-1 gene:TanjilG_32562 transcript:OIW04370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWFRNLSKFSTITKPPRKTHPFHHFPPLTFLSHHFTTTTTNNHGGLEPTKPHEKPRVVVLGTGWAGCRLMKGLDPKIYDIVCVSPRNHMVFTPLLASTCVGTLEFRTVAEPVTRIQPAISREPGSYFFLANCTSVDTHNHVVKCETVTEGTQTLDPWKFTVSYDKLVIALGAQPITFGIHGVHEHAIFLREVYHAQEIRRKLLLNLMLSDVPGISEEEKRRLLHCVVVGGGPTGVEFSGELSDFIMRDVRQRYSHVKDYIHVTLIEANEILSSFDDRLRRYATKQLTKSGVRLVRGTVKDVEDDKIILNDGTEVPYGLLVWSTGVGPSPLIRSLDLPKSPGGRIGIDEWLRVPSVEDVFAIGDCSGYVESTGKPTLPALAQVAERQGKYLANLLNKIGKADGGRANSIKDIEIGDPFVYKHLGSMATIGSYKALVDLRHSKEEKGLSLAGFISWFIWRSAYLTRVISWRNRFYVATNWATTLVFGRDISRI >OIW04391 pep chromosome:LupAngTanjil_v1.0:LG09:19487603:19488097:1 gene:TanjilG_32583 transcript:OIW04391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYTSLRRNPKKEEQQVPDTLTDPYAHEEFNILDELHVVLDIEEQQNISPNETSSGKLHWDFMEWEEFSTIGEEAEDDEGKVGVKDKSINNSILKEKEIKRENIFGFCEVNDEKMMALNLNLNYQDVLDAWSDRRSPWADDYSFSMATNNGYYVSTHSPIFTLI >OIW04481 pep chromosome:LupAngTanjil_v1.0:LG09:18308374:18314342:1 gene:TanjilG_01654 transcript:OIW04481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHIFCCVSSISNHSSSLKAPFATDFSMPPSSPTVRSEHSHNHNSNSNSEVKINDLVGNGISGILYKWVNCGKGWRPRWFVLQDGVLSYYKIQGPGKIVVNSETVKNSKVIGEESLRIISRNNDSHDHHHNLQLHRRNPSGEIHLKVSTIGESKSDDKRFSIFTGIKRLHLRAETREDRVAWVEALQAVKYMFPRMSNSELMSPVDHVTISTEKLRHRLLEEGLSEVAIQDSEQIMKNEFTTLQHQLVLLKQKELTLVDTLRQLETEKVDLENTVVDESQRQLNDEEVSSRLRQEKSNEASPSESEYDSERNDAEEEETDDDDDNPFFDTRDFLSSSSYKSEWDENLDDEGLYAVESDEDLDPLIRSVGTSYPYVKRRKKLPDPVEKEKGISLWSVIKDNIGKDLTKVCLPVYFNEPLSSLQKCCEEMEYSYLLDRAYEWGRRGNSLMRILNVAAFAVSAYASTEGRICKPFNPLLGETYEADFPDKGLRFISEKVSHHPMIVACHCEGTGWKFWGDSNLKSKFRGRSIQLDPVGILTLEFDDGEIFQWSKVTTSIYNLILGKLYCDHYGTMYIQGNREHSCKLKFKEQSIIDRNPHQVQGIVQDRNGKTVSTIFGKWDESMHYVSGEHSGKGRGYDSVSETHRLWKRSKPPKFPTRYNFTRFAITLNELTPGLKEKLPPTDSRLRPDQRHLENGEFDMANSEKLRLEQQQRQARKMQESGWKPRWFGRDDASGSYRYLGGYWESREQRNWDSCPDIFGQLPSDQGQLTF >OIW05068 pep chromosome:LupAngTanjil_v1.0:LG09:7117839:7126954:-1 gene:TanjilG_02775 transcript:OIW05068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSETVVAEASAAEVYASAGHADTVMNPVLEAGQTVTDSAGEGAEGNSTYGFGYSNAGDGNAYAGDPNSVLQAQFNAADDNKQAVGAIGTDEASGRLGNAATETPVQVSENGSVVGAVENATGHENGNAVENIGRSGDEKQLADAVMSAEEDRLWNIVRANSLDFTSWTALIEETEKVAEDNILKIRRVYDAFLEEFPLCYGYWKKYADHEARVGSVDKVVEVYERAVQGVTYSVDMWLHYCIFAISTYGDPETVRRLFERGLAYVGTDYLSFPLWDKYIEYEYMQQDWGRLALIYTRILENPNQQLDRYLSSFKELAGNRPLSELRIADEASAVVGAASETAGQTIEGEVRPDGAESSPKPVSAGLTEAEELEKYIVIREEMYKKAKEFDSKIIGFEAAIRRPYFHVRSLNVGELENWHNYLDFIEREGDLSKIVKLYERCVIACANYPEYWIRYVLCMEANKSMDLANNVLARATHVFVKRQPEIHLFSARFKEENEDITGARAAYQLVHDEISPGLLEAIIRHANMEYRLGKLEDAFSLYEQAIAIEKGKEHSQTLPLLFAQYSRFVFLASGNAEKARQILVEGLENVLTSKPLIEALLHFEAIQPWPKQVDIDFLDSLVVKFIMPNAESPNVASAAEREELSSIYLEFLNLFGDVQSIKKSENRHAKLFFPHRSMSELRKRHADDLLTSDKTKVARTYSVPSPAQPVVGTYPTAQNQWTNYGVQPQAWPPATQAQGQQWTAGYTQQASYGAYAGYGGNYANPQLPAPVPQSVPYAGAYPPAYPVQKMTVKLWGFMVRDKWMRE >OIW04562 pep chromosome:LupAngTanjil_v1.0:LG09:17173312:17175857:1 gene:TanjilG_20918 transcript:OIW04562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERFQEPGPLNSCFTGDHFEVNSLEQQRLVDAESFRFVENEEQFLISSLEDNMPFLQMLQSVESSPQFFPLKEPSFRTLLSLQHMKKQQPWENIAYIPRMDTQIQAAMELESCVTHDILEMQSPVKSESNNELHQQHTPSAASCVVEKVMSYDECNHAIIANANTQSSCHKTQIATRERRKRKRTRPTKSIEDVENQRMTHIAVERNRRRQMNDHLSVLRSLMPSSYIQRGDQASTIGGAIDFVKELEQLLQSLEAQKMVRKNEEVGNGNNNNNNSNGSSSIELCKPPASFLSSEEAKFGDEVRTEMKSNLGHIEVTLIQTHVNLKIECQRKHGQLIKVIVALEDLRLTILHLNITSTVTSVLYSLNLKIEEDCKLGSANDIADAVHQILSFINGS >OIW05129 pep chromosome:LupAngTanjil_v1.0:LG09:5831649:5835048:-1 gene:TanjilG_02602 transcript:OIW05129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLLFMVPFILVGVFISLLGPNASTWSFLSVTSPSSTLSGGGGGGGGEGLVVVAVDPHGKEENAISDDTVFNHSSAPLFPIQAIETLQLYNQNEEISNVSKILPNKAPMNESDVPQLTLRQQRKFSISDMTEATLTQARAAIREAKNGNQSQDVDYVPVGPMYHNAKVFHRSYIEMEKEFKVFVYEEGEPPVFHDGPCKSIYSMEGNFIHAIEINEQFRTRDPEKAQVFFLPFSVAMMVQFVYVRDSHDFGPLRRTVIDYVNVIGERYPFWNRSLGADHFMLSCHDWGPETSASVPNMYKNSIRVLCNANTSEGFNPAKDVSLPEVNLQTGSIEGFIGGPSPSKRSILAFFAGGVHGPIRPILLDHWENKDQDIQVYKYLPKGMSYYDMLRKSKFCLCPSGYEVASPRIVEAIYTGCVPVLISDHYVPPFSDVLNWKTFSVEVQVKDIPKLKDILMSISPRQYIRMQRRVGEIRRHFEVHSPPKRFDVFHMILHSVWLRRLNIMVQNDQ >OIW05385 pep chromosome:LupAngTanjil_v1.0:LG09:1705973:1708938:1 gene:TanjilG_28850 transcript:OIW05385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYCRSDAACLCLSCDRNVHSANALSKRHSRTLLCERCSSQPAFVRCAEEKVSLCQNCDWLGHGLTTSYSTHKRETINCYSGCPSSEELSSIWSLVLDIPSMSGSTCEQELGSMSINENSNKSSWVPLENQNVTGSDQVNDLPGKGKPSVGTSCKPESSAEPRVMDHPDGPSTQGLPKFYCPGTKCPSICEDNMYPDFDMDEVDLNLENYDELFGMTLTHSEELFENGGFDSLFGTKDISAEDSDCLGAAAAEGSQSACSNAESADSILSTKTEPIICFTSRQAPSNLSFSGIVGETNARDYQDCGASTMLLMGDPPCPDTFRHSAANRSNAVMRYKEKKKIRKFDKRVRYASRKERADVRKRVKGRFVKVGDAYDYDPMSPSRSY >OIW05223 pep chromosome:LupAngTanjil_v1.0:LG09:4295875:4297220:1 gene:TanjilG_21208 transcript:OIW05223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFGVEVEILARVRHKNLLSLRGYCAEGQERLIVYDYMPNLSLQSHLHGQHAAECLLDWNRRMNIAIGSAEGIVYLHHQTTPHIIHRDIKGSNVLLDSDFQAHVADFGFAKLIPDGATHVTTKVKGTLGYLAPEYAMLGKANESCDVYSFGILLLELACGRKPIEKVSSTVKRSIVDWALPLVCEKKFSELVDPRLNGEYVEEELKRVIFVAMICAQNQPEKRPTMLDVVELLKGESKEKLSQIENSEMFRTTPAAEYNDGGSSMAEDSSDFISAEKEYKHELEENT >OIW04099 pep chromosome:LupAngTanjil_v1.0:LG09:20514455:20518268:-1 gene:TanjilG_00659 transcript:OIW04099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRSFRAQESQMQSALKQQLGVLRSSLMKDKDDELTLFLEMRNREKDHGNDLLLRASQDFDAPLGPTRKTGVDDFLNSENDKNDYDWLLTPPGTPLFPSLEMETQKTVMSQLGAPTARPTALKSRLANPQSEPTGRNNFVSKQLASSPGLSSSSSGNRRTPSSANPGLRPATTGRSTLSTASKSVRPSTPTSRATLPSTRTIVSMKKTTVSTTKPIVSASKTMVSATKTTVSAAKPTIPSRSSTPLSRSTARSSMPTGRPTLPQSRPTSRASTPTRRPSTPSSAPSIFAPSVKTSSISKPAPITSRQLEPSHGTSPTVRSRPWKPSEMPGFSLDAPPNLRTTLPDRPLSATRGRPGAPNSRSSSVEPASTGRPKRQSCSPSRGRSSNGISHTTGSSMPAVSRGYSKVNDNVSPVVIGTKMVERVINMRKLAPPRLDDKNSPHSNLSGKSSSPESSGFGRTLSKKSLDMAIRHMDIRRRVPGNLRPLMTNIPASSMYSVRSGSQHSRTVSVSDSPHATSSNASSEVSVNQKGICLDSSEIDDDNVSERGGQSHSSNFGIK >OIW04893 pep chromosome:LupAngTanjil_v1.0:LG09:9897477:9900718:-1 gene:TanjilG_24009 transcript:OIW04893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILFSVRPFFTFLIVTLSLLLFLAFLLFPPSLFSQNQQPSLGEVDIWNVKRLVEWRPCNWWLQGHQTALPLEPNGYIRVDCYGGLNQMRRDFCDGVGIARLLNATLVLPKFEVATYWNETSGFADVYDVDYFIENMNGFVKVVKELPPEIASKEPVRVDCSKRKGQFDYVESILPSLLEHKYISITPAMSQRRDRYPLYAKAALCQACYKALRLTRSLEMKASKLLDAIPKPFLSLHLRFEPDMVAYSQCEYPGLSPASLKAIEVAHGQLMAERKPWTGEVAHIWRQRGKCPLTPNETALILQALSVSLTTNIYLAAGDGLMEIEGLTYTYSNIFTKSSLLSDEEFTSMHGNTKAALDYYVSINSDSYIATYFGNMDKMVAAMRAFKGLYKTLFLSRRGFAELTSQGLKGKDLMQALWKLHRDDFVMGRGSALSECFCEFKL >OIW05062 pep chromosome:LupAngTanjil_v1.0:LG09:7190252:7194431:-1 gene:TanjilG_02769 transcript:OIW05062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGRESCHSLLKGVRKREKGYSHGFSSSQMHEMAAICETLLPSLQLDSTLNKDNIEDHDALLEFYTISGSQTPFPEETAELLFKRGSPEALLVLSWVLYIMSFRLGTLLLCGRICLDWKWPFVHKFSEISLEKREQILKKWTRETHLIPLRVVFVLIKLFSFYNFFSRVDEKGHNPVWKSIGYRVDTKKKLAPEERPLQKGVVETMYKTDSTLIQSLIEKGLEVTEDVEQNMYKVKCDVVIVGSGCGGGVAAAILANSGQKVIILEKGEYFVPNDYSSLEGPSMNELYESGGIMPSVDGKIMIFAASTVGGGSAINWSACIRTPDSVLKEWSEKYKLPLFGSSTYQYAMDSVCKRIGVTEKCKKESFQNQILRKGCEEIGLKVDSVATNSSEDHYCGSCCYGCRTGDKKGTDSTWLVDAVGNGAVIITGCKAEKFILKDRKNGLKTKQCLGVTASATSRSKVTKKLQIESKVTISSCGSLCTPPLLISSGLQNPNIGSNLHLHPVQFAWGYFPEDMTNITGNIYEGGIITSIHKVFAEDSTPSFIIEVPALGPASFSALLPWVSGRDAKDRLVKYARTANLFALVRDQGSGKVKTEGRISYRLDQVDKDNLRAGLRKALKILVAAGAEEVGTYRSDGQRIKCRGINEAALEEFLDTVTVVGGPRSKGEHWNFLTSAHQMGSCRMSCNEEHGAVDENGECWEAKALFVCDGSVLPSAIGVNPMITIESTAYCIACKIAESLKKQNKNMDD >OIW04850 pep chromosome:LupAngTanjil_v1.0:LG09:11224357:11229364:-1 gene:TanjilG_13690 transcript:OIW04850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLGSKNNQLLSKIATNDKHGENSPYFDGWKAYDKNPFHPSNNPEGVIQMGLAENQLCFDLIEEWIKNNPKSSICTFEGVHKFRDIANFQDYHGLPEFRSALANFMSKVRGDKVRFDPNRIVMSGGATGANELIMFCLANPGDAFLVPTPYYPAFLRDLCWRTRMQLIPVQCDSSNNFKITREALETAYNKAKEDNINVKGLIITNPSNPLGTTLDRETLKSLVSFINENNIHLVCDEIYAATVFSSPSYVSVSELIQEMEPCKKELIHIIYSLSKDMGFPGFRVGIVYSFNDEVVNCGRKMSSFGLVSSQTQHMLASMLSDDRFVDNFLAESKRRLAKRHSIFSKVLEEVNIAKFPSNAGLFCWMNLKSLLKEQTFEAEMMLWHVIINEVKLNVSPGSSFNCSEPGWFRVCFANMDDETVEVALRRIRIFIEKETKKPEMQVKSWQRNLQLSFSSIRRFDHETIMSPHMMSPHSPIPQSPLVKAT >OIW04779 pep chromosome:LupAngTanjil_v1.0:LG09:13292590:13292943:1 gene:TanjilG_06368 transcript:OIW04779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNLQEGEAVAASEEAKKSNHVQRKIEKRQKDRQLDSHIEEQFGGGRLLASISSRPGQCGRADGYILEGKELEFYLKKLQKKKGKGAA >OIW05260 pep chromosome:LupAngTanjil_v1.0:LG09:3686416:3690114:1 gene:TanjilG_03649 transcript:OIW05260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNFLSQFQTIKNTFNHLVIAVEDVNDLWPTVKNAFEARLPFKMASLNNKTRNSIFVEKLPAEFILTTDSRLRSRFPQEQLLSWFREPYATIVLVTCEDLDEFKTILKPRLKLIVQNDEREWFIVFVSKAQPANDQAKKMAKKVYAKVEVEFSSKKRERQACKTGLVPCVPSPLPQQKFE >OIW05421 pep chromosome:LupAngTanjil_v1.0:LG09:1407084:1414669:-1 gene:TanjilG_23247 transcript:OIW05421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFTLSSSLSLPLSLSNQNPFTITTTPTSAMKFLVKQTRNARVGSLEIILGNNPNNNIININTPNLIISTCKGLPHFISPDLLSSIPSSILQVSPLHFTAEGVSTNTVTKLGGLHQMLGFNKYCIVAVPRDSIQCIPQFKGATKFGPSFDTPSGRLLVKPKDYVEMISCMKPNIWAALADEVPAWVSHKRNKTSVDRTLKWLDDCLTLNPETGSIFGAIVGGSSLEERKRCAEEVAKRNVSGYWIGGFGLGESMDERPALLSAITDVLADEKPRMICGLGLPEEILQGIAAGIDLFDSTYIYTLTLGGFALTFPLDKNGNQHNFQPSQIESDSTKINLRATAYRNDTSPILEDCSCYTCKNHTKAYINHLLNVHEMLAQILLEIHNTHHYLMFFQVIRDAIQDGRFEKFRQTFLESRRVPFEGETISA >OIW04864 pep chromosome:LupAngTanjil_v1.0:LG09:10813448:10816603:-1 gene:TanjilG_13704 transcript:OIW04864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQETLKKSPSQEKYGKVQSAIKILFLVLFVGWIFIWIMVPTNIERNKWLPQIRRETNSTYYGIEGASFLIYTSPFLLMAVLGCVYLHIAKKSNDSNMESCNVSKLDVAICKRPVLVKGPLGIVSGTELAFLLMFIALLIWSFAKLLHNGFVKITPQLAAKDGQTIFYLFLVDRYIRFLQSRHQVRLVSARVLPCEAIELNFSKDHGLTYNPTSVMFINIPSISKLQWHPFTVTSNSNLEPEKLSVVIKSGGTWTQNLYKILSNDSAIDRLSVSVEGPYGPASTDFLRYSS >OIW04222 pep chromosome:LupAngTanjil_v1.0:LG09:21377989:21379677:1 gene:TanjilG_00782 transcript:OIW04222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSGGGALLRNRRLESFLGSNSSQRVEGTVKTYVKNESELRTEKDVYTDDDDDGDDDGWVSSIISWIRIVTCFVSMMVTTFIWALIMVVLIPWPYERIRQGNIYGHVTGRMLMWILGNPIKIEGAEYGKERAIYISNHASPIDIFLIMWLTPTGTVGIAKKEIIWYPLFGQLYVLANHLRIDRSNATAAIESMKEAARAVVKNNLSLIIFPEGTRSKTGRLLPFKKGFVHLALQTRLPIVPMVMTGTHLAWRKGSLHVRPAPLAVKYLPPISTENWKVDKVDDYAKLLHNLYAEHLPETQRPLP >OIW05451 pep chromosome:LupAngTanjil_v1.0:LG09:712378:714306:1 gene:TanjilG_12042 transcript:OIW05451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQQQWRLLYLLRKTTTNHVLFNPNLHSNFSLRSFSSIQPSFKIPHFTPPHFSRTFSSDPVLQPNDSDNNHVVISDIFSKPTQNDVVTSLLDSNRVSINHDSVIQILGKLDSNADVARRFFNWVLETSPWRLSSKSYNAMLSVLGTNGLVNEFWDLVGVMKKKGFGVSNGVKVKMLDIFEKGGLVDDVLKLNALFESGSIDNSIEKKCLRVCRIVRRNVWDDDVENQIKELNVEWSGNAVKLVLKGLGSETSKALIFFRWLEESGVFKHDGWTYNAMARVLGREDSIDRFWKLLGDMRDARFDLEDKTFAIVLGRFYKRKMIKEAVELYEFAMASKNKPSRDICTIILKKIVVSKELDLDLFSRVLKVFTESGNVLTDSMVNAVLKSLTSVGKMGQWNKVLKVMEGYMLGARGNLQGKIAYRLSAAGYKDEASEFIGNIEASGSIPEHKTWESLVEGHCVAGNLDKAFASFKEMIEKEGVASAGLTFDVLMNSYCQLNKAIDAYKILNEMVNEKELKPRHSTYKLIVTKLLVQGGFADALNILGLMRSHGFPPFTDPLFEHISKSGSADDAILFVKAMTSHDFPSTSVFLRMFNAFFKHGRHDEAQNFLSKCPGHIRNNVDVLNLFFSMHSKDAASSGMLAA >OIW04872 pep chromosome:LupAngTanjil_v1.0:LG09:10344099:10348603:1 gene:TanjilG_14303 transcript:OIW04872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLLCHVPLQTLATVSSISTFASNSKPPCVSLTNQQSYLLFTPRGLNNSFLSLSTMPRKLLCKPPQGKYVREDYLVKKLSAQEIQDLVKGERDVPLIIDFYATWCGPCILMAQELEMLAVEYEKNAIIVKVDTDDEYEFARDMQVRGLPTLFFISPDPNKDAIRTEGLIPIQMMRDIIDKEM >OIW05055 pep chromosome:LupAngTanjil_v1.0:LG09:7273838:7274713:1 gene:TanjilG_02762 transcript:OIW05055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSPFSKASSSTNYNKDKADCDDDSNNNGDNDKKRTCYLCKKDFPSSHSLFGHMRIHSDRPWRGVRPSIHSHHHNDKHISSGFEEDHDDYDDEYDEVVASNIDISKSSSLLRWQKTGKRGRKSSSVYQAAEILMYMSSRSNDFLDIKSMMGEPKNHQPSTISCKGKNLSEASISGIKKNFESMNVEDAIHDHVHNFDEKEIKVMKLLVKKLKVPLHNKEKNNIVDMVESKSSYYAITQDATVRGSTVEAINNNEVQTEKDVSSSQFLGPKFLDFDLNMSPPHDDLDDRENC >OIW04797 pep chromosome:LupAngTanjil_v1.0:LG09:12839003:12843305:-1 gene:TanjilG_11143 transcript:OIW04797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGDDETSGPMIDEIYANGDNNRTRKPIFSGDQLDIEVYASLYAGRTKIMRLLFIADRCGEKNNTTMQLEALRMAYDEIKKGENTQLCREVVQKIDGRLGAGYDLDVAWAENVDRRAEQKKEKLENELNAYRTNLIKESIRMGYNDFGDFYYAHGQLGDAFKSYVRTRDYCTTSKHIIHMCMSAILVSIEMGQFTHVTSYVSKAEQAPESLDLVTVSKLRCAAGLANLKAKKYKLAARKFLETSPDLGSHYNGVIAPQDVATYGGLCALATFDRTELKAKLVPEVRELINDFYSRLVAAQIGRCYDSIEAGEARFKQELDLAVTTRIIDIKREIVMICVVPFERQRGLALGQWHGCLPWGNGKVACLVTWSSSLEFNHYASCLEYLGNLKANLLLDIHLHDHVETLYNQIRHKALIQYTLPFVSVDLNMMANAFKTTVAGLEKELEALITNNQIQARIDSHNKILYARHADQRNATFHRVLETGRVFDRDVRDMLLRSNLIKHEFNLRASRKL >OIW05381 pep chromosome:LupAngTanjil_v1.0:LG09:1750444:1757084:1 gene:TanjilG_28846 transcript:OIW05381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEHAVVNVHGEDRQKIKGGVGVYNGGSHAVVNGAHVGGKIHADVTFDSVSGSDAVSAAADGGSAASDPVAVVDDNGDGGSGAEGLEVGDAESHVTVLEVTVSEIINKESVGTDSSAVSKVIAKEEIREKEISSGTVSVDGENANLGSVTHLDVSGDMDVAEVVVVDEGVIDVNKNHDNDHGIENSVAGTDVVVDRGLNEQAVENEINGGDANGGTTITDSNGAVDAQNSVEDEIHGDGITTVTVANGPVDVKNGVEDVIHSDGVTTVTVANGPVDVQNGVKDEIHGDADGVCITDANGEVGIHSSSVENKIHGDSNKDTITDVNVEVSVQNSVENEIHDDVNGVDVAGAAEVLGEKDIVTVFVGVAHENKSHGEVESVALENGMGVESDVPVVVDGVSVTYVEECADNSDRTSSGEKTQIESLYSRGGDEKDGGTFVQEKSKTVSDTNVDKSLEYKNIDSTDVSNEKDIVTNKSQDGELESVADIRNCEDTSLNECTEKNVVSVDVDGVSPTTDVKDEFHQNGLEKAKLKSVTEVDVERSVVGAEVQNGLAEPELSDCTKEKEVPIELQVGSKPENFEETILEPVLGEKFSAINTTHMTIDSNVVSDLNGNELDCKAEPSADISDIKNIAADIKAEPENNVVKSEMEPSLQGDISTEGGNRGEGDSRPTQEGSSIADSFDGHNVGSEVVKRPFYYLIRLPRYDDDENIQEQINNALKQVDEKTEHRGKIRAEINNRKDLCNEYRQDYRAAKSAEKTARDLFKSKRQELDSVKSTMNRLNNTISVGDIDNKEKSKTVSDTNVDKSLEYKNIDSTDVSNEKDIVTNKSQDGELESVADIRNCEDTSLNECTEKNVVSVDVDGVSPTTDVKDEFHQNGLEKAKLKSVTEVDVERSVVGAEVQNGLAEPELSDCTKEKEVPIELQVGSKPENFEETILEPVLGEKFSAINTTHMTIDSNVVSDLNGNELDCKAEPSADISDIKNIAADIKAEPENNVVKSEMEPSLQGDISTEGGNRGEGDSRPTQEGSSIADSFDGHNVGSEVVKRPFYYLIRLPRYDDDENIQEQINNALKQVDEKTEHRGKIRAEINNRKDLCNEYRQDYRAAKSAEKTARDLFKSKRQELDSVKSTMNRLNNTISVGDIDNKIRNMEHMIQHETLPLNEEKQLIRQIKQLKQNRGELSSIIGKQDQSQQSTDQNDNIEEHTKRSVHLKKELDLLRNNLQKAETATKAAQKKYDDEWDKLSELQGRFNLADRIRQEAYTNLRSLKSQLHEKKKYFWEYKGAITKGQELAAEGRKDELQSFCIDQVERIMELWNKSDEFRRDYIRCNTRSTVRRLQTLDGRALGPGEQPPVILNATITERVSKNNSQIKHLTLEQETKSTSIESVDIKDESVSKVVIQKTERNQTTKGIKPAKPAPSEKSSVAVLRWGDEPNEPEDSIEEPVRTKEEEELILKAEKTRKEDEAAKLKEKRRLEEIEKAKEAMERKKRNAEKAQQRAILKAQKEAEQKEKEREKKARKKERRKAATTDNAENTEQEPAPTSESLTITEEIDQSEKPVEVTKRPQKQPQFPKQTSKSKSVPLPLRNRGKRRIQPWVWWALIAVLFVVALFYMVGNISSLRY >OIW05117 pep chromosome:LupAngTanjil_v1.0:LG09:5709279:5713960:-1 gene:TanjilG_02590 transcript:OIW05117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKIVSIKARQIFDSRGNPTVEVDLTCSDGTFARAAVPSGASTGIYEALELRDGGSDYLGKGVSKAVQNVNTIIAPALIGQDPTQQTTIDNIMVQQLDGTVNEWGWCKQKVYLAYYLGANAILAVSLAVCKAGASVLKIPLYKHIANLAGNKRLVLPVPAFNVINGGSHAGNKLAMQEFMILPVGASTFKEAMKMGVEVYHNLKSVIKKKYGQDAVNVGDEGGFAPNIQENKEGLELLKSAIAKAGYTGKVVTGMDVAASEFYTSDKKYDLNFKEDNNDGSQKISGEALKDLYKSFVTEYPIVSIEDPFDQDDWEHYAMLTGEVGTNVQIVGDDLLVTNPKRVQKAIDSKSCNALLLKVNQIGSVTESIEAVKMSKRAGWGVMASHRSGETEDTFIADLSVGLSTGQIKTGAPCRSERLAKYNQLLRIEEELGAEAVYAGANFRTPVEPY >OIW04913 pep chromosome:LupAngTanjil_v1.0:LG09:9648286:9652375:-1 gene:TanjilG_32021 transcript:OIW04913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGGCTLVQVGGSPWYKLERKLGKGGFGQVYVGRRVTVANLSETTGPGAIEVALKLEHKTSKGCNYGPPYEWQVYNTLGGSHGVPQVHYKGRQGDYYIMVMDILGPSLWDVWNNNNHIMSTEMVACIAIEAISILEKMHSRGYVHGDVKPENFLLGPPGTPDEKKLFLVDLGLAIKWRDSTTGLHVEYDQRPDVFRGTVRYASVHAHLGRTGSRRDDLESLAYTLIFLLRGRLPWQGYQGDNKGFLVSRKKMGTSPETLCSFCPQPFRQFVEHVVNLKFDEEPNYAKYISLFDGIVGPNPDIRPLNTEGAQKLIGHKRGRLAMEEDDEQAKKKIRLGLPATQWISVYNARRPMKQRYHYNVSDQRLSQHIEKGNEDGLFISSVAAAQDLWAIIMDAGTGFTSQVYEVSTQFLHKEWIMEQWEKNYYISAIAGTSNGSSLVVMSKGTQYLQQSYKVGESFPFKWINKKWKEGFYVTAMATSASRWGIVMSRGAGFSDQVVELDFLYPSEGIHKRWDCGYRITATAATLDQAAFVLSVPRRRPTDETQETLRTSAFPSTHVKEKWAKNLYIASVCYGRTVS >OIW04788 pep chromosome:LupAngTanjil_v1.0:LG09:13161270:13171098:1 gene:TanjilG_11090 transcript:OIW04788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRGKTQLKRIENATSRQVTFSKRRNGLLKKAFELSVLCDAEVALIVFSQRGKLYEFASSRKLLGEGLGSCSIDELQEIEKQLERSVSNVRARKVSVIKNPIEFYF >OIW04730 pep chromosome:LupAngTanjil_v1.0:LG09:13515241:13522336:1 gene:TanjilG_08613 transcript:OIW04730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQELEAPQVTRWEGYVDWRNKPALRTHHGGMLAASFVLVVEVLENLAFLANSSNLVLYLREYMHMSPSKSANNVTNFIGTAFLLALLGGFLSDALFTTYHIYLISALIEFMGLIVLTIQARIPSLKPSECDKFTTCIEVNGGKAAILFSGLYLVALGVGGIKGSLAAHGGEQFDETTPSGRKQRSTFFNYFVFCLSCGALIAVTFVVWIEDNKGWEWGFAISTISIFVSIPVFLAGSPTYRNKIPSGSPLTTILKVLVTASLNSCIVRNSSSAVVSPSNHHSGRKESEGEIGKPSTSIEKPSATLKFLNNALLNEPLHSSLECNVQQVEDVKVVLKILPIFACTIMLNCCLAQLSTFSVEQAATMDTKLGSLKVPPASLPIFPVVFIMILAPIYDHIIIPYARKATKSETGITHLQRIGIGLVLSIIAMAVAAIVEVKRKSVAVHSGLLDDATKPLPITFFWIAFQYLFLGSADLFTLAGLLEFFFSEAPIRMRSLATSLSWASLAMGYYLSSVIVSIVNTATSTSNHRPWLSGGNINHFHLERFYWLMCLLSGLNFLHYLFWAIKYKYRGIGTNH >OIW05218 pep chromosome:LupAngTanjil_v1.0:LG09:4599443:4604330:1 gene:TanjilG_21203 transcript:OIW05218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDVKQQEKEPNILEQQENKEEEDEDDYEEEEGWDDWEGDEGEPQSELLCLFCDSKFGSCDLLFVHCDSVHHFDFHGLRKSPGLDFYASFKLINYIRSQVAERRCWSCGLTCMSNQDLQNHLHDVINFNDIKTLWDDARYLKPFVQDDPLLYSFDEHEEGEDEQITAIHDDLMRDLKNSVDAFSDNQNAVKEVVANNDLYDAPIKEGPASISDDHLSFASSSDKEHIDSKDSRGFVSSIDKDSERHLMAHSQNHIAKHIKKANESYFGSYSSFGIHREMLSDKVRMDAYGQAILKNPSLLNGAVVMDVGCGTGILSLFAAQAGASRVIAVEASSKMAAVASQVAKDNVLWLSKSQSGHNGLQKGVIEVVHSMVEEIDKNVELQPHSVDVLLSEWMGYCLLYESMLGSVLYARDRWLKPGGAILPDTATIFVAGFGKGGTSLPFWQNVCDFDMSCIGKELVKEAAQIPIVDVVDYQDLVTGSEVLKTFDLATMKPNEVDFTATATLEPKSSASEHRKIHLDSKSCYCWCYGVVLWFDTGFTNRFCQQTPAVLSTSPYTPRTHWSQTILTFREPIAMGFVKENEVDRTKIGTDICPAMKVDLRVSIVRSTEHRCIDISLEAVGVDSGGRKCSFPAQLFSLQ >OIW05089 pep chromosome:LupAngTanjil_v1.0:LG09:6823235:6829122:1 gene:TanjilG_06225 transcript:OIW05089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRNKAKKISKSSWPKLAVKKWLNRRSSADKFVTEEWLMNSKNGTKAWELGNEATHVHVTNAMDLRMFVGTWNVGGKSPNNGLDLRDWLKSPSPADIYVIGFQEIVPLNAGNVLGPEDSGPVSKWLGLISEALNTTTYTYGSPKPRPRPILDNGQCSPRSDEKGYCLIGSKQMVGIFLCVWVRADLYKHVTNMKVSCVGRGIMGYLGNKGSISISMTLYQTTFCFVCTHLASGEKDGDEIKRNFDVSEILKKTKFPHYPESILEHDNVIWLGDLNYRLVAGYDDTHELLKKNNWQTLLEKDQLRIEQKAGRVFKGWNEGGIYFAPTYKYLANSNNYVAQSSKSKEKRRTPAWCDRILWKGEGLKQIWYVRGESKFSDHRPVYSLFSLELNLLSKYLKPSTTTTRALTNAALSSTCASKVQAEEQLLLLTRLQSCIDIAPRF >OIW04978 pep chromosome:LupAngTanjil_v1.0:LG09:8488985:8489435:1 gene:TanjilG_01174 transcript:OIW04978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFISTTFITLFKLYSLKLFLLFFICTTFITLFKLYYPKSSILANNSTPLNNISQDEVIQLFIEWKKEYRRVYKDNEEMAKKFVTFEEFEETYIGGLEVSSEDDIELNDLPHTVPPSS >OIW05413 pep chromosome:LupAngTanjil_v1.0:LG09:1493204:1496387:1 gene:TanjilG_28878 transcript:OIW05413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIPHFLAIPFPVQGQVNPVMQFCHVLSKLGYKVTFLHTEFSYKRWNRTISTEKDNSEINFVTFPDGLGPEDDRSDIMKVLFSMNNTMPDLFPKLIEEINGLDTENKISCMIVTMNMGWAIEVGHKLGIKGAFFFAASASSLASCYCIPKLIDDGIIDSNGIPTKKQEIQLAPNMPNMDTADLPWLSLGKTFFTNQLVPEMQIMMKFGEWWLCNSAYDLEPGAFSLSQRFLPIGPLMEIESNKSSFYEEDTTCLDWLDQHPSKSVIYVSFGSLAVMEPNQFNELALGLDLMNKPFLWVIRPGNDNNAYPNEFNGSKGKIVSWVPQKKVLNHPAIACFISHCGWNSTIEGLCSGVPFLCWPFFSDQFLDKSYICDVWKVGIGLEKDENGLIRKEEIEKKVDQVLGCDEIRARSLKLKEIAINNIQEGGKSLKNLEKFLNWAE >OIW04174 pep chromosome:LupAngTanjil_v1.0:LG09:21029057:21030982:-1 gene:TanjilG_00734 transcript:OIW04174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPASQSKPKDKRASKEAQKASAKPAVSGNTVAGIPASAYNPLLGTFHNLEMSASSTTPSIHSDRRFWNIDETDEHPAGSVVAGIEYDSVSNNDSCSGESEDHKEKTSNTPVRLDSVPGTDNDKREKIRQKNEKKHQRQKERRAQELHERCNGFLMSRKLEALAQQLVAMGIPHERATMALILNKGRVEQSVAWLFEAGEDDSHKHKSVGSENLKIDISEELARVADMEIRYGCSKQEVEKVIVACNGDLDKAAESLREIKQGPLLAPPKPEEIGDPLTVNNAKQSGVASQRPLTKPAPSPNHHKNDEKEFNYAKEAVAIGVSPEPSNRNIQALKRTQPKFEWVKPQQATIPSDKRGSNAGSSLSVSLAPPLQMSPQPSKIEARHYMAVGGDYKNLQPGASREPVFVMQRPQTVNVKQVPATSMSSSNWYPTNNVEAMRSNAFVSHTPALGNLSQNYPSSNQIYHQLQYQPQQFVSHSSYSVDPQATSRAKIMWNRNGASPTLAAAASLGLFSGLGSAATSGASSPVDWSTGGSMHFDYTNIDWSVRRSISPPRSKASWLGVAPLSKSTAQLYGSNASGVVAQPPFRSVPSNGGMGSMSGLQDGGVPSAETAPVGSREWRSPFEGKDLFSLPRQFVYSPSL >OIW04740 pep chromosome:LupAngTanjil_v1.0:LG09:13675188:13679032:1 gene:TanjilG_08623 transcript:OIW04740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGTSPGKWFKNLLLGKKPSSKSKSSKKGDMFKPSNNKDVLQSSELTVSDPIVDSLVISSPISGANAIKGVVSEKEVITRSSRDKDIISARDEETRAEAVASFGSHEDLEKLRLTEAAITVQSACRGYQARQTFKKLKGIIQLQALIHGHRVRRQAVSALYCVKGIVKLQALVRGYNVRHSDIGITVQKIRKDTKCSNSAGVVTTAQADKLSDSVFVRKLLASSSPAFPRCFRFDPAEPNMSKEWLYRWTRSHFWAPIPKLKKKLDSVSDENNGSCRVERGQVKRNTRKSPTIKAEDGSGSGSNKYKQRPKRDSNRPLLSAQEHLQKEIEKSSSVKTRVQTLSDRSEVNEKGKHSTRKNSDHTVTDVSKQGSSVSSGKVKDLAVTKSKDLAVSSASSEKMKDLTVSKSGESDPGKSVGQQVEDNHDNEPHNDPISVLKTGMMNGTDEGTRKISDHAATEVSKLDQSASSEKMKDLAVSKSKESDPDKSLGQQVEDKHDNDSIVNGRDEGIQGVSEDLNGADNCIRNNYQRRASLPGNFNDQDNESHNTPRLPSYMAPTESAKAKLRAQGSPRFASDLADKNSITRRHSLSSSLNGKSDSFSPRAERLVMSGRGVIRTDKSLSSSRDGNGIVQHTDMRRPKNPCTEMHA >OIW04762 pep chromosome:LupAngTanjil_v1.0:LG09:14205650:14208325:1 gene:TanjilG_08645 transcript:OIW04762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKGSNLIKLLLLTQCLTVLCVSQDFDFFYLVQQWPGSYCDTKKSCCYPSTGKPAANFGLHGLWPNYNDGSYPSNCDPNNPFDQSQVSDLTSELQSDWPTLACPSNDGINFWTHEWDKHGTCSESNLNQHDYFEAALKLKQQANLLKALKSAGINPDGGSYSLANIKGAIKDAIGFTPYIECNVDTEGNSQLYQVYLCVDTSGSNLIECPVFPRGRCGSDIEFPTF >OIW04726 pep chromosome:LupAngTanjil_v1.0:LG09:14601195:14606632:1 gene:TanjilG_06704 transcript:OIW04726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQLNMEHSEDEEDPPLAVQIQNHDDDDESISHQSSSVGVTLITGYLGAGKSTLVNHILSSQHGKRIAVILNEFGEEIGVERAMINEGDGGALVEEWVELANGCVCCTVKHSLVQALEQLVQRKERIDHILLETTGLANPAPLASVLWLDDQLESDVKLDSIVTVVDAKNLRFQLDKQRGSSSSPEAYFQIAFADIIILNKVDLVSAEGSGALEELEEEIHNINSLADIIHSVRCQVDMSKILNRQVYDTARASHLESLLEESRSLSTKKLHDSGVRTICICERQTIDLDKTRIWLEEILWEKKYDMDVYRCKGVLSVQNSDQLHTMQAVRELYEIVPARKWENEEIRMNKIVFIELVQPTKLYLFPLCRS >OIW04727 pep chromosome:LupAngTanjil_v1.0:LG09:14606733:14611617:1 gene:TanjilG_06704 transcript:OIW04727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RPHPFLIVILSSSSSSSYPNHHPLPFPHPILILILILFHILILILSSSSSSSYPHPPPHPILILLLILSSSSSSSYPHPPPHPILILLLILSSSSSSSYPHPPPHPILILLLILSSSSSSSYPHPPPHPILILLLILSSSSSSSYPHPPPHPILILLLILSSSSSSSYPHPPPHPILILLLILSSSSSSSYPHPPPHPILILLLILSSSSSSSYPHPPPHPILILLLILSSSSSSSYPHPPPHPILILLLILSSSSSSSYPHPPPHPILILLLILSSSSSSSYPHPPPHPILILLLILSSSSSSSYPHPPPHPILILLLILSSSSSSSYPHPPPHPILILLLILSSSSSSSYPHPPPHPILILLLILSSSSSSSYPHPPPHPILILLLILSSSSSSSYPHPPPHPILILLLILSSSSSSSYPHPPPHPILILLLILSSSSSSSYPHPPPHPILILLLILSSSSSSSYPHPPPHPILILLLILSSSSSSSYPHPPPHPILILLLILSSSSSSSYPHPPPHPILILLLILSSSSSSSYPHPPPHPILILLLILSSSSSSSYPHPPPHPILILLLILSSSSSSSYPHPPPHPILILLLILSSSSSSSYPHPPPHPILILLLILSSSSSSSYPHPPPHPILILLLILSSSSSSSYPHPPPHPILILLLILSSSSSSSYPHPPPHPILILLLILSSSSSSSYPHPPPHPILILLLILSSSSSSSYPHPPPHPILILLLILSSSSSSSYPHPPPHPILILLLILSSSSSSSYPHPPPHPILILLLILSSSSSSSYPHPPPHPILILLLILSSSSSSSYPHPPPHPILILLLILSSSSSSSYPHPPPHPILILLLILSSSSSSSYPHPPPHPILILLLILSSSSSSSYPHPPPHPILILLLILSSSSSSSYPHPPPHPILILLLILSSSSSSSYPHPPPHPILILLLILSSSSSSSYPHPPPHPILILLLILSSSSSSSYPHPPPHPILILLLILSSSSSSSYPHPPPHPILILLLILSSSSSSSYPHPPPHPILILLLILSSSSSSSYPHPPPHPILILLLILSSSSSSSYPHPPPHPILILLLILSSSSSSSYPHPPPHPILILLLILSSSSSSSYPHPPPHPILILLLILSSSSSSSYPHPPPHPILILLLILSSSSSSSYPHPPPHPILILLLILSSSSSSSYPHPPPHPILILLLILSSSSSSSYPHPPPHPILILLLILSSSSSSSYPHPPPHPILILLLILSSSSSSSYPHPPPHPILILLLILSSSSSSSYPHPPPHPILILLLILSSSSSSSYPHPPPHPILILLLILSSSSSSSYPHPPPHPILILLLILSSSSSSSYPHPPPHPILILLLILSSSSSSSYPHPPPHPILILLLILSSSSSSSYPHPPPHPILILLLILSSSSSSSYPHPPPHPILILLLILSSSSSSSYPHPPPHPILILLLILSSSSSSSYPHPPPHPILILLLILSSSSSSSYPHPPPHPILILLLILSSSSSSSYPHPPPHPILILLLILSSSSSSSYPHPPPHPILILLLILSSSSSSSYPHPPPHPILILLLILSSSSSSTTSYPHPHSFLFVILILLILLFILSSFSSSSYPHPDPHPHLNIILILILILILLLILLL >OIW04305 pep chromosome:LupAngTanjil_v1.0:LG09:18699651:18701312:-1 gene:TanjilG_32497 transcript:OIW04305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMWEYHEFDDSVPKPDPEPDSSFLNFDLFSALSNPKDYYKILEVDYDATDDTIRSNYIRLALKWHPDKQKAQDSATSRFQDINEAYQVLSDPIKRRDYDKIGMLYVYDYNIADYLNRYKGLILTCNGLGMKHSIW >OIW04851 pep chromosome:LupAngTanjil_v1.0:LG09:11179871:11180224:1 gene:TanjilG_13691 transcript:OIW04851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMKEKKLTVIGTVDPVNVVSKLRKYWHTDIVAVGPAKEPEKKEEPKKLEEKKEEPKKEEGKKDEKKEEKKEEEKKKDPAPDPVLELVKAYRAYNPHMTTHYYVQSMEENPNACAIC >OIW05284 pep chromosome:LupAngTanjil_v1.0:LG09:3368394:3373524:-1 gene:TanjilG_03673 transcript:OIW05284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSHYSLFLFLSLLILCGHVHSGDLLSDGVHNTSTFSNDPFLKLPSDTVEPSSTCEQTYGFLPCTTTVFGNMFLIIVYGFLMYTAATYLSGGSELLLEILGPGIVGGLFLPILGALPDAMLILVSGLSGSNETAQSQVSVGMGLLAGSTVLLLTIIWGTCVIVGKCDLEGSIAIDTRDTRGFHLTGSGVSTDIWTSYAARIMVLSVLPFLIVQLPQMLNSTSGRHLAVLIALVVSICLLITYCLYQIFQPWIQRRKLSYVKHKHVILGLLRHLKKRALGRLLTENGEPDVKIIEKLFDTIDENNDGELSHGELNALVVGIQFEEIDLDHEDAVIKIMEDFDASHNNRVDKREFVSGTCKWLQKAKGSRVTSGDSGAHTMKFLSDFHDETKREHDLLDVGGESDEVIEGVGNAGWTTVKAVLLLLLGSLIAAAFADPLVDAVHNFSNATSIPAFFISFIALPLATNSSEAVSAIIFASRDKRQTASLTFSEFLCPKWQLAVFGFLGDIWGSDNEQCALPISLLGPGLRERIDMGLLLGSVSYSRRLHRHGRLCQFQNHLPSMDIYTSHPPLSLLIGISVCS >OIW04706 pep chromosome:LupAngTanjil_v1.0:LG09:14626727:14628535:1 gene:TanjilG_07831 transcript:OIW04706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLLVYFMLFMSLTSHSSANYCLCKDGVGDQALQKAIDYACGAGADCTPIIQNGPCFQPNTVKDHCNYAVNSYFQRKGQVQGSCDFNGAATPSVTAPTTSTSTCVFPSSPSNAGTSTSTTPTTTTPGTTPTTTTPGTTPSTLTPPTGTTPIGTSPGTSTGTGTGTGTGTTTGSPTVFGISPTSSTGSGFSDPNHAVVHVIDTNMFLLSLVLTFWLVALRV >OIW04080 pep chromosome:LupAngTanjil_v1.0:LG09:20379384:20379815:-1 gene:TanjilG_00640 transcript:OIW04080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMIPCIFGTGRSINFLRNPFATTSTTNDTSTIINAHINWKETSEAHIFMVDLPGLKNGDVKVDMLAGKVLQISGDWSKEKKENNDTVRRLERSGGNFVRRFRLPENAKVEKVKACMENGVLTITVPKEEVKKPYLKLVQIKG >OIW04396 pep chromosome:LupAngTanjil_v1.0:LG09:19562208:19564109:-1 gene:TanjilG_32588 transcript:OIW04396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKESYILYVLLMLLGNTLMGEVIGEHFVFAPIIMGLAVPDGPPLATALVEKLDAIVSSLFLPLYFLFCGSKFKISLMDAHNFAVVQLVALICFIGKVIGTILPSLFYKMPLVDAICLGFLVSAHGITQLIYLQTSLHLGIIDEESYGNVVIALLWSAGVSTPVVKFLYDPSKGYMAVSRRRNIEHAFPNAELQFMACIHCAENSLSIINILEMSNPTQESPICLYVLHLVQLKGSTAPLFIDHQQVKKNYPESLYSSQSECMINAFRSYEKQNSGKVVTKLFTSISPYETIHDEICLQIVEKKVSMLIVPFHRLWISTEITESTQPIRALNRHLLRIAPCSVGILIESGTLNRNSAITCLSFYSVGVVFIEGPDDREALAYAMRMANGPNVRVTLVRLVEPHKKSKTLINRDHDGKLIHQFKFEYVHVKHHDYREEIVRDAVDVTNVVRSLDGCFDLLLVGRSHASESTQLFSGLAEWNEYPELGYVGDMLVSSHSSFVGSVLVVQQQRLGAAASYHDDHLDTSSHSIKSGQSWA >OIW04925 pep chromosome:LupAngTanjil_v1.0:LG09:9239647:9246664:1 gene:TanjilG_15670 transcript:OIW04925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVRVLENAAPSQVSGANSGQTSFQFCSLLGVGQAFSGTQNVSSLQKEDEAWRVNVRIQGCDLEHGYLCGTMEALNVPMADTPVVTFWEGEIVDTKNYTFFTGKWEATQEDDIRHWTKFPSFSPLLAQVEVDGGKTLDLSNYPYIFM >OIW04886 pep chromosome:LupAngTanjil_v1.0:LG09:9989833:9995957:1 gene:TanjilG_24002 transcript:OIW04886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKESSAVLKAGEFPTRLTRSQAAASSLVSKQLPPLKEPAQRNRNQPLRANPKRAVSDTNSKCLQRKKRTVLQDVTNVCCESSYKSCFHSTIIQAKKRKLLKAPPVALKLPQLQVGSKAKSLPEIGVKSDNTICSTNLENNALLNLDSNKCGKDDNLIENRSSGTSAQPSIFRNKAEKGSFDELLIASKDPVVTNIDDNLEDPQLCSLYVTDIYDNLRVAELTRRPHPNFMETVQQDITQSMRGILVDWLVEVSEEYKLGLDTLYLSVYLIDWFLSKCYVERQRLQLLGVTCMLIASKYEEINAPHIEDFCFITDNTYSKAEVLTMESQVLKLSEYKLFAPTTITFLRRFLHASQASSYKSPNLELEYLASYIAELALMDYGFLNFLPSIIAASAVFLARWTLDQSNHPWNPTLEHCASYKASDLKTTVLALQDLQLNTNGCPLTAVRSKYRQDKMIGGSNASGS >OIW05226 pep chromosome:LupAngTanjil_v1.0:LG09:4240562:4241959:1 gene:TanjilG_21211 transcript:OIW05226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLWKLLNYGFFPKKKGTPRKSEIIFIAPSGEQISSKRQLEKYLKAHPGNPAISEFDWGTGETPRRSARITEKVKSTSPAENESPKKRSRKSPGSKKKDDNETEPALEDGKDKLAAEEPKNAEDIEMKDVEKADEESADANKGENISEEKQQPEDGDDGQQTKEPDVEDAEVTAPNDTDNNKAGTEEIKNSNVEVENVISEEPKLVAESEETAGKALDDVVIENPQGETPVELVEENEFEKALNAVVTDNLEVEAPIETAKENGTVENKQEKSDTVIIEANGVAEKENSNAVPPSSVEDAYVVKEIPITDGENTTQPEH >OIW04801 pep chromosome:LupAngTanjil_v1.0:LG09:12523673:12523894:1 gene:TanjilG_23699 transcript:OIW04801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGGLKKIVALALTEGITEARARIFGHQINPTGQKSAHKLLRKKLIGEKVAQWYPYDIKKDDPLVMARQEQE >OIW05420 pep chromosome:LupAngTanjil_v1.0:LG09:1419605:1422207:1 gene:TanjilG_23246 transcript:OIW05420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNFPYILYSDGDFVSFSVAEATHDFVRLVSGYTRDVTELHPFVPGLPSPRVLEDGTQIIASMAIQVTVFPNSGFTICINFRHVVADGKSFHHFMKFWASVCRSKGELASLEGSLAMPLHNRDIIEDPKGLKLSFLEEIWNSSPESVESIGLVRDVPDDIMRRTFVLSRDHVEKLKKLVSTKCKSYGLGTLHVSTFVVTCSLIWVCKTISEDTRIGTSLTNNDESYILAFMADCRNRPEYSIPSTYFGNCLGCGNAVVKRSKLVGENGILEAAIAIGNEVRNLHCEAYKGVERLMSNFTEFATLGKHMVIIAGSQKLDVYETDFGIGKPKMSEVVHVDNAGSISLSDCRDREGGIEVGLALERIQMNKFISIFEEHLTEIALHD >OIW04685 pep chromosome:LupAngTanjil_v1.0:LG09:15085004:15090911:1 gene:TanjilG_00121 transcript:OIW04685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKDTSNADSQNTRLGLLKDQVHLVKRKDSDRHEIAPIQDQLSFEKGFFIVIRACQMLAQKNTGILLVGVAGPSGAGKTVFTEKILSFMPSIAVISMDNYNDSSRIVDGNFDDPRLTDYDTLLQNLHDLKEGKSVQVPIYDFKSSSRTGYRTVEPPSSQIVIIEGIYALSEKLRPLLDLRVSVTGGVHLDLVKRVIRDIQRAGQEPEEIIHQISETVYPMYKAYIEPDLQTAHIKITNKFNPFTGFQSPTYILKSTRNLTADQIKAALPEGYKETTEQTYDIYLLPPGEDPETCQSYLRMRNKDGKYSLMFEEWVTDTPFVISPRITFEVSVRLLGGLMALGYTIATILKRNSHVFFDDKICVKLDWLEQLNRHYIQVQGRDRLVVKCIGEQLGLEGSYTPRTYIEQIQLEKLVDEVMALPDDLRTKLSLDEDLVSSPKEALSRVSADRVAMRNKNMRSGMSQSYTNQRDKNLAKVTGYVANSPGFGERNSDSSTRPADQRAISQLSEQISALNDRMDEFTNRIEELSAKLTIKKNSPSHQSMSLQAESCNGSAPTSYFITSLGNGSLTGSKMPNPSSSSQLAKDSPLMDEISGITRGQRQIMHQLDNLSNLLRGSLGEKPHQTRTNSRKKIMNSDPAGTRLMVVLAVGCLGAILVKGLWTRN >OIW04186 pep chromosome:LupAngTanjil_v1.0:LG09:21118664:21119512:-1 gene:TanjilG_00746 transcript:OIW04186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENTHAPSLSQTSIEEDNSSHHSQEHNMNDQSQVLATPSKRPRGRPLGSKNKPKPPFVITQESEDSLKPVVIEIPIGNDIIQTLINFAHHHRVSISLLSGSGSVTEITLRHHVSRASVFPIHGTCRILSLTGSYIRVRLPSLASSNVAFHPCSSFGIIVAGPQGQVYGGVIGGRVIAASVVMVVATVFKNPQFHRFSFINENVIDEEGDEENDENEENEENDNSANVGVTNVDRSGGNNNNGIVMIPNISGFGVANSTGQVPIANMNVMQWNHFNRLPYNY >OIW04782 pep chromosome:LupAngTanjil_v1.0:LG09:13309179:13310175:-1 gene:TanjilG_06371 transcript:OIW04782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGANFSNLEIVDRSLKPSLILTFHSNAKWKAHFDASKETNKLMVIDFTATWCGPCKYMDPIIRDFAAKYTDVEFIKLDVDELMEVAQAFQVQVLPTFILIKKGKIAEKVVGAKKEVLQKLIEKCMN >OIW04408 pep chromosome:LupAngTanjil_v1.0:LG09:19679849:19680070:-1 gene:TanjilG_32600 transcript:OIW04408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSEGKGGEIEIGWARRLLVGGPGSFPPRCTSKCGNCTPCKPVHVPVPPGTPVIAEYYPEAWRCKCGNKYYMP >OIW05202 pep chromosome:LupAngTanjil_v1.0:LG09:5135950:5138839:1 gene:TanjilG_19833 transcript:OIW05202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPYSNFLSSSSSSSFFQFNHFQSSQKPSQHQHQHHHHNPYLYNFINTTQNDTTFSHFHHYHNLQTQTTTNPPSPPLREELPLLTLSPAKQKENNNNIHDEEQDQEELQHLSCTAMDVEERIYLYKKEEQKEQDENTVTVALHIGLPNPSAAEIASMLSSNNNSSSEITDKDQHGGDGSEGSSGFMLNNRLNKGQYWIPTPSQILIGPTQFSCPVCCKTFNRYNNMQMHMWGHGSQYRKGPESLRGTQPTGMLRLPCYCCAPGCRNNIDHPRAKPLKDFRTLQTHYKRKHGIKPFMCRKCCKAFAVRGDWRTHEKNCGKLWYCICGSDFKHKRSLKDHIKAFGSGHAAYGIDGFEEEEDEPASEVEQDNDESTQ >OIW04937 pep chromosome:LupAngTanjil_v1.0:LG09:9483125:9483307:1 gene:TanjilG_15682 transcript:OIW04937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHSASPNARKPEAHIQLYDRGTPEAHIQLSDRGALTVSAKSGRIMTEAHKCSSAMPQAH >OIW05004 pep chromosome:LupAngTanjil_v1.0:LG09:8139669:8140691:-1 gene:TanjilG_06416 transcript:OIW05004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIISIAIILAMVNLVNGQINTPCTTSMISSFTPCANYITGSTNNGAIPSNTCCDSLTSLISTSMDCACLIISANAPILPGNFINQALVLSLAKACNIGGVSAQCKASGSPLPAPGPAILGSNGPTIPPIANFPTSPQASEKMSVAERQKYENMQLAASTPTPTPVEEAEPPSNIPGIQPLLTPLSSASHPSYVSFSLFALLLFMGLVLSATY >OIW04942 pep chromosome:LupAngTanjil_v1.0:LG09:9547697:9551748:1 gene:TanjilG_15687 transcript:OIW04942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYLLGAFKPASNVLITFNDGKNRKQVPFKKENGQTVTVPLFQSQENIAGKITIEPMQGKKIDHNGVKVELLGQIEMYFDRGNFYDFTSLVRELDVPGEIYERKSYPFEFSTVEMPYETYNGVNVRLRYVLKVTINRGYAGSIVEYQDFVVRNYTPPPAINNSIKMEVGIEDCLHIEFEYNKSKYHLKDVIIGKIYFLLVRIKIKNMDLEIRRRESTGSGTSTHVETETLAKFELMDGAPVRGESIPIRLFLSPYELTPTHRNINNKFSVKYFLNLVLVDEEDRRYFKQQEITIYRLEETS >OIW04153 pep chromosome:LupAngTanjil_v1.0:LG09:20913104:20916652:1 gene:TanjilG_00713 transcript:OIW04153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMDGTLTPKKSLLSLTSSRSVTQTINGSHKFVIQGYSMAKGMGVGKYIVRDLFTVGGYCWAIYFYPDGRNPEDNSAHVSVFIVLVINSTDVRALFELILVDQSGKGKHKVHSHFDRPLESVPHTLKCKGSMWGYKRFFRRSLLESSDFLKNDCLKINCTVGVVVSTTDSPQLHSINVPESDIGSHFGALLENMEGSDVIFNVAGERFPAHKLVLTARSPEFRSKFFDGLNADNQEIIVTDLDAKVFKAMLHFIYRDTLMEEVDEVSSTTSSDFPILETLTAKLLAAADKFGLERLKLMCESRLCKDICVNSVANILTLADNCHATELKAVCLKFAAQNLAAVMRSEGFESMKEKCPRLQSEILKTIAGCEVDSCSAGEKSQSVWAQLSDGGDVNSRRVRQRI >OIW04356 pep chromosome:LupAngTanjil_v1.0:LG09:19216185:19219965:1 gene:TanjilG_32548 transcript:OIW04356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVHANVASIVCKNGNNGCQSKFPSTAFLPGFDVVGRVSSAWKKELTPSYMALGPKATLTFDPPATNSDKTKQKKDTVDPASPDFLPLPSFEQCFPKSTKEHSEVIHEETGHALKVPFRRVHLSGDEPQFDTYDTSGPQNISPRVGLPKLRKDWIDRREKIGAPRFTQMYYAKQGIITEEMLYCATREKLDPEFVRSEVARGRAIIPSNKNHLELEPMIVGRNFLVKVNANIGNSAVASSIEEEVYKVQWATMWGADTVMDLSTGRHIHETREWILRNSSVPVGTVPIYQALEKVNGIAENLTWEVFRDTLIEQAEQGVDYFTIHAGVLLRYIPLTAKRMTGIVSRGGSIHAKWCLAYHKENFAYEHWEDILDICNQYDVALSIGDGLRPGSIYDANDTAQFAELLTQGELTRRAWEKDVQVMNEGPGHIPMHKIPENMQKQLEWCNEAPFYTLGPLTTDIAPGYDHITSAIGAANIGALGTALLCYVTPKEHLGLPNRDDVKAGVIAYKIAAHAADLAKCHPLAQAWDDALSKARFEFRWMDQFALSLDPMTAMSFHDETLPSEGAKVAHFCSMCGPKFCSMKITEDVRKYAEKHGYGDAEEALKQGMEAMSAEFRAAKKTVSGEQHGEAGGEIYLPTTYLSSKERECKLYTWIELGLFLGSRFSKMAMPIAFVQKVAAHEAPTTR >OIW04366 pep chromosome:LupAngTanjil_v1.0:LG09:19288079:19291525:1 gene:TanjilG_32558 transcript:OIW04366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNTTSKSLRQGSVSGLQMSKQHFKVCFCFKRIFRLKVAEPPHEICTIFDAYSQNGNMSMDDLYTFLVQFQGERGGDATKKQVQAIFDSLKHLTIFQRKDLHIEAFFRYLLGNLNGPLAQDQVHQDMNSPLAHYFLYTGHNSYLTGNQLSSESSTYPIIEALKKGVRVIELDLWPSCKENDVIVRHGGTLTSSVKLKACLNAIKDYAFHASEYPVVITFEDHITTNLQAKVAKMVNDIFGEMLYRPDSQQMEIFCSPESLKGKILISTKPPETPDIQGKRVEEERSLNLDDYKQDDLDDQGEEDDAIGLGYRDLISIHAGKPKGKIENWLIHHGQARRVSLSEQELEDIAIKYGTDIVRFTQTNLVRIYPKGMRVDSSNYDPMTGWMHGAQMVAFNMQGHDHFLNIMQGMFKANGGCGYVKKPDILLNVDKGFDPRETRSIQKTLQQVLVYMGEGWQSDFGRTHFDLYSPPDFYVKVDIHGVPADIATKETRTIEDEWVPVWNELLSFPLTVPELALLYIKVGERDFSGKPDFGGQTCLPVFELTEGIRAVRLYDRKGELYNSVRLLLQFQFVNNTNA >OIW05143 pep chromosome:LupAngTanjil_v1.0:LG09:6014288:6020063:1 gene:TanjilG_02616 transcript:OIW05143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQEEDSCSNTIEQWKWSEMQGIELNSQSHTPHQEMDTSLSQKPNKDVDGVLDDAIGNGGDGKNNDGSGVKKKTKVESVHPTGFVSLFRFADGLDYILMAIGTVGAVVHGCSLPLFLRFFADLVNSFGSNANDVNKMTQEVVKYAFYFLVVGAAIWASSWAEISCWMWTGERQSTKMRIEYLEAALNQDIQFFDTEVRTSDVVFAINTDAVMVQDAISEKLGNFIHYMATFVSGFVVGFTAVWQLALVTLAVVPMIAVIGAVHTITLAKLSGKSQESLSQAGNIAEQTVAQVRVVLAFVGESRALQAYSSALKVAQKLGYKTGFAKGMGLGATYFVVFCCYALLLWYGGYLVRHGYTNGGLAIATMFAVMIGGLGLGQSAPSMAAFTKARVAAAKIFHIIDHKPSIDRNSESGLELEGIMGLVELKNVDFSYPSRPDVRILDDFSLNVSSGKTIALVGSSGSGKSTVVSLIERFYDPTSGQVLLDGHDIKTLKLRWLRQQIGLVSQEPALFATTIRENILLGRPDADQVEIEEAARVANAHSFIIKLPEGYETQVGERGLQLSGGQKQRIAIARAMLKNPAILLLDEATSALDSESEKLVQEALDRFMIGRTTLVIAHRLSTIRKADLVAVLQLGSVSEIGTHDELFSKGENGVYAKLIKMQEMAHETAMSNARKSSARPSSARNSVSSPIITRNSSYGRSPYSRRLSDFSTSDFSLSLDASHPNYRHEKLAFKEQASSFWRLAKMNSPEWLYAFIGSIGSVVCGSLSAFFAYVLSAVLSVYYNPDHGFMIRQIEKYCYLLIGLSSAALLFNTLQHFFWDIVGENLTKRVREKMLTAVLQNEMAWFDQEENESGRIAARLSLDANNVRSAIGDRISVIVQNTALMLVACTAGFVLQWRLALVLVAVFPVVVAATVLQKMFITGFSGDMEAAHAKATQLAGEAIANVRTVAAFNSERKIVRLFTSNLQTPLKRCFWTGQISGIGYGIAQFALYASYALGLWYASWLVKHSISDFSKTIQVFMVLMVSANGAAETLTLAPDFIKGGRAMRSVFELLDRRTEIEPDDPDATPVPDRLRGEVELKHVDFSYPTRPDMPVFRDLSLRARAGKTLALVGPSGCGKSSVIALIQRFYDPTSGRVMIDGKDIRKYNLKSLRRHISVVPQEPCLFATTIYENIAYGHDSATEAEIIEAATLANAHKFISSLPDGYKTFVGERGVQLSGGQKQRIAVARAFVRKAELMLLDEATSALDAESERSVQEALDRASSGKTTIIVAHRLSTIRNANVIAVIDDGKVAEQGSHSHLLKNYPDGIYARMTQLQRFTHNQVIGMASGSSSSMRPKDDDREG >OIW04628 pep chromosome:LupAngTanjil_v1.0:LG09:16292950:16296440:1 gene:TanjilG_30526 transcript:OIW04628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEKVEAFNMDKVIEEFEAVTKDAERVQRETLKRILEDNASAEYLLSLGLNGRTDPESFKTCIPVVRHKDLEPYINRMIYGDYSSILTGKPITNMSLSSGTTHGKPKYIPWNDELFETTVQIYQTSFSFRNREFPIKNGKALSFIYSSKQFKTEGGLAAGTATTNVFRDKRYKQAMAALQSQCCSPEEVIFGSDFHQSLYCHLLCGLIFRDQVQLVSSTFAHSIVHAFRTFEQVWEDLCHDIKKGVLNSRIKVPSIRTAMSKILKPNPELANLIHKKCTGLSNWYGLIQELFPNAKYVYGIMTGAMEPYLKKLRHYAGELPLVTSDYGSSEGWIGTNVSPKVPPELATYTVLPQIGYFEFIPLTKNNFICVDPKPLGLTEVKVGEEYEIVMTTPAGLYRYRLGDVVKVTGFHNSTPKLKFVRRSGLLLTVNIDKNTENDLQLAVEAASNLLAEEKLEVVDYTSHVDLSKEPGHYVIFWEISGEASEEVLGECCNCLDKSFVDAGYTSSRKVNGIEALELRVVRRGTFQKILEHFLGLGGAVSQFKTPRCVGTTNSKVLQILMENVVGKYVSTAYN >OIW05207 pep chromosome:LupAngTanjil_v1.0:LG09:4982738:4986017:-1 gene:TanjilG_14760 transcript:OIW05207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDKVWGCCSSEEDSDDNINNNNEFNFQKASDDEENDHNLHLRNVQCKGWWNDEIEMTEVADKNGKMCVTSGVCGSDKTYSSIEETVYLMELGDLHLSDNGGDRSLALMDMYKKVIGRKGGCCWEQFEVYRHLKNLGYIVGRHGVFWSLKGIKSSHKNVALEDIKESKQLVDTGYEVELPFNELFGELQIDDLRPDFDVYPPNSRFQKSSPGDPSFLLYLAREHPPSRTGIEIEALEKQCDGIPLKIGRVTGGRVSFFSFDNVELPVLP >OIW04173 pep chromosome:LupAngTanjil_v1.0:LG09:21025916:21027740:1 gene:TanjilG_00733 transcript:OIW04173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATFSDLHTESGLKSLEEFLSGKTYISGDELTKDDIKVYGSVLEKPSESFPHVATWYEVVSSHLALSFPGTAQGVKFSGKSAPVEAAPAKADAPATEDDDDLDLFGDETEEEKKAAEEREAAKKPAKKKESGKSSVLLDVKPWDDETDMKKLEETVRSIELPGLLWGASKLVAVGYGIKKLQIMMTIVDDLVSVDTLIEERLTVEPANEYIQSCDIVAFNKI >OIW05163 pep chromosome:LupAngTanjil_v1.0:LG09:5530106:5534689:1 gene:TanjilG_19794 transcript:OIW05163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVGLVSYTAGTLSHSLLFLCSTSRRKFSVKCSSKDMGVETNLLAKAKKPADFRLCNVSSYTTEILEIQADAPSLHVLFVPGNPGVILFYKDFVEYLFELLGGIASVTAIGHVSQTKKNWEHGRLFSLQEQIDHKVDFIREELKNTEIPIVLIGHSIGSHISIEMFKRSPDKVKYCIGLYPFLTLNRHSEKQIVIGKIAESRILSAALSYLIASLGLLPARALRFIVRKFLGQSWSANAVDAVCSHLSQYHTMRNVLYMAMTEFSEFSEASDWTFIRERKAQFAFLFGDDDHWAPLQVLEEISEQVPGIVTAIERENHTHSFCCTEAGSLWVAQHVANLIKNQTYK >OIW05404 pep chromosome:LupAngTanjil_v1.0:LG09:1546115:1552869:1 gene:TanjilG_28869 transcript:OIW05404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLKISKPGQPKINHRLSLQDHMINYLAKNFIRNLVSKQRRRMLIAGYDLDMSYITDRVLAMSFPSERMRAMYRNPLWQVKSVLDMRHQDHYKIYNLCIEESYDPTHFYGRVEAYPFDDNHVPPLEMIKAFCESVDSWLSSDPKNIAVIHCMAGKGRTGLMVCAYLTYCGMSADEALQLYADRRTTNNEGVSIPSQRRYVGYWESVLSVPRGAGNGAPIVNLPPSCSRELRRIRLYDTVNIDTVFFVISELQQVPNQVYRPSVEVSRSCCRQVKKGYQRTNSPRYYISYVEGDENGNQTEQEEPRIVVQMDTESPIIYKKSCLDHYFDQPIKVTGDVRVIFYEKMIGGRLFYCCFNTAFIRNSLLQAGKGRTGLMVCAYLTYCGMSADEALQLYADRRTTNNEGVSIPSQRRYVGYWESVLSVPRGAGNGAPIVNLPPSCSRELRRIRLYDTVNIDTVFFVISELQQVPNQVYRPSVEVSRSCCRQVKKGYQRTNSPRYYISYVEGDENGNQTEQEEPRIVVQMDTESPIIYKKSCLDHYFDQPIKVTGDVRVIFYEKMIGGRLFYCCFNTAFIRNSLLQLTIRDLDKVGKKGRSICGPAFCVELLFGPANTGLSSSSISIGDTFSDDTF >OIW04645 pep chromosome:LupAngTanjil_v1.0:LG09:15351110:15357124:1 gene:TanjilG_07780 transcript:OIW04645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNAYFSSTIYAVRCKESFTLSSNGYSNLWYERTPSRCSSRCGCCDFCSLSTYRVPVKSSLLSGLRQSTLIQLPPSRRMILGGGDLYFSRLPSFELQKNCYEINCSFNERTVCNTSRRILKGRHLVAASRKGREACHSFDSDDVESILSLLSEEADKDACDIKLKNVSSSKRMEAKKKRNNVSKERKLSLVKKVETEKKGFLKQHEVATTDLRREDEKSNKEKEAFTKSENHRKQKDVSSSSFYSHSSGVFVSDLEVQDKHDLEELSVGYEKDAVKHVEVKGTGELNRQRDDSKKLHGVSNQERTAFGADINWNLRKKSEKKLTNVTMQETISTKEHQDKHSTAVRTHEPSHGKASISEKQVRSEEDNSSFLKDLDRRMEKAYIKAGKIRKHQSTDTQKADSEVESTLSSQKRQSGREGNLEISETLLQERSDEHKKFVGSTSTKGNETLKSKMFSGREENLEISETRLRETQDERRKFGGSTSPTRKDVINRNSRKYMGESKVEDTERTLNTRMNNLGEKKISILSSGQGIEEQKHQKGKKFGYEVESTLSSQKTQSGREGNLEISETLLQERRDEHKKFVGSTSTTGNETLKSKKAFSGREESLETSETLLRETRDECRKFGGSTSTTGKDVINRNSQKYMGESKVEDTERTLNTRMKNLGAKKISILSSVQGIEEQKHQNGEKTITQAKERRKFQQFSEVSQVHESKVEDTSTVKSRTRINDWEGNSNLSTDTRGTRRQTDKMTNQSIQHGKGSEHVITLSEGYASDEKQVSTSQGSFGKVRFIPKSKSTKVVKTRESSRQTDERIANFDLHTEDQRPRNLSISDETASREEARFHGSQDLVSEAGKHVKFAEGGEQSSPLMSFRSSFGLMGRDTKHIELTAGVASTGIIVESSDRGSSTLYDNSGRSSVLLSGSYSTDGTDQAYSKPSNIIALEGATGSADRLQKSPKQFVGEHVERIRHEVTTSEMEEMEVTGTKLAIEDEGNQIDSSRRQGPQNDSQPKEHGSNRSSGVHGTEGPSVEMLDANEPSTKQSLVAGEPKISKDTEKTIVSRTGRSMWSMFGDLVRLGWGTPAGSSTSAGRSSESKLSNKSGSETRFSGQEHEETSKSNVIKETGVLPQIISSDRSKVSTPYTQSVGEVSDTKKQKDKGKHLEVGSSSPSTFGSGSTSVGASYVSGEANANWSDDGKELKVTTSGIKNVELPVLLPARGPPVVGEIVNIGGSDMPGTESVVPIKEPVAPVQSVSSGLGKKNGELMQRKFQQSKQVLRDRFDDWEEAYQLEFEQRRMDEMFMKEALLEAKKAADAWEVPVGAVLVQDGKIIARGSNLVEELRDSTAHAEMICIREASNLLRTWRLSDSILYVTLEPCPMCAGAILQARIDTVVWGAPNKLLGADGSWIRLFPDGGESSSEPRDIPPAPVHPFHPKIKIRRGVLAAECADVMQQFFQLRRRKKKEESSNDPSSLAVTHHHPSKFIDKINDIFHVMFCL >OIW05082 pep chromosome:LupAngTanjil_v1.0:LG09:6929998:6934273:-1 gene:TanjilG_06218 transcript:OIW05082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILSLLTLHVIGPEHFTSRVAALAVAVCSFVVALPESTHLMTKRIAFGQLVIVFVSTVIHGAQIGVVMHPIQVASSTTLGAIASVLAMLFPYPRLAYYETRKFYRLYNENASERLNCNLEAITASDNSTSVGLSTQAKSLTTVGAKLLQSIRSNMIGMQWESPLRRIFNPHSIDLEEKLQDLEIPIRGMNIALSSCTSFSVGVIDEELRGVLLNCREKLSQKLDQKSKCFAPSDATTIAESKKEILNKNLTIAYKDLPTSFFLYCLQLLLDELPIAKKTDHMVEKTRKTRKIREFVLNFMPSNHNLAFAFKCSLSLGLAVLFGLMYNKEKGYWSGLTIAISFVTGRQPIFSVANARGQGTAMGSIYGILCCFILQRFGDLKFLSLLPWIVFCSFLRHSRMYGQAGGISAVIGALLILGRKHYGPPTEFAVARITEATIGLICFIMVEILSRPSRASTLVKYELSQSLRTLQDCIGGIPTTIPSQRDMPSSSSQALRDGHTKLKSLVYRLEEFAAEAESEPNFWFVPFHSACHSKMLESLSRMLDILLFVAYSMEQVTRLSQKEGVCGVDFQDRVKENIDLFKNRVGNTLKCLEEIIRMKSLRKLENDLKNKNLPCDIESGEYPNADAYRTLSGNEEVDSITGSFRKLLEEMANKTHTSKDEEMLKGQLLFHYSCLGFCTNSLMRETLKIESEAKELLIWENASTEVNLKQIYCKISSLRSE >OIW04383 pep chromosome:LupAngTanjil_v1.0:LG09:19428647:19435072:-1 gene:TanjilG_32575 transcript:OIW04383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPRKRASGEGGVVVESETDTPTNAVASASAVSFHKKIRTGCFAECSGSGVDTVGSALNDKGEGSFSSGSNNNSNSTGNLFGGGASDMVDIDEDLHSRQLAVYGRETMRRLFASNILISGMQGLGVEIAKNLILAGVKSVTLHDEGTVELWDLSSNFVFSENDVGKNRAMASVSKLQELNNAVLVQSSTTKLTKEQLSNFQAVVFTEIGLEKAIEFNDYCHSHQPPIAFIKTEVRGLFGSVFCDFGPEFTVFDVDGEDPHTGIIASISNDNPALVSCVDDERLEFQDGDLVIFSEVHGMKELNDGKPRKIKNARAYSFTLEEDTTNYGAYEKGGIVTQVKQPKVLNFKPLKQALSDPGDFLLSDFSKFDRPPLLHLAFQALDKFISELGRFPVSGSEDDAQKVISIASSINRNLGDGRLEDVNPNLLRQFAFGARAVLNPMAAIFGGIVGQEVVKACSGKFHPLFQYFYFDSVESLPTEPLNPNDLRPINSRYDAQISVFGQNLQKILEDAQVFVVGSGALGCEFLKNLALMGVSCGSQGKLTITDDDVIEKSNLSRQFLFRDWNIGQAKSTIAASAAASINPCLNIEALQNRVGPETENVFHDTLWENLSVVINALDNVNARLYVDQRCLYFQKPLLESGTLGAKCNTQMVIPHLTENYGASRDPPEKQAPMCTVHSFPHNIDHCLTWARSEFEGLLEKTPAEVNAYLSNPSEYTNAMIKAGDAQARDNLERVLECLDKEKCETFQDCITWARLKFEDYFANRVKQLTYTFPEDVATSTGAPFWSAPKRFPRPLQFSLSDEGHVQFMLAASILRAETYGIPIPDWAKNLNKLAEAVDRVIVPDFQPRKDAKIVTDEKATSLSTASIDDAAIISDLIFKLERYRATLPPGFRMKPIQFEKDDDTNYHMDVIAGLANMRARNYSVPEVDKLKAKFIAGRIIPAIATSTAMATGFVCLELYKVLAGGHKLEDYRNTFANLALPLFSIAEPVPPKVIKHQDMNWTVWDRWIVKDNPTLRELLEWLKAKGLNAYSISCGSCLLYNSMFPRHKDRMDKKVVDLAREVAKMEIPEYRRHFDIVVACEDDEDNDIDIPQVSIYFR >OIW04549 pep chromosome:LupAngTanjil_v1.0:LG09:17563206:17569373:1 gene:TanjilG_13931 transcript:OIW04549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSYSSDSSFPVTASNINPKVVKCEYAVRGEIVTLAQKLQESLNANPGSHPFDEILYCNIGNPQSLGQKPITFFREVLALSDHPDILDRAETQGLFSADSIKRAQTILGQIPGRATGAYSHSQGVKGLRDAIAAGIEARDGFPADPNDIFLTDGASPAVHTMMQLLIRSENDGILCPIPQYPLYSASIALHGGTLVPYYLDEASGWGLELSEVKKQLEDAKSKGISVRALVVINPGNPTGQVLSEENQREIVKFCKQEGLVLLADEVYQENVYVPEKKFHSFKKVSRSLEYGESDITLVSFQSISKGYHGECGKRGGYMEVTGFSAEVREQVYKLASVNLCSNISGQILSSLVMSPPQVGDESYELYKAEKDAILSSLTRRAKALEDALNKLEGVSCNKAEGAMYLFPRIRLPEKAIKAAEAVNKAPDAFYCARLLNATGVVVVPGSGFGQVPGTWHFRCTILPQEEKIPAIVSRLTAFHEKFIDEFRD >OIW04596 pep chromosome:LupAngTanjil_v1.0:LG09:16768031:16768981:1 gene:TanjilG_18073 transcript:OIW04596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREESKKATLLLVDMESGYLTVEFFRKLPQDAEKGGNPTHSLFDRYNDAYLRRIATTVLSYVNMVCSGLRHTIPKSVVYCQVREAKRSLLDHFFTELGKREGKQLASLLNEDPAIMQRRTNLSKRLELYRSALSEIEAVAWDK >OIW04693 pep chromosome:LupAngTanjil_v1.0:LG09:14940551:14942787:-1 gene:TanjilG_06759 transcript:OIW04693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQQPSMDVPDRYPGKLTLRVVFTCIMAASGGLIFGYDHGVSGGVTSMDSFLKLFFPSVYEKESHLTPSSNQYCKFNSQILTLFTSSLYLSALVAGFGASSISRMLGRRAVMLIGGICFVFGALLNGLAIAIWMLIVGRLLLGFGIGFANSSVPIYLSEMAPYKYRGGLNMCFQLSITIGIFSANLFNYFFAKILHGNGWRLSLGLGAVPAVIFVIGSLCLPDSPSSLVERGRHEECKKELVKIRGTTDIDAEFKDILVASEASHKVKHPWRTLLERKYRPQLVFAILIPFFQQFTGLNVITFYAPLLFRTIGFGSTASLMSAVIIGSFKPVSTLISILLVDKFGRRTLFIEGGIQMLISQIVMTIAIAIAFGTSGNPGKLPTWYAVVVVGVICVYVCGFAWSWGPLGWLVPSEIFPLEIRPAAQSVTVGVNMICTFIIAQSFTAMLCHMKFGLFIFFGCFVVIMTTFIYILLPETKGVPLEEMAIIWQRHPIWSKFVDEKTKASNGDSKC >OIW05023 pep chromosome:LupAngTanjil_v1.0:LG09:8212043:8212867:-1 gene:TanjilG_06435 transcript:OIW05023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNTLMISPLPSSFISHRPKLYYYLNSTTSISIRSATSDEHTPISFDVDVDVDEEVSKTSTSTLTSTTTTTSTSSAPLLKKVAQKTASTFAPRPSTASKNPAVPGSTLYTVFEVQAYASMLFGGALSFNLIFPSDQPDIWRLMGMWSIWMFTIPSLRARDCSKNEKEALNYLFLLIPLLNVTIPFFFKSFPLVWSTDIIAFFAMYAWKFGWLHRTD >OIW04770 pep chromosome:LupAngTanjil_v1.0:LG09:14292375:14295564:1 gene:TanjilG_08653 transcript:OIW04770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRVKLKIKKLENTNGRQATYAKRKNGIIKKASELSILCDVDIILLMFSPSGKPSLCRGRRSNFEEVITKFAQMTPHERAKRKLESLEALKKTFKKLDHDVNVQEFLGTSSQTIEVNHLYCFPHLFIKCLSYTNSQWTDIGKISNVEQLEQMENSLKESLNQIRNRKENMQKQQLVSLQCNNQFNEMHNPFRMSGDQHLQSLSWIANGDSQNMVLPEDSKLFLHRDVEGSASSSFGSYASYLGSSTKTDISNSGQENGVLSDLSSTAPARLQLNGKFQYQPYNFNLLNDMKLQPAAEMNPHENNVDYHVNASFEPPRPSYDSNHHNWNSTSGPCAVTMFEEYLFGQASFPQVHFGFT >OIW05501 pep chromosome:LupAngTanjil_v1.0:LG09:188270:190583:-1 gene:TanjilG_27631 transcript:OIW05501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPTIAPAVHLPGGWFIQSHNHDNGKHKRKLMIANADSRIRIVNIYRGSRLPQTHNCCCKGKERDIGRDGYNSTSNQSRKYSIFRQRFRGIDTKDMVNHNPDNGNNDQRRVEDEERELKGDKEVKEVRVLFTNMWWVDMKAAFGQRINLEGILFSTLEIFSDPKLALPHISVPDIRYIDWAELHRRGFKGVVFDKDNTITVPYSLTPWPPLESSLDRCKLEFGPRVAVFSNSAGLREYDHDDSKARMLENAIGIKVIRHRVKKPAGTAEEIEKHFGCKSSQLIMVGDRPFTDIVYGNRNGFLTILTEPFSPAEEPFIVKQVRKLETSFVTYWSGKGLKPLDQKLLPNPMACVKEPHR >OIW05519 pep chromosome:LupAngTanjil_v1.0:LG09:71288:74566:-1 gene:TanjilG_27649 transcript:OIW05519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTNNCEIKSPPEGTLYGTPEEGCSVMMNRKKLGIYFKESEDRRMAFGRGYRAGSTPVNIHGNSILDLSKTGGWLAAFFIFGNEMAERMAYFGLSVNMVAFMFYVMHRPFSSSSNAVNNFLGISQASSVLGGFLADAFLGRYWTIAIFTTIYLVGLTGITLIATMSSFVPNQNECDQLSLLLGNCEQAKPWQMTYLYTVLYITAFGAAGIRPCVSSFGADQFDERSKNYKSHLDRFFNLFYLSVTIGAIVAFTVVVYVQMKYGWGSAFGSLAIAMGISNMVFFIGTPLYRHRLPSGSPLTRVAQVLVASFHNRNVPFLTSDFIGLYEVPGSHSTIKGSRKIDHTDDFRFLDKAALKVKEDGTNPSPWRLCTVTQVEEVKILLKLIPIPSCTIMLNVILTEFLTLSVQQAYTLNTHIGHLKLPVTCMPVFPGLSIFLILALYYSIFVPIFRRITGQPNGASQLQRVGIGLAVSIISVAWAAIFERFRRNYAIEHGYGSSFLTAMPNLSAYWLLIQYCLIGVAEVFCIVGLLEFLYEEAPDAMKSIGSAYAALAGGLGCFVATIINNIIKSITGKKGQESWLSQNINSGRFDHFYWLLTVLSLVNFCIFIYSAHIYKYRTHQSQGNEMEKLDMENKGSTPQQCVAK >OIW04500 pep chromosome:LupAngTanjil_v1.0:LG09:17980760:17984775:-1 gene:TanjilG_13882 transcript:OIW04500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAIATASALTLPIFYNRASKFEAKRGLKGRFGVYAVFGEGEKKNAWGALFDVEDPRSKIPQYKGKLLDVYQAIEVARYDIQYLDWRARQDVLTIVLLHEKVVEVLNPLAREYKSIGTMKKELAELQEELAQAHKQVHISEARVGTALDKLAYLEELVNDKLLQEKSTAEVAMTSSPPSTSPKSVDIEKRRKPQKRLNVSGPVQSYHSNLKNFWYPVAFSTGLKDDTMVPIECFEEPWVIFRGKNGEPGCVQNTCAHRACPLHLGSVNEGRIRCPYHGWEYATDGKCEKMPSTQQLNVKIKSIPCFEKEGMIWIWPGSDPPTATLPSLLPPSGFVVHAEIVMELPVEHGLLLDNLLDLAHAPFTHTSTFAKGWSVPSLVKFLTPSSGMQGYWDPYPIDMEFKPPCMVLSTIGISKPGKLEGQNTSQCATHLHQLHVLNEDLRLVLGQQERMNNGANIWNFPVSYDKLGVRYRLWRDALEQGAKELPFSRNNE >OIW05056 pep chromosome:LupAngTanjil_v1.0:LG09:7268232:7270733:-1 gene:TanjilG_02763 transcript:OIW05056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQNQKGGEITDREVQDLIWKNFFRGKLTYVHWNKFSVPTIAGIGETFLVRKLPTPDPRHVFVGDLVVLKDTKKPDNYLVRRLTAIEGYEMVSTDEKDEPFVLEEDQCWVVANNENLKAKEANDSRSFGPANMTDIVGRAIYCMRNVADDGKIVLQHGPVKNSHFSMELDSPVLAVELDIGEMWKNHRI >OIW04523 pep chromosome:LupAngTanjil_v1.0:LG09:17781563:17782601:-1 gene:TanjilG_13905 transcript:OIW04523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQFSSSIAFGLNLSKRIYYEKGSAPTPAPAMSRSPEEYLPTAPMCYAVITDPETVENPDIRSYQPYVLGRCEPPALIPLQLHGVAMEVECCLDTAFVTVMGTWRVHCVTGSSKCDCQVAIPMGEQV >OIW05100 pep chromosome:LupAngTanjil_v1.0:LG09:6679584:6681516:-1 gene:TanjilG_06236 transcript:OIW05100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAARPLVSVQVVSGDIATDTPSTVALPDVMKASIRPDIVNFVHSNISRNSRQPYAVSRKAGHQTSAESWGTGRAVSRIPRVSGGGTHRAGQAAFGNMCRGGRMFAPTRIWRRWHRKVNINQKRYAIVSAIAASAIPSLVQARGHRIETVPELPLVVSDTVEGVEKSKEAIKVLKEIGAFADAEKAKDSRGIRPGKGKARNRRYISRKGPLIVYGTEGAKAVKAFRNIPGVEIANVERLNLLKLAPGGHLGRFVVWTKSAFEKLDSIYGTFEQASEKKKGYVLPRSKLVNADLARLINSDEVQSVVKPIKKEVKRSGVKKNPLKNLNVLLKLNPYAKTAKRMAILAEQQRIVAKKEKLAKKRGTVSKEEASAIKAAGKAWYQTMVSDSDYAEFDNFSKWLGVSQ >OIW04713 pep chromosome:LupAngTanjil_v1.0:LG09:14588117:14589559:-1 gene:TanjilG_06705 transcript:OIW04713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKICCIGAGYVGGPTMAVIALKCPEIEVVVVDIAAPRINAWNSDHLPIYEPGLDDVVKQCRGKNLFFSTDVEKHVAEANIVFVSVNTPTKTQGLGAGKAADLTYWESAARMIADVSKSDKIVVEKSTVPVKTAEAIERILTHNRKGINFNILSNPEFLAEGTAIADLFNPDRVLIGGRETPEGQKAIKTLKDVYAHWVSDDRILCTNLWSAELSKLAANAFLAQRISSVNAMSALCEATGADVSQVSHSIGTDSRIGPKFLNASVGFGGSCFQKDILNLVYICECNGLPEVANYWKQVIKVNDYQKNRFVNRVVSSMFNTVSGKKIAILGFAFKKDTGDTRETPAIDVCKGLLGDKAKLSIYDPQVTEEQITRDLSMKKFDWDHPAHLQPSSPVTNNKQVSVVWDAYEAIKDAHGICILTEWDEFKKLDYQKVYESMQKPAFVFDGRNVVDANKLREIGFIVYSIGKPLDSWLKDMPAVA >OIW04398 pep chromosome:LupAngTanjil_v1.0:LG09:19574186:19576877:-1 gene:TanjilG_32590 transcript:OIW04398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSDTLDTCANSYNNFTFSTHPFMTTSFSDLLASPIDDDNNNKHIGLSDCVAERTGSGVPKFKSIQPPSLPLSPSSLFSPSSYFAIPHGLNPAQFLDSPVLLNSSHTLPSPTTGAFADWKNNSGGNQQTIKEEKNFSFQTQSAPPHSSTATFQSSNGTVQTEQPWSYQEATKHDSFSSGRSLKKTENSSFMQSFSPEISSVQTNNHNYQQQQQVQTLSRRSDDGYNWRKYGEKKVKGSENPRSYYKCTYPNCPTKKKVERSLDGQITEIVYKGSHNHPKPQNTRRNSSSSSSVSIIPSNPTSLEIKDHSYATHGSGQMDSIATLENSSLSIGDDDFEQSSQKCKSGGDEYDEDEPDSKRWKIEGENECISAPGSRTVREPRIVVQTTSDIDILYDGYRWRKYGQKVVKGNPNPRSYYKCTHQGCPVRKHVERASHDLRAVITTYEGKHIHDVPAAHGNGNHSVNRSIPNNNTSNLTNAATTTIRPMPLNHPTNNNSLQSTRPQATDQGQSPFILEMLQSSRSFGLSGFRNPMGSYMNQQQQLSDNVFSSGTKEEPKDDTFLDSLLC >OIW04403 pep chromosome:LupAngTanjil_v1.0:LG09:19645338:19648062:-1 gene:TanjilG_32595 transcript:OIW04403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSHVQYLVVLVMVPFVTLYVIPTHANLSSEYYDKVCPQALPTIRSIVEKAIISEHRIGASLLRMHFHDCFVNGCDASVLLDDTPLFVGEKSALPNRNSLRGFEVVDEIKEAVDKVCKCPVVSCADILAIAARDSVAILGGQKYWYEVLLGRRDTRIASRDAANTNIPAPFFSFPQLLSTFHSHGLNLKDLVVLSGSHTIGFAQCSAFNNRIFNDTNIDHKFAATLCKICSQIGGNNNLASLDSTPARFDTTYYTSLLYKKGLLHSDQELFKDDGSESDKLVQFYSKNSYAFAKDFGASMIKMGNMKPLTGNQGEIRCDCRKVNYGLKLHITSLGHKIMKKGEDGETFSFKVMIIFIFLYML >OIW05356 pep chromosome:LupAngTanjil_v1.0:LG09:2102306:2107691:-1 gene:TanjilG_28821 transcript:OIW05356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYVLVTGGVVSGLGKGVTASSIGVLLKACGLRVTSIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDIKLTRDNNITTGKIYQSVIEKERRGDYLGKTVQVVPHITDAIQDWIERVARVPVDGKEEPADVCVIELGGTIGDIESMPFIEALGQFSYRVGPGNFCLIHVSLVPVLNVVGEQKTKPTQHSVRQLRGLGLTPNLLACRSTKELDDNVRAKLAQFCHVPLSNIVTLHDVPNIWHIPLLLKDQKVHEAILKALDMLSVTTEPNLKDWITTTKVYDDCHDNVRIAMVGKYTGLSDAYLSVLKALLHAAVARGRKLIVDWVPAGDLEDVTSEQDPDAYKAAWELLKGAHGVLVPGGFGDRGVEGKILAAKYARENNVPYLGICLGMQIAVIEFARSILGLRDTTSTEFDPEAKNPCVIFMPEGSKTHMGGTMRLGSRRTYFQVADCKSAQLYGNVSFVDERHRHRYEVNPGMISQLESAGLSFVGKDETGSRMEIVELPSHPFFIGVQFHPEFKSRPGKPSPVFSGLIAAACELKRAIMSASYGHTKLTNGIYNGHSPILKSHQNGKTFKTTNGSLNGIYMNGNGVHVDGSV >OIW04067 pep chromosome:LupAngTanjil_v1.0:LG09:20283303:20284266:-1 gene:TanjilG_00627 transcript:OIW04067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSLHLFIFLCILISLCPTDGIQLILVNNCKESVWPGTLGSAGHPSPKDGGFHLCSGEETVLEVPEGWSGRIWGRQGCCFDNQTGKGTCETGDCAGLLKCQGIGGVPPATLVEMTLGTTQSALHFYDVSLVDGFNLPVSIKPVGGGVGCGVAACEANLNIYCPSAFVVQRQGKVVGCNSACLAKKSDRYCCTGEFASPKRCKPSEFGRLFKKICPQAYSYAYDDSKGLNTCKAPRYVITFCPHK >OIW05418 pep chromosome:LupAngTanjil_v1.0:LG09:1430817:1434562:-1 gene:TanjilG_23244 transcript:OIW05418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKAKGSRKGKKAWRANISTEDIDDFIQKSTKDALSGGSLNTLSNDSIFFEDKSQDLAVKKKIEKHRQKILHCDSLLQKNQFVLPVPSSTHKKSVKKLKALPKLKDANQIGPKDNSSLAPDVFDLWGDKDEGNGKLKKASKHSLIPAVEVDPPGCSFNPSFESHQDTLACAVAEEMQKIYKDELGPEPVPLTVPGEAIPEEERYFLDVDGGSDDDDNNLENEGQHEDDASEKRPIKTKRVTRVVFNKRTKRKEQLKKEAQAKKLKELSKEIDSIPNIIQEIEEEDKEKSKRHLRRQVAKQERLKARPPRIGKYKFEPAPIQVLLSEEINGSIRKLKGCCTLIKDRYKSLEKRGLIVSKPNRRRSVENCCIIRYQTNSADDKYMIKHVLFLTILAISIYIYFYSMYCLEFV >OIW04505 pep chromosome:LupAngTanjil_v1.0:LG09:17937926:17947856:1 gene:TanjilG_13887 transcript:OIW04505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAAGSTRSTQRASSAVSPFRSRKSPPLPKPTARPTTPSSRPSPKLSISPAVSSTSPEVTKSKENVSVTVRFRPLSAREIGKGDEVAWYADGDNIVRNENNPSIAYGFDKVFGPATTTRHVYDVAAQHVVGGAMEGVNGTVFAYGVTSSGKTHTMHGEQKSPGIVPLAVKDVFSIIQETPGREFLLRVSYLEIYNEVINDLLDPIGQNLRIREDAQGTYVEGIKEEVVLSPAHALSLIATGEEHRHVGSNNFNLLSSRSHTIFTLTIESSPRGDNMGEEDVTLSHLHLIDLAGSESSKTETTGLRRKEGSYINKSLLTLGTVIAKLTDGKATHVPYRDSKLTRLLQSSLSGHGRISLICTVTPASSSSEETHNTLKFAHRSKYVEIKASQNKIMDEKSLIKKYQREIWELKQELQQLKRGMIENPNVVASSQEDLVTLKLQLEAGQSKLKSRLEEEEQDKAALMGRIQRLTKLILVSTKNSMSSTSTIPERPGHRRRHSFGEDELAYLPDRKRESLNDDDTGSYASVDEKDNVTNLDELVKDYKRSKRRGMLGWLKLRRPDNLVDLSTNVDTELSTNGSPASSSKSPGKVILVDVKDSHRNSVSRKDDVQALNSFPGRTQAGDLFSGTVGGRYIPPSGTTVTDQMDLLREQVKVLAGEVAFCFSSLKRLNDEAAKKPEDIHLQEEMHKLKVEISQKKNQIRILEQRMIGSLGHAPSNSEMSQALSKLTTELNEKIFELEIKSADNRILQEQLQLKNSENAEMQETILSQRKQMNLLLDKTSTNSQQFANSETDCRKEILGKTDKPLEFTNLNASSDSIINSQILMQAPEIENLRQENVRLGEEKDELEIQSQKLAEDASFAKELAAAAAVELRNLAEEVTKLTYENAKLTADLAAAKEDCSKSNSSQTSSRFLQKDLNASFQREAALEETLSVKDEIEADLRRTLNEIKHQKQDMENELTSMQLLVAKMRKSGIITEDKSTVHMPKPNDMHTRVRNGLLPSNGYSNRKQYKEDETSGNMEDRIALEELKASYQRERRRCKELESRISRLKGEDIAGLDVMALEELQNLHIDAISKICHAKVTFLTVLPFNNKLPCPNDS >OIW04741 pep chromosome:LupAngTanjil_v1.0:LG09:13755344:13757406:-1 gene:TanjilG_08624 transcript:OIW04741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKTTSSLSITSYSEKSNSSTSLPTPRSEGEILSSPNLKAFSFNELKNATRNFRPDSLLGEGGFGYVYKGWIDQNTFTAAKPGSGMVVAVKRLKPEGFQGHKEWLTEVNYLGQLHHRNLVKLIGYCLEGENRLLVYEFMPKGSLENHLFRRGLQPLSWAVRMKVAIGAARGLSFLHNAKSQVIYRDFKASNILLDAEFNAKLSDFGLAKAGPTGDRTHVSTQVMGTQGYAAPEYVATGRLTAKSDVYSFGVVLLELLSGRRAVDKTMAGMEHNLVDWAIPYLGDKRRLFRIMDTKLEGQYPQKGAFMAATLALQCLNSEGKARPPMTEVLATLEQIESPKNVGRNSQSEHQRGFQTPGRRSPAPNRSPMRLTPTASPLLSHQQSARVH >OIW05527 pep chromosome:LupAngTanjil_v1.0:LG09:9682:11397:-1 gene:TanjilG_27657 transcript:OIW05527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTISHDTLAFAFGILGNVISFMVFLAPTSMLWLYYALLKKGAFLLITINSFGAFIEFFYIIFFITFADNNAKKLTIKLFSAMNVGSFALILLVTLFAMHDGPLRVKVVGWICVSISVSVFAAPLSIVAQVVRTKSVEFMPFNLSFTLTLSAIMWFGYGLFLKDICIALPNVLGFALGLVQMVVYGIYRKGNKNEKKGRIERVNKNIVVENQMGNGEVVVFPIEEEEEDIEEGKNNNKKLEEDGEKL >OIW05209 pep chromosome:LupAngTanjil_v1.0:LG09:4990404:4991759:1 gene:TanjilG_14762 transcript:OIW05209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNAQDGSLGDFVLDFDKQHTKDVVLRRKCDSGEEVAISAIIGTPHYENDLVFTRDVLMKVCMKKPASSSILQFDCEVYEETSKGSDFDIKNAYYLRSPTCLSSSIYRGPLFSELDIKLQDALKEYLIAKGIGVSLTNFLLSYLHKREQEQYVNWLRKGEVFFANNELHNQVSDTNST >OIW04360 pep chromosome:LupAngTanjil_v1.0:LG09:19240271:19241404:1 gene:TanjilG_32552 transcript:OIW04360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLKPNVKTTIQNILSTYPNSDSKPVIGLVLDFFCVSLVDVGKELGIPSYLYMPSNAGFLAFMLSLNKREIDDVFNDSDHELLIQGIQKFVPLSVIPGACFSKDGGYYAYHKLAQRFRDTKGIIVNTFFELEQHAIDALSDVQTPPIYAIGPLVDLKGQPNPNLDQAKHDSILKWLNQQPPFSVVFLCFGSRGSFGSSQTREIALALQLSGVKFLWAMHSSPAIDNADTTLPEGFLEWMEVEGKGILCEWAPQVEILSHKAIGGFVSHCGWNSILESLWFGVPILTWPIYAEQQLNAFRMVKEFGLAVELRLDYRRDDDLVMAEEIEKGLKQLMDKGNVVHHKVQVMKELANKAILSGGSSFISVGKLIDNMIGIN >OIW04743 pep chromosome:LupAngTanjil_v1.0:LG09:13767769:13772118:-1 gene:TanjilG_08626 transcript:OIW04743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKNQQKQVLDSEESKKKERHIVTWTQEEDDILREQIGIHGTEKWYTYLNSDFKKGGWSPEEDMLLCEAQKIFGNRWTEIAKVVSGRTDNAVKNRFATLCKKRAKCEALAKENNTSYINSNNKRVILHEYNTYGVSKSAVANKMRRSHIPDDGAEKISFGDRSHKQDGTPTYQQGRAPFAVLPQNTHNVNNLPDQRHVCNVKFSSYAQNNKNQGTFLKKDDPKISVLMQQAELLSSLALKVDAKNMDQSLENTWKVLQDFLNQTKESDSPRYKIPDLQLVDLKDLLEDLRSSREGIQPCLRQMELCEDSLGSSEHSTESTFLPNSCCENLEHSIHQDIELKSIQNGDQGGVRGCDQGVFSSATMNQDIFPSCKEPINNDGIASALSSAEFSSPLQVTPMFRSLTAGIPSPHFSESTVTAHSKGLRHT >OIW04698 pep chromosome:LupAngTanjil_v1.0:LG09:14895402:14904784:1 gene:TanjilG_06764 transcript:OIW04698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNRKVTFEDQELEEDTMEKPYVKMNFFAVFKGEILKSLKAEKLRGLLLHDGIKGEKIRFFMITRMRSSSRYKVWACRVVYALLLWTIAMQFKGFGELIIPKFFHTHCAFSFPPKRIYENNGYLLVSSNGGLNQMRAGICDMVAIARYLNVTLVVPDLDNTSFWNDHSQFKDIFDVDYFIASLRDEVQILKELPPKQKKKVELESLYSMPPISWSNMTYYYNVILPRIKTNEVVHFTKTDARLANNGIPKEVQKLRCRVNYHALRFTPSIHKLAKKIVRILKERGAFLSLHLRYEMDMIAFSGCNEGCNKEEIDELTKMRYAYTWWKEKEINSEKKRTEGLCPLTPEEIALTMRALDIDRNIQVYIAAGDIYKSEKRMKTLKEAFPNLVKKETLLEPSELDPFRNHSNQMAALDYYVSLESDIFVPSHRGNMANLVEGHRRYLGFKKTILLDKKFLVQVIDQYKNGTINWNEFSTSVKTAHADRVGKPITRSVMPGKPKEEDYFYTNPQECFSNVDGT >OIW04625 pep chromosome:LupAngTanjil_v1.0:LG09:16209536:16213742:1 gene:TanjilG_30523 transcript:OIW04625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTFSSFFIFLLHLITSHSLPHHHRILHQPFFPQDTLPPTQPPHPPPSSPSPSPTLKPQNPKYPFSSTPTTTNSSPFFPIYPSPPPPPSPSSFASFPANISSIIFPHSPKSKSSSSKLVAAAVTSVVAALLVAAISAFVYCRRRRRNGFNDDKTLRSDSSIRLFPRCENNVEDGGVGNRKIRHGSSISSEFLYLGTVVNSRGIEDVSDSRGNVCSGAGLINPRKMDSPELQPLPPLARQGSELRRACEEVGSTAEEEDEEFYSPKGSIGGGGSSNGTGSGSRRVLSEMAAENFVGRSSDSSSSSFSSSSFASPDRSHSISLSPPVSISPRKSPENETPPALPPTETLAVDGRSFLSSSSLSSPRVQSRAMSPVYNQHVRESCSSMSSTPERECQTQSPLLSPLSLSPNRVLEKNPDVKVQSCCVSPNKNDGVSSPPRLSNGSGKSVSSSSAFSIPSQGEVENQNHNQILNHSPTISDVSDRYRHSPLSSLPLSPSLLSSPETELNSNPNPNPNPSHALNQSQRKHWEIPDLLTPPIVGSVTVENVPTRKQWEIPVLPTLVVSSIRVSAPAPPAPPPLPPPPPLPVSRQRKQWEVPAASPSTPVGQLISRPPELMPPSRPFVLQTPTTKISPAELPQSLGLIEESPDEASKPKLKPLHWDKVRASSGRETVWDHLGSSSFKLNEEMIETLFVVNTPNPKPKDNASHSVLTPPSQEDRVLDPKKSQNIAISLRALNVTIEEVCEALLEGATDTLGAELLESLLKMAPNKEEERKLKEHKDDSPAKLGPAEKFLKAVLDVPFAFKRVEAMLYVANFESEVEYLRKSFQTLEVACEELRNSRMFLKLLEAVLKTGNRMNVGTNRGDAHAFKLDTLLKLIDVKGADGKTTLLHFVVQEIIRTEGARLSETNQIPSTTSSDDAKCSRLGLQVVSSLCSDLGNVKMAAAMDSEVLSKEVSKLSNGIAHIAEVVQLIETAGSNESKQKFTFTESMHKFMRMAEEEIVRIQAQESVALSVVKEITEYFHGNLSKEEAHPFRIFMVVRDFLTVLDKVCKEVGMVNERTMVSSASRFPVPVNPLLPQPLNPMLPQPLPGLYGNRQYSSSDDDSPSP >OIW04070 pep chromosome:LupAngTanjil_v1.0:LG09:20299707:20304848:1 gene:TanjilG_00630 transcript:OIW04070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKLVSFVHMISYVHVPGFDSFQSSAGECQSDLFVKLGHLKFRTQTGVNTMENEPEQIDAGYPNKNTVACMINAEIGAVLAVMRRNVRWGVHYMSDDDQLEHSLVQSLKSLRRQIFSWQNQWLVINPALYLQPFLDVIKSEETGAPITGVALSSVYKILTLDVIDQNTVKVGDAMQLVVDAVTSCRFEVTDPGSEEVVLMKILQVLLACVKSKASVMLSNQHICTIVNTCFRIVHQAGTKGELLQRIARYTMHELVRCIFSHLQDINNTEHALVNGSSALKQEADGLNNEQNSTNRQLENGSLISVIDGPPLTSGISSSTLSDVTAAVVDENTAIASNGVETDLLALQLTTEPYGIPCMMEIFHFLCSLLNVAEHMGMNPRSNTIAFDEDVPLFALTLINSVIELGGPSFHRHPRLLSLIQDELFCNLMQFGLSTSPLVLSMVCSIVLNLYHHLRTELKLQLEAFFSCVILRLAQSKYGASFQQQEVAMEALVDFCRQQTFTVEMYANFDCDLTCNNVFEDIANLLSKSAFPVNTPLSSMHVLALDGLIAVMQGIAERLGNGSLSSENFAVNLEEYTPFWQERCENFRDPNNWVPFVCRRKCFKKILMIGADHFNHDTKKGLEFLQGTHLLPDKLDPQSIACFFRYTPGLDKNLIGDYLGNHDEFCVQVLHEFARTFDFKEMTLDNALRLFLETFRLPGESQKIQRVLEAFSERYYEQLPHILANKDAALLLSYSIILLNTDHHNAQVKKKMTEEDFIRNNRRINGGNDLPRDYLSELYHSICKNEIRTTREQGSGFPEMTSSKWIYLLHMSKISVPFIVSDSRAYLDYDMFAMLSGPTIAAISVVFDNAENEEVYQTCMDGFLAVAKISAHYRLENVLDDLVVSLCKFITILDPLSVEESILAFADDTKARMTTETIFTIANMHGDYIRTGWRNILDCILRLHKLGLLPACMTSDATESSELSTETGHGKRNATLSSTHLPSVSTPKRSLGLMSRFSQLLYLGIEEPRSVMSEEELAAQQRALQTIQKCRVDSIFTESKFLQAESLLHLSRALISAGERPKKGYRTSEDEDTSVFCLELLVATTLNNRDRVELLWQGVYEHISNIVQSTVIPCALVEKAVFGLLRICHRLLPYKENITEELLRSLQLVLKLDARVADAYYEQITQEVNQLLKANASHIRSQLGWRMITSLLSITARHLEASEAGFDALLFIMSDGAHLLPANYVLCVDAAKQFAESRVGEVKRSVVALDLMAGSVNCLQKWTSDAKQAMKEEEVAKMLQDIGEMWLRLVQGLSKLCLDLRVEVRNHALLALRNCLTGSVGIHLSHDLWLQCFNEVIFTVVDDLLEISQTQSQKDYRNMEVTLVLALKLLSKVFLHLLQDLSQLTEFNKIWLGVLNRLEVYMKLKVRGRRSEKIQELVPELLKNTLLVMRAGGILVRSNSVDGNSLWELTWQHINNIAPSLQSEVFPEQDSEDLQQKQSETVGSSGPGENVSVPSNGTVGQDGGGIG >OIW04419 pep chromosome:LupAngTanjil_v1.0:LG09:19767441:19779725:-1 gene:TanjilG_32611 transcript:OIW04419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAVAASASRHILQRDARPTISLSGSNGSGIQIRSLLSASGISNFSTCTRIVNRGYGYRFSLNQQQQQQQQRRTCPSLLFSIKGFLSDSSSSSSSPLHGRAIKPYLSQPSSSLDGRASFSTSTKTNDGTASKKTSASNIAKSPPGKDVADIKILRTLASYIWMKDNLEFRFRVIAALSFLVGAKVLNVQVPFLFKLAVDWLTSATGNAGALASFTVANSTALALFATPAAVLIGYGIARVGASAFNELRTAVFSKVALRTIRLVSRKVFSHLHDLDLQYHLSRETGALSRIIDRGSRAINFILSAMVFNVVPTILEISMVSGILAYKFGAPFAWITSLSVAAYVVFTLSITQWRTKFRKAMNKADNNASTRAIDSLINYETVKYFNNEDYEAVKYDEYLKKYEDAALKTQRSLAFLNFGQNIIFSTALSTAMVLCSHGIMGGTMTVGDLVMVNGLLFQLSLPLNFLGSVYRETIQSLVDMKSLFQLLEERADVKDKDDAKPLRFNGGSIQFDNVHFSYLTERKILDGVSLALPAGKSVAIVGTSGSGKSTILRLLFRFYDPQSGSVKIDGQDIREVTLESLRKSIGVVPQDTVLFNDTIFHNIHYGRLSATKEEVYEAAQRAAIHDTILNFPDKYSTLVGERGLKLSGGEKQRVALARAFLKAPAILLCDEATSALDSTTEAEILSALKSLSNNRTSIFIAHRLTTAMQCDEIIVLENGKVIEQGPHEVLLSNGGRYAQLWGQQNNTIDAIDTAIKLGA >OIW04720 pep chromosome:LupAngTanjil_v1.0:LG09:14474373:14475347:1 gene:TanjilG_06712 transcript:OIW04720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSENSYRSHGSEGGQQQQQRYSRSELLSDAKMVADAARSGFKSNNMDRAKVADAAGDLLDAAADYGRFDETKGLGKYADKAADYLHQIETTGHGHNTSHSVGHGQTGHGHSQSGHGHGQTGHGHSDGGVGYGGDINSGGHGHSGVGGAGYGGGVHSSSGYGSNDSYEGGYGSGRSGVGYGNSEKHSDSGYGNSGGGYGGGYGGDGRSSGGFSDSQFGSGYQGDNERSKSGHGHSGGGGGYGNDRSSGMYGASDGRSSCGYGDGARNDGGYGDGYGNVRSNSGYGNERDDRSEGRGGYGGDNHSGGGNHGRDGRSGGGNYGYGN >OIW04420 pep chromosome:LupAngTanjil_v1.0:LG09:19782135:19784070:-1 gene:TanjilG_32612 transcript:OIW04420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGVSTLTLGSSTTIAVHSQVQHANLNGKVLRQSLSFKTDSWLSGLVPSTISFKESRTVGPFTTAVASVDSDQITSSDPANKNETKKYYFLVANAKFMLDEEEHFQEQLFERLRYYGEHNKEHDFWLVIEPKFLDRFPNITKRLKRPAVALVSTNGPWITFMKLRLDRVLTDSFEAEGLEEALASNPTNLEFGKPDKWVAPYPKYEPGWWERFLPPSTQ >OIW05293 pep chromosome:LupAngTanjil_v1.0:LG09:3244021:3246354:-1 gene:TanjilG_03682 transcript:OIW05293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVGPISQDWEPVVIRKKAPTAAAKKDEKAVNAARRSGAEIETVKKSTAGTNRAASSSTTLNTRKLDEETENLHHERVPTELKKAIMQARLEKKLTQAQLAQMINEKPQIIQEYESGKAIPNQQIIGKLERALGEKLRGKK >OIW05438 pep chromosome:LupAngTanjil_v1.0:LG09:1215126:1216339:-1 gene:TanjilG_12029 transcript:OIW05438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTEVRYAGFLDEVEVLKGFPISPNVKVTVSVKNETIGAIDRGLKVVEAKRTTSCMRSMALRRSTGSLYYETYATLFPHKSVSWTVHKGGYPCNILFFSHTYFNTISFVL >OIW04123 pep chromosome:LupAngTanjil_v1.0:LG09:20680637:20684290:-1 gene:TanjilG_00683 transcript:OIW04123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDQLARAEDFEKKAEKKLSGWGLFGSKFEDAADLFDKSANSFKLAKSWDKAGSTYVKLANCHLKLESKHEAAQAYVDAAHSYKKTNINEAVSCLNNAVNLFCEIGRLSMAARYLKEIAELYESEQNIEQAVVYYEKAADFYENEEVSTSANQCKQKVAQFAAQLEQYQKSIEIYEDIARQSLNNNLLKYGVKGHLLNAGICQLCKGDVIAITNALERYQELDPTFSGTREYKLLADIAAAIDEEDVGNFTSVIKEFDSMSPLDSWKTTLLLRVKDKLKAKELEEDDLT >OIW04503 pep chromosome:LupAngTanjil_v1.0:LG09:17955209:17958072:-1 gene:TanjilG_13885 transcript:OIW04503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVVDTPKLQQEHFHVLVVDDSVIDRKILERLLRDSSCKVTFMESGDKALKYLGLHNASSTSSEHGIKVNLIMTDYSMPGMSGYDLLKRIKESTWRDVPVVIMSSENIPSRISMCLEGGAEEFLSKPLQLSDLKRLQPYFMKSFDNSSQEDYANSSITSNNDNIIKNNGISKMKGMPVEHGFKLWYIIAIATAILQFWKSL >OIW04499 pep chromosome:LupAngTanjil_v1.0:LG09:17986816:17990663:-1 gene:TanjilG_13881 transcript:OIW04499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNKRWLWERKSSEKSLGETESSGSMSSHSERYLDDQDELKVSPNGGNHSPNVTSKAAASEEDDNDSSIMNAQFPEEVTSKSIPTKGVTNDCSQEKNENGENDNIKNVKEGDLNGGLNSLKNMSEKLSAAPALANVNAKEDLVQQHAEVAEEAIAGWEKAEKEVAVLKKQLDVVTVRNSALADRVSHLDSALKECVRQLRQTRDEQEENIHDAVVMKTLNLESDKVELENKLVELQSKLDSSNARYLGICQKVECLEKENVSLRHELLARSEELEIKTIEWDLSTQAAETASKQHLESIKRVAKLEAECRRLKSITSKVSFVNDHKSITSSSFGVESLTDSQSDSGEQLNKLETNTHWMSCSELNKCEPSCSDSWASALIAELDQFKNEKCRQPTPSSSVKIDLMDDFLEMEQLVALPETKNENLVQESVVSNQHTNEENCLRVDIEIMNQQMEELNNKLEKIEAEKAQLKIALMNSEECIEESQLQLREAENKLEELQRELENTYTSKQIIENHLLNMEADAQTLSANFDLLEAKVDKERALSDEIAMKCKDLEEELEHKTAKVDLLKAEADKEKALSDEITVKCKDLEEVLEIKSAKVVLLEVEVHKERAMSEEIAMKYKELEEEMLRLTASSCVEKKIKQEDLVLAAGKLAECQKTIASLGHQLKSLVTIEDFLIDTASIPASPSHLEEMWKLHSKDKFSPKRDSISSKIANVSLVPSLNKSEECSPLSSSSSTSSAAIPNHDTSEKSRNGFAKIFCRTKSGIQQEI >OIW04497 pep chromosome:LupAngTanjil_v1.0:LG09:18010162:18013056:1 gene:TanjilG_13879 transcript:OIW04497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESIICQWSVFRSILAILQWWTFNVTVIIVNKWIFQKLEFKFPLSVSCIHFICSSVGGYVVIKVLKLKPLIHVEPEDRWRRIFPMSFVFCINIVLGNVSLRYIPVSFMQTIKSFTPATTVVLQWLVWRKYFDWRIWASLIPIVGGILLASFTELSFNMFGFCAALFGCLATSTKTILAESLLHGYKFDSINTVYYMAPFATMILAIPAILLEGNGILEWLSIHPYPWSALIIIFTSGVLAFCLNFSIFYVIHSTTAVTFNVAGNLKVAVAVLVSWLIFKNPISYLNGVGCAVTLVGCTFYGYVRHMISQEPILPGTPRTPRTPRTPLSKIELLPLVNDKLDDKV >OIW04312 pep chromosome:LupAngTanjil_v1.0:LG09:18775616:18780071:1 gene:TanjilG_32504 transcript:OIW04312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARQKRRIKKIDNVTARQVTFCKRRRGIFKKAQELSVLCDAKVALLVFSATGKFYEYASSSMKDILTRYNQHFHDINQRQGPLEMQLEDNTYNELRKKVAEKTQQLRRMKGEDFEGLNLDDVQHMEERLEEGLKRVIMAKEKLIADEIVALQKKGNKLEDERKQLKQKMIMISKGKTPSMVDSNIAIQETFSSDSMNNVCSCNSGPSIEDDSSDTSLKLGLPFPD >OIW05279 pep chromosome:LupAngTanjil_v1.0:LG09:3427420:3435590:-1 gene:TanjilG_03668 transcript:OIW05279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLAGLAEAAGSRFSSLELIGQGSFGDVYKAFDKELNKEVAIKVIDLEESEDEIDDIQKEISVLSQCRSPYITEYYGSYLNQTKLWIIMEYMAGGSVADLLQSGPPLDEMSIACILRDLLHAIDYLHSEGKIHRDIKAANILLTENGDVKVADFGVSAQLTRTISQRKTFVGTPFWMAPEVIQNSEGYNEKADIWSLGITVIEMAKGEPPLADLHPMRVLFIIPRENPPQLDEHFSRPLKEFASLCLKKVPAEASRSSAKDLLKHRFIRYARKSPKLSERIRERPKYQIKDDQETPENGRRGMGEASDTMKVPRDSRVEETNWPSDQGKTMKNSGWDFSIGGSQGTGTFRSVLRPSQFRDKKAEVSNNQLTERKAPESGYQGGFANRSAPNESLESSFGKDPGVSYHDEHLANLEDDELSGSGTVVIRSPKGSRPSGLRDQSSQSSSSYASFEDTSMTTSGTVVFRNHRDDSGSLEIPNSRVGLHDRNSNTSLEDSAANLSEAKAAIQGGLRKGNARERFAPGKTNNQQESKREMTSSSGSSRPSSQKGMSRSHYSSGNKESAKIISSSAPLSALLIPSIKEAIADDPEGSVINSLINMESTNPRSSDVLVKKLLQKLASSKKDSLKDLQEFAVQLFSKTKLSDTDSDNYKKQLNKELHPNSNLSPLARFLLSRWQGQTSRDLNPS >OIW04940 pep chromosome:LupAngTanjil_v1.0:LG09:9525536:9527396:-1 gene:TanjilG_15685 transcript:OIW04940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSNWWGPGTVDVCKLTPQAERLFPVFECLGASCFIEKHGRCLSVKPFAASQKTGTGISCAMNMSAQESDDRKKLKLDQLIDKARNLWDSSPEPVKTFPWNKALDNFIQLTLDLILAVVKYLSIPVFAVTSLSELSYCAHKKKLFLVPIPVLLGVAVAGILKETALEISPRLRDAEVPWHLIATAILFTLIKLPGPYYPSWGRIIIPHFANGVLMSTLWSAISWFRRPKALKMSDSRDDS >OIW04739 pep chromosome:LupAngTanjil_v1.0:LG09:13654531:13655816:-1 gene:TanjilG_08622 transcript:OIW04739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVDKLQQLDISSNEIFGNTPSFLLSLPSLKKTTTLYWFKVVESNSTIFSKFPASLAVKPPLRSEEDESKMQLGLERADNNIHRHVADKVSVHAGNVPQTILRFPPYTIFTSEEIEDATNNFHPSNLIEGAQGKLERGLSEAASPMLTSATDPTLQGTYAYESMKTAVQITINCLIMVYSTSPSIEDILWNLQYSMQVQGSRTSSGNLSPKCNLAPRKMFG >OIW04084 pep chromosome:LupAngTanjil_v1.0:LG09:20398687:20401439:1 gene:TanjilG_00644 transcript:OIW04084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTLEIWKHPRNDNYIKCMDRSKSDIWEANTTNGYVMAYANGGLNQMRTGISDMVAIAKIMKASLVLPTLDHTSFWKDPSEFKEIFNWKNFIEVLKDDVEIVESVPPEYAAIKPFLKAPVNYYKVDMVQLLKKHKVIKFTHTDSRIINNGLPTSIQRVRCRAMFEGLRFATPIEELGMKLVNRLRNNNNSFIALHLRYEKDMLAFTGCSHNLTKEEAQELKEMRYKVKHWKEKEIDSKSKRLKGSCPMTPREVAIFLEALGYPLDTKIYVAAGKIYGKEGINPLQTKYPNVFSHSTLATEEELQSFEGHHNQLAALDYIISVQSDVFIYSYDGNMAKTVRGHRKFEGFRKTISPDKQRIVRLIDQLDNGLVSWEDFSSKVKSIHANKIGGPNPRKVRRHPKWEENFYANPFPGCICEKS >OIW04412 pep chromosome:LupAngTanjil_v1.0:LG09:19715932:19717994:-1 gene:TanjilG_32604 transcript:OIW04412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDPSSNPNSNPLKANAASQLPPPPPSTAATTSSSSSFFIRSAGSGGSHHRRAHSEVSFRLPDDMMDLSPSDPFNGGSSAASFEEIGSEDDLFSTYIDVDKLGGSNGAGEFCNGTDPGENGEPSEKNPNVRPMHRHSSSVDGSTSSFGEIMDAKKAMSPDKLAELWTIDPKRAKRILANRQSAARSKERKARYIQELERKVQTLQTEATTLSAQLTLYQRDTTGLSTENTELKFRLQAMEQQAHLRDALNEALKKEVERLKVATGEMMSPTDSFNLGMHQMQFTGSNFFPIPPQSGPSGQQNMQLPPFGHAPSSIPTHQLQQTNSHSLSESLQNDQLGRFQGLDISSKGSALVKSESSSLSASESSTTF >OIW04077 pep chromosome:LupAngTanjil_v1.0:LG09:20355019:20358481:1 gene:TanjilG_00637 transcript:OIW04077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSDVNHLSHPCIYGGGRDFSSSHSERKFGFMKWLSKLFKGGSNRGRVGRHHLPEPAEENMSWRAPSRASDDRARSLKEKELGHAMELSPGEDLKRPNAHQGYDWGIDTDEDYSKGLEDSLDSSTYPPYAPAPYYPRDYRICGGCNQEILYGNCLGCMDSYFHPDCFRCHSCCYPITEREFSLSGKHPYHKDCFKELTHPKCEVCFQFIPVNSAGLIEYRCHPFWSQKYCPSHEYDNTARCGSCERLEPRNIKYYRLEDGRSLCLECMESAIMDTGDCQPLYHSIRDYYEGMQMRIDQQVPMLLVEREALNEAIVGEKNGFHHLPETRGLCLSEEQTVTSIQRRPRIGGHRLIGIRTQPHKLIRRSEVTAILVLYGLPRLLTGAILAHELMHAWLRLKGYRNLNPEVEEGICQVLSYMWLESEVMPNFQNMPSTASSSSSSSSSKKGAKSHVENKLGEFFMNQIVNDSSPAYGGGFRAANEAVNKYGLRSTLDHIRLTGFFPM >OIW05031 pep chromosome:LupAngTanjil_v1.0:LG09:7850459:7851874:-1 gene:TanjilG_18630 transcript:OIW05031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSEGNGIDSFNLVYATKACQRLKLLPNGVLIHCLAIKSGFEGDLFVVPVILEMYALLDSLSDARKVFDQYSCRSSVLWGFMIKGYLKFSQESEVFGLFYDMTSCFGFRWDSFTMEGLVRACANVSAGREGKASHGVCVKNNLLVNFCLLTSVIDMYMKCGIIHYAMRLFEEASDSKDVVLWSAVINGCAKTGRFLEAMSVFKRMMENSIMPNPVTFSSVILACSGVGSLMKGKSVHGFVIRNMVELDVVNYTSLLDMYAKCGRVETAYRIFRTMPTKNVVSWTAMINGYAMNGLYSDAISIFDQMTQKSTCVISEKHTPNSITFVSALSACRHRGMVQEGWRIFKSMKDYAISPTEEHYACMVDVLTRAGQYNAALSFISDMPMPIKPGRNVWEALLSACRVHERVEFDEEITKMRMTEEGFNKSLGFASIEVKNKLYVFGSAYTLAFKNTETSHIWNSLSKEMRESALL >OIW05377 pep chromosome:LupAngTanjil_v1.0:LG09:1804692:1807948:1 gene:TanjilG_28842 transcript:OIW05377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEYDHFGEKAFRNMSVPPWTKQITIRALVTSFVLSIIFSFIVCKIIFTTGIVPSFNIAAGFMGFVAIKSYTKLLNKCGLLQQPFTRQENTVIQTCVIASSGIAFNSGIGSHLLAMSQIVASQVNGGNTPINMKNFSLGWVIVFLFVVSFVGLFSSVPLRKLMILKYNLTYPSGTATAILMNSLHTPKGAKLANAGEGCGFSSFPTFGLQALQQKFYFDFSCTYIGVGMISSKMVNISLLLGSIISWGALWPWIEQKKGIWYSQDLPHSSLYGMQGYRIFVAIAMMLGDGLYHCISMLLQVVYNLRLQHLNKQDSSSSINPKGDDPISTMDYDNQRRIEYFLKDQIPTWVACTGYIALAIISIFTVSHIFPQLKWYHILVAYLIAPVIAFCNAYGCGLTDWSLASNYGKIAIILFSSWVGLTNGGIVAGLASCGVMLSIISTASDLMQDFKTGYLTLTSPRSMFLSQALGTAMGCIISPMIFWAFIKVYPIGDPEGSYPAPYAQVYRGIALLGANGFSFLPKHCLELAIISFFSAVVIDIIRDILMHCETKYRLYRFVPNPMCLAIPFYIGGNFAIDMCIGNLIVYLWEKKNKIQARDYAPAVASGLICGDSLWSIPAAGLSLKKITPPICMKFLSASMNSKVDNFLSGGNS >OIW04820 pep chromosome:LupAngTanjil_v1.0:LG09:12242716:12243072:-1 gene:TanjilG_13668 transcript:OIW04820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLLDVEILTGQHAGHRAFLPRIKLKTSDNVGLPFVLIRKQFPVRLSFALTINKAQGQTIPNVGIYLPKHVFGHGQLYVALSRGVSKATTKILIKEGKIQGEEGDFTKNIVFKDILLH >OIW04211 pep chromosome:LupAngTanjil_v1.0:LG09:21305792:21310419:-1 gene:TanjilG_00771 transcript:OIW04211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLESDLMDKHSEDSMPDHGAKGSSEIFSLNVAKKVGSSAWGVPSSADAFHASSDISLFSSSLPVLPHKKLNFTDSEHYGQSADDNFPILDKVHNEDEGQDPLEDITTNAIGNMLPDDEDELLAGIMDDFDLSRLPNQLEDLDDNDLFGSGGGLEMDFEQQEGISIGISRISLSDGVPSNGFGHFAIPNGIGAVAGEHPYGEHPSRTLFVRNINSNVEDSELISLFEQYGDIRTLYTACKHRGFVMISYYDIRAARTAMRALQNKPLRRRKLDIHFSIPKDNPSEKDINQGTLVVFNLDPSVANDDLRQIFGAYGEVKEIRETPHKRHHKFIEFYDVRAADAALKALNRIDIAGKRIKLEPSRPGGARRNLMQQMTELEQDETRTFRHQVGSPIANSPPGNWAHFGSPVEHNPLGSFSKSPSLSYGSPMNTSHLPGLGAILSPHASTSPKIAPIGKDPGRANNANQIFASSGSSQGAAFQHSMSFPEHKVNSSPRPISTFGESKSSSSSVGTLSGPQFLWGSPTPYSEHSNTSAWSSTSGGLPFTSSGQRQGFPYTSRHNSFLNSHPHHHVGSAPSGLPSERHFSYFPESPETSVKSSVAYGSLNRNDGDFFMNMGARGSVGVGIGLTGNMTEISSPNFRMMSLPLHGSLFLGNGMYSGPGATNTEGLAERGRSKRPDNSGNQIDSKKHYQLDVDKIMTGEDTRTTLMIKNIPNKYTSNLLLAAIDENHKGSYDFLYLPIDFKNKCNVGYAFINMVSPSHIIPFYKAFNGKKWEKFNSEKVASLAYARIQGKGALVTHFQNSSLMNEDKRCRPILFHSEGKETGDQEHFLSSNLNICIRQPDGSYSDGLLESRKGNSNEKLEED >OIW05441 pep chromosome:LupAngTanjil_v1.0:LG09:1161516:1164141:1 gene:TanjilG_12032 transcript:OIW05441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEMAVVKPISKFSYATPKFTTPRMFSYSKFSTIRMSATSNPSSTNPSKKATKTEIKETLLTPRFYTTDFDEMEALFNTEINKNINNDEFEAMLQEFQTDYNKTHFVRNKEFKEAADKLDGPLRQIFVEFLERSCTAEFSGFLLYKELGRRLKKTNPIVAEMFSLMSRDEARHAGFLNKSLSDFNLALDLGFLTKARKYTFFKPKFILYATYLSEKIGYWRYITIYRHLKTNPEYQCYPIFKFFENWCQDENRHGDFISAMMKAHPTFLNDWKAKLWSRFFCLSVYVTMYLNDCQRTSFYEGIGLNTKEFDMHVIIETNRTAARLFPAVLDVANPKFQQRLDKMVEINEKIAAVSESDDIPVVKNLKKIPLIASLVSELVATYLMPPVESGSVNLAEFEPRLVY >OIW05287 pep chromosome:LupAngTanjil_v1.0:LG09:3310371:3314413:1 gene:TanjilG_03676 transcript:OIW05287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMRHLQLLPHPLNNFTPKHSLINNRFSFSSFSSTTISMASSNSPKVLVPIANGTEPIEAVITIDVLRRSGADVTVASASNHLQVQALHGIKIVADAVVSDLADTIFDLIALPGGVPGVDNLRDNKVLEGLVKKHVEDGRLYAAVCAAPAVVLGPWGLLKGLKATCYPSFMEKLASYTTTVESRVQLDGRVVTSRAPGTTMEFAVALVEQLYGKEKADEVAGPLILVPIANGTEEMEAVIIIDILRRAKADVVVASVGDKLEIGASRKVKLEADVLLDEAAKHSYDLIVLPGGLGGAEAFANSETLVSLLKKQRESNRYYGAICASPALVLEPHGLLKDKKATAFPAMSNKLSDQSEAENRVVVDGNLITSRGPGTSIEYGLAIVEKLFGRKVGLELAKTIVFTNP >OIW05123 pep chromosome:LupAngTanjil_v1.0:LG09:5780646:5784330:1 gene:TanjilG_02596 transcript:OIW05123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGIALLLDLWRKNHINLSTSGLHSSPTFHSSSSLFSASAAATAASFVAGTTFYSRAFFGSPVAYCDAGAALSEDYVSTTQSAPWRINNYDALRYSTKQYNVELKPLFSAFELRSFTMTSIRSFLMFYLPLLEPRAEMEDDEDFLEDNREHQVDLVVPFKKSVKQIIRETSVVTTRRILERIAVHYVSQRMAWKLLKDVPRSATRKAGRKLPTLVYSFSVSRTTFRGHMLGVAASWLVQVGIELYRFFNSIFKSKDEDNDNDVDKTKQVGLLGQKVFIASVRCSSSLIFASIGAGIGATIVRPSLGQWIGCAAGDLAGPIIVAFCADQVFKVNL >OIW05290 pep chromosome:LupAngTanjil_v1.0:LG09:3287186:3293681:-1 gene:TanjilG_03679 transcript:OIW05290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSPPNSIFHYRSSFFKSRRSSFIFFLCLLFILSSFLFSLLRPVRPNPCTNRKPKSVRVVWDTNVGAVAKNDKSRYKVMGFVGIFTGFESVGRRQSLRNTWFPSDPNSLQRLEEATGLAFRFIIGRTSDRWKMSALQREIAEYDDFIQLDIQEEYSKLPYKTLAYFKAAYALFEADFYVKADDDIYLRPDRLSLLVAKERSHRQTYIGCMKKGPVFTDPKLKWYEPQSNMLGTEYFLHAYGPIYALSADVVSSLVALRNNSFRMFSNEDVTIGAWMLAMNVNHENNKELCASDCTPTSIAVWDIPKCSGLCNPEKRMLELHQKDSCTQTPTVESDE >OIW04742 pep chromosome:LupAngTanjil_v1.0:LG09:13763287:13764995:1 gene:TanjilG_08625 transcript:OIW04742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMGTLVYGIRYSFPEYLCTFLVAGGVSTFALLKTSSKTISKLAHPNAPLGYGLCFLNLAFDGFTNATQDSLKARYPKTSAWTIMLGMNLWGTIYNMIYMFGLPHGSGFEAVHFCRQHPEAAWDIFLYCCCGAVGQNFIFLTISRFGSLANTTITTTRKFASIVISSLLSGNPLSTKQWGCVFMVFSGLSYQIYLKWQKLQRLQKKRKAT >OIW04279 pep chromosome:LupAngTanjil_v1.0:LG09:21683950:21685503:1 gene:TanjilG_00839 transcript:OIW04279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQSPGHSPLHLSSPSPSPHLSQFQNPNPNPNSSSSASIPPRNQPTVLDEDSYVEALEKIIERDYFPDISKLRDRLDWLEAIKTGDPVQIRDAQLKIIERRHGTTKVTNSNTLDDRNTRTPGSTFMRNFTPLDEFDEKALKTPALSVPERDNSQGVSVGGGVVDTGLGLDQFLRRYTSEDNHSFSKILEKVNRKRKERFGYLMNESEKDNDDGVMGIEGDVKRERITDGYGTSYQPPSTLEGWNYTAKNLLMYHPADLGEVPLTEDERAVRIKGMTKEISRTNTRFHGKVMDSRPKDYVDAEMHYTPVVGATPVPMSLRDAEKLKKYDLEDLRKTPNPFYLESEKKTENGYSYVKTPSPAPGADESPFITWGEIEGTPMRLDLEDTPIDIGGSADGPHYKIPSAPTRDARAHSLSREAARKLRERSKMFSKPPLASPVRSGSASPSMRTLSPAAQKFMRNAIAKNSSSVDESLRASYRGSSPALATPRSGRSVSRFGKDGSVASRSPSVREGSNPPW >OIW04289 pep chromosome:LupAngTanjil_v1.0:LG09:21726929:21730122:-1 gene:TanjilG_00849 transcript:OIW04289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTPDSINPRDVCVVGVARTPMGAFLGTLSSLPATKLGSIAIQAALKRANVDPSLVQEVFFGNVLSANLGQAPARQAALGAGLPNSVACTTVNKVCASGMKATMLAAQSIQLGINDVVVAGGMESMSNVPKYLAEARKGSRLGHDSLVDGMLKDGLWDVYKDVGMGVCAELCADNHAITRDDQDNYAVQSFERGIAAQENSGFTWEIVPVEVPGGRGRPSIVVDKDEGLGKFDAAKLRKLRPSFKENGGSVTAGNASSISDGAAALVLVSGEKALKLGLQVIAKITGYADAAQEPELFTTAPSLAIPKAISNAGLEASKIDFYEINEAFAVVALANQKLLGLDSEKVNVHGGAVALGHPLGCSGARILVTLLGVLRQKNGKYGVGGVCNGGGGASALVVELLLERSLAT >OIW04681 pep chromosome:LupAngTanjil_v1.0:LG09:15131419:15132486:-1 gene:TanjilG_00117 transcript:OIW04681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAVSAIGFEGFEKRLEICFFHPGLFSDPEGKGLRSLAKSQLDEFLAPAECTIVSSLSNEDVDSYVLSESSLFVYAYKIIIKTCGTTKLLLSIPPILRLAESLSLNVKSVRYTRGSFIFPGAQSFPHRNFSEEVAILDTYFAKLGSGSVAYVICGLNKAQKWHVYSASADSVNPSDSVYTLEMCMTGLDREKASVFFKEQSGTGAVMTVNSGIRKILPDSQICDFEFEPCGYSMNSVEGAAVSTIHVTPEDGFSYASFEAVGYDFTVIDLSELVKRVLACFQPTEFSIAIRVDNASKSFEQSCLLDVKGYCRKERSHEGLGMGAAVIYQKFEKTYDCGSPRSTLKCWKDEDEEE >OIW04461 pep chromosome:LupAngTanjil_v1.0:LG09:18544771:18549138:-1 gene:TanjilG_01634 transcript:OIW04461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRPLQRGVTGVRTPDSKSKDKSEKENWDNRRVSSDHSPLSPFRLLFGDNNSHSKYGINENGFASDPFIVGNPRSRLKLMLLFLKFSLVFIVVLALTGSFWWTMSISASSRGHIYHGYRRLQEKLVSDLVDISEFSRGTSKFKELESCSEEHENFVPCFNVSENLALGNSDGNEFDRQCGRELRQNCLVLPPVNYKIPLQWPTGRDVIWIANVKITAEEVLSSGTLTKRMMMLDEEQISFRSASHMFDGIEDYSHQIAEMMGLRNESYFIQAGVQTILDIGCGYGSFGAHLFHSQLLTMCIANYEPSGSQVQLTLERGLPAMIASFTSKQLPYPSLSFDMLHCAWCAIDWDQKDGILLIEADRLLKPGGYFVWTSPLIKSRNKGDQKRWKFVHDFTENLCWEMLSQQDATVVWKKTSKKSCYSSRKSSSAPPLCGKGHDVETPYYRELQNCIGGMQSSRWIPIEKRGKWPSRANLNKNELAVYGLQSDEFAEDSESWRTAVRNYWSLLSPLIFSDHPKRPGEEDPPPPYNMFRNVLDMNAHFGGFNSALLQARKSAWVMNVVPISGLNYLPLIHDRGFIGVLHDWCEAFPTYPRTYDLVHAAGLLSLETSQPRRCTMLDMFIEIDRVLRPEGWVIIRDTIPLIESARALITQLKWEARVIEIESDNDHRLLISQKPFYKKQSS >OIW05247 pep chromosome:LupAngTanjil_v1.0:LG09:3862750:3870360:1 gene:TanjilG_03636 transcript:OIW05247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLANQLFLKPHFPFPKLIPSTLTKKPFISLTTFSLTPTPHFSTSTTPLPYGPSLNKGTLPPHFPQQPLNDTVLNEESFTRIFNLSALRVPSSDCSSLESRLRGHLLNWPRIRNIARVPGDEIDPEIAPLIGKKDEEGVQNDVVSLQRRIHGEADSNGDVLSPVLYRERLAKTFNTRGFVKFRNLAKISRPNRTNKSKKDEKESGRDKKRIGKNGFAAVEVIDDDDDDDDENLGNLVEGEEGFRRKKWKGSTRLLLLDEQHSGRGVEELPEAVKAVLKEYAEKSITLTFELVRCRLTLFYDYWQMNEILEALLPEGVVVPSGFEIVGHIAHLNLRDEHLPHKKLIAKVVLDKNKPKIQTVANKIDSIHNKYRTMQLEVLAGNHSLVTTVIENGIRFQVDLATVHNYSITCHLQRKTREAPAIAIRYWNSRLATERQRLLSSFTRDDVVCDVFSGAGPLAISAARIVRRVFANDLNPYAVEYLERNIVLNKLDRKVKVFNMDGRRFIESMYASDKGHSITQVVMNLPNEAAEFLDAFRGIYKDKPRDEEHTLPMIHVYGFSKATDPEFDFHEINILTKALMMQRIRIALLEVAVNVEMRRVRLVAPGKWMLCASFILPRSVAFAKTDNDN >OIW04112 pep chromosome:LupAngTanjil_v1.0:LG09:20603709:20604245:-1 gene:TanjilG_00672 transcript:OIW04112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGYEPRSSSSCAACKFLKRRCIPNCIFAPYFRSDECKKFAKVHKVFGASNVSKILIEVPEEHREDTVNSLAYEAEARLKDPVYGCIGAIALLQRKMVELQHDLAIAKDRLALYAAANTTTTTTPSNDFFNNHVSLPPLPDFYTCSDFNDSFSNSSPSQSLSRHETTVDDFIQIPYIF >OIW04861 pep chromosome:LupAngTanjil_v1.0:LG09:10933049:10939377:-1 gene:TanjilG_13701 transcript:OIW04861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSAGKVVCVTGASGYIASWIVKLLLNRGYTVKATVRDPSDARKIDHLLKLDGAKERLHLFKANLLEEGSFDTVVQGCHGVFHTASPFYHDVKDPQAELIEPALKGTLNVLNSIAKSPNVKRVVLTSSIAAVAYNGNPRTPDVVVDETWFSNPDICRESKMWYVLSKTLAEDAAWKFVKENNIDMVTINPAMVIGPLLQPVLNTSAAAVLNLINGAETFPNSTFGWINVKDVANAHIQAYEVSSASGRHCLVERVVHFSELVKTLHDLYPTLKLPEKSEDDKPYVPGYQVSKEKAKTLGIEFTPLEVSLKETVESLKEKNGLVFYAHAMFLKKCAETFPNSTFGWINVKDVANAHIQAYEVSSASGRHCLVERVVHFSELVKTLHDLYPTLKLPEKSEDDKPYVPGYQVSKEKAKTLGIEFTPLEVSLKETVESLKEKKFVNI >OIW04674 pep chromosome:LupAngTanjil_v1.0:LG09:15814349:15817026:1 gene:TanjilG_07809 transcript:OIW04674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAFVFAIAAERRSTVGTMFEDERKNETYCVYNSDVSSGCGVGAFLFLLASESLLMAVTNCMCFGRPLTPGENRAWSILYFISSWVCFLVAETCLAAGALKNGYHTKYQGMIYAQNFLCETLRKGVFIAGAIFVVANMVLNVYYYMYYTKATTTTSEKGNHWVNSTVYMTGYP >OIW04062 pep chromosome:LupAngTanjil_v1.0:LG09:20233300:20235976:-1 gene:TanjilG_00622 transcript:OIW04062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESPKTRKSCLNLPTGMSGTSLHLDTFSCSSPLSISNLSSPSKSKLSSPSSTSKSSSTCSDRFIPCRSSSRLYAFGLVDKPSPVKDNGGGGNNNEAYSRLLKAELFGSDFASPSSSSPAGCGLGSPPSSNILRFKTDSCGPTSPFSSPSTLGRHRNDFSSDTSTPPKPPRKVPKTPLKVLDAPSLQDDFYLNLVDWSSQNVLAVGLGTCVYLWSASNSKVTRLCDLGPHDGVCSVQWTKEGSYIAIGTCLGQVQIWDGTRCKRVRTMGGHQTRTGVLAWNSRILASGSRDRNILQHDMRVSNDYISQLVGHKSEVCGLKWSSDDRELASGGNDNQLLIWNQHSQQPALRLTEHTAAVKAISWSPHQSNLLASGGGTADRCIRFWNTTNGHQLNCIDTGSQVCNLAWSKNVNEIVSTHGYSQNQIMVWKYPSLAKVATLTGHTMRVLYLAMSPDGQTIVTGAGDETLRFWNVFPSMKAPTPVKDTGLWSMGRTQIR >OIW04118 pep chromosome:LupAngTanjil_v1.0:LG09:20654722:20658439:1 gene:TanjilG_00678 transcript:OIW04118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKQEEAHLQSSQSQDEQDMESLLLHDPDTDHRLPPQPVTIPHPFNSFLDPPSYAEAIFTSFDNNNNNNNNNNNSSSSSNPQSPDFSSQPGSGSDSDSDFLFISVTDPLKEQELATSLVPGGNSYYTYLITTRTNLPDFGGPGSEFSVRRRFRDVVTLSDRLSETYRGYFVPVRPDKSTVESQVMQKNEFVEQRRLALEKYLRKLGMHPVIRKSEELRLFLEVRGKLPLVKTTDVASRMLDGAVKLPRQLFGAEAGAELSEVAQPAKGGRDLFRIFKELKQSVSNDWGGTKPLVMEEDKEFMEKKEKLVEFEHQLSTVSQQAESLVKSQQDMGETVGELGLAFVKLTKFETEEAIFEAQRIRATDTRNVATAAVKASRLYRELNTQTIKHLDKLHDYLGTMLAVNNAFADRSSALLTVQTLSSELVSLNSRIEKLEVASSKVFGGDKSRMRKIEELKEAHRVTENAKTCADREYERIKENNRSELERIDKERHDDFLSMLRGFVVNQAGYAEKMAAVWEKLAEETTTYSRDSS >OIW05298 pep chromosome:LupAngTanjil_v1.0:LG09:3186882:3191875:-1 gene:TanjilG_03687 transcript:OIW05298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVGSSQSKDDGELKQIRKPKPWKHPQPITKTQLMQLRDEFWDTAPHYGGRKEIWDALRAAVEADLSLAQAIVDSAGVIVQSSDMTVCYDERGAKYELPKYVLSEPTNLIPDN >OIW05034 pep chromosome:LupAngTanjil_v1.0:LG09:7919835:7920875:-1 gene:TanjilG_18633 transcript:OIW05034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEEPIVDVQPTPAVEEPQPTEENTAAAAAEQPAVETTEPEPKPAVKPKKAAKEPKPKKPAAPRKPKTSSHPTYEEMIKDAIVSLKERTGSSQYAIAKFLEDKHKNLPSNFKKLLLNQLKKLVASNKLVQVKHSYKLPPLRAASAPKPVVAKKKSAAAKPKPAAKGKPAAKPKPAAKPKPAAKGKAVVKAKPAAKPKPTAKVKVIAKAKPAAKPKPAARPAKASRTSSRTSPGGRKAPAPRPAPAKKAAAVKKAAPVKKAAPVKKAAPAKKAAPVKKAAPAKKAAPAKSVKSPAKRAGPKRGGRK >OIW05042 pep chromosome:LupAngTanjil_v1.0:LG09:7987137:7993663:1 gene:TanjilG_18641 transcript:OIW05042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLFFPIVEPAPGHTKLRLSREGLEAIEKITNPIASVAVIGPYRSGKSFLLNQLLSLSCDEGFGVGHMRDTKTKGIWIWGTPIEMDIDGVKTSVFYLDTEGFESIGKSNVYDDRIFALANVMSSVLIYNLPETIREADISRLSFAVELAEEFYGRVKGQDVAFEPAKLLWLIQRDFLQGKSVQEMVNEALRRVPNADGDKNIDMVNQIRDSLAIMGDNSTAFSLPQPHLQRTKLCDMNDGELDPLYVKKRDQLKELVASIIRPKIVQGKSLNGKEFVAFLEQILGALNKGEIPSTGSLVEVFNKGILERCVNLYSEKMVALPLPLLEESLRESHDRSRDEAMQAFDQQHFGRHHAKKSVLQLDEEIQKVYKNIIMQNEYQSSKLCEGLYTKCEDMMDQLQVLRLPSMAKFNAGFLKCNHSFEHECVGPSKINYEQRMMKMLGKSRSLFIKEYNHRLFNWLVAFSLVMVVVGRFIIKFFLIEIGAWILFVFLETYTRMFWSAESLYYNPIWHFIVATWETLVYSPVLDLDR >OIW04300 pep chromosome:LupAngTanjil_v1.0:LG09:18628766:18630695:1 gene:TanjilG_32492 transcript:OIW04300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIIREKTVKKPRLLCLHGFRTSAEILKKQINKWPQSVLDKLDLVFVDAPFPSQGKSDVEAIFDPPYYEWFQFNKEFTEYTNFDECLHYIEDCMIKHGPFDGLLGFSQGAILSAALPGLQEKGVALTKVAKVKLLIIIGGAMFNSASVAEKAYSSPITCPSLHFIGETDFLRQYSMEITESCVEPVVVHHPKGHTIPRLDDKSVEIVMNFIDKIQKDA >OIW04221 pep chromosome:LupAngTanjil_v1.0:LG09:21372796:21373302:-1 gene:TanjilG_00781 transcript:OIW04221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQTLEDVKGGGGSVKLGTTGTIGSLMTRELDQIFSAANKQVSSRSKHRALPVSVACGTTTQKRLQPRKSSSHEASGSGSSSNNTKLTSPGMSQKTKTNGRNNHRMPMLGSDNFPVDRTPSRQKSDKKIPTIVEVVDIKCGNADKAWANPLTNRLKKLGFSKLSESFI >OIW05156 pep chromosome:LupAngTanjil_v1.0:LG09:6160950:6161426:-1 gene:TanjilG_02629 transcript:OIW05156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMRSNFFSKPSHIFPTTSEFSVTKSSNEDIEFDEADMWDNMSYESEPKKASKSVLKRGSTKKVEPFGDDKPVMPSSSLPVNVPDWSKILKEDYQKRDKGIINEDFNDNSLRVPPHEYLARTRGVSHSVYEGRGRTLKGRDLSSVRNAILKKVGFED >OIW04791 pep chromosome:LupAngTanjil_v1.0:LG09:13099986:13107039:1 gene:TanjilG_11093 transcript:OIW04791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSMEYFNEISASTLSSLGSSNTLMSVILGLPLLELVSIFTNLSFLLIFLFVVFLRKVHLSVSTARYSKDNRGNNASQISHSFDAEMREFRISTWFKLSLLSCFYVLLVQVLSLGFDGVTLIKGKRKTVDLCLLSVPGFQCLAWLVLSFSALHCKFNVSEKFPILLRGWWIVSFVICLCISYVDGRGFWEEGSKHVGSHVVANFAVTPALAFLCIVAIRGVTGIEVCRNSEIHEPLLVEEEPGCLKVTPYSDAGLFSLATLSWLNPLLSIGAKRPLDLKDIPLVAQKDRSKTNYKILNSNWERLKAENPLKPPSLAWALLKSFWKEAAFNAIFAGLNTLVSYVGPYMISYFVDYLGGKEIFPNEGYVLAGIFFVAKLVETFTTRQWYLGVDILGMHVRSALTAMVYRKGLRLSSLAKQSHTSGEIVNYMAVDVQRVGDYSWYLHDMWMLPMQIVLALAILYKNVGIASIATLIATIISIVVTIPIARVQEDYQDKLMAAKDERMRKTSECLRNMRILKLQAWEERYRIKLEEMRGVEFKWLRKALYSQAFITFIFWSSPIFVSAVTFATSILLGGQLTAGGVLSALATFRILQEPLRNFPDLVSTMAQTKVSLDRISCFLLEEELPEDATLNLPHGISNIAVEIKDGVFCWDPSSSRPTLSGIHIKVERGMRVAICGMVGSGKSSFLSCILGEIPKLSGEVRVCGSSAYVSQSAWIQSGNIEENILFGSPMDKAKYKNVLHACSLKKDLELFSHGDQTIIGDRGINLSGGQKQRVQLARALYQDADIYLLDDPFSAVDAHTGSELFREYILTGLADKTVIFVTHQVEFLPAADMILVLKEGRIIQAGKYDDLLQAGTDFKTLVSAHHEAIEAMDIPTHSSEDSDENLCLDTCDESRKKSISSSNDIECLAKEVQEGSSASDQKANKDKKRAKRSRKKQLVQEEERVRGRVSMKVYWSYMAAAYKGLLIPLIIIAQSLFQFLQIASNWWMAWANPQTEGDQPKVTPAILLLVYMALAFGSSLFILVRAVLVATFGLAAAQKLFLKMLTSVFHAPMSFFDSTPAGRILNRVSVDQSVVDLDIPFRLGGFASTTIQLIGIVGVMTEVTWQVWLLVIPMAVACLWMQKYYMSSSRELVRIVSIQKSPIINLFGESIAGASTIRGFGQEKRFVKRNLYLLDCFARPFFCSLAAIEWLCLRMELLSTFVFAFCMVLLVSFPQGSIDPSMAGLAVTYGLNLNARLSRWILSFCKLENKIISIERIYQYSQIPSEAPAVIEDSRPPSSWPENGTIQIIDLKVRYKENLPMVLHGVSCTFPGGKKIGIVGRTGSGKSTLIQALFRLIEPSSGSILIDNINISDIGLHDLRIHLSIIPQDPTLFEGTIRGNLDPLEDHSDKDIWEALDKSQLGEIIREKGQQLDTPVLENGDNWSVGQRQLVSLGRALLKQSKILVLDEATASVDTATDNLIQKIIRNEFRDCTVCTIAHRIPTVIDSDLVLVLSDGRVAEFDTPSRLLEDKSSMFLKLVTEYSSRSSGIPEF >OIW04906 pep chromosome:LupAngTanjil_v1.0:LG09:9777845:9780517:1 gene:TanjilG_23909 transcript:OIW04906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWNFVQSKIPISISRVNQVDAARLDVEMSGMLKEQLVKVFSLMKPGMLFQYEAELDAFLEFLIWRFSIWVDKPTPGIALMNLRYRDERAVESRDKVRTGLEGPGLTVAQKIWYCVATVGGQYFWARLQSFSAFRRWGDTEQRPLARRLWSLIQRIEGVYKAASFGNLLIFLCTGRYRNLIERALRARLVYGSPNMNRAVSFEYMNRQLVWNEFSEMLLLLLPLLNSSSVKNLLRPFSNDKSSSSAEDDTLCPVCQASPTTPFVALPCQHRYCYYCLRTRCAAAPSFRCSRCSEPVVAMQRHGGVSTE >OIW05266 pep chromosome:LupAngTanjil_v1.0:LG09:3567183:3569649:-1 gene:TanjilG_03655 transcript:OIW05266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTDSSTGSQQQQPNLPPGFRFHPTDEELVVLYLKKKTASAPLPVHIITEIDLYKFDPWELPAKAVFGEHEWYFFTPRDRKYPNGARPNRAATSGYWKATGTDKPVLTSGGTQKVGVKKALVFYGGKPPRGIKTNWIMHEYRLVDNKPNNKPLGCDLDNKKNSLRLDDWVLCRIYKKNNTHRPPLEHEKEDSMDDMIQGTPPSINERFYLSKMSTSYTNALLQNDQNLLEGMVLNNGLGSSSSKVEFPFVPTITSSSNNTNSAASKITFSSLYWNDDQDVVVAGTSSTNKGFSSENGEHDRSIVSTEENNGIGTSFATLLNQHPQNSSLHQQQTMIGSLGDGILRTTPYQIGGINWYA >OIW04424 pep chromosome:LupAngTanjil_v1.0:LG09:19799468:19804890:-1 gene:TanjilG_32616 transcript:OIW04424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCKLGCSLSMQTMSNVGCDVIVTKDKGVSRVHAEIVINAMNLSNPLRSRRSHLSPSILIRDCSKYGTFITKNAGLKQKLHELPNKETSLEDGDVVSFGAGSATYKFCHVPLIFFICSLDKVDQALEEKISSIGAGITHTLGEECTHMLLDQFMPLKKDLIDAVVAKKCCVLKTWLEFFAEKNIGTEIPSHHSYVPTVSVEGKSIKVADPKTRENCLKGCTFLLELLHLYKFKDQLKSSLEVAGAKTVSVEEFCSNSQGSDYGDDNRVVYVIPGGPACKSDHFNKLSSLLRVNEMDIIFAVVSGQLDMSILKSPCVLVSSSCSTDETVVADSDTEVETATSARASETLFTTHNLKFAKRKDLDDDSGSLDIRKNERVEASSGDVSTKLHEIKYAKMETSLDGVSVKSDTNVTSIRDSGVDIKVMKDKVDDHESGNSDIIYSQNLVVRDTNVDTNRSTVPNSSIPNFKRFRKGQTQSGNSFDNLVPYAKYPYKDSDYGNDEDIIESVKEEKRRKQREAVAEDLFNNEKARRRGTGGSLRGILLS >OIW05507 pep chromosome:LupAngTanjil_v1.0:LG09:158461:159189:1 gene:TanjilG_27637 transcript:OIW05507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETVINDINNFSDDILCHILSFLPTKDAVATSVLSKRFRPLWLFAPSINFTQPNEDIGSWVVYSVMRMRGLKLPLKRFCLKINGPIYDADSFDISTWVNGAIQRGLENLDLSLSLSLDINFPLGNILGSKTLMVLKLNKLKVDNVFIDGFPCLKTMHLDDVVFKEHRHLMHLLSGCPNLEELHAVKPVVEDGYTFNHDEEFEVQSLQNLVTVRVSMADCIPMECIRQVKFLGFKEVYHHHH >OIW04571 pep chromosome:LupAngTanjil_v1.0:LG09:17002535:17005199:-1 gene:TanjilG_20927 transcript:OIW04571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSERVDNDERVDYDEENYMEETDEDVEEQIDGDEVDEHSDEDVEGIEEHEYEDSVAEAGGKDQLPVADRSGVAAESLEPSFIDEEEKKKHDELLALPPHGSEVFIGGLPRDVCEDDLRELCELIGDIHEVRLMKDRDTGEGKGYAFVSFKTREEAQKAIEELHNKEFKSKTLRCSLSETKQRLFIGNVPKNWIEDEFRKVIEGVGPGVENIELKKDAQNSSKNRGFAFVVYYNNACADYSRQKMSSASFKLDGNTPTVTWADPKISPDQSASLQVKALYVKNIPENTSTEELKEVFSHHGEVTKVVMPPSRAGGKRDFGFIHYAERSSALKATKDGEKYEINGQVLEVVLAKPQTERKPDGGYAYNPGFQPNHFSQPAYGGGFAGNLYGSSGGGYGVAAGFQQPVIYGRGPMPSGMQMVPMVLPDGQIGYVLQQPGVQMPAPPRPRRNDRNNGPSGHSGRAGGSSSDEGNRGGGRRYRPY >OIW04902 pep chromosome:LupAngTanjil_v1.0:LG09:9758863:9759936:1 gene:TanjilG_23905 transcript:OIW04902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IGGAFGGNRGLRPMPPEKGIFPLDHMHLCDLEKKEYLHCLKTASHQSEKCRDFSKKYLQCRMEKNLMAKQDLAELGFKGSNVENHDETITERIDN >OIW04075 pep chromosome:LupAngTanjil_v1.0:LG09:20336742:20337738:1 gene:TanjilG_00635 transcript:OIW04075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNSILRKSGSFARALAMTGQLTKTHQSYHTVLFSAINQHPNLNPLVPRFQFSTTAVKTKPTSDENLIRVIESEIQCAEETDDHTDDAVPSNFPFKILDNTGQQSIILERTYQGEEIKVEVHMPDLVTGEGRDDDRDDDPENQGAPQSSLPLSISVSKKGGPFLEFNCVAYADEIVIDSLSVKNPELPEDQIAYEGPDFQ >OIW04841 pep chromosome:LupAngTanjil_v1.0:LG09:11551351:11555684:1 gene:TanjilG_13689 transcript:OIW04841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEVSDEFSGTICSICYEALKPITEDLQSITICGHVFHELCLQQWFEYSKAKKHTCPVCKQSCKTNDACRLYFQSVGEGNEAVPSQKPIDFQEDAGVLRKEVKLLEVKVSGLSSQLERQGKELEDVTHELCACKKQAKIDIALKKEALNEKATLQFQLRKKSEELEKSSFERFRLEERNMALAKELAALKLVSDLDLDEEDILKLATLGNGANSKDTIDTLKKSLVLRNKSYKELMAKCNLLGRGEARYCKMLEKAKEKITKLKARVQELQTTAEVKESEYLMFHKVSKKANFSKTLENNINSNYDVLASSKYSSKEQWKQISTPQSGKDLSLNNDSKYGHSLNIENSYAVENKALNFGSGSKTTLSIVKEREFISIDDDLEFTKPLLQHPKHNNKDQDLDDVALRKPTLVKPEGAMQGKCNLAESSRIDIDIEIPNISAAVMDDVTLPSNVNQVQPTINIRKESPLGLSNSGGICFSGGLLGPDGTNRFLGKWCKRGQNSESTSANGSGNGDLIAVGADGRGGRIKALRSSNQTFSDGKESSLCSKRLKLGSKTNTLQSKGCLQIEHFFGRVTQ >OIW05419 pep chromosome:LupAngTanjil_v1.0:LG09:1423874:1429095:1 gene:TanjilG_23245 transcript:OIW05419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNSLPFNKYGFLTTHNSHAIEGEPSHTGVPRVTFNNQADTVTQQLNNGVRALMLDTYDFKGDVWLCHSFGGECNDFTAFEPAIDTLKEIEGFLSANPSEIVTIIIEDYVHAPNGLTKVFSDAGLKKYWFPLSSMPKNGEDWPLVSDMVSKNQRLVVFTSIKSKEQSEGIAYQWNYMVENQYGDDGMKSGSCTNRAESPSLNDKSKSLVLVNYFRSVPLELLSCEDNSENLNKMLQTCYAASGNRWANFVAVDYYKLSKGAGSFGAVDTINGELLCGCNDVHACVPGSTSQACSKQ >OIW04545 pep chromosome:LupAngTanjil_v1.0:LG09:17601844:17604818:1 gene:TanjilG_13927 transcript:OIW04545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLPKRHFLPLIIFSITVFIFYIYHHSSIPSSIPQFNPNFTLRSPIQNPNPSPISPNFTFIVKVLAFNRLDSLSRCLRSLAAADYLGDRVHLHLYIDHFAIANGSSAATVDQKLLESHRILSYADGFDWKFGEKVVHYRTANVGLQAQWLEAWWPGSDDEFVFVVEDDLEVSPLYYEFVKSLIVNFYYNASNYSPSIYGVSLQRARFVPGKHGNKLHLDDKTRLFLYQLVGTWGQILFPKPWKEFRLWYDKNKAKGNRPFLQGMVTTGWYKRMGERIWTPWFIKFIQSHGYFNIYANFLHERALSVSHRDAGVNYGKTAGPDSQLLDERSLDFNILEMRSLSSLKWFDFCFREVLPGRVVRNIVEVEYLLHSLQKQDSVFLVNLFGVQDALASNMLCHFERLNIRNYILMGPPSDFLFDLARRGHPVIDVDQFISSAGLNKLTSHGSSFDAMKGVLVQAYVVKKCIENRYNTWVMHGNLLLTSDLLIESREHNNDFFVANNLELFYAKSSSSSEKVWSNGFVSKVIAMADSLARKDSPPHGSLSFVYVVTKLLEENGARIERVDETTFGMKIGSGNDRISSLGDKKLVYWSTEMELDSIQKWLEELSLWSIDSDLSCTAVVCHQS >OIW04453 pep chromosome:LupAngTanjil_v1.0:LG09:20042016:20042270:1 gene:TanjilG_32645 transcript:OIW04453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDPQDIAARERIFKHFDANGDGQISSSELGDALNALGSVTVEDVKKMMDEIDTDGDGFISYEEFTEFARANRGLVRDAAKIF >OIW04155 pep chromosome:LupAngTanjil_v1.0:LG09:20926723:20928186:1 gene:TanjilG_00715 transcript:OIW04155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVETKATKRNGHIVMSPFMAHGHLIPFLELARQIHERTSFTITIAITPLNIQYLKSAISSNNDIQLAELPFNSSQHGLPPNIENTEKLPLPDFIKLFHASVSLEAPLRSLVSKITQEEGHPPLCIISDVFHGWVTNVAESFGTGNISFTTCGAYGTLAYISMWSNLPHRKTDTEEFWVPGFPKNYTFQRSQLHKHIRSADGSDAWSRFFIPQIALSMKSKGWICNTVEEIEPLGIQLLRNYLQLPVWTVGPLQPPASLKSSKNRAGKESGISVEACIEWLHLKDQSSVLYISFGSQNTISASQMMALAEGLEESGKSFIWVIRPPFGFDMNAEFLAEWLPKGFEERMEDNKRGILVHKWGPQLEILSHSSTGAFLSHCGWNSVLESLSQGVPIIGWPLAAEQAYNAKMLVEEMGVSVELTRFVETDISKEEVKKVIGVVMDQKEGRGKEMKEKANEIAVLLATTENGQENDSSVRSMDGFVRTILSS >OIW04637 pep chromosome:LupAngTanjil_v1.0:LG09:15238877:15240304:1 gene:TanjilG_07772 transcript:OIW04637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVTRPLSLYKKSPEALSLPPPEGPNSGILVIQDLDLEQTSCFGLGQYHEVKELPFPQNMNLELFYRSGISINRATHYHHVAFIPVLNQPLSSNKYYVIQHKGKHRGEAYINSKEEDLDTLCFYNSVSDETLHPLDINNIYQEFEIYPRRSKVTFRAGFSAKSVASDGYPPRFLSTRWKVSASASDDSSSIGEASGVNDALRANKPEFKFSLENKISESVVVGKWYCPFMFIKERTHKTLKEEMRKSMFYEMTLEQKWEKIYTCENLHDEIIGNNTVNVDVVVQKEVVVIAGWEAMIDEMDVAENLVWFNSFSNVGQKNSVGLSTAIVERMKWEEEKVGWIVGKEKQIRVKKVEVFEGTNGWKKFGCYVLVETFALKRLDGSLVLTYAFKHPHQIRSKWE >OIW04068 pep chromosome:LupAngTanjil_v1.0:LG09:20287942:20288963:1 gene:TanjilG_00628 transcript:OIW04068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGFGSSCGACKFLRRKCTSDCVFSPHFSYDEATTHFSAVHKVYGASNVSRLLSHLPIQFRSDAAITISYQAMARMQDPIYGCVAHIYALQQQVANLQEEINILASNLTMENSTVGVLNCGSDQTPVNSNNEIHYILQNDANREQYYQNQLSNLLSNHEGSSATSYHQSFDSMMNIELSNAHGLEDSSLFGDSNSNPLEKFLSGIDQEVFMNHPWFKHNAEMN >OIW04837 pep chromosome:LupAngTanjil_v1.0:LG09:11647764:11649667:1 gene:TanjilG_13685 transcript:OIW04837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEKENTVVTERSAESLIEKITDKISEKIHSHDSSSSDSDSDSEKTIASAIKEKVFRLFGREKPVHTVLGAGKAADVLLWKNKKVSAGVLGVGTAVWILFELLEYHLLTLVGHISILLLALLFLWSNAHTFIYKSPPQIPEVRLPEEPFLQIVSALRIEINRGFAAVRDISSGKDLKKFLIVIVGLWILSIVGNWFNFLTLFYIIFVSLFTVPVTYAKYEDKIDPLAEKALLEFKKQYAVFDANVLSKIPKSLKDKLA >OIW05009 pep chromosome:LupAngTanjil_v1.0:LG09:8156775:8158367:1 gene:TanjilG_06421 transcript:OIW05009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQEQPRRDSSNNNNKEAPIRYGDVFEVGGELASKPITPRDAATVQSVESQIMGGHTQKGGPASIMESAAAKNERAGVVGHRASTNIARNDGTSIYEEKCVGGKRVLTQTLGGEVLGQFVKDDDVSKGIVIGDDDPYKSTVDDDLPATVKAPQVTPTEDFDFISNKSVHADLNPRLSANQDDHNNTQSNVPPVSDMKKEACKNIESDYYAYDYDVFIAKREHIYSKKFYGAKAPFCDKGQVHDVRIECDTLGLNDAPCLVIRIDCKTVMQVKQLKWKFRGNHTILVVDGIPVEVFSEKKR >OIW04261 pep chromosome:LupAngTanjil_v1.0:LG09:21604111:21609324:1 gene:TanjilG_00821 transcript:OIW04261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEESANVVSNTDSNGNSLPGKTLNNVSEKDLEADQGKTLGDDGVKDLKEDGVKEMEEDKKAVGEEEVEGDKKVDGGEEVKEDKEVYYVDGKEEGKKEVNVSENEKLNEETEVKETVDSIEENENVESKKPELDVTEAVGVYKGKDESSEKEKVQEEEEKVDKPKEDEKIDDSEIEKGLKKFGKGKNIRGRVKNKRKETVDSKGEKENVEAKKSDVGAMEEVSVPKYKDETSEKKKIQDEKDEDKINISKGEENVDDSKVEKGLTKRGKGKINIEKVKRKRKETVNSEKESVEAKKSELDSMEEEGASEDKDGSSEKEKIQDEKEEDRAKASKKRRKGKIDGEKVGKKRKELKETEPRTPAISRPVRERKTVERLVALIENDANKELHIAKGRGTPLKDIPNVTFKLSRRKTDDTLKLLHTVLFGRRGKAIQVKSNISRFSGFVWRENEEKQMIKVKEKLDKCNKEKLLEFCDVLDIQIVRAAARKEDIITKLIDFLVAPQATTAILLEEKLIKGKKRKRTANRSSGSATSSGRAAKNRKKTEGSSVAEERKSTIDTEDESEEKGKDEEPEKNDNGVPDKSEDEIPENSESEERGNSDNESEEVKKPRKTIKASPSTKKESTAKSEIPQVTAITKPRSAPKRTSKKSSATRTEVDDDIEANPKVSSSKKKNEKRGKQKTSSLNKSSSKEKTEIVTKGKGKRKEKVNPSDDELRDAICKVLKEVDFNTATFTDILKQLAKQFDVDLTPRKAYIKIIIQEELTKLADEADDEEDDDAEA >OIW05111 pep chromosome:LupAngTanjil_v1.0:LG09:5638164:5642414:-1 gene:TanjilG_02584 transcript:OIW05111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASEENSALFPIFILTMMALPLVPYTLHKLFSSFVKETTSIHCRCSVCSRSGKYRKSIYKQIINFSTYTNLTLVLLWVSMAGLIYHIKQTNHEVQVFDPFSILGLDSGVSESDIKKAYRRLSIQYHPDKNPDPEAHQYFIEYISKAYQALTDPVSRENYEKYGHPDGKQGLKVGIALPAFLLSIDGASGGILLIGIVGICILLPLAVAVIYLTRSAKYTGNYVMHQTISTYYYFMKPALAPSKVVELFTKAAEYLELPVRRIDEEHLQRLFVLVRSELNLDLKNIRQEQAKFLKQHPAIVKTELLIQAQLNREFAALSPTLQRDFRHVVELAPRLLEELMKMALIPHHPHGYGWLRPAIGVVELSQNIIQAVPLSTRKTSGGSSDGIAPFLQLPHFSEAVVKKIARKKVRTFGEFQDLSSQERNDLLIEVAGFSAAESQDVEMVLEMMPSITIDVNCETEGEEGIQEGDIVTMYAWVTLKRGNVLVGALPHAPFFPFHKEENFWLLLSDSASNDVWISQKVNYMDESAAITAASKAIQESQEGLGATLKEINAAVKEAVAKVKSGSRLVMRKFQAPAEGNYNLTCYCLCDSWIGCDTKETLKLKISKRSRAGTRTGALAEEGSAVEDKPEDEIEEEYDDDIESEYSDDDDENDNNRNGVAANGKSHIEGNSSDSE >OIW04437 pep chromosome:LupAngTanjil_v1.0:LG09:19939875:19943415:1 gene:TanjilG_32629 transcript:OIW04437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKFKFESFLFFLSGFLSLTVLVFCQNDDASVMLSLKKNLNPPESLGWTDPNPCKWSHVTCSGDNRVTRIQIGRMNLQGTLPPTLQNLTQLQHLELQYNNISGPIPDLNGLTLLQVFMASNNRFTSFPTTFFNGMSQLQVVEIDNNPFEEWEIPLSLQNASSLQNFSANSASVRGKLHDFFGSDVFPALTHLHLAFNKIEGTLPLSFSGSQIETLWLNGQKGDGDGDGDGDAKLGGTVEVLQNMTFLTEVWLHSNGFTGPLPDFSGLKSLKVLSLRDNSFTGPVPSSLVSLKSLKVVNLTNNFLQGPIPVFGPDVEVDMSKDSNRFCLAGPGDCDPKVQVLLSIIRLFGYPQRFAENWKGNDPCNDWLGITCSNGNITVVNFQKMGLTGVISPEFSKLKSLQRLVLADNNLTGLIPEELTSLPVLTQLNVANNQLFGKKPSFRSNVIVDSSGNKDIGKDKSSLSPQGPVSPTVPSARGENNGSSENGAKKSSSHVGVIVFATVGSVFVVCLIGFLVFCLLRMKQKRLSRVQSPNTLVIHPRHSGSDNESVKITVAGSSVSVGGVSETQTMGGSEAGDMQMVEAGNMVISIQVLRNVTDNFSEKNILGQGGFGTVYKGELHDGTRIAVKRMECGIITGKGATEFKSEIAVLTKVRHRHLVALLGYCLDGNEKLLVYEYMPQGTLSRHLFNWSEEGLKPLEWNRRLTIALDVARGVEYLHGLAHQSFIHRDLKPSNILLGDDMRAKVADFGLVRLAPEGKASIETRIAGTFGYLAPEYAVTGRVTTKVDVFSFGVILMEVITGRKALDETQPEDSMHLVTWFRRMYINKDSFHKAIDPTLDLNEETLASVHTVAELAGHCCAREPYQRPDMGHAVNVLSSLVELWKPSDLSSEDMYGIDLDMSLPQALKKWQAYEGGSHMESSSSSLLPSLDNTQTSIPTRPYGFADSFTSADGR >OIW05162 pep chromosome:LupAngTanjil_v1.0:LG09:5539333:5539821:1 gene:TanjilG_19793 transcript:OIW05162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSRKKLILNTVSMNLGCGSCTRPNLSHIFHPKPNPKNPTYSKNKLYNHSSSSNSTTPTNTATTFSPCCIDSSNFSESETYVMAHRKVGGFGRSGREGVAVEKDSDDPYLDFRQSMMQMILENEIYSKDDLRELLNCFLHLNSPYHHGAIVRAFTDIRNGG >OIW05462 pep chromosome:LupAngTanjil_v1.0:LG09:555682:557872:-1 gene:TanjilG_12053 transcript:OIW05462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACSSYALNTIPSSFVNPSSIQSRSLFTTPIAKNFSPFTPSNSKNLKLSSTLSHTFLSSVPRKSFSCRSQAEPIDTEKVQELHVYELNERDRGSPVYLRLSHKPVNSLGDLVPFSNKLYTGDLQKRIGISAGICILIQHKTEKKGDRYEAIYSMYFGNYGHIALQGPYLTYEDTYLSVTGGSGIFEGVSGQVKLQQIVYPFKIFYTFYLKGIKDLPDELLVKPVEPHPSVEASPDAKALKPHATIIAGFTD >OIW04657 pep chromosome:LupAngTanjil_v1.0:LG09:15594121:15597457:-1 gene:TanjilG_07792 transcript:OIW04657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGRWGKTVSAIVSEENAVGSSFSATDVFKLTYLEGNSWLWNVGGANILVDPILVGNLDFGIPWLYDAAKKVLKKFQLSDLPEIDCVLITQSLDDHCHLKTLKPFSEKFPSVRVIATPNAKSLLDPIFRNVTYLEPGQSSDIETNNGSKITVKATAGPVLGPPWQRPENGYIVRSPQGQLSLYYEPHCVYNQSFIEKEKADIVITPVIKQLLPSFTLVSGQEDAVRLAKLLQAKFVVPMRNGDLDGKGILASIIQSEGTIESFKAQIWSESDQIVVFAAARL >OIW04604 pep chromosome:LupAngTanjil_v1.0:LG09:16810057:16811120:1 gene:TanjilG_18081 transcript:OIW04604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKTEPTTNNADSQALLKHVPLTDLFGGWEDGILTIGTFGYGHLKSINHNNEYFALETEQGERKGENIQADNDDDGDDGNCYNNAEMEEVNPLMQNIFENNFDDVVVSANHDAINANKEGMVSTFTEIIVLSSPVISNEVKESNDAESDENNKGERITLADLFFADSEVKMKLYPSKFFLESSEKPSLKAKHGLSFAKNLIPNQRPMKDIKKLMKKMLKRKIHPDLDIKNHKPEGREASPSGIIDDHMNEWNNESTYFLPI >OIW05292 pep chromosome:LupAngTanjil_v1.0:LG09:3251412:3252435:1 gene:TanjilG_03681 transcript:OIW05292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSFAVVALVFAFCISNALARKALPADAEGFGGIKGSSRDKFFVEGKVYCDPCQFEFESRLSRPLSGVKVILECRKPDSDTKTYSLEGTADANGFYTLTVVGDHQDEICAVTTEVNTHKHCNLPMKTSDSDRIVLTKHDGVSSSIRFVNPLGFKTHNINNECAKVYKELELDTLNN >OIW04427 pep chromosome:LupAngTanjil_v1.0:LG09:19870316:19873866:1 gene:TanjilG_32619 transcript:OIW04427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGKSSLVLRFVKGQFLEFQESTIGAAFFSQTLAINDATVKFEIWDTAGQERYHSLAPMYYRGAAAAIIVYDITNLDSFTRAKKWVQELQKQGNPNMVVALAGNKADLEDKRKVTAEEARVYAEENGLFFMETSAKTAANVNDVFHEIAKRLPRAQPAQNPAGMVLVDRPAEGARASASCCS >OIW04635 pep chromosome:LupAngTanjil_v1.0:LG09:15215917:15217492:1 gene:TanjilG_07770 transcript:OIW04635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKSRRNCDICVTLMIALFAANIVLADDNIPIPGDKAQLNNWFNQVVKPLSQRKNTLDPALVAAEKAPTIIKVMQNGKGKFKTINQAIKSIPKGNTKRVIIYIGSGTYREKIKIEREKPFITLYGAPGSMPNLTYGGTALKYGTVDSATLIVESDYFVAVNMIISNSAPKPDGKTKGGQALALRVFGDKAAFYKVTLLGFQDTLCDDAHWHLYKDCLIQGTVDFVFGNGKSLFVNTELRVLGDHEMSVITAHGRDKKSDDTGYSFVLCDVTGTGTGTILGRAWMSKSRVVFAYSNIGNMVNDTAWSNNHHPEYDKDLYFGEYKNKGPGADPKGRYKYTKQLSPAEVKPFITLDYIKGANWLLPPPNPKV >OIW05077 pep chromosome:LupAngTanjil_v1.0:LG09:6972883:6974002:-1 gene:TanjilG_06213 transcript:OIW05077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPHQLTALIVDDDMMIRMIHRKMLSNIGVRNQAVQNGKEAIDLHHSGKSFDLILMDKDMPVMNGVEATKKLRSMGIHSMIAGVSSSVEQHIPEFIEAGLDTYLEKPLNTDKLTSIIHQIIH >OIW04298 pep chromosome:LupAngTanjil_v1.0:LG09:18618105:18618887:-1 gene:TanjilG_32490 transcript:OIW04298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFQTVKFLFLCLILNIFYSLVYHDILTPTTKAADHDVPVIPEEIIERGLMSLADYEEASAKALSLFEYGQQVTLEHGLVLVDTKYQFGKVHTPDSSRFTSVPFIVT >OIW04165 pep chromosome:LupAngTanjil_v1.0:LG09:20991922:20993415:-1 gene:TanjilG_00725 transcript:OIW04165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKRKLRSSDIEPTKQPDSDVIQEQQQTLEGAQDHMSIDQQQQQQPIEEYNQIEEQQQLEEDVEEGNDDDEAAPENETLEDQNQEQDAEPSNDDAATPSESVKVEVNGEGNNEEEEDLELEEEPVEKLLEPFTKEQLHALVKQAVEKFPDFAENVRQLADVDPAHRKIFIHGLGWDATAETLTSLFSKYGEIEDCKAVTDKLSGKSKGYAFILFKHRSGARKALKQPQKKIGNRITSCQLASAGPVPAPPPVAPAVSEYTQRKIFVSNVSADIDPQKLLEFFRQFGEVEDGPLGLDKQTGKPRGFALFVYKSVDSAKKALEDPHKQYEGHVLYCQKAVDGPKGKQGYHQQPHHQHHQHQHHSHHHHQPHFQRKDKNKYSSSGGPAHGGGHLMAPSGPSVGGYNPGVPAAQGLNPVLGQAISALLTTQGAGLGLGNLLGGLGGAHMNHSVPPAGYGNQPTMNYGNQPGMQQGYQNPQMGQNSGVRPHPGAGAPYMGH >OIW04269 pep chromosome:LupAngTanjil_v1.0:LG09:21648214:21649245:1 gene:TanjilG_00829 transcript:OIW04269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQCIEGILHIFAPILRCCDLDLNKQPTGLKDPELLARETVFSVSEIEALYELFKKISSAVIDDGLINKEEFQLALFKTNKKESLFADRVFDLFDTKHNGILGFEEFARALSVFHPNAPIDDKIECMSFYIFLFFVNHYLGTKFL >OIW04661 pep chromosome:LupAngTanjil_v1.0:LG09:15635700:15639208:1 gene:TanjilG_07796 transcript:OIW04661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKDQSRRRRWHDVFWLGIFVTHLIGFGCVLGVLGLNRFKKKNRLDIDKYTYRFMENEAGLTEDYWPLYAVAGGVGTVLGCSWLLLLGSRATDMMKVSVHILTTYLAVISVLCFWAHQFFWGVAFAIGATLQFLYVISVIDRLPFTMLVLQKAVKMVWNLPKVLRVAYAFMVVVLLWMALWSFGAAGVVASSMGDGGRWWLLVVLSISLFWTGAVLCNTVHVVVSGMVFVVSIHGDRDGASIPANSLLKTLQYALTTSFGSICYGSLFTAAIRTLRWEIRGLRSKIGKNECLLCCVDFLFHLVETLVRFFNKYAYVQIAVYGKSFNRSARDAWELFQSTGVEALVAYDCSGAVLLMGTIFSGLITGTCSGVWAWIKWSDRAFMIGSTSMLMGMVLVGVALVVVESAVTSIYICYAEDPLLIHRWDPEFFNQISETLHQRLKHRSARAKEVLNHNQLDGIQENGSI >OIW04589 pep chromosome:LupAngTanjil_v1.0:LG09:16690587:16691102:1 gene:TanjilG_18066 transcript:OIW04589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQQQQPLCAATEDDVTITTTRPDNSSTGGGMRHPVYRGVRKRRWGKWVSEIREPRKKSRIWLGSFPAPEMAAKAYDVAAYCLKGRKAQLNFPDEVHHLPPLPPASACTARDIQAAAAKAAHMMMKAAGSPDKRDISSSSDCGDDFWGEIELPELMNSKWWTFSGDITACL >OIW05403 pep chromosome:LupAngTanjil_v1.0:LG09:1553902:1557043:-1 gene:TanjilG_28868 transcript:OIW05403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNQDQQSPKSHRFDLIILGASGFTGKYVVKEALKFLNNPSSPLKSIALAGRNPTKLTQTLQWAARPNPRPSLPILTADTADPSSLRALCAQTSLILNCVGPFRLHGEPVVAACADTGCDYLDICGEPEFMERMEANYHDRAVETGSLVVSACGFDSVPAELGFMFNSRQWVNPAVPNRVEAFVSLESERRIVGNFATYESAILGIANAHKLQELRRSRPRRAKPVVPGPPPSKGETIENQKKLGLWAVKLPSADSIVVRRTLSVLTENPHGLPGLNEHAEMIEKREAFWSSVKPAHFGVKIGSKSLLGIFRIITVGIFIGLFGSFNLGRRLLLNFPSVFSLGWFREKGPSEEEVKSASFKMWFVGHGFSNESLASQRNTKPDTEIVTRVMGPEVGYLTTSIILIQCALVLLSRRNDLPKGGAYPPGIIFGPTDLQERLQQNGISFDIISKSSISS >OIW04413 pep chromosome:LupAngTanjil_v1.0:LG09:19720109:19726439:-1 gene:TanjilG_32605 transcript:OIW04413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESEVCMNGSCRGVGYEREWKQGWSLESGGFARLCNNCGSAYESSIFCEKFHREETGWRECSYCNKSIHCGCIVSLSLFKHLDFGGIGCVTCLKTSQHSLDIENPNVSLMSTKNNASNRHAAHKDDRLLVDSDDEGRLMQLCRIIQATESSQCPQAQRDGIVSRIDSISQEVKCSFREADARFSKLMKPSSHTLTSTSLGNSRPTWENKNMHDALALSMSLGTPSKNTVLPSATEKAEERVEGKESPPFHQGQRSHPILPKRPKTGLTMNLETNNGMISEARVPRPPAEYSGKHQLLPRYWPKITDQELEKLSTDLKSTVVPLFEKVLSASDAGRIGRLVLPKACAEAYFPHISHSEGIPLQFQDVKGNEWTLQFRFWPNNNSRMYVLEGVTPCIQSMQLNAGDTVTFSRIDPGDKFVLGFRRASSSIDTQDANASAQSNGISTKETVTAPTQNPQSRSNCPDLLSKKEIVEPHLNGHPEHLLLGTGGDKKCEMIKNDLLRQPISDSEKKRTRNIGPKSKRLLIHSEDAMELRLTWEEAQGLLHPPPSVKPNIVTIEDQEIEEYHEAPVIGKRTIFNASPTGRKEQWAHCDDCSKWRRVPVNVLLPKWTCSDNVWDASRSSCSAPEERSGMELDNLLRTSKVSKKRRRLEKSESVEEHEPSGLEALANAAVLGDILLDPAEPSSRVTTKHPRHRPGCTCIVCIQPPSGKGKHKPTCNCLACTTVKRRFKTLKMRKKKRQSEREADASASHKDQIQPMDEPDANGASKDDTSQLDEPSAAAHIDLNYDPNSEDMPVDDISGRSA >OIW05043 pep chromosome:LupAngTanjil_v1.0:LG09:7997084:7999806:-1 gene:TanjilG_18642 transcript:OIW05043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHYYNSMKIQPIDSKAEEEMSVEVVKPVARSRIKRLFERQFSVLKYSAAADKITAAGDGVDKGSGDFEPSSVCLAKMVQNFMEGGNHDKHSVSSNCFNGNCDYGSDAESETYSSSTEALKGLVACASVCERNLLADTAKIVEKNKVMCKRKDQVCRNVVTEGLIAFGYDASVCKSRWEKSPYYPSGEYEYIDVMIGKERVLIDIDFRAEFEIARSTKAYKAILQSLPYIFVGKCDRLQSIVAIASEAAKQSLKKKGMPVPPWRKLGYVKAKWLSTYIRTTITPTFLDPQTNKEETKKQHQLNKSVLTSGVEDKAKMVVVHWKLPEAKPKSIQSGLAAFFAEKS >OIW05417 pep chromosome:LupAngTanjil_v1.0:LG09:1442657:1444563:1 gene:TanjilG_23243 transcript:OIW05417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLNSSSSIKIHEHCHVSPPPTSPHSSIPLTAFDFFWLRFHPVERIFYYTFPSPDTDSSFFFHKLVPTLKTSLSHTLQHFLPLAGNIVWPSDSEIPIVQYNPGDDGVSLVIAESELDLKHVLDNSPNDAAVSHSFVPHLESSDSLASVISLQVTLFPNSGFSIGISTHHAVLDGKSSTMFIKAWASLCQQDQEPTCLVPELVPFFDREALKDQPTLDSLLESSSKLFTDDNNNARNLKILPFPPKLENSVRASFNLTRADLEKIKKRVLSKWDMVVIDEEESNNNSTLYPKPSTLSTFILTCSYVSVCTAKAIQGVESNKNKFAFAFTVDCRNRLLEPPIPTNYFGNCVWGHFIDTKPNNYTEEDGEVIVAKNIYNKIKMISDKGVLEGAKNVSSRFKSALREGVELMSIAGSTRFGVYGIDFGLGKPNKVEITSVDRSLTFGISDSRDEKGGVEVGLVLNKDVMKLFDTLFHEGLCID >OIW04586 pep chromosome:LupAngTanjil_v1.0:LG09:16619281:16620398:1 gene:TanjilG_18063 transcript:OIW04586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVNNPRGLPLSLDGEGLKKGTRVGQGAFREVAAYILDHPISGCRSLFGDEKGFAGVPPTAMVKCLHKGFDHPDNFTAKIGSLQLFMENSGSCEDMGPGAFPVNEVHKITVLDLRLANADRHAGNILISKEEENDQAVLIPIDHGYCLPTSFEDCTFEWLYWPQARQPYSPETIDYIKSLDAEEDIALLKFHGWDLPVECARTLQISTMLLKKGVDRGMTPFAIGSLMCRESLNKDSVIEGIVQEALDSVLPGTSEATFLDAVSYIMEQRLDEIVNSTS >OIW05177 pep chromosome:LupAngTanjil_v1.0:LG09:5394243:5397955:1 gene:TanjilG_19808 transcript:OIW05177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRWRPWPPPLPSRKYEVTLIVRSLINGRNLSLSKGSRLTVGIKWKGPKFILRSLRRNAVLRNVTKEAEFVAGDGGAAVTVVNWDEEFRNLCKINAYKDSVFYPWEIAFTVFDELNQRSKSKALVIGTALLNIAEFASQADQRDFDLNIPLTLPSGSVEQSPSLCISISLVELTAAQETVNQRSVVPVSSPIAQSGESTLAEKDDLSAIKSGLRKVKILTEYVSSRRAKRGSRKGEGSEGGGSRSGEDGEYNYPLNSDSLDDFEEGDSDEGKEEDSGVRKSFDYGPLAYANAGGAFCSNTCLNCDDEDWVYYSNRRSDVGCSQMDDSPISSYKPYLVQSSMHSLLLSWRKRKLGFRSPKVNKGEPLLKKAYGEGGDDIDFDRRQLSSDESHSTRLYRTEDDSTANQSSISEFGDDNFVVGSWEHKEVMSRDGHMKLQTRVFFATIDQRSERAAGESACTALVAVMAHWLQNNNDLMPIKSQFDFLIREGSSEWRNLCDNETYKERFPDKHFDLETVLQAKICPLSVVPGKSFIGFFHPEGMDEGRFDFLYGAMSFDNIWDEISHAGQECLSNGEPQIYVVSWNDHFFILKVESDAYYIIDTLGERLYEGCNQAYILKFDSDTEIHKMQDVAQSSDDKASSEQHTVASILECNDGEITSVLECNDKQMQQSLGNVAGSVVDPEEQLKSDDEVVCRGKESCKEYIKSFLAAIPIRELQADVKKGLISSAAPLHHRLQIEFNYTQLLESCAALVAEASMATPERLSR >OIW04792 pep chromosome:LupAngTanjil_v1.0:LG09:13081492:13089273:-1 gene:TanjilG_11094 transcript:OIW04792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSILHHLSEGAFKVAGEALQNMYSGGSGGGGSGGSSVTQIRPCVHKRSQSEIVTKGFHRSNSFQKLRTHVNRWRWGGSKHREEANFNPEILANQKRQWYQLHPKSMDRVNYKEPTSLFEHFVVVGLHPDANLEAVEEAFARRKKLEKDKEKYDYVDYKMLQRQRLPAPILEPQILFKYPPGKKLTVRMKDLASFCFPEGVKATMLERTPSLSELNELVYGQEHLGRDDLSFIFTLKAADNATLYGVCLHVPEIVQRPPGILGTSSPHSHPSGICSRFLVSAPRCYCLLTRVPFFELHFEMLNSLIAQERLNRITQFVNELTLTGSIPSTPKLDDQMSSNANSPERESFSDWMSCAIPLDEAAAITAAAAGIISDDEIPQLSPKIWDSHSQSPASVTASDASDFFQVRDTEKDGRKNLQDHDSCGFEAPESNDSMERVNGNYENDQLSPHVGTPLSARSRVLDRLGSSESLFSPARSMISEDEDDLFANNETDYGDELLMEWAMESKNDLLQLVCRYHASPIPPRGSEYIFRPLEHLQPIQYIRHSVPSLGFSETCLICSEPTKINAKLAAAEEALALSVWTTAATCRVLSLESLLTLVAGVLLEKQVVVVCPNLGVLSSTVLSLVPMIRPFQWQSLLLPVLPGKMIDFLDAPVPYIVGIQHKPEHLNMKTSNLVLVDVLKNQVKMCHLPKLPQHRELVSQLGPIHARLACESSIARKHPVHRCNEVQADAATRFLNIMWHYLESLCSELKFHTITSVQSNNDRVSLLLKDSFIDSFPNRDQPFIKLFVDTQLFTVLSDSHLSSFENGES >OIW04096 pep chromosome:LupAngTanjil_v1.0:LG09:20502592:20504228:-1 gene:TanjilG_00656 transcript:OIW04096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKATKAEKKIAYDGKLCELLDEYTQILVVNADNVGSTQLQNIRQGLRGDSVVLMGKNTMMKRSVRIHAEKTGNQAFLNLIPLLVGNVGLIFTKGDLKEVSEEVAKYKVGAPARVGLVAPIDVVVPPGNTGLDPSQTSFFQVLNIPTKINKGTVEIITPVELIKKGDKVGSSEAALLAKLGIRPFSYGLVVLSVYDNGSVFKPEVLDLTEDDLLEKFAIGVSQVTSLSLAISYPTLAAAPHAFVNAYKNVLAVAVATEYSYPQADEVKEYLKDPSKFAALAAVAAPAAGSGAAPAAAAAKPEKEEEPAEESDDDIGFGLFDE >OIW04675 pep chromosome:LupAngTanjil_v1.0:LG09:15823783:15824037:1 gene:TanjilG_07810 transcript:OIW04675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCDGGRRLLYLSTVVLVVVTVLQMSQIGAIRVFPVAKVKLSNVDKKYLLHKYFSGKTFGVSNKTQKGFDENKRRVPSCPDPLHN >OIW05406 pep chromosome:LupAngTanjil_v1.0:LG09:1537264:1537536:-1 gene:TanjilG_28871 transcript:OIW05406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLAPSRPEILSLFRSLLRVARNFPDYNIREYTKRRTIDAFHQNRNLSETSSISSSFSDGKSQLDVAKRQAVVYSLHAPPLRSVMEIENP >OIW04265 pep chromosome:LupAngTanjil_v1.0:LG09:21628318:21632218:-1 gene:TanjilG_00825 transcript:OIW04265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEKSCEIEERVGLFERKEVRKRGVYKVFASTIFAAICFIWVYRFRNIPYDDDDDDDGRYWYWLLLFMSEFAFGLYWIITQSVRWRIVYHTPFKHTLLNRYDEQKLGGVDIFVCTADPILEPPMIVINTVLSAMAYNYPSNNLTVYLSDDGGSDLTFYALFKASIFSKHWVPFCTRFNIQPRSPEAYFATQNYTTNFAQEWLSIKKLYENMKRDIESVVANGKVPDDARKQHNGFSEWNHKTTKQDHQSIVQIMIDGRDKNGVDEDGYGLATLVYMAREKRPNYPHHFKAGAMNALVCHHYMYIYIYICMYIYLLSLLMCLVQIRVSSEITNAPYILNLDCDMYPNNADIIHEVLCFFMDEVKGHDIAYVQFPQNYNNLTNNDHYANSCLATDKLELAGICGHGAALYCGTGCFHRRESLSGTYFKDYLPNKDTNPKREDKRTVNELNEASKALATCTFEKDTQWGKEMGLVYGIPVEDIATGLAISCRGWKSIYYNPERKAFLGVAPTTLDVALVQHKRWSEGMFQVFFSKYCPFIYGHGKINFGLQMGYCVYLLWAPMSLPTLSYVIVSPITLLRGIPLFPQLTSLWFLPFAYAFVATNAYSLGEALSCGSTIKGWWNLQRMRLIRRTTSYLFSFIDNITKKFGLSQTNFVITDKVVSEDVQKRYEQEVIDFGNSSNSIMLTILATVALLNLFGLVGGIMRIVIMDLGFTSSSQLMIQIMVSALVVMVYLPVYEALFIRTDKGSISSSIMFKSIAFISLGCCLAHFI >OIW05186 pep chromosome:LupAngTanjil_v1.0:LG09:5280574:5286694:-1 gene:TanjilG_19817 transcript:OIW05186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QGEQLKRASAVGHTESVVDHTDESPPPLDGFSSNKTTKVDNGFKKPGLSRTSRYTDTRVDELFPAKKSRFKTVSGKENAKENEFLEKTSILKNLSVFANSETTRQQGISRLKNSVASTEVSIDGVLQACQTTEKCSQGKFLSVSELSSAADRSSGLAAPVDMGKTLRGLFALDPNVGNGLAAESSEKRGDLTSAFTGIFFSECHVPGQKAPLDLTLKTSMRVVCSSSVNCIWQTFRDVCFSMPLCHSKVEQVTTEDLAELSEIEKQNLGQTRRLWSFSDVDNSPESLLVFIGNDQVHGLYDVLLNYRSILTSLSGMDVPVLYSPVPFQNSSLSSPNIKCMEMRRAEHIAASFNGSILKDSESAQRSSDDLCCSIEIKDAFLPPWIISGICALMGSEGRSFEARSWKQPLRLQGLDRTK >OIW04688 pep chromosome:LupAngTanjil_v1.0:LG09:15062596:15063183:-1 gene:TanjilG_00124 transcript:OIW04688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLRGIISTPLPPSLRLHSPIHPRNVTVSSQTQSPIAANYPLSITDESLDSRGFTFRRSADGLNLDHLNTIFVAVGFPRRDTEKIKVALEHTESLIWVEYRKTKKPVAFARATGDGVFNAIIWDVVVDPTFQGIGLGKAVIERLIKEVLEKGICNIALYSEPKVLGFYRPLGFVADPDGIRGMVYSTKKHKNKNI >OIW05305 pep chromosome:LupAngTanjil_v1.0:LG09:3065001:3068030:1 gene:TanjilG_03694 transcript:OIW05305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIQCNVCEVAEAKVLCCADEAALCWECDEKVHAANKLASKHQRVSLSMSSSHMPKCDICQEAFGYFFCLEDRALLCRKCDLAIHTANAYVSGHQRFLLTGVRVGLEATDPGPGASSSSLKSDSGEKVSDTKSSSVCRKVSTMPHSSDYNEVVPIEVGRIEEFPPAKVSYGGGSTAGNMSQWSFDEFLGLNDFSQNYDYMEGSSKADSGKLEDSDSPVLRSNEEDMEEEDYLEHVPDSSWIVPQIPSPPTASGLYWPKAFVPEICFSHNMQQPQNSAIFSRRRKHF >OIW04827 pep chromosome:LupAngTanjil_v1.0:LG09:11997574:12000483:-1 gene:TanjilG_13675 transcript:OIW04827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAQPSPQPTPPLLSQQPHYPDSLDSSPRSRNTDSWDEPYPHPSATKLRLMVSYGGHISPRPHDKTLCYIGGDTRIVVVDRHTSLSELSTRFSKTFLNGLSFALKYQLPDEDLDSLISVTTDEDFENMVEEFDQRSCNSSLSKPSRIRLFLFPAKPGSSGPVSVFDGPGSGLGSGKSEDWLMGSGFLDGGLSDTASVNCLIGLDDVVGASRNGSTNFESSLEEAVVQVASGQNLKPGHDVQSVPDSPIRETNSSFGSTSSSVANLASIKVSVENGGNTGGVVRGQDQKVEGIEESFAKLGVGQKQDEEIVVLASPVNISPVPVNSAPVAAGDQNRALSDDERSDHGVSQTQALTPQFQQKSTAVVDLPSPNSVSSDSITNAMSRPKSVNATNEDLDQVQIQSGASRVAITSGDPNHTVSDTHSLVQMQQNVLDPGYVLQHPFGQQQQQSLQHQFDQQHQQALQRQFDQQQQQALQHQFDQQQQQRQQQQALQHQFDQQQQQQASQHQFDQQQQQASQHQYDQQQQQASQRQFDQQQQQALQQQFDQQQQQALQQQFDQQQRQQKLTLQHQFDQQQHQQALQHQFDQQQQQKALQHQFDQQQQQALEQQFDQQQQHHQQQTLQHQFDKQQQQEAPQQQQIIHGTHFIQQTPAGPVSIPAYYPVYPSQQQLHPQHHYQLDQQYPVYYLPARQSQTYNLSMQQQANHGESATTITSSQPQIPPNMASVVPSSAAYIPTGNAPLPNSEVTTASAYRKLAAGTPQLVQVPSNQHQQQYVAYSQVQLSQSIAPNSAAPPNYAYNYADPAHAQIFYAQNLAPTKPSQSRP >OIW04387 pep chromosome:LupAngTanjil_v1.0:LG09:19470395:19473139:1 gene:TanjilG_32579 transcript:OIW04387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKVVCVTGGSGCIGSWLVHLLLDRGYTVHATVKDLNDEAETKHLEALDGAATRLRLFQLDLLRYDSVSAAINGCAGVFHLASPCIVDQVHDPQKELLDPAIKGTMNVLKAAKEAGVQRVVVTSSISAITPSPSWPSDVVKREDCWTDIEYSKQKGLWYPLSKTLAEKSAWDFAKENGLDVVVVNPGTVMGPVITPRLNASMLMLVRLLQGCDETYEDFFMGSVHFKDVALAHILVYENKAATGRHLCVEAISHYGDFVAKVAELYPEYNVPKIQQDTQPGLLRAKDGAKKLMDMGLQFIPMDQIIKDAIESLKNKGFIS >OIW05261 pep chromosome:LupAngTanjil_v1.0:LG09:3677314:3682944:1 gene:TanjilG_03650 transcript:OIW05261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKRERSVNISGKPKHSLDVNRSNDSSKKESRSASTVRRLKMYKTRPVRDRKGKVLSHDLQSKELPSTRIQPDRRWFGNTRVVNQKELEFFREELQTRMSNNYNVILKQKKLPLSLLNDRQKQSRVHLLDREPFTDAFGPKTKRKRPTLLAADYESLVQKADGSQEAFEQKYDASASSEANEGDGFRDLVRHTMFEKGQSKRIWGELYKVIDSSDVVVQVLDARDPQGTRCYHLEKHLKENCTHKHMVLLLNKCDLIPAWATKGWLRVLSKEYPTLAFHASINKSFGKGSLLSILRQFARLKSDKQAISVGFVGYPNVGKSSVINTLRTKNVCKVAPIPGETKVWQYITLTKRIFLIDCPGVVYHNKDTETDVVLKGVVRVTNLQDAADHIGEVLKRVKKQHLERAYKIKEWYGSSSSELNCDNLDLAKCVFLLEDDTDFLVQLCKSSGKLLRGGEPDLMTAAKMILHDWQRGRIPFFVPPPRLDDLSEGPKVNGLDIDETVDSKQASAAIKAIENVLSFQQQGSLPVQKDLYSENELKGETADQLPNTVDNTYEEIQASDSDTSEQDLTTEIPSDTPLSA >OIW05118 pep chromosome:LupAngTanjil_v1.0:LG09:5717022:5717708:1 gene:TanjilG_02591 transcript:OIW05118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAYSLTTFSRFLSSSTTTATSSLSLFSPKSFSSNFTLSPLSLKLNHHRRNHLKFSSSSFSTAISATISVGDKLPDSTFSYLDNAGDVKTTTVSDLTKGKKAILFAVPGAFTPTCSQKHVPGFVEKSAELKAKGVDTIACISVNDAFVMSAWKKDLKINDEVLLLSDGNGDFTKAIGVELDLSDKPVGLGIRSRRYALLAEDGIVKVLNLEEGGAFTNSSAEDLLKVL >OIW05067 pep chromosome:LupAngTanjil_v1.0:LG09:7133156:7135693:-1 gene:TanjilG_02774 transcript:OIW05067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYTLRNRYTFLSLLHLFTLSIPFLLLPSASDTTSLIYKGCADQKLPDPSRTFSHTLNSLFSSLLSQASLKNFAAATSGDGATVTGLYQCRGDLSNTDCYNCVRKVTDMVGKLCDADVAAVRVQLSGCYLRYEMVGFKQVPQTQLLYKLCGSKKVNNGVGFDEKRDTAFGMVENGVKSGSNMFYTGSYESLYVLGQCEGDLGNDDCGDCVSSAEQQAKTLCGDSISAQVYLHGCYISYSFYPNRVPTTTTSSSSGSESVGGHQHTNRTVALAVGGFAALGFLIVFMLFLKSALKKKGGKH >OIW04395 pep chromosome:LupAngTanjil_v1.0:LG09:19556173:19559198:-1 gene:TanjilG_32587 transcript:OIW04395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPLSFSGSNGTKIWRIVMMHVCTSVVVTSKCIALSSPNFSSILVFGDSTVDSGNNNYILTLGKVNHFPYGKDFPGHVPTGRFSNGKLVTDLLASYLNIKDTVPPFLDPNLSNDQLLTGVCFASGGAGFDDFPLGSLGGGSISMFNQLGLFRVYVTKLKGIVGEDKARQILGDALVVISAGTNDFVNNFYNLPTRRMMFNMDMYQDFLQYKLQVFIKDLYDLGCRKFGISGLPPIGCIPFQITVKHEKDRKCVEDENSDSKLYNLKLTERLPQLQALLPGSRLVYGDIYDPLINLITQPHKYGVEITNRGCCGTGLFEVAPLCTELTPVCNDASKYVFWDSLHASEVTNQYVAKYLEIQGLPQFQI >OIW05158 pep chromosome:LupAngTanjil_v1.0:LG09:6196996:6198345:-1 gene:TanjilG_02631 transcript:OIW05158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVSAVSIVSLLNAMSKYDLASLATFNDCIATSNLQVENFTLSPSSYLFQSKVLSTFWGSFDSTNCAKDANLTVNVINELMGKQLLNYGAKSLCIGEGSSMAIIAMKQLGFSSVIGVERHSFFSLMQKKIVYELDYKDASFDFVVSRDLDMVSVPALLVLEVERILKPSGIGALLIGSTGSNDLIRSATPVSSLLRTSSVVHVGYVDQLNLVVFKKKAQNESAFYQYSIPEDCPSITFTKPLIELMEPLMSERPISTPEYEKSVPYLPKFIDVSARKRLVYIDIGVGELLNANVSDWFMPSYPIDQKNFNVYFVHYNTSILLSYVKRPGITFVYHPGLAGKADANLDDDSDEDMEPYVGEDEFDFLAWFKETVQYADFVVLKMNAGKVELKFLKDLFESGAICFVDELFLKCTENESVDDKTMFSNKSCMNIYKGLRTNGVYVHQWWGN >OIW04264 pep chromosome:LupAngTanjil_v1.0:LG09:21624979:21627003:1 gene:TanjilG_00824 transcript:OIW04264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTPHTITATPFILFFLFLFTSLINANDEVSFTFQSFSLRNITLIGDSYLRNGVVGLTKALDVPTSTSGSVIYNHPITFYDPLTNTTSSFSTTFTFSITNLNPSSFGDGLAFFLSQTNTTTTATTNRLGLPNNHFLALEFDTRQDPNFNDPNENHLGFDIDTLNSVQTVNPILHHGIDLKSGNTITCWIDYKSDQNTLLVFLSYATSPKPFDPVLSLNIDLQQHLKEVMYVGFSASTQGSTELHQIHSWSFKTIGFVPARPKRLHPHNVSDNSVSTVTVGDVNGSSSIKNRHSKRLGISVSVAGPAFFFVLFVILGYFSVKKWRGVKRGKSFKNEFVACPREFAYKELKSATREFHPSRIIGHGSFGTVYKAFFISSGTIAAVKRSKHSHEGKTEFLSELSIIAGLRHKNLVQLQGWCVEKGELLLVYDFMPNGSLDKMLYKEHERGKLLNWPNRFNIAVGLASVLVYLHQECEKRVIHRDIKTGNILLDGNFNPSIDEIVSDADEEFNTDQSMCEIKID >OIW04447 pep chromosome:LupAngTanjil_v1.0:LG09:19996219:19998136:-1 gene:TanjilG_32639 transcript:OIW04447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLFDSFLNWLRSLFFKQEMELSLVGLQNAGKTSLVNSIATGGYSEDMIPTVGFNMRKVTKGNVTIKLWDLGGQRRFRTMWERYCRGVSAIVYVVDAADRDSVPISQTELHELLTKPSLNGIPLLVLGNKIDKSEALSKQSLVDQLELESIKEREVCCYMISCKDSVNIDVVIDWLIKHSKTAK >OIW05376 pep chromosome:LupAngTanjil_v1.0:LG09:1811952:1815548:-1 gene:TanjilG_28841 transcript:OIW05376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEDNLKGEEAFKNSYVPKWRNQITIRSVVTSFILSIVFNFIVCKLNFSTGIIPSLNVAAGLLGFAVIKSYTALLNKCRLLKQPFTRQENTVIQTCIVASSGIAFSSGTGSYLLAMSPYISAEVGGGGDTPINTKVLSLGWMIGFLFAVSFVGLFSIMPLRKLMVLKYKLTYPSGTATAHLLNSLHTPKGAKLAKKQVAMLFKSFLGSFSFGFFQWFFNGGDGCGFSSFPTFGLQAFDQKFYFDFSCTYVGVGMICPYIINISLLLGSILSWGIMWPLIEQKKGDWYSAELSAKSLSGIQGYRVFGAIAMMLGDGLYHCISMLLQVAYNLKVQYSKKNEISSSVNPEGDDYQTSELDFDEARRVEYFLKDQIPNWVACTGYVVLATVSIVTVSHIFPPLKWYHVLVAYLIAPVLAFCNAYGCGLTDWSLASNYGKIAIIIFSSWVGLPNGGILAGLVSCGVMMSIVSTASDLMQDFKTGYLTLASPRSMFLSQVIGTAMGCIMSPLIFWFFYKAYTLGDPLGSYPAPYAQVYRGIALLGAKGFSSLPKHCLTLAIILFCTSVLINIIHDLLIRYETKYKIHRYIPNPMALAIPFYLGGYFAIDMCIGSLILFIWEKKNKQKSKDFAPALASGLICGDSLWSVPAAILSLAGAQSPICMKFLSASVNVKVDKFLSGK >OIW05355 pep chromosome:LupAngTanjil_v1.0:LG09:2132934:2139908:-1 gene:TanjilG_28820 transcript:OIW05355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQKQQVEEETDGVVAQGKTPDLRTLFRRFWKVAAPYWTSDDKVQARLRLAGVFALTLATTGISVGFNFLARDFYNALSNKDQEQFTRQLLYYVGAFAVGIPFFVLRDYARDSLSLRWRSWMTRYYMDRYLKDHNFYKIQSQSIIDNPDQRIVDDLSSFTGTALSLSLKLFNAVVDLITFSNILYGIYRPLFFVLIVYSIGGTAISVFLGKGLVNLNFLQEKKEADFRYGLVRIRENAESIAFYGGEESEMQLLLQRFSSAFENLSKMLISSRNLEFFTDSYRYVIRVLPAAVVAPMYFSGKIEFGVISQSVSAFNHILGDFSLIVYQFQAITAFSAVLNRLGEFDDVLDRSSSKSLSNTVEDIRISYNNFRSSSVLESNGSMPGEKYETLLEVESLTLKTPCESTLIRDLSLIIKDKDNLLVMGPSGSGKTSLLRAMAGLWKTGTGKISYYVKDGEDPKQSMCSDVNYYHDASEEHGKLIGKRSQIFFLPQRPYMVLGTLRQQLLYPRWADATVPRSDSKPINMLPFLANLPNSDHVKDKPMKPTTDELIKVLEDVRLGYLLARFSLDSTHEWSSVLSLGEQQRLAFARLLLSKPQLVLLDESTSALDEANEVHLYNKIGEAGITYISVGHRSTLCNYHNRILRVSTTDAKNEQPNWYIEPTKHNLL >OIW05481 pep chromosome:LupAngTanjil_v1.0:LG09:396402:406153:1 gene:TanjilG_12072 transcript:OIW05481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMEITYASQSYPKHSGAAAMPSSTTTTSRTVRIIPLQHPTATTSSSSSSSSSSSPFPFSLWLLNLRRMTWLEWIEFFFPCCRWIRTYKWKEYFQVDLMAGITVGVMLVPQSMSYAKLAGLQPIYGLYSGFVPIFVYAIFGSSRQLAVGPVALVSLLVSNVLSGVADPSTELYTELAVILALMVGILECIMGLLRLGWLIRFISHSVISGFTTASAIVIGLSQVKYFLGYNIDKSSKIIPLIKSIIAGADKFSWPPFVMGSVTLAILLVMKHLGKSRKHLRFLRAAGPLTAVVLGTVFVNIFHPSSISLVGDIPQGLPKFSIPRAFEYAESLIPTALLITGVAMLESVGIAKALAAKNGYELDSNQELFGLGVSNVLGSFFSAYPTTGSFSRSAVNHESGARSGISGIVSAIIMTCALMFLTPLFEYIPQCTLAAIVISAVIGLVDYDVASFLWRVDKKDFLLWIITSTTTLFLGIEIGVIVGVCASLAFVIHESANPHIAILGRLPGTTVYRNVKQYPEAYAYNGIVIVRVDAPIYFANTSYIKDRLREYEVTVDTSTRRGPEVERIYFVILEMAPVTYIDSSAVQALKDLYQEYKLRDIQIAISNPSPEVLLTLSKSGLVELIGKEWYFVRVHDAVQVCLQHVQSLKGGSNISQPSHALSEDKPSVYGRLLKQTGENLAISDLESGNGRPPLSMDKDPHLEPLLSKEH >OIW04313 pep chromosome:LupAngTanjil_v1.0:LG09:18785214:18786008:-1 gene:TanjilG_32505 transcript:OIW04313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHPKKGDAENMLGIAEKLLQTRDLQGSRKLAILAQEFDPLLEGPNRILAIIDVLLSSEKRVKNHHDWYALLGVHHLSNDIEAMKRQYHRLALLLHPDKSRFPFAKEAFNLIVEAWNVLSDSVKKSEFDNDLSPSISVPKRARLEKLPVYRRGSSSNSNVSEKVTMEDNFRRKNETFWTPCPYCYYLYEYPRVYVDCSLQCQNCERFFHGVEIASLPPLVPGKDAYIFDWGFFQTSFIGKGNNNSKKKEKEVVPPQQKQPWQPQ >OIW05423 pep chromosome:LupAngTanjil_v1.0:LG09:1385240:1386969:1 gene:TanjilG_23249 transcript:OIW05423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVEVILPLQLFYCYLLMAVLDKTKFYVVGAGLFTGITVALYPVSVVKTRLQVGTRDTVERSALSIARGLLRTDGVPGLYKGFGTVITGAIPARIIFLSALETTKAATFKVLEPFRLSETTNAALANGFAGMTSSLFAQSVFVPIDVVSQKLMVQGYSGHAQYSGGLDVARKILKSDGIRGFYRGFGLSVVTYSPSSAVWWASYGSSQRIIWR >OIW04319 pep chromosome:LupAngTanjil_v1.0:LG09:18819278:18823783:1 gene:TanjilG_32511 transcript:OIW04319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGRPRKPLKQEDTSALSIKTQNLRSLQSLFLTNHHNRIYTKEALDVNAKLVENNPEWHTAWNYRKLAVQHFLSTPDSNPDYVKSILDEELRVVENALRKNFKSYGAWHHRKWVLSKGHSSIDKELRLLDAFQKADPRNFHAWNYRRFVTALMKRSDEDELKYTEEVIGANFSNYSAWHNRSILLSNLLKRKAEAYFPEEDVLKEEYELVHNAIFTDPDDQSGWFYHLWLIDQTVKIDAPLLVSSWPSHGSSLTLQGNKCLHGSGLSVLNCTLSDIGKFPVILYFSQAVEGINSSTVTVKSEVLTGDLVWKPLSTNNSNTAQVWVTYLNIGDMEPQLSETYSLEISLGHSKGIVSSSGYHYGHPTQIAFKLGIQAANEEPAKGQGEKITSWNDNDFQKIEHYQDSESIFSTDELTSENDHNRTTSIWCAEAIVKEITEFRELLSESDCKLGKLTLARLLTALDSLPSTYAKKMVHAGEVIELYDDLIKLDPTHSLYYKDKRSLTLLHQITSTRETLLPYFHYYKDATESIAGHVCLRLQNLSLSRIGCFENLLWVQTLDLSHNELRSIEGLEAMQLLSCLNLSHNEFGSFTALAPLRLLTSLKVLNISYNKLGSHSIDTRRYLCSSPLSHTENFAWDRFEILAGSFNATKFWEAFLIFDSLNLSELDIQGNEVADDNFRLFLVKVLPTLKWLDGGELS >OIW05432 pep chromosome:LupAngTanjil_v1.0:LG09:1280749:1285033:-1 gene:TanjilG_23258 transcript:OIW05432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAMTSSMAAKLAFFPPNPPSYTVAVDEATGKAKMTGVEMRENVDVLKLCTKRGNNIVAIYVKNPSATATVLYSHGNAADLGQMYELFTELSVHLRVNLLGYDYSGYGQSSGKPSEQNTYADIEAAYKCLIEQYGAKEEDIILYGQSVGSGPTTDLATRLPNLRAAVLHSPILSGLRVMYPVKRTYWFDIYKNIDKIPLVNCPVLIIHGTADDVVDCSHGKQLWEHCKQKYEPLWVKGGNHCDLELYPRYIKHLKKFIVAIEKSSHLKTRSGPIPDQLDKPRNSTDFRVKSKTSMDLRDNSRQSIDFKENPRASTDHKEKSRAGTDKKDKSRKSVDFPEKAYNGAEVPDKARNSIDRFGEIVRSVGLGKINCFRPTAIHD >OIW04129 pep chromosome:LupAngTanjil_v1.0:LG09:20721375:20725106:1 gene:TanjilG_00689 transcript:OIW04129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQISLTNSTFKVPQTFPFHQPFLSDKPQTHQFPLQKNHLYVNALKGSSRYLSEISKAIDHEEQYRQARQQVHRKGVDLAGITVEGVSIGGQETCIIIPEFKCAFDIGRCPTRAIHQNFVFITHAHLDHIGGLPMYIASRGLYNLKPPTVFVPPCIKEDVEQLIDIYRKMGHVDLNLELVALDVGETYEMRNNLVVRPFKTQHVIPSQGYVIYSIRKKLKKQYTHLNGKQIEKLKKRGEEITDTILSPEVAFTGDTTSDFYLDPLNADALRAKVLITEATFLDDSTSIEHARQHGHTHIYEIMENAKWIRNKVILLTHFSSRYNIEDIRQAASKLQSRLSAKVVPLTEGFKSLHS >OIW04904 pep chromosome:LupAngTanjil_v1.0:LG09:9768308:9768606:-1 gene:TanjilG_23907 transcript:OIW04904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSRRAVESYWRSRLIDSATSDEDKVTPVYKLEEICELLRSSHVSIVKEVSEFVLKRLEHKSPIVKQKLKA >OIW04406 pep chromosome:LupAngTanjil_v1.0:LG09:19663363:19666441:-1 gene:TanjilG_32598 transcript:OIW04406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCYRKAEEILKFLKPIIDANHYSDLASDEVLDKLFEALDQTIDELGELIEHWHILSSKLYFVMQAEPLIARIQSLGLNILGQLKAPQDCLLDALGLETSSIIKKAIMEQQEGVGPSSEVLAKIAENLGLRSNQEVLIEAVALEKLKDNAEQTEKTVEAEYIDQMISVVTHMHEHLILLKQAESLIPVPVPADFCCPLSLELMIDPVIVGSGQTYERAFIKNWISLGLNVCPKTRQTLVHTNLIPNYTVKALIVNWCESNSVKLVDPMVNSTNLNQASLHNGSVESGSTKESPVITFGGTHQEGTSPLHPLSTSEGSLGGMVNGQYVGLVRLSSTDSDDRSANSDEWSVSSVDQSLMSPSRRESSNAFILEQSPVHVRTASYSSAIPIGNFPQGTRGDNNSAPLMSTTPVHSRDASGELTPVSDAATMVTLHREPEFPLQLVEPNSRIQPVGRRPLERLVPRIVPSPTETRADLSGIETEVRKLVENLKSSSLDTQRDATSELRFLAKYNMDNRIVIANCGAINLLVDLLQSTDTVIQENSVTTLLNLSINDNNKTAIANAGAIEPLIRVLETGTPEAKENSAATLFSISVIEENKIRIGRSGAIGPLVDLLGNGTPRGKRDAATALFNLSIFHENKDRIVEAGAVKHLVDLMDPAVGMVDKAVAVLANLATISEGRTEIFEQGGIPILVEVVELASRRGKENAAAALLHLCSNNPRYLNTVLQEGAVPPLVALSQSGTPRAKEKALALLNQFKNQRRNGAGRG >OIW04936 pep chromosome:LupAngTanjil_v1.0:LG09:9470225:9472725:-1 gene:TanjilG_15681 transcript:OIW04936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGIVVVFDFDKTIVDCDSDNWVIDELGFTDLFNDLLPTMPWNSLMDKMMMELHSQGITIEDIVKVLHRIPIHPRIIPALKTVHALGCDMRIVSDANLFFIETILNHLGIMEYFSEINTNSGYVNEEGRLRIMPYHDFNKASHGCNNLCPPNMCKGMIIDRIQDSISTEDAKRFIYLGDGAGDYCPSLRLKDRDFMMPRKNFPVWDLISKDPLLLKAEIHGWSDGEELEQVLLHLINTNLMDKNSQFNSSDCKLQILSVSASEALPKVLSVRP >OIW05063 pep chromosome:LupAngTanjil_v1.0:LG09:7183533:7188735:-1 gene:TanjilG_02770 transcript:OIW05063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKCLCSPIELVASSQSSAFNNQEARALLGRDEYQKGNIEVALHVLEGIDIDDLTLKIKTSLAKSRDRRKRHSRNYAASPMSIHVVGLLFEAFFVKAKCLQVLGRFKEAAQSCKVILDIVESSLPEGLPENFGADCNLQATLSNAVELLPELWKLADCPREVVLSYRRAILHKWSLGVETRTKIQKEFVVFLLYSGAESVPPNLRYQRENSFAPINNMEEAILILMILLRQVSLKKIEWDPSIMDHLSFALSVSGDLTALADQWKELLPGTVNRRERCHVLALCYHGAGKDLVALDLLRKLLSSTEDPKHVPALLMASKICCENPNLAKDGISFARRLLENLNGKCDQLETLAHCFLGVSLSAHSKLAIPNSERFEKQSEALHSLVTASRMTRMRDPRVLYYLSLEYAEHRKFDAALHYAECFLELEAESNVKGWLLLARILSAQKQFLDAESIVDAALDQTGIWDQGDLLRTKAKMKIAQGQLRSAQKIYSRLFGILKVQRQTIGSRKDLYKDYRDRTRKLEVEVLHDLAYLYISVSCWHDAEACLSKSKAIKLYSASRCHAIGTMYEAKGLDKDALQAFRNALDIEPGHVPSLISTAVVLRRGNESDPAIRSFLMDALRHDRLNASAWYNLGIFHKTNGTILDATECFQAANILQESAPVEPFR >OIW04247 pep chromosome:LupAngTanjil_v1.0:LG09:21521321:21522890:-1 gene:TanjilG_00807 transcript:OIW04247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAVRSHSTYSISITGQVYGFYDECLRKYGSANVWRYCTDIFDYLSLSALIENKVFSVHGGLSPAISTLDQIRTIDRKQEVPHDGAMCDLLWSDPEDIVDGWGLSPRGAGFLFGGIVVTSFNHSNNIDYICRAHQLVMEGYKWMFNNQIVTVWSAPNYCYRCGNVAAILELDGNLNKQFRVFDAAPQVCRQYHFILITIIKPAHILSYKPTRILVSLNSSNGPQCPFV >OIW04869 pep chromosome:LupAngTanjil_v1.0:LG09:10604086:10608532:-1 gene:TanjilG_13709 transcript:OIW04869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLNTNTPSSSSSSIQATQWANHVFLSFRGDDTRKGFTDHLFYSLERRGIKTFKDDHDLEKGTVISLELIKAIEESMFALIIFSPNYASSTWCLDEVQKIVECSKSFGQTVFPIFHGIEPSHVRHQKGTFAECFKKHEKRFKNERGKVEKWRNALREVASHSGWDSKDQHQAALVEAIVDHIHKKVIPRLPSCTDNLVGIDYRMKKVNSLIGMGLNDVRFIGIWGMGGIGKTSIARLVYEAIKEQFKFSCFVENIREGTDAIQGIVLNLVEPYQACWSTEAFSKISQLRLLKLCKMQLPLGLNCLPSALKFLHWSGCPLETLPLTNQLDEVVDLKLPYSEIVQLWHGTKFLAKLKSIDLSFSMNLKRTPDFSEVPNLEFLVLEGCKSLTEVHPTLVFLKKIVLLNLKDCKMLKALPSKLDMSSLKDLDLSGCSQFKVVPEFGESMQHLSMLSLEGTAIIKLPLSLGNLVDLTYLELQNCKNLVCLPDTVHKLKSLIILNVSGCSKLGSLPEGLKEIKSLEELCASGTAIGELPSSVFHLENLRVMSFAGCKGPVSKSVNMFFPFKRLFGTQTHAPIGFGLPPSISCLTSLTTLTLSFCNLTEELMPDDFCRLSSLTTLDLTGNNFVSPPSCVSKLSKLKFLYLNCCKNLQWLPELPSNIRALEASNCDSLEVSKFNPSRPCSLFGSRVEGLERSEGLIPSEELIKLMSLFQAITQELHLPEAIFDMIITGSKIPSWFVPQKCVSFAEISVPHNCSPSEWVGFALCFLLVSYAYPPEECRHEIQLYLFSPNGKKIITTKLLPLMEPGYPHLYILYLSIDEYRNKINEGGEYSDIEFVLKSYCCNSLQIVRCGCRLVCKQDVEDIGNHV >OIW05090 pep chromosome:LupAngTanjil_v1.0:LG09:6772892:6773929:-1 gene:TanjilG_06226 transcript:OIW05090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSLSKLRYSPTNLSLNLHRSSLPSSSSSPLFRSFTPPPLSTSSFNLSLIKSSLNDTAFHENGKHTFPELLQTLISPVVETTCIVIAATVFFFMRHMPVTAANIPPPSTTVASEQNTAVAEESERILESHLNENPNDTEALRTLMEVKIRGRKINEAIRVIERLIEIEPEELEWPLLKANMHVYNDDQELARNVFEEILKRDPLRVEAYHGLVMVISESNQPLNGVLKRIEEAVENCKKEQKDSVVRDFKLLIAQIKVMEGYFSEALKNYQDLVKEEPRDFRPYLCQGIIYTLLRKKDEAEKQFEKFRGLVPKNHPYREYLEDNMFATKFFSQKFEREGAGALS >OIW05002 pep chromosome:LupAngTanjil_v1.0:LG09:8128287:8130280:1 gene:TanjilG_06414 transcript:OIW05002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPLLKKKSKKKTKKKTNNFKKHKLNVTTIVPVEPKTTEIDWWVSFWHKNSTTPGYNAPGDEEDGFKYFFRMSKTTFEYICSLVREDLISRPPSGLINIEGRLLSVEKQVAIALRRLASGESQVSVGASFGVGQSTVSQVTWRFIEALEERARHHLNWPDFYRIQQIKSGFEASFGLPNCCGVIDATHILMTLPAVQTSDDWCDQEKNYSMLLQGIVDHEMRFIDIVTGLPGGMAFSRLLKCSSFFRLSEKGERLNGDDLSLGGGDVIREYVVGGYSYPLLPWLMTPYETNNGISDSQSTFNHNHSDARLHAVKAFSLLKGSWKILSKVMWRPDKMKLPSIILTCCLLHNIIIDCGDTLDPDLALSDHHDLGYQEQRCKQVDPSGWTMRENLAKYFQHGCS >OIW04192 pep chromosome:LupAngTanjil_v1.0:LG09:21181394:21184081:-1 gene:TanjilG_00752 transcript:OIW04192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSEENLIGLTLALISSGFIGASFIIKKQGLKKAAAFSGVRAGVGGYYYLLEPLWWVGMITMIVGEVANFVAYAFAPAVLVTPLGALSVIVSATLAQLILKEKLHQLGILGCVMCIAGSVIIVIHAPKEQPINSVLEIWDMATQPAFLAYVGSITVLVFILVFHFVPRCGHTNVLVYTAICSLMGSLTVMSVKALGTSLKLTFQGENQLIYPQTWFFMLVVAICVIMQMNYLNKALDTFNTAVVSPIYYVMFTTLTILASVIMFKDWDGQSGGTVVSEICGFIVVLSGMILLHATKEFERCPSFRGSAPSSPSLSARLYNGNEDFFIKHDEENGSPSESTCSRRQELH >OIW04882 pep chromosome:LupAngTanjil_v1.0:LG09:10042788:10045081:-1 gene:TanjilG_23998 transcript:OIW04882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDTPSNPFLSLLNVIGTFSIGTLGAFYALARKENTTALATIKTVSSKLKEKEELVVSLKRNYESELLKEHEERTKQLGKAKEEQLALVSKLNSANNTIASLEREVKSGAQLIEELNLQIRTLESKLSKTDADKKDLEKQLKEKVDSIEVLQEKINQLNIDLKDKEDLHRKLSSSIEEKELELRNLISTYNQTKEDSSNAQLQIQRLKEELLKSREELEAKDYSVNELSSRISSLALENDDSKRKYDAVEKEYNDLRFTSEKKAASDANLLREKQDEISLLKDQLEHALSEARRNEVTIADLTQERENLKVSLENESKKVDNLKDELQITQDSLVKSRNEAAVLEKELKDSNKLQKELEFEVSKLSSELSEVRESLQKSLDDAKRETEVLTSELTTTKEQLKKTQEELENVSNELKVTIENRDSLQREVNEIYKKAEAAAEDLKEEKRLVASLNKDLHVLENEFSKDKEAQKSLEKHQEEATKSLDEMNRNAAIQSTQLENAISLISSLKNEKEALNKSLLDQTNASKEAQESIEHAQNLIKKLGDEKESLENRGNQLVEELSVAKGEILRLTSQINSSKVDIDNIQVQKDEVESERKVTATSNEKLQKDEVESKVPKNKDAVNNVQQVPKDEGRSKVSKNKVASVNNVEVHKDEVENENKVATSLKKDGERKVSANVQKTSRRRKAK >OIW04834 pep chromosome:LupAngTanjil_v1.0:LG09:11822224:11829206:1 gene:TanjilG_13682 transcript:OIW04834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLPISVVEKSESSFSAVKIHSRNVSHYKDIENYVLDIGEEAVFVPKISEKFRRDVGRDMNLLNQTVLHFGYRKPQLALVFGELLVDSQQLLMATIAAALLEIGYEIQVFSIEDGPGRNVWKNLRVPVTVIPTCDKAENAVDWLNYDGIIASSLEVKGAFYCFSQEPFKSIPLIWIIHENALAYRSRQYTASGQLELLNDWRRAFNRSTVIVFPNYALPMIYSTFDAGNFYVIPGSPAESLEADAFMALEKDDLRINMGYGRKDLIIAIVGSQFMYKGMWLGHAIVLQALSPLLADFPSSRDNSHAQLRIIVHSGELTNNYSVALETMSRSLKYPRGIIEHIAGDLNADSVIGTADVVIYGSILEEQSFPEILMKAMCFEKPIIAPDIPMIRKYVDDRVNGYLFPKDNWRVLRQIVLEVISEGNISPLARNIASIGRSTAKNLMVSEAIEGYASLVQNIIQFPSEVVPPNAVSEISANVKEQWQWHLFDSIPNLTYQNRITRSHTFLDKYEDQWNDSKKNRPATTVSSTDSFVHSIWEEEKLIQMAIRAKRREDEEMKDRTDQPHRTWEEVYRNAKKADRLKNDLHERDDGELERTGQPLCIYEPYLGEGSWPFLHQKSLYRGIGLSTKGRRRGRDDVDASSRLPLLTDTYYRDVLREYGAFFAIANRIDHLHRNAWVGFQSWRATARKASLSRTAENALLGAIQSKKFGDALYFWVRMDMDPQNPLQKDFWSFCDALNAGNCKFAFSETMRRMYGLKNDTDSLPPMPIDGDTWSVMQSWTLPTRSFLEFVMFSRMFVDALDMQMYDEHYSTGHCPLSLSKDKYCYSRLLELLVNVWAYHSARRMVYVNPETGVMQEQHKFKSRRGKMWIKWFSYSTLKSMDEDLAELSDSEDPSRHWLWPLTGEVFWQGVYERERNLRQKEKEKRKQKSLEKRNRMRRRHRQQVLGKYVKPPPEVVESSNSSLLDLL >OIW04610 pep chromosome:LupAngTanjil_v1.0:LG09:16062837:16063274:1 gene:TanjilG_30508 transcript:OIW04610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKNKGKGGKNRKRGKNEADDEKRELVFKEDGQEYAQVLRMLGNGRCEAMCIDGTKRLCHIRGKMHKKVWIAAGDIILVGLRDYQDDKADVILKYMPDEARLLKAYGELPENTRLNEGIGGGLDEEDDGAGNDYIEFEDEDIDKI >OIW04807 pep chromosome:LupAngTanjil_v1.0:LG09:12583386:12586653:-1 gene:TanjilG_23705 transcript:OIW04807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAKQRYAVVTGSNKGIGFETVKGLASNGIKVVLTARDEKKGYEAVQKLRECGLSDLVVFHKLDVTDPATIASLVEFVKVQFGRLDILVNNAGINGFNMDDLVESTINWRELPETYEMAEKCLKTNYYGAKETTEAFLPLLHLSNSPYIVNVSSEAGKLKYISNEWAKRVLEDTENITEERIDKVVKEFMKDFKEDSVKQKGWPTFLSAYTVSKAALSSYTRLLAKKHQNMCINCVCPGFVKTDMTKNSGILSVEDGAASVVRLALLPNGSSSGNFFSRQEVSDF >OIW04288 pep chromosome:LupAngTanjil_v1.0:LG09:21722811:21725012:1 gene:TanjilG_00848 transcript:OIW04288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDVMVVMLVIVCGLLMKGSEGIRFVIDREECFSHNVKYEGDTLHLSFVVIKADSPWHYGNEGVDLVVKGPSGDQIHDFRDKTSDKFEFVAQKSGVHKFCFTNKSPYHETIDFDVHVGHFSYYEQHAKDEHFTPLLEQIAKLEEALYNIQFEQHWLEAQTDRQAIVNDAMSRRAVHKAIFESAALIGASALQVYLLQRLFERKLGTSRV >OIW05335 pep chromosome:LupAngTanjil_v1.0:LG09:2420726:2427686:-1 gene:TanjilG_28800 transcript:OIW05335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSIVGTRATYSTKSASTNEPVVSVDWLYENLKYPDIKVLDASWYMPDDQRNPIQEYQVAHIPGALFFDVDGISDRSTNLPHMLPSEEAFAAAVSALGIQNKDDLVVYDGKGLFSAARVWWMFRVFGHNRVWVLDGGLPRWRASGYDVESSASSDAILKASAATEAIEKTYQGLSVGPITFQTKFQPHLVWTLNQVKRNIEEKRHQHIDARSKPRFDGAAPEPRKGIRSGHVPGSKCIPFGQLLDGDSHTLLPEDELKKRFDQEGISLESPVVTSCGTGVTACILALGLHRLGKSDVAVYDGSWTEWGAQSDTPVETSET >OIW04208 pep chromosome:LupAngTanjil_v1.0:LG09:21294507:21295271:1 gene:TanjilG_00768 transcript:OIW04208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQKQEQNKVKHNNRCENNGIDVTQISPKVPKSVSQVSSAAEGEILRRPRGRPAGSKNKPKAPIIVTRDSANALKAHAMEVSSGCDVNESLLNFARKKQRGLSILSGIGYVTNVTLHQLASNGTIMTLHGRFEILSLLGSILPPPAPPGITGLTIYLAGAQGQVVGGVVVGALIASGPVVIMAASFMHATFDRLPLEDDHELASAMHNQPQHYRINNHHLHISDLHAMPHNMLTNGAMEPEIYSWASSRNLSKT >OIW04432 pep chromosome:LupAngTanjil_v1.0:LG09:19897603:19899711:-1 gene:TanjilG_32624 transcript:OIW04432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKISFTIIVHFTLFFVFFIVNVITITTTTTTEFDFGSLTLSSLKLLGDAHLKNGTVSLTRDLAVPNSGAGRVLYSRPIRFRQSGSHSPTSFNTFFSFSIMKLNPSSIGGGLAFLLSPDDDSIGDAGGFLGLGGGGSFVAVEFDTRMDVEFKDINGNHVGVNLNTMVSTQVCDLGEIGIDLKSGDSVNAWIEFDGSTKGLVVWVSYSNLKPKEPILTLNLDVDQFFNDFMYVGFSGSTQGSTEVHSVEWWSFSSSFNSTASSAAPPPPVVSFMNPTANPIMPPPSLAPSASSNAQQKERKSTCHSGLCKQGLGAVAGVVAAGAFVLALFAGALIWVYSKKFKHVKKLGSLGSEIIKIPKQFSYMELKVATECFNANRIIGHGAFGTVYKGILPENGDIVAVKRCSHSSQGKNEFLSELSIIGTLRHRNLVRLQGWCHEKGEILLVYDLMPNGSLDKALFEARTPLPWPHRCKILLGVASALAYLHQECENQVIHRDIKTSNIMLDEGFNAKLGDFGLARQTEHDKSPDATVAAGTMGYLAPEYLLTGKATEKTDVFSYGAVVLEVASGRRPIEKDATGFRKAGVCSNLVEWVWSLHHEGRLLTAADQMLEGEFNEEQMRRFLLVGLACSHPDPLARPTMRSVVQMLVGEAEVPIVQRTKPSTSFTTSHSQLLLSLQDSVSDCDGIITICTSSENSFNGRDIV >OIW04829 pep chromosome:LupAngTanjil_v1.0:LG09:11968795:11969757:1 gene:TanjilG_13677 transcript:OIW04829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSPTFPPADVDGELNPGANTEVEVDPISSDVAASKEQAEANQKKKERKKKDALQTLKSGIIISAIIVAVAGAAFAINKKLREK >OIW04104 pep chromosome:LupAngTanjil_v1.0:LG09:20544914:20547340:-1 gene:TanjilG_00664 transcript:OIW04104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVTKPPSSAVTLTLTVAAVVIIFSLLVPFCHAFGSGTTLAVTDGSATVCGIVASHPIQRIECYRRGHVIAVVPKVSFSVISGGRNYFCGLRTGNYSLLCWDTVSSNVTFERRRLYNNGTVLFENLAVGDTQVCATVVGAGMASCWRTNSAFELPSGYDQFASISSGSGFSCGIAKNGSWVRCWGNGSIARKIESEFGNMSMLSIVAGESHVCGLNSNGFLVCRGSNSFGQIDIPKVGSFEYSGLALGAEHSCAIRRLNGSVVCWGGRGLFSVNVTQGVSFETIVSGSNFTCGLTTRNFSVMCWGPGWSNSDGSSSSPRFELPLSPILPGPCVQSSCSKCGVYPESQILCSGNGNICKPQPCSPQMSVPLAPPPPLPPLAQPPSMPPSPSLSKELTTGLLVFAIVGSVGTAAGICTIIYCLWTGVCLGKKKVHNSVQPTITRGGSSNGDDNSPPSRSSTIIRQGSRIMRRQRSGTSSTKHTDRAEEFNLAELASATNNFSLENKIGAGSYGVVYRGKLTDGREVAIKRGDTGTKMKKFQEKESAFESELAFMSRLHHKHLVRLIGYCEEKDERLLVYEYMTNGALYDHLHDKNNVDKNSSLLNSWRMRIKIALDASRGIEYLHNYAVPSIIHRDIKSSNILIDANWTARVSDFGLSLMSPDSGHDYQPTKAAGTVGYIDPEYYGLNVLTAKSDVYGLGVVLLELLTGKRAIFRHGENGGTPVSVVSYAVPAIMGGDLSQILDPRVEPPELNETDAVELVAYTAMHCVNLEGKDRPTMADIVANLERALTLCATSHGSISSGTISIVSD >OIW04190 pep chromosome:LupAngTanjil_v1.0:LG09:21166129:21174302:1 gene:TanjilG_00750 transcript:OIW04190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRGDRMTSDLNRTGTVERDIEQAITALKKGAYLLKYGRRGKPKFCPFRLSNDESVLIWFSGKEEKHLKLSHVSRIISGQRTPIFQRYPRPEKEYQSFSLIYNDRSLDMICKDKDEAEVWFSGLKALISRSHHRKWRTDSRSDGVPSEANSPRTYTRRSSPLNSPFDSNESLQKDSGDHLRLHSPYESPPKNGLDKAFSDVVLYAVPPKVFFPPESASGSVHSVSSGGSDSTYGHMKSMGMDAFRVSLSSAVSSSSQGSGHDDGDALGDVFIWGEGTGDGVLGGGTHRVGSCLGAKMDSLFPKALESAVVLDVQNIACGGQHAALVTKQGEIFSWGEESGGRLGHGVDSDVLHPKLIESLCNTNIELVACGEYHTCAVTLSGDLYTWGDGTYNYGLLGHGNQVSHCVPKRVNGPLEGIHVSSISCGPWHTAVVTSAGQLFTFGDGTFGVLGHGDRKNVSLPREVESLKGLRTVRAACGVWHTAAVVEVMVGNSSSSNCSSGKLFTWGDGDKGRLGHGDKEAKLVPTCVAALVEPNFCQVACGESMTVALTTSGHVYTMGSPVYGQLGNPQADGKLPTCVEGKLSKSFMEEIACGAYHVAALTSRTEVYTWGKGANGRLGHGDTDDKNVPTLVEALKDKQVKSIACGTNFTAAICLHKWVSGVDQSMCSGCRLPFNFKRKRHNCYNCGLVFCHSCSSKKCLKASMAPNPNKPYRVCDNCFNKLRKAIESDPSSHSAVSRRGSINQGSLELIDKDDKLDSKSRNQLARFSSMESFQQVESKSSKKNKKLEFNSSRVSPVPNAGSQWGALNISKSLNPVFGSSKKFFSASVPGSRIVSRATSPISRRPSPPRSTTPTPTLGGLTSPKIVVDDAKKTNDSLSQEVIKLRSQVENLTRKAQLQEIELERTTKQLKDAIAIAGEETAKCKAAKEVIKSLTSQLKDMAERLPLGAARNIKSPSSLASFGSSLGSNEVSYASMERLNIQATSPEADLTGLNNQPLSNGSSTISNRSVGHNKQSQSDATNRNGSRMKDSDSRNETEWVEQDESGVYITLTSLPGGVIELKRVRFSRKRFSEKQAEQWWAENRARVYEQYNVRMIDKSTVGVGSEDLAH >OIW04916 pep chromosome:LupAngTanjil_v1.0:LG09:8966917:8970836:-1 gene:TanjilG_15661 transcript:OIW04916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNWLGFSLTPHLRIDEDFGRENQDHGEGREPYPHHLSVMPLQSDGSLCVADSFRHSAPHEEWRYDNGGNSSEEGPKLEDFLGCYSNSSSLAETKVFCQQDITTHHNQNQNNNNISKINNVNIAEENNLTNQSSMIQNFHAYNDNPHGLIHSNGMYKSWLAQTHFSDAAKASSAEANGCNFQSLNLTMSPIVQNSVGAISPLQVDDDSRKRSILKIQGREPVPRKSIDTFGQRTSQYRGVTRHRWTGRYEAHLWDNSCRKEGQTRKGRQGGYDKEEKAARAYDLAALKYWGATTHINFPLSTYEKELEEMKNMTRQEFVANLRRKSSGFSRGASVYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGTSAVTNFDISRYDVKRICSSSTLIAGNLAKRSPKDTAPAAAAVTEDFNPCGSSTPSQPTPLAITDCEHIDKFSNAVWTSNSDEQVVNESENANNVSESSKQVSPSNKNVLNPQSPKCSVGLPNEFGVSGADYGHGYFTLSGPKYDDDDRNDDNGGRTDNNRLGNLGLVNQVPMFALWNE >OIW04334 pep chromosome:LupAngTanjil_v1.0:LG09:18966609:18977163:-1 gene:TanjilG_32526 transcript:OIW04334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKKSKPRRSGGIILKTNAADTELDKQNVEGTSEEAKDDFGDIYKSYFVEIDRSGWSPSEHLDISEVVLRDLNVREGLSGFELPQNFYQDPQYLLRFRVCNVNSVLSRIKLGHWPVLPYTDIHLELVKRVTVDNVETCTVLLSGIFDGSDEGVSGLIHLASLKVVMLRPILGIRLSEDTPSIRVRVELLKSAFDACESLLDSSRQLWKRSMMNVMSWLHPEILTSEVRYGFGSCMKMEVDPQTEIGDDTSYTKKHARFDPAGFYEAIKPSKAEPVLKDDIPELLPELRPYQRRAAFWMIKREKRIEESQGERERIQFHSPLCVPVDFLETNSKMFFNPFSGNISLCPETSSPYVFGGILADEMGLGKTVELLACIFAHRRSASGNDVLIDSVPQVNEDQNVTLKRLKKERVECICGAVSESFKYQGLWVQCDICDAWQHAECVGYSPKGKSLKSKRGCESKTYKTTIAERDGEYVCQMCSELIQATQSPIASGATLIVCPASILPQWRDEIIRHTRPGSLKTCVYEGVRDTSLSYTSLVDINELVNADIVITTYDVLKEDLSHDSDRLKGDRHLLRFQKRYPVIPTLLTRIYWWRICLDEAQMVESNAAAATEMALRLHSKHRWCITGTPIQRKLDDLYGLLRFLKASPFNIYRWWTEVIRDPYEKGDIGAMEFTHRVFKQIMWRSSKEHVSDELDLPSQEECLSWLSLSPVEENFYQRQHETCLRDAHEVIGSLRNDILNRRDQGSVSINGPSDPLITHTEAGKLLNALLKLRQACCHPQVGSSGLRSLQQTPMTMEEILMVLISKTKVEGEEALRRLVVALNGLAAIATIQRDFSQAALLYNEALTSAEEHSEDFRVDPLLNIHTHHNLAEILPQAANVPLVLPCNGKKFSGSFAVKTTKKHCFVNVDHHLDKRQKVSACGDTNFPVASAEPSDVMSSLSENDFKEDQEFDNLSVSSVKSLITECEDLKQKYLTVFKSKLSATQQEFQNSYTQVCNAYRDRRIDQNAFWWLEALHHAEQNKDFSTELIRKIEEAMSGTSDNSKPSKIASRLRSISSLKYQIQTGLDQLEGSRKVLLDRLLEVDQTMEKPKEDDIERVGKCQNCQPNCDGPPCILCELDELFQDYEARLFVLKNERGGIISSAEEAVDFQKKKLALNHFLSKLSQSNHSSTATELGNEEESKKRNVGQRVVVSRSASELELILGVIKSYCKTRLGRDSVSAATKHLQVFEGMRKEFGHARSLALAQAQYLRAHDEIKMAVTRLHLRVNEDDKSLDALGENELFAASSSFSHDKFISLTLLSQIKGKLRYLKGLVQAKQKLTSESPDSSSFTQGATAMSNSTEETGTLISKAEDETCPVCQEKLGNKKMVFQCGHIMCSKCLFAMTEKRLQDSKSPNWVMCPTCRQHTDFGNIAYAVDVQNESSNSSVLHTIDSSEKCEASISVKGSYGTKIEAVTRRILWLKAKDHKAKVLVFSSWNDVLDVLEHAFAANNITFIRMKGGRKAHVAISQFRKGCESSTSKSIQVLLLLIQHGANGLNLLEAQHVVLVEPLLNPAAEAQAISRVHRIGQKHKTLIHRFIVKDTVEESIYKLNRNRSNHPFISGNTKNQDQPVLTLKDVESLLERSPLTMPESDENPNTDTNLRHLPPSMAATIAAERRINEQRT >OIW05201 pep chromosome:LupAngTanjil_v1.0:LG09:5173588:5173770:1 gene:TanjilG_19832 transcript:OIW05201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMKKVFCAVVIAAASMTAVVAATEVPAPAPAPSSGASATMPLVGSLVGASVLSFFALFH >OIW04957 pep chromosome:LupAngTanjil_v1.0:LG09:8742366:8743693:-1 gene:TanjilG_01153 transcript:OIW04957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKSAFEATILRFLRQEIQFELQSNPPKQPITKFDSFTVDGRHGERWIRLKRQYANEDINVEVTMFDGAAPAPSSNATGGIANADDIQLHITLIVSISKGEGDKVLEIACSAWPDSIEINRLFIRTNKKMQAEPYAGPDFKELDDELQDSLYDFLEVRGIDDKLAAFLHGYMKNKDKTEFRGWMERVKSFIERK >OIW05374 pep chromosome:LupAngTanjil_v1.0:LG09:1837598:1840229:1 gene:TanjilG_28839 transcript:OIW05374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEREKWKEHKNNNGYYDEFLCDVDRDAPSRENSLVIPSSVSSSPSRPLGYIEHHVSKFDTLAGIAIKYGVEVLDVKKMNGLVTDHQMFALKTLQIPLPGRHPPSPCLSNGSSITGLGNSGHSSPDHAHRELLESFQSLRTKSSERKVSPAMSSLRGYYGLKGTSKSSQHVPKSDRPLSCPRKSKSLVNVILEEIMEKSDTEPSAGARESESDKWNGKLVGRRQKSEADFTRIPELLMRPDNSSSGGLPSRKGKGLALRQNAASRTVLATDSESNGSSLLPIGSGEASLTDGSSGVRKSSSTSSLQDQDNNVSSFIWPTSRWNLKPDLQAFTTAAIGKPIFDGLPNPITGRKSKAALD >OIW05491 pep chromosome:LupAngTanjil_v1.0:LG09:278966:280599:-1 gene:TanjilG_27621 transcript:OIW05491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNTPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDALMKILTERGYSFTTSAEREIVRDVKEKLAYIALDYEQELQTAKTSSSVEKSYELPDGQVITIGDERFRCPEVLFQPSMIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEISALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPSIVHRKCF >OIW05199 pep chromosome:LupAngTanjil_v1.0:LG09:5188835:5190649:1 gene:TanjilG_19830 transcript:OIW05199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSNLLSSNVPAIFGNLTKLEVLDLSQNPYLVSEIPKDIGELGSLKKLLLQSSSFQGEIPGSLLGLHSLTDLDLSDNNLTGLVPKFLASSLENLVFFDVSQNKLSGPFPNGICKGLVINLSLHTNIFTGSLPNNSISECTSLERFQVQNNGFYGNFPNELFSLPKIKLIRAENNRFSGQIPESISKAVFLEQVQLDNNSFSGQIPSGLGFVKTLYKFSASLNHFYGEIPPNFCDSPVMSIVNLSHNSLSGKIPQLKKCRKLVSLSLSDNTLTGEIPTSLAELPVLTYLDLSHNNLTGSIPQGLQNLKLALFNVSFNHLSGEVPYSLISGLPASYLEGNPALCGPGLPNSCSNDYMPRHHSIGVTTLTCALIVLAFVVGIAFVVGGFMLYKRSCKGNDNEVGVFRSVFFYPLRITENDLLIGMNEKSSLGKGGVFGEVYAVSLPSGELIAVKKLVNFGNRSSKSLKAEMKTMARIRHRNIVKILGFCHSDESVLIIYEYLNEKSLGDLISSQNFELQWGFRLRIAIGVAQGLAYIHKDYVPHLLHRNVKSNNILLDANFEPKITDFALDRVLGESAFKSTLDSEGGSSCYNAPGMRSYVNNIKYL >OIW04973 pep chromosome:LupAngTanjil_v1.0:LG09:8605469:8611678:1 gene:TanjilG_01169 transcript:OIW04973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQLMRSDSENNHCLKKVKLETQNEVPPLSNTQKRPRFDSPQKGGSSDDLVIVSPALYNPLDEPSPLGLRLRKSPSLLDLIQMRLSKKDDDNDHRTELKKDNKSVKSSGTTTADSKLKASNFPATVLKIGTWEYKSRYEGDLVVKCYFAKHKLVWEVLDGCLKNKIEIPWSDIMALKANYPDDAPGTMEVVLSRRPLFFREINPQPRKHTLWQATSDFTGGQASIHRRHFMQCPQGLLGKHFEKLIQCDPRLNFLSQQSDSVLDSPYFEPGTDRMESSSGFDRKSEGQTSLFGLKDMDSGSGVQPTSSKNEHNLLGKSVENVPGEIASTSSVMNTHAMKDSRSRGAETLKLLSNLDQIKLPGLNPSMSMNDLVNHIGNCILEQCDSQYNRSILEEFTQSLFNDTQLITAASDEQYVMSRVNSLYSLLQKDPSIEDTTMMNHNIDTTHASISASCKSKEVEFEGQKDDDYGFKHESGISRKESVDNVLMHARVKKTFDAVFQQEINPQPRKHTLWQATSDFTGGQASIHRRHFMQCPQGLLGKHFEKLIQCDPRLNFLSQQSDSVLDSPYFEPGTDRMESSSGFDRKSEGQTSLFGLKDMDSGSGVQPTSSKNEHNLLGKSVENVPGEIASTSSVMNTHAMKDSRSRGAETLKLLSNLDQIKLPGLNPSMSMNDLVNHIGNCILEQCDSQYNRSILEEFTQSLFNDTQLITAASDEQYVMSRVNSLYSLLQKDPSIEDTTMMNHNIDTTHASISASCKSKEVEFEGQKDDDYGFKHESGISRKESVGELLNNLPRIASLPQFLYHMPQDSGSNHGR >OIW04958 pep chromosome:LupAngTanjil_v1.0:LG09:8738113:8738775:1 gene:TanjilG_01154 transcript:OIW04958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDQKHSHDSTPHLVLDLSLSSKDSSDESKPELNLFNSFHTNLSENHSEVSHYNEIEPRTFSCNYCQRKFYSSQALGGHQNAHKRERTMVRRGYKTDAAAISVDFGHGYSSMAYQPSHGLYNKSLGIQVHSMIHKPYNQIPFFGWKRQILDSQPAIVEFTSGKFHVGAETGSSLVGGIPRLGKFSNSVVTEGFGGLFGSTSHLKSKQNKLQQNLDLSLKL >OIW05011 pep chromosome:LupAngTanjil_v1.0:LG09:8161326:8163272:1 gene:TanjilG_06423 transcript:OIW05011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVRFSFSFWNNNPKPPSPHSSRPFSPFAVAVGLTAGAATAFTVLTSSNPNPLKPIPLFGSITMADNSLPATQSNNGSSFPSSILTDSLNLLGIGFRRKSIFGLKTIDIYAFGVYADNNDIKNYLAEKYGAVSASELKGNKELTEDLLENDISMTIRLQIVYGKLSIRSVRNAFEESVGTRLKKYGGSDNKELLQRFTSMFKDDIKIPSGSVIHLSREKGHVLRTSIDGQEVGSIQSKVLCKSILDLYVGEDPFDKKAKEDIVLNLASHLQN >OIW04598 pep chromosome:LupAngTanjil_v1.0:LG09:16780802:16781287:-1 gene:TanjilG_18075 transcript:OIW04598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNPQNIPTEPAKSTNQTEPHHSIHVGFQPLEIEGNPYPSMQSIPSNPNVSRPCSYLISTAIANSTNNGPTYHNTMQFIPSNPDLARGDYHPFSTTTHSSINTGPQTNSSNSTPGLNSIINLEDKVALNGSGIDRSLDPVSNMDDSQLGLIQKGPELFQDG >OIW05369 pep chromosome:LupAngTanjil_v1.0:LG09:1924314:1925045:1 gene:TanjilG_28834 transcript:OIW05369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSPNYGTREHEQYMPIANVTRIMRRILPSHAKISDEAKETVQQCVSEFISFVTAEANDRCQREQRKTVTAEDLLFAMEKLGFDDYVEPLSLYLQRYRENEAEPISATRVVNYGSHSLPPQQQLAGAVSYASHSLPPLPPQPLAGGGSYGSHSLPPPPQPLAGGGSYGSHSLPPPPQPLAGGGSYGSHSLPPPPQPLASGGSYGSGFTAPAVGMFDPSASTSGMSGSGNYSIAAFDNMKRDRM >OIW04202 pep chromosome:LupAngTanjil_v1.0:LG09:21248154:21250477:-1 gene:TanjilG_00762 transcript:OIW04202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGGATLSEIYQSAKKLLMKTRDGLERVERGELSSSDSVQNDISQIQSLCIQMDRFWRSISVKSHRDLWKRKVEQIAEEAESLKESLDKYNLRNQKRMTEAKERAELLRRVNGDSSHVLRIFDEEEQAMQSVRTSARELENANAVGEAILSTIHGQRERLKSAHRKALDVLNTVGISNSVLRLIERRNRVDQWIKYAGMLLTIIFVFAFVLWRR >OIW04917 pep chromosome:LupAngTanjil_v1.0:LG09:9028076:9031074:-1 gene:TanjilG_15662 transcript:OIW04917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSQLSGLSQNGQPAMMHNSLTQQQWFKQMPAMSGPASPLRLQQHQRQQQLASPTQLQQNSMTLNPQQLSQLMQQQKPIGQPQLHQQQQPQQQQHIQQQPQQQSQLQATVNQQQQQHSPRIPGPTGQKSVSFTGSQPDATASGATTPGGSSSQGTEATNQLLGKRKIQDLVSQVDPQGKLDPEVIDMLLELADDFIDSATTHSCIFAKHRKSSTLESKDLLLHLEKNWDLTIPGYSSEEKKYHKKPLSNDLHKKRLDMVQTLMESSNSESSMNNSKEMSRQGLHNPVGAHNIVRPLSSEQLVSHSSDSQILPQMTRF >OIW04314 pep chromosome:LupAngTanjil_v1.0:LG09:18791190:18799384:1 gene:TanjilG_32506 transcript:OIW04314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHSESTQCVRVAVNVRPLVTSELLLGCTDCISVVPGEPQVQIGSHSFTYDYVYGGTGSPLSAIYDDCVLPLVDALFNGYNATVLAYGQTGSGKTYTMGTNYTGEGSSGGIIPRVMETIFNRVQTMKQSAEFLIRVSFIEIFKEEVFDLLDPNSSKGEVLCTAKIAVPARVPIQIRETGNGGITLAGVTEPEVKTKEEMASFLSRGSLSRATGSTNMNSQSSRSHAIFTITMEQKNGDDVLCAKLHLVDLAGSERAKRTGADGMRLKEGIHINKGLLALGNVISALGDERKRKEGGHVPYRDSKLTRLLQDSLGGNSKTMMIACVSPADTNAEETLNTLKYANRARNIQNKAVINRDPVGAQVQRMRSQIEQLQAELLFYRGDAGGPFEDLQILKHKISLLEASNAELHRELQENRLTCDSLTQRACDAQVEKDQLILKIESIRNGKSWDEVDSSSNQDYDLVKSYVSKIQELQGELLHLKKLNVKSSHFVDWVDSDDSGFQSKNALFSCGNEYSLDCDAKSVDITDDLEDHAKEAEHSSLQQKLDRELKELDKKLEQKEAEMKRFNNSDTSVLKTHYEKKLHELEQEKKFLQKEIEELRCNLSNISSTSDAGAQKLKEDYLQKLNALEAQVSDLKKKQDSQVQLLRQKQKSDEAAKRLQDEIQRIKSQKVQLQHKIKQESEQFRLWKAAREKEVLQLKKEGRRTEYEMHKLLALNQRQKMVLQRKTEEASLATKRLKEVLESKKHSSRETSVGNGPGIQALMQAIEHQLEVTVRVHEVRLEYERQVEERAKIADEIARLKEEAEMMKQNSISDGIISMSPGARNSRIFALENMLSTSSATMVSMSSQLSEAEEIGRVFGGKGRWNQVRSLSDAKNVMNYLFNTACSSRCLLRDREVICKEKDMEIRDLKEKVVRLRCSLQQSEMQKAELIHQLKLQSSMGGHKYDLRKLENRRSTMLFEDMDISDPESDDYDVDATDDEWVESGKLQIRKRKSKSGRLSMEDNQTNSSSEDVKDNSKEGIKCAPEKTASNVCCSCSKSSSCKTTKCQCRALGNNCGSSCGCLATKCANRASVSNESQELTQSGVEGTGNDSRIVETDKNQILATQGAELLQGALVDRTAETNNNHRPRRPLSDIGNTAGKSNASKANPRKKWRKSSIVLVSDPPPSLQPSNSEVPKIIRETNVSSNVSQNMHSSSWSVNSSIPPKTENNVIGTDIPLRIPRAMQRQASSNISVPLGDRNASKPDEPVNSNKKDPEVVIARSPVRQKRALEKENNGH >OIW04875 pep chromosome:LupAngTanjil_v1.0:LG09:10407650:10408326:-1 gene:TanjilG_14306 transcript:OIW04875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCIRKATVDDLLAMQACNLFCLPENYQMKYYLYHILSWPQLLYVAEDYNGRIVGYVLAKMEEETNECHGHITSLAVLRSHRKLGIATKLMTAAQNAMDQVFGAEYVSLHVRKSNRAAFNLYTETLGYKIHDVEAKYYADGEDAYDMRKQLKEKQLHHHHHHGGGHHHHHHHHAGGCCSGEPKSEKTETKGNAKIASLSE >OIW05373 pep chromosome:LupAngTanjil_v1.0:LG09:1865372:1876216:-1 gene:TanjilG_28838 transcript:OIW05373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRRRGANKAKGIGNFSLGDLVLAKVKGFPAWPAVISRPEDWEKVPDLKKYFVQFFGTKEIAFVAPVDIQAFTNETKNKLSARCQGKTKYFTQALDEICAAFDELEKQKAGGLRDDTGDSCVGSEVPSADGVIGHLKDATEAVVLNVEKANTILGDAGSDLKHATQRGAESISHDEKLSVSCHPIDSSSPVLSPVIKSESSIGTEINKHARTSGLKDPSCLKEEVSDCKDAHNDNDLERTDNVPSTLTNGDKRRKLGTGSMRRTKVADDRKRSGGSNKMFSKYESSEGCADLSSSRQTLTGGQKGKNAVSVRSDSPGALKSVSDVNSGNQDKNLLEVKKRLKEKKELQESLVDSEKADEKNSRKQNAAQVPGMRSLGTNETLRATKKLKSMDTKDDKTLKSLPEAVKRNLGKNETFHATKKLKTMDTKNDKTLKSPSKVELKRSTSCLKTRTSLPSRGQTGIVGSDDSVSEVLARTKHVQLQKSMPDSSSLASDENTEKGSLRLKGDAINLTVKQAEKKRRAVCLLDNDESKTPVHGGAAQNIKSPLTTTEVMKCNDAYPDNANVAQLGNKISCALEDNHLKEKADGVLPANSLHIAEKLDSKQLPSEVGKLISASPVKSPLPVPMKKSNAEAHKSSKPLVKVSSNATQKKADHRSSKCLNRIATHKKKPASSVESCKTTPKTLPQVVEVPAATEDFKELDAFHGDRFVEVGMEHKSRLYAGSRSPETAKTMKHLIAAAQAKRRLVAQSHYLPLGLHHVQGGTPSPCKLKSSLSVSSDFMQADLLGVNEQLAVASPSINEHHLASQNQLDSEENEDRRVGSVQRAVGGSLSGSTEAAVALEAFEGMIETLSRTKESIGRTTRLAIDCAKYGVANEVVELLIRKLENETSFHHKVDLFFLVDSIAQCSHNQNGIAGASYIPAIQGALPRLLRSAAPPGGIARENRRQCLKVLRLWLERKIFPESVLRRYMDDIGVSNDMTVSFSSRRSFRAERSVDDPIREMEGMFVDEYGSNATIQLPGLLSSNVFEEDEDDDFPSNASPPDTTLTLVESITCAVTPNDKSHRILEDVDGELEMEDVSDHPKDERPILLINSSEMNVQLQGLDRILDASSKISTEMPDILEGSPPPPLDSPPSPPPLPSSPPPPISPSQSPLLLPPPPPPAFHPPPLPPSVPPPLLVPQSSGVAQPSLLAQALVPLQSSLQPSLEMEYQQSVPRDCNGTASGNQIVQMVGSSFHGGHNSAVVKNEVLSQAQSSACFPPMAGCSSQEPSGFIPQRQLQHGQNDMYTNSQVPQLYQQFHLGNPSFGSRHMHTIPAPPQNPPNQYSYSKTTMQQNLPHTFHSPFSLPSLPDGPRQFVANEPWRMPSSEFNTNNHHGLWRGRIPSCPVPPFGQEGSFQPPLERPPISNVGFQHVNPNNIPAPPPPPPPPPPMSAHHFLLQDMVFLRCCPVGQTFLHLIVGDLLDADWNYFDFIYKIGGDILLHSFSSSVSLFLSLHFAYSFSILLLCFTVLEAYA >OIW05502 pep chromosome:LupAngTanjil_v1.0:LG09:184275:184562:-1 gene:TanjilG_27632 transcript:OIW05502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKKVTVLHAMVLVALVLVEVSYKVEAVNCSLMELSSCLGAITFNAPPSSTCCQKLKEQKPCLCWYLQNPTLKQYVNSPGVRMVTNSCGVPLPNC >OIW05239 pep chromosome:LupAngTanjil_v1.0:LG09:4018764:4019066:1 gene:TanjilG_21224 transcript:OIW05239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLQQYNFFPTDFFYPKPQPSSNPTLLPLQTPNSEDNNQHQHQHQQPRNMVKTTPSSSLVYTHKTQQSLCRVDNKVSKFPTNPLSWVVWMDQGEDLEAF >OIW04871 pep chromosome:LupAngTanjil_v1.0:LG09:10477806:10480148:1 gene:TanjilG_13711 transcript:OIW04871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLFDLEKHFAFYGAYHSNPINVLIHVLFVWPILFTSLVLFYFTPPLFSGFSFSHPVLVLNFGFLFAVVYALFYAAMDIKAGSFAAFLTLLAWVGASFVANTLGYSLAWKVVLAAQIFCWTGQFIGHGVFEKRAPALLDNLAQALLMAPFFVVLEVLQSSFGYEPYPGFHTRVTTRIEADIKKWQEKKLKKVS >OIW05211 pep chromosome:LupAngTanjil_v1.0:LG09:5001578:5010281:1 gene:TanjilG_14764 transcript:OIW05211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLLKDFELDSKNPSVEALTRWRSAVSFVVKNRRRRFRMVADLDKRSEAEQIKLGIQEKIRIALYVQKAALQFIDAGSRVEYKLPEEARAAGFGIHPDEIASIVRAHVSKNLSNIGGVEAVARKLAVSVDEGVNEEGINSRQQIYGVNRYTEKPSRSFLMFVWDALQDLTLVILMVCAIVSIGVGISTEGWPKGTYDGVGIILSIFLVVIVTAISDYKQSLQFQELDKEKKKIFVHVTRGGKRQKILIYDIVVGDIVHLSTGDQVPADGIYISGYSLLIDESSLSGESEPANVNGENPFLLSGTKVQDGLGKMLVTTVGMKTEWGKLMETLSEGGEDETPLQVKLNGVATIIGKIGLAFAVVTFLVLTIRFLVEKGLRGDISVWSSNDAMKLLDFFAIAVTIIVVAVPEGLPLAVTLSLAFAMKKLMNDRALVRHLSACETMGSASCICTDKTGTLTTNHMVVNKVWICEDVTQIKSNESADELRTKISEDVLTTLSQAIFQNTSSEVVKDKDGKNTTLGTPTESALLEFGLHLGTDFDAQRGAGKIIKVEPFNSVRKKMSVLVGLPDGGVQAFCKGASEIILKMCDKIIDRNGKVVDLHESRVNEVLDVINSFASEALRTLCLAVKDLSEIHEESNIPDNGYTLIAIIGIKDPVRPGVKEAVQTCLAAGITVRMVTGDNINTARAIAKECGILTEGGVAIEGPDFRDLSPGQMKDIIPKIQVMARSLPLDKHKLVTNLRNMFGEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKENADVIILDDNFASIVNVAKWGRAVYINIQKFVQFQLTVNVVALITNFVSACITGSAPLTAVQLLWVNLIMDTLGALALATEPPNDGLMQRPPVGRGASFITKPMWRNITGQSIYQLIVLALLNFNGKRLLGITGSDSTILLNTLIFNSFVFCQVFNEINSRDIEKINIFKGIFDSWMFLIIISATVAFQVIIVEFLGTFASTVPLNWQFWLLSVLIGAVSMPIAAIIKCIPVEKDITTKHHDGYEALPSGPELA >OIW04322 pep chromosome:LupAngTanjil_v1.0:LG09:18861290:18863063:-1 gene:TanjilG_32514 transcript:OIW04322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGHAPPKNMLNQISADENDDVSNEHSMDHIQYEKHALDDGNGAIVIVFLAFMSNAVGALRDLSKRNQEISLVPAQQVEGNNNSDCGTAIHAHNNLVTFSGNDNPDLVPGR >OIW05389 pep chromosome:LupAngTanjil_v1.0:LG09:1676383:1678353:-1 gene:TanjilG_28854 transcript:OIW05389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELVRKPSIFRVFGCVIVFAQVISGFYLPGSYMHTYSNEDPIYAKVNSLTSIETELPFSYYSLPYCKPLGGIKKSAENLGELLMGDQIDNSPYRFRMNVNETIYLCTTTPLNEHEVKLLKQRTRDLYQVNMILDNLPVMRYANQNGVKIQWTGFPIGYTPPDGSADYIINHLKFTVLVHEYKGSGVEIIGTGEEGLGVISEADKKASGYEIVGFQVAPCSIKYDSEVMTKLHMYDNMSSINCPSELHKYQVIREQERISFTYEVEFVKSDIRWPSRWDAYLKMEGSRVHWFSILNSLMVVFFLAGIVFVIFLRTVRRDLTRYEELDKETQAQMNEELSGWKLVVGDVFREPECSKLLCVMVGDGVQILGMAGVTIVFAALGFMSPASRGMLLTGMIILYLIQGIVAGYVSVRLWRTIKGTSEGWRSISWLAACFFPGIAFIILTVLNFILWGSHSTGAIPISLYFELFFLWFCISVPLTLIGGFLGTKAQTIEYPVRTNQIPREIPARKYPSWLLVLGAGILPFGTLFIELFFILSSIWLGRFYYVFGFLLVVLLLLVIVCAEVSVVLTYMHLCIEDWRWWWKAFFASGSVALYVFLYSINYLVFDLQSLRGPVSATLYLGYSLLMAVAIMLSTGTVGFLMSFYFVHYLFSSVKID >OIW04700 pep chromosome:LupAngTanjil_v1.0:LG09:14873677:14874754:1 gene:TanjilG_06766 transcript:OIW04700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLISIITLLSIFFSALLPPSTSLHIQFQPSLQHQEAVSGNNYMQQLSLPALPRKLRFTDKVQEYAEVRDLASHKQNDYLPAVKHYRRIQNMMVGNKKSKQEWMEGDNSSEYFTMDYHNVRKRPPIHN >OIW04853 pep chromosome:LupAngTanjil_v1.0:LG09:11151341:11152555:-1 gene:TanjilG_13693 transcript:OIW04853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPHDEAIAKTAASNSFTFPSLNHSSFLQIKLFYVRISHCLIDYVPNNLTLRHRQIGLSLEINGSHLPAATDAPPLTLRRDRVDRDTAEVTYVSTDTVRVTGSLEFEVYEEEGMSLLLCGSFERLEGGYGNVGSSSGWEIECHVAAGSVGSGLFRPKLGVSAPSIEVYVAGCCCGVPVVLSKTISMSLRRRVTRHATLDAIPEDEEMMMMMEKEHKGVNGMIPHGKLKCV >OIW04115 pep chromosome:LupAngTanjil_v1.0:LG09:20622457:20624625:1 gene:TanjilG_00675 transcript:OIW04115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKRQKVAYALGKTEEGCDVFSGKWVWDNVTRPMYEESECPYIQPQLTCQEHGRPDKEYRHWRWQPHGCDLPRFNASLMLETLRGKRMMFVGDSLNRGQYVSFVCLLHQLIPEDAKSMETFDSLTVFTAKEYNATIEFYWAPFLLESNSDNAVIHRVSDRIVRKGSINKHGRHWKGVDILVFNTYLWWMTGLKMKILLGSFDDEVKEIVELSTEDAYGMAMKSMLRWVRLNMDPKKTRVFFTSMSPTHAKSIDWGGEAGGSCYNETSMIDDPTYWGSDSRKSVMQVIGEVFRKSKVPITFLNITQLSSYRKDAHTSIYKKQWSPLTPEQLANPVSYADCVHWCLPGLQDTWNELLFAKLFYP >OIW04945 pep chromosome:LupAngTanjil_v1.0:LG09:8879933:8885061:-1 gene:TanjilG_01141 transcript:OIW04945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFCSIFSSTFNLSTTYPYRIKLPLTTTTRVVIVRASSKDSEDNDKNVPEFNPFGFVTDNPSSRSAIQLPQSPAEDGNVGQMLYRTEDKGREFGSYVKSGKLRWFVRETGSAESRRGTVLFLHGAPTQSFSYRVVMSQLGDAGFHCYAPDWIGFGFSDKPQPGYGFNYTEKEFHDALDNLLDVLRIESPLFLVVQGFLVGSYGLTWALKNSSKISKLAILNSPLTDSSVVPGLFQKLRIPLFGEFTCQNAIEAERFIEAGSPYVLKNEKADVYRLPYLSSSGPGFALLEAARKANFKGTFREIAEGFVTERWDKPIILAWGLSDKYLPQSVAEEFQKGNPTQIKLKFIEGAGHMPQEDWPEKVVDALRMFFF >OIW05094 pep chromosome:LupAngTanjil_v1.0:LG09:6718268:6721721:-1 gene:TanjilG_06230 transcript:OIW05094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHHNPTSEPTTPTTPRPSLVLSTSAKSLLVSNSNKSFFLSNSGKRLDSKKKYVKQVTGRHNDTELHLAAQRGDVGSVKQILAEIDDQMMGTLSGAEFDAEVADIRSAIVNEVNELGETALFTAAEKGHLDVVKELLTYSTIKALSFKNRSGFDPFHIAANKGHQAIVQVLLDHDSQLIKTFAQSNATPLISAATRGHANVVELLLSQDPSQLEISRSNGKNALHLAARQGHVDVVKLLLRKDPQLARRTDKKGQTALHMAVKGTSCDVVRQLLNADSAIVMLPDKFGNTALHVATRKKRAEIVNELVLLPDTNVNALTRDHKTALDLAEGLPITEEILEIKECLIRHGGVKANDLNQPRDELRKTMTQIKKDVYYQLEQTRKTNKNVTGIAKELKKLHRAGINNATNSVTVVAVLFATVAFAALFTVPGGDDNDGKAVMVHTVSFKMFFLSNATALFVSLAVVVVQITVVRGETKSERRVVEVINKMMWLASVCTSISFIAASYIIVGRRSLWAAILVTVVGSVIMAGILGTMTYYVVKSKRYRRVRKKEKLSRHGSQYSWRNSDSESTEVNPIYAI >OIW05475 pep chromosome:LupAngTanjil_v1.0:LG09:450284:453801:-1 gene:TanjilG_12066 transcript:OIW05475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMEYECIVGAAAASVAPVENDTDNKGLNDKLSSVTSLKESLGEFGFAKDENESIVSVTIVGASGDLAKKKIFPALFALYYEGCLPKNFTIYGYARSKMTDADLRNMVSKTLTCRIDKRENCNEKMDEFLKRCFYHSGQYDSQENFAALDKKLKEHEGGRISNRLYYLSIPPNIFIDAVKCASLSASSGNGWTRVIVEKPFGRDSESSAALTKSLKQYLTEDQIFRIDHYLGKELVENLSVLRFSNLIFEPLWTRQYIRNVQLIFSEDFGTEGRGGYFDNYGIIRDIMQNHLLQILALFAMETPVSLDAEDIRNEKVKVLRSMRPIRLEDVVIGQYKSHTRGGVTYPAYTDDKTVPNDSLTPTFAAAALFIDNARWDGVPFLMKAGKALHNKGAEIRVQFRHVPGNLYNRNIGTDLDRATNELVIRVQPDEAIYLKINNKVPGLGMRLDRSKLNLHYAARYSTEIPDAYERLLLDAIEGERRLFIRSDELDAAWSLFTPVLKELEEKKIIPEYYPYGSRGPVGAHYLAARYNVRWGDLGVDIDQ >OIW04880 pep chromosome:LupAngTanjil_v1.0:LG09:10078713:10083542:-1 gene:TanjilG_23996 transcript:OIW04880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCSESKIKNDEVVTRCKHRKLFLKQAVSARNAFAAAHSAYSTYLKSTGAALSDFIDGEIKTNSSQFSSTSSSSVPPPFSASQQSFQIPPPPPPLPYFSPLQRSVTMPEIKLNQRGSNSVETIIEEDERDLELESDSKIRGRMRIENEEIHRKVFEEKHNDEEVVAPPVVTAAESVVVVVEGKVSSGKKKKSVNMIEIFNEVDDHFLNAFESTHEVSKLLEATRMHYHSNFADNKGHIDHSAKVMQVITWNRSFRGIPDLNDGKYDFVLEEQETHATVLDKLLAWEKKLYDEVKAGELMKFEYQKKVASLNKLKKEGANSVALGKAKAVVSHLRTRYIVDMQSLDSTVSEINRLRDQVLYRRLSELVDGMATMWGIMQANHEKQSSIVTLLRSLDISHPPTETSENHHDQTYQLLIVVQEWQSQFEKLVNNQKAYVKALNSWLKLNHVPIESNLNEKVSSLERIKSPPVQRFLLAWHDYLEKLPDELASTAITNFASVIDTIFKQQQQEIVSKRKCEETRKELDRKTRQFEEWYNKYIQRKIPDKHDPNTQGNNDLDEVVTEKKFLVDQVKKRLDDEKEAYANQCLQVRQKSLPIKVHITKSMLRPGFFMRSSSIPLLFL >OIW04595 pep chromosome:LupAngTanjil_v1.0:LG09:16762209:16766029:-1 gene:TanjilG_18072 transcript:OIW04595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLYVKAVPPPDLNRNTEWFTYPGVWTTYILILFFSWILVLSVFGCSAGIAWTTVNLAHFAVTYHFFHWKKGTPFADDQGIYNRLTWWEQVDNGKQLTRNRKFLTVVPLVLYLIASHTTDYQHPLLFFNTLAVIVLVVAKFPNMHKVRIFGINADK >OIW04750 pep chromosome:LupAngTanjil_v1.0:LG09:14067877:14073152:1 gene:TanjilG_08633 transcript:OIW04750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGHLKDIARSVEATQGGSFLEEMNRKWNDHNKALQMIRDILMYMDRTYVPNAQKTPVHELGLNLWRENVIYSNQIRTRLLTTLLELVQGERAGEVVDRGLMRNITKMLMDLGPSVYGQEFESHFLQVSAEFYSVESQKFIECCDCGDYLKKAERRLNEEMDRVSHYLDPRTEKKITNVVEKEMIENHIPRLIHMENSGLVNMLCDDKYDDLGRMFNLFGRVTDGLSKIREVMTSHIRESGKQLVTDPERLKDAVEFVQRLLDEKDKYDKIISLAFNNNKLFQNALNSSFEYFINLNPRSPEFISLFVDDKLRKGLKGVSEDDVEITLDKVMMLFRYLQEKDVFEKYYKQHLAKRLLSGKTVSDDAERSLIVKLKTECGYQFTSKLEGMFTDMKTSLDTMQGFYASHPELGDGPTLTVQVLTTGSWPTQSSVTCNLPAEVSALCEKFRSYYLGTHTGRRLSWQTNMGTADLKATFGKGQKHELNVSTYQMCVIMLFNNADRISYKEIEQATEIPASDLKRCLQSLALVKGRNVLRKEPMSKDVGEDDAFFVNDKFSSKLYKVKIGTVVAQKETEPEKQETRQRVEEDRKPQIEAAIVRIMKSRKQLDHNNLIAEVTKQLQSRFLANPTEDAVEFVQRLLDEKDKYDKIISLAFNNNKLFQNALNSSFEYFINLNPRSPEFISLFVDDKLRKGLKGVSEDDVEITLDKVMMLFRYLQEKDVFEKYYKQHLAKRLLSGKTVSDDAERSLIVKLKTECGYQFTSKLEGMFTDMKTSLDTMQGFYASHPELGDGPTLTVQVLTTGSWPTQSSVTCNLPAEVSALCEKFRSYYLGTHTGRRLSWQTNMGTADLKATFGKGQKHELNVSTYQMCVIMLFNNADRISYKEIEQATEIPASDLKRCLQSLALVKGRNVLRKEPMSKDVGEDDAFFVNDKFSSKLYKVKIGTVVAQKETEPEKQETRQRVEEDRKPQIEAAIVRIMKSRKQLDHNNLIAEVTKQLQSRFLANPTEVKKRIESLIERDFLERDDSDRKLYRYLA >OIW04348 pep chromosome:LupAngTanjil_v1.0:LG09:19166827:19167744:1 gene:TanjilG_32540 transcript:OIW04348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLRPFRAQSVLTQGSSIPEKTTEEPLPSKVAQSTVTCFYQTNVAGYWRNVSVLWCKNLMNHSLHITVYSVGGELHYSCKIDVKPWHFWSKKGYKTIDIDGNQVEVYWDLQSAKFSGSPEPISDYYVALVSGQEVVLLLGDYKKKAYKRTKSKPALVEAMLLVKKENVLAKKSFPTKARFDEKRKESDIIVDSSTSGPNDPEMWISIDGIVLIHVKNLQWKFRGNQTVMVNKQPVQVFWDVHDWLFSDSGAGPGRFIFKPEAECEKEGSGVEGCDNDDSSVGYDSTLNIATFEFCLVLYAYKLE >OIW04835 pep chromosome:LupAngTanjil_v1.0:LG09:11736181:11742507:1 gene:TanjilG_13683 transcript:OIW04835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFDEVSMESSKSFINSLQELKNLRPQLYSAAEYCEKSYLHSEQKQMVLDNLKDYAVQALVNAVDHLGTVAYKLTDLLEQQTLDVSTMDLKVSTVNQKLLTCKIYTDKEGLRQQQLLAFIPRHHKHYILPNSINKKVHFSPHIQIDARQNPFKTKTRFQSSGTPASKTLSWHLASETKSTLKGTPHASPSIEKPKHSAKTSEVFHLLDNDGTTWMKSSPAQSHLPNGIPTSSIAMQAFGGTRRNALEGSKPLTAFRSFDNHNRRETVQVPARSKSLLSSFFAKQKTPKLKAGSVS >OIW04290 pep chromosome:LupAngTanjil_v1.0:LG09:21732744:21735137:-1 gene:TanjilG_00850 transcript:OIW04290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIILNSSSTTEAHNITHILANHPDFSTYNHYLSVTHLADEINRRRTITVLAINNAGMQSLLDKHLSISTLKNVLSLHILVDYFGAIKLHHITNGTTLVSSVFQATGAADGTAAHNITHILANHPDFSTYNHYLSVTHLADEINRRRTITVLAINNAGMQSLLDKHLSISTLKNVLSLHILVDYFGAIKLHHITNGTTLVSSVFQATGAADGTAGYVNITNLKGGKVGFGAEDNDGSLHSFYVKSIDEVSYDISILQISQPLSSADAEAPTSAPSAINLISIMSKQGCKAFADLLRVSKALPTFEENINGGLTVFCPTDSAVNDFIPKYKNLTDAKKVSLLLYHGIPVYESLQLLKSTNGVVNTLATEGANKYDFTVQNNGEDVKLQTKVVTASIVGTLIDQDPFVAYKINKVLLPRELFKVNDLAPAESPKASKKKSSKKGKEDSSADAPADGPGANDEADDQKAADDNNGAKDGVTLIMLFFTFFIGFLVL >OIW04963 pep chromosome:LupAngTanjil_v1.0:LG09:8693817:8697455:-1 gene:TanjilG_01159 transcript:OIW04963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIVKSIVQHAAESAWPHIGYIIFYNTNVDKLNIQLQNLVVAKDSLQQRVNAAIRNGEEIFINVRNILEKANDSIDDAENFLNGEDHARVGCLNWPSTNLCRMHELSRKSIDKADTISEIMTQVKEALKMVTISSLPRLPVALCPSTRGYEALQSRTLILNQIMQELKDDNMYMFGVYGMGGVGKTTLVEEVAWQAEHDDSYGVVIKAIVSNSADVKGIQGQLADGLGLKFNEESIQGRAQRLRDKILKENDVLVIMDDLWRNIDLNEVGVPFGDQHKGCKLLLTSRDLYVLSKEMGTQQNFRLDTLSTEEGWNLFKKVVGDVIKEYHIQTTAFDIAVACKGLPVLLVMVGKTLKNEKELYAWKDAFNQLTTHDDEEFYSITNKAVGLSYNCLASELHKSIFLLIASDGQRNYHIEDLFVHVWGLGLFKKVNKLGDARYKLQKIISDLKASSLILDEEGKDVTMHDVIREGAAKIACLEILSLEDTDIQELPKEMGELVHLRKLNLDNCIKLTLIPANLISSLTSLEELHMGNCYIQWEVEGSKECKNASLAELRQLNHLSSLHLQIHDISNMPSDLLIFGKLDRYKILVGDGWVWSWDYSGYSETSRTLKLNLNSTSITCFDLGIKMLLNGVEDLSLAEVNGVINVLPELDGEGFPQLKHLHIERCVEIMYIIDSTSCVLPSHSFLCLETLVIHHLVNLEKICCGQFPVHIFTKLQEIKVSGCEKLKNLFSLSMARNLTKLVHINISMCEFMTSVIAEEGEKDFEDNGQINLSKLLSIRLLNLPNLVTFSSKKSTTNIQSGRIFRKESDNLSYPVTLFDEKIAMPNLETLELYSTNVEELCDLSVSLCFQNLTNLKVVHCNKLKYLFSSLAGKVLVKLQRLEVMYCQMMEYIFVQDEEEYLCKEKSAMTPILQNIDTIQIVGCPMLKNIVPSSGIFQNLNLLTVKVCSGIVNIMTYSAATSLVNLTFLRIHDCEMVEEIVACENDSDGGEIGFMKLEHLELKNLPRLTRFCNENFSFKLPLLEVLRVIKCPEIEAFSPTIFFSAPKLTKVVTE >OIW04966 pep chromosome:LupAngTanjil_v1.0:LG09:8675461:8681660:-1 gene:TanjilG_01162 transcript:OIW04966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLISSISKFTSSLPCNHTFLHSSSLQNLSSFKLTTTTTTTTTTKIQSIGIGREEPSYSSESQQQQQQQQQQQQKQVRFDPKDGVAVYKPKSYQVLVDDAANSLAFALQDGKLRLEIDFPPLPSDISSYKGSSDDFIDANIQLALAVVRKLQETKKTRACIVFPDKPEKRRACQLFKAALDSIDGVTIGSLDDVPGGPLTSFFRSVRSTLDFDFEDDNEGRWQSSEPPSLYIFINCSTRELGYIEKYVETFASSTPTLLFNLELDTLRADLGLLGFPTKDLHYRFLSQFTPVFYIRIRDYSKTVAIAPYIVNYSGAVFRQYPGPWQVMLKQADGSYACVAESATRFTLGEAKEELLRVLGLQEEEGSSLQFLRRGYKSSTWWEEDFDLEVSSAWRS >OIW04679 pep chromosome:LupAngTanjil_v1.0:LG09:16012666:16021943:-1 gene:TanjilG_07814 transcript:OIW04679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSHVLHSPEDIVSYNKDKVQPFTRVNVPFPCDCIKGEFLGHMFQYVVQTGDTYETVAGTNYANLTNVEWLRRFNTYLPDNISSTGMLNVTVNCSCGNSDVSDYELFITYPLRPGETLGSVAKSVKLDSGLLQRYNPSVNFNQGSGLVYIPGKDQNGSYVFLSSSSGGLAGGAIAGIAVGVVAGILLLVVCIYVGCFRKKKIQKEEVVRPDSKSHSVPDGMDEISLVAAYETSRPRGSAAIAGISMDKSVEFSYEELASATNNFSVANKIGQGGFAVVYYAELRGEKAAIKKMDMQASKEFLAELNVLTHVHHLNLVRLIGYSIKGSLCLVYEFIENGNLSQHLHGSGREPLPWTIRVQIALDSARGLEYIHEHTMPIYIHRDIKSANILIDKNFRGKVADFGLAKLAEVGSSLRPTVRLVGTFGYMPPEYDNPYSLHIRNNSVLKLVADFGLAKLAEVGSSLRPTVRLVGTFGYMPPEYDNPYSLHIRNNSVLKLLAQLAKACTQHNPQLRPSMRSIVVALMTLSSTTDDWDVGSFYENQNLVNLMSGK >OIW04923 pep chromosome:LupAngTanjil_v1.0:LG09:9159032:9164144:1 gene:TanjilG_15668 transcript:OIW04923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSVPVVGGLFNKGHKVKGTVVLMGKNVLDLNEITAVASGGVGGAVGGALGTLTGILGSAVDGATSIFSQSIALQLISATKTDGQGNGKVGKQTYLQKHLPTLPNLGAAQDAFDIYFEWDDDFGIPGAFYIKNFMQVEFFLVSVTLEDIPNHGSIHFDCKSWIYNAKKYKNDRIFFVNQTFLPSETPAALVKYREEELQNLRGDGKGERKEWDRIYDYDVYNDLGNPDAGAKSARPVLGGSSSHPYPRRGRTGRKATRKDPKSETPSSSVYVPRDENFGHLKSSDFLTYGIKSISQTLLPTFTSKIFTEFNSFDEVRSLYDGGIRLPTDVFSKISPLPVLKEILRTDGEQVLKFPPPKVISVSKSAWMTDEEFGREMLAGVNPCVIRRLQEFPPQSALDAAIYGDQASTITKEHLEVNLEGVTFEQALSGQRLFILDYHDAFIPYLRKINEFAKSYATRTILFLKDDGTLKPLVIELSLPHPDGDQFGADSKVVLPATEGAESTIWLLAKAYVIVNDSCYHQLMSHWLNTHAAMEPFIIATNRHLSVLHPIYKLLYPHYRDTMNINALARSSLINAGGIIEQTFLPGPYSVEISSAVYKNWVFPDQALPADLIKRGLASEDSSSPHGLRLVIEDYPYAVDGLEIWDAIKTWVRDYVSLYYTTNEAVQKDSELQAWWKDVVENGHADLKDKPWWPKLQTIDELIQSSSTIIWIGSALHAAVNFGQYPYGGYILNRPTLSRRLIPEKGTPEYDELGTNPQKSYLRTITAKSEALVDLSVIEILSRHASDEIYLGQRDNPNWTSDTRALQAFKKFGTTLAEIEQNITRRNNDSSQRNRTGPVELPYTLLLPTSKEGLTFRGIPNSISI >OIW04329 pep chromosome:LupAngTanjil_v1.0:LG09:18929058:18930194:-1 gene:TanjilG_32521 transcript:OIW04329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKNQSFSSNSRDTSPTSRDAVIDNNNHHPFDQPHSYKVKFICCYGGKIQPRPHDNHLSYLGGDTKILAVDRNINFSAFMSKLSSLANNANICFKYQLPGEDLDALISVTDNEDLDHMMVEYDRLSRASPTKPARMKLILFPLINNAVSVSVSSPFQNSDFLFVDALNSVQIPHLERSSPSLNPDFLFGFDNVHPKPPDPASDPTVPDFTVEEPNAVVAGAQNQIQEELQKLKIVNNNNEQQLLLHQLKISEENSNVNGVDCYGQKTPEKVTPLTANSPNSIVQVHAPFLPDGGFTEPGPVYLIQTASGLYQAVRPVTVGPTYYAMPRMVPAPDSGEMYNTASLSHSASNIAASAAAAYNGDSQVGVPHLPERSIVWN >OIW04220 pep chromosome:LupAngTanjil_v1.0:LG09:21365881:21368939:1 gene:TanjilG_00780 transcript:OIW04220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICTVKQPGIVIRGSGLVFREKGTTLPPLQSCSFVFREKPQRYLISVQKPLHLSSVVGVGNVIKPVRCEKSVFVCGAYEADRSEVEATEAPSEAAKKVKIGIYFATWWALNVVFNIYNKKVLNAYPYPWLTSTLSLACGSLMMLISWATRIAEAPKTDLEFWKSLFPVAVAHTIGHVAATVSMSKVAVSFTHIIKSGEPAFSVLVSRFILGETFPVPVYLSLVPIIGGCALAAVTELNFNMIGFMGAMISNLAFVFRNIFSKKGMKGKSVSGMNYYACLSILSLVILTPFAIAVEGPQMWAAGYQTALSQIGPQFLWWVAAQSVFYHLYNQVSYMSLDEISPLTFSIGNTMKRISVIVSSIIIFHTPVQPVNALGAAIAVLGTFLYSQGHCRLFVEGIVVLPIV >OIW04992 pep chromosome:LupAngTanjil_v1.0:LG09:8342464:8344990:-1 gene:TanjilG_24464 transcript:OIW04992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFLKIKKFIKSHKAGGENDLAGKAVPEPEEPKPNTVGPDWCKSENDDSVTEAEDDDDFITNEVKRRLKELRRNSFMVLIPEEDSCPEEGEDKEEAGETGFNEWRDVEAEGQQWWRGFDAVFEKYCERMLFFDRMSAQQLNEVGKGTQPPLTPSPRSASKKLASPFRCLSLKKIEEPDDEMEHLQQPENDPYQDIETGYVGHICLTWEALHSQYSHMSQKISWQPENPTCYNHSTQQFQQFQVLLQRFIENEPFEQGARAEIYARTRKTLPKLLQVPNIQGSDPKSTDESDMRVLAPDLIKAIESSILTFYLFLKRDKKKSSSTINLFGNQSQHSTPLHQVQSTLEKKVVKLKELRRKKKGCKMNCWPQKHEEIQLLLGLIDMKILSRVMRMTKISREQLFWCEEKMKKVDVSNSKLERDPCPILFPC >OIW04515 pep chromosome:LupAngTanjil_v1.0:LG09:17852371:17855608:-1 gene:TanjilG_13897 transcript:OIW04515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKPCAVGNTSKDYSHEHWGNETFKIDKDDQEFSSQSEQLSHRSQNETNGHDIMRSGCSSEPDARGGTERCHSVLCNILTSENSSSLCKMLLENFQGIKPQHIFDFSVINTRMKEQAYEHSPTLFLSDIEQVWRKLQDAGNEIVSITKSLSDMSRASYCEKMKSKGHCGKKADGMDCLVCDSCEEMYHMPCIEPAVKEIPYKSWFCANCSGKGIKSQHENCVVCERLNVSKTLNNIASEETIPTNEETLTELEENSNCSLDDGIQVSIGGTNSPDCKICGDEVDGDKVKICGHPFCPSKYYHVRCLSSKLMKLYAQCWYCPFCLCQVCLTDQDDDEIVLCDSCDHAYFIYCMKPLRTSVPEGKWFCRKCNAGIQAIRRAKKAYESKKWRTDVNVSKPNNENVKKWNNKRGRESDKARGMDMLLTAASTLNFEENLTTTQIESQRT >OIW04646 pep chromosome:LupAngTanjil_v1.0:LG09:15358148:15363049:-1 gene:TanjilG_07781 transcript:OIW04646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKFSKQFEGQLIPEWKEAFVDYWQLKKGIKKIQLFNNTNNNTLNMHQSSSMPKSIISSIRNYSLFGNQHRDHGPIQVHRKLASSTSKGDMYETELLEQFADTDAAKEFFACLDHQLNKVNKFYRTKEEEFMKRGDSLRKQMKILLELKSTFMEKQGREGCSQDSKEDQSISCTFSNEEDSVRNRELQEEMQETSTDDKNETPFSESPRTDEVGKSMQMKSKDGKLRTHSGRINNYQGKNLRINIPLTTPSRTFSAISYLVREDLLNQSSRKCGTEGGKVHVNKTKLHHAEKMIKGGFIELYKGLGYLKVYRNLNMLAFLKILKKFDKVTAKQILPIYLKVVESSYFNSSDKVMKLADEVEELFIKKFAEDNRRKAMKYLRPSQAKESHFVTFFIGLFTGCLLALLAGYVIMAHVTGLYRPQQHSVYMETVYPVLSMFSLMFLHFFLYGCNILAWRKTRINYSFIFELAPTKDLKYGDIFLICTMAMTTVIGVISLHLTLLTKGYSCAQVQDIPGLLLLVFLLMLVCPFNIIYRSSRYRFLSIIRNIMLSPLYKVVMLDFFMADQLCSQVPMIRNLEYVACYYITGSYKTQDYGYCMRTKHYRDLAYAVSFLPYYWRAMQCGRRWFEEGQTSHLVNLGKYVSAMLAAGAKMAYEKDGSIVWLCLVVIMSSAATMYQLYWDFVKDWGLLQINSNNPWLRNELMLQRKSIYYFSMGLNLILRLAWLQTVLHSSFESVDYRVTSLFLAALEVIRRGLWNFYRLENEHLNNAGKFRAVKTVPLPFHEVDEED >OIW05262 pep chromosome:LupAngTanjil_v1.0:LG09:3660069:3664733:-1 gene:TanjilG_03651 transcript:OIW05262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGERYRPISIEELPSHLILEILCSSKLSAIDFACLELTSKTFGGSYGLYPFKFKSLVDFAVFQLCTSHVIYSRLGLNSQRELYDRCHGNWKRILRFLQSVEQSSGMVETSSGNMQITTGKYHTMLISNSSVYSCGSGLSGVLGQGPETTQCVAFTQIKFPPLARVVHVSASYNHAAFVMQSGEVFTCGDNSSFCCGHKDTSRPIFRPRLVESLKGISCKQVAAGLNFTVFLTRQGHVYTCGTNPHGQLGHGDTLDRPTPKMIEFLGNVGPVVQVAAGPNYNLAVTEDGAVYSFGSGVNFCLGHGEQHDEFQPRAIQKFRRKNIHVVRVSAGDEHAVALDSNGFVYTWGKGYCGALGHGDEIEKTTPEIVTTLKNHLTVQVCARKRKTFVLVDSGSVYGFGSMGFGSLGFLDRRVSDKVLKPRVLDTLRSHHVSQISTGLYHTVAVTSRGRIFGFGDNERAQLGHDTLRGCLEPTEIFINDDTYEDVDPILQNI >OIW05040 pep chromosome:LupAngTanjil_v1.0:LG09:7972153:7975511:1 gene:TanjilG_18639 transcript:OIW05040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METVGLSETFTRLKKEGKVALIPFITAGDPDLSTTAEALKVLDSCGSDIIELGVPYSDPLADGPVIQAAATRALARGTNFDGVISMLKEVIPQLSCPIALFTYYNAILKRGTGRFMSIIRDSGVHGLVVPDVPLEETETLRTEAKKHGIELVLLTTPTTPTNRMKSIVEAAEGFVYLVSSVGVTGARASVSVKVEALLKEIKEATNKPVAVGFGISKPEHVKQVVAWGADGVIVGSAIVKLLGEAKTPEEGLKELENFTLSLKSALP >OIW04136 pep chromosome:LupAngTanjil_v1.0:LG09:20785163:20794306:1 gene:TanjilG_00696 transcript:OIW04136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLSAGPFVPAVKSEPSKPIPSDPPSLSAGDTYPIAVVAVSEPDKDFLCPICMQLIKDAFLTSCGHSFCYMCIIIHLRNKSDCPCCGHYLTNSHLFPNFLLDKLLKKMSARQISKTASPVEHFRQTLQKLNPFMEDLSAGPFVPAVKSEPSKPIPSDPPSLSAGDTYPIAVVAVSEPDKDFLCPICMQLIKDAFLTSCGHSFCYMCIIIHLRNKSDCPCCGHYLTNSHLFPNFLLDKLLKKMSARQISKTASPVEHFRQTLQKGCDVTIKELDTLLSLLAEKKRKMEQEEAERNMQILLDFLHCLRKQKVDELKEVQTDLQFIKEDISAVEKHRMDLYRARDRYSMKLRMLDDSGGRKSWHSSKDKNTSGLMSSPLHLRGGLSSGSLTQKNEGKSQISSHGHGAQRKDAISGSDSQYINQSGLALVRKKRVHTQFNDLQECYLQKRRHPADKPHSQQERDMNLITREGYSAGLEDFQSVLTTFTRYSRLRVISELRHGDIFHSANIVSSIEFDRDDDLFATAGVSRCIKVFEFSAVMNEPADAHCPVVEMSTRSKLSCLSWNKFAKNQIASSDYEGIVTVWDVNTRKSLMEYEEHEKRAWSVDFSRTDPSMLVSGSDDCKVKVWRTNQENSVLNIDMKANICCVKYNPGSGNYIAVGSADHHIHYYDLRNTSHPVHVFSGHRKAVSYVKFLSDDELVSASTDGTLRLWDVKENLPVRTFRGHANEKNFVGLSVNSEYIACGSETNEVFVYHKEISKPLTWHRFGSPEVDDADDEAGSYFISAVCWKSDSSTILTANSQGTIKVLVLAA >OIW04969 pep chromosome:LupAngTanjil_v1.0:LG09:8655583:8657511:1 gene:TanjilG_01165 transcript:OIW04969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVAASGVVGGNGCSSSWMKFKGRKERNNKVNRVKIFCSYSSSSAVMDPYKTLRIQPDASESDVRKAFRELALKYHPDVCRGSNCGVQFHQINEAYDVVMSNLRGESNETQAYEASYDAGIDEPLRGMNDPDWDMWEEWMGWEGAGIRDYSSHINPYI >OIW04738 pep chromosome:LupAngTanjil_v1.0:LG09:13651845:13653893:1 gene:TanjilG_08621 transcript:OIW04738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTPARKRLMRDFKRLQQDPPAGISGAPQDNNIMIWNAIIFGPDDSPWDGGTFKLTLQFAEDYPNKSPTVRFVSQMFHPNIYADGSICLDILQNQWSPIYDIAAILTSIQSLLCDPNPNSPANSEAARMFNENKREYNRRVRTVVEQSWTAD >OIW04463 pep chromosome:LupAngTanjil_v1.0:LG09:18519754:18522879:-1 gene:TanjilG_01636 transcript:OIW04463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGKVKNNKVGNELGCGFMSRILQLKSYKLRTSSVHSLPMKPSNNAPQKDHVKNEPQISPNDESKVLQRSSKKPARKVTFIDQNKKHSDTARNSTSTSSSSTTHTKVEQNKDTTDERKPNGNSLQLARISTSHQSDNESNKSPVKEFGALKLTGNLLVNNTPRRKSVEFVPKNKDINSIFSSYSNPGKVMMGNIIRGNSDDLAQFRSSKNNKVDPEVSKSIGNEAYKQGKFEEALALYDKAIAIDSNKATYHCNKSAALIGLSRFQEAIVECQESIRLEPSYHRAYNRLATIYFRLGEAEKAVDCINSTPCPDSLLAFQAQALQNHLNKCNEARKAGEWSVILKETQSAISLGADSAPQVYALKTEALLKLLRYQEAHATYEKMPQFSVDWCNNIFGLAHSAYLLIIGAQVHLAAGRFEDAVKAAQQAAKADPSSIDVNVVLRRTRAATSARMNGNLLFKASKFMEACSAYNEGLEHDPHNSVLICNRAACRSKLGQYEKAIEDCNAALKVQPCYSKARLRRADCNAKLERWEAAIQDYELLLREKPGDEEVAKALFEAQLQLKMLRGEDVKDLKFGSNLLFISTNDRFRHYVTLPGMTVVLFSNKTTNKEVLLMLEQTSKRFPSVNFLKVEIEDHPYLAKSEGVSSIPTFKIYKNGSRVKEISGKNESLERSVILYSS >OIW05360 pep chromosome:LupAngTanjil_v1.0:LG09:2027482:2029405:-1 gene:TanjilG_28825 transcript:OIW05360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLAKPTENLFKKNQALPIETTFKLPAATPVWPPGGSFANGIIDLGGLLVSQISAFNKVWASHEGGPDNQGATLFEPTRIPQGFFMLGSYSQPNNKPLFGWVLVAKDVCSSTTKSTLKQPLDYTLVWNSASLKINQDSPAYIWLPTAPDGYKSVGHVVTTTPSKPSLDKIRCVRSDLTEQCETYSWIWGSNGFNVFDVRPSNRGTQASGVRVGAFVAQNGGSTSPLSIACLKNINGNTKPMPNLQQIEAIVKAYSPSIYLHPDEGYLPSSVNWFFSNGALLYKKGQESTPLSIAPNGTNLPQGGNNDGAYWLDLPAENANKERVKKGDLPSSKAYIHVKPMLGGTFTDIAMWVFYPFNGPARAKVKFINTIKLGRMGEHVGDWEHVTLRVSNLNGELWQVYFSQHNRGSWIEASQIEFQSSNKPVVYSSLHGHASYPHAGLNLLGNEGNGIGIRDDSAKSGLVMDMEAYELVSAEYLGSVVIEPPWLNFFRAWGPKIDYDIENELKNAEKILFGKLKREFDNIVRALPSEVLREEGPTGPKVKNNWSGDEV >OIW05145 pep chromosome:LupAngTanjil_v1.0:LG09:6033326:6035797:1 gene:TanjilG_02618 transcript:OIW05145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEKEGGNKKNVVLKLDLHCEGCVMRIEKAVRHFEGVEDVKANMSSNELTVIGKVDSAMLRDKLAKKTRKKIEIITVVLKTGMNCVCDGCIQKVHKLILKVKGVESASFGGNKESVTVEGTMNAEELVSYMNKKMKRKVEVVQPKKEEKKEGGGESGEKENKEEENGRGEMEGEAEFIKIDHHGYDCGYNGHFMYPQNNNNNGYEGSMVEPLPFIMLPQIYPHPQMFSDENPNACSVM >OIW04977 pep chromosome:LupAngTanjil_v1.0:LG09:8530325:8533487:1 gene:TanjilG_01173 transcript:OIW04977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGKDRLTLHKVDILDIHSLKPVFHGCDAVFHTASPITDNPEEMLVPAVNGSKNVIIAAAEAKVRRVVFTSSIGAVYMDPTRNRDLVVDESCWSDLEYCKNTKNWYCYGKVMAEQTAWDIAKEKGVDLVVVNPSLVIGPLLQSTINASTIHILKYLTGSAKTYVNATQSYVHVKDVALAHILVYETPSSSGRYICSESSLHRGELVEILAKFFPEYPIPTKCSDEKNPRVKPYIFSNQKLKDLGLEFTPVKQSLYETVRSLQDKGHLPIPIGQEDYES >OIW04970 pep chromosome:LupAngTanjil_v1.0:LG09:8644926:8651219:1 gene:TanjilG_01166 transcript:OIW04970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTYLSTPKTEKASEDGENDKLRFGLSSMQGWRASMEDAHAAHPYLDESTSFFGVFDGHGGKGVSKFCAKYLHQQVLKHEAYEVGDLGTSLHKSFLRMDEMMCGQRGWRELAILGDKIEKLSGILEGFIWSPKGGEANDHVDDWAFEEGPHSNFSGPNCGSTACVAVIRGNKLVVANAGDSRCVLSRKGQARNLSKDHKPDLVAEKDRILKAGGFIQVGRVNGSLNLARAIGDMEFKQNKFLPVEKQIVTADPDIISIELCDDDEFLVLACDGIWDCMSSQQLVDFIHGQLKTENKLSAVCEKVFDKCLAPTVGGEGCDNMTMILIQFKDPSNPSAPVTNQPQSSTESSEADTSAEKSE >OIW05124 pep chromosome:LupAngTanjil_v1.0:LG09:5785918:5787324:-1 gene:TanjilG_02597 transcript:OIW05124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPNGLSSGMFPSISTGLLGVENPSKQQQQQQNLQNQHNPHHLHHHSQIVSYVSNHDTDTNPQQSLKHGYNPFSAKTNNNNNNKPQSTNMSDEDDSSSDKRKVSPWHRMKWTDAMVRLLIMAVYYIGDEAGTSEGTTTHDSTGKKKVSGLMLQKKGKWKSVSRAMMEKGFYVSPQQCEDKFNDLNKRYKRVNDILGKGTACRVVENQNLMDTMDLSPKLKEEVRKLLNSKHLFFREMCAYHNSCGHGSNNNNTGSNSTLQQSAEVISVTEPSQSQPEQQQQQQCFHSSENGVGNMRILKGRNGVELEDDSDESDESGEYSEEEEDESNEVGSRGQVDHEDETEVRKRIKKGGLYVPSSTIQNLSSELNGVVHDGGKSVWEKKVWMKKRMMQLEEQKVSYEVESFELEKQRLRWVRYSSKKERGMERDKLENERKRLENERMVLIIRQMEVELMNVQKQKQQQQQHSST >OIW04555 pep chromosome:LupAngTanjil_v1.0:LG09:17495142:17498136:-1 gene:TanjilG_13937 transcript:OIW04555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAKLVVFPIRGRNWCFTRSVEPKIHESATLSHSPATLKDLWNKVNVDDKPVNAKAELFVDYIANKMNKSWVGLENAKDGSLKKKVHGLGVWLLSRVKPSEIFLKSISKEVTGVEVIYPSSLNAELVRRRLRHIAMRGTIIHRKYFYGSISMIPLTTAFSVLPLPNVPFFWVLFRTYSHWRALQGSEKLFQLVSDSSKTSNTSTDKQEAEHTESKSENHSSNEPQWVLRPSKELENIVREEDGHGLSHHAISKICKIYDLNTKDVIKYEKSIF >OIW04491 pep chromosome:LupAngTanjil_v1.0:LG09:18188462:18189127:1 gene:TanjilG_24188 transcript:OIW04491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKTENKIHTTQQSKPMQSLSSPPSSNDNKKKYRGVRMRSWGSWVSEIRAPSQKTRIWLGSYSNAEAAARAYDAALLCLKGSSANLNFPLTSSHYIPQENTVMSPKSIQKVAAAAANSFLNSANSNSITPPSHPIASTSSSLVSSPSSPSHRIDDDFSVLSSFEPYSSCDQTHESMAMMDSWYGFNGYVDQMLTGALFDIGSTQLLDDLYEESDIRLWNFC >OIW05248 pep chromosome:LupAngTanjil_v1.0:LG09:3835426:3837548:1 gene:TanjilG_03637 transcript:OIW05248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTKSNSSSENNGLKKGPWTPEEDQKLIDYIQQHGHGKWRILPKNAGLKRCGKSCRLRWANYLRPDIKRGKFSLEEEETIIQLHSVMGNKWSTIATNLPGRTDNEIKNYWNTHIKKKLLNLGIDPITHTPHYEVLQHSSILNSLYSPQLNYSSSPFRIDCSSSVVNNQSQLLSLLTTFLSYQNRNQDIMNHNFHQNQIGISTSSPLLQNQSQCSHPMQLNSIQNFRSNQVQVQENHQPCATSNDPLHVESQLMKIKLENQISSIVATPFSHQDNTSIPNLWQYEGGYIPDQQQQPQSISTMQSLSLPKFNSIVNNLLENQISSNNNEGMPNFNRIS >OIW05071 pep chromosome:LupAngTanjil_v1.0:LG09:7041934:7053164:-1 gene:TanjilG_06207 transcript:OIW05071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCSELDDNIGCSVKCVTTLYNVIIASPIVGSHIWVEDPDIAWIDGEVLEVNNEEIKVLCTSGKTVVVKASRVHHKDTEAPPSGVDDMIKLAYLHEPGVLDNLRSRYDINEIYTYTGNILIAVNPFIKLPHLYDSHMMAQYKGAIFGELSPHPFAVADAAYRLMINDGISQSILVSGESGAGKTESTKLLMRYLAYMGGRSATAAEGRTVEQKVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKKGRISGAAIRTYLLERSRVCQVSDPERNYHCFYMLCAAPSEDIKKYKLGNPRTFHYLNQSNCYELEGVDESKEYSATRSAMDVVGISPEEQDAIFRVVAAILHLGNIEFTRGKEMDSSMPKDEKSLFHLQTAAELFMCDAKALEDSLCKRVIVTRDETITKWLDPETAAVSRDALAKIVYTRLFDWLVDKINNSIGQDPESKYLIGVLDIYGFESFKNNSTHYYSTWLRISLVHVFKMEQEEYKNEEIDWSYIEFVDNQDILDLIEKKPGGIIALLDEACMFPRSTHETFAQKLYQTFKNHKRFSKPKLSRTDFTVCHYAGDVTYQADLFLDKNKDYVVAEHQALLYASKCAFVSSLFLPSPVDSSKKSKFSSIGSQFKQQLQALLETLSGTEPHYIRCVKPNNLLKPAIFENKNVLQQLRCGGVMEAIRISCAGYPTRKTFDEFADRFGLLAPQVLDESIDEVAACKRILEIVGLKGYQIGKTKVFLRAGQLAELDTSRSLILGKSAIIIQRKIRSYLTRRSFISARLSAIQIQAVCRGQLARQAYEVKRKEASILAIQSYYRMQIARKVYKELYTSAVLIQTCMRGMAARSELCFRRWTRASIVIQSHCRKYLAQLHFKRLKKAAIATQCAWRGKVARRELRLLKMAARETGALQAAKNQLEKQVEDLTLRLQLEKRLRVDIEEAKTQENKRLQSALLETQLQFKETEILLQKEREATKKAEEREPIIKEIPVVDHALLEKLSSENEKLKNMVSSLEKKIDETEKRYEEANRIGEERLKQALEAESKLTQLKNAMQRLEEKFSDIESANLVLKKQSQQNSSVKTLAEHISTPIAEETCVTPVKQFGTESDAKLRRSFIERQLENVDSLVKSVTKNIGFNNGMPVAAFTIYKCLLHWKSFEAEKTSVFDRLIQMIGSEIENEDGNDLMAYWLSNTSALLFLLEQSLISDDVTPASPARKLSNTTSLFGRMTGFLSSPSSANFTAPALDVVRKVEAKYPALLFKQQLTAYMEKVYGIIRDNLKKELASVLALCIQAPRTSKGVLRSGRNFGKDSAMVHWQTIIESLNTLLSTLKENFVPSVLIQKIFNQTFSYINVQLFNSLLLRRDCCTFSNGEYVKAGLAELELWCCQTKEEYAGSSWDELKHIRQAVGFLVIHQKYRISYDEIINDLCPILSVQQLYRICSLYWDGNYNTLSVSPDVLLSMKMLMTEDSNTGQSDSFLLDDSCSIPFSVNDLSTSIKLKDFSEMKPANELLHNPAFQFLNE >OIW04103 pep chromosome:LupAngTanjil_v1.0:LG09:20539048:20543073:1 gene:TanjilG_00663 transcript:OIW04103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKQTYSVCFCCRRRFKLALAEAPPEIKTLFYSYSENDLMSPFLLQRFLVHVQKQEKATIEDAQAIIDSLKHFHRKGLNLESFFKYLFSDTNLPILPSLGVHHDMTLPLSHYFIYTGHNSYLTGNQLSSDCSDVPIINALKRGVRVIELDIWPNASKDNVDVLHGKTLTTPVELIRCLRAIKEHAFDASEYPVVITLEDHLTPDLQAKVAEMVAQTFGDMLFSPSTEIPKEFPSPESLKKRIIISTKPPKEYLEGKEIKEKGDDSQHGKASADEEAWGKEFPSMKHGTIDFQDNKMDEEDLNDEEDSDESDKSHPNEAPEYRSLIAIHAGKPKGGITEWLKVDPDKVRRLSISEQQLEKAAITLGKEIVRFTQRNILRVYPKSTRITSSNYNPLIGWMHGAQMVAFNMQGYGRPLWLMHGMFKANGGCGYVKKPDYLVKTGPNDEVFDPKAKLPVKTTLKVTVYMGEGWYYDFKHTHFDQYSPPDFYTRVGIAGVPDDNVMKKTKTIEDNWLPTWNEVFEFPLKVPELALLRIEVHEYDISEKDDFGGQTVLPVWELRSGIRAVPLYSHKGEKYNSVKLLMRFEFY >OIW05521 pep chromosome:LupAngTanjil_v1.0:LG09:51326:51789:-1 gene:TanjilG_27651 transcript:OIW05521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKVIGQTVRDLKREVNKKVLKVPGIEQKVLDATSNEPWGPHGTLLADIAQATRNP >OIW04450 pep chromosome:LupAngTanjil_v1.0:LG09:20025478:20026369:-1 gene:TanjilG_32642 transcript:OIW04450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEEVNKPPSLPPYPEMIMKAVEALNDQNGSNKSAIASYIESTYGELPAGHTALLTHHLHKMKESGELVFLKNNYMKPDPSAPPKRGRGRPPKPKAHVPPGTVVSPPRPRGRPPKDPNAPPSAKVSSGSGRPRGRPKKIARSVLPPPSAEAAPASSGRPRGRPPKVKPQLTEVSVES >OIW05391 pep chromosome:LupAngTanjil_v1.0:LG09:1662788:1663351:1 gene:TanjilG_28856 transcript:OIW05391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTLSTLTPRFTSLSTYYPTPSSYPTHSKLYLQFPFSNSNNRSHRATFLRPIAAVSAPEKIEKLGTDISSLTLEEAKTLVDYLQEKLGVSAASFAPVAVAAPGAAAAEAAPVVEEKTEFDVVIEEVPSNARIAVIKAVRALTSLALKEAKDLIEGLPKKFKEGISKEEAEDAKKQLEEAGAKIAIV >OIW04148 pep chromosome:LupAngTanjil_v1.0:LG09:20887111:20891035:-1 gene:TanjilG_00708 transcript:OIW04148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNILLLLFIVYFSVFFYDASALISDGVILLSLLKHWTFVPHAINSTWNASDSNPCSWVGIKCDHAHNVVSVDLNDHGIIGQLGPQIGQLHHLHTLVLSSNSFSGNIPSELSNCSLIQYLDLSYNSFSGQIPGSLKKLQTLWFISLASNLMSGEIPHSLFQIPHLKEVSFHSNQLSGSIPDNISNMAELLKLSLYGNQLSGNIPSSIGNCSKLEALFLNDNRLNGNLPESLQNLENLVYLMVRNNSLGGTIPLGSGNCKRLFILDLSLNAFSGSIPSGLGNCSALAEFAAENNNLVGSIPSSLGLLHNLLILRLSENRLSGEISPEIGNCRSLKKLHLHSNQLEGGIPDKLGMLSELEDLQLSFNRLTGEVPGSIWRIESLRSILVHNNSLFGKLPLEMTKLEHLKNISLFDNRFSGVIPQGLGINSSLVKLDFADNKFTGNIPPNLCFGKQLLVMNMGRNQLQGDIPSDVGRCATLRRLILSENNLTGFLPDFESDLNLRYIDISKNNISGAIPSNLKNCKNLTEINISMNRFSGIIPSELGKLEKLVVLDLSHNNLEGPLPPQLSNCTKMDRFDVGFNFLNGSFPSSLRTWTKISTIILRENHFTGGIPGVLSEFSMLRELQLGGNLFGGEIPLSMGTMQNLFYGLNLSANGLTAAIPLEIGRLKQLQSLDLSLNNLTGSIDVLGDLVSLTEVNISYNFFNGTLPKNLIKFLSSSPSSFMGNPHLCVNCSSSQDLSCTKNSYLKACVDKSTDHAGISKFVIVMIEIGSSVFVSAILVALMHRYMRRKEKPDDCVNDLWVENRQIPLALLMKATNDLADSYVIGRGAHGIVYKAEIVSHKALAVKKIVFGSNQGKHLSTLRKEIKALTYKHKNLVTCRGYYIGKDFGLILSDYMENGSLHDILHEKDPPPPLSWNVRFNIAVGIAQGLAYLHYDCIPHIVHRDIKPKNILLDASMEPVIADFGTAMFRNPSEYSGSSSQCRQNLSTCIAGTAGYIAPENAYATLPGRKSDVYSYGVVLLELLTRKKVLVISFTDKKEQEIHLVSWVRSVWLTTGKVEKIVDSDLASAFLNSKVVASQVGGVLMLALRCTERDPRKRPTMEEVVHFYHRGKFTRRHDDEVYGTEVVADVAPQPYTSLDILTSVPVVSTTSVSPIQGGYNHHGECSTAAPLKQIKVTFDVERKPEDSNDFGWWWDANQFHQGNSSDDWKFMSTPTTSTDALVAQQPYNSLDLLTHASFVRTNSAPNTQGNYLLHGECSKAAHLKQIEG >OIW04866 pep chromosome:LupAngTanjil_v1.0:LG09:10729588:10731201:-1 gene:TanjilG_13706 transcript:OIW04866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKWDKVGISNLAGEIALIFGLIMWIATIPRIRRKFFELFFYTHYLYILFIVFFIFHVGITYACLMLPSFYLFLVDRYIRFLQSRHQVRLVSARVLPCEAIELNFSKDHGLTYNPTSVMFINIPSISKLQWHPFTVTSNSNLEPEKLSVVIKSGGTWTQNLYKILSNDSAIDRLSVSVEGPYGPASTDFLRTYSSLSMIDLILPISSIPYDISNLELQIEAYITKDKEPQSNSHVHLQTKWFKPNPTDVPIYAILGPNSWLWHGAIISSSFIIFLIIIGIIGRYYIFPIDHNSNAIFSFPLRSFLHMLIICGSIAMVASAVVLLNKKYNAKEAKKIQNLEVSTPTVSPSSIIYNVDRELESLPCQSLIQATNVHYGVRPDLRQILFEVKGSSVNVLASGPKKMRQEVANICSSNLAENLHFESISFSW >OIW04553 pep chromosome:LupAngTanjil_v1.0:LG09:17514086:17534828:1 gene:TanjilG_13935 transcript:OIW04553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDATTITQKSASSSLILDVDDFKGDFSFDALFGNLVSETLPSFKLEEADSEANDSMQNATKYSQGISSPLFPDVEKLLLLFKDSCKELVELRKQVDGRVHNLKKDVSVQDKKHRKTLAELEKGVDGLFESFARLDSRISSVGQTAAKIGDHLQSADAQRETASQTIELIKYLMEFNSSPGDLLEISPLFSDDSRVAEAASIAQKLRSFAEEDIGRQGISAVGNATASKGLEVAVANLQDYCNELENRLLSRFDAASQKRELTGMAECAKILSQFNRGTSAMQHYVATRPMFIDVEVMNADTRLVLGDQAAEASPSNVAGGLSSLYKEITDTVRKEAATITAVFPSPSEVMSILVQRVLEQRITSLLDKLLVKPSLMNLPSMEEGGLLSYLRILAVAYEKTQELASDLRAVGCGDLDVEEKFFDGSGLTESLFSSHKDEYPEFEQASLRQLYKAKMEELQAEGHRISDSSGTIGRSKGSSIASSQHQLSVTVVTEFVRWNEEAISRCNLFSSQPATLATYVKAVFTCLLDQISQYIANGLERARESLTEAANLREKFVLGTSVGRRATSAAETAAAAGESGFRSFMVAVQRSGSSVAVIQQYFANSISRLLLPVDGAHAASCEEMATAMSRGEASAYKGLQQCIETVMAEVERLLSAEQKATDYRLPDDEMLPDHRATNACSRVVNYLSRVLESAFTALEGLNKQAFLTELLHAYELLYSGGLRLKRDITEYGEFVRTFNTPSVDEKFELLGITANVFIVAPESLSTLFEGTPSIRKDAQRFIQLRDDYKSAKLASKLSSLWA >OIW04574 pep chromosome:LupAngTanjil_v1.0:LG09:16954922:16955854:-1 gene:TanjilG_20930 transcript:OIW04574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTEQHNKKLSSSKAIILSIAKPAAYFILLLLTYTLGYLSAPSSPTSSVSSLSPSHVSSTISRVSNYTSTELKSFRVTTQCSDPIPPEKIRQTVIDRVFDGTSPFHNFPPEHAVAILKRTPKVKGWGSNGAVFENLIRKVKPRIIVEVGTFLGASAIHMAELTHRFGLKTQILCIDDFRGWTGFREQFKNIAMLNGDVLLYYQFLQNVAFFNHTGSVLPLPFSSGSALATLCEWGVFADLVEIDAGHDFLSAWVDVNRGFRILRPGGVIFGHDYFTGADNKGVRRAVDLFAQIHNLKVRVDGQHWVIHTT >OIW04492 pep chromosome:LupAngTanjil_v1.0:LG09:18054473:18055620:-1 gene:TanjilG_13874 transcript:OIW04492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLSHCSLGYVTSPYGNTLHYNGNAIHGQFAFTTTETGSYLACFWLDANGKHEEGTNLILDWKIGISAKDWDSVAKKEKIEGVELELRKLEASVTAIHESLFHLKDKEAKMREVGEITNARVAWYGLVSLGVCILASALQVWHLKCFFQKKKLI >OIW05191 pep chromosome:LupAngTanjil_v1.0:LG09:5259483:5259680:1 gene:TanjilG_19822 transcript:OIW05191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESAVKHAIVVKVIGRTGSRGQVTQVRVRFLDDQNRLIMRNVKGPVREGDTLTLLESEREARRLR >OIW05097 pep chromosome:LupAngTanjil_v1.0:LG09:6698896:6700801:1 gene:TanjilG_06233 transcript:OIW05097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIFTTHEWLKVTPIVYFKCNEENKTILPDVKKKDTFYSFKGEESWQPLTNFSSKKCKRCGLYEEDRLLSDDVFDEWEFCPSDFTATDGKYVRVKEKEFNATFLCSECLSLAGGSTSNSGIGIAAHDGNGNRKEVLIAVLVLLSVLVSAIIIVGAVGAFKYWQKKKREQDQARFLKLFEDGDDIEDELGLGTIL >OIW05372 pep chromosome:LupAngTanjil_v1.0:LG09:1883536:1884300:-1 gene:TanjilG_28837 transcript:OIW05372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGYPGYVGLIPGPVAGEVNITFFFTRTPTDDLKPGGIIALLDETCMFPRSTNKTFAEKLYQTFKDNKHFSKPKLSRSDFTVNHYAGDTELFLDKNKNYVVPEHAALLSASNRIAPLVMGCSHLYRRTLRNQQSSLL >OIW05245 pep chromosome:LupAngTanjil_v1.0:LG09:3884918:3887738:1 gene:TanjilG_03634 transcript:OIW05245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRNLFSSLLRSSLRRYPPHFQLPISSRPPPSPITTREFPQTKAFTRFKSSHGLASVLGCSKLVSFHQAAPVTPLNSRFMSTETNSTDSSSHSQDSSSLKLDVPPRIKVKRLDKTARHIMQILDKEAVEEVRAQREMPDIKPGYIVQLKVEVPENKRRVSIIKGIVIARRNAGLNSTFRIRRLVAGVGIESLFPLYSPNIKEIKVLDKKKVRRAKLYYLRDKMNALKRH >OIW04753 pep chromosome:LupAngTanjil_v1.0:LG09:14091843:14093309:-1 gene:TanjilG_08636 transcript:OIW04753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIIASTSSNSSIHQLQTFNSQNNNSNNHLRDASFSSYLNIHEKTFAESSQNLDPFISNNKDSVIEGVKKEEDGEIEVFEAEKYFNGEEVDSTKVAKVDGKKYKYQKDEQTALETMEYTIQHGTPSVRSESSWNSQSALLQSSIRNRKNKVKKKSFLAGLGCKCSCSDKNSVDVSDHAGEISFNKTPTYGVAHGKTTPKKLFNADLDANHSVKISKPHAELLINKGVYFQKQENSIAEFSTVNSSLGNQLIKMQLEEAETPRKSLQVFGSPILDRRGKSLTFDKKLAMPSWEGTNKMEEFNFSANSGGKYNDDDAESDASSDLFEIESLTGNSNNTFLCRPTSNVASGCGSPSFYAPSEASIEWSVVTASAVEYSAMSDYEDQRSVATIRSPIRTSYTSSNGKPKASREMPKQRPGMLLGCKSHKAVGVADNAFKTSEKPRSNSQFRRRSDTFSQVTRFQEETKKANFGARHGQHAYAYAAPPLQRSH >OIW04609 pep chromosome:LupAngTanjil_v1.0:LG09:16057155:16061280:1 gene:TanjilG_30507 transcript:OIW04609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDLNSDLFDPVMVMESEWSRAGSSSDSDFAFAFNDRNFSDRVLRIEIMGDLDDTRQDSDLCTTVADWARHRKRRREDIRKDNDVDLSLLPDEQVLNGNQPDIDDCAAYENQDEEGQEAVAMIEESPSGDEAANSNDNGSNWSMGWSAVMRVKTLHISSPILAAKSPFFYKLFSNGMRESEERYVTLRINASEEAALMELLNFMYSNTLNTTTAPALLDVLMAADKFQVASCMRYCSRILRSMPMTPDSALLYLELPSSVLMADAVQPLTDAAKQYLAGRYKDITKFQDEVMALPLAGVEAILSSDDLQVASEDAVYDFVLKWSRSEYSTLEERREVLGARLARLIRFPYMTCRKLKKVLTCTDFEHDVASKLVLEALFFKAEAPHRQRSLAAEESGSLNRRFVERAYKYRPVKVVEFELPRQQCVVYLDLKREECANLFPSGRVYSQAFHLGGQGFFLSAHCNMDQQSSFHCFGLFLGMQEKGSVSFAVDYEFAARSRPTEEFVSKYKGNYVFTGGKAVGYRNLFAIPWTSFIAEDSLYFINGVLHLKAELTIRH >OIW04110 pep chromosome:LupAngTanjil_v1.0:LG09:20588077:20588826:1 gene:TanjilG_00670 transcript:OIW04110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNSTAIFNGVDRVKGSWTPQEDETLLRLVAQHGPRNWTVISAGISGRSSKSCRLRWCNQLSPDVQHRSFTPAEDSIIINAHALYGNKWATISRLLPGRTDNAIKNHWNSTLRRHGLAQGYSSSESDIVVNKKRVTNDFLIRSYPSKRPYRENHFPENKKGLGSGFAENIHSIPVTTPLSLWPPGAAEKEEEEEEESDEVEGKGEKVNGQTHLREIIRRMIADEVKSYMDDLRHRHIHTGLKLHQSLPK >OIW05189 pep chromosome:LupAngTanjil_v1.0:LG09:5270931:5272840:1 gene:TanjilG_19820 transcript:OIW05189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDSPQHLQWGTSINNNHHSDNHHSDNHHSIVVAPPPQQQPPLQQPPRVPRRRGTAWSEDEHKLFLFGLRRYGKGDWRSISRFAVVTRTPCQVASHAQKYFIRQSGEIKKRKSIHDVTLNEADYIQLSRSVPRHDLDPLPHNHNHIHNHNLDLTSNRSSHFSIHHNPNPQNLLAPSQYQDTTTMGGGIINI >OIW04961 pep chromosome:LupAngTanjil_v1.0:LG09:8703106:8703933:1 gene:TanjilG_01157 transcript:OIW04961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLPKSDSIHIREVWDDNLEEEFALIREIVDDYPFIAMDTEFPGIVLRPVGNFKNSYDFHYQTLKDNVDMLKLIQLGLTFSDEEGNLPTCGTNSQCIWQFNFREFNVNEDVFANDSIELLRHCGIDFRKNNEMGIDAKQFSELLMSSGIVLNDNVHWITFHSGYDFGYLLKILTCKNLPNTQVGFFNLINMYFPTVYDIKHLMKFCNSLHGGLNKLAELLEVERVGICHQAGSDSLLTSCTFRKLKENFFSGSLEKYAGVLYGLGDENGQSSSH >OIW04673 pep chromosome:LupAngTanjil_v1.0:LG09:15806317:15808346:-1 gene:TanjilG_07808 transcript:OIW04673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSMVSSAVVASVSRVCNAQSNMVAPFTGLKSSSAFPVTHKSNLHITSIASNGGRVQCMKVWPPIGLKKFETLSYLPPLSPESLAKEVEYLLRNGWVPCLEFELEHGFVYREHNSSPGYYDGRYWTMWKLPMFGCIDSAQVLKELDEAKNAYPNSFIRIIGFDNKRQVQCISFIAYKPPGF >OIW04534 pep chromosome:LupAngTanjil_v1.0:LG09:17710873:17713064:1 gene:TanjilG_13916 transcript:OIW04534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKLTMIARVTDGLPLAEGLDDGRDIKDAEFYKQQVKALFKNLSRGHNEASRMSIETGPYVFHYIIEGRVCYLTMCDRAYPKKLAYQYLEELRNEFERVNGSQIETAARPYAFIKFDTFMQKTKKLYQDTHTQRNIAKLNDELYEVHQIMTRNVQEVLGVGEQLDQVSQMSSRLSSESRIYADKARDLNRQVSHFAASST >OIW04345 pep chromosome:LupAngTanjil_v1.0:LG09:19139728:19139901:1 gene:TanjilG_32537 transcript:OIW04345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMVVIMTEILGEYTEVLRRVAERLLRRRGLSFESLRNFGSASTTSSSDSTSFMVNF >OIW04143 pep chromosome:LupAngTanjil_v1.0:LG09:20849668:20852300:-1 gene:TanjilG_00703 transcript:OIW04143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEATDSNPDGNAALINSFCEITSSSKQEALFFLESHNFDLDAAVSTFLDNSNTNTLNDAVTNVVPRSPSHSPDFQPSASPSPSRSRSTSPTSSRAPYQLRSRRTLGKDVKKPSGSRAGGIRTLADLKSSSRNGNDDDDDDDEDDSDYEPQEYYTGGEKSSALCAPRRMTLAVVVGGAVNFLGMVGAVRLSGSGTADLGVMRGCWVEIGRGLGGMLVQDPTKDNSVDDIFDHARQSAVDVPPENPQRSKSFTGTARLLSGETVPSAPQPVEAITHTITFWRNGFSVDDGPLQGLDDPQNAPFLESLRKSECPKELEPADQRTSVHVNLTRRDENFPEPAKPRHLPFRGIGRTLGSSSSSSEAAGETIQATDVPLNTAPVPAIGLVVDDSQPVTSLQLRLSDGTRMVSRFNRHHTIRDVRAFIDASRPDGARSYQLQTMGFPPKQLTDWDQTIEQAGIANSVIIQKV >OIW05025 pep chromosome:LupAngTanjil_v1.0:LG09:8254617:8260567:-1 gene:TanjilG_06437 transcript:OIW05025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIKEDVEHGVQKGTKEPLIREHKSQLVPASKRHPWMVYFTTFVAVCGSYEFGACAGYSSPTQDAITEDLNLSLAEGPVPLDIGRLATGYGMGVFSFVVPVFIAEIAPKDLRGALTTLNQARRGHEKDFEEALQILRGKGADISQEADEIKIGIGLMFCQQLGGINGVCFYTSSIFELAGTFILYAATNALAILFIVVAVPETKGKSLEELQSTINA >OIW04527 pep chromosome:LupAngTanjil_v1.0:LG09:17745570:17747965:1 gene:TanjilG_13909 transcript:OIW04527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTYSMSEAEALLSLKESFSNAEALDNWVADSPPCSEDDQWVGLVCNNGQVTGLRLGDMGLQGKIHVDALLELKSLRTISLVRNSFNGSIPELSKIGFLKAIYLSGNKFSGKIREDYFQEMRSLKKLWLDDNEFTGHIPSSLSKMPQLMELHLENNQFNGTILDLGNPALVDFNVSNNKLEGGVPASLLKFNESSFEGNSGLCGEKLGTKCDENGKKEAPSPFHAKENGDTIIIHNGNAPPTDKGKIRAAQIAGIVAACVVVLLIVALLFVRSKKKKGDVDFDGIIGRENNEEAVEVQVAAPVKREVSAEPIRKSTSSRKGGSGHHSVKGVGELVMINEEKGAFGLPDLMKAAAEVLGNGAFGSSYKAVMTNGLAVVVKRTREMNALEKDGFDAEMKKISNLKHWNVLTPLAYHYRKDEKLVISEYVPRGSLLFLLHGDRGPSHAELDWPARLKIVKGIADGMRYLHTELASSDLPHGNLKSSNVLLGPEYEPLIVDYGFSHLINPASATQALFAYKAPEAISQGQISHKCDVYCLGVVILEILTGKFPSQYLSNGKGGTDVVQWVASAISERRELELLDPEIATSKNNNSQVQMEQLLHIAAACTASNPHQRLQMSEAIRRIEDIINESCKGSRTIEILPSLRDGYADSHYVLGTHEHGGQSKRRHGSNSFGSRDNFEYGMS >OIW04394 pep chromosome:LupAngTanjil_v1.0:LG09:19553385:19555292:1 gene:TanjilG_32586 transcript:OIW04394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENGEEKLLAVARHIAKTLGHNNNNMADDILQIFSNFDGRFSRENLSGKVPDSDPRACAVLDHSLKSLDRRISHYVSSDHPIWADSADSAAFLDAVDDLVAVVSEWSPLASDKSVGACLSRAEDMLQHAMFRLEDEFRSLMERGGESFDLTRPENESTRNNMPFDSEEEDDDAEEETRNGEEEEQIPVALPVTDYDIVIDALPSGTISDLHEIAKRMVAGGFGKECSHVYSSCRREFLEESFSRLGLQKLSTEEVHKMPWQELEDEIERWIKASNVALRILFPSERRLCDRVFFGFSSAADLSFMEVCRGSTIQLLNFADAVAIGSRSPERLFRILDVFETLRDLIPEFESLFSDHYSVLLRNEAITIWKRLGEAIRGIFMELENLIRRDPAKAAVPGGGLHPITRYVMNYLRAACRSRISLEQVFEEYPKLDDRVASTSSLSVQMDWIMELLESNLETKSKIYKNTALSYVFLMNNGRYIVQKAKDSELGALLGDDWIRKHTAKVIQYHVHYQRSSWNKVLGVLKLDSSNVSMGPNGEAKTMKEKIKLFNVQFDEICKVQCSWFVFDEQLREEIRSSLEKTLLPAYGSFIARYQSVPELDKYADKYIKYRMEDIETKLNDLFQGSSGSNGSRK >OIW04938 pep chromosome:LupAngTanjil_v1.0:LG09:9496427:9505684:1 gene:TanjilG_15683 transcript:OIW04938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGGDKVAAGGVPAGPPQPLDWKFSQVFGERAAGEEVQEVDIISAIEFDKSGDHLATGDRGGRVVLFERTDAKDHGRSRSDLEKMDYSMNRHPEFRYKTEFQSHEPEFDYLKSLEIEEKINKIKWCQSANGALFLLSTNDKTIKFWKVQEKKVKKISEMNVDPSKATGNGSIASSSSSSNSGPHIANGGPPDRSYSYLSNDFSFPPGGIPSLRLPSVVVSHETSLVARCRRVYAHAHDYHINSISNNSDGETFISADDLRINLWNLEISNQSFNIVDVKPANMEDLTEVITSAEFHPTHCNTLAYSSSKGSIRLVDLRQSALCDSHAKIFQEQEAPGSRSFFTEIIASVSDIKFGKKGRYILSRDYMTLKLWDINMDSGPVATFQVHEHLRPKLCDLYENDSIFDKFECCLSGDGLRVATGSYSNLFRVFGCSPGSTEATTLEASKNPMRRQVPTPSRPSRTLGNSITRVVRRGAENTGVDANGNSFDFTTKLLHLAWHPSENSIACAAANSLYMYYA >OIW04885 pep chromosome:LupAngTanjil_v1.0:LG09:10002639:10004465:1 gene:TanjilG_24001 transcript:OIW04885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQNGCIVSQGFAPVNSRPCKRFMPCSSVFGSTSLMSAKVALSSTRSSRQQNLESKSRLSTGMMTFSNMSAPSSLLSRGGQNLLFRTIPMLPKRQKSCMTPQASKDVPTSFRYPPMTKKPRWYWRSLACLPYLMPLHETWMYAETAYNLHPFLEYFEFWTYPFLEAIGRLPSWFLMAYFFVAYLGIVRRKEWPHFFRFHVVMGMLLEIALQVIGTVSRWMPLSLYWGKLGMHFWTAVSFAYLFTVLESIRCALVGMYADIPFICDAAYIQIPYD >OIW04347 pep chromosome:LupAngTanjil_v1.0:LG09:19160935:19163319:-1 gene:TanjilG_32539 transcript:OIW04347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYLCDFCGDQRAVVYCRSDAACLCLSCDRNVHSANALSRRHSRTLVCERCNSEPASVQCTEESISLCQNCDWLGHGTASSSSTHKRQTINCYTGCPSSAELSSIWSFFSDIPSMGEKCEQELGLMSINENRNNSTWVPLESQNVSGSPQVTDLPSKDRSSVGASSMPESSSKPRLPDQQPESADECIPKLNWSGKKSPGIFEDDDLYNDFNMDEVDLELENYEELFGMTLSHSEDLFENGGIDSLFGTKEVAASAGNSNCQGAVAAEGSSIGLVNAVQPACSNAASADSMLSSKTEPFLRITGRQSQSNISFSGISKDSSTGDYQECGASSTLPIGEPPWYSPCPESSLQYASRSNAVMRYREKKKARKFEKSVRYASRKARADIRKRVKGRFVKAGDVYDYDPLSQTRSY >OIW05233 pep chromosome:LupAngTanjil_v1.0:LG09:4104650:4118208:1 gene:TanjilG_21218 transcript:OIW05233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTEKVEIVKARTDKRDYKSIILPNSLQLLLIIDPDTDKCAASMNVGVGSFSDPSGLEGLAHFLEHMLFYKSEKYPVEDSYSKYITEHGGSTNAFTASEQTNYYFDVNKDGFEEALDRFAQFFTKPLMPPDATMREIKAVDSENQKNLLSDGWRMHQLQKHISAEDHPFHKFSTGNWDTLEVKPKAKGLDTREELLKFYKENYSANLMRLVVYTNESLDKIRNLVEEKFQDIRNTNRSSFHHPGQPCTSEHLQILVRTVPIKQGHKLRIVWPVTPEIHHYMEGPCRYLGHLIGHEGEGSLYSVLKTFGWATGLSAGESDWNLDFSFFKVTIDLTDAGHEHMQDIIGLLFKYIELLQKSGVCKWIFEEILIQCPVFMKLSAVCETKFHYQDKVPPISYVVNIASNMQQYPQKDWLTGSSLPSKFSPSVIQTVLDQLSPNNVRIFWESRNFEGHTDQVEPWYGTAYSIEKVTDSVIQGWVLSAPDENLHLPAPNIFIPTDLSLKTVQEKVKFPVLLSRSSYSALWYKPDTLFSIPKAYVKIDFHCPYAGNSPETEVLTHIFTELLMDYLNEYAYYAQVAGLYYHIDHSDGFQVTLLGYNHKLRILLETIVEKIATFRVKTDRFSVIKETVTKEYQNLKYQQPYQQAMHYCSLILQDQTRPWVEHLEVLPLLQAEDLAKFVPVLLSRTFFECYVAGNIESHEAESMVRHIEDVLFKSPKPLCQPLFSSQHLTNRVVKLESGINYFYPSEGLNSDDENSALVHYIQVGRDDFKLNVKLQLFALVAKQPAFHQLRSVEQLGYITALVQRNDCGIGGLQFIIQSTVKGPGDIGQRVEAFLKVFETKLREMTNDEFKSNVNALIDMKLEKHKNLREESSFFWREIDNGTLRFDRKDFEMMLYNFSYSIRPELN >OIW04633 pep chromosome:LupAngTanjil_v1.0:LG09:16409210:16413068:-1 gene:TanjilG_30531 transcript:OIW04633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARVKHTPASLGGPSRRIAKSTPAPAQRKQKNAAEGEPQTPATEGRKKRRNRPGSVALREIRHFQKTFNLLIPVAPFIRCVKQVTNELSREVSRWTPEAMVALQEAAEDLLVHLFEDGMLCALHAKRITLMKKDFELARRLGGIGRPW >OIW04397 pep chromosome:LupAngTanjil_v1.0:LG09:19568403:19570413:-1 gene:TanjilG_32589 transcript:OIW04397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMGSLIVMAIFIAYVLRPIMIWMIRQTPKGKPVKESYIVSVFFMLLGCAYIGESIGEHFMIGPILLGLAVPDGPPLASALVEKLETMVSSVFLPLYFLYSGSKFKVFLIDAPSFAVVQLVAFISLFGKVIGTMLPSIYCKMPVVDALCLGLILSARGITELLYFQACLHYLVMDGQSYGNIVIALLWMTGLTTPIVRYLYDPSKGYLSLNRRRNIQHASPGVELQLMACIYSEENSPSIINILEMSNSTHESPICFHVLHLIQLTGRATPLFIDHQLGDKITSDSSVHTTQSQHIVNAFRLYEEQNLGTVVVKIFTSISPYDTMHDEICMQAAEKRVSMLIVPFHRQWTINGVSESALPIRALNRHLLRTAPCSVGILIERGALNRSSAITCMSFYSVGVIFIEGTDDREALVYAMRMAERLNVRVTVVRLVEPRRMKNRVLMNRDSDANLIDKFKADYTHIKRHDYKEEIVRDSVEVINVVRSLEGCFDLILVGRRHASESSSLFNGLTEWNEYPELGCVGDMLVCSDSTFDGSVLVIQQQKLGAVHHVEYVDSSIINTKQEPFTVVEMPHGTKV >OIW05029 pep chromosome:LupAngTanjil_v1.0:LG09:7826148:7827243:1 gene:TanjilG_18628 transcript:OIW05029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSGSPCGACKFLRRKCVRGCVFAPYFCNEQGAAHFSAIHKVFGASNVSKLLQHLPVSDRCEAAVTISYEAQARVQDPIYGCVAHIFALQQQVVNLQAQLAYLREQSGQSCFNNLSSAENPNEKYFGKPTSSFPMDLQNWFQMENPNMGSQFLPNMYNNPTTTQHYGNTLNNPNPIGNYESSGTTLDESTSFSCFDDSCNSMSYDMQKQWSFHEVGHNLQ >OIW04924 pep chromosome:LupAngTanjil_v1.0:LG09:9208160:9211377:-1 gene:TanjilG_15669 transcript:OIW04924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADKYGPIFTIMLGLHRAVVLNNWKVAKECFTINDLAVSTRPRLVAVQQMSYNQAMFAFAPYSPYWREMRKIATLELLSNRRIELLSHVRVSEVETSIKELYKLWNDKRNHSDQVQVEMMQWFGELTLNVILRIIAGKRNYDNACEADQEEAQRWLKAMREFFHLMGLFVVGDSIPWLRWLDLGGHEKAMRANAKELDTILGEWLDEHRKKRASGETTVDQDFINIMLSVLDGIKIIEYNTDTIIKSTLLILVAAAIDTTTATLTWAICFLLNNPCVLKEAQNELEIQVGKERIVKESDISNLVYIQAIVKETLRLHPAAPLSGPREFTEDCIIDGYQIQKGTRLITNLWKIHTDPSIWSDPLEFKPERFLTTHKDVDVRGHDFELIPFGSGRRICPGISFALHTIHLALARFLQSFEISKTSDEPIDMTEIFGLTNMKATPLEVLIKPRLTSNLYG >OIW05333 pep chromosome:LupAngTanjil_v1.0:LG09:2444711:2447364:-1 gene:TanjilG_28798 transcript:OIW05333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFVKTLTGKTITLEVESSDTIDNVKTKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGTMIKVKTLTGKEIEIDIEPTDTIDRIKERVEEKEGIPPVQQRLIYAGKQLADDKTAKEYNIEGGSVLHLVLALRGGIY >OIW05104 pep chromosome:LupAngTanjil_v1.0:LG09:5579641:5582371:1 gene:TanjilG_02577 transcript:OIW05104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARMSLEPLVLGRVIGEVLESFTTTMEMTVTYNNKLVFNGHELLPSTVTNKPRVEIGGADFRSFFTLVMTDPDVPGPSDPYLREHLHWIVTDIPGTTDATFGKDLVSYEIPNPNIGIHRFVFVLFKQKRRQCVTQPSSREHFNTKNFALDNDLGLPVAAVYFNAQRETAARRHLLAGTYK >OIW05350 pep chromosome:LupAngTanjil_v1.0:LG09:2189946:2196033:1 gene:TanjilG_28815 transcript:OIW05350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQLQKTADEMNRKKHHGDSSTAELNKSNLVPIKGASSPSDARSCVSSIGDASGSVKEGDADHEYLSMDQSVPYTAGSYYGYYYPGYGGFYGDSDNQGYYVGADAVDLQYPVMQADNGSYVYLMPGFQTGYSSYFPLSPTGVDGQFVYPFGSIVQQPLDSPGYFPASLSYGDFMPSTYLWDSSITTQDGSHRNGYNELAGKPSARPNLSSRSHANNFLSKSAPPPNLSNSSEVKGSSTLLDVSSTHVKRNQPKQVNKAPVLHSDTMAKGCLPVTKFPAYNQGRSGFLYPNNLLNVKPQTKGWVSTDKLKLRSKVDDPLNEKNQVPRAANEKGPSISGFNTAGTLAVDESGTGSSKIRTDQYNLADFPTKYDHALFFIIKSYSEDDIHKSIKYNVWASTPNGNKRLDSAFQDAHKRMEEEGNKCPVFLFFSVNASGQFCGIAEMIGRVDFNKSMDFWQQDKWNGYFPVKWHIIKDVPNPRLRHIILENNDHKPVTNSRDTQEVSFPHGLEMLNIFKNYVARTSILDDFEFYESRQKHIDELSTALESVELSTTKNKEDPKLIEKAPVLHSDTMAKGCLPVTKFPAYNQGRSGFLYPNNLLNVKPQTKGWVSTDKLKLRSKVDDPLNEKNQVPRAANEKGPSISGFNTAGTLAVDESGTGSSKIRTDQYNLADFPTKYDHALFFIIKSYSEDDIHKSIKYNVWASTPNGNKRLDSAFQDAHKRMEEEGNKCPVFLFFSVNASGQFCGIAEMIGRVDFNKSMDFWQQDKWNGYFPVKWHIIKDVPNPRLRHIILENNDHKPVTNSRDTQEVSFPHGLEMLNIFKNYVARTSILDDFEFYESRQKHIDELSTALESVELSTTKNKEDPKLIEKVKD >OIW04159 pep chromosome:LupAngTanjil_v1.0:LG09:20954218:20956071:-1 gene:TanjilG_00719 transcript:OIW04159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMFEDMGFCGDFDMLCGGSLGEVDIAAKQTEPDAVVEDDYSDEELDVDELERRMWRDKMRLKRLKEQSKPKEGIDAAKQRQSQEQARRKKMSRAQDGILKYMLKMMEVCKAQGFVYGIIPEKGKPVTGASDNLREWWKDKVRFDRNGPAAISKYQADNAIPGKNDGCNSIGPTPHTLQELQDTTLGSLLSALMQHCDPPQRRFPLEKGVPPPWWPTGNEEWWPQIGLPKDQCPPPYKKPHDLKKSWKVGVLTAVIKHMSPDIAKIRKLVRQSKCLQDKMTAKESATWLAIINQEEALARELYPDYCPPLSSAGGSGSLVINDCSEFDVDGGEDEPSFDVEDRKPEILHPSNFAMDRMRGRLPMPVQSVQRPSLPIKGEVVTNLDFMRKRKVSSDFNMMDQKIYTCEHPQCPYSEVCLGFQDRSSRDNHQLNCPYRSNSSDYGAPNFHVNEVKPVIFTQSYVQPNTTTQPANLVSPSFDLTGLGVPEDGQKMISDLMSIYETNVGNKNGSSSNSGVAAANQNHPVPQPSIQQQQDNFFPGQGMVMGGNFFVREENQFDRFKAMNCPFETNHNNNNNNNIQFMFGSSCDLASYDFKEDIQGVGMDTVQKQPDISIWYQ >OIW05021 pep chromosome:LupAngTanjil_v1.0:LG09:8205030:8207671:-1 gene:TanjilG_06433 transcript:OIW05021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASVALRKSYTSKRLLLFYSSSYSKYVSSSNPNSITSWLTRSMATFTRTKPHVNVGTIGHVDHGKTTLTAAITKVLADEGKAKAIAFDEIDKAPEEKKRGITIATAHVEYETVKRHYAHVDCPGHADYVKNMITGAAQMDGGILVVSAPDGPMPQTKEHILLARQVGVPSLVCFLNKVDAVDDPELLELVEMELRELLSFYKFPGDEIPIIRGSALSALQGTNEEIGKKAILKLMDAVDEYIPDPVRQLDKPFLMPIEDVFSIQGRGTVATGRVEQGIIKVGDEVEVLGLMQGGPLKTTVTGVEMFKKILDQGQAGDNVGLLLRGLKREDIQRGQVIAKPGSVKTSKKFEAEIYVLTKDEGGRHTAFFSNYKPQFYLRTADITGKVELPENVKMVMPGDNVTAVFELISPVPLEAGQRFALREGGRTVGAGVVSKVLS >OIW04524 pep chromosome:LupAngTanjil_v1.0:LG09:17772214:17779668:-1 gene:TanjilG_13906 transcript:OIW04524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQFSSSIAFGLNLSKRIYYEKGSAPTPAPAMSRSPEEYLPTAPMCYAVITDPETVENPDIRSYQPYVLGRCEPPALIPLQLHGVAMEVECCLDTAFVTVMGTWRVHCVTGSSKCDCQVAIPMGEQGSLLGLEVVDAEKSYHTELLSLKDEKDKDKVAKAKDGYFLKSQIYTIKIPQLRGGSIFSIKIRWSQKILFHNGQFSLSVPFSFPSYVTPVGKRISKKEKIILEVNSGAATEVLCKTTSHPLKGLVRQAGKLSLSYEAEVPAWSSTDFSFSYTVSSTDIFGGVLLQSPYLRDFDDREMFCLYLYPGNDEDRKVFRKDVVFVVDISASMKGSPLENIKNALLTSISQLNSQDTFNIIAFNGEVNLWSQSIELATEQAILKASKWVDATFIANGGTNIFLPLTKAMKLFQKSMESIPLIFLITDGAVEDEREICNFVKSCVTSGQSVRTPRICTLGIGLHCNHYFLQMLAQIGRGHYDAAHDLDSIDFRMQRLFITASSVIASDITMETIEDLDSQVLFPSHIPDLSLGSLLIISGRYSGTFPESVKVTGTLADMTNFVVDLKVKREKDTQLSNVLSKRHIDFVTADAWLLGSEELEEKVIELSTQNKVTSEYTCMVLVEKDEGKKAPQPEPFLIQKVYSRFSLQRFDLNGQKLFLGGMGLGFGDMKATAENIPPAIKEAKPSEGLLQKAASTCCGRLANNCCGMCLLQTCAFMNDQCTIVCTQICAALACFELIKCCIELCECDCFE >OIW05361 pep chromosome:LupAngTanjil_v1.0:LG09:2015057:2015839:1 gene:TanjilG_28826 transcript:OIW05361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTAEAELITYWCHECDMSVALTPSQSSSPLLCPHCLTQSLELMDSPFHQNDAASPLSIFDSPFLHSLVFTTNPNDAVSGNDVVVQDPLALLYPTTLIASKPRASETVPVIVVTESLLLNLDPNGVVLCAVCKDDIAVNDKAMILPCNHLYHSDCITPWLLNHDSCPLCRFRVVDGEEKGEEGGGGDGDRTREIRRQLTVAMARLLELMEEEEEEDLYGLRTTLNHIASRNGILHEDSGGSGVSESVIVSIGGGGDEQLP >OIW04714 pep chromosome:LupAngTanjil_v1.0:LG09:14545519:14547027:-1 gene:TanjilG_06706 transcript:OIW04714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEERKFVCKYCSKRFPCGKSLGGHIRIHMNEHSVQANEERNNAAMLKFDAMRKKKRDSLGYGDGDDGDGADGNLTYGLRENPKKTMRFVHSNGTNNVQQQLDKFCKECGKGFPSLKALCGHMACHSEKEKQKLVLDSESDTETNSAPRRSKRMRFKDNSNNNNNNNHLSSSLANGSSPVSEVEQEQEEVARCLMMLSKDTRYYSGRFGLFAESSDNNSIVVEAKSPSPNTKFTIKNGKNSVPNAYEFVDKKLQNGKKLKSAEIGSDYDNSDSGYFSYGPKKIDSADSNGGFFRTQVQSSKVVDMAGFEDYDVELSKEFSRGRSISTEFKKLVHEDLENGREDGATMRSDSKKRLIYDSLGSKNVANGFNNDEMYKHGRKGLKYESLNTEIQNVYEDDSAYESDENSSDSDSYTAAKSHKIKALNGKKSSKGKNKKKKLKSKKIKEHECPICNRIFKSGQALGGHKRSHFVGGSEENTLVIRPAGAAPAPCLIDLNLPAPVDE >OIW05370 pep chromosome:LupAngTanjil_v1.0:LG09:1901893:1914298:-1 gene:TanjilG_28835 transcript:OIW05370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKVYGTGTYDFRRHRVAEYPLESKAVELSQKPGGGGGISSTITLSEIQRDRITKIAEANWLKTGDAAAEAKKNPFDAELVRKIYETELVVKEGQKPVPLQRVMILEVSQYLENYLWPNFDPQRATFEHVMSIILMVNEKFRENVAAWGCFYDRKDVFKGFLERVLRLKEGRELSIAEKTNYLVFMINAFQSLEDEVVSMTVLRLASLKSWYSLSYGRFQMELCLNPGLIKKWKRMVRKESVKGGQQLDPSTTPEVMFLRNLIEEFLEVVFPRRQLSGEDDELIDASGLGLANDACILYCERFMEFLIDLLSQLPTRRYLRPLVADVAVVAKCHLSTLYRHEKGKLFAQLVDLLQFYEGFEINDHTGTQLTDHEVLEFHYSRLQSFQLHAFKKMDKLRELALTNIGSIHKRADLSKKLSVLSPEDLRDLVCCKLKLVSMEDPWSERVDFLIEVMVSFFEKQQSQKEAINALPLYPNEQIMWDESVVPSINYSGEGCLALPKLNLQFLTLHDYLLRNFNLFRLESTYEIREDIQEAIPHLLAYINNDGETAFRGWSRMGVPIKEFKISEVKQPNIGEVKPSSVTAEVTFSISSYRAQIRSEWNALKEHDVLFLLSIRPSFEPLSAEEEGKASVPQKLGLQYVRGCEIIEIRDEEGTLMNDFSGKIKRDEWKPPKGDLRTITVALDTAQYHMDVTNIAEKGGEDVYGTFNVLMRRKPKENNFKAILESIRDLMNEYCIVPKWLENIFLGYGDPSAAQWTNMPDLLETVDFKDTFIDADHLKGSFVDYEVSFVNPDGTENLNPRPPFKIKLPRMLKGSGGALNGSAVSTAGAVNGIGMVDGNNQKERLIIETYTPPDPGPYPQDQPKQNSVRFTSTQVEAIISGIQPGLTMVVGPPGTGKTDTAVQILNVLYHNCPSQRTLIITHSNQALNDLFEKIMQRDVPARYLLRLGQGEQELATDLDFSRQGRVNAMLVRRLELLSEVARLAMSLQLPEDVGYTCETAGYFWLLHVYSRWEQFLAACAGNKDKPTFVRDRFPFKEFFSDTPHLVFTGESFEKDMRAAMGCFCHLKTMFQELEECRAFELLKSTADRANYLMTKQAKIVAMTCTHAALKRKDFLQLGFKYDNLLMEESAQILEIETFIPMLLQRQEDGHARLKRCILIGDHHQLPPVVKNMAFQKYSHMDQSLFTRFVRLGIPYIELNAQGRARPSIAKLYNWRYRNLGDLPYVKEASVFHKANAGFAYDYQLVDVPDYLGKGETTPSPWFYQNEGEAEYIVSVYIYMRLLGYPANKISILTTYNGQKLLIRDIINRRCVPYDFIGPPSKVATVDKFQGQQNDFILLSLVRTRFVGHLRDVRRLVVAMSRARLGLYVFCRRSLFEQCYELQPTFQLLLQRPDHLALNMSEITSYTERGVEDAEPRHHIHLVSGIEEMSSIIDRLYQEKSRHQSVQNGSYFSHSAPSNTDVVQSRQQTVANGMPEEADDMDIPDESEDAEKVDNHIAGDLPPESNIEDVTMVDSSASVPNGSPTP >OIW04857 pep chromosome:LupAngTanjil_v1.0:LG09:11078356:11081807:-1 gene:TanjilG_13697 transcript:OIW04857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFSDAASVFMDVPITASVFGIRLRILITVILISFIVLVLFLITFCFICIRNRNRNNVPSGSTEIEELGLETGSKVSHVGLGRWYTLRELQVATDWLSESNVIGKGGYGIVYRGILPDGTKVAVKNLFNKPEAERDFKAEVEVIGRVRHKNLVRMLVYEYVDNGSLDQWLHENVASISPLTWDIRMNIMLGTAKGLAYLHEGLEQKVIHRDVKSSNVLLDSEWNAKVSDFGLVKLMCSDHSYVATRVLGTFGYVAPEYASTGMLNEKSDVYSFGMLIMEIITGKSPVDYSRPKRQRGRVDVEYSSSDVDSEQEHGDSSLDKKPISGEITDQREDNSDSNYQQKHKDSSLDKKLKGKEIIDQKKDNSDGDYQQERKDSSLYKKPKGGEITDQKKDNSDDNYQQEHKDSSLHKKPIGREITDQRKHDSGGDYQKGHKDSSLYKKSIGA >OIW04712 pep chromosome:LupAngTanjil_v1.0:LG09:14678923:14679480:1 gene:TanjilG_07837 transcript:OIW04712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFKSLFNNNDASTSSFRSTSLSVRSRAHLAAELEQVFKKFDVNGDGKISASELGSIMGSLGQNPTEQELDKLILEVDGDGDGCINLQEFIELNTKGVDSDEVLENLKGAFSVFDIDGNGSITADELNTVMRSLGEECSVAECRRMIAGVDSDGDGMIDFEEFKVMMMMGSRHDTTDRVKPQPEM >OIW05390 pep chromosome:LupAngTanjil_v1.0:LG09:1670905:1673547:1 gene:TanjilG_28855 transcript:OIW05390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTVETLSPKAPSGCSSPLPENDNNELKEALCALKDGAPESVVGDVVEVVKSRVFETKDSVRSDSAGREVEDDCEGLADSEMNGVSSLLKMRGSGGRSGTFSYGIENGSFGVGVEGERKDLKKSEDEDNKYGKIVTIDVPIVETSDNKDLEMGDVGGDRNEFSVGDFVWGKIKNHPWWPGRIYDHSDASDFALKLRQKNRLLVAYFGDETFAWCHPSQLKPFEENFEDMVMQSSSRVFVNAVQEAVNEVGRLLDLKLSYSYSAKQTQSEFALPAAKNSGIKEGHLVPENGIEKLLNVLIDPRELLSRVKQTAEVVAVTSILELEVLKARLSAVLLSRGGYKLTNYEEPEPILGLEDGSTDETTDIGNGKGTVEAPVHGPFEEEYTLPVSPKSGEPSHSQGISGNISNHRRKQKSIAEIMGENKDVSTKNKEEGATEMVMVKKKRKGSEDAMPSKPVQRRKSFTSTENYGSGGKENSDQITLSQLKEKKDAFGNENEGNVKEQYEKGYLSRERKKSKYLSPPFTTPPTRGQRKGDRETESLKVSSKARPSEPVTRASEQENFSNKLVIELDLPDSSNYQTPDGEENNVINPLKIQASSGEVLSEVRNAAISPQIPRDVNSLEELVDFISVYRSSLYSHGSSYKVYNKHHPGRKRKKPESDVEMLRKDLNQTDHISPNNDSEPRKRRRKETTSGKPEEQSGKPKSGKKMTNENSPAAAALLISFGPGSSVPSKHDLVTVYSKYGALNESETGLSDNYTAYVSFLKASDAENALNHSQNMSPFGTSEVCFGLQYLSAKSESGEHVKKSKSKSKSKAASSAKSPASLLTGNEASKLKYIKLKLLGMTSILDALDGKSPDMKTKLESEMKQLLEDVNKMVESSSS >OIW04303 pep chromosome:LupAngTanjil_v1.0:LG09:18671010:18671788:-1 gene:TanjilG_32495 transcript:OIW04303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSKETNTTRQSNTVCCMCGDPGFSDQLFQCKICHFRSQHRYCSNIYPKLDSLGTCNWCLNQKESEKSPNTSNSSSPYSNNDEDDENNKNKKIRKGLRGSTSPLQLQLQKKPIKKLHKSPEARSPSSQPVLISTRKRVVTNGVSEEKMRSTKSEDIANRNGGATKQVFRNKVRRYKLLDEVSS >OIW05322 pep chromosome:LupAngTanjil_v1.0:LG09:2637588:2639486:1 gene:TanjilG_28787 transcript:OIW05322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPKSSFTSYPHSGGGSQHASPNQSAGHLNIPHRAGRSQVPFSSPNHSHLSNPQIQFTGLNHGSPLGGNMHQFASGSPVNSRMPNQWVNQEELYPGGLPNTMNNLLLQQLPLHNGSIPPHLVTQLQQSQQRLHPPPPQPSPGYLKGLQSHQFNPNISSGLLMINNYDQMLELMELRDQIPKAAQTGRQNLHFQPQGFNTSSQRSNSGWPRFRSKCMMTEEIENILKVQLAATHSTDPYVDDYYHQARLAKKSSGAKLKHRFCPNQIREHPPGASANNEPHAFLQVDALGRVPFSSIRRPRPLLEVDPPNSSPAGNIGQNIPEKPLEQEPMLAARITIEDGLCSLLDVDDIDRFLRFNQLQDGGIHLKQKRQGLLEGLAASLQLVDPLGKSGQSVGLDAKGDPVFLNIVSLPKGLKLLAKYLQLLFPGGDLMRIVCMAIFRHLRFIFGGLPSDPVGAETVSNLARVVSKCIHEMDLGALSVCLAAVVCSSEQPPLRPLGSSAGDGASLVLVSVLGRATELLTDPHAASNYNIANRSLWQASFDSFFGLLTKYCVNKYDSIVQSLLIQGTPNISVIGSNAAKAISREMPVELLRTSLPHTDDSQKKQLLDFAQRSMPVVGFNSNSGGNGGHVNS >OIW05472 pep chromosome:LupAngTanjil_v1.0:LG09:473960:476965:1 gene:TanjilG_12063 transcript:OIW05472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKVGRIKLGSQGLEVSSQGLGCMGMSAFYGPPKPDSDMISLIHHAIQSGVTLLDTSDIYGPHTNELLIGKALQGGVREKVELATKYGVNFNIAEGTREVRGDPAYIRAACEASLKRLNIDHIDLYYQHRIDTRVPIEVTIGELKKLVEEGKIKYIGLSEASASTIRRAHAVHPITAIQLEWSLWSRDVEEEIIPTCRELGIGIVAYSPLGRGFLSSGTKLVETLSDDDFRKNLPRFLPENLEHNKTIFEKVNEIAAKKGCTPSQLALAWVHHQGDDVCPIPGTTKIENFNQNIGALSVKLTPEELAELESFAAKGDRYVSNMATWKHDDTPPLSSWKV >OIW04948 pep chromosome:LupAngTanjil_v1.0:LG09:8853661:8856492:1 gene:TanjilG_01144 transcript:OIW04948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTEDVFIGAIDQGTSSTRFIIYDGSAKPIGSHQVEFTQFYPQAGWVEHDPMEIVESVKVCVAKAMDKATADGFNVDKNLKAIGLTNQRETTLVWSKSTGLPLYNAIVWMDARTTAICSRLEKELSGGKSHFVESCGLPISSYFSALKLLWLIENVDAVREAVEEKDALFGTIDTWLIWQLTGGLKGGLHVTDVSNASRTLLMNLKTLDWDESTLQELGIPAEILPKIVSNAEIIGNVAAGWPFAGVPIAGCLGDQHAAMLGQACRRGEAKSTYGTGAFILMNTGDEIVKSTHGLLTTVAFKLGKEAKTTYALEGSVAIAGAAVQWLRDSVGMISNSKEIEELASQVESSQGVYFVPAFNGLFAPYWREDARGVCIGITRFTTKAHIARAVLESIAFQVKDVLDAMHKDAGKVESNEFLLRVDGGATVNNLLMQIQADLTGNPVIRPADIETTARGAAFAAGLAIGVFKEDYIFDSTEKMEDAIVFRPVMTEEVRTKKVESWSKAVTRSYDLADLAL >OIW04765 pep chromosome:LupAngTanjil_v1.0:LG09:14250315:14250989:1 gene:TanjilG_08648 transcript:OIW04765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVPEVSEISSLFERLVRNRDMSMFLPFILGFSGRNNEDPDHETEDNERSQSQRIILVNPFTQGMVVIDGASSLENLFHELGSSKIGHPPASKESVEAMPSVEIEESDDLGECVVCLEDFEVGGVAKVMPCNHKFHSNCIEKWLGIHGNCPVCRYEMPVEEKDGGRKSDDEGGERRRVGGGEIWISFSINRGSRRSNDANEANSGDSSYNSSSPSDSAEVEDQ >OIW04964 pep chromosome:LupAngTanjil_v1.0:LG09:8690103:8690891:1 gene:TanjilG_01160 transcript:OIW04964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGQTQRLNVVPTVTVLGMVKARLVGATRGHALLKKKSDALTVQFRQILKKIVTTKESMGDIMKTSSFALTEAKYVAGDNIKHVVLENVREASLRVRSRQENVAGVKLPKFEYTSDGEASKNDLTGLARGGQQVQQCRLANIKAIEVLVELASLQTSFLTLDDAIKTTNRRVNALENVVKPRLENTISYIKGELDELEREDFFRLKKIQGFKKREIEKQMQNAKLFAEDQVAEKLSLQKGISVNAAHNLLSATTERDEDIIF >OIW04548 pep chromosome:LupAngTanjil_v1.0:LG09:17576994:17578926:-1 gene:TanjilG_13930 transcript:OIW04548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLPTHQFFQPPPLFTPHGESTIESNMNLYGKNKNNPFEDDFPDPLCKLNLKETSEFVKSFPIPNANAETNNNNTVTQQHRRLEAPSTPGRPVFSFTNVGLGRNLSRKNFPSKWDDAEKWLMSTTTSSFCHDSPAHVNLSLSESSKNSRSSSRQCDNFKQQVENKVIVNGERVSKTVPSFQRSASLDHYNPFGAFNGVVSASSTDHMLLKDKFTDTIEPILPNSRYLEPTKEVFLFRNPAREAMKDACTEVIHDLQHKDAGTEMTPLGSSTNSRCHTPIKSSSPARHNTPASMSGLLALPNPNGTSCTLDLIQLEECHFSKLKLGAQYDFVTSNWSTSDEDEEEISKSLRHNSSQKADSECRAATWEEEEKNKCCLRYQREEAKIQAWVNLQNAKAEAHSRKLEVFHFKSFFS >OIW04339 pep chromosome:LupAngTanjil_v1.0:LG09:19090536:19095660:1 gene:TanjilG_32531 transcript:OIW04339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGVKIWFRSSQAMLSMLLVQLFATGMQLLSRVILVEGTFIFALNAYRHIVAALCVAPFALYFERGCAKKFTWSIWVWIFISALVGMTMAQELFYYGLRDTSATYSANFLNLIPICTFIVSIICRMEKLGLQTWGGKAKSIGAILCVGGALATSIYKGKEFYIGHTSHHTQVIVVAHKTHKLRGTFFLVGSCFSYTAWFILQVKLLKVFPFRYWGTMLACIMAAIQSSIIGMCIDSNEASWRLEWNLELITVVYSGALATAATFCLLSWVITIKGPTYPPMFNPLALVFVALSEAFILGESLKVGTLLGMVLIIMGLYSFLWGKKKEAQLLPQPNVAAGEVASLATEPGGVQSIAIVPSSSPKDSVVLEIEKT >OIW04262 pep chromosome:LupAngTanjil_v1.0:LG09:21611181:21611738:1 gene:TanjilG_00822 transcript:OIW04262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSDNDSGGQNVGNAQGELSLREQDRFLPIANVSRIMKKALPANAKISKDAKETVQECVSEFISFITGEASDKCQREKRKTINGDDLLWAMTTLGFEEYVEPLKVYLQRFREMEGEKTVAARDKEGSVGSAVNSGYDYGAANAMEMMMHHQGHVYGSGGSGSVVMGNKGGPSYPASGSNAGRPR >OIW05000 pep chromosome:LupAngTanjil_v1.0:LG09:8104628:8108917:-1 gene:TanjilG_06412 transcript:OIW05000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTFFTADSCKESNPSALNPQSWLQIERGKLPKLSSQSSSASIESLIKVAQPPILPFFKPIDYVEVLAQIHEELESCVPQERSNLLLLQYQVFRGLGEVKLMQRSLRAAWQRADTVHEKIIFGAWLKYEKQGEELIAELLRSCGKCAQEFEPIDVASNLSFGVNLSSQERILINGSHISQDVIFTIGNEKIICDRQKISELSEPFHAMLKGYFSESHSESIDLSENNISPSGMRAISYFSLTGSLIEVPPNLLLEILVFANKYCCERLKDACDRRLASLVSSKEDALELMEYALDENSFVLAATCLQVLLRDLPNCLNDNRVVEIFIHTNRQQLEVMVGPNSFSLFCFLSEVSMNLNSSSDTTAHFLERLVDFAENDKQRLLAFHQLGCVRLLRKEYDEARCLFKDAVNAGHVYSVAGLARLDYIKGEKLLPYEKLSSVISSATPLGWMYQERSLYCDDETRWKDLEKAIDLDPTLIYPYMYRAASFIKTHDAQDALAEINRILGFKLSLECLELRFLIYLTLEDYKAALYDVQTILTLCPDYRVFEGRVAASQLCTLVREHVEHWTTADCWARLYDCWSAVDDIGSLSVIYQMLESDAAKGVLYFRQSLLLLRLSCPEAAMRSLQLARQHASTEHERLVYEGWILYDTGYYDEGLRKAEESISMKRSFEAFFLKAYALADSSLDSSCSSTVIMLLEDALKCPSDNLRKGQALNNLGSVLVDCGKLDSAANCYINALNIQHTRAHQGLARVHCLKNDKVTAYKEMTELIQKAKNNASAYEKRSEYCDRELAKADLEMVTRLDPLRVYPYRYRAAVLMDNHKEEEAIAELSKAIAFKADLHLLHLRAAFHEHKGDVLGALRDCRAALSVDPNHQEMLELHSRVNSHEP >OIW04825 pep chromosome:LupAngTanjil_v1.0:LG09:12060347:12072068:1 gene:TanjilG_13673 transcript:OIW04825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIRFPFSPAEVAKVRRVQFGILSPDEIRQMSVVQIEHGETTERGKPKIAGLSDPRLGTIDRKMKCETCTASMAECPGHFGHLELAKPMFHIGFLKTVLNIMRCVCFNCSKILADENDHKFKQALRTRNPKNRLKKILDACKNKNKCEGGDEIDIAGGQDTEEAIKKSRGGCGAQQPRISIDGMKMIAEYKAQRKKSDDQEQLPEPVERKQTLSAERVLSVLKRISDEDCQLLGLDPKYARPDWMILQVLPIPPPPDDLTHQLAMIIRHNENLKKQERNGSPAHIISEFAQLLQFHIATYFDNELPGLPRATQRSGRPIKSICSRLKAKEGRIRGNLMGKRVDFSARTVITPDPTINIDQLGVPWSIALNLTYPETVTPYNIERLKELVEYGPHPPPGKTGAKYIIRDDGQRLDLRYLKKSSDHHLELGYKVERHLNDGDFVLFNRQPSLHKMSIMGHRIKIMPYSTFRLNLSVTSPYNADFDGDEMNMHVPQSFETRAEVLELMMVPKCIVSPQSNRPVMGIVQDSLLGCRKITKRDTFITKDVFMNILMWWEDFDGKVPAPAILKPEPLWTGKQVFNLIIPKAINLIRYSSWHNESERGSITPGDTMVRIEKGELLTGTLCKKTLGASSGSLIHVIWEEVGPDAARKFLGHTQWLVNYWLLQQAFSMGIGDTIADASTMEVINQTISQAKEKVKQLIRDAQEKKLEAEPGRTMMDSFENRVNQTLNRARDDAGNSAQKSLSESNNLKAMVTAGSKGSFINISQMTACVGQQNVEGKRIPYGFVDRTLPHFTKDDYGPESRGFVENSYLRGLTPQEFFFHAMGGREGLIDTAVKTSETGYIQRRLVKAMEDIMCKYDGTVRNSLGDVIQFLYGEDGMDAVWIETQKLDSLKMKKTEFDRVFRHNFDDENWKPNYMLEESVEDLKSFKEFRSVFEAELHKLETDRFQLATEIATTGDSSLPLPVNLKRLIWNAQKTFKVDFRRTSDIHPMEIVDAIDKLQERLKVVPGDDLLSQEAQKNATLLFNILLRSTFASKRVLEEYRLSREAFEWVVGEIESRFLQSQVASGEMIGCVAAQSIGEPATQMTLNTFHYAGVSAKNVTLGVPRLREIINVAKRIKTPSLSVFLKPEVGKTKERAKNVQCALEYTTLRSVTQATEVWYDPDPMGTIIEEDVDFVKSYYEMPDEEVALDKISPWLLRIELNREMMVDKKLSMADIAEKINLEFDDDLTCIFNDDNAEKLILRIRIMNDEAPKGEIQDESAEDDVFLKKIEGNMLTEMTLRGIPDINKVFIKNTKVQKFDENEGFKPHDEWMLDTEGVNLLAVMCHDEVDATRTTSNHLIEVIEVLGIEAVRRALLDELRVVISFDGSYVNYRHLAILCDTMTYRGHLMAITRHGFNRNDTGPMMRCSFEETVDILVDAAVYAETDYLRGVTENIMLGQLAPIGTGECALYLNDEMLKNAIELQLPSYMEGLDFGMTPARSPISGTPYHEGLMSPSYLLSPNLRLSPTNDAQFSPYVGRIPFSPTSSPGYSPSSPGYSPSSPGYSPTSPGYSPTSPGYLKKSSDHHLELGYKVERHLNDGDFVLFNRQPSLHKMSIMGHRIKIMPYSTFRLNLSVTSPYNADFDGDEMNMHVPQSFETRAEVLELMMVPKCIVSPQSNRPVMGIVQDSLLGCRKITKRDTFITKDVFMNILMWWEDFDGKVPAPAILKPEPLWTGKQVFNLIIPKAINLIRYSSWHNESERGSITPGDTMVRIEKGELLTGTLCKKTLGASSGSLIHVIWEEVGPDAARKFLGHTQWLVNYWLLQQAFSMGIGDTIADASTMEVINQTISQAKEKVKQLIRDAQEKKLEAEPGRTMMDSFENRVNQTLNRARDDAGNSAQKSLSESNNLKAMVTAGSKGSFINISQMTACVGQQNVEGKRIPYGFVDRTLPHFTKDDYGPESRGFVENSYLRGLTPQEFFFHAMGGREGLIDTAVKTSETGYIQRRLVKAMEDIMCKYDGTVRNSLGDVIQFLYGEDGMDAVWIETQKLDSLKMKKTEFDRVFRHNFDDENWKPNYMLEESVEDLKSFKEFRSVFEAELHKLETDRFQLATEIATTGDSSLPLPVNLKRLIWNAQKTFKVDFRRTSDIHPMEIVDAIDKLQERLKVVPGDDLLSQEAQKNATLLFNILLRSTFASKRVLEEYRLSREAFEWVVGEIESRFLQSQVASGEMIGCVAAQSIGEPATQMTLNTFHYAGVSAKNVTLGVPRLREIINVAKRIKTPSLSVFLKPEVGKTKERAKNVQCALEYTTLRSVTQATEVWYDPDPMGTIIEEDVDFVKSYYEMPDEEVALDKISPWLLRIELNREMMVDKKLSMADIAEKINLEFDDDLTCIFNDDNAEKLILRIRIMNDEAPKGEIQDESAEDDVFLKKIEGNMLTEMTLRGIPDINKVFIKNTKVQKFDENEGFKPHDEWMLDTEGVNLLAVMCHDEVDATRTTSNHLIEVIEVLGIEAVRRALLDELRVVISFDGSYVNYRHLAILCDTMTYRGHLMAITRHGFNRNDTGPMMRCSFEETVDILVDAAVYAETDYLRGVTENIMLGQLAPIGTGECALYLNDEMLKNAIELQLPSYMEGLDFGMTPARSPISGTPYHEGLMSPSYLLSPNLRLSPTNDAQFSPYVGRIPFSPTSSPGYSPSSPGYSPSSPGYSPTSPGYSPTSPGYSPTSPAYSPSSPGYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPAYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPAYSPTSPGYSPTSPSYSPTSPSYSPTSPSFNPQSAKYSPSLAYSPSSPILSPSPYSPTSPNYSPTSPSYSPTSPSYSPSSPTFSPSSPYTSGASPDYSPTSPNFSPSTGGYSPSQPGYSPSSTSQYTPQTNGKDDKSTK >OIW05122 pep chromosome:LupAngTanjil_v1.0:LG09:5773229:5778928:1 gene:TanjilG_02595 transcript:OIW05122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSGHLLIEEPIRMASILESSKPIVGTLGPKSRSLEVISECLNAGMSVARFDFSWGDPDYHQETLENLKAAIKNTRKLCAVMLDTVGAEMQVVNKTETPISLQEDAEVVLTPHEGQEASSETLPINFDGLAKSVKKGDTIFVGQYLFTGSETTSVWLEVANVKGKDVVCTIKNSATLAGSMFTLHASQIHIDLPTLSEKDKEVISTWGVKNKIDFLSLSYTRHAEDVRQAREFLSKLGDLSQTQILAKIENVEGLTHFDEILQEADGIILSRGNLGIDLPPEKVFLFQKSALYKCNMAGKPAVVTRVVDSMTDNLRPTRAEATDVANAVLDGSDAILLGAETLRGLYPVETISTVGKICSECSITYVNPVSEYAFFQAEKVFNQDLYFKKTVKHVGEPMTHLESIASTAVRAGIKVKASAIICFTSSGRAARLIAKYRPTMPVLSVVIPRIVTNQLKWSFIGAFEARQSLIVRGLFPMLADPRHPAESTSATNESILKVALDHGKSKGVIKSHDRVVVCQKVGDASVVKIIELED >OIW04643 pep chromosome:LupAngTanjil_v1.0:LG09:15321597:15327867:1 gene:TanjilG_07778 transcript:OIW04643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVPVKSSLLSGLRQSTLIQLPPSRRMILGGGDLYFSRLPSFELQKNCYEINCSFNERTVCNTSRRILKGRHLVAASRKGREACHSFDSDDVESILSLLSEEADKDACDIKLKNVSSSKRMEAKKKRNNVSKERKLSLVKKVETEKKGFLKQHEVATTDLRREDEKSNKEKEAFTKSENHRKQKDVSSSSFYSHSSGVFVSDLEVQDKHDLEELSVGYEKDAVKHVEVKGTGELNRQRDDSKKLHGVSNQERTAFGADINWNLRKKSEKKLTNVTMQETISTKEHQDKHSTAVRTHEPSHGKASISEKQVRSEEDNSSFLKDLDRRMEKAYIKAGKIRKHQSTDTQKADSEVESTLSSQKRQSGREGNLEISETLLQERSDEHKKFVGSTSTKGNETLKSKMFSGREENLEISETRLRETQDERRKFGGSTSPTRKDVINRNSRKYMGESKVEDTERTLNTRMNNLGEKKISILSSGQGIEEQKHQKGKKFGYEVESTLSSQKTQSGREGNLEISETLLQERRDEHKKFVGSTSTTGNETLKSKKAFSGREESLETSETLLRETRDECRKFGGSTSTTGKDVINRNSQKYMGESKVEDTERTLNTRMKNLGAKKISILSSVQGIEEQKHQNGEKTITQAKERRKFQQFSEVSQVHESKVEDTSTVKSRTRINDWEGNSNLSTDTRGTRRQTDKMTNQSIQHGKGSEHVITLSEGYASDEKQVSTSQGSFGKVRFIPKSKSTKVVKTRESSRQTDERIANFDLHTEDQRPRNLSISDETASREEARFHGSQDLVSEAGKHVKFAEGGEQSSPLMSFRSSFGLMGRDTKHIELTAGVASTGIIVESSDRGSSTLYDNSGRSSVLLSGSYSTDGTDQAYSKPSNIIALEGATGSADRLQKSPKQFVGEHVERIRHEVTTSEMEEMEVTGTKLAIEDEGNQIDSSRRQGPQNDSQPKEHGSNRSSGVHGTEGPSVEMLDANEPSTKQSLVAGEPKISKDTEKTIVSRTGRSMWSMFGDLVRLGWGTPAGSSTSAGRSSESKLSNKSGSETRFSGQEHEETSKSNVIKETGVLPQIISSDRSKVSTPYTQSVGEVSDTKKQKDKGKHLEVGSSSPSTFGSGSTSVGASYVSGEANANWSDDGKELKVTTSGIKNVELPVLLPARGPPVVGEIVNIGGSDMPGTESVVPIKEPVAPVQSVSSGLGKKNGELMQRKFQQSKQVLRDRFDDWEEAYQLEFEQRRMDEMFMKEALLEAKKAADAWEVPVGAVLVQDGKIIARGSNLVEELRDSTAHAEMICIREASNLLRTWRLSDSILYVTLEPCPMCAGAILQARIDTVVWGAPNKLLGADGSWIRLFPDGGESSSEPRDIPPAPVHPFHPKIKIRRGVLAAECADVMQQFFQLRRRKKKEESSNDPSSLAVTHHHPSKFIDKINDIFHVMFCL >OIW04759 pep chromosome:LupAngTanjil_v1.0:LG09:14172471:14183506:-1 gene:TanjilG_08642 transcript:OIW04759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSMLKLQNRSSNPRPNLFARNPKPSTTTFKYNCTIFFSLLFTLLLFLSYSFFFSSFKSNNSNSNFQNHQRYRIIIDGGSTGSRIHVFKYRVGSFDFGKDGLASMRVNPGLSSFAEDTDGAGQSLEELVEFGKGRVPRENWRETEIRLMATAGLRMLDVGVQGKILESCRKVLRESGFLFRDDWASVITGSDEGIYAWVVANHALGTLGSFPLDTTGIIELGGASAQVTFVSREPIPSGFSRAVKFGNTTYNLYSHSLLHFGLVILISLCCVLENVAHDSWREALLSGDFNLASQSLQAGLHIDPCTPTGYSHNVESWKFPPSLPSHKSQHKYTVQTRGNFSECRSAALMLLQKGKEECSYQHCDIGSTFIPKLQGKFLATENFFYTAKFFGLGPRAYLSELMTAGQKFCGEDWLRLKKKYNSHDEDELLQYCFSSAYIVALLHDSLGIAVNDERVKVANQVGNIPLDWALGAFILQTTADADVQKHGWIASIFSVESPTLVRLIGILIILLFMAWSISKWRKPQLKTIYDLEKGRYIVTRAVECRKSVMPLPLLAIENSRQVEQAMLNVDIVSNKKRKRCGRIFRFKNFGEDGYPVMFTGAFRENVNALLEYANLESNVGIGMPMWSFQLEVHHQPPLHILMFVIEEPIEASLNRHCKHCQYVGWGNNFICNKKYHFLLPSKEALATCTSCEGCCNDSLTRMNNGKSKLIEFQGHMMHGVFHSNGFGHLLCVNGLEMGSNLAGNQIMDFWNRLCYALQARKVSLNDISHKRGMELRLMNGIAYGEPWFGRWGYKFGRGCFGVTQSMYQKAIDAIRSMPLYLFIHHISNNSNHDIPLICSRYQTLSDQSLVTLGDLFRYMLELRSRIPCETNIGSYNTNALVETNCRWSPKRIEMATRVIVEALKRTEFRWISRQEVRDAARVYIGDTGLLDFVLKSLGNHIVGNYLVRRSLNPVTKVLEYCLEDISNVYPCHEGLVSNNKVKDKYKVTRAQLMKDMVYLYKYIIDQKPMIGSGFLSAISLASRIILDTKYLIKDYIGEVPFQVELASDGKFNLYCTIFLRNNVGSNEYFNNIMPPYECVTLKSSATINDLKLEVEKNFKEIYWGLSSFVVESIGNSNYANGSEMVFGLVEVGAKIVLECNWHGDMIINMIEKICESDPNNGIVECTCGTKEDDGERLVSCDICEIWQHTRCARIPNDEEVPHIFLCKRCEQEIVQFPSFP >OIW04729 pep chromosome:LupAngTanjil_v1.0:LG09:13471808:13473488:1 gene:TanjilG_08612 transcript:OIW04729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIIEGFMVTLERNKPYVAMIFIQFVYSGMALLSKAAISKGMSPYVFVVYRQAFATLALSPFAYFDSKHATPLSCNLLCKLFLVSLVGLTASSNLYYVSINYTTATFAAAATNTVPAITFIMAVLIRVESISIKRIHGVAKILGSIISLVGAITLALVKGPSLHYMIPENQKYISQSLTMVHSKGDSVKGSLMMLSGNTSWSLWLILQGFIVKQYPAKFRLITIQCFFSFIQSAILAAAMERNLSAWRLGWDIHLLAVAYCVCL >OIW05353 pep chromosome:LupAngTanjil_v1.0:LG09:2150868:2154848:-1 gene:TanjilG_28818 transcript:OIW05353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKGGGVLGGGGGGGSGPTAAAAAAAAQKQKTLLNRVEGDIANIVDNFSHLVNVARVNDPPVRNSQEAFMMEMRAARMVQAADSLLKLVSELKQTAIFSGFASLNDHVEQRRVEFNQLGEKTDRLLSRIGEEAAASLTEFESHYSSSAQKTIQNLQP >OIW05493 pep chromosome:LupAngTanjil_v1.0:LG09:249773:251107:1 gene:TanjilG_27623 transcript:OIW05493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQNIGAGNSDDAFYRYKMPRMITKIEGRGNGIKTNIVNMVDIAKALARPAAYTTKYFGCELGAQSKFEEKTGTSHVNGAHDTAKLAGLLENFIKKYVQCYGCGNPETEILITKSQMIQLKCAACGFVSDVDMRDKLTTFIIKNPPEVKKGAKDKKAMRRAEKERLKEGEAADEELKKIKKEVKKKVPSSSKTGTTKPASSKKKGSGSDEDRISPTHSQVDDKEVDHDEDEDDDDDVQWQTDTSINAARQRIQEQLSAVTADMVMLSTNEPEKKKKSSTKTSNGSDNGIPIDHSKLVDEVKASLKKGIGAKELQTHLAALPGSAQEKTSALYEAIFDGIEKGFAKEAIKKKSYLAAAVADEGSQSLLLHAIEEFSGNSNSNALKEVALVLKALYDADVLEEEHIVKWYEKGVKGDNNKDSQIWKNVKPFIDWLQTAESESEEE >OIW05301 pep chromosome:LupAngTanjil_v1.0:LG09:3124602:3128487:-1 gene:TanjilG_03690 transcript:OIW05301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTINESTYDDDSDTAGPARFQQSGTRIWGFSNTGNFMDNDSSDYYTTPSQSNLSMTNAELYMNARISPISLTYYGFCLGNGNYTVNLHFAEIMFTDDQTYNSLGRRLFDIYIQGELVKKDFNIAEEAGGVGKAITKPFPAIVTNTTLEIRLYWAGKGTTSLPHRSVYGPLISAISVKSDFMPPSENGSSIGAGALAGIVVAVAVIAAVVIGILWWKCCLGKKNTLGKEIRGLDLHTSIFTLRQIKAATNNFNTANKIGEGGFGPVFKGILSDGTIIAVKQLSSKSKQGNREFLNEIGMISALQHPYLVKLYGCCVEGDQLLLVYEYLENNSLARALFGSGEHQIKLDWPTRHKICVGIARGLAYLHEESRLKVVHRDIKATNVLLDKDLNPKISDFGLAKLDEEENTHISTRIAGTYGYMAPEYAMHGYLTDKADVYSFGVVALEIVSGKNNTTRRQNEEALLLLNWANLLKKEGNLMELVDRRLGSDFNKEEVMVMINVALLCTNVTQTLRPAMSSVVSMLEGRSVVHEVVSDSSELLLDEKMEATRLHYKEIQEHSSTSMEGPWTASSNSAADLYPVHSSYLEGRN >OIW05088 pep chromosome:LupAngTanjil_v1.0:LG09:6858953:6865121:-1 gene:TanjilG_06224 transcript:OIW05088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGHTQKWFERMKPFLAVVFLQFGFAGMDVLSKAALNKGMSNYVLVVYRHAVAFIVITPFAIILDKKVRVKMTFSIFMKIVALSVLEPVIDQNLYFLGMKYTTATFAAAMSNMLPAITFVMACIIRIEKINIKHIRSQAKVVGTIATAAGAMVMTLLKGPIVEMFGTHGNNNHNQQNSGSNLQHAIKGSIMITIGCFSWAGFMVLQSITLEAYPAELSLTAWICLLGTIEGGIVALVMERGNPSIWSLNWDTKLLAAVYSGIVCSGLAYYIQGVVMRTRGPVFVTAFSPLCMVIVAILGSFILAEQMFLGRVIGAIIIIFGLYLVVWGKSKDYNPPSPIIKELSPITSEQILPTKQIVEEGNATKEQFAIIARDEQV >OIW05197 pep chromosome:LupAngTanjil_v1.0:LG09:5200065:5202971:-1 gene:TanjilG_19828 transcript:OIW05197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLPLILIQIIIIFIFTQSLFATSHPPNPIPITVSVSDFGAIGDGIHYDTVPIQSAINSCPDTFPCHVTFPAPRKYLTATVFLKSGVVLNVEPGATILGGTRIEDYPRESSRWYVVLAENATEVGIGGGGVVDGQAEKFVVREDRRKNVMVSWNKTGACLGDECRPRLVGFLDSVNVRLSNITLNQPAYWCLHIVRSNNISIEDTTIYGDFNIPNNDGIDIEDSNNTVITRCHIDTGDDAICPKSYTAPVYNLTVTNSWIRSKSSAIKLGSASWFDFNHFVFDNITIVDSHRGLAFQIRDGGNVSDIVFSNINISTRYYDPLWWGRAEPIYVTSCPRDSTSKEASISNIHFINITANSENGIFLSGSKRGLLRNLRFINMNITYTRFTNYSGGLLDYRPGCQELVKHGTAGIMMEYIEGLEVKNVEMKWSNYELEQWNNPLEFKPSTVNNISFLNFNSGLYTNSKSR >OIW04158 pep chromosome:LupAngTanjil_v1.0:LG09:20943550:20947204:-1 gene:TanjilG_00718 transcript:OIW04158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSTWLILLLSVAIAFGSSDIESLLELRNSIQNDPSELILNSWDSKSLDSYGCPQNWYGILCSEGNVISITLDNAGLVGEFNFLAISGLKMLYNLSIMNNQFTGSILYIGSMVSLEFLDLSLNKFHGLVPPNIAELSSLLYLNLSSNEFEGAVLIDFYKLERLKYIDLHSNNFSGDIMHIFCLMGSVLHVDLSSNNFSGTLDLRLGNDSFLSSIRHLNISHNSVSGELFAHDGMPYLDNLMVFDASSNQLVGNIPSFAFAVSLRILRLGCNQLSGSLPEDLLKESSMLLSELDLSQNKLEGPVRSITSVTLKKLNLSSNNLSGPLPLTVGHCAIVDLSYNELSGDITRIQYWGDYVEVIQLSSNSLTGMLPNETSQFLRLTTLKVSNNSLEGFLPPILGTYLELEEIDLSLNQLSGFLLPSLFTSTKLTDLNLSNNNFSGPIPIQLQQIPNNPFVSAENYSLVSLDLSYSNLSGLFPSNISSFYNLEYLNLCVNKLEGTIPNDLPVNLRGFNVSFNNLSGVVPDNLMHFPESAFHPGNTLLIFPPSTLSPDDWSLKKSTTMRALIGSSVTGAFVIAFMGIIIIYYRLLWQNEKTSKQNAERVIIQQSSSTLNSEAPNKNLEGLPSTQRGYDDDAGIIHAVLTNPEDLGHPELVYNGEGSHLPIYPSSTSNPSSSKSHQIENPGSLRISSLDNLVGDLHMLDGSLMVTTEELSSAPAEVIGRSCHGTLYKTTLESGHALAIKWLREGITKGKQEFAREVKKLGTIKHPSLVSIQGYFLGTKEHERLIISNYMNAQSMDSYLHEADKRNLQPLSLEERLRVAVDVARCLNYLHNEKAIPHGNLQSTNILLETPSRNVLLTDYSLHRILTPAGTAEQVLKAGALGYRPPEFARSNKPSPSLKSDVYAFGVVLLELLTGRNSVEIVSGVAGVIELTDWVRFLAEKNNYSQCFDRFLMDKQHCDERSCRILDDMLKVALRCILPPSDRPDMSTIFEDLSTIN >OIW04195 pep chromosome:LupAngTanjil_v1.0:LG09:21196414:21200149:-1 gene:TanjilG_00755 transcript:OIW04195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVVSLDISNLNVSGSFSPSITGLRNLVSLSIAGNGFSGEFSAVIHKLQGLRFLNISNNMFSGSLGLEFSKLKELQVIDAYDNMFNSSLPLFVLELPKLKHLNFGGNYFYGLIPPSYGNMVQLNYLSLAGNDLRGFIPTELGNLTNLTHLFLGYYNKFDGGIPPHFGKLINLVHLDIANCGMIGPIPAELGNLYKLDTLFLQTNQLNGSIPPQLGNLSSLKSLDLSNNELTGDIPNEFSGLHELTLFNLFINMFNGEIPHFIAQLPNLEVLKLWQNNFTGAIPSELGQNGKLTELDLSTNKLTGLVPKSLCLGKRLKILILLNNFLFGSLPSDLGQCYTLQRVRLGQNYLTGSVPKGFLYLPELSLLELQNNYLSGWLPQQATSTTSSPSKLAQLNLSNNRLSGPLPTSIGNFPSLQTLMLHGNRFSGEIPQDIGRLKNILKLDLSINNFSGSIPPEIGNSILLTYLDLSQNQLSGPIPIQVSQIHILNYLNVSWNHLNQSLPKELGAMKGLTSADFSHNNFSGSIPEVGQFLVFNSTSFEGNPQLCGYDLNPCNHSTPVLNSHDKTSAKPGVPGKYKLLFALALLGCSLVFATLAIIKSRKGKKHSNSWKLTTFQKLEYGSEDILGCIKESNVIGRGGAGVVYGGIMPNREQVAVKKLLGINKGCSHDNGLSAEIKTLGRIRHRYIVKLLAFCSNRETNLLVYEYMPNGSLGEALHGKKGEFLKWDTRLKIATEAAKGLCYLHHDCSPLIIHRDVKSNNILLNSDFEAHVADFGLAKFLQDTGTSECMSSIAGSYGYIAPEYAYTLKVDEKSDVYSFGVVVLELLTGRRPVGDFGEEGLDIVQWTKMQTNWCKERVVKILDGRIHHHTPLDEAMQVFFVAMLCVQEQSVERPTMREVVEMLVQAKQPNTFQLQ >OIW04805 pep chromosome:LupAngTanjil_v1.0:LG09:12560138:12561716:1 gene:TanjilG_23703 transcript:OIW04805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFCAASLTAEACAKVIDGKAVANRIRDEIRAEVSRMKEAIGVTPGLAVILVGDRKDSATYVCNKKKACDSVGIISLEANLSEDSTEQEVLNYISDFNNDPSVHGILVQLPLPKHMNEQNILNAVRIEKDVNGFHPLNIGCLAMRGREPMFVPCTPKGCIELLHRYGIPIKGKKAVVIGRSNIVGIPVALLLQREDATVSIVHSRTINPEKITRQADIIISAVGQPNMVRENWIKPGAVIIDVGINPVEDSNSPRGYRMVGDVCYEEASIVASAVTPVPGGVGPMTIAMLLKNTLISAKRIHNFE >OIW05005 pep chromosome:LupAngTanjil_v1.0:LG09:8143762:8144793:1 gene:TanjilG_06417 transcript:OIW05005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGLIEGLPDAVAIRCLARVPFYLHPTLELVAHSWRAAVHSTELFKARQEVGSSEDLLCVCAYDPENLWQLYDPLRDLWITLPVLPSKIRHLSHFGAVSTAGKLFVIGGGSGGVDPLTGDQDGCFATDEVWSYDPVVRQWSPRASMCVPRSMFACCVLNGRIVVAGGFTSCRKSISQAEMYDPEKDVWIPMPDLHRTHNSACSGVVIGGKVHVLHKDMSTVQVLDNAGQGWNVEEFEWLQGPMAVVQGALYVMSHGLIKQDKEVRKVVGSASEFRRRFGFAMIGLGDELYVIGGLIGPDRMSDIQPLSDVDVLTLGSERPTWRRSAPMTRCRGTILGCTLLRI >OIW05407 pep chromosome:LupAngTanjil_v1.0:LG09:1528597:1530539:-1 gene:TanjilG_28872 transcript:OIW05407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHHRNHHHNHHQPSPPSTNCCCNPSSYTCCTQPTLLPPPPPPPPQDHHHNHHLIQAFASLLSQQQQHFLPTQYPKSHPHKKTILTQTYNNQINSTISSLLNRIESLESSLNNQSYSYSLRDTAARVIQIHFRSFLVRRSKTLRHLKELGFIKSTFNALKSSFSDDATRSDFAALSQKALDLLLHLDSIEGSDPMVIDGKRLISRDLVQFLDSIERVAAKKHLFYVKAVKNARFGQNFNKPRDSSDDEKRKLLQNLRGRVEKISKLCKVYENDEDSEPEGIDYDGDHSVLISRRNGVSANKNVVFMQRQGTAKESVKFAENGKFCEVHSSNTYEPDLSGDVTCLDGSSSSDDQGEVLENVEDVLDSSQSAEGDDEVLVEGGGSRYNTDDGEKNDKKNLKSGGRNTVKGQLPMHREKPIISAPLPLKMENKADAKSKGVRILP >OIW05142 pep chromosome:LupAngTanjil_v1.0:LG09:5989471:5990646:-1 gene:TanjilG_02615 transcript:OIW05142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNTFLFTSESVNEGHPDKLCDQVSDAILDACLEQDPESKVACETCTKTNMVMVFGEITTKAKVNYEKIVRDTCRGIGFVSADVGLDADKCNVLVNIEQQSPDIAQGVHGHMTKAPEDIGAGDQGHMFGYATDETPELMPLTHVLATKLGAKLTEVRKNKTCPWLRPDGKTQVTVEYRNENGAMVPLRVHTVLISTQHDETVTNEQIATDLKEHVIKPVIPAQYLDDKTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYIVRQAAKSIVASGLARRCIVQVSYAIGVPEPLSVFVDTYKTGKIPDKDILVLIKDNFDFRPGMIAIHLDLTRGGNFRYQKTAAYGHFGRDDPDFTWETIKALKPKA >OIW05215 pep chromosome:LupAngTanjil_v1.0:LG09:5038395:5038712:-1 gene:TanjilG_14768 transcript:OIW05215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAKYIISSIVATFGFAYVADRIVSDTKIFGGTTPGTVSNKGWWEETDKKFQAWPRTGGPPVVMNPISRQNFIVKRQSE >OIW04687 pep chromosome:LupAngTanjil_v1.0:LG09:15065552:15066040:-1 gene:TanjilG_00123 transcript:OIW04687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPSRDLASAREGDFNGSESGWTSYIGSCIYSEVYIDDEKNVHMEDYNYKNANGKVIVVHDDDKYDERAENNNKGACDEESDDDSMASDASSGPSHFQLVCINNEGRSNGLVYKKQKENDNEKIFSSKRGSKQVRKTKYEYKVEKEEEDSVLLIADSAASHV >OIW05174 pep chromosome:LupAngTanjil_v1.0:LG09:5422870:5443221:-1 gene:TanjilG_19805 transcript:OIW05174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTMDPSRTPLGQMLLDEISPVIMLLSTPAVEDSSLKNNLTFLQMLSPFSSFNNIDVPVRTASDQPYRIHKFKLRLFYGSDVRNPDLKVAKERLERVITEAGEKVFTEVTSDAPEIDQHQKLDSSEYINTPSWFQFLNKELVRVASFSDHEAFDHPVACLLAVSSKDEKPINRFVDLFNSNNLPSLLNDGAMDPKILKLYLLVHDNQDGSVDRASRILTEMRSAFGTSNCLLLCINSSPDAPIKHEENPWASYISDASPSQDHGCLLNIDDINEIKDLMQNLSSKHIIPNMEQKIRVLNQQVSATRKGFRNQIKNLWWRKGKEDGADSLNGPMYNYNSIESQIRVLGDYAFMLRDYELALSNYRLISTDYKIDKAWKRYAGVQEMMGLTYFMSDQSRKEAEYCMEHAFNTYLKLGSLGQQNATRCGLWWIEMLKARDQYKEAATVYFRICGEDILHSAVMLEQASYCYLLSKPSMLRKYGFHLVLSGEQYKKCDQVKHAIRTYRSALSIFKETTWSYIGDHVHFHIGQWYASLGMYDVAVKHMMEILACSHQSKSTQELFLGDFLQIVEKTGQTFEVTKLQLPVINIPSLKVIFEDYRTFGSPSSANTSEGLWRSLEEEMIQSFSAAKTNWLELQSKLVSRKHSQSNVCVAGEAVKVNIEFKNPLQISIPISGVRLICKHSAITDESRLDENKSSLEDGNDVDHFKEMNSDNSSFLVSEVDFLLGGGQTNLAQLSVTPKVEGALEILGVRWKLSGTIVGFHKFELSLPKNIVKARRKGKRSPNDKFKFMVIKSIPKLQASINLLPGKAYAGDLRQLVLELKNPSEFPVKNLKMKVSDPRFLIIGNHGDMKSEFPACLTKKTDSSVQSDVHANNSILSDTVFLFPEGTSVQGETPFLWPLWFRAAVPGEISLYLSIYYEMEDISSVIKYRTLRLHYNVQKSSTISTFEDNISTVSVTSDVRLVPQSNEDLVYNVNSAPLVNFHHYERLQQELSHEVDLNTIDFVLISRPLKSNSNLSFSDPPHVMSHHASHSSTASTGPISWLLDGPQTLHHDFSESFCEIDLKMHLYNSSVATVFVRIDTSDSAGIIRHTNSFDAVQSATPDNQAGWHDVSTVNELKVTTSNALETQPGKALSLEGVSPYIWSGSGSTSVHIEPMSSMEIPLQICVFSPGTYDLSNYTLSWNLPSKVPGDSNETKHTSGKCLGYKYYLTVLQSA >OIW05470 pep chromosome:LupAngTanjil_v1.0:LG09:484525:486510:1 gene:TanjilG_12061 transcript:OIW05470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRSKSSSGNFVLPKTNVAASIKRLIKGIQSLSQLLFYKEEIDMEPEMKIGYPTNVKHVTHIGLDGSTITHNIKGWDNLKAHELLCLSPISLKQFQLNMVNQPHQSLINDSSSKFG >OIW04088 pep chromosome:LupAngTanjil_v1.0:LG09:20433742:20435136:1 gene:TanjilG_00648 transcript:OIW04088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELETLYPPCFMSSSNLFVQESNNNIEWTREENKQFESAIAIYDKDTPDRWLKVAAMIPGKTVFDVIKQYRELEEDVTEIEAGRVPIPGYIASSFTFELVSNQNYDGCRKRAATVRGSDQERKKGVPWTEEEHRRFLMGLMKYGKGDWRNISRNFVVTKTPTQVASHAQKYYIRQKDSGVKDKRRPSIHDITTINLAETVTSDKNNPLLFNESPMHEPQQKLGTSMSKVQLDWINHYNDGSLMVFNSNCDDLLKVQGQDLNDCAYHEAYAKLQIPSFRMASRDFNKEAVFSIHALSCEL >OIW04246 pep chromosome:LupAngTanjil_v1.0:LG09:21520784:21521002:-1 gene:TanjilG_00806 transcript:OIW04246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFPSPLGILSSESFQRFSTIYSLHGKSEDVERGYTFFLYYRSNGPHLLPSVDITTTYSVERYMIHHEVLGS >OIW04758 pep chromosome:LupAngTanjil_v1.0:LG09:14167802:14170571:-1 gene:TanjilG_08641 transcript:OIW04758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDHLVLFVDRLLRPVPVDPVEQPSQLPSGPSPSQAADIVTGPSGPAPPVNHADEAVPEHGEGGDEEEPLIQMVECRICQEEDGVSNLESPCACSGSLKYAHRKCVQHWCNEKGDITCEICHQPYQPGYTAPPPRPNPDETTIDIGGGWTISGTPLDLHDPRLLAIAEAERQFLDAEYDEYAASNASGAAFCRSAALILMALLLLRHALSVTDGDASDDDPSNFLSLFLLRAAGFLLPCYIMAWAISILQRRRQRQEAAALAATQVAFVLQSGQRRGLQFAIAPGPTVHPEQV >OIW05378 pep chromosome:LupAngTanjil_v1.0:LG09:1786568:1789792:1 gene:TanjilG_28843 transcript:OIW05378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLNSLLRASKPSSSSLIFNLIRRMSNVPENTVYSGPTTQTPNKRVTLAQLRQKYKKNEPISMVTAYDYPSAVHVDMAEIDICLVGDSAAMVVHGHDTTLPITVEEILVHCRAVARGARRPLLVGDLPFGSYESSSVQAVDSAVRILKEGGMDAIKLEGGSPSRIVAAKAIVEAGIAVMGHVGLTPQAISVLGGFRPQGRNVASAVKVVETALALQEAGCFSVVLECVPPPVAAATTAALQIPTIGIGAGPFCSGQVLVYHDLLGMLQHPHHAKVTPKFCKQYARVGDNINQALRQYKEDVTSGSFPDADHSPYKISETDANGFLNELQRLGFDKAASAASDAVEKMNTAQPIGVGNPTK >OIW05064 pep chromosome:LupAngTanjil_v1.0:LG09:7170956:7179702:1 gene:TanjilG_02771 transcript:OIW05064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTKPLLRLNLLLLAAVIAVAGGASDEFSELDYSKLSGIIIPGFASTQLRAWSILDCPYSPLDFNPLDLVWLDTTKLLSAVNCWLKCMLLEPYNQTDHPDCKSRPDSGLSGITELDPGYITGPLSSVWKEWIKWCIEFGIEANAIIAVPYDWRLAPAMLEERDLYFHKLKLTFETAFKLRGGPSLVFAHSLGNNVFRYFLEWLKLEIAPKHYIQWLDQHIHAYFAVGAPLLGATETIEATLSGFTFGLPISEGTARLMFNSFGSSLWMMPFSKYCRADDKYWKHFSAEKHASHHKYRCDERELQSNFSGWPTNVINIEIPTTRGFDAYPSFSEVTPANLSSMECGMPTQLSFTAREISDGSFFKAIEDYDPDSKRLLYQLEKSYLGDPILNPLTPWDRPPIKNVFCIYGTDSKTKVGYYFAPSGKPYPDNWIITDVIYELEGSLMSRSGNLVEGNPGATSGDETVPYHSLAWCKNWLGPKVNITRAPQVEHDGTDVQIKLNVEHQRHEDVVPNMTKSPKVKYITYYEDSESLPGKRTAVWELDKANHRNIVRSPVLMRELWLEMWHDIHPDAKSKFVMKAKRGPLRDDDCYWDYGKARCAWPEYCEYRYAFGDVHLGQSCRLRNTSFDQLLHYL >OIW04528 pep chromosome:LupAngTanjil_v1.0:LG09:17740679:17742608:-1 gene:TanjilG_13910 transcript:OIW04528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSFPDTVVGRRRSGKASENSNFSQTCSILSHFLKEKRSSEASTLGIGRKIEPKANMKHLVSKLQSSDEALRLNASALDFLPQLVENPCIKKSKDRTPDPETSQLTIFYAGKMLVFDAFLPERATEVMELATKLASDNSSIKESSPSASVATENLKGSKVPQTNTASETTRPDMRYPRRASLLKFLEKRKERVIAKGPYQIMNINPTMHEGNSSEGGEPEEQCSKQLDLNL >OIW05313 pep chromosome:LupAngTanjil_v1.0:LG09:2936492:2940194:-1 gene:TanjilG_03702 transcript:OIW05313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSLTSKSIDFVGKNLIFGGSCSNASFDSYIVRNYAKVNGGSRMVWCKRRSTQCGVSSIMKIVEPTLNGGTQAIQGLNGLDLKSYSDAPISPAQLFDVVADDLKTLNRNLQSIVGAENPVLMSAAEQIFSAGGKRMRPALVFLVSRATAELLGLNELTVKHRRLAEIIEMIHTASLIHDDVLDESDLRRGKKTVHQLFGTRVAVLAGDFMFAQSSWYLANLENIEVIKLISQVIKDFASGEIKQASSLFDCDVQLDEYLVKSYYKTASLIAASTKGAAIFSGADNIITEKMYEYGKNLGLSFQVVDDILDFTQSAEQLGKPAATDLSKGNLTAPVIFALEKEPKLRDIIESEFSEPGSLDEAIELVKSCGGIERAQELAKEKADLALQNLQCLPQSAFRLALEDMVAYNLQRIA >OIW04064 pep chromosome:LupAngTanjil_v1.0:LG09:20263058:20264149:-1 gene:TanjilG_00624 transcript:OIW04064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRCPDCDSGFLEEIQSPMQSMHVENRIPRHPNSRHSHHRRHCINIVHERSSFNPVIMLRGNAGYSQGSTSRQREATTRRDFELFYGDGVGVGVGSGLRRPLPPTMSGFILDSGLDRVLEQLSQVESNDFSGGERQEEENRQRPASKEAVDSLPEVEIDHKHLIMDSHCAVCKEPFQLGYAAKEIPCKHIYHSECILPWLALRNSCPVCRHELPSDDDHQVENERAARAIGQEENTGLTIWRLRGGGYAVGRFYGGRNGDDDERELPLVYTEMDDGLNNFVGEPRRISWTVSPPRGRKRNNGKLRRMFGNLFSCFKTNDGGVRVQHSNSIIHDSIPLRSDTSTPSLRSRRTWSMDVNSGMRAW >OIW04629 pep chromosome:LupAngTanjil_v1.0:LG09:16337653:16340203:1 gene:TanjilG_30527 transcript:OIW04629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEKVEAFNMDKVIEEFEAVTKDAERVQRETLKRILEDNASAEYLLSLGLNGRTDPESFKTCIPVVRHKDLEPYINRMIYGDYSSILTGKPITNMSLREFPIKNGKALSFIYSSKHFKTEGGLAAGTATTNLFRDKRYKQAMAALQSQCCSPEEVIFGSDFHQSLYCHLLCGLIFRDQIQLVSSTFAHSLVHAFRTFEQVWEELCHDIRSGVLTSRITVPSIRTAMSKILKPDPELANLIHKKCTGLSNWYGLIQELFPNAKYVYGIMTGAMEPYLKKLRHYAGELPLVTSDYGSSEGWIGTNVSPKVPPELATYTVLPQIGYFEFIPLTKNNFICVDSKPVGLTEVKLGEEYEIVMTTPAGLYRYRLGDVVKVAGFHNSTPKLKFVRRSGLLLTVNIDKNTENDLQLAVEAASNLLSDEKLEVVDYTSHVDFSKEPGHYVIFWEISGEASEEVLGECCNCLDKSFVDAGYTSSRKVKGIGALELQVVRRGTFQKILEHFLELGGTVSQFKTPRCVGTYHNKILQILIENVVGNYVSTAFD >OIW05110 pep chromosome:LupAngTanjil_v1.0:LG09:5622384:5635903:1 gene:TanjilG_02583 transcript:OIW05110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSSEEVILVCTNPDSIQTQTDSPNEEILISTTDILTLNSPTILNFNTIKVHALRDSLVQHSSYFRGLLSGSFSESCLGSITIKWNVQVFIQILKHINGCPLDIVSDTFVPLYEGALYFGVETLLLKCKMWLSEIKMSKSGTARATSFWDSVAKKNLATDFILDSCMSYLARNFMWATHCNSFGKIPYDMLLSSVKHPHLTVESEVHLADALLLWLGSNLENLESPSKAEAEDSCNGILKQIRVGLLPLWFAAGKRNSFHFRHLAEESIDSIFIQLNIPTLGSQDTIGYIDFQHLRIRLTVYSKKLNLFDCPQITYAVLLSSLIPSSDLTDPTLKKIIENLFTNSRHRVRDRRAFQQRQLQTVTFEAVQEVDISKCQRLLIEEAVDCFCKSFPSLRVFKAAYLLNIRTMGFLQLLEKCAFICEIDLTVDITPVLPAQVTVLSSSPAVIPPVPESSSVEYKAVEIMLFNKSGPPLSNVTKLTLEGRTDVCVQALSSAISDSGSFFSGDSRDNYLNSVASNLQTLHVGGCRGICESSLLELMSQTQVLKSLCLRETNLVDQALYNFIGSSLKMLDVSNTKISGAALAYIIHRNPSLKCLKARGCKNLFQEDNSIVKRESSFSTSHEELHAELEKECTLEEVEVGWGFSTFFLSALEPALMSLKTISVGLGGTLGEYALRRLPAICPLLETISLHFQVVSDIVVMNIVTSLINLQALSLCYCLGDISMSSFKFSMQSLRNNLVELSLLGCPLLNSDSQQIISGGWPGLISIHIEECGEVTANGVSALLDCKALEDLVLRHNGPGLQRNFISYVASQMPLLRKLSLDICDATEGDFDIPNYGDRYFLSTLKIARCKSQRCAFNFPVPTSGVRRRSVHVETLVLTWNSKDLIRTVVKERL >OIW05132 pep chromosome:LupAngTanjil_v1.0:LG09:5870726:5872171:-1 gene:TanjilG_02605 transcript:OIW05132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSKTMQLHFLLVPLMSQSHLIPFTHMAKLLASQGTSITIVLTPLNASRFNNFIDEAKASNLKIKFHLIPFPCFQAGLPEGCENIDTLPSFEYQPRFFHASNMLQDPLEKWLSQIETLPSCIISDICLPWTASIASKFNIPRVIFHIISCFTLLCSHNIAISKVHESVTSMTKTFVVPDLPHRIEFTKSQLPEAMRSQDSKGWEDTIDQFKASEILAQGILINSFEELEMLYVKGYEKVVKKVWCIGPLSLHDNKASIYDDESECFNFLATKKPCSVIYACFGSLSRLSVSQSKELALGLEASNHAFIWVIGKKDFSEELEKWLVDEKYEDRNKEKGIIIRGWAPQVKILSHPNIGAFLTHCGWNSTLEAVAAGVPMITWPMFAEQFYNEKFIVHVLNIGVRVGVEVVVDNMEGEKVLVKKEDVKNSIENVMEQGGEGEHRRKRAKELKEMAHKAVQEGGSSNTNCKLFIHQIMGQIHNN >OIW04786 pep chromosome:LupAngTanjil_v1.0:LG09:13255277:13268373:1 gene:TanjilG_11088 transcript:OIW04786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPTSNSNPQNDVVDGDISSSFSVVGTFLRQRSNDVSSAIVKKISSLRQSFDDDENENDESFSKNERKIKHEVTEFNLSGVKVVVKTKPEMEEEALMKGRISFFSRSNCRDCTAVRRFFKAKGLRFVEINIDVFTEREEELREKTGTTMVPQIFFNEKLIGGLVALNSLRNSGELERRVAEIVSEECPGDAPAVPVYGFDSVDDEVDRMDEVAEIVRVVRMGLPIKDRLKRMKIVKNCFTANEFVDVVVQHLDCESSEAIQIGKELCRKHFIHHVFGENDFEEGDHLYRFLEHERFSPRCFNFRGTNDSEPKPAAAVFERLAKIMSAILESHASDDMQHVDYGAISKSEEFRRYVNVTRDLQRVNLLELSENEKLAFFLNLYNAMVIHAVIRVGCPEGVIDRRSFFTDFQYLVGGYPYSLSVIQNGILRCNRRSPYSLVKPFSTGDRQLEVALVKINPLIHFGLCNGTKSSPRVRFFSPQRVADELRVAAREFFENGGIEVDLEKRTVYLTRIFKCTNDMGPLSLDNFRKNNLSASWRVAGLETSNATYELTQPTTHARQEKPKAKEDKSSDGRAQWSYSPTQQARRQLIEKRRGKRAAEFVKLDDEVIVKLENTAIERSQSVESAVLGKYSIWRKEMENENVDSTVRLMRDQIIMARVYLSIAKMKNKLELYQELQSRLKESQRVFGDRSSDADLQHSAHEKMKAMGQVLSKAREQLYDCKLVTGKLRAMLQTADEQVRSMKKQSIFLSQLAAKTIPNGIHCLSMRLTIDYNLLPLEKRKFYMSENLENPSLYHYALFSDNVLAASVVVNSTIMNAKDPSMHVFHLVTDKLNFGAMNMWFLLNPPGKATIHVENVDDFKWLNSSYCPVLRQLESATMKEYYFKAGHSNSLSSGASNLKYRNPKYLSMLNHLRFYLPQVYPKLDKILFLDDDIIVQKDLTGLWDVNLNGKVNGAVETCGESFHRFDKYLNFSNPHIARNFDPNACGWAYGMNIFDLKEWKTKDITGIYHKWQNMNEDRLLWKLGTLPPGLITFYGLTHPLNKLWHVLGLGYNPSVDRLEIENAAVVHYNGNMKPWLEIAMTKYRSYWTKHVKYDHPYLRNCKLNE >OIW04496 pep chromosome:LupAngTanjil_v1.0:LG09:18013978:18015386:-1 gene:TanjilG_13878 transcript:OIW04496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSSGRSLVKQLSMKEAWKSTSNRWSGKDKYNPVGESSLNQMEGFTMYGNEDNGMMVRKRVMVVIDNTSHSKHAMMWALTHVANKGDFLTLLHVVPPHKASQSSSSSESSSSSESSSSSSSSSSSTNVVNHLGSLCTDCKPEVKVEALVIQGPKLATVMSQVKKLEVSVLVLGQKKPSTLLSCLCGSSNSSTEELTEHFINNAECLTIGVRKRSQGMNGYLISTRYQKNFWLLA >OIW04722 pep chromosome:LupAngTanjil_v1.0:LG09:14465493:14466869:-1 gene:TanjilG_06714 transcript:OIW04722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEVPPIHVALLPSAGMGHLTPFLRLAAMLVHHNCHVTLITTHPTVSKSESDQISKFHSSFPQVNQLHFHLLPPSDASATKADPFFLRFEAIRSSSHLLPSLLSSVSPPLSSFVYDMTLISPLLPVADSLGVPHYILFTSSATMLSFFSYFPTVSASLPTLNDVEIPGVSSIPRSSIPPMLLVPNSLFGNIFNEDGPKLTKLHGVLINTFEELEAQSLEALNGGKMVKELPPVYAVGPFVPGEFEKEDQRGAPLKWLDDKAKGSVVYVTFGSRTAMGRDQMREIGEGLVRSGSMFLWVVKGKKVDREEEEEGLEGLLGLELVEKIKERGLVVKEWVDQREILDHEAVGGFVSHCGWNSVVEAAWYGVPIMGWPLGGDQKINAEVVSNKGWGVWNKDWGWEGENVVKGEEIGEAIREMMNDESLRIKAAEVKEAARKAISVGGRGEVTLQKLIEKWNKF >OIW05030 pep chromosome:LupAngTanjil_v1.0:LG09:7834288:7848843:-1 gene:TanjilG_18629 transcript:OIW05030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLQNQKPWKAEYAKSGRSSCRTCKSPIASEDLRLGKMVQSTKFDGLMPMWNHATCILKKANQIKETDDVENLELLRWEDQQKIRKYIEDGGGSSAPSKSKATKDGGSAPPKPDATKNAECGIEVSQTSRATCRDCGQKIMKGEVRISIKPDGQGARGLAWHHAKCLMELSPSIQVDKLSGWISLSPSEQSSVSDLAKNGSAMKKGGTSATNVEAEEVKESTSKGGTKRGKDADGGQKSKVAKAEGDVAASRTASAKNAKASEEARDLESRLETQSIELWALKDNLKKHVTNAEMREMLEANGQDSSGSELDLRDRCADGMMFGGLGHCPICADFLRYSGGMYRCTGFSSEWSKCSYSTSEPKRLEGKWKIPVETTNEFLKKWFKSQKGKKPVRVEWKRKIDDIGAVFHAKVKKDTNCLVVSGTLNDEAEMRKARRMKIPIVREDYLVDCIEKKKKLPFDMYKVEMIGESSSMVTIKVKGQSAVHDASGLADSGHILEEGKSIYNTTLNMSDLSTGVNSYYVLQIIEEDKGSDCYVFRKWGRVGNDKIGGSKLDGMPKSDAIHEFKRLFFEKTANPWEAWEQKTIQKQPGRFFPLDIDYGVNKQVSKKKSNNEDSKLAPPLIELMKMLFNVETYRAAMMEFEINMSEMPLGKLSKSNIQKGFEALTDIQNLLKTDNPDPSMLESLLIDASNRFFTMIPSIHPHIIRDEDDFKSKVKMLEALQDIEIASRLVGFDANNDDSIDDNYKKLNCDISPLPHDSEDYRLIEKFLHNTHAPTHTEWSLELEEVFALEREGEFDMFVPYREKLGNRMLLWHGSRMTNFVGILSQGLRIAPPEAPATGYMFGKGVYFADLVSKSAQYCFTDKKNPVGLMLLSEVALGNVYELNKAKYMDKPPRGKHSTKGLGKKVPNESEYAKWKGDVTVPCGKPVPSSVKASELMYNEYIVYNTAQVACLQAQIMQVKNHLAQNMETNEWPENVSSQQGQPINPFYHMNPISPQSSIESINHSINMNIDGMVMQDIQSSDDFSIQACSKKRSYNNDLGELQEIALRMMRK >OIW04784 pep chromosome:LupAngTanjil_v1.0:LG09:13277349:13277897:-1 gene:TanjilG_11086 transcript:OIW04784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMPHPPVLLAPQNPMENDKDTQKLTFEASSMQYQPNIPSQFIWPDHEKPCLTSPELEVPAIDLKVFLSGNPQAISSACSQVHEACKKHGFFHIVNHGVDGKLKSQALNLLDDFFSMQLSKKQRAQRKVGELCGYANSFIGRFSSKLPWKETLSFRYCADHSSKTVEEYFVNVMGEDFKQLG >OIW05120 pep chromosome:LupAngTanjil_v1.0:LG09:5726965:5732996:-1 gene:TanjilG_02593 transcript:OIW05120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQLSSTTTILPEAFQGAKDDITMQLSLIWSQIKAPLIVPLLRISVVLCLCMSVMMLIERVYMGIVISLVKLFGRKPEKRYKWEPMKDDVELGNSSYPMVLVQVPMYNEREVYQLSIGAACGLSWPSDRIIIQILDDSTDPTIKELVQLECQRWASKGVNIKYEVRDNRNGYKAGALKEGMKRSYVKQCDYVAIFDADFQPEPDYLWRTIPFLVHNNELALIQARWKFVNSDECLMTRMQEMSLDYHFTVEQEVGSSTYAFFGFNGTAGVWRIAALNEAGGWKDRTTVEDMDLAVRASLKGWKFLYLSDLKVKNELPSTFKAYRYQQHRWSCGPANLFRKMVMEIIRNKKVTLWKKIHVIYSFFFVRKVVAHITTFVFYCIILPATVVVPEVVVPKWGAVYIPSIITLLNAVGTPRSLHLLVFWILFENVMSLHRTKATIIGLLEASRVNEWIVTEKLGDALKGKAGVKAPKKPRFRIGDRIHLLEIGVGFYLFFIGVYDVMFGKNHFFIYLFIQAFAFFIMGFGYVGTFVPSS >OIW05342 pep chromosome:LupAngTanjil_v1.0:LG09:2321134:2322224:-1 gene:TanjilG_28807 transcript:OIW05342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLTPPPIEDGFTAEKLFNQGFSYTYDDVIFLPHYIDFPSDAVNLSTNLSRNLRLSVPLVASPMDTVSESAMAAAMASLGGIAIIHSNTTAAVQASLVRAAKSRRVPILHDPVFLPPSAEITSVEDFADSPFILVTESGNSKSNLLGYVSRENWITNQNNKSCSRVRDYLVESPVTVPWSYDLAKIDETLNEKKANFVGLVRDDSELVDFVTREDVDRVKGYPKLLAKGSVGANGEWMVGAAIGTREEDKERLEQLVKAGVNVVVLDSSQGNSVYQLEMIKYVKKVYPEIDVIGGNVVTMYQAENLIQAGVDGLRVGMGSGSICTTQEVCAVGRGQG >OIW05477 pep chromosome:LupAngTanjil_v1.0:LG09:435607:436002:-1 gene:TanjilG_12068 transcript:OIW05477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQETMMHYQMDPSSWSYCMRVMNMEDQMERVIRLASKSAVVIFSISSCCMCHAMKTLFCGMGVNPNVVELDEDPKGKEMEKALMRLVGNSTSVPVVFIGGKLVGTMDRVLASHINGTLVPLLKDAGALWL >OIW04737 pep chromosome:LupAngTanjil_v1.0:LG09:13646182:13648871:1 gene:TanjilG_08620 transcript:OIW04737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQAQDVRTNTEFSKFDHYYETIQSRKKLPKTLQETLTDAFAKTPVSSFPGVPGGKVIEILAYTPVGEAVKILSENNILAAPVKDPEAGANSDWRDRYLGIIDYSAIILWVLESAELAAAALSAGTVTAAGVGTGTIGALGALALGVTGPAAIAGLTAAAAGAAVAGGIAVNKGMGKDAPQVADNLGGDFYKVILQEEPFKSTTVKSVLKQYRWAPFVPVARNSSMLTVMLLLSKYRLRNVPVIEPGKPEIVNFITQSAVIQGLEGCKGRDWFDCIAERPICDLGLPFMSPHEVISVPDNDLILEAFKQMRDNKIGGLPVVEGPKKRIIGNLSIRDIRHLLLRPELFSNFRKLTVMDFMSKIASLNQEAGKVTRPITCKLDSTLQSVIHTLASQSIHRIYAVNEQEEVIGVITLRDVISCFITEPSYHFDDYYGFAVKEMLNQ >OIW05107 pep chromosome:LupAngTanjil_v1.0:LG09:5607827:5610709:1 gene:TanjilG_02580 transcript:OIW05107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEFNNINAVTTAVNSDGILLTSVNTEKLIIDTDPGIDDSMAILMAFQSPEVEILGLTSIFGNVFTEDATRNALLLCEIAGREQIPVAEGSYEPLKGGKPRVADFVHGKDGLGNINLPPPKSNKIEKSASEFLVEKVSEYPGEVTVLALGPLTNLALAIKRDSSFASKVKRIVILGGSFFALGNVNPAAEANIYGDPEAADTVFTSGANIVVVGINITTQVQFTDADLLELKESKGKYAPLLSSMCKFYRDWHLKSDGVHGIFLHDPVSFVAVVRPDLFTYKKGVVRVETQGLCVGHTLMDQGLKRWNTSNPWTGYSPVSVAWTVNVEGVLNYIRELLLKP >OIW04364 pep chromosome:LupAngTanjil_v1.0:LG09:19276315:19278725:1 gene:TanjilG_32556 transcript:OIW04364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTNTISPPGLIVGNYCHDLLLRDNTIFAQSLGGAASFVSVILDSLSLPFHLVSKVGPDFAYNTNHPPIVVPTSQTTLFHAHFFSSPIQPPGHNDRVLKRIGSCEPIRANDIPSGTRFKFGMAVGVGGEILPETLEKMLDICDVVFVDIQALIRTFDPEEGGRVKLVTLKESGFYHLLPRIGFLKCSSDEAVLLDLEEVRKWCCVVVTQGKEGCEVWWEDDVVKVAPFDAVEVDPTGAGDCFLGGFVSGIVEGLDVGDAALLGNFFGSLAVAQVGPPNLHLTMFQMVKDEMHKRKMQDFPYLERRDDWQGFRKPSDQDQFYASLVVSATNAIVKCQIQESEWNLLSSPKSMEQNNVRTSLSLNSVHDESIPIVDSKP >OIW04680 pep chromosome:LupAngTanjil_v1.0:LG09:15172317:15180804:1 gene:TanjilG_00116 transcript:OIW04680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLLLSALLGYIYSPQLDSAPPRWVHFAHGLLLFLYQTFDAVDGKQARRTNSSSPLGELFDHGCDALACTFEALAFGSTAMCGRSTFWFWLISAVTFYGATWEHFFTNTLILPAINGPTEGLMIIYVCHFFTAIVGIPTYAAALYFMIAFGVIPTVILNISNIHKVVKARNGSMPLALAMLYPFIVLVGGVLVWDYLSPSDILGTYPHLAIIGTGLIFGYLVGRMILAHLCDEPKGLKTGMCMTVVIDLFNECLFKCQHYLLVPSSISNIHKVVKARNGSMPLALAMLYPFIVLVGGVLVWDYLSPSDILGTYPHLAIIGTGLIFGYLVGRMILAHLCDEPKGLKTGMCMSLLYLPFVIANALASRLNDGVPLVDERLVLLGYCLLSVALYLHFATTVIHEITDALGIYCFRITRKEA >OIW04090 pep chromosome:LupAngTanjil_v1.0:LG09:20451548:20453297:-1 gene:TanjilG_00650 transcript:OIW04090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLNALVMLLSLWPGGYAFKSWKRNFCLRGSDIRLSFIGDDGKIERLFTLASKSQCAAVVVDEIPTDSSGRSFLVRTPHSRTLYFWCSEKSKLLGVELLAKMRDLMKRKASIAELSGISRSRLDCFASQLRAFLVGSAGYLVNAGPAMPTSIPQLLPKLVKPLISESDAVVKGTRETLRLLISGSSQGNQQVMMDPLPAILTNPNDKQNNILVAASCGLYNDTRDIDVIANNIAAMGLASLSGLSMGEGDSKVCDYYGMSESEVRILSDSSSTFLDDKGDPPLDSSQ >OIW05422 pep chromosome:LupAngTanjil_v1.0:LG09:1399576:1403238:1 gene:TanjilG_23248 transcript:OIW05422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVEVILPLQLFYCYLLMAVLDKTKFYVVGAGLFTGITVALYPVSVVKTRLQVGTRDTVERSALSIARGLLRTDGVPGLYKGFGTVITGAIPARIIFLSALETTKAATFKVLEPFRLSETTNAALANGFAGMTSSLFAQSVFVPIDVVSQKLMVQGYSGHAQYSGGLDVARKILKSDGIRGFYRGFGLSVVTYSPSSAVWWASYGSSQRIIWRFLGHGAEYDKGTPSLPEILFVQGAGGIIAGASSSCVTTPLDTIKTRLQSSMSQHSDSRPARA >OIW05170 pep chromosome:LupAngTanjil_v1.0:LG09:5464280:5464528:-1 gene:TanjilG_19801 transcript:OIW05170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSRHRSPQFNTEFQLTWRTTSSLTIMFSQPSEESDNFNIKQHHNLHVSLLSFTLTYKLVKRVSRTEANQLSLTLGTHRHLS >OIW04184 pep chromosome:LupAngTanjil_v1.0:LG09:21100654:21103745:-1 gene:TanjilG_00744 transcript:OIW04184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVRKNIGHAKSLIILIMMLGFSIATYNLLSMIIVNNKNGGLVAVESFDGRKVMESAKSNSKYHVALTSNDDVYSQWQCRIMYYWYKKVKDMPGSDMGKFTRILHSGNADQLMNEIPTFVVDPLPDGMDRGYVVLNRPWAFVQWLEKADIEEEYIMMAEPDHLFVNPLPNLASRTQPAGFPFFYIEPAENEKIIRKFYPKENGPVTDIDPIGNSPVIIQKSLMEEIAPTWVNISLRMKYDKETDKAFGWVQEMYAYAVASALHGVKHILRKDFMLQPPWDKHVKKKFIIHYTYACDYNLKGESTFGKFGEWRFDKRFYLLAPPPKNLSLPPPGVPETVVRLVKMVNEATANLPEWDHLNRSGGHSKGYNTTNHMLK >OIW05500 pep chromosome:LupAngTanjil_v1.0:LG09:193115:193525:-1 gene:TanjilG_27630 transcript:OIW05500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTIADENSFWTWNNNNKNKKEEEEEQFTITKLLGNKPNKDETTSIVLGPGFGAGIGCGAGLGLGLVGAFGIGNGLSPFNNLNLVFGFGMGCGLGFGFGFGQGIGYDFGFQTRKSRKNKKKNKSFSDSNKTIVFQL >OIW04552 pep chromosome:LupAngTanjil_v1.0:LG09:17540357:17540707:1 gene:TanjilG_13934 transcript:OIW04552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQASQRSSDSPTRDPKVLSIECLRGSYKANEWTHDMLQTGDIVDEIRIRTFTNSMTRFKSPFKNDKNDVNKIVQDSYKKKETSIIIRVRRGTYEFIELQAYIVSNDFSLMKKLCP >OIW04793 pep chromosome:LupAngTanjil_v1.0:LG09:13078675:13080147:1 gene:TanjilG_11095 transcript:OIW04793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRIMNEALSAIVNAERRGKSTVNLNPVSSVISSFLQIMKHRGYIKGFQVADPHRVGRITVELHGRINNCKAITYRQDIKAKDIEAYKSRTLPTRQWGYVVITTPDGVLDHEEAMKRNVGGQVLGYFH >OIW04568 pep chromosome:LupAngTanjil_v1.0:LG09:17032066:17033708:-1 gene:TanjilG_20924 transcript:OIW04568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQQRQFQMVGGNNSNQYNDTTFTKLFVGGLAWETQRETMRRYFDQFGEILEAVVITDKNTGRSKGYGFVTFKDPEAAMRACQNPSPVIDGRRANCNLASLGANKNRPPPPPHGFGRFRPPPGLVAQPAYHGSSSTFFYQPIGQNTFPYSTYGYSGYSQDTLYPTGYYGVYGGQHFSPYYPSGAASGAVGLVHNMYPYYGQYAHTSQDHGFGIQYPQMAQLPILPQQNYGSTGILSLPSIALPSSTTTSAVSAATITATTTPISLSVTTSKASEKATEQNSTSQG >OIW04464 pep chromosome:LupAngTanjil_v1.0:LG09:18516272:18517264:1 gene:TanjilG_01637 transcript:OIW04464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGREAKSTSSTDLLVCFPSRIQLALMHNPICSPGRTQDHNKGYRNNHRDHLKKSSTTRSIGQVSPLIWTNTKLEITEPTSPKVNCAGKIKVIRPKTTATKSWQSVMEEIEKIHNSNKHKKRSKLALSLSFKKEVMHLLACLSCMRLDLRCFGTLNPEGEDDEDIEDEGNGEKENHVGIEETQESDNENSGTVFSKWLMVLQENQTNGLHKEDKNNASSVEEAEEVIVPPPNALLLMRCRSAPVKSLLKGEKTEEQNEHNEEGKVKEKVAGVNKGKSLKSLMEENKKKENLVVMRYDSDFYKISSSEIAKDTWIVGGLRNSLPKSLRCNK >OIW05250 pep chromosome:LupAngTanjil_v1.0:LG09:3793329:3793610:-1 gene:TanjilG_03639 transcript:OIW05250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTSQAWTVASSKAWIVASSIGAVEALKDQLGVCRWNYAFRSLHQHAKNNIRSYAQFKKLSSAVSNKVKRTKEQSMRKVMELDCWGPSTMRF >OIW05153 pep chromosome:LupAngTanjil_v1.0:LG09:6105626:6105838:-1 gene:TanjilG_02626 transcript:OIW05153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGRAKGRRQAQQIYGPPAPPPQSQLIYGPQTPSPQAQQTYGPPAPPPQAQQIYGPPAPPPQAQVKPQEA >OIW05128 pep chromosome:LupAngTanjil_v1.0:LG09:5827659:5829663:-1 gene:TanjilG_02601 transcript:OIW05128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRQDFKEDRAEAAAKLAAKDIGDVNREREREQGFNLNSEVNFKQARAEAAAKLAAKDLEDVNRAREQYGLGAGEHEQKPGLIGSMLKAAKEAVVGKNNNEDIEVREHSPNYTTHRYKDTSENTGSKVGEYTDYAAQKTKETKDYAVDKAKEAKDTTINKAGEYKDYTVDKAKQAKDATMNKAGEYTDYAAEKAKEAKDVTAEKAKEGKDTTVGKLGELKDSAADAAKRAMGFFIGKKDETKEKVSETGEEARRKMEELRVQDKDYNNRSKQAPRVGGGQKLVIEVEESRPGVIADALETADKATTQAMNEEGVIRVERREKM >OIW05141 pep chromosome:LupAngTanjil_v1.0:LG09:5976710:5980096:-1 gene:TanjilG_02614 transcript:OIW05141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGILQEDVVIIRPPEKDGDNTVLTVNCPDKTGLGCDLCRIILIFGVTIIRGDVSTDGKWCYLVFWVVGKPKTRWSLLKKRLIEACPTCSSASGISYYCTDLNPRKPPNVFLLKFCCHDRRGLLHDVTEVLYELELNIKKVKVSTTPDGKVVDLFFITDTRELLHTKPRRDDTIEQLTAILKDALITIDIELVGPEIPSCSQSSSFLPTAITEDIFDLELPDSVRTGTFTSDSVSVEMDNSLSPAHTLVQIMCQDHKGLLYDIMRTLKDYDIQISYGRFTTKPRGKCEIDLFIVQADGKKIVDSSKQKSLSARLRTELIRPLRVAVVSRGPDSELLVANPVELSGKGRPLVFYDITLALKMLGTCIFSAEIGRHVIGDREWEVYRILLDEGEGVSIPRNNIEKGVWKMLMGWE >OIW04390 pep chromosome:LupAngTanjil_v1.0:LG09:19482172:19484904:1 gene:TanjilG_32582 transcript:OIW04390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGYGQDGGGGYGGSYGGRGAGGGRGGFGGRAGGGGGGYQGGDRGGRGGGRGGGGGSGRDGDWRCPNPSCGNVNFARRTECNKCGAPSPTGGNDRGSGGGGGYGRGGSSGGYGDNRGGRSTDYDGGRGNDYNSGRGNNNDGRSGGGNRGGSYGGNQGGDDGGYGQFPPPAAQPYGGAGGNYQPTNNSYGGNLSYGTEAVPPPASYGGRGNPGNARGGGGGRSGPPGGYDSGYGAVSRGGHGGAPAAAEPPAKVKQCDDNCDDTCDNSRIYISNLPPDVTIEELRDLFGGIGQVGRIKQKRGYKDQWPWNIKIYTDEKGNNKGDACLAYEDPSAAHSAGDYDLRGYKISVTMAEKSAPRAPPAHNQGGNRGGYGGDRRRDNYGGGSGPDRRDNYGGNRSRPY >OIW05430 pep chromosome:LupAngTanjil_v1.0:LG09:1304845:1309078:1 gene:TanjilG_23256 transcript:OIW05430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVILASSSVDSGIGCWDLHTGSELLRYKSCSSPSHGLVSIGSRFIASSQIRDPSASSGSLLYWSWNKPQVEVKNFPAEPIKPIAANQSGTYIAAGGAYSGDIYFWEVDTGRLLKKWRAHYRPVTCLIFNEDESLLITGSEDGCVRVWDLFMIFDELRSQEAGNLYMHSFSEHTLRVTDVAIGNGGASAIIVSASEDWTCKVWSLATGALLRNIVFPEVVIDNIVLDPAEHVFYAGGRNGKIYIAALNTESIPTNNYGKHILGSFSNQSKAVTCLAYGTTGDLLISGAEDGIVRVWDLRTRNIVRVFKHAKGPINNIIVVRRELDSSNQMSFNAQTNSRKHGSSLPPPLEKYPTGSEPSDTVLVSLGGGGRHEDVKYVSHDVLMNSLKELQNQGSTAASEMEMEKLKHDSQRSIQMVNQWKKMYENLHEFCVKELFDGSKQQT >OIW04874 pep chromosome:LupAngTanjil_v1.0:LG09:10385485:10399229:-1 gene:TanjilG_14305 transcript:OIW04874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKEESLREYNSSVKEQVFRSDFPSNFVFGVATSAYQIEGAWNEGGRGPSIWDAFSHIEGNIIDKSNGDVAVDHYHRYKEDIDLIAKLGFDAYRFSISWSRIFPDGLGTKVNDEGITFYNNIINALLEKGIQPYVTLYHWDLPHHLDESIGGWLNKNIVDYFAVYADTCFASFGDRVKNWITLNEPLQTSVNGYDSGIFAPGRRENTLVEPYLAAHHQILAHAAAVSIYRSKYKEKQGGQIGLVVDCEWAEPNSDTVEDKSAAARRLDFQIGWYLHPLYYGDYPDSMRERLGDQLPKFSEEDKKFLLNSLDFIGLNHYTTRFISHVAESTEESHYYKAQGMERIVEWEGGQVIGEKAASEWLYVVPWGLRKVLNYVSQKYPSPIYVTENGMDDEDDDNLQLDEILDDKLRVRYFKGYVASVSQAIKDGADIRGYFAWSLLDNFEWAQGYTKRFGLVYVDYKNGLTRHPKSSAYWFSRFLKAGEDKKGKEE >OIW05364 pep chromosome:LupAngTanjil_v1.0:LG09:1985315:1989500:1 gene:TanjilG_28829 transcript:OIW05364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKEILIEESNVQPVNSPVTVCGDIHGQFHDLMKLFQTGGHVPETNYIFMGDFVDRGYNSLEVFTILLLLKARYPANITLLRGNHESRQLTQVYGFYDECQRKYGNANAWRYCTDVFDYLTLSAIIDGTVLCVHGGLSPDVRTIDQIRVIDRNCEIPHEGPFCDLMWSDPEDIETWAVSPRGAGWLFGSRVTSEFNHINNLDLVCRAHQLVQEGLKYMFQDKGLVTVSICF >OIW04468 pep chromosome:LupAngTanjil_v1.0:LG09:18472724:18473092:-1 gene:TanjilG_01641 transcript:OIW04468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKFFTIFACLLLTSSISHATFSSYWLSSNDDNYMFVPAPAPTPHCDSTIDNVMDCFSFVTSDDDSQPDKYCCSAIETAATTNIGCLCAIIESDQLIEFRAKAMTIPSGCGMKSPFGQCDRK >OIW04266 pep chromosome:LupAngTanjil_v1.0:LG09:21633822:21636696:1 gene:TanjilG_00826 transcript:OIW04266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNRDREESLSFTIPSSSHSSPITVSDQLDSYLSDPRSASGSFQNDGLLSADSSSATADSDFGFSRLDFRQSPLAGTVEFYHRHVFLCYKNPRFWPPRIEAAEFDRLPRLLHAAVVAKKNHMKKETRLTICEGHDGTETSNGDVLIFPDMIRYRRLTHFDVETFVEEVLVKDGEWLPGTPEALKGSYVFVCSHGSRDRRCGVCGPVLVSRFKEEIELHSLQGKVFVSPCSHIGGHKYAGNIIIFGSSMNGEVTGHWYGYVTPDDVPLLIQQHILKGEIVDSLWRGQMGLSEDEQMKDQELRLLLNGIRSSEESTAVYIPQDNFSSFCQSNGVSCCQSNGDSSCCQNPVLVEKAKNPDVIEMEAKLSADNNNNNNSTDTAISRIKSGKGTSRKFHSTTWLDSWEREDTYATLAVACAAVSVFIAYKCCKELT >OIW05514 pep chromosome:LupAngTanjil_v1.0:LG09:116086:117897:-1 gene:TanjilG_27644 transcript:OIW05514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVGSSREENVYLAKLAEQAERYEEMVEFMEKVAKTGNGEELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEESRGNEDHVTIIKDYRGKIEAELSKICDGILNLLESNLVPSATTAESKVFYLKMKGDYHRYLAEFKTGAERKEAAESTLLAYKSAQDIALADLAPTHPIRLGLALNFSVFYYEILNSPDRACNLAKQAFDEAISELDTLGEESYKDSTLIMQLLRDNLTLWTSDITDDAGDEIKDSSEQQSGDGKQ >OIW04429 pep chromosome:LupAngTanjil_v1.0:LG09:19881586:19885888:-1 gene:TanjilG_32621 transcript:OIW04429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEAQKLVWEGSIPLQIHLHQSEITTLPPPPPALVLAPRIGYLPLLISLIKPHFSTTLPPGIDTIWFEYKGLPLKWYIPTGVLFDLLCMEPERPWNLTVHFRGYPSNLLLPCEGVDSVKWSFINSLKEAAYVINGNCKNIMNMSQPDQAELWRSVFSGNLESYRQVSSKLKLGTFEDEYGENIGPVSTKSQQSTEETEVAGQLKTGRIPVRLYTWTVSEDFDDLEDAPQIDNWDKVSYINRPVEVHKDDGKYFSLNDAVRSLLPEFFPGSSLVNEEDASINQSTGEEEENTCDPMSSFQPLENAEIKLVRIQGIEPKLEIPFSWVVNNLMNPEYFLHMCVCLKLSEAKALQ >OIW04358 pep chromosome:LupAngTanjil_v1.0:LG09:19227482:19234096:1 gene:TanjilG_32550 transcript:OIW04358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSIAVNSTPQKRKSRSHVAPSEAPVSSPCKRRLPQRCSNVSLLTSVTENDVVSIPCGHKQADSENVAAKLEWHCKGSEQLRVVKEKLHVSTEPSRIACREDEQNMVLEFCKACVEQEKAGSLYICGCPGTGKSLTMEKVKGLLLNWATEAGFARPDVLSVNCTSFVNTSDIFTKILEFNQSVGKKLSATPLKQLQNMYSQKSSRKNMMLILADELDYLITKDRAVLHDLFMLTTLPFSRFILIGVANAIDLADRFLPRLASLNCKPIVVTFRAYSKDQILRILQERLSELPYSVFQPQALELCARKVAAACGDMRKALCICRSAVEMLEAEIKESTSKSNTSVEEKASSELKTTTTSDYTMKHEFDTVRIDHMALSLSKTYRSPVVDTIQSLPLYQQIVLCSSMKHFCGTKKDTILGELYKSYTGICKSSQIQPAGFLEFSNMCRVLNDQGLIKLGQSREDKLKRVTLKVDEADITFALQGIRFFQNCLQ >OIW04525 pep chromosome:LupAngTanjil_v1.0:LG09:17764508:17767570:-1 gene:TanjilG_13907 transcript:OIW04525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWLSVLASLLLLSLCVEASVHEYKAEKFTVKGNAFVVYGGSEGIHSFSPNHNHTSSAAANGDSYISFERITFRRNKHFTNFSSWPIYAIVFEVEDRETIGSSAYGGQRAVCCTSDLAKLGVCTEGQVIHHPSAENSDWPQVFGVSFDMDDEAAVLASKSIQITKTGLYNVYFIHCDTRLKELVVEGKTVWKNPSGYLPGRMAPMKIFYQFMSFAFVLLGIFWFSRYAIFWSELFPVQNCITLVITLGMFEMTLWCFDFAEFNETGIRPIGITICAVTFGTVKRTAARLIILIVSMGYGVVRVPTLGGITSKVVMLGGTFFLASEVLEMVENVVWIFTSLSATLNKLQARRMTSKLDIYRKFANALAVAVIISVGWICYEFQIYFKSNDIYNEQWRNEWIIPAFWQVLSFSLLCVICVLWAPPKNLTRYAYRDDGSDGFDRDDTTLMLIKPSILSKDFGSVPEAQSSNGTSNGDDLEVDKTV >OIW04457 pep chromosome:LupAngTanjil_v1.0:LG09:20057261:20059545:1 gene:TanjilG_32649 transcript:OIW04457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIGFMEVLLVKAKGLHETDIFARMDPYVLLQYKGQERKSSVLHEAGKNPVWNEKIVFRVEYPGSSDPYKLYLKIMDRDVFSADDFVGQATIYVKDLLAEGAEKGSAKLHPCKYSVVGANQSYCGEIEIGITFTRKEEEYGDHDFGGWKESEY >OIW04238 pep chromosome:LupAngTanjil_v1.0:LG09:21473893:21474426:-1 gene:TanjilG_00798 transcript:OIW04238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLQAQSLAFVTLVLLLLLVMIIKIEGKLLVYDYGNDINVSEVNDEEGGVNKAAPVKCRNRPFACSKGEFPPRFMCCKNHCVDVTSNTSNCGLCGIRCRFNFKCCNYLCVNTNINPLNCGRCGRVCPFGRLCLFGLCAFQEPSPLPPPLTEPPTSMPHQNASQVLNHQYLKDPSAME >OIW04796 pep chromosome:LupAngTanjil_v1.0:LG09:12934794:12935418:-1 gene:TanjilG_16152 transcript:OIW04796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGHANSATLSKTVANLKLNPKIGLYLVRARLDYLLFIVRDVNVTPNPDEVADVKYVNRDQLKELLEKADAGDEGLKLSPWFRLVVDNFLFKWWDHVEKGTLEKVADMKTIHRLT >OIW04229 pep chromosome:LupAngTanjil_v1.0:LG09:21412026:21414355:-1 gene:TanjilG_00789 transcript:OIW04229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLCASKFFLIPAAAAAADNRTSSFAGFGSFSFTAATNSKKSSPLICLSISTHASIKEVVQTENAPAALGPYSQAIKSNNLLFVSGVLGLLPQTGKFISDNVEDQTEQLLKNVGEILKAGGASYSSVVKTTILLADLKDFKIVNEIYAKYFPSPAPARATYQVAALPMDAKIEIECIAAL >OIW04922 pep chromosome:LupAngTanjil_v1.0:LG09:9122565:9123085:1 gene:TanjilG_15667 transcript:OIW04922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTTDQRGAYAPIRDTEQPQLGKFDKPLPCFGCGIGWFSLLLGFVCPLMWYYATILYFGNYYHKDPRERDGLTASAISVSVLLKNRFPFHPAFQLLKFLPCKLVLYSYGVIVLLFFLFAGSSFHNCCTDNNNCYYLLSTV >OIW04564 pep chromosome:LupAngTanjil_v1.0:LG09:17140580:17143822:-1 gene:TanjilG_20920 transcript:OIW04564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVTASSNSVSRNSQLHYGPTISYDPKTAPMRLQYQNQINTHNGLRILNIVDVLRNRTPIKVNSAEARKKKLQSKNIRHTGTIICGMNLIFVGTEVGPWSKTGGLGDVLGGLPPALAANGHRVMTIAPRYDQYKDAWDTSVVAEVKVGNRTEKVGFFHCYKRGVDRVFVDHPVFLEKVWGKSGTKLYGPAAGDDYEDNQLRFSLFCQAALLAPRVLNLKGSKYFSGPYGEDVIFIANDWHTALLPCYMKTIYQPFGIFKNARVVFCIHNIAYQGRFPFVDFSLLNLPDQFKSSFDFLDGHVKPVIGRKINWMKAGIIESHQVLTVSPYYAQELVSGPDKGVELDNIIRKNGIIGIVNGMDVQEWNPTTDKYITVKYTAATVLEGKALLKEALQAEVGLPVDRNIPIICFIGRLEEQKGSDILVEAIPQFIEENVQIVALGTGKKQMEKQLQQLEVSYPDKARGVAKFNVPLAHMIIAGADFILIPSRFEPCGLIQLQAMRYGTVPLVASTGGLVDTVKEGFTGFQMGAFNVECEAVDPADVDALAKTVKRALAVHGTPAFREIIKNCMAQDLSWKGPAKKWEEVLLGLGVPGSEPGIDGEEIAPQAKENVATP >OIW04169 pep chromosome:LupAngTanjil_v1.0:LG09:21005435:21009412:-1 gene:TanjilG_00729 transcript:OIW04169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQPKIKRRVGKYEIGRTIGEGTFAKVKFARNSETGEHVALKILDKEKVLKHKMSEQIRREIATMKLIKHPNVVQLFEVMGSKTKIYIVLEFVTGGELFDKIVNHGRMSESEARRYFQQLINAVDYCHSRGVYHRDLKPENLLLDTYGNLRVSDFGLSALSQQVRADGLLHTTCGTPNYVAPEVLNDRGYDGATADLWSCGVILFVLIAGYLPFDDHNLMSLYKKISGAEFTCPPWLSFSARKLISRILDPNPVTRITIAEILDDEWFKVDYKPPVFDEKAETSLDDVEAVFQDCEEHHVTERKEEQPTAMNAFELISMSRGLNLENLFEEQQGFKRETRFTSKSSANEIVNKIEEAAKPLGFDVQTKNYKMRLANVKAGRKGNLNVATEVYDSHFFCFHDL >OIW04510 pep chromosome:LupAngTanjil_v1.0:LG09:17898487:17901716:-1 gene:TanjilG_13892 transcript:OIW04510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTHKTNTSKRVVPCSTKIVKTKQEPPHLTSTTSSASRNKFPSLQNVKSSKVVFPKVTSLPQRNDAGAAPSPIVATVTVFVPSSIDVSPSKSDGMSVSMDDSDSSCDSIRSPEVEYIDNTDVSAVDLVQRKTISNFNISDTTLESTGFIALSIKLDSLNWHHFCNISSRDINVELERDDKIVNIDKNYMDPQLCATYACDIYKHLRASEVKKRPSTDFMERIQKEINISMRAILIDWLVEVAEEYRLVPETLYLAVNYIDRYLSGNAVNRQKLQLLGVACFMIASKYEEICAPQVEEFCFITDKTYLKEEILQMESSVLNYLKFEMTAPTTNCFLRRFIRAAQDVDEVPSLQLECLTNYIAELSLLEYSMLCYAPSLIAASAVFLAKFILFPSKKPWSSTLQHFTQYRPSDLCRCVKDLHRLCCNRPASNLPAIQEKYSQHKYKYVVKKYCPPSIPQEYFLN >OIW04458 pep chromosome:LupAngTanjil_v1.0:LG09:20060850:20062550:1 gene:TanjilG_32650 transcript:OIW04458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIGFMEVQLVKAKGLYDTDKFGRMDPYVLIQYKGQEKRSSVAKGQGKNPVWNEKFLFKVEYPGLDNRHKLILKIMDKDRLSADDSVGQSIIYVGDLLAQGVDNGGAMLQTLKYRVVRENQSYCGEVDVGITFTRKVEEEFIGEDIGGWKESGYYQPQISK >OIW05465 pep chromosome:LupAngTanjil_v1.0:LG09:539573:541534:-1 gene:TanjilG_12056 transcript:OIW05465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISIILGGVTGLGCSVIFAFLVRCVVRYLNRTPIFKGPVIFYPKIEPKTLQLALTKENSLLGSSPNGKYYKTVLDNGLTIAVKRVTLTPFESNSPETMRRKSVKRQIQNELEILASLRHRNLMSLRAYVREPDSFSLVYDYVSTGSLADLMNRVKENELQLGWEVRLRIAVGIVKGLQYLHFTCVPRILHCNLKPTNLMLDAEFEPRLADYGLAKLLPNLDRGTPECSHSCSKYTDKSDIFSFGMILGALLTGKDPKDPFFGEAASGGSLGSWLQHLQQAGEAREALDKSILGEEGEEDEMLMAVRIAAACLSDMPVDRPSSDELVHMLTQLHSF >OIW04883 pep chromosome:LupAngTanjil_v1.0:LG09:10024413:10037781:1 gene:TanjilG_23999 transcript:OIW04883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQGNWAIVKPALTLLIYGLMLFPSIPGSVGYKAVKVFYKLFKWKEGIAVGRTFAGLENYQVDGNKEMIAIGLMNIAGSCSSCSFSRSAVNYNAGAQTTVSNIIMASAVLVTLLCLMPLFYYTPNVVIAAIIITAVIGLIDYQAAYKLWKVDKLDCLACLCSFFGVLFISVPLGLGIAVAISVFKILLHVSRPNTLVLGNIPGKPIFRNLNQYREALRISSFLILAIESPMYFANSTYLQERILRWVREEEERVEANKEDTLKCIILDMTGVTAIDTSGIDTLCELRKILEKRSLRSCKISKCLKG >OIW04435 pep chromosome:LupAngTanjil_v1.0:LG09:19927762:19929762:1 gene:TanjilG_32627 transcript:OIW04435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLYHHVPSYPSLSSSCPSPSPYFTGPGLDPMSLRAATAAATRFNGICGGDAFKSLPSLHQHHSQFGISPNLEQSSGLMRSRFLPKLPIKRSMRAPRMRWTSSLHARFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQMYRTVKTTDKPIASSGHSDGSGEDDMSPIGSSVDRGGGLSQFPDHQRGLPDQPVQQDMDYTSTTPTLWSNSSRYIHSSSFPFS >OIW04369 pep chromosome:LupAngTanjil_v1.0:LG09:19305885:19308460:1 gene:TanjilG_32561 transcript:OIW04369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTHLNQLCINGPLSEAVSILDSMAQQGSKVKPFTYMNLLQSCIDKDCISVGRELHARIGLVRKVDPFVETKLVSMYAKCGHLEEAWKVFDEMRERNLFTWSAMIGACCRDRCWEEVVDLFYDMMRDGVSPDEFLIPKIVQACGKCGDLETVRLIHSLVVRCGMCSSMRINNSILAVYAKYGEMNCAKKFLENMDERDSVTWNAIITGFCQKGKIEQAQKYFDAMQEKGIEPSLVTWNILISSYNQLGHCDIAMDLMMKMESVGITPDVYTWTSMISGFAQRGRIYCAFDLLKEMFLAEIEPNSITIASAAAACASLKSLHMGLEIHSIAVKMGLVDDVLIGNSLIDIYSKCGNLKDAQSVFDMMLKRDVYSWNSIIGGYIQAGFCGKAHELFMKMQESDSRPNIVTWNVMITGYMQNGDEDWAFDLFQRIEKDGKIKPDTASWNSLISGYLQSGQKDKALQMFRKMQSFHIAPNSVTMLSILPACANLLALKKVKEIHCCAVRRNLVSELSVSNILIDTYAKTGNILYSRSIFDGLSLKDIISWNSLIAGYVLHGRSESALDIFYQMRKEGLQPRRGTFASIISAFGHSGMVDEGKKAFSSLSEEFHIIPGVEHYIAMVNLFGRSGKLAEALELIQSMPFEPNSFVWAALFTASRIHRNFGLAILAGERMLELEPGNTITHHLLSQAYSLCGKSWEAPKITKLGKEKDVPVGKCWIERKNLVYTFVVGDQSKRYPDKLHSWLKQSDLRNMEV >OIW05425 pep chromosome:LupAngTanjil_v1.0:LG09:1364644:1367254:1 gene:TanjilG_23251 transcript:OIW05425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFILVSPEYSAAYDPLDPNGNITIKWDVVSWTADGYVAVVTINNFQIFRHIMNPGWTLGWSWAKKEVIWSMVGAQTTEQGDCSKFKGNVPHCCKKTPIVVDLLPGVPYNQQFSNCCKGGVVAAWGQDPSAAISSFQVSIGQAGTSNKTVKLPMNFSLLAPGPGYTCGPAKIVPSTVFLTADKRRKTQALMTWNVTCTYSQFLARKNPSCCVSMSSFYNETITPCPSCACGCHNKKSCIMSDSKFLSMKGIHTPKKDNEPLLQCTHHMCPIRVHWHVKQNYNDYWRVKIAVTNFNYKMNYSLWTLAVQHPNLNNVTQVFSFDYKPILPYESINDTGMFYGMKYFNDLLMEAGPSGNVQSELLLRKDKDTFTFKQGWAYPRKVYFNGEECMLPPPDTYPFLPNSAPKNLLNFTPAFIFSLLALLAVM >OIW04619 pep chromosome:LupAngTanjil_v1.0:LG09:16105892:16107903:1 gene:TanjilG_30517 transcript:OIW04619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLLRGGVLGDSSFRLLSLTSVSSSLHASHNVTPISSSSPTLPLLPKIVTLKTASRNKIICSAVQESSASTTAFSETETETETKEVKAAPKAESEAKKPPAKAPAKSLPQMMEEDVIPSLKAIFEAQEDLSDIELVFQDNKLEGSFLKKGNPYYFWAFFPTGLIGPKGFSLSSYNSGASTVEPFLVDEKKITARHIIFWVEKRLAAQGIIPVWKD >OIW04307 pep chromosome:LupAngTanjil_v1.0:LG09:18725297:18726755:1 gene:TanjilG_32499 transcript:OIW04307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKICYRFLLLALILGSFPLISSSVVSRTSPVTNNPQKIEPNSFDSMDQLLSLFFRDLTSEGIMKKPQEQKQKVVFLSNENDNKKGQEFKVVNDYKMDHIPLNDLAKQNSLLDNTVDYIFTSNFPAASKFIDRTLKTGGVVTVIINNNPSAEFFNPKNYKVLYMRRFGLIGVAMKKTGPEVTSVVADETTNLAAQRKILGYATEAKKAALQNLEDVLLEPPRSASGKSRKYLKRTKYLPDLMGDSLESYPRRVFIDVGLSEKDGGSGTDWFPKNYPTRNKNFEIYKIETVTGSTSEKEVPQIEMSDWLRKNVKEEEYVVMKSEAEVVEEMIRSKAIMLVDELFMECKPHSITKHSGDNAKEGNITRSRRAYWECLALYGKLRDEGVAVHQWWG >OIW05382 pep chromosome:LupAngTanjil_v1.0:LG09:1741778:1743700:1 gene:TanjilG_28847 transcript:OIW05382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSQLLNFSSLICLFIFMIVALKIGKNLKKSHSNLNIPPGPWKLPIIGNIPLILTSTPHRKLRDLAKIYGPLMHLQLGEVFTIIVSSPEYAEEIMKTHDVIFASRPKILASEILIYNSTDIVFSPYGDYWRQLRKICALELFTPKRINSFKPIREEVFTNLIKMIASEKGSPINLTEAVLSAIYTIISRSAFGKKNKDQEEFISLIKEVVVVAGGFDMGDFFPSARWLQVLTGLRPKLERLQQKIDRIHENIINEHKELKLKAEEGQGGVQEDLVDVLLKFEDGNGNNQDICLTKGNIKAIIHDIFSAGGETSATTIDWAMAEMVRDPRVMKKAQAEVREVFNEKERVDETYINELKYLKSVVKETLRLHPAAPLLLPKECGQACEINGYHIPVKSKVIINAWAIGRDPKYWTEPERFYPERFIDSSIDYKGSNFEYVPFGAGRRICPGSTLGLLHVEMALAFLLYHFDWKLPNGMKSEDLDMTEIFGVTVRRKQDMYLIPIAYSPLFAT >OIW05240 pep chromosome:LupAngTanjil_v1.0:LG09:4012438:4012899:1 gene:TanjilG_21225 transcript:OIW05240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLKELRRPVAATIRLTVPAGGARPAPPVGPALGQYRLNLMAFCKDFNARTQKYKSDTPMAVTITAFKDNTFEFTVKTPSVTWYLKKAAGVESGSGRPGHVTTSTLSLRHVYDIAKVKQSDPYLQNMNLESICKNIIGTANSMGIQVVKDLD >OIW04900 pep chromosome:LupAngTanjil_v1.0:LG09:9745627:9747677:-1 gene:TanjilG_23903 transcript:OIW04900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQVRAAKLTEEGLEGKIIIDRLRAVPVIFICLPEPRGLSVETFTNNRRFLMVFPLLTAALSTPPDIWCQIVAPFLISSIIELTIFVASIVQVREEAGRVE >OIW05079 pep chromosome:LupAngTanjil_v1.0:LG09:6955058:6959200:-1 gene:TanjilG_06215 transcript:OIW05079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLWQNGQVVMQSQNHRHIRKPTHPPPARISGTSIRPEVLNQNLFMQEDEMTSWLHHCPIDYDDNQNFCADLLYLPPPTPPVNVNHQSSNNNNSGMQTVAQNSQLTELRQSQKPAAPRPPIPPPRRTEQAVRANTPNFAYFSKQKTKTELGPSSSSMNAAKESTMVDSCDTPFLAAVSRFSEPTEGDGFGGRSMSATTTSGGGGKETTTFGMTSSPGGSSSSGEMDRELRAEDRKRKGRVEAEEWESRNEDVDFESAEGKKKVRGSSTKRSRAAEVHNLSERKRRDRINEKMKSLQELIPRCNKSDKASMLDEAIEYLKSLQLQVQMMSMGCGMVPMMFAGMQPYMPAMGMGMGMGLGMEMGMNRQVMPFPNMLAGSAMPSTTPHLGPRFPMPPFHMQHVPAPDSSRMQAMNQTNNNMPNSVAMPVPNQSCMPNMTDPYQQYLAPHQMQFQLMQNQAMNQLNVSKPSTSRGPEKPENHQSG >OIW04860 pep chromosome:LupAngTanjil_v1.0:LG09:10965462:10969442:1 gene:TanjilG_13700 transcript:OIW04860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISMLNCPTYSSSIAGKERSDGGTHVNAGSGATNMNVACNWSENIIDSWNNMVETATYVKQLLDPYPSLKEVVIPVGTTLTATLIAWVVMPKILRKFHKYAMQTPVSVFPVNISWDPVPYDKSFWGALEDPVRYLVTFIAFTQFGVMVAPTTIASQYLEPAWRGAVILSFVWFLHRWKTNVFARTLSGQILFGLDREKLLTLDKISSIGLILIGMVALAEACGVAVQSIVTVGGIGGVATAFAAKDILGNVFSGLSMQFSKPFSIGDTIKAGPVEGQVMEMGLTTTSLLSDEKFPVIVPNSFFSSEVIVNKSRAEYRAIVTKIPLHIESLSKIPQISDDVKSMLRSNSKVFLGTDAPYCFLSCIESSLAELSLGYNLKQMPKDELYSAQQDILLQTVHIIMNHGASLGSTMQDVLAK >OIW04844 pep chromosome:LupAngTanjil_v1.0:LG09:11251180:11255450:-1 gene:TanjilG_29321 transcript:OIW04844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPKTEQSKSGREIRGSDSSSKKAKDLNIDGYPVGGLSIGGHETCIIFPTLKVAFDIGRCPPRAVSQDFLLISHAHMDHIGGLPMYVATRGLYRMKPPTIVVPISVKEDVEQLFEVHRKMDQSELKHNLIGLDVGEEFYLRRNLKVKAFRTYHVIPSQGYILYSVRQKLKPDYIGLSGNEIKNLKSSGVEITYTLTEPEIAFTGDTMSDFIVDENNADALRARILVMECTFVNNSITVEHARDYGHTHLSEIISYAERLKNRAVLLIHFSARYTVEEIEQAVCELPPSLAGRTFALTEGF >OIW04747 pep chromosome:LupAngTanjil_v1.0:LG09:13939319:13942262:-1 gene:TanjilG_08630 transcript:OIW04747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASGNNFLLVIAKNFDVFALPLVTLVYPLYASIRAIETKSITDDQQWLTYWVLYSLITLFELTFAKVLELLPIWPYAKLILSCWLVLPHFNGAAHVYRHYVRPFYMNPQMPQMPSQMWYVPRKNIFGKHDDVLTAAERYMEEHGTEAFERLISKADREHRARRNGNHMFHNDYIY >OIW05515 pep chromosome:LupAngTanjil_v1.0:LG09:109825:114973:-1 gene:TanjilG_27645 transcript:OIW05515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTDLRKALSDNQSALESQANAVRQLKSSAAAKSEIDAAVEALNALKLEKSTIEREIHALINGDGNGEGSVNKEAFRQAVVNTLERRLFYIPSFKIYRGVAGLYDYGPPGCSVKSNVLAFWRQHFVLEENMLEVDCPCVTPEVVLKASGHVDKFTDLMVKDDKTGTCYRADHLLKDFCNEKLQKDLTISSDKAAELKHLLATLDDLSAEELGAKIKEFGIVAPDTKNPLSDPYPFNLMFQTSIGPSGSSTGYMRPETAQGIFVNFRDLYYYNGSKLPFAAAQIGQAFRNEISPRQGLLRVREFTLAEIEHFVDPEDKSHPKYSEVANLEFFMFPRQEQVSGQSAKIIRLGEAVSKGIVNNETLGYFIGRVYLFLTHLGIDKGRLRFRQHLANEMAHYAADCWDAEIESSYGWIECVGIADRSAYDLRAHSEKSGVQLVAQEKFSEPKEVEKLVIASVKKVLGQAFKGSQKNVVEALEAMKEKEALDMKATLESKGEVEFEVCTLGKTVTITKNMVNIQKEKKKEHQRVFTPSVIEPSFGIGRIIYCLFEHSFYTRPSKAGDEQLNVFRFPPLVAPIKCTVFPLVQNQKFEEVAKLISKSLTAAGISHRIDITGTSIGKRYARTDELGVPFAITVDSTTSVTLRERDSKDQVRVDVENAASVVREITEGHTTWAQVWSTFPHQSSTATDE >OIW05119 pep chromosome:LupAngTanjil_v1.0:LG09:5721687:5724188:-1 gene:TanjilG_02592 transcript:OIW05119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINEATKLYYSMVKDGFTPSTASVNRLLKTLASGKRFDETLVLFSEIVGSGVRIDVGSYEKAVLAAVMLKNVEKGFGLMRLMEKEGLSPSVFVYNLVMGGFCKIRKVKDARKLFDEMFERKVVPNTVSFNTMIDGYCKVGEIDEGFRLKERMKSEDVEANVVTYNTLLTGLCDSGRMEDVRKVLLEMEVNGFLPDGFSCVIFDDRHSSDNAALDENVFGKGEKIDVHTYCILLNGLCKVGRVEKAKEVLAKLVDNGVVIPSNISYNTLVNAYCEEGDMKKAILTIEEMEERGLKPSSITFNTLINKLCETNEVDKAESWVKRMIEKGVSPSVATYNPLIASYGRMHHFSRCFEILEEMEKIGIKPNVISYGSLINFLCKDHKLLDAENVLQDMAGCGVSPNAEIYNMLIEASCSMSKLEDAYRYFDEMIKNGIDPTLVTYNTLINGLGRNGRLKEAEDLLLQMTSKVDQAETWVQRMIDDGVSPTVETYNSLINGYGRIKHFVKCFEILEEMEKIGIKPNVISYGSLINCLCKDHKLLDAEIVLADMAGHGVSPNAEIYNMVIEASCSMGKFKDAFRFFDEMKENEIDPTLVTYNILINGLGRNRRLRKAEDLFIQMTSKGHSPDVITYNSLILGYAQSGNTEKSLELYDDMKRVGIEPTIGTFHPLLYACRKDGVVTAEKFFQEMLQMDLIPDRVAYNEMIYCYAEEGNVSKAMSLHQQMVDQGVDADKVTYNCLILAYLRDRRASEVKHIVDDMKAKGLVPKADTYNILIKGHCDLKDFNGAYFWYREMFDRGLLLNANMCYQLISGLGEERMLQEAQFVSSELSSRELNN >OIW05195 pep chromosome:LupAngTanjil_v1.0:LG09:5226607:5232833:1 gene:TanjilG_19826 transcript:OIW05195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAGHGLRSRTRDSFSRAFRKKGTIALATYLRTYHIGDYVDIIINGAVHKGMPHKFYHGRTGRVWNVTNHAIGVEVNKQVSNKIIRKRIHVRIEHVKPSRCNEEFRLRKKNNDLLKGEAKVKGVVISTKRKPEGPKPGFKVEVMVFLSLRSSSISPNKFTRANEHNEWCHARRKNNVVHCLGSSGNVTKSCGISSTLKERPTTLVTTTDHTTTLMDQGNMVVNDFVTHGIGIVKFLRGKNFFITGATGFLAKVLIEKILRTEPDIGKMYLLIKAENEEAAIERLQNEIINAELFRCLRQIHGKSYRAFMLSKLVPVVGNICESNLGLDEDTCDVIAEKVDVIVNSAANTTFDERYDTAININTRGPCRLMSIAKKCKNLKLFLQVSTAYVNGERHGRIMERPFSFGDCIARENSMSEVPSKVLPTLNIEGEINLVLNYNGNIEDDILAQKMRELGLERARKYGWQDTYVFTKAMGEMMIDKLREDIPVVIIRPSVIESTFREPFPGWMEGNRMMDPIVLCYGKGQLAGFLVDPNGILDVVPADMVVNAILAAMARHGMNQKPDMNVYQIASSVVNPLVFQNLAKLLHEHYSSSPCIDSKGRPIQVPLMKLFSNTKEFYDHLWLDAIKKSGLTNMTHSKGVSQKLENLCRKSVEQAKYLANIYKPYTFYGGRFDNSNTQKLIERMSEEENKEFGFDVKSIDWKNYITNVHIPGLRRHVMKGRGMGS >OIW05085 pep chromosome:LupAngTanjil_v1.0:LG09:6898182:6898538:1 gene:TanjilG_06221 transcript:OIW05085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLWLSNFIRLHLTTPWLMLLYAATWITLLSITVAVATFSLQVAFVSAISPSSSFSRKCKVDGSIRMPLDVPGDILCFPAHLFMESKVDLIALPVFAAVIVAASACVVRAVGLWDAGA >OIW05065 pep chromosome:LupAngTanjil_v1.0:LG09:7149941:7154309:-1 gene:TanjilG_02772 transcript:OIW05065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DVRNMPFVENMVSIYRSLESTFSPSLLPQRSSDDVRVLTPCQASLNSSYGNKKMDKVPSSLPHSKEVGAGKSHKFKGSDTVVHDKTEGLELSPGGRVDSHSTGKPNPMQHSQMAVGGHGDCKVMEMDVNQVTQSATGSPPFCDTKGSECSDLDSEHPLVAGRVEKSSLKRATTFESDGLMIELKRQKNNLAADGYVQSSSTYHNNLVGSCSNMDLKSGMGPGAMFVAKPDDSHTSTSICSFCQSSKISEATGEMLHSANGYMVTGDKAMQPNVIHVHKTCANWAPQAYFVDDIVKNLKSEVARGSKLKCSKCGLKGAALGCLVKSCRKTYHAPCAMEISTCRFDHENFLMLCPAHARVKFPNEKSRSKKQPTQNLPALTHLPSHESNPLPALQDDSKKLVFCGSALPPNEKALFVNFASKVGAVVTKYWTPSVTHVIAATDENGACSRTRKVLMAILNGQWILKMDWLKACMEGTNMVEEEPYEIILDNQGCHGGPKTGRLRALANEPKLFSDFKFYFSGDYVTTYKEDLEDLVEVGGGTVLRSKEELEAKRHECVAAPWKLLIVYNIDPPLGCKLGEEVSILWQRLNDAQDLAANTGYQIIGHTWILESIASCNLQPFVS >OIW04485 pep chromosome:LupAngTanjil_v1.0:LG09:18225604:18236560:1 gene:TanjilG_24182 transcript:OIW04485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLRVQTVASNSNNASIPAMFVRAETEQPGITTVHGINLEVPIIDFSNPNKEKVLSEIVEASSKWGMFQIVNHEIPSHVISKLQSVGKEFFELPQEEKELYAKPLSSESVEGYGTKLQKEENGKKGWVDHLFHIIWPPSSINYRFWPKNPTSYRQANEEYLKYLHGVVDKLFKSMSIGLGLEEDELKKAAGGDDMIHLLKINFYPPCPFPDLVVGVPPHTDMSYITILVPNEVQGLQAFRDGHWYDVKYVPNALVIHIGDQMEIMSNGKYKAVLHRTTVSKYETRISWPVFIEPQPEYEVGPHPKLVNEENPPKYKTKKYKDYGYSSNSNDVAIPDMFVRPETEQPGFTTVHGVKLEVPIIDFSNPDEGKVLNEIMEASKKWGMFQIVNHEIPSHVISKLQSVGKEFFESPQEEKELYAKDPESKSIEGYGTKLQKELNGKKGWVDHLFHIIWPLSSINYRFWPKNPPSYREANEEYLKYLHGVVDKLFKSMSIGLGFEENELKEAAGGDDMIHLLKINYYPPCPFPDLVVGVPPHTDMSYITILVPNDVQGLQAFRDGHWYDVKYVPNALVIHIGDQMEIMSNGKYKAVFHRTTVSKDETRISWPVFIEPQPEYEVGPHPKLVNENNPPKYKTKKYKDYAYCKLNKIPQ >OIW05024 pep chromosome:LupAngTanjil_v1.0:LG09:8218654:8222854:-1 gene:TanjilG_06436 transcript:OIW05024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIKGVREPLIGEQKESKGHPWMVYFTTFVAVCGSYEFGACAGYSSPTQYAITNDLSLSLREAMRVSSAFCAIGWLVIYLSEGPVTLDTGRLATGYGMGVFSYVVPVFIAEIAPKDLRGALTTLNQFMIVAGVSVAYIIGTILSWRTLALTGLIPAAVLLLGLFLIPESPRWLAKRGREKDFVAALQILRGIDVDISQEANEIQIGVGLMLCQQLGGINGVVFYTSSIFDLAGFPSTTGTILYACLQVVITGLGAALIDKAGRKPLLLVSGSGLVTGCILTAVAFYLKVQQVSMGSVPALALTGILVYIGSFSIGMGAIPWVVMSEIFPINIKGQAGSIATLVNWFGAWLCSYTFNFLMSWSSYGTFILYAAINVLAILFIVVAVPETKGKSLEQLQAAISA >OIW05072 pep chromosome:LupAngTanjil_v1.0:LG09:7029988:7032481:-1 gene:TanjilG_06208 transcript:OIW05072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLFHLVDHEDFFSRRCIWVNGPIIVGAGPSGLATAACLRNEGVPFVVLERANCIASLWQRRTYDRLKLHLPKKFCQLPKLPFPQDFPEYPSKKQFIDYLETYARKFEINPQFNECVQCARWLVVATGENAECIMPEIEGLGEFKGEVVHACDYKSGERFNGKKVIVVGCGNSGMEISLDLCNHHASPFMVVRSSVHVLPREIFGLSTFELAFFMLKWLPLWVVDKFLLILTWFILGNIEKCGIKRPTKGPLQLKNTKGKTPVLDIGTLEKIRCGNINVVPGIKRFNDRNVEFVNGEKIDVDAVVLATGYRSNVPSWLQECEFFSKNGYPKMPFPHGWKGNSGLYAVGFTKKGLSGASYDAMNIAKDISKVWKQETKQKKQRTTACHRRCISQF >OIW04813 pep chromosome:LupAngTanjil_v1.0:LG09:12348078:12350472:1 gene:TanjilG_15326 transcript:OIW04813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLNRKTEKLVKTVTIVSTVTASYFLLTADYGPQPNALDPIKKRILSAETTVKEYFLGSKKESQENHTRKLDSNKEHP >OIW04989 pep chromosome:LupAngTanjil_v1.0:LG09:8353993:8358244:1 gene:TanjilG_24461 transcript:OIW04989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAIYGARLTTFEDSEKESEYGYVRKVSGPVVVADGMAGAAMYELVRVGRDNLIGEIIRLEGDSATIQVYEETAGLMVNDPVLRTHKPLSVELGPGILGNIFDGIQRPLKTIAKRSGDVYIPRGVSVPALDKDILWEFQPKKIGEGDLLTGGDLYASVFENTLMQHHIALPPDAMGKITYIAPPGQYSLKDTVLELEFQGVKKKFTMLQTWPVRTPRPVASKLAADTPLLTGQRVLDALFPSVLGGTCAIPGAFGCGKTVISQALSKYSNSDAVVYVGCGERGNEMAEVLMDFPQLTMTLPDGREESVMKRTTLVANTSNMPVAAREASIYTGITLAEYFRDMGYNVSMMADSTSRWAEALREISGRLAEMPADSGYPAYLAARLASFYERAGKVKCLGGPERTGSVTIVGAVSPPGGDFSDPVTSATLSIVQVFWGLDKKLAQRKHFPSVNWLISYSKYSTALESFYEQFDPDFINIRTKAREVLQREDDLNEIVQLVGKDALAEGDKITLETAKLLREDYLAQNAFTPYDKFCPFYKSVWMMRNIIHFYNLANQAVERGAGTDGQKITYSLIKHRMGDLFYRLVSQKFEDPAEGESALVAKFKQLHEDLTSGFRNLEDEAR >OIW05487 pep chromosome:LupAngTanjil_v1.0:LG09:345410:347722:-1 gene:TanjilG_12078 transcript:OIW05487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWFRVGTSIAKHAIKRTLSQGGSSYLVSRARVLPSSSNGRKLHTTVFKSRAQSAPVPRAVPLSRLSDSFLDGTSSVYLEELQRAWEADPSSVDESWDNFFRNFVGQSSTSPGISGQTIQESMRLLLLVRAYQVNGHTKAKLDPLSLEERVVSEDLDPGLYGFSEADLDREFFLGVWRMAGFLSENRPVQTLRSILTRLEQAYCGSIGYEYMHIADRNKCNWLRDKIETPTPNHFNRERREVIFDRLTWSTLFENFLATKWTSAKRFGLEGGETLIPGMKEMFDRASDLGVESIVIGMAHRGRLNVLGNVVRKPLKQIFCEFSGGVQPEDEAGLYTGTGDVKYHLGTSYDRPTRGGGRLHLSLVANPSHLEAVNPVVVGKTRAKQYYSNDVGRLKNMGILIHGDGSFAGQGVVYETLHLSALPNYTTGGTIHIVFNNQVAFTTDPTSGRSSQYCTDVAKALEAPIFHVNGDDVEAVVHACELAAEWRQTFHSDVIVDLVCYRRFGHNEIDEPSFTQPKMYKVIRSHPSALEIYQKKLLETGELTKEDIDKIHKKVTSILNEEFMASKEYVPKRRDWLSAYWSGFKSPEQVSRIRNTGVKPEILKSVGKAITSLPESFTPHRAVKRIYEQRAQMIETGEDIDWGCAEALAFATLLVEGNHVRLSGQDVERGTFSHRHSVVHDQTTGEKYCPLDHVVMNQNEEMFTVSNR >OIW05012 pep chromosome:LupAngTanjil_v1.0:LG09:8165436:8169911:1 gene:TanjilG_06424 transcript:OIW05012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSRTSVKRKLEHHFDKENEEAEDHRHSKVLVLDSDHDVLPDIHRHVSLLTSSDSPSLNTALLFLSQFATNEEFVDAIIESGAVPALVKHLQLQQQRQQEDPILNNSSRYDVQIKCAYILGLLALKPEQQLLIHNAGALPYLIDLLKMWDNRNKTIPLGLPCLLRRAADAITNLAHENSVIKNFLRIKGAIPPLVQLLEFTDTKVQRAATGALRTLAFKNFDNKKQIIGCNALPPLTILLQSQDPTLHYEAVGVIGNLVHSSSPDIKKDVLLAGVLQPVIGILSSSCSESQREAALLIGQFATIDSDCKNHIAQRGGITPLVEMLKSSDTHVREMSAFALGRLAQNSHNQAGIAHNGGIEPLLSLLGSKSGAIQHNAAFALYGLADNEDNVSSIIKAGGLQKLQEGIFRAQPTKECVAKTLKRLEDKMHGLVLRHVLYLMRFAEKSVQIRIALALAHLCSDNNRKSIFIDNNGLELLLDLLESTSLKQRCDAAAALYKLATKTTASPLVDAAPPSPTLQVYLGKRFYAHRKCLLASSDTFRAMLDGGYREREGKDIEIPNINWGVFKLMMRYIYTGTVDVNLDIAHDLLKAADQYLLEGLKRICEYAISQDISVDNVFEMYNMSQPFNATSLRHACILFMLEHYEKLRAEPWFCSLVHCSIPDIRQLFSTLLTKPYSADS >OIW04704 pep chromosome:LupAngTanjil_v1.0:LG09:14783870:14784556:1 gene:TanjilG_06146 transcript:OIW04704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNADGNDGLVKGKCSQRKESSPLNDKRKSLVLVNHFKTVPVKAFTAKDNSKNLIDMLSTCYGAAGNRWANFVAVDFYKKCQGGGAFEATDKLNWRLMCGCDDLHACAVSLFTNLLVIWFNCGTFIMFNMLYQLYMNLCIKLLETIGIYKLIDTPMLKNMNVVYMNALLSYVRKS >OIW04493 pep chromosome:LupAngTanjil_v1.0:LG09:18037574:18041586:-1 gene:TanjilG_13875 transcript:OIW04493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNEDLNGGDKLSMFRKVKPYLAMVSLQFGYAGMYIITMVSFKHGMSHWILSVYRHVVATLIMAPFALVLERKVRPKMTLPIFLRLAVLGFLEPVLDQNLYNMGMKYTSTTFASATVNVLPAITFIMALIFRLETVNLKKIHSLAKVIGTAVTVSGAMVMTLYKGPELQIIKGQSGNQNNNATTEASNWVLGTLMLIASCGGWASFFILQSFTLKLYPAELSMTSWICFLGIFEGAIASLIFERDMRVWSIGWDSRLLACVYSGVVCSGMAYYIQGVVTRERGPVFVTSFSPLCMIITAALGSVVLAEQVHLGSIIGAIIIVTGLYTVVWGKSKDSLNTNEGKGEGQELPIKDDTRSGSNNFESIEVNVPVQMKGVGKSVLPTART >OIW05334 pep chromosome:LupAngTanjil_v1.0:LG09:2431986:2440555:1 gene:TanjilG_28799 transcript:OIW05334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSQYSFSLTTFSPSGKLVQIEHALTAVGSGQTSLGIKAANGVVIATEKKLPSILVDEASVQKIQLLTPNIGVVYSGMGPDFRVLVRKSRKQAVQYQQLYQEPIPVTQLVREVAAVMQEFTQSGGVRPFGVSLLVAGFDDKGPQLYQVDPSGSYFSWKASAMGKNVSNAKTFLEKRYALTSMAVLYTDDMELDDAVHTAILTLKEGFEGQISGKNIEIGIIGADKKFRVLTPAEIDDYLGEVE >OIW04612 pep chromosome:LupAngTanjil_v1.0:LG09:16068353:16068625:1 gene:TanjilG_30510 transcript:OIW04612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKVIGLTPADRMERKDNQDWLQNALEQHLQKLMDQGNWAVVKLTMFLLIYWLVLFPLVPNMVGLEAMGVFYRYTSGRSTSCLQSWEILS >OIW04422 pep chromosome:LupAngTanjil_v1.0:LG09:19791174:19793574:1 gene:TanjilG_32614 transcript:OIW04422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTCLSKKKDSSNPSNVAGSKSFFTAPSQSNNVVTVTKLELNLKKERKTVEEKQQNQLAHEKDEGQVKKEIFIIKHRKSHDGNIRERNSKIQPLTSQNNVQPQQNNGSPSASTSSTNEASEKSMAGNNSNNNNMTAPSTPNMVMVRSSSCTKEEVDAILIQCGRLSRSPSSRAASSSGGRKYSDSKRSFDFDHCDSNETISADSDPKRVIDEDHDDGKSSHHQHWQRHRHRQSPKKRGSSPSSQGRRRTPSREREQQQQRSSSRERRVSRSPGKRSSETNNSNNNIGSIRPGKMVSVPATVTSLVMDKNNNGGGVIESASIKRVSVKRNGVGVGDGLRSAASPRSQSPASANGNANQPCSPYRRNPLSDIDPCSLAYPQSNTNNSSNNVQNKAKWRETEANQKLNADVNENSKNRISRRVALEEGEIGDCKIKEQQEEEINVLCSMIDNVAVKNVIQSSADNLKQQPQTLTKSRSSRRSRDLDLNPESLLNPTQTYTSLLLEDIHNFHQKTTQQQLPPCLTKACSILESVADLNSTTSSNFSDNQRSPPTYQSLRNNNEHNHYGKRVQLPSTKDHPFVGSEVVMSDDMMEPSLHKYVTVKRGGIVDMEDQESSGSNSFIATSNGQNHLRDISSSWEPNSADSTDSWTSRLHSREDLEGGNDMKTLSSKRRECDHQHSNGIGRGRLGSKGVVIAASST >OIW05504 pep chromosome:LupAngTanjil_v1.0:LG09:168428:172253:1 gene:TanjilG_27634 transcript:OIW05504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDSTVKNLAIIEKKAHKPGGCVGIFFQLFEWKRKLAKKKLFSKKLLLPARAKKFNGDEKMTNAKLHLITNENSGGFPSGRKCGNRRSNVDEKSEMQAPGLVARLMGLEYVPAVQQYKSKKALVSGSGSDEEKEVLGDNCRSDRQGVDLEVGIVKHDSRPQKLQKIGTCEKMAVTRFGAEALQIKSVLSRAKKNNHPKLASPLKSPRINSGKSASRSSRLIGAATKLLEPGLQARGRAKDCLTYPASVYPPRTGIVTNGVGTSSAVIENQSCYNATTAKTSIGHTSCKNCGNFLDVVDCKPEFERRPAVPPAIVSDTTAPNSMASPQKKGRAFTSSHDLERDIVLLRSKEKIISVVTEDEGKSNTQVQQCCNVSATRRMPMPRESPATWNSSHQPFRTQENMLRSERLSSGSTMSNMQIKRVSPSMSGTKDFVAFNRNLSGRTRMRSPTKMDSSKFDMEKKPCNRQHSSLSHVRTLERKRRTPNVTQVEGTASVNSIGVKPRNLHCERRDFDGSSMNSSNVKSKQGGREKTIKVDDKKINEVVSFTFNSPMKQKLGIPSEKEDTSNNNERNNTFSQRPSTLRIDDLGAFLEQKLKELTSQEDDELATGAPPKKSSAVILQELISALGSEQLLCHDDHHMLNENAGLHYGAKQDRLSGASCNGNHLSPGSVLEASFSSSSLDECSGHGFHPDSTSSLYNQMEHLKYDYELLDSATSFNKHRICCQKLTDLVNQIPKALRSLYSSGTRLTTSKLTHMKDVILNAELVFGLSTNHSDDELPQLLISRFLFDELDNMVDDATFTDFNLFVGCDDDSEQRKELKGFLFDCVIEYLESNCCRYSNCGFKAWTKLSLCAKPEILAREVTTEMKKWTCMVGMMPDEIIEWEMSHSLGKWTNFDIEAFEVGVDILEILVDEIVEDFVGCNLGSICL >OIW05400 pep chromosome:LupAngTanjil_v1.0:LG09:1582351:1583663:1 gene:TanjilG_28865 transcript:OIW05400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSQNKSVIAIHNEEEEEEYKDQIEEQPLLLKTTKVVEYLEPFMSLELLCKFPDNSLYDFDYSQSTIWSPLVPRHYHPMNFDIISPRKLSYEMGLELFGERSSVKKMGTKVRKKFNVNLDFSEKQRKKKKKMMMISDLSPTNPGVKVSCNPMFKKGWAKALKAASKQFKRWKGKRDAHGMLPKSFRVGDV >OIW05092 pep chromosome:LupAngTanjil_v1.0:LG09:6736589:6737671:1 gene:TanjilG_06228 transcript:OIW05092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDININVPNSEENNNEWLNLSLSISSKPDSQQMQPNLQLEPARSQSQPQPNIQPELTQPKPKWYKPNPFTFQHIPLEISKPSFSPLLEYDLLKSPQVHPLTQPYTPLLPANYFPSTSQPQLQPRTEPYIQSLQETYFSVLPSPTTSSMLQQPDNMHEKSRLPPSDFQIQEPNVHQDQDKVGPSRLRPRKVLVRATNQATVDTINPPYQWTTSTRATIHSLEHLISQNIISISGKVKCKQCGDLYEIEFNLRDKFNEVVGFIIEERDNMYDRAPQSWTKPVLQTCNHCGKENTLEPVFTKKRIINWLFLFLGQMIGCCKIEYLKYFLKHTKNHRTGAKDRLVYYTYLGICKQLSPNGPFNP >OIW04783 pep chromosome:LupAngTanjil_v1.0:LG09:13311925:13315929:-1 gene:TanjilG_06372 transcript:OIW04783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATASSDVSDGPVLNLINKRLRALRKKLNRITNTEESLSQGKQINKEQEEVLRSKPSLLALIDELEKLRQPLQTALNEELNLALTRNSNNPQTGTLETGSIEPESENKPNDDAVVVEDILNLLYFGSLFDVKSQSDFASTMLTRTHERGCCLTYDYVTDDATDLLREKDLDLIAAMRGLLISRPVDSSLSHKNALQQCVEHAKLWLSRAEQPIEPNADVTYAGLRERLSKIMSSEYFTITPEMKATVEFAAAAASGNYYPVEVEGSVSPSQEKDEGTANFQGDGSGDDQFDLEGKHQKDDVEAENAVEVVSVEHEQTAPLMDVEHNQQDVETIEQRHYPRRGYQNQRGGRGGGGGRRGYSNGRGGRGGGRGYQNGRNQYYDQQPGNYYPRNYNNSRGRGGGRGGAYSYNNHGPGGQVNHVAGDVGVQS >OIW05074 pep chromosome:LupAngTanjil_v1.0:LG09:7005959:7012280:-1 gene:TanjilG_06210 transcript:OIW05074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFEDHNAEDFSHDNLTNKRKRGRDWTLNTAWQRLFKLRWPDLIDKIQPTDWQQAYWETHLQNCFDEAAEIALVPSFNGYISNIQMSDSILNYIGFTGHTNHSTRDHSKLFYHCQQFSSYTSCLRLQNVLCAAETSDLLRECKLRSLVLSWVRSKEQIDGLCKLLTQHSKTLTSLEFIHCTVSKYFIDAICGAVVINSVHRHGIQHFSIILSSFLDPCTFSLPTGFVSFLSSGRSLRSLKFANNNHGWNFAKALFATLLNISSSITALDLSENEIAGWLKDFNKRFSTGSPLSFGIGKSLQLLRVLNLRGNYLREDDAESLVYAAAHMPILEDLDISDNFIGDEGIRNLIPLFDGTSGTCSRLVYLKVETCELSYVGVGHLLDALSNFKGPLKSLSIADNYLGSQVAEALGKFLSTPVEVLDIAGIGLDTSGFQKLQNLMKDDLKLVKIDISKNRGGIETAKFLSTLLPKAPQLIDVNAASNLMPIESLDIITCALKLAKGKVEHLDLNGHTWNYKAEHASLHTEFVNDGKPILILPSPSLFAAPYDDDP >OIW04446 pep chromosome:LupAngTanjil_v1.0:LG09:19992148:19994920:1 gene:TanjilG_32638 transcript:OIW04446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLLSIFSLLFLFAIIATGDDLASDRDVLLILRAAVGGRSLLWNITQTSPCLWNGVFCNENRVTVLRFPGMGLSGKIPLGLGNLTQLQTLSLRFNALTGTIPSDFAKLVNLRNLFLNNNLFSGEIPDSLFNLKNLVKLTLGNNNFSGEISPKFNDLTRLDTLLFENNNFSGSVPDLNVPSLQSFNVSNNHLNGSIPKRFSDFSVSAFAGNSLCGKPLQPCPGTESGKNSKKKLSGGAIAGIVIGSSIGVLLILLLLFLLCRKVSGKNDSNDVVPSKQVEAVAPREKSGNDSNSVVAAAKNDFKNGNSLVFFSNVNKPFELEELLSASAEVLGKGTFGTTYKATINMGMSVAVKRLKEVTAPENEFRQKIEQVGKMAHVNLVPFRAYYFSRDEKLILNDYMPMGSLSALLHANSGAGRTPLNWETRSGIALGAARGVAYLHSHGPTSSHGNITSSNILLTKSYEARVSDFGLAYTALPTFTPNRVSGYRAPEVTDAGKVSQKADVYSFGIMLLELLTGKAPTHSSLNEEGVDLPRWVQTVVQEEWSNEVFDMELLRYQNVEEEMVKLLQLAIECTAQYPDKRPSMNVVESRIQEICNSSLEKERLSEKYYPAESVAPQD >OIW05393 pep chromosome:LupAngTanjil_v1.0:LG09:1641859:1645266:1 gene:TanjilG_28858 transcript:OIW05393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTATVTLSLCQWPSPLPSLRQSHLSASLTHSHLSASPKDQTIFQINNSGVIACLRANSAELAFEAANAAIAGGISVLEIVVSTPGVFEVLQQLAKEHPTIALGVGTVLGIGDAKRAFNAGAKFMMSPAIIKDIMDYVQSDEILYIPGAMTPTEMLSAYEAGAKIVKAWVESVLCGADAM >OIW05387 pep chromosome:LupAngTanjil_v1.0:LG09:1699475:1700413:-1 gene:TanjilG_28852 transcript:OIW05387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFHFSFGSQPVTSRNQGSSPVESISDEPFPIKTTESTVTCIYQANVARQWRNVSVLWCKNLTNHTLHLNVDSIGGEIHHTCKIDVKPWYFWNKKGCKSFEVDGHQVEVYWDLRSAKFSGGCPEPSSDYYAALVSDEEVVLLLGDQNKKAYKRMKMRPSHVEAMLLMKRENVFAKKSFTTKAKFDEKRKESDIVVESSTSGSKDPEMWISIDGIVLIHVKNLQWKFRGNQTVMVNKQPVQVFWDVHDWLFSVSGSGPGLFIFKPGPTEGENENEDKGYEGCESDDGSSGFYSTLSYAPPFESCFVLYAYKLE >OIW04381 pep chromosome:LupAngTanjil_v1.0:LG09:19417600:19421512:1 gene:TanjilG_32573 transcript:OIW04381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGMKFTERFKSTQVHALNSSETSSRRNKACDGSNSAKKIRSFLSMSKSNNNSTTSGIANLVAPFQLPSIDTLEPCIEPYLKPINLVETLAELYHRQECCHQSQKALLYMEQYSLLCNLRDQKLLRRCLRSARQNSVDVLSKVVLSAWLRFERREDELEGVSSMECGGGCILECPKVNLIHGFNPCSIKDRCQCGQETKQETSIESVFLPDEEKKDVCFCIGNEEINCVRWRIAALSDPFKAMLYGGFAESKMRKINFTKSGVCPKGMMAVELYSRAKRLDFFTPMTVLELLSFANRFCCEEMKSTCDAYLASIVGSVDDALILFDYGLEERAPILVASCLQVFLRELPKTLYNSKVMKILCSSEAKERLAMVGYDSFLLYYFLSQVAMEESMVSKTTMMLLERLGDCAKERWQKALAYHQLGCVMLERKEYKDSQHCFEVAAEAGHVYSVAGVARTKHKQGQSCSAYKLISSLIFEHKPTGWMYQERALCNTGRETSFDLDVANELDPSLSYPYKYRALAKVEEKQIMDGIVELDKIIGFKLSPDCLELRAWLFIALQNYDSAMRDIRALLTLEPNYITSHAKITGKYLVHLLSHELFSAFSSVTIYNVKRITHINEFRRLNCQKAAMHSLRMARNHSSSIQERLIYEGWILYDTGYREETLARADRSITIQKSFEAFFLKAYVLADTNLDAESSSYVIQLLEAALKCPSDGLRKGQALNNLGSIYVDCGKLDLAKACYENALAIRHTRAHQGLARVYHQKNQRKAAYDEMTKLIEKAASNASAYEKRSEYCDREMAKGDLDIATQMDPLRTYPYRYRAAVMMDEQKETEAVEELTKAISFKPDLQMLHLRAAFYESMGDLNSALQDCQAALCLDPNHTDTLDLYQRAQKLRF >OIW05161 pep chromosome:LupAngTanjil_v1.0:LG09:5546314:5546679:-1 gene:TanjilG_19792 transcript:OIW05161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRNNRSHPKLDLKLNLSVSPPRVNHHRGLESSPTRSATISPTSPPSSCVSSEDNNDNNNNNNNNNYNCNSPEATSMVLVGCPRCLMYVMLSEEDPKCPKCKSTVLLDFLHDYDNNNNKRV >OIW04454 pep chromosome:LupAngTanjil_v1.0:LG09:20044015:20044347:1 gene:TanjilG_32646 transcript:OIW04454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKKKKYQTETEESTTGSKESKLISKLHSKLSSRVLSMVKLLSWRKVQAETRYDEEDYDDEDEQVLWRKNILMGERCRPIDFSGKILYDSKGNMLSDLSHQNEHQHHIQY >OIW05084 pep chromosome:LupAngTanjil_v1.0:LG09:6899426:6903239:-1 gene:TanjilG_06220 transcript:OIW05084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGIVSLVTGRVGPSGFGSASTAEQVTEGIDASNLTVIITGGASGIGFETARVLALRKAHVIIAVRNMVSAKEARQLILEENQSARVDILKLDLCSVNSVRSFVDNFIALDLPLNILINNAGVMFCPFSLTEDGIEMQFATNHLGHFHLTNLLLDKMKQTAKVTGIEGRIINLSSIAHNFTYRKGIRFDKINDRKGYTNKRAYGQSKLANILHTNELSRILQEEGVNITANSVHPGVIMTPLMRHSSYLMYCLKIFTFYIWKNVPQGAATTCYVALHPSVKGVTGKYFVDCNEFKPSSFASSPQLGKKLWDFSNKLITSISKS >OIW05510 pep chromosome:LupAngTanjil_v1.0:LG09:137440:138455:-1 gene:TanjilG_27640 transcript:OIW05510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYYDLQKNAFGACEGMKGSLPITNQNGPVICPKPCRVGVLTNMSIRPLRWHFSQQVEESDSKAGAELLDIILKKETYEEEYANQLVSSPPYFFGSPPVRAGNPLINDARFRDEQHTPMSSISSPLCLLSPTSASHKAGCARTKYGPKPAAVRVEGFDCISRDHKNSSIPSVA >OIW04189 pep chromosome:LupAngTanjil_v1.0:LG09:21160178:21162794:1 gene:TanjilG_00749 transcript:OIW04189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLLVCWCLESSELQSEENKVSMEKNKKRKLKTPSQVAGLEKFYHEHKYPTEEMKSELAKELGLTEKQVCGWFRHRRLKDKRMLKDESVANGRQDRSSGVIQDHGSGLGQDSCGSSKHGDYKYLDPKEVESQVLHNNGFSAADLAYGHRNHYTENVRGMEDTSSESSSYLQDRLFPQGQDPYDMESSRYLTPNRALPPLNPKSAINTRHKASGYLKVKREIENAAVTALKKQLARNYREDGPLPGVEFDPPPPGAFEGQNSDPVREPYSVSNPALPNSSDISPLKRQFSLGSRYDWYNTKFSSQDSHMEVDFSSLHGGACIQDKQDKKARKSIKKRQTFYSNNHFPGRNSSMDLYEDNNGEASAYNSTKNHRIGTMHGVEGMGSDTTSIHADHYEEDLAVNQTDLLQHGYDNLNLKNVQSSGYAKSKASNSVRNPQISVDTEERGHPTRTAKEGMFKGGRKPKKQHCDADGAKMLSKEIEDAKQTKIDPFQQYHVKQAPVAEIDQRKIQRSATPIPSSFSEDETADASSSLD >OIW04105 pep chromosome:LupAngTanjil_v1.0:LG09:20551982:20555718:-1 gene:TanjilG_00665 transcript:OIW04105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSNDVEAVIEFLRKNGLSEAESALRQDIIEKGSSGDADLATFDYENFFFPMVPPPPPVKLRSSEPAGTLFSASSDDEFVSIGSFTSRVSSSEFINPYGIRSSSQTQNDSESSSDRLSQFGTARDYHDFDMQNEPHWYNEKDDECFMTPNFKGLDFFGCQAGDKFVIPAENENEHDHSSGLDHKNEELRLEGNGGYMDKACLYNHSSVADGNVTYSEGYCHMDNKDHFDGDPTNFSYPNLKEIHMNDFLLKVVGDITSFDSESQHTMNQNFDYDTKKDNTKGYKGPYDLTIELAETDPPNGLDIYEARHGGELSEECQDPETAAAGEDTTDDELLKYIHDDEYEVFDLRIIHRKNRTGFEENKELPIVLNTIIAGRYYITEYLGSAAFSRVVQAHDLQTGVDVCLKIIKNDKDFFDQSLDEIKLLKLVNKHDPTDERHILRLYDYFYHQEHLFLVTELLRANLYEFQKFNQESGGEAYFTLNRLQIITRQCLEALQYLHNLGIVHCDLKPENILIKSYKRCEIKVIDLGSSCFQTDHLCLYVQSRSYRAPEVMLGLQYDEKIDMWSLGCILAELCSGEVLFPNDAVVMILARMIGMLGPIDLDMLAKGEETHKYFTKEYDIYHVNEETNQLEYIIPEESSLEQHLQVTDTMFIDFVKCLLSINPKRRPTARQALKHPWLSNIYKS >OIW04979 pep chromosome:LupAngTanjil_v1.0:LG09:8442886:8444557:1 gene:TanjilG_01175 transcript:OIW04979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLDKHLSDDEIIQVFKDWKKEHGRVYKDNEEMAKFAIFKANLNYIIEFNARKNSPSSYTLGLNMFADWSENEFKETYLSCLDTSTDSDMPTNNVIELDRLPQPTPPLSLDWRVKQAMTDVKNQESCHGCWAFSTIGGIEGINAIDTKKLVSLSPQQLIDCDTASKGCKEADYPFKGQQGKCPDSKVKNSATISSYVKLEEVEAALLNVVAVQPISVGVDATGMIHYKKDSIYAGGNCKDTTNHAVLIVGYDSTKEGVDYWIVKNSWGKDWGNDGYIWIKRNTGLPNGVCGIHTRAYRPMKDKKEFKAQY >OIW05311 pep chromosome:LupAngTanjil_v1.0:LG09:2955505:2959764:1 gene:TanjilG_03700 transcript:OIW05311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQNQSKKKDIKELDFFSEYGDANRYKFLEVIGKGSYGVVCAAIDTHTGEKVAIKKILDIFAHISDAVRVLREVKLLRLLRHPDIVEIKRIMLPPSKRDFKDMYVVFELMESDLHKVIKANDDLTHEHLQFFLYQMLRALKYMHSANVYHRDLKPKNILANANCKLKVCDLGLSRVAFSDEPSIVFWTDYIATRWYRAPELCGSVTYKYTPAIDIWSIGCIVAEVLRGKALFPGKNVVHQLVLMTDLLGTPSPEIIKGFRNSKARKCLTEMKKKLPVPFEKEFPNADPLALRLLQRLLSFDPKDRPTAEEALADPFFKNLAKVEREPSCPPISNLDFEFERRQMTKEDIRELVYREILEYHPQMLKDYKNGTEGINFLYPSAIDQFKKQFAYLEENQGKSDPVTPLERKHVSLPRSTVYSSTISPSTQPSFPPYENKQIAAEPNSGSQSLPAVQTGKPDRVVGQVPPYDNNERNIKDAYDSSKPCHTLPHCFNKVQPTNPKTTEIYNDVPSGQGKNDHNNANPYQQQGKNDQLNDPVTAIDVKLLQAQSQFGAVGAVAAQIKPGGFQCGML >OIW04889 pep chromosome:LupAngTanjil_v1.0:LG09:9960420:9962672:1 gene:TanjilG_24005 transcript:OIW04889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLLTLQLGNVLLSMFVRFGNLIDAWYVFGKMEERNLFSWNVLIGGYAKAGFFDEALNLYHRMLWISVRPDVYTFPCVLRTCGGMSDFLRGREVHVHVLRYGYESDVDVVNALITMYVKCGYVDTARLVFDKMPYRDRISWNAMISGYFENGKCLEGLRLFFMMLECPVDPDLMTMTSVITACETLGDKKLGKEIHGYVLRTEFWREPSVYNSLIQMYSSVGLIDEAEKVFSRTENRDVVSWTAMISGYENSSLPQKALETYKMMEVEGVMPDEITIASVLGACSCLGRLDMGTDLHEIAKQRGLISDVMVANTLIDMYTKCKRIDKALEVFHSTSNKNIISWASIIFGLRINNRSFEALLSFREMMLQLKPNSVTLVSVLSACGRIGAMTCGKEIHAYALRTGVSLDGFMPNAILDMYVRCGRMEYAWKQFFSGDQNVAAWNILLTGYAERGKGALAIELFQKMLESNIYPDQITFISILCACSRSGMVAEGLDFFNNMKYKYSITPNLKHYACVVDLLGRAGKLEDAYEFIQNMPMKPDPAVWGALLNACRIHHHIELGELAAENIFRDDTTSVGYYILLSNLYADIHKWDKVAEVRKMMRQNGLIVDPGCSWVEVKGTVHAFLSDDNLHPQIKELNAVLERFYEKMKEDGIGGPESDDMDIMEASKADIFCGHSERQAIAFGLLNTAPGTPIWVTKNLYMCQSCHNTVKFISKEVRREISVRDTEHFHHFKGGICSCMDEGYLNRSV >OIW05070 pep chromosome:LupAngTanjil_v1.0:LG09:7058304:7062416:-1 gene:TanjilG_06206 transcript:OIW05070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPGGHDLRVQVIAFRPSGTPFKVLSTDIYYAVMYDIVFSLYAVKSVSLSVSFTSSERGKTWLVKPSSRPSPASAPSPSYQGPSVTPRPRHYRHRGHHHSTTPYVVAPPPSEDLDCVCTEPLISTPYGSPCGCVFPMRVRLSLDIALYAIFPVMDELEIEVALGTYLEQSQVKIMGANADSQNQGKTIVDIYLVPLGDKFDDTTASLTSERFWHKKVPLNRTLFGDYNVMYLTYEGFPPSPSYGNYDGNGPGESPKSLPLGANFDNKNRKMNLRTILIIALTSFVLLLVLVGACFGILKWRKVTKPSSAVGPTFTSSINKRSGLGSMLSSSITSSTSMSLMSTMPNSIQSVKTFSHSELEKATDKFNSKRVLGEGGFGRVYSGTLEDGTEVAVKMLTRDNNQNGDREFIAEVEMLSRLHHRNLVKLIGICIEGRRRCLVYELVHNGSVESHLHGVDKKDGPLDWEARMKIALGAARGLAYLHEDSNPRVIHRDFKASNVLLEDDFIPKVSDFGLAREATEGSHHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSFGVVLLELLTGRKPVDMSQPEGRENLVTWARPLLTTRESLEQLVDPSLAGTYNFDDTAKFASIASMCVHPEVTQRPFMGEVVQAIKLIYNDTDHETCGDCFSLKDSSTHESDFRGSHLARSDSSWWNGGGLTPRLTYGQTSSFITMEYSSGPIEMENRHFSTSSLVEDISLPIRHGNMSGPLRTNRSKLSLYRFTGSKSEHGGVSPNCEW >OIW04614 pep chromosome:LupAngTanjil_v1.0:LG09:16074137:16074685:-1 gene:TanjilG_30512 transcript:OIW04614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPNICDSQSSILSTLLVQPINSSNVVPLAEPQKEKLRSSTPKQRARESARETMGLISKDRKRELEMMMKKKKNDKLKVSETPTIIGTPGLDLITLGLVDVEKLTKYELSVEDGRELVKEYSRIMMRKHRVRQASESTLLRMKKEAIEALPEGGLREAALVPDLSPFPANRFMATLTPPPPH >OIW05179 pep chromosome:LupAngTanjil_v1.0:LG09:5352408:5357233:-1 gene:TanjilG_19810 transcript:OIW05179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKTLASPDLTNDQMLKTLVESQVRRSSGQEIEGYREKLIETKTAEVSNILDMLRSASIDDKGAGGSSISHSDWKLKQDNEEFRVMYREAPEGTPFHTLLVEGYVDGPVDACLCLSWETSLYKTWWPQSTIPTFKIIAADCLQKVQIGEQLALVRMKVPWPLATREVIVHYYVFEYFQDDLIVVILNSVSESKSIDGTITGFDNDAIPEAKDVVRMDLVGGFVVQKVTSERSYFRTIANMDIKVDLIPPSLINFISRQLIGSGFRLYQKAVASKKSHDKELIKALEDPLYVRIREALYSINGSKAINGEDLTQVANIQSKQDAAKHISWEDRSNQHANILNGEIVEDIIDSVEEDIVEIVEEDYGEIVEADSEEIVQIEKSDKKVDDIPNEQVDIDIRSVVKGKRNVNISSEVKLALGTLDRALSMVRKNRFHSRRSSSRSANDESRCMEKDGVVDSYSSKLVQECSKIEFSFQVSNSNIVEEISQEPGTNSVIQNFSHTGTNPNSKEVNYNKVVPASSEQNLSRPIEATEVASYSSKNGTTMDQTRCDNQLLNTDTIQDMASDDPKNSTRQKKSNNLVNQGTSLDVPKQPRVQKKYRYCCFLH >OIW05357 pep chromosome:LupAngTanjil_v1.0:LG09:2088535:2091124:1 gene:TanjilG_28822 transcript:OIW05357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPGSGQLTVPPGFRFHPTDEELLYYYLRKKVSYEAIDLDVIREVDLNKLEPWDLKDKCSIGSGPQNEWYFFSHKDKKYPTGTRTNRATTVGFWKATGRDKAIYHSSSKRIGMRKTLVFYTGRAPHGHKTEWIMHEYRLDEDDAEVQEDGWVVCRVFKKKNQNRCYQQEIEEEHFTNMRTTGPSQILEPKHHHIQGLYDYNNFDGSMHLPQLFTSETAIAPTPCMASSMNAMDILECSQNLLRLTTTSGCGLNLMQQQQQQPGERFNGDWSFLDKLLASHNSNPPTHQAAAASSVQKFPFHYLGCDTQDIMKFSK >OIW05524 pep chromosome:LupAngTanjil_v1.0:LG09:33006:34652:-1 gene:TanjilG_27654 transcript:OIW05524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQSDYSPAFNKPRLVLKKVLAKSQHEGVGAVVRRAIGRSELKNLDPFIMLDHFSVSPPGGFPDHPHRGFETVTYMLEGGITHKDFAGHEGTIRTGDVQWMTAGRGIIHSEMPAEATNKGLQLWINLSANNKMIEPNYQEVVSEKIPSAEEDGVEVRVIAGEAMGIHSPVYTTTPTMFLDFSMKPGSQFHQIIPESWNSFVYVIEGEGVFGSPNSSPNMAHHVLVLSNGNGLSIWNNSSKGLRFVLIGGQPINEPVVQYGPFVMNTQHEIDKTIEDYHYSKNGFEMGKYFKSQ >OIW04108 pep chromosome:LupAngTanjil_v1.0:LG09:20581551:20583802:1 gene:TanjilG_00668 transcript:OIW04108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPFRRKTPLFNSETGTIIMKGRKNNNLSIFVVVFSIFLIGIFMYNEDVKSIAEFPFSRPKAQETHEGGKSKQVESVVQRGSRKEVLEEESVAIQRDTKKDVEEESVTVTLSENSRAQLGKSQGGGDEIFDETQEVIGLETVIEVEKGKNKNKNEKIIELPVVEEEEEEEKVEEEVVEVPPEDCDLFNGEWVFDNMSHPLYKEEQCEFLTSQVTCMKNGRPDSMYQNWRWQPRDCSLPKFNPRLLFQKIRGKRLMFVGDSLNRNQWESMVCMVQSVAPPENKTWYKNGSLAIFKIEEPNYITTVEFYWAPFLVESNSDDPNMHSILNRIIMPESIEKHGVNWKDADYLIFNTYIWWMNTFKMKVLRGSFDEEATEYDEVPRPEAYRRVLKTWSKWVDENIDPERTKLFFTSTSPLHIKSEDWNNPDGIKCARETTPILNMSAPLDVGTDRRLFVISHNVTQSMKVPVYFVNITALSELRKDAHTSVYTIRQGKMLTRKQQADPATYADCIHWCLPGLPDTWNHFLYARIISQS >OIW05198 pep chromosome:LupAngTanjil_v1.0:LG09:5196364:5197848:1 gene:TanjilG_19829 transcript:OIW05198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAITNFDLLSYEVKAIIDSNARPPTMKKVPTHNDILMNTFTSEILSSSTNTFFLSQPLNLQLKNSPISIFHGNNQNPCNFKLSSGTGSEVGTSENNAINGDNIVTKLLPNHDSQELQAQKNKFKTRRTQTMKLVPTIHQNLLKKRSYSETSSSSSSILNEQSLTKQMSNSSNSFSGGLIGTTYKEGTNDNSIGNFGAMCSNQGTNVEQSLTQQNSSSFSLHGSQNHNFMQSNSSSFGGFIRTASQAATKDNPIGNYGAMLDNHSGNVEDSMLLRTGQDQQNQLNVNINNELSHDHFNNNQNLQHNPSLSIDDFSLPDLPSDFEVQLSPLLGTNLQDFDAPQEQIQSDVEINNELHDLLLNNQPFPQNPNPTLVHHDTQNLGLQNSITSNVPNENSSNSYFFSSFLNLCSETNTPSLSQVLEDLDESHLFSNDSFQNPSSETNAASQDGLDLGQFVTNLDDVYQNPISETNTGSQVRMNGSQNDLDISDYLGET >OIW04757 pep chromosome:LupAngTanjil_v1.0:LG09:14160894:14161151:-1 gene:TanjilG_08640 transcript:OIW04757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLFFGFLSVQQERVLGVTSSEIALRQSQENHRIMLQNQHTHKATDKELLNTKKNSTNVNNAFDRNQSSKRRVRRGSDPIHNRI >OIW05308 pep chromosome:LupAngTanjil_v1.0:LG09:2977334:2979066:-1 gene:TanjilG_03697 transcript:OIW05308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLFAAYSICTVSKDAAGIAGNIFAFGLFVSPITTFRRIIRNGSTEMFSGLPYVYSLINCLICMWYGTPLISPDNLLVTTVNSIGAVFQFVYIIIFMMYAEKTKKMKMLGFLLAVLGIFAIILGGSLQISDIVTRRLFVGSLSCASLISMFASPLFIIKLVIQTKSVEFMPFHLSLSTFLMSTSFFLYGLLNEDPFIYGPNGIGTILGIVQLILYFYYENKSREDSREHLVVSYA >OIW05513 pep chromosome:LupAngTanjil_v1.0:LG09:122107:125383:1 gene:TanjilG_27643 transcript:OIW05513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAVVGGAFLSGFVNVVFDRLLSPEALNLIQGKKLDQHLVQRLKTSLLAAEALVTDAEMKQFHDENIKTWLDSLKYAVYDADDLLDLILTQAATQNKKKTIIEAVTRGPCNTKDLSLLQEDLKGKLSGKKFFIVLDDVWNDDRHLWNNFQTPFQYGVKGSKILVTTRSKDVASVVQSCPPYILNELSDEYCWSVFKDNASYDESSGNPALEEIGRKIVKKCKGLPLAVETLGGLLRTKHDTKNWNAILESEVWEFSVKDSKIVPALLISYYHLPSYLKRCFVYCSLFPKDYTFTKDELILLWMAEDLLEQPKRGKTFEEIGCEYFDTLVSRLFFKQFSTRNDFFVMHDLMHDLAISIGGNFYSQFEDLGNADEMSTETRHLSYKRLTHPISKDFDAFSRIKSLRTLLHIDCSTPSPFSNENITLSNNKCLRVLSIGPDRELNVLPDSICKLIHLRYLSLCTNMKTLPDSLGDLYNLQTLKLSDCTSLNMLPNSMQNLVNLRHLDIRETPILEMPPGMSKLKKLHFLSDFVVGKHKENGIMELGELSNLHGSLCISKLENVANSSDALKARIMDKTHIDMLFLEWSLGVDMVTSSESERDILDKLKPHEGLQVLSIRNYKGTKFMDWVGHPSYRNMTHLVLQRCINCWTLPSLGQLSSLKSLEIRNFDGLVNIGDDFYKYYDDQSSLEASFPSLEDLTFLDLPCWEEWQSSEHNAFPKLKTLAIDNCPSLKGNLPSHLPALETVSICDCPQLASSLPKAHAIQHLCIYRSNKVLLQEIPLSLQSITISEREVVKYLESLSVSQSQVVALRTLQIWECDNFASFPIEGLAVPSMTYLGLYHCEKLKSLPCHMNTHLPNLERLDIYDCPEIELFPDGGLPPNLKKLIIRNSDKLLSNLSSIGVHEGLTNLHISGPCESVKSFPKDLLLPQLPALTTLKLYDFPKMKTLDCEELLHLTSLQVFIISECPELRYMTGERLPASLIQLQIKDSLCLEELCKMKDPNIWPKISHIPDIQINRRRLT >OIW04286 pep chromosome:LupAngTanjil_v1.0:LG09:21709904:21715944:-1 gene:TanjilG_00846 transcript:OIW04286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFVGVLVSDQWLQSQFTQVELRTLKSKYVSARTQSGRVTVGDLPPTFKKLRAFNEVLEEDEIKGILAESYQNMDEEIDFESFLRAHLNLQGRAAAKDGGSKSSSSFLKAATTTVHHAINESEKASYVAHINGYLAEDKFMSQFLPIDPSTDALFDLAKDGVLLCKLINVAVPGTIDERAINTKRVLNPWERNENHTLGLNSAKAIGCTVVNIGTQDLVEARIQLLADLNLKKTPQLVELVEDDKDVEELISLPPDKVLLKWMNFHLKKAGYEKQVTNFSSDVKDGEAYAYLLNALAPEHSGPSALVTSDPTERAKMVLEQAEKLDCKRYLTPKDIVEGSPNLNLAFVAQIFQHRNGLTAADSKKITFAEMMTDDVQTSREERCFRLWINSLGIVTFVNNIFEDVRNGWVLLEVLDKVSPGSVNWKHATKPPIKMPFRKVENCNQVIKIGKDLNFSLVNVAGNDIVQGNKKLLIAFLWQLMRFNMLQLLKNLRSHSQGKEITDADILNWANNKVKRAGRTSQMESFKDKNLSSGIFFLELLSAVEPRVVNWSLVTKGETDEDKKLNATYIISVARKLGCSIFLLPEDIIEVNQKMILTLTASIKYWSLQQPEDNTTPEASPVASVDDANETDEVNELSNLTIDDAASEAASEG >OIW04147 pep chromosome:LupAngTanjil_v1.0:LG09:20882568:20885383:1 gene:TanjilG_00707 transcript:OIW04147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKERQKKKKTHSSDDDAEANEDLSLKIVQKALAKREHKPNQLPNDVVVDGDVGAKRNEVVEVRNDDVLVGKSVIGVSDVGNEETRVEKKKRRKKKKVEYEDQSVVIAEEQGAQEVITATEKNECAEVDKTDQTSDNIVLRKLLRGPRYFDPPDSSWGTCYNCGEEGHAAVNCTAASRRKKPCFVCGSLEHNVKQCTKSRDCFICKKGGHRAKDCPERHNAVGASISSICLKCGISGHEMFSCKDDYSKDDLKEIQCYVCKRFGHLCCVDNTDTAQRQFSCYKCGQLGHTGLPKKYGLSNTKSSRFQKENDYMRYRSAPYDMDKSYKKKRHHTEEREDTTPQKSIHRGDWTTEHPGDFSPVKSKRNGWMSPVTPSTESTKLHSFSNGSHSPSSKSYKARNAYETPGSRRSGKAFHHRFSASRFDNSSGDGSGRNYNWW >OIW04697 pep chromosome:LupAngTanjil_v1.0:LG09:14913776:14918020:-1 gene:TanjilG_06763 transcript:OIW04697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAGKGNMEEEEYEEDEFGSSKKQGPSSVPNPNNTNKDAKAVDKASAIRSKHSVTEQRRRSKINERFQILRDLIPQCDQKRDTASFLLEVIDYVQYLQEKVQKYEGSYPGWSPEPSKLMPWRNSHWRVQNFVGQPQVVKNGSGLVSPFPGKLDESNTSISPTMPCGSQNMIDPDQSRDIASKISETQPDLASKGVPIPMAVHSNMPVPVRSDGVIAHPLHGTVSDAQSIEYPATSEPQTQQEELTVEGGTISISSVYSQGLLNNLTQALQSAGIDLSQASISVQINLGKRAKEGPSCETSSPKNHDNIPSRNQGIAHFRDAGNAEDSDQAQKRMKTTYK >OIW04718 pep chromosome:LupAngTanjil_v1.0:LG09:14481059:14482717:-1 gene:TanjilG_06710 transcript:OIW04718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFTDEEENKADFGGSRDQKIPIQKTQSFKEKKKSLNWFQKQFARNVGSDYDSIEMDHAIAVAAAAYAIKSKEVSEQNKKSETLEVLLKRTKSKVDATNSPISLLGSTSKLFLGSFRSPDDHGNMVPITSVTDEKKPEKAITPALSMKKASTFPDKKTLSFGDKKTDDKKTKTPAPKVHPPPPPPPLPPIRRQTSTKIVPVRPPTGTKKQNPTGPGIGETNADEWERTELENIRERFNKLKETINSWENKKKSKARRKLDNEESELERRRLIALEQFRIKIMYIGQIAGGARTQAEETQKKEELKAKEKANEIRTTGKLPGIFSCF >OIW04351 pep chromosome:LupAngTanjil_v1.0:LG09:19179631:19180272:-1 gene:TanjilG_32543 transcript:OIW04351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRKRFQSPQPVTGMQHAQNFLKKIGLGPHNYHFWKQIGKALLCTYTIFGAAWLYNETSPLGWWTLKPKPKEEWELAHLYERREFPYPGDEEAMEEFIKKGGMIGTTIGPKGMVEGDKDSLNFQKELEDKKLDQEAQKLWLRMRNEVIAELQEKGFDME >OIW04272 pep chromosome:LupAngTanjil_v1.0:LG09:21658549:21658713:-1 gene:TanjilG_00832 transcript:OIW04272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCICLIVVVITIGMLFGFGVFKHGFHKIKDTVSYCDSCGGGRPFFGYAPPPFF >OIW05467 pep chromosome:LupAngTanjil_v1.0:LG09:513945:525900:1 gene:TanjilG_12058 transcript:OIW05467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNPKKQNQKNQKNQKLEEEEEEEETREEGSTPLRYIPLDNLYSATSPCRVTVMSKKVKAHKLPHQNDDVVNLLHKTTTLHENDDDDVVVDLVPKTMPSRAPTKPPVLFVYSRRRKRGQHYVKTKSFYESLMEQNDEIEIEESRFNKKRKIGSTELEKLGVDLSAFSVDNFYGSRFRECRNSGKSNGVGSLKNFPKLVSESVKRWIGFTGPWMINHILAMSRVKYDDGDEENLVLSKENVKFHVSRDEVKRLNLCYAKVRDSIVSDYDIEEMLALAASLDDCQNFEPGDIVWAKLTGYAMWPAVVLDESLASNCKGLKMLLGGRSVPVQFFGTHDFARVRVQQVKSFLGGLLTELHSKCKKISFIEGIEEARRYLGEQKLPQAMLELQKGCIVDDGNHVSGEDGGCTNSGEDCLNDKGAWMAMEIIETFPYEVGDMQIISLGKIVEDSASFRDGRSIWPEGYTVVRKFTSVTDPKVSALYKMEVLRDPESKAQPLFRVTVDGGEQFNGCTPSACWNQIYKRIKKMEKDDSEGSVTEAAVEMGYESGSDMFGFSNPKVSKLIQGLSKAKVTSKNSISKSGSKRYNDLPVGYRQVHINWSDLDKCNVCHMDEEYENNLFLQCDKCRMMVHARCYGEVEPVNGVLWLCKLCRLGAASPPPCCLCPLIVEFLLGGAMKPTTDGRWAHLACAIWIPETCLADVKRMEPIDGLSRISKDRWKLLCSICGVPYGACIQCSNNSCRVAYHPLCARAAGLCVELENEDRLYLLSFDDDEDQCIRLLSFCKKHRQPSNEPYFADERIARVVSPCSDYGPPTNPSGCARSEPYDYFGRRGRKEPEALAAASLKRLFVENQPYLVGGYCQHGLSNNLETSGRGVCAKFFCSQQRLRTSLTDAPNNILSIAEKYKYMRETFRKRLAFGKSRIHGFGIFAKHPHKGGDMVIEYTGELVRPTVADRREHFIYNSLVGAGTYLFRIDDERVIDATRAGSIAHLINHSCEPNCYSRVISVNGDEHIIIFAKRDIKQWEELTYDYRFFSIDERLPCYCGFPKCRGVVNDTEAEERAAKLYAPRSDLTDWRG >OIW04955 pep chromosome:LupAngTanjil_v1.0:LG09:8756834:8759137:1 gene:TanjilG_01151 transcript:OIW04955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCRKNSRNGVVAGSGSVPVYLNVYDLTTINGYAYWVGLGVYHSGVQVHGVEYAFGAHDYPSTGIFEGEPKRCQGFRFRKAIMIGKTDMEPVEVKGVMEELANEYRGNAYNLITKNCNHFCNAACVKLTGNPIPNWVNRLARIGFLFNCVLPVTLNSTKVIHHRLEDKQMQYEEDKQTLTSNSNKVTASNSTSSSTSSPTSSSGVLRRGRSRTRRPLPPPSPLIIESSS >OIW04947 pep chromosome:LupAngTanjil_v1.0:LG09:8857729:8860781:1 gene:TanjilG_01143 transcript:OIW04947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKEDVFIGAIDQGTSSTRFIIYDGSAKPIGSHQVEFTQFYPQAGWVEHDPMEIVESVKVCVTKAMDKATADGFNVDKSLKAIGLTNQRETTLVWSKSTGAPLYNAIVWMDTRTAAICSRLEKELSGGKSHFSESCGLPISSYFSALKFLWLVENVDAVKETIKKKDALFGTIDTWLIWQLTGGLKGGLHVTDVSNASRTMLMNLKTLDWDESTLTELGIPAEILPKIVSNAEVIGNVAAGWPFAGVPIAGCLGDQHAAMLGQACSRGEAKCTYGTGAFILMNTGDEIVKSTHGLLTTVAFKLGKEAKTSYALEGSVPIAGAAVQWLRDNAGLISSSEEIEKLASQVESTQGVCFVPAFSGLFAPWWREDARGVCIGITSFTKKAHIARAVLESIAFQVKDVLDSMQKDTGKVESNEFLLRADGGATVNNLLMQIQADLTGNPVLRPTDIETTARGAAFAAGLAVGVFKQDFIFDSNDKMKNGIVFRPVMTEEARTKKVESWCKAVTRSYDLADLAH >OIW04839 pep chromosome:LupAngTanjil_v1.0:LG09:11589527:11591917:1 gene:TanjilG_13687 transcript:OIW04839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRFRWRQQYQRRYPDEFLDKSDARGKGDYQIDYVPAPRVTEADKTNDRKSLQRALDRRLYLLLFGNAYGAPSGKPVWHFPEKVYESEDTMRKCAESALNSVIGDLSNTYFVGNAPMAHMVVHPKEDQSGPTSFKKFFFKSQVIAKNKFNIAKCEDYVWVTKDELTEYLPEQAEFFNKMIIS >OIW04436 pep chromosome:LupAngTanjil_v1.0:LG09:19935344:19937578:-1 gene:TanjilG_32628 transcript:OIW04436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKLNGEEEKERSFQKNKTTNDINLLQLLQLFISPILFPDSGNTVPLLHRIKASISHNVPLIPEASRNTANHLLRWSRAGTPFRPLLLISVGTITLVALTGLLVFLLFLVAATINAIVVSLLISLVAAGGFLALFFAFVSAICIGALSVAIFAISTVVFWTTVAILITTGAATRNPKGTDQYNGCTSASWCSKI >OIW04887 pep chromosome:LupAngTanjil_v1.0:LG09:9975152:9977327:-1 gene:TanjilG_24003 transcript:OIW04887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAEDENGEFYLRYYVGHKGKFGHEFLEFEFRSDGKLRYANNSNYKNDTIIRKEVYLTPAVLRECRRIISQSEIMKEDDNNWPEPDRVGRQELEIVMGNEHISFTTSKIGSLMDVQNSADPEGLRIFYYLVQWFLDSATLS >OIW04816 pep chromosome:LupAngTanjil_v1.0:LG09:12303329:12307096:-1 gene:TanjilG_13664 transcript:OIW04816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGIIDEGKEISPMFPRLHVKDAEKGGPKPPPRNKMALYEQFNIPSQSIASGSTSLYPLPLRKCTIPSTSSHVSSNQTIQFCTSSAASVVAENIQVYDSRKINLNKLMQHNFINSKKSLKIFDGEDISIASSSDFGKNSSCSMIQNDKLDNCNLIYPLKSPSSLRKKVSSPETIALEFAQYGKNLMEEHFKMSRKGWKPEEESANPIDGFCGMADSSFLSLNKDKNFKSSMKEHRFLKEETTSISKDCLKTLQGNNAEAREEHDEAFANIVDLQDNCMKKTADNDVYKCPDELEIGRRCLLSKRDRNKDEETCRDYDALNRPTSECKVGTDISPDSVLGVIGLKKFWKARKTIINQQRMFFMQVFELHRLIKVQKTIAASPHLLLEDNLVSNKQSLKPSAIKKIQSDYQQTTSIVKLNTKSEKPPTAEHAKNIAFRKIPPLPCLNNISKGLPYFVHDLRNPALCLPDINIKQSPSCVFPPPGNQWLVPVMSPSEGLVYKPMAGPCPPNPGFMPPPVHNAFSTMAFNLGSKDATDAAALSSGSHQRTGIPSGSSLPNSLPPPFMIPPMLPVSAVEHIWQSNGPEEANSAILYQSSSNLSSQASRALSRNVPTSHHSLKEKESQTSTATSPPKRVKGDVLPLFPVAPTLWPSTKDKNKNVENQPRIIKAMPHNPKSATESAARIFRSIQEERKFL >OIW04098 pep chromosome:LupAngTanjil_v1.0:LG09:20513236:20513670:-1 gene:TanjilG_00658 transcript:OIW04098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLWRAATGLKEKTNDYDGVEFWSNPERTGWLMKQGEYIKTWRRRWFILKQGKLFWFKESTITRASIPRGVIPVASCLTAKGAEDVINKPYAFELSTRSETMYFIADSEKEKEDWINSIGRSIVQSSRSVTDSEIVDYDSTKR >OIW05497 pep chromosome:LupAngTanjil_v1.0:LG09:220394:224295:1 gene:TanjilG_27627 transcript:OIW05497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKLVDVPPKGGFSFDLCRRNAMLEKNGLKPQSFLKTGTTIVGLVFQDGVILGADTRATEGPIVADKNCEKIHYMAPNMYCCGAGTAADTEAVTDMVSSQLKLHRYHTGRESRVVTALTLLKRHLFNYQGHVSAALVLGGVDFTGPHLHTIYPHGSTDTLPFATMGSGSLAAMSVFESGYKENLSRDEGIKLVVDAICAGIFNDLGSGSNVDVCVITKGQVDYLRNHLEPNPRTYINPNGFTFSKKTEILLTKITPLTKKVEVIEVGGDAMEE >OIW04349 pep chromosome:LupAngTanjil_v1.0:LG09:19169866:19170177:-1 gene:TanjilG_32541 transcript:OIW04349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >OIW05428 pep chromosome:LupAngTanjil_v1.0:LG09:1320239:1325364:1 gene:TanjilG_23254 transcript:OIW05428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIDKILGTTKPESFPRKSAIYVWGYNQSGQTGRKGKEDQLRIPKQLHPELFGCPAGFNARWLDVACGREHTAAIASDGSLFTWGANDYGQLGDGTEERRKHPKKVKQLGSEFVKSVSCGAHCSACIAEPRDNDGTVSTGRLWVWGQNQGSNFPRLFWGAFEPNTVVHQVSCGAAHVVALSEAGLLQAWGYNECGQLGRGVTCEGLQGARILSSYAKFLDEAPELVKITKVSCGEYHTAAISDKGEVYTWGLGNMGQLGHSSLQYGDKELLPRRVVSLDGIVIKDVACGGVHTCALSKEGALYAWGGGQSGQLGLGPQTGLFSCVANDSQTFFRNIPILIVPKDVQLVACGHSHTLISTKEGRIHGWGYNNYGQAANEKCTYAWYPSPVDWCVGEIRKLAAGGGHSAVLTDACSLKELCEFVLADCMTLSNAAKVEDVASRTGSDALARLCGRLREYLLINGGDDEENEMDSKI >OIW04393 pep chromosome:LupAngTanjil_v1.0:LG09:19546906:19551265:1 gene:TanjilG_32585 transcript:OIW04393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGSENQSFEQQQQQQHPLSASTTDEMENLLLHDNHDDPLSASNSHSNYRSVMSTLSFTNHPLSATTTATLTDSDPLLSPPSPQSPNPNSNNDNNISSYIDPPSYNDAVFTLNGALDFDSPTAPSESSQSLSRFTSSSSDYIKITVSNPIKEQETANSLVPGSNTYVTYLITTRTNVSEFGGSEFGVRRRFRDVVTLSDRLAESYRGFFIPPRPDKSIVESQVMQKQEFVEQRRLALEKYLRRLATHPVINKSDEFRVFLQVQGKLPLPATTDVASRVLDGAAKLPKQLLGDSVIAPHEVVQPAKGGRDLMRLFKELKQSMVNDWGGLKPAVVEEDKEFLQKKESIEEIEQNINNASQQAESLVKAQQDMGETMGELGLAFIKLTKFENEQAVLNSQRVRAADMKGVATAAVRASRLFRELNAQTVKHLDTLHEYLGLMLAVHGAFTDRSSALLTVQTLLSELSSLQSRAEKLEAASSKIFGGDKSRIRKLEDLKETIKVTEDAKNVAIREYERIKENNRSELERLDRERHDDFLNMLKGFVVNQVGYAEKIANVWTNVVEETRGYVNEST >OIW05151 pep chromosome:LupAngTanjil_v1.0:LG09:6094923:6096368:-1 gene:TanjilG_02624 transcript:OIW05151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDHHPRLQNLRSTSQLLREASYSFSSNLITFLFLSLLILSFRTLVENGTYQVTTFIDRDPSLKALLSRIDLAGAANNHRRSDLAVHRRRRPFLHLTRVGTLDDDFFSGDEDDVRSLFGSNSKPPVNGSFVAFGPFNHESGFSDHVIDDGIRVSTIVRSGITFKESKALSLDEEDEDKEMSNDNEEKGNIDSDFDKNKEEKERNGDLENGQREMGKGVDFQFLVKGIEMGRRDAAALFFLVSFLSAAYGWVILVFLVTYSWVLGVVFVAVVNDLVGRFSSITGSVLDGSRLGLKRLSGFILMKWAVRDALTQLIGLWYFGEIEDQYSFFKLFVRLKLMPFSVMSPWVRGFEKEISGFLFTWALVDTFVAFIFSVDAWVAVVDSRRSGREIVKEGCYLISTMFNQAFQIKCLEGVICGSLMRWILGRVCGRSFAKMFQSTMEVYFMVVWLMFYFAARYRDANLQGRRFGLRELQGMIEEGHR >OIW04617 pep chromosome:LupAngTanjil_v1.0:LG09:16090534:16094613:-1 gene:TanjilG_30515 transcript:OIW04617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYSLMKIPTSVLHNQLAFVSREGSARSSVPISHNRTRSRSFPIVSMSATPVQTFLEKSAPSNQNLPLMVNACTGKMGKAVIQAAQAAGLHVVPVSFGLEEESGKTFQIGGNEFFVHGPSDRESVLASVLDKYPNLVVVDYTAPTAVNGNAELYCKVGVPFVMGTTGGDRELLHKTVEDSKVYAVISPQMGKQVVAFLAAMEIMAEQFPGAFSGYSLQVLESHQASKADVSGTAKAVISCFNKLGVSFNMDEIQLTRDPKEQLEMVGVPEEHLSGHAFHMYHLTSPDETVSFEFQHNVCGRSIYAEGTVDAALFLAKKIESKDQKRIYNMIDVLREGNMR >OIW04583 pep chromosome:LupAngTanjil_v1.0:LG09:16568406:16568801:-1 gene:TanjilG_18060 transcript:OIW04583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEGRIEGLHFHHIELENDKPKKAIEEMVDFKEYKESYTLANNLTSAASVRTMTTIENNMRKSLPLFIESGNNHKCLGVQVAKKLGHLFLTVADGNKLQMPSSVKNCKLNLNYTTFRSDIMVMVLGCWTHN >OIW04130 pep chromosome:LupAngTanjil_v1.0:LG09:20727567:20732597:1 gene:TanjilG_00690 transcript:OIW04130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKRERENPCAVCGHYHKYEEGEVCSICGHRLTVGEKTSVQVSAFPSVILPEFLYLGSYDNASRSELLKTQGITRILNLWMMMMMMHVLMFIGAGMTVPSCQNLYKNSFTYHCLPDDKTLPFDEGIRFLEQCEKDKARVLVHCMSGKNRSPAIVIAYLMKSKGWRLAQCYQWVKERRPSVELTEGVYQQLQELEHKIFGSNNGGSSMLPGFPPASSISFGFPKINDSPPLPAFGGVGTNSIFARPPLDFAPTTFTFGAGPVQNSATGSTFTANPPNNPHGSDIQMDEQCEKDKARVLVHCMSGKNRSPAIVIAYLMKSKGWRLAQCYQWVKERRPSVELTEGVYQQLQELEHKIFGSNNGGSSMLPGFPPASSISFGFPKINDSPPLPAFGGVGTNSIFARPPLDFAPTTFTFGAGPVQNSATGSTFTANPPNNPHGSDIQMDGC >OIW05429 pep chromosome:LupAngTanjil_v1.0:LG09:1312316:1313392:-1 gene:TanjilG_23255 transcript:OIW05429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSTASLDNPLSDIYRSPPRPLPYDADPRFFRSQRDGLVSRREKGSSHTNEESEPLRIDVDVDPESLNTGGKWNDGAGDDGSKEYRSKSLLRLSSAKLTTGAGFVYSSSEEEDVCPTCLEEYTEENPMIVTKCSHHFHLGCIYEWMERSETCPVCGKRENWYEPVNST >OIW05249 pep chromosome:LupAngTanjil_v1.0:LG09:3805438:3805707:-1 gene:TanjilG_03638 transcript:OIW05249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASSKAWIVASSIGAVEALKDQLGVCRWNYAFRSIQQYAKNNIRSYTQARKLSSASNAAVSNKIKRTKEESMKKVIELNCYGPNTIRF >OIW05402 pep chromosome:LupAngTanjil_v1.0:LG09:1561436:1563292:-1 gene:TanjilG_28867 transcript:OIW05402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNQDQQSPKFHRFDLIILGASGFTGKYVVKEALKFLNNPSSPLKSIAVAGRNATKLTQTLEWVARPNPPPSIPILTADTTDPSSLRALCVQTSLIINCVGPFRLYGEPVVAACADTGCNYLDICGEPEFMERMEAKYHNRAVETGSLVVSACGFDSIPAELGFMFNSRQWVSPAVPNRVEAFVSTGSEWSCVGNYGTYESAILGVANADKLQELRKSRPRRARPVIPGPPPSRGETIENKKEFGLWAVRLPSADSIVVRRTLSHLTENPYGIPGLNEHAEIVEKREAFWSSVKPAHFGVKLGSKSLLGIYRLIIVGICIGILGSIALGRWFLLKFPLIFSLGLFSKKGPSEEEVKNGSFNIWFVGHGFSNNIIATQKNTKPDMEIVTRIKGPEVGYRTTSIILIQCALIVLSQRNNLPKGGTYPPGIIFGPTDLQQRLQRNGISFDVISKTTISS >OIW05358 pep chromosome:LupAngTanjil_v1.0:LG09:2057004:2071763:1 gene:TanjilG_28823 transcript:OIW05358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTPVNIIVGSHVWIEDHEIAWIDGEVTEINGRNAKIITTNGRKVVAEISSIYPKDTDAPPVGVDDMTKLAYLHEPGVLYNLACRFSLKEIYTYTGNILIAVNPFQRLPHLYDIDMMQQYKGAEFGELSPHLFAVADTCYRAMINENGGQSILVSGESGAGKTETTKMLMRYLAFMGGRSNTEGRTVEQKVLESNPVLEAFGNAKTVKNNNSSRFGKFVEIQFDKNWKISGAAIRTYLLERSRVCQASDPERNYHCFYMLCAAPPEDIKKYKLGDPRQFHYLNQSNCYKVSNMDDAKEYLETRNAMDIVGINLDEQDAIFRVVAAILHLGNINFVKGKEFDSSKLKDDKSLFHLRTVAELLMCNEKSLQDSLCQRVIVTPDGNITKLLDPDAAALSRDALAKTVYSKLFDWIVDKINNSIGQDSNAISIIGHVFKMEQEVYTKEEINWSYVEFVDNQDVLDLIEKKPGGIIALLDEACMFPKSTHETFAQKMYQTYKAHKRFRKPKLAQTDFTINHYAGDVTYQADYFLDKNKDYVVAEHQALLWASKCNFVANLFPPLHEETSKQSKFSSIGSQFKQQLQSLMETLSTTEPHYIRCVKPNTVLQPGIFENNNVLNQLRCGGVLEAIRISCAGYPTKRTFEEFLNRFGMVALDVLDGSDEKKSSIAICDKMGLKGYQIGKTKVFLRAGQMAELDARRAEIISKAARRLQRQIMTYLTRKVFITQRKATIHIQKTWRAKLARKLYEQMRREAASIQIQKHVRAHKERMHYSSLQASTIVIQSGLRALAARNEYSYRRRTKASIKVQMQWRRALALHYYKQQKKATLTLQCLWRAKVAKKELRKLRMAARDAGALKDAKEKLEKRVEELTWRLDVEKQMRVDLEEAKGQEIAKLQNALLEMQGKLDEAHAAIIHEKEAAKIAIEQAPPVIKEVLVEDNTKLELLTNKNEELECEVQELMKKIKEFEVKCSEIENENQARLKEAEEAQRKAIQLQETIERLELSLSNLESENKVLCQQASEKSKNFEELFEEIKVLESSLSNLESENKVLCQQDLEKSKNFEELFEEIKVLQSSLSNLESENKVLRQQALDESKNEELFEEIKVLKDQIANLGKENEFLRSQAVAAAATAAEEQKVHPEKTATYQVQEVALLEQIEQRVISDNMTAQIKNLEYGNQSQEELHGRKEPRAPVSFPTKQRSFTERQQESYDALIKCLMEYKRFEKNRPAIACIVYKALLHWRSFEADKTLIFDKIIHTIRSSIENQAGIIDLAYWLSTTSTLLLYLQCTLKASNWTREVSRNRNNHATLFGKMTQGFRSSSTGMGISSGYSGMVDKSNEQFKVEAKYPAILFKQHLTAYVEKIYGMIRDSLKKEIIPFLNLCIQAPRSLRTRSIRGSSRNIHSNIAAKQQALHMHWKSIVNKLDHALSILSENVVPSIITRKIFSQVFSFMNVQLFNSLLLRRECCSFSNGEYLKAGLHELELWCLKATDKFAGSSWDELKYICQAVDFLVLHQKAQISLEEITNELCTVLSVPQIYRIGTIFWDNKYGAQGLSQEVISRMRVLMTEDSINITTNSFLLEVDSSISFLMEMFQSMSEIGLSDMDVDPPIILSQRSDFQFLLQQKDSGFQ >OIW05051 pep chromosome:LupAngTanjil_v1.0:LG09:7304757:7305683:-1 gene:TanjilG_02758 transcript:OIW05051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFDYSLFQYQNLHFFPSNHSSNLLEEPFSLDDDLLLFFNNDNDNTILPFNSSDHSQSLQTKEPSFSESNSSGSQGSLESQEVSSKIYLENSSLHKTLTWWNSDKINIDTFIPVNKEVVSEASNNAEKSEIEASPPPPSLPLPSSSLSSQILESNKEKRVFRGVRTRPWGKYAAEIRDSTRKGVRVWIGTFDTAEEAALAYDQAAFSTRGSLAVLNFPVEVVRDSLKDMSNNFKPKSILEDGSNFSSPVLALKRKHSLIRKSNKFNSNKKNKRVQRNQLLEISDSKNVLVLEDLGSDYLDQLLSLTTT >OIW04150 pep chromosome:LupAngTanjil_v1.0:LG09:20903051:20903446:1 gene:TanjilG_00710 transcript:OIW04150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTATPENSLLSPTSSRSVTHTVNGSHKFVIQGYSLAKGMGVGKHIASDVFAVGGYQWAIYFYPDGKNPDDNSAYVSVFIALASDGTDVRALFELTLVDQTGQGNHKVHSHFDRSLESGPYTLKYKGSMW >OIW04721 pep chromosome:LupAngTanjil_v1.0:LG09:14469615:14472792:1 gene:TanjilG_06713 transcript:OIW04721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDLDPMLTESKSISDASRIGEVKEWLAKTFEAAGKSVPEFEYTPRSVAYLHNLLTVSKAKDEAARLVARDFRQKASEYRSQAARIREVLENVGLARESLPSNVVASAQVLANVANLLNIRDTELSSFLVAMGDISLRKTGVEEKRAKVQKESKVLLDFTRKAIARLTYLKRTLAQLEDEVAPCEVQIENWKTNLQVMAAKERQYLQQCANFKAVLKHAGYAPEVSHRELVEMAEHRKDLEKKTKPILDTLRSYQDLPPDKALAALAIEDKKRQYAAAEKYLEDVLQSALANSG >OIW05047 pep chromosome:LupAngTanjil_v1.0:LG09:8026877:8030092:1 gene:TanjilG_18646 transcript:OIW05047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEARFFAQNKSQILTSAHWNKVNKYKPQNPFIVAVLTRPSSSSDDIAGQTRKINVYKDNFFDLLAINHLSKTVQASTGFSNNKSGYESLTEAAIMASQKLNPIQQREVVIESLYKAFPRPILSLIRKVVPESKIARECFAAFTSLFFVWLVGPSEVRESEMNGRREKNVVYIKKCRFLEETNCVGMCTNLCKIPSQSFLKDSLGMPVNMVPNFDDMSCEMIFGLDPPALSDDPALKQPCYKLCNYLLQVWLN >OIW05091 pep chromosome:LupAngTanjil_v1.0:LG09:6762274:6763311:-1 gene:TanjilG_06227 transcript:OIW05091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSLSKLRYSPTNLSLNLHRSSLPSSSSSPLFRSFTPPPLSTSSFNLSLIKSSLNDTAFHENGKHTFPELLQTLISPVVETTCIVIAATVFFFMRHMPVTAANIPPPSTTVASEQNTAVAEESERILESHLNENPNDTEALRTLMEVKIRGRKINEAIRVIERLIEIEPEELEWPLLKANMHVYNDDQELARNVFEEILKRDPLRVEAYHGLVMVISESNQPLNGVLKRIEEAVENCKKEQKDSVVRDFKLLIAQIKVMEGYFSEALKNYQDLVKEEPRDFRPYLCQGIIYTLLRKKDEAEKQFEKFRGLVPKNHPYREYLEDNMFATKFFSQKFEREGAGALS >OIW04707 pep chromosome:LupAngTanjil_v1.0:LG09:14630130:14633545:-1 gene:TanjilG_07832 transcript:OIW04707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSCVKPLMQRKETPVVLLHGFDSSCLEWRYAYPLLEESGFETWAIDILGWGFSDLEKLPPCDVVSKRNHFYQFWKSYIKRPMILVGPSLGSAIAIDFAVNYPEAVKKLVLIGASVYAKGTGNLATLPRTVAYAGVNLLKSIPLRFYVNYFAATTNKPFSTTLDWTNVGRLHCLMPWWDDATVDFMTSGGYNVASIIGKAKQKTLIIWGENDRIISNKLAVQLHCELPNATIRQIPNCGHLPHVERPDFVVKLIVEFVQSQRDTKKLSLCRSQV >OIW05323 pep chromosome:LupAngTanjil_v1.0:LG09:2632302:2633643:1 gene:TanjilG_28788 transcript:OIW05323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAFGDGPTNPQNSKQFGDVPSEGEVFDASQYDFFGKDFVAEIELGGLEDEEGQLAPVEFDEEEEIFFNREEVIYLFIYT >OIW04111 pep chromosome:LupAngTanjil_v1.0:LG09:20596710:20597213:-1 gene:TanjilG_00671 transcript:OIW04111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSHDAEIFNWHHDGGAIDDESLEIRGRKFFFLLVLFVIVLFTIVFFLYVRWICRPQAFSSFRRRHALQTPPSSQGLAAETIKKLPIVLHQTSSDQDSACECCICLSAFRDGEKVKVLPGCEHWFHCECVDKWLMNHSNCPLCRASLKFDDFSFPTILIQEPPIRHH >OIW05315 pep chromosome:LupAngTanjil_v1.0:LG09:2787336:2792057:1 gene:TanjilG_28780 transcript:OIW05315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEITNVTEYEAIAKQKLPKMAYDYYASGAEDQWTLQENRNAFSKILFRPRILIDVSKIDLTTTVLGFKISMPIMIAPTAFQKMAHPEGEYATARAASAAGTIMTLSSWATSSVEEVASTGPGIRFFQLYVYKDRNVVAQLVRRAEKAGFKAIALTVDTPRLGRREADIKNRFTLPPFLTLKNFEGLDLGKMDEASDSGLASYVAGQIDRTLSWKDVQWLQTITKLPILVKGVLTAEDTRLAVQAGAAGIIVSNHGARQLDYVPATITALEEVVKAAQGRVPVFLDGGVRRGTDVFKALALGASGIFIGRPVVFSLAAEGETGVRKVLQMLRDEFELTLALSGCRSLKEITRDHIVTDWDLPRISPRL >OIW05302 pep chromosome:LupAngTanjil_v1.0:LG09:3097129:3108095:-1 gene:TanjilG_03691 transcript:OIW05302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRMKAFEKALRTWASWVDANIDPSKVKVFYQGISPSHYKWDYFQVGDKLIKDMDHLEAFRIGLTTWAKWVDSNIDPSKTNVFFQGIAASHAANCLKQTQPDEGKMPPYPGVDIVKSIISNMTKPVKLLDITLLTQLRRDGHPSIYAGNGPSFNDCSHWCLAGVPDTWNEILYATLFGN >OIW04213 pep chromosome:LupAngTanjil_v1.0:LG09:21324987:21325412:1 gene:TanjilG_00773 transcript:OIW04213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEQHTETTIPTFLIPDSSMVPHPLHKSLHVLRRSRRLWRRKDGTREVMMMTVKEENNNNNNGKGCVLKEEEEEEGYDREEIEKKIHALKRIVPNGESLSVDKLFDETAGYIMTLQSQVKALRTLSGFFDKLEKEKTKFGG >OIW04287 pep chromosome:LupAngTanjil_v1.0:LG09:21717937:21721440:-1 gene:TanjilG_00847 transcript:OIW04287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGSKSKIDIAFEYQSQAAILRPSIHSRRANLTVKFQDLYGFTVKGNVDDVNVLNEVREKVRQQGRVWWALEASKGANWYLHTTIGQGSALTSSLKFSALANAITLKKLIRKGIPPVLRPKIWFSLSGAAKKKSTVPDSYYDDLTKAVEGKVTPATRQIDHDLPRTFPGHPWLDTPEGHASLRRVLVVYSFRDSDVGYCQGLNYVAALLLLVMKTEEDAFWMLAVLLENVLVSDCYTNNLSGCHVDQRVFKDLVVKKCPRIASHLETLEFDVSLVTTEWFLCLFSKSLPSETTLRVWDVIFYEGAKVIFNVALAIFKMKEDELLVTHHVGEVINVLQMTTHHLFDPDDLLTVAFDKIGSMTTSNISKERKKQEPEVMKELDQRIRRLNSLKMEGK >OIW04812 pep chromosome:LupAngTanjil_v1.0:LG09:12351618:12357984:-1 gene:TanjilG_15325 transcript:OIW04812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVQCSTLIPCCVNSQVKASVVETPDAENEDRSEVSNSPIFREFTLEQLKNATSGFAIENIVSEHGEKAPNVVFKGKLESQMRIAVKRFNRNAWPDSRQFLCSTLIPCCVNSQVKASVVETPDAENEDRSEVSNSPIFREFTLEQLKNATSGFAIENIVSEHGEKAPNVVFKGKLESQMRIAVKRFNRNAWPDSRQFLVREAQPMKWAMRLRVVLHLAQALEYCTSKGRALYHDLNAYRILFDEDGNPRLSSFGLMKNSRDGKSYSTNLAFTPPEYLRTGRVTPESVIYSFGTLLLDLLSGKHIPPSHALDLIRDRNLQMLTDSCLEGQFSDDDGTELVRLASRCLQYEPRERPNPKSLVAALAPLQKETEVPSHVLMGIPNSASFALLSPLGEACSRKDLTAIHEVLENLGYKDDEGVTNELSFQMWTDQMQDTLNCKKRGDVAFKQKDFRLSIMCYTQFIDAGTMVSPTVYARRSLCHLISDMPQEALNDAMQAQVISPVWHIASYLQSVALSGLGMENEAQAALKDGTTLESKRNGTSKQK >OIW05424 pep chromosome:LupAngTanjil_v1.0:LG09:1368244:1370772:-1 gene:TanjilG_23250 transcript:OIW05424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYEDLHYVPTYAYDPLDPNGNITIKWDIISWTPDGYVAVVTMNNFQQYRHIASPGWSLGWTWAKKEVIWSMMGGQTTEQGDCSKFKGTPPHCCKKDPTVVDLLPGTPYNMQIANCCKGGVLSSFAQDPANSVSSFQVSVGRAGTTNKTVKVPKNFTLKAPGPGYTCSPAKIVKPTLFIQADKRRVTQALMTWNVTCTYSQFLAQKTPTCCVSLSSFYNDTIVPCPTCACGCQGNSSQTGACVNGNTPHLASVVSGQHNFAPLVQCTSHMCPVRIHWHVKLNYKEYWRVKVTITNFNYRMNFSDWNMVVQHPNLDNVTQLFSFNYKSLTPYGTINDTAMLWGFKFYNDFLNQAGPDGNVQSEILFRKDKSTFTFDKGWAFPRRIYFNGDNCVMPPPDAYPWLPNAGSQQQASLLALVMSSLVALVFYAYV >OIW04878 pep chromosome:LupAngTanjil_v1.0:LG09:10111143:10113689:-1 gene:TanjilG_23994 transcript:OIW04878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQVSRHLEPWHNLAGKVILVTGASSGLGSEFCIDLARAGCRIIASARRVDRLRSLCDEINRMNPPLPEAGDGGGILRAVAVELDVAADESVIEKCVQKAWNAFGHIDVLINNAGVRGKVKSTLDLSEEEWNEVFRTNLTGTWLVSKYVCIHMRHAQRKGAVINIASIAGLNRGHLPGCNAYSPSKAGVNSLTKGMALEFGAHKIRVNSISPGLFKSEITEKLMEKDWLNNVALKTVPLRNFGTSDPALTSLVRYLIHDSSEYISGNNFIVDAGTTLPGVPIYSSL >OIW05386 pep chromosome:LupAngTanjil_v1.0:LG09:1701803:1702186:-1 gene:TanjilG_28851 transcript:OIW05386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFHFSFGSQPVTSRNQGSSPVESISDEPFPIKTTESTVTCIYQANVARQWRNVSVLWCKNLTNHTLHLNVDSIGGEIHHTCKIDVKPWYFWNKKGCKSFEVDGHQVEVYWDLRSAKFSGGCPEPSK >OIW04602 pep chromosome:LupAngTanjil_v1.0:LG09:16800029:16800844:-1 gene:TanjilG_18079 transcript:OIW04602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLHGYPPNQHTFNFLFSACTSLCSLPLAQFLHTHFVKSGFQPDLFAATALLDMYAKLGTLEFARKVFDEMGKREIPSWNAMIAGYTRVGDMEEALELFKLMPCRNVVSWTAVISGYSRSKQYEKAMGLFLRMERLKDIRPNEVTVASILPACANLGALEIGQRIEEYARKNGFFKNLYVGNAVLEMYAKCGNIDVAWRVFEEIGTLGNVCSWNSMIMGLAVHGQCSKALELYDQMMVIRTALALFLIFFADAIIYQFLEVILNCPSFKQY >OIW04235 pep chromosome:LupAngTanjil_v1.0:LG09:21456772:21459545:1 gene:TanjilG_00795 transcript:OIW04235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSHVLAHELPQTSLTEPSFDSTTQTVIGKSDLSKDIIEADKLGLENRKLYWNIGIGGGDSRGSNGGGNPSVGGIGGIGGIGNGGIGNGGWGGDYISVEKQENQHSHKDKGNVVKNPKPTSSQNLEMDRSGVSSMFSLRHSVRHGYTIPTLKEKGKSDLSKDVAEVDKSGLENRKWNWKIVIGGGDSRGSTGGNGNGGFGNGGWGGDFISTEPLNYKDASIMKHQNMKKFSEFDRESFRESQATKKFGTKNGDKIYYSVPRMEDIGEDKKN >OIW04671 pep chromosome:LupAngTanjil_v1.0:LG09:15770380:15778851:-1 gene:TanjilG_07806 transcript:OIW04671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVFVKTLKGTHFEIEVNPSDTVSEVKKNIETVQGADVYPAAQQMLIHQGKVLKDDTTVEENKVAENSFIVIMLSKSKSPSGEGSTATAPSAKAPQTSAVPTPTSVSTAPQAHAVTGATPLAVTAPTPPTLVPAPASAPAPAPTLSSTAVPGSDVYGQAASNLVAGNNLEETIQHIVDMGGGSWDRDTVVRALRAAYNNPERAVEYLYSGIPEQAEAPPVARVPGSVQPGNPPAAAPQAAPVTSSGPNANPLDLFPQGLPNVGAGGAGAGGAGSLDFLRNSQQFQALRAMVQANPQILQPMLQELGKQNPHLMRLIQEHQADFLRLINEPGEGGGDGDGGEGNILGQLAGAMPQSVTVTPEERQAIERLEAMGFDRAIVLEVFFACNKNEELAANYLLDHIHEFDE >OIW05319 pep chromosome:LupAngTanjil_v1.0:LG09:2714380:2715498:1 gene:TanjilG_28784 transcript:OIW05319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVIITPETVERAIDSLLKWRNSQPQTQKSKLLDQDDEFIYLTLTLKKVPPKGRVNPHKVPLPHSLTSEFSERCLIIDDRPKSNLTKADAEKKIKSESIPISEVLKLSKLTSDYRAFDAKRKLCDSYDMFFADKRIVPLLARLLGKHFLKKKKVPLPLDLQKKNWKEQVEKACSSGLLTLRSGTCSVVRVAKLSMERDEIVENVVVAIGGIVEIIPKKWENVRSFHVKLLESLALPVFQAVPDIKLRIDGSKAEEEEDEKKEEEDGGEVHDQKKGKKKKKKGKIHQVKYMDDKVGEDEDLVVEDKPGSDDAGDSDNDEKGSGELVKKKRKKGVKLENGALGELSSAKKKLKKSAKESGKRKKKDEKENLIEQ >OIW04185 pep chromosome:LupAngTanjil_v1.0:LG09:21114126:21114365:1 gene:TanjilG_00745 transcript:OIW04185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRHRRQQSQVLPPEILSADENLPMSFDFSQITSNQETSGTTTPTTTTTTTTTQGSKQTPPTNPPPPATTNKPPPAKSH >OIW05049 pep chromosome:LupAngTanjil_v1.0:LG09:8059852:8063992:-1 gene:TanjilG_18648 transcript:OIW05049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQVRDPQVFLDVSIDGGPVERIVIQLFASTVPKTAENFRALCTGEKGIGESTRKPLHYKGTSFHRIIKGFMAQGGDFSKGNGTGGESIYGGKFADENFKLTHDGPGFLSMANSGPNTNGSQFFIIFKRQPHLDGKHVVFGKVVLGIDVLKKIELVGTSDGKPIHPVKITDCGEISETKIQHIVEKEKGKQRKSEKPLTSDDSSDKKTRGKRQKSSRVVRKRRRHSTSDSDSDSGSDSYSSDSESDSASGSESDSSSSSYGKPRKRKRNKRTNRKKKKYGRKRSQHSRNRRSRHKSRRSSESSSDSESESSDASSSSSDDEKAKRHDSRRKSWADDKPKRTLDTGKQSSNPPPQSQTIPESVDPKVRETVDKQSHEEGELSPENGAFNNNGHDTEAKFIKPSKQSTYSDDSDHNRGASPARKFDDSNQGHALLSSPVRKVSEPAAATKPSQDFSKSPSPNGTPKRIKKGRGFTERFAFARRYRTPSPERSPRPYRYGDRNIRRNFDRNTSYRSYSERSPPRRFRSPPRGRGRPSYQSRRSRSRSISHSPVRGRFRDRERSRSPKRSLSPEDRRPPISDRLKSRLGPQSERQSPVKRRSRSNSRSNGSPPSRSPDVTLQKRYDKRTSVSRSRSRSSSPSGQKGLVSYGDASPDSGGR >OIW04570 pep chromosome:LupAngTanjil_v1.0:LG09:17007590:17013173:-1 gene:TanjilG_20926 transcript:OIW04570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFLCLKDMSQKLVFLFLFFYVVVSTPPEDPINCSSQNTSCTITNSYGIFPDRSTCQASEVLYPTNEAELVSMVASASKNKRKMKVATRFCHSIPKLVCPEGQEGVLISTKYLNKVLKIDLEARTMTVESGVTLKQIINEASNAGLALPYAPYWWGLTIGGLMGTGAHGSTLWGKGSSVHDYVVELRIVRPSGPEEDEYATVLTLNEYNEDFNAAKVSLGVLGVISQITLKLEPLFKRSITYLTKDDSDLGDKAAAFGHEHEFADITWYPSQHKAVYRVDDRVPINTSGNGLYDFIPFRPTSSIELALIRSAEDLQETTSDANGKCLFAKTTTATLITTAYGLTNNGAFFTGYPVIGFHNRLQSSGTCLDSLKDGKFTACAWDSRIKGEFFHQTTFSIGLSFVKDFIQDVQKLVQLEPKGMCGIEQYNGILMRYVKASSAYLGKQEDAIDFDITYYRNKDPMSPRLYEDIIEEIEQLGIFKYGGIPHWGKNRNLAFQGVINKYKSAGKFLMVKEVYDPQGLFSSEWTDQMLGLKEGVTILKDGCALEGLCICSQDSHCNPNKGYFCKPGKVFKEAKVCTHQ >OIW04666 pep chromosome:LupAngTanjil_v1.0:LG09:15689955:15696703:-1 gene:TanjilG_07801 transcript:OIW04666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTDGHKHRRSISPGNSDKSSKRHKHRHHHRRHHRHRHGSRKRDEENEYDCETVPAVPSPIPATNSAQNRHMPVDDAEEGEILEVEDGDVRKKQIASYSEAGEIEVIGDRDPRSDKANSGLHANNSKVRSEGISDEIIFSPAFDAEDRTCRQNNIGHRMHEDEGSPNLLSASPKYGKDARGSTNNMGNGHLNPKSPKREKRRSGEIGSYKGNEIVKGEYDDDLEVDGGKVNYHRNSSSKSGEKYRSGHLPSRDRYRSRSRSNDHARDRSRSRSIVEEHRRHSGEQGDYPYAGRSKSDREHDDERMVVRRSEIYRSREARDTSMDMGVDRDLQREKKRENSRNREVDRVCRREKEHGSSHVRHRRDAERGKSREKEDDSGRRRENERDRSRETVYERDMRREKQRDRSRDRTRGDGRDRVWESRQNDKNQERDKSKETEKEKKEDRNRHKYKDIVNGKGEHLHCNESYDSRDRYRRHSRHEETEWHRERKLNSEPVKVYNSMDSTLVDDENKLDRGEDEDDLDEKVTLQLPDQEEEDLNRIKEESRRRREAILEKYKKQQQQQEEQTTEIEGKDKQTEETPPAIPKALYGKNNDVDGAEVSFSVGKSPAKNGNVASGKISVAGGLREGTPKSERSDDMFCDDIFGETPTGVRKLGKGDGLQIERGGLHDNWDDAEGYYSYRFGEILDGRYEVTAAHGMGVFSTVVRAKNLKDGNGEPEEVAIKIIRSNDTMYKAGMDESVILKKLVGADLDDKRHCVRFLSSFKYRNHLCLVFESLHMNMREVLKKFGRNIGLKLTAVRTYAKQLFIALKHLRNCGVLHCDIKPDNMLVNEAKNVLKLCDFGNAMFAGKNEVTPYLVSRFYRAPEIILGLTYDHPLDMWSVGCCLYELYSGKVLFPGSTNNDMLRLHMELKGPFPKKMLRKGAFTEQHFDLDLNFFASEEDPVTKKTIKRMIVNIKPKDIGTIITGSTGEDPKMLANFKDLLEKVFVLDPDKRLTVSEALNHSFITGK >OIW04748 pep chromosome:LupAngTanjil_v1.0:LG09:13959875:13961125:-1 gene:TanjilG_08631 transcript:OIW04748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELEAGRQNGIRSRPNFPLQLLEKKDVDDVSSEQPCSTTAHDGGGDVADTNSCLNFGEQSKKPPPKRASTKDRHTKVDGRGRRIRMPAACAARVFQLTRELGHKSDGETIEWLLQQAEPAVIAATGTGTIPANFTSLNISLRSSGSTMSAPSHYFRGNYFNPSTFSSTAATAQLRNRGEWEWSMNNNKNMLMEDSRRSTMLFPGSSENSNSNISSLLNFNPSVNAMLQAKQEESGGGGGGGSLELMASDSDGSLGRKRRQEQQEVSNMGSYMLQSSTSGSISATYASNPATFWMVAGNGNQSMNSSGNGGDPIWAIPSVGGNSGMYRGAMSSGGIHFMNFASPIPLMPGGGQLGPGMSGNGSGGGGAAMVNESNLGMLAALNAYRQFQANGVPESPASTGQHHGGDDGHETSSQHS >OIW04355 pep chromosome:LupAngTanjil_v1.0:LG09:19205927:19210912:-1 gene:TanjilG_32547 transcript:OIW04355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPSSTPDPSSPASPSVGFNTDQLPHTHTSHASEDDEASVDPNVIPDDPEHVPDEDEDDDGEDLFNDNFLDDYRRMEEHDQFESFGLDDTVEDDRGFDQVMQDRRAAEVELDARDGLVSGRNKLPQLLHDQDDDDDSYRPSKRARADRPPHIPSDDDLDGMQSSPGRSQRGNSREDVPMTDQTDDDRYEDEYDNEGEYAMYRVQGTLREWVTRDEVRRFIARKFKDFLLTYVNQKNEHGEFEYVRLINEMVSVNKCSLEIDYKQFIYVHPNIAIWLADAPQSVLEVMEDVAKNVVFQLHPNYKNIHQIIYVRITNLPVYDQIRNIRQIHLNTMIRIGGVVTRRSGVFPQLQQVKYDCNKCGAVLGPFFQNSYSEVKVGSCPECQSKGPFTVNIEQTIYRNFQKLTLQESPGIVPAGRLPRYKEVILLNDLIDCARPGEEIEVTGIYTNNFDLSLNTKNGFPVFATVVEANYVTKKHDLFSTYKLTQEDKEEIYNLARDPRIGERIVKSIAPSIYGHDDIKTAIALAMFGGQEKNVEGKHRLRGDINVLLLGDPGTAKSQFLKYVEKTGQRAVYTTGKGASAVGLTAAVHKDPVTREWTLEGGALVLADKGICLIDEFDKMNDQDRVSIHEAMEQQSISISKAGIVTSLQARCSVIAAANPIGGRYDSSKTFTQNVELTDPIISRFDILCVVKDVVDPVTDEMLAQFVVDSHFKSQPKGGNKDDNKSFSEPQDASMPDDPEILPQELLKKYLTYAKLFVFPRLHDADLDKLTHVYAELRRESSHGQGVPIAVRHIESMIRMSEAHARMHLRQHVIQDDVDMAIRVLLDSFISTQKFGVQKALQKSFRKYMTFKKDYNDLLLYLLRGLVNNVLHFEEIVRGSASGLTHVDVKVEDLSRKAQEHEVYDLKPFFSSGQFLNANFVLDEERGVIIHHLF >OIW05185 pep chromosome:LupAngTanjil_v1.0:LG09:5301595:5302179:1 gene:TanjilG_19816 transcript:OIW05185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEPAGQVACAMTEDHASSSQSDRDTQRTKHASNTDLDRDTWRTKHTSDTVPDRDTWSTRHASDTVLDRDINHAPDANPDRVNHTPDANPNRDNLDRDIKYALDKLAPDTNPNRNDHAPDRDKHAPDQDNHAPDQPTLSISARPHGQDKTHNVHTSRLHHKIHMGHLTPEVTWTTSNPHFTCCFSLSPILPHVE >OIW05300 pep chromosome:LupAngTanjil_v1.0:LG09:3131706:3133478:-1 gene:TanjilG_03689 transcript:OIW05300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSVVEANQLSGKLPAELGNLSQIQRMLLSSNNFTGEIPPTFAKLTSLQDFRIPDNQFSGKIPDFIQSWTSLQKLVIQGSGLSGPIPSGISLMENLTDLRISDLKGSEFSPFPNLNNLPLHHLILRSCNINGTLPENLGAMDNLKTL >OIW05341 pep chromosome:LupAngTanjil_v1.0:LG09:2334040:2339321:-1 gene:TanjilG_28806 transcript:OIW05341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSGCGGDGGATVENSVGREEWKLDMKEFQQPCRTQHDHNHQLSFPFRLRKHRKQRKVAEYYKKQERLLEDFTEMETMTETGFLPGSLTEDEMKQLAKSERMAVYVSNACNLVLFGAKVFASIESRSLAVIASTLDSLLDLLSGFILWFTAHAMKTPNQYYYPIGKKRMQPVGIIVFASVMATLGLQILIESGREIISKSKPEVDPVKVNWMIGIMVSVTVVKFILMVYCRRFKNEIIRAYAQDHLFDVITNSIGLAAAVLAVKFVWWIDPTGAIIIALYTINTWARTVIENVSSLIGRTAPPDYLAKLTYLIWNHHEQIKHIDTVRAYTFGAYYFVEVDIVLPEDMLVNEAHNIGETLQVKLEQLPEVERAFVHIDFEFTHRPEHKTMV >OIW05232 pep chromosome:LupAngTanjil_v1.0:LG09:4119713:4123606:-1 gene:TanjilG_21217 transcript:OIW05232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPPNASEDLSSEMEVDAFRRLFPLRFFERHLAESIRPDGRSLSKARDTSVFLGAVASANGSALVKIGSTTMLTAIKMEVMTPSLESPDEGCIAIDFHMPPICSPIVRPGRPAEAAPVVSKQLSDTISRQWICISDHACFSGLVNLKELSLVNGKAAWLAYLDVYCLDADGALFDAALLSAVAALSHLQIPAVAMNDDGKIVLMSEEDGQKQAQEQVNKEKRKLTLKSIPFSLTCILHKNYILADPTSEEESIMETLVTIVLDSSSKLISLYKPGGPVLAYTSAIQDCVALTRQRVKELKTILEEQNSAMEV >OIW05036 pep chromosome:LupAngTanjil_v1.0:LG09:7940176:7940781:1 gene:TanjilG_18635 transcript:OIW05036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPAPNYSSLPSPSSSNSTAAYFISRAATSSSRAFHTRRPWEEVFALRSFTRPFSLNEAWERVRRNFTHFRVNYTMIVLFIVFLSLLWHPVSIIVFLVVLVAWCLLYFFRDAPVEVFHRTVDGRVVEVALSAVTVVGLCLTGVALNVLVAVVVGVAASVVHAAFRSTEDLYVEENEAYDGGLLSVVGGSPTKRTTGYNLM >OIW04994 pep chromosome:LupAngTanjil_v1.0:LG09:8332849:8336437:-1 gene:TanjilG_24466 transcript:OIW04994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKTSNDKKENVEGRGLVDIVFSWSITDILNEHLYQNQVMKIPDEFPSISIYMTSFILPLIEETHAELLSNMNTLSKAPICQIRSVKTTKWFQLPKDFFYELDIFEKKGSHKDRLYIPTVGDLIAITHTRPKCVDDLNGSNYVITYVYKRKGNRSNNSVTVLSSKPILSHGKKFSTIFAIHLTNLTTNIRIWRSLKGELEGTNMKILDKVLQLHSSDLDTCDKCNSDTLSTLDNAVSSSYRELNESQKEAVLRCISLVKCHHQNNVSVIWGPPGTGKTTTTSLMLYSLLKYKCRTLTCAPTNVAVVELTKRLLKLVKEEAFRNCYGLGDVVLFGNSGRMLVSDDHKDLKDVFLDHRVRTLRKCMGAWTNNLKSMTSFLKDPRKFYKMYLKTYILGNEAAAIKRKQVKVDRSRNNLKLENESAKSFSPCTFEQFVSKRFRRLQQQLTSSVMNMSKHLPTSIISKKDVMNMFQAIDLLNSLRAFLVTENEGINEVFYNSEDNGCYSKWRSEIKLCLNALKLLPVNFSVTGTIREFCLSNACLVFCTVSSAVKLHVKEMSPIEILVVDEAAMLKECESTIPLQLHGIRHTILVGDEKQLPAVVQSKICEKAEFGRSLFERLVKLGHKKHLLNVQHRMHPSISLFPNIEFYGSEIVNAKSVKEISYNRRFLPERMYDSYSFIDVPMGREEFGDNHSRRNLVEVSIVYELVKKLHQECVRRKIKVRVGVISPYKAQVCAIEDKVKKVSLSEGFEVNVRSVDGFQGGEEDIIIISTVRCNTNGFIGFLSDPRRANVALTRARHCLWILGNGKTLLNSDSVWEKLIIDAKMRGCFYNVQEDICLLSNYSSVDLSQSFSSLKIYDM >OIW04631 pep chromosome:LupAngTanjil_v1.0:LG09:16391325:16392740:1 gene:TanjilG_30529 transcript:OIW04631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENQHQSIVAMIPSPGMGHLIPMIEFAKTLLLHHNLSFIFIIPTDAPPSTAQTTVLRSLPSAISHTFLPPVTLSDLPLGTKIETIISLTVLRSLPSLRHALLSQTAAVTAVVSDLFGTDTFDVANELNIPSYVFFPSTAMALSFSFYLSQHLHQNVQCEFRDLPEPVQIPGCVPVHGKDLLDPVQDRSNDAYKWLLHHTSRYKLAHGIIENSFLELEPGAIKELQREEPGRPPVYPVGPIINLDTGRTGNHDCLRWLDEQPRGSVIFVCFGSGGTLTSAQMDELALGLEKSEQRFLWVVKSPNDKVTNASYFNAETQANPFDFLPKGFVERTKGRGFVVSSWAPQTQVLSHGSVGGFLTHCGWNSILESVVNGVPLVAWPLYAEQKMNAVMVSEDVKVALRPKVGENVLVESEEIASVVKNLMEGEEGKKLRYRMKELKDAAAKTLGENGSSTKHISQLALKWQGKASLTN >OIW04974 pep chromosome:LupAngTanjil_v1.0:LG09:8593603:8595637:1 gene:TanjilG_01170 transcript:OIW04974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTDSGMPTENDIELNDLSYISVPSSLDWRTKGAVTAVKNQESTCAVGTIEGINAIVTKNLISLSEQELVDCDSASQGCITGKVTNALNWVIKNGGIASDVVYPYKAQQGTCQASKVGNIATITSFAKVAQSDAALLSATAIQPISVSVDATDMKQYTKDSGIFDGRNCKNTTDVNHSMLIVGYDRSKEGVDYWIVKNSWGKDWGKDGYIWIKRNTNLPYGVCAVNAWAYNPIKS >OIW04995 pep chromosome:LupAngTanjil_v1.0:LG09:8328621:8331416:1 gene:TanjilG_24467 transcript:OIW04995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMMNMRSSISGLSIFKIHCFSSSDSTTRRRGFGDNNNTNNSNNNNNIPIPIKKRSKGSTTQPLLLDLDFDQRLEAVTRSALEQKKSQQQQQNQYGPIDYDTPIISSPTNNNNKEIGFATKIGVGVAVLLFGLVFTLGDFIPSQSVSPNEDNAVVKLSEEDKATLQSRLKEFEATLSNSPTDPTALEGAAVTQAQLGEYAKAASLLQDLTKEKPNDADVFRLLGEVNYELKDYEGSVAAYKSSATVSKDIEFEVVRGLTNSLLAAKKPDEAVQFLLACRERLSSEILSKEHDSSPTDSQKLDPIQVELLLGKAYSDWGHVSDAVAVYDQLISTHPDDFRGYLAKGIILKENKNIGDAERMFIQARFFAPDKAKALVDRYSR >OIW04648 pep chromosome:LupAngTanjil_v1.0:LG09:15431367:15431639:1 gene:TanjilG_07783 transcript:OIW04648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLSHDGSLLANLSPVEQSKRLFQGASHSHPINCCKEDDVANSNNNMMDEAFSRDGFDKFNQCMNEVNVAHRQEDVVYEDCGDTTMVGP >OIW04533 pep chromosome:LupAngTanjil_v1.0:LG09:17715495:17722756:-1 gene:TanjilG_13915 transcript:OIW04533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVSFKVSKNGTRYRPKPLSLPLPHDSGESQNSKSNSRVPQGDLVEAGENIARVPNSSESLSLIEGEASFTLNLFPDGYSIGKPIENEANQSFPKLLHPYDRSSESLFLAIESGHLPGDILDDIPAKYIDGGLICEVRDYRRCSSERGADVVSNGSSPTINKVCLKMSLENVVKEIPSITDKSWTYGDLMEVESKIVKALQPKLHLDPTPKLDRLSESPAPTKLNLCLSNLRRKRPRHLPEFAVTCSSTSHGKKICVDRVPESSTNRFSGSGTPAPNAIMQQTIENPAIQNLSPSIATGLRSRSILPDSSVSGFSMMSNQSGYQIASRTPRSVQERGSVSAINSSAASPAVQDIMVSYADNAKSNGSFLAKRENPDGQTSPLSSIAKRMRPASTGIDTMQQQQIGSHVEALQGSDMNWQNTLLQQQAMVRGIKYGSVGIQKFPQQVFEGGLNQDTGAIQFASGQQGMGIVSREEQFGIEKLDPAEINHNKSEMEMDTSNLDPQQLQFHQRLPQHGLMRPNFSQSTWNNVGPHMEKEARKEDQLQKRKLVQSPRLSTGALYHSPLSSKFGEFPSGSVGPSFGLSSMTNAPGPSQKEKTAISSAHTAIGTPSLTSSVNDPTQRQHQAHLASKRRSDSLPKMPAISGVGSPASVGTGSTNANSPSLGTSAVVDQDLQIMLERFSKIETVTMRHQLNLKKNKGDDYPTRKQATYSTQHLGACLSNATNNDGIIDETSSLSKSLMGGSMNVCKMRHLSFFFPERVVQGNVVSIVPRLRTRMIMSEKPSDGTVAMHYGDIDDGDFVAAEDHLPTLPNTHAADLLANQFCSLMAHEGYVKEDDRIQVKPTRVNLPSDSQASLPPNNSIGELQQYGEQFPDQSPYEIAKAASGSNASLNLPENLVANQRMLPPGNPQAFQMSQGLLSGVSMASRPHQLDSQQARQQQQQMQQNQSNLIQQQNPLQRSMMLGQNQLSHVNAVGHNSNIPLGNMLNNSSPLQLQMLQQQQQTQPQMQRKMMMGLGTSVGMGNLRNSIVGLGPMGNPIGMGAARGIPGSGISTPMMSMSGMGSMGQNPIDLSQASNITNALNQQLRSGTITQSQAELLLSRIRMAQNRGSMLGSPQSSIAGMSGARQMHSASAGLSILGQSTNRANIHTLQREIGPMGPPKLMSHYMNQQQQQQNQQQLQLQQHLQQQLQQKLQQQQQQQETTSQLKAVVSSPQVGSPSTMGVPPLNQQAHQQASPQQMSQRMPMSPQMSSGAIHATMSAGNPEACPASPQLSSQTLGSVNSITNSSMDMQGVNKSNPVSNSQ >OIW05041 pep chromosome:LupAngTanjil_v1.0:LG09:7976975:7983161:-1 gene:TanjilG_18640 transcript:OIW05041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTHSHGGAGNLRSRSSQSQSPSHSTTSSIHKRKISSSFDPTLTTNDDLDSISARANHSDDDSEEDAVVDDYEDNFDQDNGSSMRTFTAARLDNSTNNTTNPSSAPRNSKRDNNNNNSGVKIENSDSVTAKDAGAGSGSSVPGTVVKEDAAMIFTDNLQTSGAYTAREESLKKEEEAGRLKFVCLSNDGIDDHMVWLIGLKNIFARQLPNMPKEYIVRLVMDRTHKSVMVIRHNHVVGGITYRPYVTQRFGEIAFCAITADEQVKGYGTRLMNHLKQYARDLDGLTHFLTYADNNAVGYFVKQGFTKEIHLDKDRWQGYIKDYDGGILMECKIDPKLPYTDLSTMIRRQRQAIDEKIRELSNCHIVYPGIDFQKNTWYINLFPLLINTMQKEAGIPKKIIKDIPGLREAGWTPDQWGHSRFRTLTVSTDGAQSMHDHADAWPFKEPVDARDVPDYYDIIKDPMDLKTMSKRVESEQYYVTFEMFVADVRRMFSNARTYNSPETIYYKCSTRHGFSTPYFPFSFPNPFKYHLARSTLSQQSGIGTTIWYQNPIGALI >OIW04512 pep chromosome:LupAngTanjil_v1.0:LG09:17880840:17891426:1 gene:TanjilG_13894 transcript:OIW04512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKTKAFIGSNVFMSRNLVPPEIFATLHDALNDNGAQIHLCCDPSRNGTNDYHIISSNKHDKFEDLKAKGCKLLGPRCVLSCAKECRPLPKQGFTCCLAMDGVKLLASGFDMDEKVKIEELVTEMGGVLHAKASLDLNFVIVKNVLAAKYKWALNTLKKPIVTYEWLKQCSDEHRVVPQESYKVLPFSGLTICVTGIPAAPEGDKYKVAKRWGHIHIVTSKWFDQSIVRRACLNEESYPVQNGSLSSHKVTRDSTVQHSQEKEISKLQSVASAAAADSNVTVISRTDCVDRDLEVTQSECMSPLSNFPVFVKEADAEVPPVKSSNELDFDGVVANDSESDDNDLYLSECRILLVGFETSEMRKLVNMVRKGGGSRSMSFNDKLTHIVIGCPSEMEKKDIRRLASLGVIYVVTTSWLEDCHRQKKEVPVLRRHIACDLFLPKAASVKGAAVKSTMSTDQGKSSGFHQSLQTDHMVDIMDSGAVMPVSLEKSKEKPDMGINVLTASKALGRSVSKKELPVDKFKVPKRMKQDSSVKKVKSTTVFRGKIFCFSNLFPEERRAEIVQWISEGSGQVISEQANQNVHYTIECHGVTPKFIGNSQSIYISSHWIRSCLEAGSLLDVEGHILYSPLPCRVPLPGFESFRFCVSQYDEKDRILLRNLCFVLGAKFVEKLTKKVTHLLCRFTNGPKYEAACKWGVRSVTSEWILECVKKNAVVSVDQFLPKEVTDQDQEAGACITSQFPTQAARMISDMPSQFPSQSQDLRNIPNIYFSSEVDNHRTDSKISSIYSKKARLVEEHDLYDKVPFAVDSSIHVNNMNFFEDNVHKYVGEVSHAVPDVADAIEDLLEQTSKIHDQGSPVQAGSLGEDNSNLHTVVGLSKNWLNRYVLNFTCFKSARQDDNGEASRDRRTGMYDGFSETQTESQVVSYEEDLSGRQMLIDRVRTRSSLQ >OIW05159 pep chromosome:LupAngTanjil_v1.0:LG09:6204377:6206759:1 gene:TanjilG_02632 transcript:OIW05159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGILVRKTFGGVESTEITEELPSKQQQHARKQNLILEIPTRNPDETTDGLFRINTPPTTQNFSSFSRSNEVQGSSSTKNKSTFNSALIPKFSFGFHNTSSDIEKASVLELEGSPPEVAPKKPMISRTLSLTKLISSTPSGNKMSSLPVTPISQSNTESAHGGNTAYPATSVKKGREFPIHRSRSVPVLTKYGNTSLGGMFRVVPTTPRFAGSIATTSMKSPPEDTVENEDGEDIPEEEAVCRICLIELREGGDTLKLECSCKGELALAHQDCAVKWFSVKGNRTCDVCKQEVQNLPVTLLRVPSAPGVSIFGSRQQYRQRTNVQIFHRVWQNVPVLVFINMLAYFCFLEQLLVSGMGSTAAAISIPFSCILGLLASMTSITMVRRKYVWVYATAQFVMVVLAGRLFYTLLPKQAVVLCILLSTFTGFGVVMFGGTVLSEFMKWRRRRNDSQQHQESSMGESISRVG >OIW05276 pep chromosome:LupAngTanjil_v1.0:LG09:3454305:3460762:1 gene:TanjilG_03665 transcript:OIW05276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSLNGFQVSQAYLEGKAVKETKALMAELCRQFYTLGWVSGTGGSITVKVHDDSIPKPHQIILMAPSGVQKERMEPEDMYVLSQDASVLSAPSPKPYPHKPPKCSDCSPLFMKAYQMRDAGAVYHSHGIESCLVTMINPLSKEFRITHMEMIKGIKGHGYYDELVIPIIENTAYEYELTDSFAKAIEAYPKTTAVLVRNHGVFVWGDSWISAKTQTECYHYLFDAAIKLHQMGLDWSTPDHGPIQSARRGLRHAGESILSVKARKSDGEIDPFPRCIVLDIEGTTTPISFVSEVLFPYARDNVGRHLSATYDTLDTQNDIKLLRSQIQSDLEQGIAGAVPVPSVDAGKEEVVAAVVANVDAMIKADRKITALKELQGHIWKTGYENNELEGIVFDDLPEALEKWHALGIKVYIYSSGSRLAQRLIFGKTNYGDLRKYLSGFFDTTVGNKKETHSYVNISESLGVDKPSDILFVTDIFQEATAAKAAGLEVIISIRPGNAPLPENHEFKTIKSFSEI >OIW04268 pep chromosome:LupAngTanjil_v1.0:LG09:21640207:21642615:-1 gene:TanjilG_00828 transcript:OIW04268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEVGMGWFDSLWGEEGRKLIKRKDSDAGEAGRALEELRASLYNELRTSEGAKRQQQRYCPPVVALTFNFMVAVGIIMANKLVMGKVGFNFPIFLTFIHYITAWILLAFFKALSLLPVSPPTKTTPFSSLFALGAVMAFASGLANTSLKYNSSCSVGFYQMAKIAVTPTIVFAEFILFRKTISFKKVLALVVVSAGVAVATVTDIEFNLFGAVVAIVWIIPSAINKILWSTLQQQGNWTALGLMWKTTPVTVFFLGALMPWIDPPGVLSFKWNVNNSSAVLISALLGFLLQWSGALALGATCATTHVVLGQFKTCVILLGGYLIFNSDPGIVSIGGAVVAISGMSLYTTFTLQQSQENTKQPLLPSTKPKSTTEQDTTTDSNINTTTIVV >OIW05492 pep chromosome:LupAngTanjil_v1.0:LG09:257981:259749:1 gene:TanjilG_27622 transcript:OIW05492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCDGCERIVRNAVSNMKGVKSVDINRKQSKVTVVGYVDPNKVLRRVKGTGKKRAEFWPYVPQHVVSYPHASGVYDKRAPAGYVRNVQTVPTSQETEEKLMSLFSEDNVNACSIM >OIW04828 pep chromosome:LupAngTanjil_v1.0:LG09:11978424:11980679:1 gene:TanjilG_13676 transcript:OIW04828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHLLSFLFLLCNSLVAPVTPLNIEGSVLLTLKNSITKDPEGSMSNWNSSDENPCSWNGVTCKDQSVVSISIPMKKLYGFLPSALGSLSQLRHVNFRNNNLFGNLPSELFEVQGLQSLVLYGNSLSGYVPNEIGKLRYLQTLDLSQNFFNGSLPATLVQCKRLKALVLSHNNFSGTLPDDGFGSKLLSLEKLDLSFNQLNGSIPSDIGNLSSLQGTVDLSHNHFSGSIPPSFGNLPEKVYIDLSYNSLSGPIPLIGALMNRGPTAFIGNSGLCGPPLKNPCALDSPGSASSPSSFPFLPGNYPSQGNGSGKNEKNKGLSKGAVVAIIVGDVIGICILGLLFSYFYSRVCGFNQDGDEGGIEKEAGVREKCLCFRKGESETLSDHVEQYDLVPLDTQVAFDLDELLKASAFVLGKSGIGIVYRVVLEDGLTLAVRRLGEGGSQRFKEFQTEIEAIGKLRHPNIVTLRAYYWSVDEKLLIYDYIPNGSLDTAIHGKAGLVTFTPLSWSDRMKIMKGIAKGLVYLHEFSPKKYVHGDLKPSKILLGHNMEPHISDFGLGRLANIAGGGSPTLQSNRVAAEKPQEKQNSLSAEVTSTANILGNGYQAPETLKVVKPSQNWDVYSYGVILLEMTTGRLPIIQLGNSEMDLVQWIQFCIDDKKPLSDVLDPYLADDLDREDEIIAVLKIAIACVNSSPEKRPIMRHVLDVLDRVSLSTD >OIW04659 pep chromosome:LupAngTanjil_v1.0:LG09:15621629:15622569:1 gene:TanjilG_07794 transcript:OIW04659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVSTGPGKGGGLLEKPVIEKVTPGRESEFDLKKSRKTAPPYRVMLHNDDYNKREYVVQVLMKVIPGMTVDNAVNIMQEAHYNGLSVVIICAQADAEDHCMQLRGNGLLSSIEPASGGC >OIW04423 pep chromosome:LupAngTanjil_v1.0:LG09:19796672:19797409:1 gene:TanjilG_32615 transcript:OIW04423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPQKIHPVHDVEAPHQPSAPLVPTNISKSEEGDPENLQQHPPLYSKPPKKRRSCCCRFFCWFISILLILIVAIGITIGILYLVFRPKIPKYSVDELRVTNFDSYTNNSLSVTFNVTITARNPNKKIGIDYRGGSHISAWYNDTKLCEGSLPKFYQGHRNITVLSIPLSGQTQDASGLQNSLQQQLQQNGNVTLNLKVKQPVRIKLGKLKIFKINFKVRCKLVVDSLDQNNSIRIKSSSCKFRL >OIW05520 pep chromosome:LupAngTanjil_v1.0:LG09:63564:65102:1 gene:TanjilG_27650 transcript:OIW05520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTTHLPSTINMNHITFSENFHPKSHSFGQVSLPKRYEPLSPSKHSLSASGFSPGIITTNTNIAEFPIINPPISYSVRKKTTTTSTTTTTLVKFWREVQGCNNWENLLHPLHPLLRREIIRYGEFVTASYKAFDLDPSSKRYLNCKYGRKSMFKEIGMENCGYEVTKYIYATPDININPMQNSSSSSNSARWIGYVAVSSDEAVKKLGRRDILITFRGTVTNQEWIANFMSSLAPAMLDPQNPRPNVKVESGFLSLYTSDESSCKFGLDSCREQLLCEVSRLINKYKGERVSISMAGHSMGSALALLLAYDIAELGLNKRNNHNVQNSCVSEISLTSNKTDHIPLTVFSFGGPRVGNLDFKTRCEELGVKVLRIANCNDPVTKLPGVLFNENFRVLGGRYEFPWSCSCYAHVGVELMLDFFNVQNPSCVHDLESYIGLLRRPNNNEMMQPQWKGDNNFMEKAREILFSSQNMKFLPGVIAAGADYFGFLNCFSSDIFCSDELLFGLVLLLM >OIW04086 pep chromosome:LupAngTanjil_v1.0:LG09:20424494:20427446:1 gene:TanjilG_00646 transcript:OIW04086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEEDRTYAVPTAPNGVAAAAEFEHDGGDDVDMKVAGKVKGPWSPEEDAVLSRLVAQFGARNWTMIARGVPGRSGKSCRLRWCNQLDPCVKRKPFTEEEDSLILSAHAIHGNKWAAIARLLPGRTDNAIKNHWNSTLKRRHVELGTHVRKHADVMADGSFEKTKASSEETMSVGDINSLNPPEVRNVYMDNEIKHNEDNPPKRDGAEVEGHPTLYRPASRISAFSFYNPPGRPATGPCSKMFPLQSPLIQGVGACKLFDGTGCEPMVPSQCGHGCCEVDLRGSNSQGSLLGPEFVDYLESPSFTSHELISVVTDLNNIAWIKSGLESYGAGRVTGNTATQGAATSSETGFLGQGLKNDYMQYEEGPDKFLGGMQEVLSTKMPRQHFAMPAEV >OIW04258 pep chromosome:LupAngTanjil_v1.0:LG09:21587826:21591068:-1 gene:TanjilG_00818 transcript:OIW04258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAILRSRLISLSSKRNPRFLSSSSTSPPPPPPPPPASDLSAASPRRWSFLKYALLASITGATAYTGYASYAYTLDEIEHKTKSLRESAKYASDDGPNANSLQKFQGLLYSTAMTVPAKTVELYLDARRVIEEQVRSYTEPYTDKLLPDLLPQERHVFTLVLDLNETLIHYIWTRETGWQTFKRPGVDAFLEHLAQFYEIVVYTDEQNMFVDPVIDRLDTKHCIRYRLSRPATKYQDGKHFRDLSKLNRDPEKVLYISGHALESCLQPENCVPIKAWQQTDKDDTALLDFIPFLEFVARSSPADIRPVLASYQGLDIPTEFIRRSKEHQRRMQEQKQRGRLWR >OIW04248 pep chromosome:LupAngTanjil_v1.0:LG09:21524770:21529070:-1 gene:TanjilG_00808 transcript:OIW04248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKFEGVIVSDQWLHSQFTQVELRSLKSKFVSLKNQNGKVTHGDLPPLMVKLKEFRDTYNEDEIRVILGESDANVTDDIDFEAFLRAYLNLQNQAIVKQGGRRHSSSFLKDSITTLLHTISDSEQACYVAHINSYLGDDPFLSQFLPLDPATNDLFDLAKDGVLLCKLINVAVPGTIDERAINAKQNLSLWQKNDNHNLCLNSAKAIGCTVVNIGAQDLLEGRPHLVLGLISQIIKIQLLADLNLKKTPQLVELVDDSQDIEEFLSMSPEKVLLKWMNFHLRRGGYQKTVKNFSSDVKDGEAYAYLLNVLAPDHSSPATLDTKDAYERANLVLDHAERMGCKRYLSARDITEGSSNLNLAFVAQLFHHRSGLSTDTKKMSFATMITDDVQTSREERCFRLWINSLGISTYVYNVFEDVRNGWILLEVLDKIFPGSVNWKHATKPPIRMPFRKVENCNQVVKVGKQLRFSLVNVAGNDIVQGNKKLILALLWQLMRFTMLQLLKNLRLHSQGKEISDGDILKWANRKVKSAGRTSHIESFKDKSLSNGLFFLELLSAVEPRVVNWNLVTKGEKDDEKRLNATYIISVARKLGCSIFLLPDDIMEVNQKMILTLTASIMYWSLQQQSEDTDSFPSPASTATTTTPEASPAPSVCGEDESGSSNICVDDATSDTTVSSSEALLLGDDL >OIW04824 pep chromosome:LupAngTanjil_v1.0:LG09:12075306:12079336:1 gene:TanjilG_13672 transcript:OIW04824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSILRRLNLPIHLLHRNHYNRHVTTSAAASIHHEPAVWTTAPLSNVEPAAESLFHVTVDLSDSPDLAASHTRAGQYLRLRVPDVKWPTFLAIASPPKLAQTHGAFEFLVKSVAGSTAEALCRLRRGDVVELSRVMGNGFDIDRIDPPEKFNTVLIFATGSGISSIRSLIESGFAARKRSDVRLYYGDTNLRRMAYLDRFNEWESSGVKIVPVLFQPDDGWRGERGYVQAAYTRAKQLSNPSSTGAVLCGQRQMTEILWKEGVYCFSRSCNVVKHDEHSLSIVDF >OIW04219 pep chromosome:LupAngTanjil_v1.0:LG09:21361932:21363290:1 gene:TanjilG_00779 transcript:OIW04219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRRRNSGNRVEEKVDAEIEEVCEPSFVDLPCEITTCILLCLPMKSVLISRCVCKTWNKLISDPYFAKLHFKTAPFGVMIRTNDPKRVSRTLHLLEYEDPEKFHSSDHQFCFCEDNYLKPECNSHLKLQPKFKLPLRDAKLVLAERDEASNGRRKRTYIACKPRDDKFSVVNSCNGLICLCDLRDREPLVVCNPVTGEFIRLPKTTRIEDMRRPVYAGFGFHRKTNEYKVIRMCIKYIDISQDIRQWMFDRVVVEMHTLGTSTWKNIGRVALAFVNELTFPTCANGALHWICSNGQKGSILCFNFESESFRRFPPPPQILDGNGSMSAKNINMGELRGFLYICDVSSFGLVRMWVMKKYGIEASWSKVLSIDNMDEDRWPYGLYWPVKLFKGAVLLYHSCNCFIYYESKKLKYFKIRGSKSNFEVFPHIPSLISLKDAVKGNNVEVLNVHLR >OIW04798 pep chromosome:LupAngTanjil_v1.0:LG09:12832673:12837402:-1 gene:TanjilG_11144 transcript:OIW04798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHVNLSSSKRNPRQWRILDLITAVFFGLLFIFLLLVFTPLSGSLSASSRQPHLISVSDPQQRHRLVAAIELGQQQSIETCPAGAVDHMPCEDPRRNSQLSREMNYYRERHCPPPEETPLCLIPPPRGYKISVRWPESLYKIWHSNMPHNKIAQRKGHQGWMKLEGPHFIFPGGGTMFPDGAVQYIEKLGQYIPINSNVLRTALDMGCGVASFGGFLLAQNILTMSFAPRDSHKSQIQFALERGIPAFSAMLGTRRLPFPAFGFDLVHCSRCLIPFTAYNATYFIEVDRLLRPGGYLVISGPPVQWPKLDKEWSDLQGVARAFCYEVIAVDGNTAIWKKPVEDTCLPNNNEFGLESCVDSDDPSSAWYFKLKKCVSRRSSIKGEYGIGTIPKWPERLTAPSRRSTHLKNDADVYEADTKLWVRRVAHYKNSLNVKLGISSIRNVMDMNAFFGGFAAALISDPVWVMNVVPAHKPSTLDVIFDRGLIGVYHDWCEPFSTYPRTYDMIHVVNIESLLKEQASGKNRCNLVDLMVEMDRILRPEGTVVVRDTPEVIERVARVARTVRWIPTIYDTEPESHGTEKILVATKTFWKL >OIW05331 pep chromosome:LupAngTanjil_v1.0:LG09:2475072:2476194:-1 gene:TanjilG_28796 transcript:OIW05331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNIFSLGAHKSLLIVIFFMVLSTGWSLRVTPNLHVKLINHLQQEKAAITHKKEESKVDMGMELYSTGSTIPDCSHACGPCSPCKRVMVSFECSIAESCPVVYKCACNGKFYHVPSN >OIW04951 pep chromosome:LupAngTanjil_v1.0:LG09:8817200:8817775:-1 gene:TanjilG_01147 transcript:OIW04951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWFSWLSKTSLEPSLVYEYGLAFSNNELEEEDIVFFNHEFLQSMGISIAKHRLEILKLSMKYKGSKRPLQVTRLIVAIKKTKRCLANYIKRTIVRCEDSTALVVVPSSLSSSSSRPRWNKSFVMKRNKKLMVDNKQERLLLTNGSSPSLDVFSSPMMYHFKKEEKIHDGYWSSSVEEFRWDTMFQDLKPN >OIW04623 pep chromosome:LupAngTanjil_v1.0:LG09:16148804:16150261:-1 gene:TanjilG_30521 transcript:OIW04623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTTDVRYVSPPITANNNNSSTVISAANEDDTWVWAQIKSEARRDAESEPALASYLYSTILSHSSLEQSLSFHLGNKLCSSTLLSTLLYDLFLNAFTSDPSLRSAAIADLRAARQRDPACESFSHCFLNYKGFLACQAHRVTHRLWQQSRQPLALALHSRIADVFAVDIHPAARIGKGVLFDHATGVVVGETAVIGNNVSILHHVTLGGTGKVGGDRHPKIEDGVLIGAGATILGNVKIGEGAKVGAGSVVLIDVPPRTTAVGNPARLVGGKEKPSKNKDVPGESMDHTSFISEWSDYII >OIW05214 pep chromosome:LupAngTanjil_v1.0:LG09:5032671:5035404:-1 gene:TanjilG_14767 transcript:OIW05214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIFCLLHILLAWYVSISQFVDAAELKDQAILLSIDRELRVPGWSDAKTSNYCTWQGVTCGSHSMVEMLDLAHRNLRGNFRGSILPQFGGLRRLKSWNLSNNVLVGEIPMELQGSIPKTVGNLSGLAYFEADNNNLSGEVVSEFARCSNLTLLNLAENGFTGTLPPEFGKLMYLQELILSGNSLFGDIPNSILGCKNLKKLDISNNRFNASIIFMDHCLLNFEDWTNLFLLMSHTIGSWVISQPSLIEVNFSNNLFKDPVPTFVPFWKSPSSSFLGNKGLCGEPLNSSCGDLYERKNYHHRVSYRMILAIIGSGLAVFMSVTVVVLLFMIRERQEKVAKEAAGIIDDGTNDKPTATAGSVFVDNLRQAIDIDAVVKATVNDSNKSSSGTFSTVYKAVMPSGMVLSLLHESTRQIEYQPDWRERLSIAIGVAEGLAFLHHVAIIHLDISSGNVLLDANFMPLLGEIEISKLLDPTKGTGSIRAVAGSFGYIPPGETPEQILDARLSTVSFSWRKEMLAALKVALLCTDNTAAKRPKNEDCRRNASRDKAKLRRVFRVMIYTIEDLMYAKLMK >OIW04803 pep chromosome:LupAngTanjil_v1.0:LG09:12537622:12541403:-1 gene:TanjilG_23701 transcript:OIW04803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVLQTNHETAPATAPVDPQPPLAEAPPVAAAVHDKAVVPPPPVEETKALAIVEKEKIPDPVPVNKKGSLDRDIALAEVEKEKRLSYVKAWEESEKSKAENKAQKQLSAVAAWENSKKASLEADLRKIEEQMEKKKAEYGEKMKNKIASVHKQAEEKRAMVEAKRGEEFLKAEELAAKFRATGTTPKKHIGCF >OIW05154 pep chromosome:LupAngTanjil_v1.0:LG09:6109573:6110957:-1 gene:TanjilG_02627 transcript:OIW05154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAITKTNGHYQNGSLCLNTSTTTNGSNINNDPLNWGVAAESLKGSHLDEVKHMVAEYRKPMVKLGGETLTISQVAAIAAKDRGVRVELSESARAGVKASSEWVMESMNNGTDSYGVTTGFGATSHRRTKQGGALQKELIRFLNAGIFGNGTESTHTLPQSATRAAMLVRINTLLQGYSGIRFEILEAITKLLNNNITPCLPLRGTITASGDLVPLSYIAGLLTGRPNSKAIGPKGEVLNAKQAFEVAGINSGFFELQPKEGLALEILF >OIW05007 pep chromosome:LupAngTanjil_v1.0:LG09:8150831:8151943:1 gene:TanjilG_06419 transcript:OIW05007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADHHTSSSPQQSTNQTYATTILPSLFASPKFIDFTNNNYLSGTEALRTPTSVLDTKPLISPFGFFGYPFSYAYNRKSSYIHRLESKNIGLALVSALKDEPFDENSGEPNKGNVLFGTKLRLKVKESQNKDDSTREVVEGVVSMSEMELSEEYTCVISHHGPNKKTTHIFNNCIVEENYSHFSVPKNSHLYAISESFLSFCYTCKKHLDQTKDIFIYRGEKAFCSPECRYREMVLDHNSESDIETHS >OIW04367 pep chromosome:LupAngTanjil_v1.0:LG09:19297139:19302829:1 gene:TanjilG_32559 transcript:OIW04367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTPLSAARQCLTDEAARALDDAAIVARRRCHAQTTSLHAVSALLSLPSSALRDACARARTTAAMSSPYSPRQLHLRALELSVSVSLDRLPSSKAAAATADDDGPPVSNSLMAAIKRSQANQRRHPDSFYFIHQNGNGATSFLKVELKHFVLSVLDDPIVSRVLSEAGFRSCDVKLALLQPPPPPPPPRTRFPPVFLCNLEPGRTGLNPPFIDDNSRRIVEILVQKRNLFLMGIYAKGALKSFIELIQKGYGSALFPSEMASLKVLCIEKEIAEFVGENGNNSEDRIRLRLEELGREVEQCKGSSVVLSFGEVEVFVGDCVKNIDNVKFVVSGLTRLLEIHHGKIWLVGVAETSDAYSKFLGLFPNVEKDWDLHLLTITYPTPSMEGLYPKSSLMGSFVPFAGFFSTPSEIKSSASCTNAPFARCDKCNERCEQEVADIMKVCPATPACGYSTSLPWLQKVNVDIQIGLDVAKTELRRPLQANEENTSLNGKILELQKKWNDICQHLHHTRALPEFEGLRFGSSFKESSSNVPSLKEIQYSSGIAYMPKQLHDIFPSKQLSSVPVPFNTASVNTGTDHVPKVSVIQQTDMQIPLIAPSPMANVSVLDRRLSSSLTSVTTDLGLGTLYTSAAQEPDTPKLRDHKKHLQHLSDSLSTGCDVLNENASHQIVRSSPCSSPYLEGNFHSVDFKSLNQLLNEKVGWQDEAICAINRTLFLCKSGAGKGRGSRVIADIWFAFLGPDRVGKRKIASSLAEVIFGNTESLISVDLSSQGRLYPLNSIFESQKSYCHDVLGRKTVVDYIAGELSKKPHSVVFLENVDKGDFLVQTSLLQAMRTGKFPDSRGREISINNAIFIVTSTGCKGNDSFAFEESNMFSEERILEAKRCQMQLLLGDTSEGAKISSSTNVKVVPRKGYSKSPFLNKRKQDDSSECREGASCKTQKQASETSRSYLDLNMPVEDTEEVIDDQNHGSESVVKETGAWLSDFCNQIDEKVVFKPFNFNLLAEKVLKRISIQFERTFGSESQLEIDYEVMTQILAAAWLSDKKNATDDWVEGVLRRGFIEAQQKYHPAAKCVMKLVNCETIFVEEQAHGVYLPARINLH >OIW04425 pep chromosome:LupAngTanjil_v1.0:LG09:19815365:19827982:-1 gene:TanjilG_32617 transcript:OIW04425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLSTSGLGQQGHEGGEKKCLNSELWHACAGPLVSLPTAGTRVVYFPQGHSEQVSATTNREIDGQIPNYPSLSPQLVCQLHNLTMHVDVETDEVYAQMTLQPLTPQEQKDAFLPMELGVPSKQPSNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDFSLQPPAQELIARDLHDVEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNEKNQLLLGIRRANRPQTVMPSSVLSSDSMHIGLLAAAAHAAATNSCFTVFYNPRASPSEFVIPLAKYIKAVYHTRVSVGMRFRMLFETEESSVRRYMGTITGISDLDPVRWPNSHWRSVKVGWDESTAGERQPRVSLWEIEPLTTFPMYPSLFPLRLKRPWHPGTSSFLDGRDETTNGLMWLRGGPGDQGVNSLNFQGAGLFPWMQQRLDPTMLGNDQNQQYQAMLAAAGLQNLGSGDLLRQQMMNFQQPFYLQQSGNSNPPLQLQKQQVIQQSVSPNILQPQAQVLTENLSQQLLLKPPINREDQAQQQQHTYQDSLLIQTEQLHQRQHSNLPSPSYSKPDFFDSSMKLSAASVSPGQNMLGSLCPEGSGNLLNLSRSAQSMLTEQQLQQQSWALECTPLQVIPFGNSVSHVQYSGKDATMVSPHCNSDSQNSTLFGVNIDSSGLLLPTTVPRYITSSADTDSSTMPLVESGFQDSLYGCMQDSSELLQSPGQLDPQNQTRTFVKVYKSGSVGRSLDISRFNSYHELREELAQMFAIEGKLEDPLRSGWQLVFVDRENDVLLLGDDPWESFVNNVWYIKILSPEDIQKMALDLLISIIEKTVIGRTVIFTAVGEYGEHQVHGGGGESAASDTEEQGHDDGEDVLCAVAEGTEVVSGKSEGDATVVIEFDGVILLSAIDEDLAGEGGFYGGDVDGDEGSDLGEGSEGSGGGKVVTIGDGWDREGVGGDEECTAGPCGGGDGEDEEADEDGDVNTEE >OIW04696 pep chromosome:LupAngTanjil_v1.0:LG09:14919466:14921750:1 gene:TanjilG_06762 transcript:OIW04696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNNSNRVGLIISNTDSIRVFLSGASTNSNLSDELRQTSSDLLSKSDIPYEPLRAVWFASDPSTRPELNRLFSGTRFVFSSPKPREKSEELKARLKKLEEIAERKAYQELVKDITPNRDVPEPFSSYKDQLGFGLHVIVTMFTGYLVGYAAFRALFDHSPAMNAAGGILGLVGAMFVETFLFIIRSSNADSDKTRKSHKKPKFASSTIKKNQ >OIW05241 pep chromosome:LupAngTanjil_v1.0:LG09:4008077:4009623:1 gene:TanjilG_21226 transcript:OIW05241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHLLSSSCSLTISCRNKPHLKPFDHVSTSFSTAVSCNNSRSSFRRLFLYEQKPRKSSGDPFKPQRVMVIGGDGYCGWATALHLSNKGYENRLQRWKSLTGKSIELYIGDICDFEFLSEAFQSYEPDAVVHFGEQRSAPYSMIDRSRAVFTQQNNVIGTLNVLFAIKEFREQCHLVKLGTMGEYRTPNIGIEEGYITITHNGRTDTLPYPKQASSFYHLSKVHDSHNIAFTCKAWGIRATDLNQGVVYGVRTDEIAMHEELGNRLDYDGIFGTAFNRFCVQAAVGHPLTIYGKAGQIINVSCFQESHTSNGDNIGVKLGLDVKAISVANPRVEAEEPYYNAKHTTRRARTEAAPSFAFSS >OIW04421 pep chromosome:LupAngTanjil_v1.0:LG09:19787309:19787920:1 gene:TanjilG_32613 transcript:OIW04421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQPESQTATNKNADVSVDTPLLRVKKLSANAVLPSRASPFSAGYDLSSAVATKVPARGKALVATDLSISIPEGTYARVGFVLCVHV >OIW04716 pep chromosome:LupAngTanjil_v1.0:LG09:14513407:14515009:-1 gene:TanjilG_06708 transcript:OIW04716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGFRKAQPPKPAFDSGTESEKKTTLTPDRRTASEPVVVPKSKGNYFDEDDDDDWGRKPSSTAASSAGSKDRYKNGFHDSGGLENQSVQELENYAVYKSEETTNSVNNCLRIAEDIREDASKTLDMLHQQGEQITRTHNMIVETEKDLSKGEKLLNNLGGMFSMPWKPKKTREIQGPVITADKPSKKNIASKEDREKLGLAPVPKGRSAPTTPPNESANAYQKIDVEKAKQDDALSDLSDILGDLKGMAVNMGSELDRQEVLQEGEFDAMLKLLLSNYEFTSSNPENTSVFIYYGNCRQNKALDHLDADVDELNSRVKGANQRARKLVG >OIW04354 pep chromosome:LupAngTanjil_v1.0:LG09:19200516:19204351:-1 gene:TanjilG_32546 transcript:OIW04354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKRRELFYKYPSQNATSRRRNFTGRKLDVYGDLEEKAKDLSEVFRNEFTNKDTWLREDKYIMKEEEGSLDYQLSAKENEAPTKQTLYSEPYMCDLVISDAGYDGIEKEIEKKKEEEEAQQDRNKAVELKEDDQKKLMDLGLSEMERNKRLESLKARRRARKLFKLHIENGLTDPAIPREIAPLLIAKANTYNSPREFEGIDGIEMPGSAPSMLRSPFDLPYDPNEEKPILNGDSFDQEFFTSLQKDLQFCRHESFNYFSLEPRQDLAYPRARRIPDKRNEDWFEKLISKEGNENESKALTPLSEREETTHEEDGKDKGEMVEDKDGELQSAHATKSMSDHTSEPDLRTEIINVETSEVLEKPGLTIHMPHERVPNFPRSSINATNINESLYETLPSPINTNQVNTQFTGGCISHTPSHSIASDLQVEVSEVGSPTLTADDSHETNTNTDEESVVYDGDIDKDITSDNEEMWGASFNSRGVRGVNEQDISDLHNWQDIASPLSPQIIDEENAADVSSMSSRSDMPEDTPTHAVSSDRNIFGIVEECVGETDAHHPSHSSDVLARWKRLMRLMDKNVNHLPHETLSEKLEVRSIMSEDPITKSQVINDVNNSATIEQDSTHNSESNENNTSVVQQETTDEVTINSGSSSLPRSVLPPNTIADQDSSSAYNQEMHLGDRKFNVEVMTQATLNGEGPLDTMPQNNHPSMGDPTVESLNSEFRHSQEWTYHPENSIEESNMFSKMNDAEVYNTEDREKLKSDENSEEEITSLSRQDDTAESPKQVDMTNEKPRELFDDKEPLDSVMLESSSDVHKENEDESQTSVRQEASKEPFTNAEVMNTSTAIHLEEKEHNDLNKNEATLSPNETTEEGKYMQITTAEEELNNNERLLLSESGGEKSQPVVKAMRTCSKQGPLNQRFPETECLA >OIW04842 pep chromosome:LupAngTanjil_v1.0:LG09:11474601:11480697:1 gene:TanjilG_28391 transcript:OIW04842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQWLEEHSQNWFNHGGDLFNRRYANKEHKINPKSVSNLRLKWKFYAGKEITDTPAIFNGTIYFTSWNGNIYAVKALDGSLVWKKNIEKLTGISYNGFISNANSTFSRATPTLAGEDLLVVGIYGPAVVISLNRNNGELVWMTQLDNNARSVITMSGTYYNGAFYVGTSSTEEVLSVEQCCTFRGSFSKLDIKSGAILWQTYMIPDNHGNKGEYAGAAIWGSSPSIDPLRNSIYIATGNLYSVPLRISQCQEQENNQTKPTHEDDCVEPDNHSESILALDLDSGKIKWYHQLGGYDVWFLACNDLSTPDCPPGPNPDADFGEAPMMLTIDVNGSKQDIVVAVQKSGFAWALNRDNGTLIWSKEAGPGAVGGGGIWGAATDKKNVYTNIVNADAKNFTLLPSQRVTTSGGWVAMDAKNGKILWSIENPNNATSVSGPVSVANGVVFVGTTNANGSIYALNAHSGQVLWSFVSDAAISGGVSISNGCIYVGQGRSLYTAPVGYNLTAATSLFAFCV >OIW05437 pep chromosome:LupAngTanjil_v1.0:LG09:1234152:1234466:1 gene:TanjilG_23263 transcript:OIW05437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHASNFTISSLRNLSSPIPYLFGGLALLLGLISIALFIIVCSYRKHYSSSTINGDEEKPTNKVVEMKVDSKPKIVVIMAGENNPTYLANPVPPITYSEHAFGN >OIW04234 pep chromosome:LupAngTanjil_v1.0:LG09:21449088:21449480:1 gene:TanjilG_00794 transcript:OIW04234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRRRRRRRNIGGGDRRGSTGGIGNIGGIGDGGFGNGGWGGDFISTEPLNYKDASIMKHQNMKKFSEFDRESFRESQATKKFGTKNGDKIYYSVPRMEDIGEDKKN >OIW05144 pep chromosome:LupAngTanjil_v1.0:LG09:6021559:6027845:-1 gene:TanjilG_02617 transcript:OIW05144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATPFLILQPRFNSYINPLFRSLSSSPLSFNATTAIQSSLSPPSETQSSTAAGRSGALSPAPPLSGAVQKIDVNPPKGTRDFPPEDMRLRNWLFSNFREVSRLYGFEEVDFPVLENEALFTRKAGEEIKDQLYCFEDQGRRRVALRPELTPSLARLVIQKGKSVSLPLKWFTVGQCWRYERMTRGRRREHYQWNMDIIGVPGVMAEAELIASIVTLFKRIGITESDVGFKVSSRKVLQGVLKCYSIPENLFGKVCVIIDKIEKIPVEEIKKELKVAGVSEEAVHELLEVLSVKSLAELEERVGSCGEAIADLKQLFLLAEKFGYSKWLQFDASVVRGLAYYTGIVFEGFDREGKLRAICGGGRYDHLFSTFGADDIPACGFGFGDAVIVELLKEKGLLPELSLEVHNIVCALDEDLQAAAAMVANILREKGQSVDLVLESKPLKWVFKRASRTNAERLVIVGSSEWQRGMVGVKILSTGEQYEVKLDDLK >OIW05416 pep chromosome:LupAngTanjil_v1.0:LG09:1452390:1455809:1 gene:TanjilG_23242 transcript:OIW05416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSTSLIKWSFFHKPITSLHILQLYTLLFILLSPLNDVVSAYSDNSVLLKLKNSLSDPSGLLSSWDPTDGSSHCSWSGVLCDSQFRVVAINISGNGGNGKRHSHCSNFSEFPLYGFGIRRTCEGSKGALLGKVSPLIIELTELKILSLPFNSLEGVLPDGIWGMEKLEVLDLEGNLLSGCLPVDFRGLRNLRVLNLGFNRISGVIPASLSSIMSLEVLNLASNNINGTVPGFVGRLRGVYLSFNMIGGTIPREIGEDCGRLEHLDLAGNFLVQGIPGSLGNCSELRTLLLYSNILEDVIPSELGKLKKLEVLDVSRNNIGGLVPRELGNCLELSVLVLSNLFNPVLDDNGMARESSVDQLVSINDEYNYFEGPIPTEITSLPNLRVLWAPRANLEGNFPSSWGACDKLEMLNLAQNEFTGDFPNQLNRCTMLHFLDLHSNNLTGKIAEEFPVPCMTVFDVSGNFLSGPIPEFLDNACPSFSSWNGNLFETDNRALPYVSFFASTVLAGTFLSSPGEVGHIIFHNFGQNNFISLESLPIAWDRLGKGFAYTFLVGENKLSGTFPTNLYEKCDGLNALLLNVSYTGISGQIPAKFSGMCRSLKLLDASGNQITGSVPFGLGDLVSLVSLNLSWNRLQGQIPTSLVQMKDLKFLSMAGNNFNGSIPTILEQLYSLEVLDLSTNTLTGEIPKGLENLRNLTCVLLNNNKLSGQIPAGLANVTRLSAFNVSFNNLSGSLPLHSNLIKCSCAVGNPFLRPCHGYSLAVPSVDQQGLIEDPNSYTVAPPEATSHKSGNVFNSIEIASIASASAIVSVLLALIVLFIYTRKWNKRSRVTGSTRKEVTLFTDIGVPLTFENVVRGTGNYNASNCIGNGGFGATYKAEISPGNLVAIKRLAAGRFQGIQQFHAEIKTLGRLRHQNLVTLIGYHASEMEMFLIYNYLPGGNLEKFIQERSSRAEDWRILHKIALDIARALAYLHDQCVPRVLHRDVKPNNILLDDDFNAYLSDFGLARLLGTSETHATTGVAGTFGYLAPEYAMTCRVSDKADVYSYGVVLLELLSDKKALDPSFSSYGNGFNIVQWAGMLLRQGRAKEFFTAGLWDAGPEDDLIEVLHLAFVCTVESLSTRPSMKHVFRRLKQLQPASC >OIW05444 pep chromosome:LupAngTanjil_v1.0:LG09:989203:990528:1 gene:TanjilG_12035 transcript:OIW05444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFISTTTILCIYVFVFTWISLAKNGVLKTMAHDYVSDFLVIYCFVVVWFVGGLTAFHFYLICSNQTTYENFRYQYDKKRNPYNKGTLQNFGEIFCSSIPVSKNNFRSFVVEDEHMTVESMTPNITEGMLTPKEKIDIQIGSMCAEEVGMPTPELFRNFDFDNIEHDMNFADEEGQPSFDPFYGVEDDVKDSARTSVAAVLNFHTTEDGMEESLQYAGAKVRECYQRPIIADGTNTIEETDYRNRPS >OIW04434 pep chromosome:LupAngTanjil_v1.0:LG09:19910722:19911414:1 gene:TanjilG_32626 transcript:OIW04434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGVVFPNRSFPIDISTFAQIDTFHWILDMNTFVGEAYDQVREICIFLLNSFTLPPDKALAVYIQSPGSPFVFVGAVTIARPSAVLSLPWPDPGGCDGTGQLQLTADAQPLTAKIGVSVEDLALLPSLDVVAEKRIERLAMKVGENLFNFMQSFCGVDGSKLVVPMDILDRWFKKFQERAKRDPEYLKGFAL >OIW04140 pep chromosome:LupAngTanjil_v1.0:LG09:20823887:20827439:-1 gene:TanjilG_00700 transcript:OIW04140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSYNKDINGFAALLEKEEASEIAKNPNVVSVFLSKEHKLHTTRSWEFLGLEKNGIIPANSAWRKANFGENTIIANIDTGVWPEHPSFSDKGYGPIPSKWRGNGVCEIDHFIGSKKIFCNRKLIGARTFSKNHEAEVGKLHPLNRTARDFVGHGTHTLSTAGGNFARGANVFGNGNGTAKGGSPRARVVAYKTCWHTADPGGCQEADMLAAFDQAIYDGVDVISASVGWSSPYVEALLTDGISIGSFHAVARNIVVVCSGGNDGPAPSTVTNVAPWSFTVAASTIDRDFLSNISVGNKQHLKGASLNRGLPQQSRKFYPLISSVVARLPNVSIDDARRCKPGTLDPTKVRGRILICLRSDKIQSVGEGQQAALAGAVAVFVKNDKQSGNTLLAEPHILPGASIDVKDYEGSGGSHSKQLGAYMTSARTYIGIKPAPVMAGFSSRGPSAVQPLILKPDITAPGVNIIAAFTQAAGPSNLVSDVRRNLYNVQQGTSMACPHVSGIAGLLKTAHPNWSPAAIKSAIMTTATTLDNTNQPIRDAFDKIATPFEYGSGHIQPDLAIDPGLVYDLSTTDYLNFLCASGYSQALLKLFANLKLSYTCPKSYNIEDFNYPSITVTDRGTNQINVTRTVTNVGPPSTYNVTTHILEGFKVLVQPSSLTFKKTGEKKTFQLILQPRGVPPHGFPIFGNLSWTDGKHRVTSPIIVLQPQKQV >OIW04909 pep chromosome:LupAngTanjil_v1.0:LG09:9822910:9827704:-1 gene:TanjilG_23912 transcript:OIW04909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKMKIEEIQSTTKKQRIATHTHIKGLGLQASGRALPFGAGFVGQAEAREASGIVVDMIRQKKMAGRALLLAGPPGTGKTALALGISQELGTKVPFCPMVGSEVYSSEIKKTEVLMENFRRAIGLRMKENKEVYEGEVTELTPEEGEIVTGGYGKSVSHVVIGLKTVKGTKQLKLDPTIYDALLKEKVAVGDVIYIEANSGAVKRVGRSDVFATEFDLEAEEYVPLPKGEVHKKKEIVQDVSLHDLDAANAQPQGGQDILSLMGQMMKPRKTEITDKLRQEVNKVVNRYIDEGVAELVPGVLFIDEVHMLDMECFSYLNRALESSLSPIVIFATNRGICNVRGTDMTSPHGIPVDLLDRLVIIRTQTYSLAEMIQILAIRAQVEELVVDEESLAFLGEIGQRSSLRHAVQLLSPASIVAKMSGRNNICKADLDEVSSLYLDAKSSAKLLQEQQEKYIS >OIW04126 pep chromosome:LupAngTanjil_v1.0:LG09:20703623:20705255:1 gene:TanjilG_00686 transcript:OIW04126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGCKPENFIEMDRKGHEKWLNMPKEEKDPYVARAKMLDFFHQEALNKEANEIVKVDDEADSSMAGTFDKYEVIYSSSESGSCSGSSTGFP >OIW04357 pep chromosome:LupAngTanjil_v1.0:LG09:19222865:19224932:1 gene:TanjilG_32549 transcript:OIW04357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPSLSRTLTGPKAWPFVGSLPVLFKNRSRVHDWIAGNLRATGTSTTYQTCTIPIPFLARKEGFYTVTCNPKNLEHILRTRFDNYPKGPKWQTAFDDLLGQGIFNSDGETWLMQRKTAALEFTTRTLRLAMARWVNRSIKNRFWCILDKAAKDNVSVDMQDLLLRLTFDNICGLTFGKDPETLSPGLPENPFSVAFDTATEATMHRFLYPGVVWRFQKLLCIGAEKRLKQSLQVVDTYMNDAIADRKKNPSDDLLSRFMKKSDTCGNAFTSKLLQRIVLNFVLAGRDTSSVALTWFFWLLINHPHVEDKIVAEIATVLKETRGGERRKWVEEPLDFEEADRLVYLKAALAETLRLYPSVPQDFKQAVNDDVLPDGTVVPAGSTVTYSIYSAGRMETIWGEDCVEFKPERWLSVRLDRFEPPKDGFKFVAFNGGPRTCLGKDLAYLQMKSVAAAVLLRYRLSLVPGHRVEQKMSLTLFMKNGLRVFLHPRKIEDGHLSIE >OIW05336 pep chromosome:LupAngTanjil_v1.0:LG09:2385015:2391620:-1 gene:TanjilG_28801 transcript:OIW05336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPGNEKFQPGSQSVMQDHLDAMHASRRLPDLGTSEVKPVLNYSMNTGEEFALEFLRDRVNLRKPVFSNVGDTNYTTGYMDLKGILGIGHPGSETGSDISVLSMVDKYPKEYDRMNAPLHGDRGNYGSVRSIPESSLDHDNRQYVHGYGSSRGYDSLSTMMKFLCSFGGRILPRPCDGKLRYVGGQTRILRIRKDISWQEFMQKALLIYNEVHVIKYQLPGEDLDALVSVSSDEDLQNMMEECNPLEDREGSQKLRIFLFSMSDLEDAQFGLGSVGDDSEIQYVVAVNGMDLGSRKNSTIFGVSSSANDIHELDRQNIERETSRVAVESIGVSNAPLTNKFDSSMNTQSLQPVLPTSSNSYETHPLFYGDQMMRHGDPSGQYLINHGFNPSHVPVIGEIPYTMAPHMPINQQGILHEGYPHCGLQMQNSEIPAMLAKKTEDSSIQQGGDPGKVVSFQTSSPAPAQLFDDYYKSNFPEASVVITVPEGHSMPPTKKNQLPDYEEASSTSSSALGPAYVDSQSNAVDLSSLHPPPLPKRVYYSERIPREQVEFLNRSSKSDDAHNSQIHVSDLISDVNPPDSVREFGDNLQDGNMSNLAEESSITAKPLHADGYAIENGAVKNQIHQQLPDASNPIKSKPIEHLNPEARSNDVINKDNAVSLETEIYSKNNHNKPLLDESKDGKSEFPTSHQVPSVKHHDDPACNLPEIDWGDTAAMESNDYYSVQALPVTLNGNTTMKDDSQDFPSNVVSKEAQGDILIDINDRFPRQLLSDIFSKAILEEDPSSLHPLTSDGVGLSVNMENHDPKRWSYFQKLAQGLDNVSLIDQDHPGFSPTLREEDNRTQHVTPLTTDEENQKGLYGRNGTETTALKSNYDQSEVKDTERMQFDAMIENVRAHESEYEDGNFETRNNGVPPVDPSLGEFDMSTLQVIMNEDLEELRELGSGTFGTVYHGKWRGTDVAIKRIKKSCFTGRSSEQERLTIEFWREADILSKLHHPNVVAFYGVVQNGPGGTMATVTEFMVDGSLRHVLLRKDRYLDRRKRLIIAMDAAFGMEYLHSKNIVHFDLKCDNLLVNLKDPLRPICKVGDFGLSKIKRNTLVSGGVRGTLPWMAPELLNGSSNKVSEKVDVFSFGIVLWEILTGEEPYANMHYGAIIGGIVNNTLRPTIPSYCDHEWRTLMEECWAPNPSARPSFTEIASRLRVMSAAALPTKTQGHKASK >OIW05216 pep chromosome:LupAngTanjil_v1.0:LG09:5045994:5056235:-1 gene:TanjilG_14769 transcript:OIW05216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTISGVISRQLLPACGTLCFFCPAMRARSRQPVKRYKRLIADIFPRNQEEGPNDRKIAKLCDYAARNPLRIPKIVTALEQRCYKELRNENFRSTKIIMCIYRKFLSSCKEQMPLFASSLLTIIHTLLDQSRQDEMIIIGCHTLFDFVNNQPEGNYLFSLEGIIPKLCQLAQETGEDERARASRSAGLQALSSMVRFMGEHSHISVEFDDIVSVVLENYGGPNENSTNLDHEEQSHESKWIQDVMTDEDHVSPFIDVKKRNPSWSSIVNDKGEVIVAEEDAKNPAFWSGVCLNNMANLAKEGTTIRRIMESLFRYFDDRSLWSINHGLAFSVLRDMLFLMDDSGKNTHVLLSMLIKHLDHKTVLKEPNMQLGIVEVTTSLARYAKVQPSVSIIGALSDVMRHLRKSIHCSIDNSNLSNDVIEWNKNFREAVDKCLVQLSNKVGEAGPILDVMAVMLENISTITTTSRTTVYAVYRTAQIVASLPNLSYKNKAFPEALFHQLLQAMVHPDHETRAVAHRIFCVVLVPTSVFPRPHAKTLGLPRTLSRAVSVFSSSAALFEKLRVEKRSSSDNLHQYNKENTSGEIEPPNSNGGVLNKLKSTYSRVDSVNNHPILPTGDEIIANSDNRNLDSATLGLSSNQITRLLSSIWAQSISPENMPENYEAIAHTYSLVLLFAKAKNSFHKVVVRSFQLAFSLWNISFKEGPLQPSRRRSLFTLATSMIVFSSKAFNIVPLAHSAKALLIERKVDPFLHLIGDYKLQVVNSAPENMTVNYGSKEDNDRAMDTLSELFSLKQQTQEVFASEIIRSLEMFSKTESSSIREQLLEEFSPDDMCQLGSRLTMNMPEKDASVTSIDDDSILDTFETQTKQNPGLSMEIPSILSASQLLDLVLDPSHQPGRISVSTAFDMPYEDMADNCEVLLMGKQNMSRLMSTQLKQECLMDSSLPNHDNESENPGSYSLIDVGFQSHKGTNPFLDENTAMDLYKPTSDHAPMPFASEYHNLPHLFKLPATSPYDNFLKAAGC >OIW05112 pep chromosome:LupAngTanjil_v1.0:LG09:5656664:5661185:1 gene:TanjilG_02585 transcript:OIW05112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAQKYLHELLEEDQEPFILHKYISDRRSKIKTQIYPRKTHKPLHQNSNFPTNLCKNVCLFSFPETTTQELRKSPLFDFQSPKAKSKSPIKFQIPSRTANLLLEAALKIQKHSSSKTKSSGFSLFGSLFKRLTQRSHKRKEIEGSDLNLCVKDILKWESRKLSNGCMKEQEKLDFCNGRSSSAVWSESNEDKSLDMETWSSSSSRHCDDDCEIKEIEFVTNHHHKDNSECACCHDINGFCDSPFCFVLQKSPSFDRYTSELPSSTPSPNHHNTQDKESNGGEEEKEDKEHCSPVCVLDPPFKDDEESHGNDEEDSSNLRCSYAIIERAKQQLLYKLSRFEKLAELDPLELEKRMLEDEDENDYETLMENDDSEVEGSETSCKENEFMQLVFEAVCHSSVHEIGQIPKDLKKLVFDLIMEEDREHDKEIMIKRVCKRLELWKEVESNTIDMMIEEDFTRENERWKKNMMEIRHMASEIEVAIFSLLVEEFSKELVC >OIW05140 pep chromosome:LupAngTanjil_v1.0:LG09:5945404:5948170:-1 gene:TanjilG_02613 transcript:OIW05140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKGGAATSFQKDVPWRVSSSSMKPLPKIHHSPLLRISQSPFSDYALYIMKQPDPIGSGLGDGAIVEAAGPECIVPGQITPIKLLGLKVWPINVDLKFLEPVGKELKMLGKVKSYLYFLCIRGGKLIILEVEHVVFCAYDFVAL >OIW04373 pep chromosome:LupAngTanjil_v1.0:LG09:19339868:19343532:-1 gene:TanjilG_32565 transcript:OIW04373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKHRGPDWSGLHQHGDCYLAHQRLAIVDPASGDQPLFNEDKSIIVTVNGEIYNHEELRKQLPNHKFRTGSDCDVIAHLYEEHGENFVDMLDGIFSFVLLDTRDNSYIVARDAIGVTSLYIGWGLDGSVWISSEMKGLNDDCEHFEVFPPGHLYSSRDSGFRRWYNPPWFSQAIPSAPYDPLALRHAFEKAVIKRLMTDVPFGVLLSGGLDSSLVASITARYLGTTKAAEQWGSKLHSFCVGLEGSPDLKAAQEVADYLGTVHHEFQYTVQDGLDAIGDVIYHVETYDVTTIRASTPMFLMSRKIKSLGVKWVISGEGSDEIFGGYLYFHKAPNKEEFHQETCRKIKALHQYDCQRANKSTFAWGLEARVPFLDKEFINVAMNIDPEHKMIKRDEGRIEKWVLRRAFDDEEHPYLPKHILYRQKEQFSDGVGYGWIDGLKAHAAKHVTDKMMLNAGNIFPHNTPNTKEAYYYRMIFERFFPQNSARLTVPGGPSVACSTAKAIEWDAGWSKNLDPSGRAALGVHISDYDNQNNPVNKSVEHEKIIPTEAPLGVAIQG >OIW05436 pep chromosome:LupAngTanjil_v1.0:LG09:1240432:1240734:1 gene:TanjilG_23262 transcript:OIW05436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPINIDIKLWKSPIPYLFGGLALMMALISMALVILVCSYRKSASQSSNLEAQVMKQTMPKNVESNLEPELLVIMAGDDKPTYLAKPITSSNFCTCTSEP >OIW05045 pep chromosome:LupAngTanjil_v1.0:LG09:8022373:8024049:1 gene:TanjilG_18644 transcript:OIW05045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEARFFAQNKSQILTSAHWNKVNKYKPQNPFIVAVLTRPSSSSDDIAGQTRKINVYKDNFFDLLAINHLSKTVQASTGFSNNKSGYESLTEAAIMASQKLNPIQQREVVIESLYKAFPRPILSLIRKVVPESKIARECFAAFTSLFFVWLVGPSEVNNSSCMCSKIQKCAWTCIFFNHKQILK >OIW04331 pep chromosome:LupAngTanjil_v1.0:LG09:18950274:18952136:-1 gene:TanjilG_32523 transcript:OIW04331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCIPKLSSASPFLCPTKTHPKVLYPLLHDQVQDELQRWPTPNEALEEIKAIWKISGPTLVTGLLLYSRAMISKIFLGYLGEMELAGGSLSIGFANITGYSVISGLAMGMEPICGQAYGAKQWKLLGLTLQRTVLLLLSISIPISFMWLNMKTTLLWLGQDQDISSIAQNFIFFSIPDLFLLSLLHPLRIYLRTQSITLPLTYCSALSVLLHAPLNFLLVVHLKMGIAGVAIAMVLTNLNLVLFLSSFVYFFGVYKESWVSPSIDCIKGWSSLLALAIPTCVSVCLEWWWYEFMIMLCGLLVNPKATIASMGILIQTTSLVYVFPSSLSLGVSTRVGNELGANRPGKARIAMIMSLFCAVALGLGAMLFTTLIRHQWGRFFTNEHDILELTSLVLPIVGLCELGNCPQTTGCGVLRGSARPIIGANINLGSFYLVGMPVAILLGFVTKMGFAGLWLGLLAAQASCAGLMLFVLSRTDWNVQIQRAKEITKTSTCAASNSTSFIKSEANKNKSVHTCLEEIVIADDELYKLSSLEADPLIMPISKYNVN >OIW05080 pep chromosome:LupAngTanjil_v1.0:LG09:6949086:6949505:1 gene:TanjilG_06216 transcript:OIW05080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKWCMMVLFLAMVVFTSARNVPSEAGLKDEKNVVTIGGLGGFSGIGNNGLPFGGGGAGIGGGFGGGGGLGGGGGLGGGQGLGGFSGLGGTPGLGGVGGLGGLGGSPGLGGVGGLGGTPGLGGGIGTGIGSGSGVVHFP >OIW05236 pep chromosome:LupAngTanjil_v1.0:LG09:4058572:4078027:1 gene:TanjilG_21221 transcript:OIW05236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTATANLRSPINTSSVDSTSHSPPPPPLQPLHQTPFPHFNAGTLPSSSTARARSKPRLVKLRKHSAKSRTRTTASGFNPFCYDQVTVNVVSDDSSRKFGDTGFVFSASGSGRDLNYEQKEDLKIEKETEFRNSGGVEFVFSAKGSEVESNSQENEARIVSGEGEFSSEGFVFNSKGNNLGSSSNSEKGKSGEVECEKPKCFDFVFGDDRSGKVPNFNVEKQESLGGMRNLDSVTGTNKDVHQNGHLGDNDIDKGKSECGSSNCSSTAYSVFPSYKLTDEIKKLSINQCEGAGINRGSTNSYVSSIGGFVFGGSEKGCGNFGVSSGTNSSCQESCTDATSENIGGKFFKECERNDDKNGTGCGIACGSAGAPCSKPSTREEGIRQFQCGKIPASEESQLNGATEPFSSSSFGLDSIRNNYASTSYPVSEGHDKSKNSFTRTPDTSKEPFMDFKPPTWDPSCFRENLFPELNKKSELTKKGRSSKEKGSKHTRRKLKPHSLNKKPTSLDHLLKENSSLESPHCSGSHSPMDFSPYQETRADDQDVKASEELNDLHSKFPTDYKDEHFAAANRAVDTNTTDQRHGDLDSGKLFSRNGSRGVGNFHSSGPELVWPSLKTEQFNSSIVGASIDAGIDFSSNTEKHKADLFCFVHGPGDSKETDFAFSASSTVQGTSSFKCKQKKKYRRRKGCNTFVISPDMNGKFKSSVQFSPLTTANMSSQSDGVNRPQTHDQFKEGGIAYSSAIHEACEKWRLRGNQAYKDGDLSEAEDFYTLGINSVPSSERPGCLIKLLLLCYSNRAATRMRLGRIREALGDCQLATSLDPTFQKAQMRIANCHLLLGEVENAQQCFNKCMESGSVVCLDRRVIVEAAEGLQKAQKVVECLNGAAELLKKRTSDAAGNALELLTTTLSISSYSEKLLQMKAEALCLLQKYDAAIQLCEHSQYLAEQNSVALSNSGNNSNISTCDSYTSVNLWRWSLISKCYFHLGKLEASLNVLEKLQQVVSVNDRCVIDYIEESLSLAATIRELLDHKTAGNEKFKLGKYKEAVESYTVALSSNIKSRPFAAICFCNRAAAHQALGQIADAIADCSMAMAINGVYAKAISRRATLHEKVRDYGQAACDLRKFISVLETQSDDKTKQSDSPSGSNGVKESRQAHQWLLSVEDQAKKEIPLDFYLILGIKAADTSSDIKKAYHKAALRHHPDKAGQLLPRSEIGDEGQVWKEISQEVHKDADRLFKMIGEAYAVLSDPAKRAEYDLEEEIRKARQSSQDEKFTYTEGNGKGPKNWGNINPNWTVCGNGKSQSPIDIVDERVQVLPQLGRLKRYYKPAPAALGNKGHSITLKWNGDAGKININGTNYNLMQFHWHTPSEHTLNGSKFDLELHAVHQNSKEETAVIGVWYKIGLPDSLLSKLLNDIKSVKEQDIDVGIINPKLTKFGNRKYYRYFGSFTTPPCTEGVTWTIMKKVRTISVEQLNALKEAVHPVSKKDSIYASNNVG >OIW04372 pep chromosome:LupAngTanjil_v1.0:LG09:19333584:19339272:1 gene:TanjilG_32564 transcript:OIW04372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVGFLGSWNSGTVIHCGRQKRHIRYDNILEDDRSDYVVEVVKISAVLDGESVSSSDRNGRGIVRPIPPLVEIRECDLSFGVCVDANYGEAWWEGVIFDSGDGTEERSVFFPDLGDEMKMKVQQLRITQDWDEVSEGWKQRGKWVFFELIEEIAKESYIPVSIKQIYYDLRVRNYFDRIQGWTCSIKDLWKEPVMEIIKEYTDLTVSEVLHILKLPEKLSQEIAEQPSANADSNVEVDESHKAIVAKSKMTFPEKETFVLEEHASPVQVVMPECQEEMSGILCYEKNRECSRSRSRNICWQPVEMPEVHYCPDIIEQYLLGSESKTIRELLKDNVRKHLAYLGWTIEFTEDKTLGRLRRYRYKSPVADTQDQVVCNSLLKACNYLQKESSTHCLQSRMLTDRPHEDQGQDVCPVMLATSLSVKDIVEPEHCPEAVVKYYSYAIENRLDDRKKWRLKATKHLLAEGWILEYPNRNRRRALYKSPQNEHLETLQDACKVYLSVNIPKWIVAGMRTLNVSAVTKEDDDILECVTQLFRKETEFNTTDPLTESRSADNTKHKRLKTSKATPPKGQYNRSLTRVLQPRKRAQTVLASSSSLPIPRNVISWLLDKNMVLSRSKVYYRADRDRDPPMAEGRITRDGIKCSCCHKIYGLNGFATHATGSINCSPSDNIFLKDGRSLLDCQKEVMYDDMASGTIGKPSTDLCEDENENSCSVCQSGGDLILCDQCPSAFHKECLGLKDIPDGDWFCPSCHCPICRKITTEKTEEGRFLTCSQCEYKYHLGCLRNRTDQSRGYLPLEQCLCGKECEQIYTGLNKLLGKPILVGGDNLTWTLLKYVNSESSDVHNTTNDFLAECYSKLSVAVSVMHECFEPVHSPSSTRDLAEDVIFSRWSKFPRSNYHGFYTILLERNGELISVANIRVYGEKVAEIPLVGTRFEYRGLGMCRILMNELEKMLMNLGVKRLVLPAVPDTLETWTKSFGFVRMTSSERTQFLDHVFLDFPETIMCQKFLTRSPSPDSDLTTENQPKAPDILSVKCRIEFDKSSSASEEDQAEDIDKTKQIICIE >OIW05314 pep chromosome:LupAngTanjil_v1.0:LG09:2931250:2934276:-1 gene:TanjilG_03703 transcript:OIW05314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFVNYISQWRSAAKEALDHTAITLKFLCWLHVTNNYLCSPTHVYGPSMLPTLNLAGDVILAEHLSPRIGKVGHGDLVVVRSPLNPNRNLTKRIVGMEGDTVTFFDPLRGDATQTVVVPKGHVWIQGDNIYASHDSRHFGPIPYGLIQGKVFFRVWPLDVFGRIDQ >OIW05224 pep chromosome:LupAngTanjil_v1.0:LG09:4287812:4290362:-1 gene:TanjilG_21209 transcript:OIW05224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGGATTLTDSTVKRRRNPLTEPINAVNNTTPMAKKSLHYSQASFLKWTFSDAVYVVKHHWVPCIFAFGLLFFMAVEYTLRMVPASSPPFDLGFIVTRSLNRVLESSPNLNTLLAFLNTVFVGMQTTYILWTWLFEGRPRATISALFMFTCRGILGYSTQLPLPQEFLGSGADFPVGNVSFFLFYSGHVAGSVIASLDMRRMQRWELAWTFDVLNVLQAVRLLGTRGHYTIDLAVGVGAGILFDSLAGKYEDCKRKVASANGLGHITISNGSSTKQALTQ >OIW04789 pep chromosome:LupAngTanjil_v1.0:LG09:13127105:13134509:1 gene:TanjilG_11091 transcript:OIW04789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENDITAPLLSSSDHLIITVESTSSTNQQSPQLNPFAFIGCGPVPEPPEPSTVDPFRNNTPRMEGVYEWVKMVACFPLAVVRLVLFALCLGIGFVATKLALHGWKDNKNPMPKWSYQWIKRRGRPAPREIAPIIVSNHVSYIEPIFYFYELFATIVASESHDSLPFVGTIVRAMQVIYVDRFSASSRKQVVQEIKKRASCDRFPRVLLFPEGTTTSGRNLISFQLGAFIAGYPIQPVIVRYPHVHFDQSWGNISLTTLMFRMFTQFHNFFEVEYLPVISPLDDKETAEHFRERTAHAIATAMNGVQTGHSYGDLMLYNKAQESKKENPSSYMVEMASVESLFHIRSLEAVGFLDKFLAMNPDHSGRVQYDGFLRVFRLKDCPLSQKVFAFIDVKKSGTITFKQFLYGSAHVMTQPGFNQACEVAFAECGGAVNAYIVEQQLQYFIQHAIPGCKENEVHELFELFDNDNVGRINKDEFLSCLRRNPLLVAIFTPHQQHKEFGSNGVIEIL >OIW05254 pep chromosome:LupAngTanjil_v1.0:LG09:3752789:3753750:1 gene:TanjilG_03643 transcript:OIW05254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLKFTFPLISNFIINQLSPSISSFFYTSLTPLYIYIFLNFIILTIIASSKFHTYHDTSPPHQTEPVFYEPTVLTLTVPEPVEFSQTAKTTVESVVLGEEVCELETTPLREDTVIKSDEFDGYLYDLHAAVKQTVPGENDAVVVVPSLKRKESMDFVVWNDENEKPAVFDRFSERKTVRATSEGKVVALGVAKSMKQETRRNAVPLADLNIGGGGKAVMKKSETFGGRENKNTDSSPGSGGRMRKEPLLSQDDELNRRVEAFIKKFNAEMRLQRQESLRQYSDIINGGAR >OIW04935 pep chromosome:LupAngTanjil_v1.0:LG09:9436199:9440818:-1 gene:TanjilG_15680 transcript:OIW04935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSREQHKRGKQEKGSDGAEKVIVAVKASREIPKTALVWSLTHVVQPGDCITLLVVVPSQSSGRRLWGFPRFSGDCASGNKKCPTGTILEQKSDITDCCSQMILQLHDVYDPNKVNVRIKIVSGSPCGAVAAEAKKVQASWVVLDKQLKHEEKRCIEELQCNIVVMKHSQPKVLRLNLIGPQKKDLDETHPSPSDRDEMREKKTKNKSDSSNSIKGPVVTPTSSPELGTPFTATEACTSSVSSSDPGTSPFFISGMHSELKKKETIKENQELDDTNSDTESENLSTSSASMRFQPWITDLLLHQHNGERSGISSHDRSHHSSTTRVLVEKFSRLDRGAGIEMSTYRTDSDFSGNLREAIALSRNAPLGPPPLCSICQHKAPVFGKPPRWFSYAELELATGGFSQANFLAEGGFGSVHRGVLPEGQVIAVKQHKLASSQGDVEFCSEVEVLSCAQHRNVVMLIGFCIEDKRRLLVYEYICNGSLDSHLYGRQREPLEWSARQKIAVGAARGLRYLHEECRVGCIIHRDMRPNNILITHDFEPLVGDFGLARWQPDGDTGVETRVIGTFGYLAPEYAQSGQITEKADVYSFGVVLVELVTGRKAVDLSRPKGQQCLTAWARPLLEEYAIEELIDPRLRHHYSENEVYCMLHAASLCIRRDPHSRPRMSQVLRILEGDIIMDTGYISTPSYDVGNRSGRIWLEPHQRQHQHSGPMLEESMESFSGKLSLDKYRPTYWDRESYKDIM >OIW04196 pep chromosome:LupAngTanjil_v1.0:LG09:21204357:21207498:1 gene:TanjilG_00756 transcript:OIW04196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSTILRRTPSILTNRAFISLTSISTQPLLHRITNSSTAATAAATTSGGDPLQFSGLFESKARAFHSNSFPLSFHATSVSRAEYAVDDYPLEEASKGNNADEGLEVAKLGISQEIVSALAKKGITKLFPIQRAVLEPAMQGRDMIGRARTGTGKTLAFGIPIMDKIIQFNAKHGRGRAPLALVLAPTRELARQVENEFSDAAPNLDTICVYGGTPISQQMRQLDYGVDIAVGTPGRIIDLLNRGALDLKEVQFMVIDEADQMLQVGFQDDVEKILERLPANRQTLMFSATMPSWIKEITRNYLKNPLTIDLVGDSDQKLADGITLYSIATDSYVKAGTLGPLVKEHAKGGKCIVFTQTKRDADRLSYAMAKTLQCEALHGDISQAQRERTLAGFRSGHFNVLVATDVASRGLDIPNVDLVIHYDLPNSSEIFVHRSGRTGRAGKKGTAILVYTEDQTRAVRSIERDVGCRFTEVISLLRLHSHLSIFFYLKFYIVYYLFLVV >OIW04410 pep chromosome:LupAngTanjil_v1.0:LG09:19693151:19694275:1 gene:TanjilG_32602 transcript:OIW04410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNKFKLSDMIPNAWFYKLKDMSKSRKRNGSTVMKNKSTSPTTSQRSLPKYSYYVQTEPSRGGKLYNTPIHTNDLAPFNDSPRRSSKRRARRKTIYKPSPVVSSSFKASSSCDSRNCWTKSYQIQSPDYDASSFESSSESDIHEYVYSESECDRFSVPDLLNGIDSNCSCRVSSSTNDIIIDMKNECFSGNSEKLDGFGTISQLGLASILTKPVKFDNKDSEATEFDVLHLDHSLPNKFTKAESGRTQRRRKGSHIARTSSANSTGVKLRVNSPKLASKKMQAYARKSVSSNSPSKASRNTSFPDGYAVVQSSFDPQSDFKESMVEMIVENNIRASKDLEDLLACYLTLNSSEYHDLIVKAFEQIWFDMAQLKI >OIW04507 pep chromosome:LupAngTanjil_v1.0:LG09:17912085:17913434:1 gene:TanjilG_13889 transcript:OIW04507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITTLSLRHYHKHLVNQTLVAIIKDLPFDAHSPSPNSDTIPSWTVSTVSEVLRSIPRYFFQSPRSLGRQKPVRRRAPLRQRNLHDEQRKLHDNILVLGPAAHRDPEKVNIGLHKGLEFFNWVETHFGFSHNETTCREMACVLARGNRVKALWDFLKEMSRRESNEPLVTTSTVTCLIKVLGEEGLVNEAVIAFYRMKQYHCKPDVYAYNTLIYALCRVGNFKKAKLLLEQMELPGFRCAPDVFTYTILISSYCRYGMQTGCRKATRRRLWEANHMFRLMIFKGFVPDVVTYNALIDGCCKTYRIARALELFDDMKKRDCVPNRVTYDSFIRYYSAVNEIDRAIEMLRNMQKLNHGVATCSSYTPIIHALCQVGRAIDAWSFLAELVDGGSIPREYTYRLVCDSLCSVGEGRLLGEVHKRIKDGIRNRYTQTMKVKPIMYRRGYPEMEQV >OIW04392 pep chromosome:LupAngTanjil_v1.0:LG09:19490355:19492445:-1 gene:TanjilG_32584 transcript:OIW04392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPPSSGYHIVAMPYPARGHINPMMNLCKLLVSNNSNILITFVVTQEWLGFIDSDPKPDNIRLCSIPNVIPSELTRGQDHLGFMEAIMTKMEEPFQQLLNRFDTPPSIIIYDTYLYWVVGVGNMRNIPVASFWTMSASFFSVLLHHKLLEEHGHYPVNLSENGDKRVDYIPGISSTRLADFPMNNGSYNNKRRLELSLKGIHWVSKAQYLLFTSIYELEPQAIDVLKTKLSLPIYTIGLAIPYLSLQQNPTLNIDIYFAWLHAQPKGSVIYISQGSFFSASSAQVDEIANALKESGVPFLWVARGEALRLKQICGKMGLVLEWCDQLQVLSHPAIGGFWSHCGWNSTKEGVFAGVPFLTFPIVMDQPLDSKMIVEDWKVGWRVKEDVKVDSLVKKAEIVSIVNKFMDLDSDIVREIRERVKKLKQICEHAIVDGGSAATDLNAFVRDTMQIR >OIW05427 pep chromosome:LupAngTanjil_v1.0:LG09:1327366:1340724:-1 gene:TanjilG_23253 transcript:OIW05427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGRVQLKRIENKTSQQVTFSKRRTGLLKKAHEISVLCDTQVALIMFSTKGKLFEYSSEPSVENVLERYERHAHTIHAGANNESQENWSFDYFKLTAKAEVLERNIRNFAGYDLDPLNLKELQNLEHQLDTALKRIRTRKNQVLNQSISEMQKRTRTLQEQNSMLAKMKEKEKTLTENSQPETLGQSSSPFNLSSQKQLLRQRQVPCLTLSGTLQARASPEEAVGAQTAAAGGGNTLIPPWMLHG >OIW04781 pep chromosome:LupAngTanjil_v1.0:LG09:13306934:13307551:-1 gene:TanjilG_06370 transcript:OIW04781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSNVFNVDSSSELSDNIITFNSTAEWNAHFNALEETHNLMVVDFTAKWCGPCKLMEPAMEEFAAKYTNAEFIKIDVDELMAVSQAFRVQALPTFILIKRGKVVERVMGVRKEELQRMIEKHTK >OIW05463 pep chromosome:LupAngTanjil_v1.0:LG09:551488:553193:1 gene:TanjilG_12054 transcript:OIW05463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAAKKTKKTHESINNRLALVMKSGKYTLGYKTVLKSLRSSKGKLIIIANNCPPLRKSEIEYYAMLAKVGVHHYNGNNVDLGTACGKYYRVCCLSIVDPGDSDIIKTLPGDQ >OIW05431 pep chromosome:LupAngTanjil_v1.0:LG09:1294500:1300185:1 gene:TanjilG_23257 transcript:OIW05431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEIGVAKRYCYNELLPFGVMVTMESINVALNTLFKAATLKGMSYHVFIVYAYSVATIVLLPAPFFSRRSRVLPPLSYPIMYRIGLLGLIGCLSNTVGYTGISLSSPTLSSAISNLVPAITFLLAIIFRMEKVTKSASSKAKIIGTIVSISGAFAVTLYKGPTIIAHTPSISLHKPINTLKSEEQNWIIGGLLLTTEYTLIPLWYIVQVQIMKVYPNELTVIFFYNLCVSILAAIVAIFAEKNSSAWKIGLDTALASVICSGIFGSFLNNVVHTWVLRIKGPVYVAMFKPLSIVIAVTLGVIFLGDTLHLGSVVGAIIISIGFYTVMWGKAKEEVKEDIPSQELPTTENVPLLQTYKTEKNMHVT >OIW04980 pep chromosome:LupAngTanjil_v1.0:LG09:8431240:8440238:1 gene:TanjilG_24452 transcript:OIW04980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIPTTDVDVNAVDEFTVTINSGRPSHTDDNNHRQDQHNQDSDDDDEVVDPNDPFDITQTKNAPIDTLRRWRQAALVLNASRRFRYTLDLKKEEEKDKKIRLIRAHAQVVRAALLFRLAGERELVINTAVTPLPQADGDYAVGLEQLTSMSKDQNVKGLSNLLKSNPEKGISGDEADLSKRKNAFGTNTYPRKKGRSFWRFLWEAWQDLTLIILIIAAVVSLVLGIKTEGLEEGWYDGGSIAFAVILVIVVTAVSDYRQSLQFKNLNAEKQNIQLEVIRGGRTIKISIFDIVVGDVIPLKIGDQVPADGVLITCHSLAIDESSMTGESKIVHKDHKSPFLMSGCKVADGVGVMLVTSVGINTEWGLLMASISEDTGEETPLQVRLNGVATFIGVVGLSVAVLVLAVLLGRFFSGNTKDLDGNIQFTAGKTSISDAVDGVIKIFTMAVTIVVVAVPEGLPLAVTLTLAYSMRKMMADKALVRRLSACETMGSATTICSDKTGTLTLNQMTVVEAYAGRKKLNPVDDSSKLHPEVLSLINEGIAQNSTGNVFVPKDGGETEVTGSPTEKAILSWAVKLGMNFDRTRSNTTVLHVFPFNSEKKRGGVALKLVDSGVHIHWKGAAEIVLNACTQYLDSNGDLQSIEEEKAFFGEAIDDMAARSLRCVVIAYRSYELEKVPSNEEELDHWSLPEEELVLLAIVGIKDPCRPGVKEAVRVCTEAGVKVRMVTGDNLQTAKAIALECGILASTEDAIEPNIIEGKRFRELPEKEREQIAKKITVMGRSSPNDKLLLVQALRKGGEVVAVTGDGTNDAPALHEYGCY >OIW04197 pep chromosome:LupAngTanjil_v1.0:LG09:21209724:21215710:-1 gene:TanjilG_00757 transcript:OIW04197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIVAASSLPSEGICHSTSYGITCNSIKSPIDGRRAHTRGKSPFFGSSHLLSLSVGHDMCISKLCVAADYSDSVPDSSSYISKQGYHPLEELKDSDDVRPTKLSSAEIAKTTVEGNKNALLVFPGTVHSEPHEQISWAEFPYLIDDFGDIYFEILDNANILQDPGANNLVNAFIGMDIPVYDNRTGGEYDNLNSGNNDEFPLDDDYLEVLESDDSNIPGNWQLPDTSSLVHPIYFSKCLTKVVDMDCDKRVSRPSNGVSILGYLRPAYADEELYVRMVYPIEDGDRYSSDRKDGDGVRSNRITDQRYPGLILYRLEIVKIKLYSIYGSQACISKVPEINFQEFQDAEPDILVHSASAILEDFKDDCDDALIALCKKKGLDVEGACLIGVDSLGMDVRVFSGAEVKTHRFPFKVLATSVVVAKKQIQQLLFPPSRRKRYARSKSRRNA >OIW04095 pep chromosome:LupAngTanjil_v1.0:LG09:20496541:20497957:-1 gene:TanjilG_00655 transcript:OIW04095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRVSTNFKCWHLRTHFSPRDDVPSFPLRPCNSAFSDFKKLRLQRVKRAHCARFNDDNSKEIAYTDSENMQSSELKNGSSQFWRVKPELLEPSILGIKPEAPSWPERDEILRLSFERKVNTMEIPLSIRMIKKKLQLQKGLKEASDLSTYCSVNKAFSSMLFTIHELQHHAFKTRESLCREDLQGVMAKLQSEMDASFVWLFQKIFSKTPTLMVYLMVLLANFSVFSMSSNTVMAVTPSSMVTKTLPLNDKKSKQQHSEEEDIKKELTQEEEVLWNSIVEEASMMLQKEIGNEVLEYETMKGFVAPLSVKLEGDTYEEYVKTELYYKKHLRRMPYNSLLLSNYAHFLYLVVHDLDRAEEYYRRSVLVESPEAEAFSRYADFLWLVRKDNWAAELRYLQALEADADNTYCSSKYASFLWSTGGQDSSSSFPIEDFDNLQL >OIW04843 pep chromosome:LupAngTanjil_v1.0:LG09:11246084:11247715:1 gene:TanjilG_29320 transcript:OIW04843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSVEEIRNAQRAQGPATIMAIGTATPLNCVDQSTYPDFYFRVTNSEHKTELKEKFKRMCEKSMIKKRYMHLTEDILKENPSICAYMAPSLDARQDMVVVEVPRLGKEAATKAIKEWGQPKSKITHLIFCTTSGVDMPGADYQLTKLLGLRPYVKRYMMYQQGCFAGGTVLRLAKDLAENNKGARVLVVCSEITAVTFRGPSETHLDSLVGQALFGDGAAALIVGSDPMLEVEKPLFELVWTAQTILPDSEGAIDGHLREVGLTFHLLKDVPGLISKNIEKALVEAFQPLGISDYNSIFWIAHPGGPAILDQVELKLGLKPEKMRATRHVLSEYGNMSSACVLFIMDEMRKKSVQDGLKTTGEGLEWGVLFGFGPGLTVETVVLHSVAD >OIW04678 pep chromosome:LupAngTanjil_v1.0:LG09:16002309:16002830:1 gene:TanjilG_07813 transcript:OIW04678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSTLGFLIFGISISFYAFFVQSQIQDWNGAEFSPAFAFVSPPPPPPPPPPPPPPPPPPPPPPPPPPPSSGSPPPPILQSPPHAPNRNHAPPMQEQNNEFTMGNSPPHHNHHHLHHPIHLPPPPPPHNKMNAGKTVGLLFIGIAATMQIGMAGFLVFKRRQLLKTNDPYETHA >OIW05150 pep chromosome:LupAngTanjil_v1.0:LG09:6093528:6093857:1 gene:TanjilG_02623 transcript:OIW05150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSHIIPCAAYEYCCHWAMWSSKHESCFIPNDVPKGHLVVYVGENHKRFVIRIAILNHPLFKALLDQAREEYDFTAADSKLCIPCDEHLFLSVLRCASSPQKERVFLCL >OIW04201 pep chromosome:LupAngTanjil_v1.0:LG09:21239637:21246948:1 gene:TanjilG_00761 transcript:OIW04201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRKRENRGGGRGGGGGGGGSGGGGGRGPIHRQNLKVDELTRIRISKILEDFLASNDEVYKFDANLSNQERAWVHQVAQKMGLKSKSYGFGNDRRVSVQKMKKMVDTNNGFGSLPPFSFSQEANQALGDLFTHYPPDGVNSWEAVGENVVTPEKIKQKKDDIFSRPSMTKAEIAKKVEALATRITKAPNLRQITEDRSKLPIASFIDVITSTVESHQVVLISGETGCGKTTQVPQYILDHVWGKGEACKIVCTQPRRISATSVSERISSERGENIGENVGYKIRLESRGGRQSSIVLCTTGVLLRVLVSKGSRGTKMGSAKDDISGITHIIMDEIHERDRYSDFMLAIIRDMLPSHPHLHLILMSATIDAARFSQYFGGCPVINVPGFTHPVKTFYLEDVLSIVKSRNDNHLDNTTLSNPMNNHEPSEEEKLSIDEAIDLAWSNDEWDLLLELVSSEGTPKVFNYQHSLTGITPLMVFAGKGRVGDMCLLLSFGADCHLVAKDGTTALEIAEKENQPEAAEILKKHMGSDSSNSMEEKKLLDNYLSTINPELVDVVLVEQLIRKICFDSEEGGILVFLPGWDDINRTREKLLASSFFKNSSKFVVISLHSMVPAMEQKKVFKRPPNGCRKIVLSTNIAETAITIDDIVYVIDTGRMKEKSYDPYNNVSTLQSSWVSKASARQREGRAGRCQPGICYHLYSKLRAASLPDFQIPEIRRMPIEELCLQVKLLDPSCKIEEFLRKTLDPPVFESIRNAIIVLQDIGALTVDEKLTQLGEKLGLLPVHPSTSKMLFFAILMNCLDPALTLACASDYRDPFTLPMLPEEKKRAAAAKSELASLYGGCGDQFAVVAAFECWGNAKKMGLESRFCSEYFVSSSAMNMLSGMRKQLQAELIRNGFIPEDVSRYSMNAYDSGVLHAVLVAGLYPNVGRFLPNKGGKRVLIETAGGDKVRLHNHSTNIKLTFKKNLDHTLIVYDEITRGDGGMNIRNCAVVGPLPLLLHSTEIAVAPANDNDDGDEDEDDEGSGDENEDEDEVETGDGMELDAKSSENCEDKFMSSPDSLVRIIMDRWVYFGSTAIDVAQLYCLRERLSAAILYKVTHPRSTLPPILGASMHALACVLSCDGCAGMPMMADGVDKLTSMVYATNLGQSAPTLTRRMGKKPKGPFTEHINKHQNPGPSSQVSISASKSIANTSGINPKPSPSK >OIW05511 pep chromosome:LupAngTanjil_v1.0:LG09:131571:135541:-1 gene:TanjilG_27641 transcript:OIW05511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSISVSSCSFHFMKPHVFSAVMSGKTNKMRVPFDLKEGQSRIFHELPSGLSMEVIVQKKKKKKHKNVEKNQTLVFVHGSYHAAWCWAQHWLPFFSDSGYDCYALSLLGQGESDEPSDSVAGTLQTHARDVADFISRNIASPPIVLGHSFGGLIIQYYISNLGSDKLKENLYPKLRGAVLVCSVPPSGNSGLVWRYLFSNPIAAFKVTRSLAAKAFQTSLSLCKETFFSATMEDHIVKRYQELMKESSRMPLFDLRKLNASLPVPSVPNCPLEVLVLGAQNDFIVDAQGLKETADFYGVSPICVEAVAHDMMLDTSWEKGAEVILSWLNGLDE >OIW04567 pep chromosome:LupAngTanjil_v1.0:LG09:17065061:17066812:-1 gene:TanjilG_20923 transcript:OIW04567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSENMSISVNGQSQVPPGFRFHPTEEELLQYYLRKKVSYEKIDLDVIRDVDLNKLEPWDIQEKCKIGTTPQNDWYFFSHKDKKYPTGTRTNRATAVGFWKATGRDKVIYSNGKRIGMRKTLVFYKGRAPHGQKSDWIMHEYRLDDNNFNDTTTVSNVIGDGGQEEGWVVCRIFKKKNHLKTLDSPLPSSICGEGRRSHLFDSCDEGALEQILQQMGRDTCKEENYEAKNYNYGRYSRPFDTGINNNGNYHDNRFMKLPSLESPKSTSMESHQNNTNDIDTNNNGYQPIMPIHMVTENEGSFTTHHDPNMVHHNPLEASSSSMVVGSGGLTNWATLDRLVASQLNGQTDQTSRQLACFNDPTIGYCTSDHDLQFPTLRSSSNTSAAASAFISPTQDYSSEIDLWNFAQSTSSLLSSSSEIVCHVSNTSV >OIW05295 pep chromosome:LupAngTanjil_v1.0:LG09:3223770:3227738:-1 gene:TanjilG_03684 transcript:OIW05295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKGTSSKLNIAIIHPDLGIGGAERLIVDAAVELASHGHKVHIFTAHHDKNRCFEETVSGNFPVTVYGSFLPRHIFYRLHALCAYLRCLFVSLCVLFMWPTFDVVLADQVSVVIPILKLKKSTKVVFYCHFPDLLLAQHSTFLRRMYRKPIDYVEEITTGMADLILVNSNFTASTFANTFKHLNAKGIRPATLYPAVNVDQFNEPSSFNMNFLSINRFERKKNIELAISAFALLHSPEGVLNHQDITNASLTVAGGFDKRLKENVEYLEELKDLAEKEGVSDKIRFVVSCSTAERNALLSESLCVLYTPKDEHFGIVPLEAMAAYKPVIACNSGGPVESIKNGVTGFLCNPTAQDVSLAMTRFLEDPQFSERMGKEARRHVVESFSTKTFGQHLNRYILDIHRGKED >OIW04918 pep chromosome:LupAngTanjil_v1.0:LG09:9039794:9040895:-1 gene:TanjilG_15663 transcript:OIW04918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRLNQLQNQQQQQQQQQQQQFGAVMRQQSGLYNNQMSFSGSSSPQLQNQQQQQLGGSNLSRIGQSGQFPMLSAAGTQFNLLPSPRQKGGLVQGSQFTSSNSAGQPLQGMQAMGMMGSPNISSQLRATGALAYAQQMRMSQGQIRQQLSQQNSLNTPQDLLLYSDTV >OIW04281 pep chromosome:LupAngTanjil_v1.0:LG09:21690439:21692853:1 gene:TanjilG_00841 transcript:OIW04281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVVSPKDYSITSSPFSSPNIGVLLKIKVITWSQETGLPVSTRVRVKDKMFNLHKFPLTSKSGYFKKRLNDTNEVELPQTFPGGPETFEMIALFIYGSSTLIDPFNVAALRCAAEFLEMTEDYCSSNLCERFDLYLNQVVLQSWDDTLIALQRCKMLLPWSEDLLIVSRCIESLAFMACMEILDPERRRDTPVITLEELASQAWSCEIMKDIVSQELWMKDLIALPFDFFKRVIGSLRRQGMKEKYVSPIIVFYANKWLLYKKTHQFCESSCEKTWEDVDMNSKARTSEILQGIVDLLPMSDKASKVIPVGFYFALLSKSLEFGLRSNSKAMLQNHITSLLHFAQVEDFLTPESGKDSMSSSMELGTMESIILAYVASNSYVNHTPEASNYRVAELWDAYLSKVAVDQDMGPKRFMELIEKVPPSYRQNHYQLYRAINNFLKIHPDISQDEKGAVCKHLDCQKLSQEACIEAVQNEMMPLRLIVQALFVQQINTHKAFKDCSDSFRYANCGELSGSLSSSKCPYSTSQNLGESPCTDSRPLSFFLKKKDILMHNCEYSTAEYESTSFRIQNLEQELMSLKKSLQLQNNVTKSEAGNLIKTEKMKQCGLETRSISKRRNPIGQATCCMSSVNFASQRKYASRLLKVFRRITLFGSRKLKRKP >OIW04677 pep chromosome:LupAngTanjil_v1.0:LG09:15983370:15983540:-1 gene:TanjilG_07812 transcript:OIW04677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGITRSYFSFIAGTVCGVYLAQNYDVPNIRKLADSALLTAKAFEEKYRKYKKIGDD >OIW05146 pep chromosome:LupAngTanjil_v1.0:LG09:6048859:6049179:1 gene:TanjilG_02619 transcript:OIW05146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKTSSYSSEFLLWKKGFGVLVPMMRKLKHIFFLSPKGVKQGHFVVIATEGCKPERFFIELGYLHNPDFVLLLKHAEEEFRFSQIGVLSIPCEPEVLKRIIGSKKD >OIW04862 pep chromosome:LupAngTanjil_v1.0:LG09:10913626:10923561:-1 gene:TanjilG_13702 transcript:OIW04862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYYILTLYNMGMLFPVSKSVLCDARKVDHLLKLDGAKERLHLFKANLLEEGSFDTVVQGCHGAELIEPALKGTLNVLNSIAKSPSVKRVVLTSSIAAVAYNEKPLTPDVVVDETWFSDPDICRDSKMWYVLSKTLAEDAAWKFVKENNIDMVTINPAMKLFHFLDTGSETFPNFTFGWVNVKDVANAHIQAYEVSSASGRYCLVERVVHFSELVKNLRDLYPTLKLPKKSEDDMPYVPTFQVSQEKAKTLGIEFTPLEVRLKETVESLKEKKFVNL >OIW04517 pep chromosome:LupAngTanjil_v1.0:LG09:17842813:17843529:-1 gene:TanjilG_13899 transcript:OIW04517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NCSGKGIKSQHENCVVCERLNVSKTLNNIASEETIPTNEETLTELEENSNCSLDDGIQVSIGGTNSPDCKICGDEVDGDKVKICGHPFCPSKYYHVRCLSSKLMKLYAQCWYCPFCLCQVCLTDQDDDEIVLCDSCDHAYFIYCMKPLRTSVPEGKWFCRKCNAGIQAIRRAKKAYESKKWRTDVNVSKPNNENVKKWNNKRGRESDKARGMDMLLTAASTLNFEENLTTTQIESQRT >OIW05139 pep chromosome:LupAngTanjil_v1.0:LG09:5938650:5942629:-1 gene:TanjilG_02612 transcript:OIW05139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTSTSSLYIGLRRPVPKLESFDSHSFFHSNLRFSLSPSRPVFAMAASPKFFVGGNWKCNGTKDSISKLITELNSAKLEPDVDVVVAPPFLYIDQVKSSISDRIEISAQNSWVGKGGAFTGEISVEQLKDVGVKWVILGHSERRHIIGEKDEFIGKKAAYALSEGLGVIACIGELLQEREAGKTFDVIFQQLKAYADAVPSWDNVVIAYEPVWAIGTGKVASPQQAQEVHVAVRDWLTKNVSAEVASKTRIIYGGSVNGGNSAELAKEVDIDGFLVGGASLKGPEFATIVNSVTSKKIAA >OIW04101 pep chromosome:LupAngTanjil_v1.0:LG09:20524424:20527683:1 gene:TanjilG_00661 transcript:OIW04101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMSLLLFSAHILIVIADAFIPSVLLYALSLQTLLHFDNYDFRDSLIDIPLVSIIRSLIIFCVYSFCDGPRLSRGPYLGITTLCSVLSIMFVSLKGVYVFRKWGVDGREGFQLARMVMQESTMEEEDPSHHRQTQPNYNPQNSSTAVSTTTTTGYSEGEVVDGDPEVWAAFNKNFDQVQSVLDRNRVLIQQVNENQESRVPDNMAKNVNLIQELNCNMSKVVSLYSHLNSNFSNACQQHSNNNNNSSN >OIW04695 pep chromosome:LupAngTanjil_v1.0:LG09:14922452:14925843:-1 gene:TanjilG_06761 transcript:OIW04695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKTTSIKESQKLNQTFFRKHLNKVYPIGLHKRSSSSSSLSSLSENTDDSSLTDSLTLLDENVSLGLHLISPRQRGEPALLVNAATEAGELMKRCNWITKNCDKAYIEFHDECWGTPAYDDKKLFELLAMSGLLIDFNWTEILKRKQILREVFAGFDPNTVAKIEEKEIMEIASNKVLSLADCRVRCIVDNAKCIMKASTDVVISMTLNFTLIVREYGSFSSYIWGYVNHKPVINRYKYPRNVPLKTPKAEAISKDLIKRGFRFLGPIIVYSFMQAAGLTIDHIVDCYRHSECVSLAERPWRHI >OIW05512 pep chromosome:LupAngTanjil_v1.0:LG09:128603:129761:1 gene:TanjilG_27642 transcript:OIW05512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMMLSSSSTFSLLHPNPLLPFHYSLSFSSKNLTFSHIKPSSLTLSASSIDSPLVAQPQQDESLLNESESIPQKLGVVVKPNDKPRLVLKFIWMEKNIGIALDQMIPGHGTIPLSPYYFWPRKDAWEELRELLESKPWISQKQMIILLNQATDIINLWQQSGGNLV >OIW05020 pep chromosome:LupAngTanjil_v1.0:LG09:8200460:8204081:1 gene:TanjilG_06432 transcript:OIW05020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIGDISVSASINLLSALAFLVAFAILRLQPFNDRVYFPKWYLKGIRGSPSGSSSYVKKFVNLDFRTYISFLNWMPAALHMPEPELIDHAGLDSAVYIRIYILGMKIFAPITILAFMVLVPVNWTGKTLEAPAAKDLTFSDVDKISISNIPFGSKRFWVHIGMSYVFSFWTCYSLYKEYKNVSGMRLQFLASERRRPDQFTVLVSNVPPDPDESVSEHIEHFFCVNHPDHYLMHQVVYNANKLASIVASKKKLQNWYLYYQNKYEKDPSKKPTTRTGFLGLMGTKVDAIDYYTSLIDTLGKEEEEERKNVTRDPKAVVPAAFVSFKSRWGAAVCAQTQQTSNPTIWLTDWAAEPRDVYWENLAIPYFDLNLRRLLMAVSVFFLTFFFMIPIALVQSLANIEAIEKVLPFLEPIIEKPAVKSVIQGFLPGLALKLFLIMLPKILMTMSKIEGFTSLSSLERRSASKYYFFILVNVFLGSVVTGTAFQQLGQFIDKPSTEFTKTVGSTIPMKATFFITYIMVDGWAGIAAEILRLAPLITFHLKNTFLVKTDQDRENAMDPGSLEFATSEPRIQLYFMLGHVYSPVTPFLLPFIVVFFAFSYMVFRHQIINVYNQQYESGATFWPDVHRRVIIGLVISQLLLMGLLSTRGANMSTLVLIAQPVLTIWFHRYCKGRFEPAFVQFPLEDAMVKDTLERAVEPNLNLRQYLQDAYIHPVFKGDEMEKPSLIDEEEDNPLIQTKRSSRIGSKPESDNEGGSSW >OIW04551 pep chromosome:LupAngTanjil_v1.0:LG09:17547628:17552285:1 gene:TanjilG_13933 transcript:OIW04551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNIKQVLAGIKYSGKNKKKLLLSLFVTLLLVTTVVAIVAGVNSHKNSNNNNNNASSSLSLSHHSHTIIKSACSSTFYPELCFSVISSEPGVTHKVSTHKDVIELSLNITTRAVEHNFFIVENLIKTQKGLTEREKTALHDCLENIDETLDELKQAHEDLQFYPNKKTLYQHADDLKTVISSAITNQITCLDGFSHEDDDKKVRKVLEEGQVHVEHLCSNALAMTKNMTDKDIANYEQKMMAVGKNNRKLMEEEDGEAETVKADVVVAEDGSGDFKTVSAAVAAAPEKSKKRFVIRIKAGVYRENVEVGKKKTNIMFLGDSRTNTIITGSRNVIDGTTTFHSATVAIVGEQFLARDITFQNTAGPSKHQAVALRVGADFSAFYNCDILAYQDTLYVHKNRQFFINCLIAGTVDFIFGNSAVVFQDCDIHARLPDSGQKNMVTAQGRVDPNQNTGIVIQKCRIGATQDLDPVKKNFPTYLGRPWKEYSRTVIMQTIISDVIEPVGWHEWNGNFALDTLVYREYQNTGPGAGTSKRVAWKGFKVITSDAEAQAFTTGSFIAGSSWLGSTGFPFSLEL >OIW04531 pep chromosome:LupAngTanjil_v1.0:LG09:17726912:17727619:-1 gene:TanjilG_13913 transcript:OIW04531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGGNKRKRQDMALAVSDSLSNEERIVYNIIRSKENMGIWSGDIKRETTIPENIFKKALKSLEAKQFIKQVVNIQNKARKLFMATEFQPSKEITGGDWYSDGKLDIEFIDTLKQLSLGYLSRQKVATVDMVLKFFKESGAFTVDVSNQNLEEILKTLVLDDKVSEVKSTGFDDFAGVPLGRVCYRIKSKVGGVREEKVGAMASIPCGVCPRINFCTPDGVVSPKNCVYYDKWLDF >OIW04663 pep chromosome:LupAngTanjil_v1.0:LG09:15667962:15673635:-1 gene:TanjilG_07798 transcript:OIW04663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMPDETLYPIAVLIDELKNDDIQLRLNSIRKLSTIARALGEERTRRELIPFLSENNDEDDEVLLAMAEELGVFVPHVGGVDHAHVLLPPLETFCTVEETSVRDKAVESLCKIGSQMRESGLVEYFIPLVKRLAVGEWFTARVSACGLFHIPYQSAPEILRTELRSIYSQLCRDDMPMVRRSASSNIGKVAEAVEYGHLMADIMPIFEDLTKDDQDSVRLLVVESCAALGKLLQPQDCIAHILPLIVNFSQDKSWRVRYMVANQLYELCEAVGPEYTRTELVPAYVRLLRDNEAEVRIAAAGKVTKFCRILNPDLAIQHILPCVKELSSDSSQHVRSALASVIMGMAPVLGKDATIEQLLPVFLSLLKDEFPDVRLNIISKLDQVNQVIGIDLLSQSLLPAIVELAEDRHWRVRLAIIEYIPLLASQLGVGFFDDKLGALCMQWLQDKVHSVREAAANNLKRLAEEFGPEWAVHHIIPQVLEKINSPHYLYRMTVLNAISLIAPVMGSEITCSKLLPVVVASAKDRVPNIKFNVAKVLESIFHLVDHPIVENTIRPCLVELSEDPDVDVRYFSNQALQAIDHVMMSVDRDL >OIW04613 pep chromosome:LupAngTanjil_v1.0:LG09:16069571:16069792:-1 gene:TanjilG_30511 transcript:OIW04613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQDQFTHLTERVAHLQEVQQNLDRYREALAKAKCKTKWYKRRAYELQSESTIWGTNSSGRKKTNKKNLKLPE >OIW04581 pep chromosome:LupAngTanjil_v1.0:LG09:16553999:16557515:1 gene:TanjilG_18058 transcript:OIW04581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHFQNTEELQSSTQASNEPKSEQPNNHTTDAPVADSGSASASSNDSKKVSRQDIELVQNLIERCLQLYMNKDEVVKTLLTRAKIDPGFATLVWQKLEEENDDFFRAYYIRLKLKKQILLFNHLLEHQYHLMKYPVPTKVQLAPIQNGIHPMPVNNLPMGYPVLQQQPMPAAGQPHIDSMGCGISMGHMVNGVPAPSNFHPIRMNSGNDMVMDHSAPDMAPMIPPNSGMSSMSDMPVSPTSVAHSGHFPFTASEIPGMGADVSALDAAFASDVVSSVGLQLAPDGGNGISRSLDQIPWNFSLSDLAADLPNLGDLGALGNYPGSPFLPSDSDILLESPDQHDIVDDFFVNSEPPCSQSDDEKS >OIW05455 pep chromosome:LupAngTanjil_v1.0:LG09:679553:682794:1 gene:TanjilG_12046 transcript:OIW05455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALYLKLHDRYTKLKTKKLSELDQLSKEQEVKFMNYLTASEELIEHLKSENDKLHEELNELRSEVGSISLHFLVLSAGNSLTYEALSEEVEKLRKLQLEITSGDLNNNSKIMAADHQLRATSNSSSRKMTRKRMLQEGTSGNLNDNSMVIAENDHFGSISNTPSRRMTRSRRKQDEQEKEARFIISYENSEGSAVARQSTENVSKDTTSAKLLEFCTEANDQSGLQKTDNCNWLIQALFEYALGMKLSTDHQTEQICLSALHQSSGNSQFWTVTDNFLNVA >OIW04656 pep chromosome:LupAngTanjil_v1.0:LG09:15582387:15584309:1 gene:TanjilG_07791 transcript:OIW04656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFFAEKMHHQKMEFCDYIEALEEERKKIQVFNKELPLSLELVTQGFLFFVCVEFDDSAIIACKQQLSGTMAEYNLNDQLECSEQTSTEEGPVFEEFMPIKKRSSPDYDDGDDDEEQHSHKILKDSTKSDWLRSVQLWNPDPPSTNEDVPRKASIEVVKRNGGGAFQPFQRDEITNGKANNASSNDKAPSSSPQIPATSSTGPVTGENVDGSSKNEDQGQKKKRRSWSQELHKRFLHALQQLGGAELATPKQIRDLMKVDGLTNDEVKSHLQKFRLHHRRPNSIIRNNANSQAAPLFLVGNIFVQPPEYAAVATSSGELTTDTAPTGIYAPVASHPPASTHTSEASIKKLQVKQLELSEHSNSEGRANHGEGAGNSNSPDSSSSTHTTTTSPGY >OIW05411 pep chromosome:LupAngTanjil_v1.0:LG09:1504173:1507645:-1 gene:TanjilG_28876 transcript:OIW05411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKNTVTTLTPKKDRSKIQESSKSVENFNPNVSHQSPVHKTLSKSSFSSSSSSVSPISKKSSSPSTISKSQKKPSASKNPNYPRNKIRERKFLVVAKKNNQKKIPEDEDSAVVAAEGSTVSFCKCKEKKNKCLCVAYENLRKSQEEFFKNCGNNEDNETKIEVEDEKIEMGTINNTKKRDRLMEDSKEGVFESGCGKVMNLVKAFENMQMSFPKEKEEKEIEESNSDKKVVMKWPLQQGLEFCKENESCSSFLPSDCVMTSENLGLDQVASLSSSWDSSRRSSSRRISTGSGRSRRNSLESSSSTIGGRRWKKKQMRITSQKPFKLRTEQRGKLKEEEFVKKIQEKMTEEERQRIPIAQGLPLTTDEPECLLKPPVKEITIPIDLTLHSDVRAMDRAEFDHQVAEKLSLIEQYKLERERQRKLAEEEEVKRLRKELIPKAQPMPFFDRPFIPRRSVKNPTIPQEPKFRIPQNKKIKSTLSSWNDMMSSYSSCNLDN >OIW04233 pep chromosome:LupAngTanjil_v1.0:LG09:21440831:21443852:1 gene:TanjilG_00793 transcript:OIW04233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSHVLAHELPQTSLTEPSFDSTTQTVIGKSDLSKDIIEADKLGLENRKLYWNIGIGGGDSRGSNGGGNPSVGGIGGIGGIGNGGIGNGGWGGDYISVEKQENQHSHKDKGNVVKNPKPTSSQNLEMDRSGVSSMFSLRHSVGHGYTIPTLKEKGKSDLSKDVAEVDKSGLENRKWNWKIVIGGGDSRGSTGGNGNGGFGNGGWGGDFISTEPLNYKDASIMKHQNMKKFSEFDRESFRESQATKKFGTKNGDKIYYSVPRMEDIGEDKKN >OIW04102 pep chromosome:LupAngTanjil_v1.0:LG09:20531601:20534724:1 gene:TanjilG_00662 transcript:OIW04102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNSMVTHNKYKSFMFFIRKYKVTELVPPQDLKEVFSKFTGGGSHMSAEQFRQFLVEHQAEKDCTLLDAEKIVEKVLQIRIRPQEETISVDENIIDQKVTLDDIFYFLRMDDFNNPLKSEVHHDMNAPLSHYFIYAGHNSYLTGNQLSSDCSEKPIIKALQRGVRAIELDLWPTFNKDDIKVVHGWTLTTPVSAIKCLESIREYGFVASQYPIIITIEDHLTRDLQAKFAEMATQIFGEMLYYPETDRLTEFPSPESLKNRGKESLDSMTGVKIEGTMAEVKIEGTNESDCDEGDINTWECDHKSYQRCSPEYKRLITIHNKKLKGSLKDKLKIDGELRRLSWSETTLEKASESHGADIVRFTQKNILRVYPNLTRVKSTNFKPHIGWMYGAQMVAFNMQGHAKPLWLMQGMFKANGGCGYIKKPPCLMQEHPCDKEFDPKRKQPVKKTLKVKVYMGHGWNIDFSPTHFDKFSPPDFFTKIWIVGVPADYAKKKTKVIIDNWFPVWDEEFEFPLTVPELALLRIQVIDKDQGKGDFGGQTCLPVSELKLGFRSVPLYNKKGEKFKSVKLLMRFQFE >OIW04302 pep chromosome:LupAngTanjil_v1.0:LG09:18654529:18655290:-1 gene:TanjilG_32494 transcript:OIW04302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFEEQEEQEEEMGIPEPPSYDSFGNMSKLGGAVGTEGAATTIGRKSGTIKYKECQKNHAVSFGGHAVDGCCEFIGAGEEGTLEAVICAACNCHRNFHRKEIDGEFSPYNRPQPPPPPPQMHHHHQILPYYHRGPTYPAASGYLHHHLVSPMSQHRPLALPAAAAASGGGMSREEEDMSIPSSSGGGGTRKRFRTKFTQEQKEKMLAFAEQVGWRIQKDDEAAVEQFCVENCVKRNVLKVWMHNNKNSLGKKP >OIW04662 pep chromosome:LupAngTanjil_v1.0:LG09:15658735:15662169:1 gene:TanjilG_07797 transcript:OIW04662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSFKTVQATSQLYCHSSFLLRGDDTNRNPPRFSDLGDLQHSTSVFQHEDAVDLSSSSTFSVKSSNVAIGGSNMQYGTRHTNLGPAEIVSTGGGCMDMHQKEIAMAALPLGNGPVEKWSDSGIADNSQHTDDTSTDIDTDDKNQCNRAQHGSLIVVESKDQTKAKPEDHKTLRRLAQNREAARKSRLRKKAYVQQLENSRVKLAQLELELQRARQQGIFIATRGDNSHSAVGNGALAFDMEYARWVDEHQRFLNDLRSAINSQIGDNDLHLLVDGVMAHYDELFRLKSIGAKADVFHMLSGMWKTPAERWFMWLGGFRSSELLKIVKNHLEPLTEQQSVGIDNLLHSSQQAEDALSQGMEALQQSLIETLSCSSLGPTGTGNVADYMGQMAIAMSKLGKVESFLHQADLLKQETLQQLQRILTTRQAARALIVINDFISRLRALSSLWLARPSE >OIW05415 pep chromosome:LupAngTanjil_v1.0:LG09:1458825:1461868:-1 gene:TanjilG_23241 transcript:OIW05415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIEESELVIPELETEENLIATARYVAKALGSNKNLTSDAKKILADLGFQMFSINVSNEKEEGKEGQAGEEEDQEAAAKEEDVVNAIEDPLGDIEKTVRRWEEDQSMIWDLGPKETCEYLNAANNARQFIEKLESFHLNNEDKVYLSRAYTLLQKAMARLEEEFRNLLFQNRQPFEPEYVSSRSSQEDVVDENSIFSLGDETIDESLQEDSVSGAAEEHIIDLVHPDVILDLRCIANLLFASNYVQECSHAYTIVRRHALDECLFNLEMERLSIEDVFTMEWGTLSSKVKRWVWAMKLFVRVYLASERSLSERIFGEGEPVSIVCFVDVSKASILQLLNFGEAMSVGPHKPDKLFLFLEMYEVLANLMPDIDALYSDEVGSSVKIECHEVLKRLGKCARATFLEFGNDIASNASSTAFVGGGIHPLTEYVMNYLRNLTNYSEKLNLLLKDQEEEDMISPSPDMSPGTEVNSKSPGSPGTVSKMARHIRSFASNLESNLEGKSKLYNETPLKHFFLMNNLQYLAEKVMGSELRCIFGDEWIQKCNWKFQQQARHYNRASWSPILNLLKDEGVHVPSASSISKSLLKERLKSFYIAFENIYTIQTAWLIPDAQLCDDLRIFISLQQLEPPRYNEQSVVRNISTMPAPKKLIHPSSRIPLPPSRAMPPPPKFTEDKI >OIW04478 pep chromosome:LupAngTanjil_v1.0:LG09:18370761:18373797:1 gene:TanjilG_01651 transcript:OIW04478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERSEPALVPEWLRSAGSVAGSGHSAQHFASSYTNTDTSSEAHHTRNRSSKTNTDFDSSHSLFFERSSSLNSRRSTINGTAKHPYSSFNRNHRDKERDREKDRSNFGVHLGRQSSDQLATLFSARKERDMLTHSRSTVSRNQSEILPRRVTVDTKSGGSGNQNYVNGILSGGNTGSSIQKVVFDKDFPSLGVEEKPEIGRVSSPGLGATASQTIPVGSSTLIGGEGWTSALAEVPNIIGSTSTGSLTVQQTVSIAPGSVTSSMTPGLNMAEALAQAPSRARSDPQVLVKTQRLEELAIKQSRQLIPVTPSTPKALVLNSSEKSKPKTAVRNAEMNLVARSVLQQQPCALQHIASQSVRSSNAKVDAPRTTGKFTDLKSVVWENGVSPTSKDISNPTNPSNSKPGNQHAVASAPSRNTNNLKWPAGRNPSSMDLKLGSASDKKHSLSQVKSRNDFFNLIKMKTLTNSSAIIPNSGSLGSSMVEKSGEENREVVSPSESSRSLRNGGEVTSNGNCHAHEEVPRFSDNEDKDSSPSATIYPDEEEAALLRSLGWEENSDEGEEGLTEEEINAFYQECKKLGPATFKLSPGMQPLSKLLESYASAELSSSDPVSEA >OIW04455 pep chromosome:LupAngTanjil_v1.0:LG09:20049046:20051471:1 gene:TanjilG_32647 transcript:OIW04455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVQNLRPLTLEICWGTKLSYYVVSKAFEPLACTGLRSKDVSKEEDGLSGLSTKVSIPSVPQTPRTEGEILKSSHMKSFAFSELKTATQNFRPDSVVGEGGFGCVFKGWIDEQTLAPVRPGTGMLIAVKRLNQEGSQGHSEWLTEINYLGQLRHPNLVKLIGYCLEDEHRLLVYEFLSKCSLDNHLFRRTSYVQPLSWKIRMKVALDAAKGLAFLHSDEAKVIYRDFKTSNILLDSNYNAKLSDFGLAKDGPAGDQSHVSTRVMGTYGYAAPEYMATGHLTKKSDVYSFGVVLLEIMSGKRALDNNRPSGENNLIEWAKPYLNRKRTIFQVMDARIEGQYTVHDAMKVADLVIQCISVEPRFRPKMEEVVVTLEQLQDSDKRSDHGSSSSGSSSSGPRQHRRR >OIW04745 pep chromosome:LupAngTanjil_v1.0:LG09:13852015:13853433:-1 gene:TanjilG_08628 transcript:OIW04745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRRSRSRQSGASAEITDAQITDLVSKLQQLIPELRARRSDKVSSSKVLQETCNYIKNLHREVDDLSDRLSQLLANTDSNSAQAAIIRSLLM >OIW05035 pep chromosome:LupAngTanjil_v1.0:LG09:7933780:7937505:-1 gene:TanjilG_18634 transcript:OIW05035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALEFVGGALLSAFLEAAFDRLTSTDMLDYFRGKRFDDKLLEKLNVSLLSIKAVIDDAEEKHINNRHVSAWLDKVKDVVLDAEDVLDEIHTEASKQKKLQEDQQFHSGKLWCCFFASSSISSSFDKEVQSRMKEILDNLELLSSKKETLGLKESGGSGFGLMLGNKTSQRLPTTSLVNEAAVYGRDSDKNSIIDLLLCHSDNGSSGSNMVVNSIVGMGGIGKTTLAQLVYNDSRVKDAFVFKAWVSVSEEFDIVKVTRTILKALNLHIDDTMDLNMLQVKLKEKLLGKKFFLVLDDVWNENYLNWEALQTPFVYGACGSKILVTTRSKKVASTMRSANLHQLKQLPKEESWLLFAKHAFHDGESRSNAELEKIGRKIIEKCKGLPLALKTIGSLLYTKLSCEEWNGILTSEMWDISDDASDIIPALRLSYQYLPSPLKRCFAYCSLLPKVYEFEKDYLVDLWMAESFLQFHQQNKSMKELGDQFFDELLSRSFFQRSSGEEKQFVMHDLVNDLAKYVSGKFCLRLEEEEEAKDVSKMTRHFSYFRNYSQGSEQFEAIYKANKLRTFLPFPTFGSEAYDPSLMSNNMVHVLLSKFKCLRVFSLCGYFNIYELPDSIGNLKHLRYLDLSGIGVKKLPDSICLLYNLQTLKLTDCLYLEELPSNMHKLINLCHLDFRGTKVRKLPNGLGELENLEVLSSFYVGKSGESNINQLAALKLRGTLSIEELQNVANPLDALTANLKNKVHLEGLILEWSMNDDGSINERNVLEKLQPHINLRKLSIRNYAGTTLPDWFGDNYLCNIVSLELSDCKHFCFLPPLGALPSLKRLWIVGLEMIVVIGSQFYGNNCCVVPFGSLQVLGFRKMLAWEKWDCQNASGAFPCLKELHITDCPKLKNQLPEHLPSLLELKIFNCIQLTASLPRAPAIHGLVLEDCGKLQWKSIPSSLKTLLIGGDSIDRSLLEKTMHTISNTCLEKLVLRDHPNVEFPVFHYHNFLRIMVLSSCNSLRALPLDFFPRLETLDLEYCSNLERFSISEMLDSPSLTSLKELRVWGCPEFISLEQCTIRNSGSLKSFPRGMHALFPSLRSILLNSCRKLECPEGGFPSSIEKLGISNCSKLVASPMKWELHSCTFLTQIEISDKNVDSFPDQGLLLPATLSSISIYRCSNLKTLDHKGFRHLSSLKRLSIRQCPRLWCLPKEGLPGSLSCLEICGNCPLLKHRCQKHRGEDWSKIAHIPCIRIDNDIIT >OIW05394 pep chromosome:LupAngTanjil_v1.0:LG09:1635751:1638204:-1 gene:TanjilG_28859 transcript:OIW05394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPKAKEIVSSNLVVVFSKTYCPYCVQVKKLFTQLGVTYKAIELDSESDGKEIQAALAEWTGQRTVPNVFIGGNHIGGCDSITGLHGQGKLVPLLTEAGVKTA >OIW05054 pep chromosome:LupAngTanjil_v1.0:LG09:7278255:7279064:1 gene:TanjilG_02761 transcript:OIW05054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTHPDRPWRGVNPPIHSHHHNSSVHNSSDIEDDYDEVVVAHAIDMSKSSSLPRWQKTGKRGRKSTSVYDAAENLVLMSLRYNHFIAVMSMVVEPKSHQSSMIPCNRKNIHETSTSQKHVAKKIKFYLSDSLKLGNNINGCESYKVNRGEGNLVQSKGERILVNIDSDEEIENDSGSMKVEEAIQDHVGNFGERKKVMKLLVKKLKAPNAESETQKKVNGYVCDICWKSFPTFQGLRGHRSIHSKEDNNVMDMVESESSDYAIVNDATL >OIW04297 pep chromosome:LupAngTanjil_v1.0:LG09:18615461:18616489:1 gene:TanjilG_32489 transcript:OIW04297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRYPAPSLITFLTFTSLLRYPHTFLSVLLLHFLLISPATAQNQDAMSPPQDPFAKLQFDKTMLIFIVIIIILLFILAFVSIYTRQCAEPRVMARFNFSIPIIGVGDTSFRTPCGLSQEIIDTFPTFVYSTVKSLKIGIATLECAVCINEFLDDETLRLIPKCSHVFHPDCIDAWLRTHSTCPVCRANLIQKPGDISSLAIQIPDSRNSDSEEPNEINDGVMNSIEESNLDKQNLFPRSHSTGPWHRDRYTLRLPEEVLNRLVNSGRRLSRTKSCGVMWQREISGRRSYRNQERFGGENRLDRWGFTWTPSFMSRNWSTRENVKVEVDVEGHSYDRLFSSKE >OIW04267 pep chromosome:LupAngTanjil_v1.0:LG09:21638231:21639595:-1 gene:TanjilG_00827 transcript:OIW04267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDRGSKFKEFPFVSIPQKKLMLDLVSTLEKRFESDLLPSTLPSNVQYYQNPTSTAQASLHIRPAHAHSPIDFILGSWVHSDLPTGGSLDITSLSGYLNSSNDAPNFVFEMIRSSPTMLVLILDLPPRKDLVLWPDDLKTFYEDTQLDKHRKALETLPEVQPYFSSSLYIRTVASPTAIMVRIQTENDGGERMEEIIRDHLDPISKQVLSIWLDHCACAKREVGEEERAYLKKRDSIIRNKTIEIDLGSSFPRLFGSEVANRVLEVIKEYFSV >OIW04323 pep chromosome:LupAngTanjil_v1.0:LG09:18880514:18884273:-1 gene:TanjilG_32515 transcript:OIW04323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGHAPPTNMDNQIGADENVDGSGEHSMDHIQYETHALDDGNGAIGGGVEDIAAADVYVSGDGGGGDHDFAVQPCDGSNQLTLSFRGQVYVFDSVTPDKVQAVFLLLGGCELPCPDTAPQHDQRQAPLEYPARCSQPQRAASLSRFRRKKRERCFEKKIRYEVRQEVACRMDRKKGQFTSSKKQDGASSLGAGQESGQDDSPSETACTHCGISSKSTPMMRRGPNGPRSLCNACGLFWANRGALRDLSKRNQEISLVPAQQVEGNNNSDCGTAIHAHNNLVTFSGNDNPDLVPGR >OIW04691 pep chromosome:LupAngTanjil_v1.0:LG09:14963423:14965384:1 gene:TanjilG_16154 transcript:OIW04691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVGPPPKPKPEEVLPSFNPFLDNIITKFNDLTPSSPPTPPKGHTQSESSIFLSSGNPCLDLFFQVLPNTHSDTINEKLNLSWDHNPVTTLKLICHLRGIRGTGKSDREGFFTAALWLHGRHPKTLACNIASIAHFGCLKDFPEILYRILEGAEVRDLQRDAWKMKREFSQKRKRRYRDRSPVWKPFHRLEGGSKYGTRGGKRVQKKNPIWDVRDLAKTEKENAREERENKKAERAEKLLKRYRHDPDFRYLHDRVSDYFAGCLMKDLEVMRNSGEMKSISLAAKWCPSVDSSYDRSILLCESIARRIFPREVYTEYEGIEEVHYAYRVRDRLRKEVLVPLRKVLELPEVYIGANRWDLIPYERVASKAMQFYQEKFLKHDKERFLKYLEDVKDGKTSMSFGALLPHEIVGSLWNGDGGGVAELLWRRMVNNMLSKGKIKNCIAVCDVSENMSGTPMEVSLALGLLVSELSDEPWKGKLITFSENPELHLIKGNSLLSKMKFVARMKWGQNTNLQRVFDQILEAAVKGKLRPDEMVQKVFVFSGMEFEKASDKDWETDYEAITRKFTKKGYGSAVPHIVFWNLNNSKASPVPVTQKGVTLVSGFSKNLLTLLLQNDGDISPGDAEEAVKPGPADLMEAAISGPEYQKLIVLD >OIW05351 pep chromosome:LupAngTanjil_v1.0:LG09:2168187:2169845:1 gene:TanjilG_28816 transcript:OIW05351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSARFLVLVGESFPMRRALVSSRNAWFHSSVHCKNQVEPRNGSLSLSSIGLKSEIEPKDKIQSDKVVKPLGSSSESLKKKGKLGHINEKKVVQFSLKQPIESAPFAANSFSELGVPQVLIERLENVGFNVPTDVQSAAIPTILKKHDVIIQSYTGSGKTLAYLLPILSAIGPLRNRDPEGNGGDGGRNSGIEAVVVAPSRELGMQIVREFEKILGMENRKVVQQLVGGANRTRQEEALKKNKPAIVVGTPGKIAELSASGKLRTHSCRYLVLDEVDELLSFNFREDMHRIIDHVGRRAGAGADPSSKRIERQLIMVSATVPFSVVRAARSWGCEPLLVQAKNVVPLESIPPPKPVILSESSSGPSSTPSQASVESLPPGLKHYYSVARLQHKVDTLRKCVHALDAKYVIAFMNHTKQLKDVVFKLEARGMKAAELHGDLGKLARSTTLKKFKNGEVRVLVTNELSARGLDVPECDLVVNIGLPTDSTHYAHRAGRTGRLGRKGTVLTICEESELFVVKKMQKQLGIPIACCDFTDGKLLVTKEEKTLSTSV >OIW04566 pep chromosome:LupAngTanjil_v1.0:LG09:17104329:17106506:-1 gene:TanjilG_20922 transcript:OIW04566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKPFSNQHNWYLATLFSALNNSEFTTNNLNSYSSKHIYTYTNVMNGFSASLTPLELEALKNSPGYISSIRDLPVKPDTTHSPQFLGLNPFSGAWPASEYGKDVIVGMLDTGVWPESRSFEDNGLTKIPSRWKGQCENSIQFNSSLCNNKLIGAKFFNKGLLAKNPNITIAKYNSTRDTEGHGTHTSSTAAGSKVNDVSYFGYASGTASGIASSARVAIYKTLWEIGGYPSDIIAAIDSAIEDGVDVLSLSFGLDQIPLYKDPVAIATFAAMERGIFVSTSAGNEGPYLKTLHNGTPWVITAAASTLDREYHGTLSLGNSVQITGLSLYPLDFSPGHVPIVFMGQCNNLRQLVKVRNKIVVCEDKNETLPDQVANVETAQVVGAVFISNSSDLYAFYLQNSFPSIIISPINGEIVKGYIKSNKLDAKASMYFKITTVGTRPAPSVDSYSSRGPSYSCPYVLKPDITAPGTSILAAWPKKIPATTLGSRDLFSNFNLLSGTSMSCPHVTGVAALLKGAYPHWSPAAIRSAIMTTSDILDNTLEPIKDIGQGYEPASPLAMGAGHINPNKALHPGLVYNVGVQDYVNLLCALNFTQKKITTITRSSYNNCSNPSLDLNYPSFIAFFDAKYSHSQSKTKIQEFERTVTNVGEGPTTYVASVTHIEGFHVSVIPNKLVFWEKNQKLRYKLRIEGRRMKNKDKKVAFGYLTWKDVKHVVRSPIVVTTLNL >OIW04438 pep chromosome:LupAngTanjil_v1.0:LG09:19946741:19948066:1 gene:TanjilG_32630 transcript:OIW04438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLSWTKGRVFRRARKGKDLNSVGDLDVEISTPTHFRCPITLDMMKDPVTLSTGITYDRDSIEKWFEDGNNTCPVTKRILTTFDMIPNHALRKMIQDWCSEHRSFGIERIPTPRIPVTPYQVSDTCTRIMSCAQRGDVNKCLELVRKMKAWGKESERNKRCIITSAASVVLAKVFDLFSSCGGSNIEKSNVGIVLEEILGVLTWMRPFSQQGKSILGSLSSMNCMVLFLNGKDLSSRQSAVLLLKEMNLEALEKTEGVIEGLVKMIKEPIGHAAATKACLSIIFNMVSSSKNTEVIAQRFVELGLVSILLEELVDAERGVCEKALGVLDCICDCKQGKEIAKSNALTLPLVIKKLLRVSDLSSSFAVSIVWKLCVKTEERLLIEVLQVGVFQKLLVMLQVGCGDSTKEKATELLKLLNGYRSKAECVDSSSLDFKHLKKPF >OIW04206 pep chromosome:LupAngTanjil_v1.0:LG09:21282507:21285452:1 gene:TanjilG_00766 transcript:OIW04206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSENSLNDVLSKKTSIFGLKRWALLGIGVGAFIVLVLCILYIWVIFRRKSRTRRSREKYSLSQIPNVSKEINIDKVGVQDSHVQQENAFVPPQYKANDKNSDNVSVHMGMIRSTDPDIISQSSSIYHHERGFSSMSGEERSSGSVTKQYALSYGGLLTASPLNGLPEFSHLGWGHWFTLRDLQQATNRFSADNIVGEGGYGVVYKGRLINGTEVAVKKILNNLGQAEKEFRVEVEAIGHVRHKNLVRLLGYCVEGVNRLLVYEYVNNGNLEQWLHGAMHQQGILTWEARMKVILGTANALAYLHEAIEPKVVHRDIKSSNILIDDEFNAKVSDFGLAKLLDSGESHITTRVMGTFGYVAPEYANSGLLNEKSDIYSFGVLLLEVITGRDPVDYARPTNEVNLVEWLKMMVGSRRAEEVVDSSLEVKPSIRVLKRVLLVALKCVDPDAVKRPKMSQVARMLEADEYIVREDRRNRRSRSASMEIESVKEPGPPDAEYAGHYESHPLDTTQR >OIW05318 pep chromosome:LupAngTanjil_v1.0:LG09:2720194:2722074:-1 gene:TanjilG_28783 transcript:OIW05318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLSLKPTLFTAEKSLVRGLPSLSRTSSFKVVASGVKKIKTDKPLGIGGGMKLRDGVDASGRKGTGKGVYQYVDKYGANVDGYSPIYTPEEWSPTGSVYAGGATGLAIWAVTLAGLLAGGALLVYNTSALAQ >OIW05225 pep chromosome:LupAngTanjil_v1.0:LG09:4246886:4248765:-1 gene:TanjilG_21210 transcript:OIW05225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGLGADLLWVDMNRLLSFLFHQGVLDEQFLQLHQLQDETSPNFVSEVVNIYFHESEKLLKNLRELLMEKELSEYKRIGIHLNELIGSSSSIGAKRVTNVCISFQAATHQNNPVGCMRALEMLEHEYCYLKNKLHELFQIEQQRALAARVRYPVQN >OIW04409 pep chromosome:LupAngTanjil_v1.0:LG09:19690079:19690606:-1 gene:TanjilG_32601 transcript:OIW04409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLKAFSAFESKLLKPCKKIFLFFEHKPKKLDFIRVPKIRSNKPKSKKSMSSFLSVFRSTKKCKNMDTLQELRSNSNVLETPLLPSPCTPGYEREDSNRDVEDACRSFEKYLMEMIVEEGKTKDLMDVEELLYCWKNLKCPVFIDIVCRFYGELCRDLFSSDSEEPHYSTFNVLA >OIW04198 pep chromosome:LupAngTanjil_v1.0:LG09:21219145:21224272:-1 gene:TanjilG_00758 transcript:OIW04198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSRFKTNPQLRRALCYGNVARQQERSPSVIVIGGGMAGIAAARALQDASFQVVLLESRERLGGRIHTDYSFGFPVDLGASWLHGVCKENPLAPLIGKLGLPLYRTSEDNSVLYDHDLESYALFDMDGNQVPLELVTEVGKLFERVLEETNKVRQEFSEDMSILRALSIVFERKPEFRLEGLAHKVLQWYLCRMEGWFAADSDTISLKNWDQEVLLPGGHGLMVRGYLPVIHTLAKGIDIRLGHRVKKIVRRYNEVKVTTENGETFVADAAIVAVPLGVLKANIIEFEPKLPDWKEAAITDLGVGIENKIILHFENVFWPNVEFLGVVAETSYGCSYFLNLHKAAGHPVLVYMPAGQLAKDIEKMSDEAAANFAFTQLKKILPDASSPIQYLVSRWGTDINSLGSYSYDAVQKPHDLYERLRVPVDNLFFAGEATSMLYMGSVHGAFSTGMMAAEDCRMRVLERYGEIDLLQTALGEEASAVPLQISRL >OIW04079 pep chromosome:LupAngTanjil_v1.0:LG09:20374832:20376379:1 gene:TanjilG_00639 transcript:OIW04079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKASYDVEFDKENKKTGGNASELEAEFGFPSEFPYEFDSFGMEHINSPVESVAGSTETESSDEEEFFAGLTRRLSQASLHETLPSQLAVPNNSCHKPKVQEKVRVKLGSPQSTLSGMGDWSGQGPGSSDVSPNGSSRVPSPNTTPFSNDAWDAIYAAAEQVAKLKTSSDRSKFDFQNRGVRSGFAQHVVANNRATPLFSYHNLNQGHEIHQLKQEQMLKHQCGSIWGRESKPAFSTYQQQLQAKNKGHEFVNGSVKCTYPLTEPAWHNLQVNPQNQHVQPHSGYGSKSVSNAGSGVKRGCAGTGVFLPRQYVAPHEPRKKTIPAKAIHAVNLNIDDLSSITQQRFAHAYGANYNALLARRNAILMQQVLNLRREEATSYEMRLPQEWNY >OIW04746 pep chromosome:LupAngTanjil_v1.0:LG09:13928791:13937578:1 gene:TanjilG_08629 transcript:OIW04746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AIASLKKGAYLLKYGRRGKPKFCPFRLSNDESLLIWYSGKEEKQLKLSTVSMIIPGQRTAIFRRYPQPEKEYQSFSLIYNDRSLDVICKDKDEAEIWFVGLKALVTRCNNHRRGNEARFASVLSDSPQICSQRYTPSVKPVVCIYGFLLLLCFIKSRYLKVTMILTLFNIQPQDPGDTIGFSFDNSLHNTSGKPFSEIISYPAAGKSSSPAESIANSSLPPGPVNNSNLNSSPEPFRESVSSAVSSFTSLSQGSYQEDFDALSDVYIWGEDIGNGVLGGGVHRVESLSNSEMDALIPKALKSKVVLDVYSIACGSKHAVVVTKQGEIFSWGYESGGRLGLGVEANVSHPKPIDTLSNVNIELVACGDYHTCAITFAGDLYTWGDGTHNSGLLGNGNEVSHWIPKKVSGDMEGVHISFVSCGPWHTAIVTLAGQLFTFGDGSFGALGHGDHSSTDIPREVEALKGLRTTWVSCGVWHTASVVQVINESMESSMHSLIGKLFAWGDGDEGRLGHVDKEPRLVPDCVTTLNNENICRVACGQNLTIALTTSGHVYTMGSAAYGQLGCPASDGKVPGRVEGELADSFVDDIACGSYHVAVLTSKAEVYTWGKGLNGQLGHGDIDHRDKPTLVPFLKDKQVKSVACGSNFTAVICLHKWISSADHSVCSACRNPFGFIRKRRNCYNCGLVFCIGCSSRKSTKASLAPDANKPFRVCDDCYSKLKKAEESISLVQTPTSRIMSANDTRATKLQASLSTLAPVGSIVQTANRYKNLPELHDNHVFSALNGKLRLGTPPRKASNSHFRTSRKRHSISVLPSRGASQSSSISPKSGTQQSCTDIHDNSKHMNDILSQEVICLRAQIEDLTHKSNCLEAELERTSKQLKQVTAVAADEADKYISAKELIKMLTAQLKEMVQVQRLLEGHNAESIADLYSKTTVNTLNQSVEKSHMTNTITPSNGSNSNAANRILPNGNKTQSGKAEWVVQDEPGVYVTLSSLPGDGNELKNVRFSRRHFTEEQAEKWWAENGTKVLERHNVVALLNFKQQSQTVSMTL >OIW05048 pep chromosome:LupAngTanjil_v1.0:LG09:8050384:8054925:-1 gene:TanjilG_18647 transcript:OIW05048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRGEEQHNLDKQIGCMATFLHIFDRHHILAGKRLPSPITHSSSQQPHNYIITSPPTPNTPLPLPLQFSSRLSLDTSRAMTTNCSDSLEIASEKQRPSISVVARLMGLDEPTPDSEAERSSASETGADRDYQSLPLPHHHYRFFDTSNNFRLKIKPNIASDPKQKPEAKFGGKKKSCFYDSGDFFPEKTKKTSVTVGSEIERRLKKKGIDEPYKDDLHALKQILEALQLKGLLHSNNYKSTLPIDQSPIVVMKPNKIQPNVSNSPQPSSFGSSPRRIRAEPEAIRAQLQDNGRNLRQPGNCVRRSPNRMRNVPVGSVNSMKVNMRRNVPEQQVTSRSKRSVAEEDESSTVSDTSLTTINSERYKMEEYREGRNVLERCDKLLNSIAEITTELEQPSPVSVFDSSFYKDDSPSPIIKRCIHYKELTGNSEDEMWSAALCYNGAQYEDSDDFHYVSEIMRACNYFPNDTNVFMLLEKQQFLKGNDTSKTSILRRRLIFDTIQEILNKNKRLPPWKAVSLVGNKLWLEFKRMRDREDEKCSEELVDVIGWILRKDMGEEVMNECPMEMGDVVLDIERLVFKDLIGEIIRDLASFKVSMFPRKFMF >OIW04426 pep chromosome:LupAngTanjil_v1.0:LG09:19845227:19867932:-1 gene:TanjilG_32618 transcript:OIW04426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHKSVLLICGDYMEDYEAMVPFQALQAYGLTVHAVCPGKKVGDLCRTAIHQDSVEASSYDGLVLPGGRAPEYLAHNASVVVLVTKFSDVGKPITSICHSQLILAAAGALKGRKCTAYPPVGPTLVAAGAHWVQPESLATIVVDGNLISAPTYFGHREFIHHFVKALGGTITGSNKKILFLCGDYMEDYEVAVPFQSLQALGCHVDAVCPEKKAGDICPTAVHDFEGDQTYSEKPGHDFVLTATYDDLDASSYDALVIPGGRSPEYLALNESVITMVKHFMETKKPVASICHGQLILAAAGVLKVSATTNREIDGHIPNYPSLSPQLVCQLHNLTMHVDVETDEVYAQMTLQPLTPQEQKDAFIPMELGIPSKQPSNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDFSLQPPAQELIARDLHDVEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNEKNQLLLGIRRANRPQTVMPSSVLSSDSMHIGLLAAAAHAAATNSCFTVFYNPRASPSEFVIPLSKYIKAVYHTRVSVGMRFRMLFETEESSVRRYMGTITGISDLDPVRWPNSHWRSVKVGWDESTAGERQPRVSLWEIEPLTTFPMYPSLFPLRLKRPWHPGSSSFLETQWNKFPRFHCFEDTSLFPVFTKGHVFTDGRDETTNGLMWLRGGPGDQGVNSLNFQGAGLFPWMQQRLDPTMLGNDQNQQYQAMSAAAGLQNLGSGDLLRQQMMNFQQPFYLQQSGNSNPPLQLQKQQVIQQSVSPNILQPQAQVLTENLSQQLLLKPPINREDQAQQQQHTYQDSLLIQTEQLHQRQHSNLPSPSYSKPDFFDSSMKLSAASVSPGQNMLGSLCPEGSGNLLNLSRSAQSMLTEQQLQQQSWALECTPLQVIPFGNSVSHVQYSGKDATMVSPHCNSDSQNSTLFGVNIDSSGLLLPTTVPRYITSSADTDSSTMPLVESGFQDSLYGCMQDSSELLQSPGQLDPQNQTRTFVKVYKSGSVGRSLDISRFNSYHELREELAQMFAIEGKLEDPLRSGWQLVFVDRENDVLLLGDDPWEYSENVRASGAILQRSGQRMNSTGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNEKNQLLLGIRRANRPQTVMPSSVLSSDSMHIGLLAAAAHAAATNSCFTVFYNPRASPSEFVIPLSKYIKAVYHTRVSVGMRFRMLFETEESSVRRYMGTITGISDLDPVRWPNSHWRSVKVGWDESTAGERQPRVSLWEIEPLTTFPMYPSLFPLRLKRPWHPGSSSFLETQWNKFPRFHCFEDTSLFPVFTKGHVFTDGRDETTNGLMWLRGGPGDQGVNSLNFQGAGLFPWMQQRLDPTMLGNDQNQQYQAMSAAAGLQNLGSGDLLRQQMMNFQQPFYLQQSGNSNPPLQLQKQQVIQQSVSPNILQPQAQVLTENLSQQLLLKPPINREDQAQQQQHTYQDSLLIQTEQLHQRQHSNLPSPSYSKPDFFDSSMKLSAASVSPGQNMLGSLCPEGSGNLLNLSRSAQSMLTEQQLQQQSWALECTPLQVIPFGNSVSHVQYSGKDATMVSPHCNSDSQNSTLFGVNIDSSGLLLPTTVPRYITSSADTDSSTMPLVESGFQDSLYGCMQDSSELLQSPGQLDPQNQTRTFVKVYKSGSVGRSLDISRFNSYHELREELAQMFAIEGKLEDPLRSGWQLVFVDRENDVLLLGDDPWESFVNNVWYIKILSPEDIQKM >OIW05525 pep chromosome:LupAngTanjil_v1.0:LG09:26617:28263:-1 gene:TanjilG_27655 transcript:OIW05525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQSDYSPAFNKPRLVLKKVLAKSQHEGVGAVVRRAIGRSELKNLDPFIMLDHFSVSPPGGFPDHPHRGFETVTYMLEGGITHKDFAGHEGTIRTGDVQWMTAGRGIIHSEMPAEATNKGLQLWINLSANNKMIEPNYQEVVSEKIPSAEEDGVEVRVIAGEAMGIHSPVYTTTPTMFLDFSMKPGSQFHQIIPESWNSFVYVIEGEGVFGSPNSSPNMAHHVLVLSNGNGLSIWNNSSKGLRFVLIGGQPINEPVVQYGPFVMNTQHEIDKTIEDYHYSKNGFEMGKYFKSQ >OIW05434 pep chromosome:LupAngTanjil_v1.0:LG09:1250700:1254083:-1 gene:TanjilG_23260 transcript:OIW05434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTESGENVNFDVNLEDFSSDVYAIEDVSIGVACFSEKVSNLSIFVMHLETLEGKLEDLDFDNDENDIDSVEKVLEFDLLCGVLESEIRELDLFLETLFAEISDAGERVLLFSYSMWNEKLHENEQCLKQCEEQFYEIKKQCSSYERTLSSYKKEENVNVEEGEIVIEDNQSLIVSTAIKMQTIQEQRDILRMLEKSLASEMDLEKNFNDSKEIEEKLTQRVFSLERELDVVDEEANNVWERWLEADNASDILMSISKDLLGRLQISQFNLNGLSQRESELSAKLETVCSLEKQLKESECKLLDVTASADEYQILYNGKCSEIRVMENLIVELKENASKAESRANTAEAESKLLKETNTELNNEMILLKDSGGTSDTMESLERLLKESDLKLQHAVASAEACQEKQSRLYSTIKDMEHVIKDLKSKVSKSESRADSAEEKCIMLSEYNAELNEEVNLLKNRLECLEESLHQMEEAKVATAKDINKQTVVLKQLLTQLAVERERLYKQLSSLASENKILVGKLKQTCKDPFQEVCLTSATSQVDKTWRNLSANDNVVESVDSMPDVGTVRRIDAGVLSLKYLIISVFVLMISAVTFLYLNDVNADFSL >OIW05237 pep chromosome:LupAngTanjil_v1.0:LG09:4039135:4042915:-1 gene:TanjilG_21222 transcript:OIW05237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMCSLSSAAETSDLEHDETHDIVKATEKADALRLAVSQLASEFSKESMLSMQKFFGVRRAHVVPSGSLKLDLALGIGGLPKGRIVEIYGREAAGKTTLALHVIKEAQKLGGYCAYLDAEHALDLSLVEAMGVNTKNLLISHPDCAENLLSMVDTLTKSGAVDVIVVDSVAALVPKLELDELGISTKQDFLSRMMTQALRKIHYSLSHSQTLIIFVNQIRFSPKSGKGCGPMEEVTCGGNALRFYAALRLRLSRIGLIKTEDKVEGLQVSVQVVKNKLAPATMKKAELGIKFGRGFCHEKEVLDLACEHGIIVKYEGSYFIEGQSFNSREAAELYLAKNDRCVRFGRHRYRRKMSIEPSTVWKRSRGHCKLQAHSAALMYAFDILVCSTVKSQ >OIW04526 pep chromosome:LupAngTanjil_v1.0:LG09:17760518:17762302:1 gene:TanjilG_13908 transcript:OIW04526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFDGDRQEVDRYLQAVDEIQRSISDTSISDDQNKVNSAVQIAMARLEDEFRNILISHTIPIEADSLTEPDQDQQQQQQQQQASSFSSSSSPISHVTNDDSNYVNDEANKLFRFNSEGTASNVSVNSSYRSTSSFREIDLIPSDTVYDLRCIAERMISSGYLRECIQVYGSVRKSAVDGSFRKLGIEKLSIGDVQRLEWEKLEIKIKRWIKAAKVCVRTIFASEKRLCEQIFDGVGTCIDDACFMETVKGPAIQLFNFAEAISISRRSPEKLFKILDLHDAFMDLIPDIDLVFDSKSSDSIRVQAAEILSRLAEAARGILSEFENAVLREPSKVPVPGGTIHPLTRYVMNYISLISDYKITLNDLIVSKPSIGSRNSGDPSTPDIDFEEVDGKTPLAIHLIWIIVNLQFNLDGKSKHYKDASLLHLFIMNNVHYIVQKVRGSVELRELIGDDYLRKLTAKFRQAATSYQRATWVRVLHCLRDEGLHVSGGFSSGVSKSALRERFKAFNAIFEEVHRIQCVWLIPDSQLREELQISISEKLIPAYRSFLGRFRSHIESGKHPENYIKYSVEDLEDAVLDFFEGIPVSQHLRRRSN >OIW04125 pep chromosome:LupAngTanjil_v1.0:LG09:20697823:20700069:1 gene:TanjilG_00685 transcript:OIW04125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPDPTRRVLTRKRKPLSDCTNTFNSHSSPSLPIKPNPPPPPPPPPPSYSFNKTPSKRISSKNLHTPSNPTSPILSTPSLNSSSSLHGTGDVEASGCISIKYSRRRKSNQRKDKGKVVVIPVSSSYSNRRKDKGKAIATPLSSTPNLRISNSWEKSGRFEGVNVHKAKALTVPLRKKHRSVSSGQDALKDPVLQDFIEEQRAYFKAIDEFKLSEEEVESGDELD >OIW04822 pep chromosome:LupAngTanjil_v1.0:LG09:12143946:12144461:1 gene:TanjilG_13670 transcript:OIW04822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNYISCTLAPPMMKNTRAARVIFPTGEVKQYKSQVNVAELMLECPTFFLTNSRSLHMGHRFSALGADEELEFGNVYIFFPMRRVNSVVTAADMAVLFMAANSAAKRISGRVRPDNGAAEVKSHEMGVENDAPRLSFEGVDESGLFHNRLSCCRSRKPVLETIKEEPIWLR >OIW04985 pep chromosome:LupAngTanjil_v1.0:LG09:8390282:8391316:-1 gene:TanjilG_24457 transcript:OIW04985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPIHPPPSSSALAGGANRSHHRRRQDLTIPLPQRDTALAVPLPLPPTSAPTTHQLIPFSELERLNRIGSGSGGTVYKVIHRPTKRLYALKVIYGHHEEAVRRQIHREIQILRDVDDQNVVRCHDMYDHNAEIQVLLEFMDGGSLEGKHIHNEQNLADLCRQILSGLAYLHRRHIVHRDIKPSNLLINSRKQVKIADFGVGRILNQTMDPCNSAVGTIAYMSPERINTDINDGQYDAYAGDIWSLGVSILEFYMGRFPFAVGRQGDWASLMCAICMAPPPEAPVTASPEFRDFVSRCLQREPSKRWTASRLLGHPFIAQGILNHAQIHNNNLHHLLPPTRPLSF >OIW05242 pep chromosome:LupAngTanjil_v1.0:LG09:3987079:3989277:-1 gene:TanjilG_21227 transcript:OIW05242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMAAAESQQYHVLAVDDSIIDRKLIERLLKTSSYQVTTVDSGSKALEFLGLHENDESNPNTPCVSPKINHEVEVNLVITDYCMPGMTGYDLLKKIKESSSLRNIPVVIMSSENVPSRITRCLEEGAEEFFLKPVRKSDLNRLEPHIKRTKLKDQNLFDTTKNIPKLKTKEKVENSEVVQHQPQQQQIINDQPQQQIQQPQSETNVEQQQQIQQPQSETNVEHQQQQSLQQGNNNKRKSVEQGLSPESDRTRPRYNNGIATLV >OIW05086 pep chromosome:LupAngTanjil_v1.0:LG09:6892475:6897078:1 gene:TanjilG_06222 transcript:OIW05086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVIDRDEETLLVSDESPILQSPKTKSHTKDVHILSLAFLLIFLAYGAAQNLQSTLNTEEDLGTTSLGILYLSFTFFSVIASLVVRNLGSKNALIIGTSGYCLYVAANLKPNWYTLVPASLYLGFCASIIWVGQGTYLTSTARSHATDYELHEGAVIGNFNGEFWAVYALHQFIGNLITFALLSDGQAGSTNGTTLLFIVFLFVMSFGAILMCFLSKRAGNSKGENELLGADAGLYASLKSLSRSLTSALSDVRMLLIIPLIAYSGLQQAFVWAEFTKYVVTPAIGVSGVGSSMAAYGAFDGICSLVAGRLTSGLTTITSIVSFGAFVQAIVLVLLLLNFSITSGWLGTLYILLLAALLGIGDGVLMTQLNALLGMLFKHDTVANSCHYAHYSSYSFHSLFLRLPLLLSYCKYLLVHPFLHLNFPNTPGRGICTTKDMAKCYDCYCVLFSSARLIPGRGCDYACFTMLGIWQFSMASSQGGEVITILHQ >OIW05095 pep chromosome:LupAngTanjil_v1.0:LG09:6707047:6712148:-1 gene:TanjilG_06231 transcript:OIW05095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGGENYGKRENSNSENSDHEIFKAWAKNVAECEEHFKVSVNNGLSNEEVENRRRIYGLNELEKHEGQSIWKLVLEQFNDTLVRILLAAAIISFVLAWYDGEEGGEMEITAFVEPLVIFLILIVNAIVGVWQESNAEKALEALKEIQSEHATVIRDSEKIPDLPAKELVPGDIVELKVGDKVPADMRVVQLISSTLRLEQGSLTGESEAVNKTNKPVPEDTDIQGKRCIVFAGTTVVNGNCICLVTQIGMNTEIGKVHNQIHEASQSEEDTPLKKKLNEFGETLTMIIGLICILVWLINVKYFLSWEIVDGWPRNFKFSFEKCTYYFEIAVALAVAAIPEGLPAVITTCLALGTRKMAQKNALVRKLPSVETLGCTTVICSDKTGTLTTNQMSVTKLVAIGSTVDTLRAFKVEGTTYNPADGRIENWLAGKLDANLEMIAKIAAVCNDAGVAQSEHKFVAHGMPTEAALKVLVEKMGLPEGSKDVPSASTHSVLRCCEWWNKHDPRIATLEFDRDRKSMGVIVDSSLGEKSLLVKGAVENLLERSSKIQLRDGSIVKLDNNAKNLVLQALREMSTSALRCLGFAYKDELPDFKSYSGNDDHPAHQLLLNPSNYSSIESDLIFVGLVGLRDPPREEVYQAIEDCRAAGIRVMVITGDNKNTAEAICHEIGVFGPNEDISSRSLTGKDFMELSDKKGHLRQSGGLLFSRAEPRHKQEIVRLLKDDGEVVAMTGDGVNDAPALKLADIGIAMGIAGTEVAKEASDMVLADDNFSSIVAAVGEGRSIYNNMKAFIRYMISSNIGEVASIFLTAALGIPEGLIPVQLLWVNLVTDGPPATALGFNPPDKDIMKKPPRRSDDSLINLWILFRYMVIGIYVGLATVGVFIIWYTHDSFLGIDLSGDGHSLVTYSQLANWGQCSSWNNFTASPFTAGGKVISFDSPCDYFQAGKVKAMTLSLSVLVAIEMFNSLNALSEDGSLLTMPPWVNPWLLLAMSVSFGLHFLILYVPFLAQVFGIVPLSFNEWLLVLAVALPVILIDEILKFVGRCTSTSGSQAAAARSKQKSE >OIW05093 pep chromosome:LupAngTanjil_v1.0:LG09:6730793:6734846:1 gene:TanjilG_06229 transcript:OIW05093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQLHKQTSLSHRRDEEMITSSSTSTPPYSPKAPKHNNNNNHHRTLPRSINYLLREQRLLFILLGILIGSTFFIIQPTLSRLSTTEPQPFLYTGSNRYVHGVVLRGRVPVGITSRRLRVVTNVMGTLNMLGLAKRVGARFLITSTSEVYGDPLEHPQKETYWGNVNPIGERSCYDEGKRTAETLTMDYHRGAGVEVRIARIFNTYGPRMCLDDGRVVSNFVAQAIRKQPMTVYGDGKQTRSFQYVSDLVNGLTALMDGEHIGPFNLGNPGEFTMLELAQVVKETIDSSATIEYKPNTADDPHMRKPDISKAKELLNWEPKIPLREGLPLMVNDFRNRILNEDEGKGMK >OIW05392 pep chromosome:LupAngTanjil_v1.0:LG09:1650685:1655119:1 gene:TanjilG_28857 transcript:OIW05392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNMKLALLFLISTLFSLCTIASTYEHPLDPLTPSEFNLVRTIVLKSYKASPPKLTFQYIGLDEPDKSIVLSWLSSSKTHNKSTTLPRRRVFVIARFNKQSHEIIVDLSKRFIVSSKVHSGHGFPMLTFDEQDVAAELPHSYKPFIDSVNKRGLNISQVVCSTFTVGWYGEAKSKRTLKIQCFYTEGSVNLYVRPVEGITLVVDLDERKVVQYSDRFKIPVPKAEGTEYRASKQKPPFGPTFNGAAFVQPNGPGFKINGHSISWANWEFHLGYDVRAGSMISVASIFDIEQQRYRRVLYRGYISEFFVPYMDPTADWFFKTYLDSGEFGFGQSIVSLVPSADCPSNAAFFDAHYAGEDGKPVKIANAICVFEKYAGDIMWRHTESEIPDEEITEVRPDISLVVRTVSTVGNYDYIIDWEFKPSGSIKLGVGLSGILGIKGTPYTHVDQIKGDAFGTLLAENTIGVHHDHYLTYYLDLDIDGEDNSFVKTNLETVKITDESSPRKSYWTVVHETAKTEADARIKLGLKPSELVVINPNKETKPGNKLGYRLFPGTVAHPLLLSDDYPQIRGAFTNYNVFVTPYNKSEKWAGGLYSDQGRGDDTLNVWSLRNRSIENKDIVLWHTVGIHHVPCQEDFPIMPTLNGGFELRPTNFFESNPVLKTKQPKPVRVPKCTSQP >OIW04873 pep chromosome:LupAngTanjil_v1.0:LG09:10350503:10358899:-1 gene:TanjilG_14304 transcript:OIW04873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKWNMEIEEIELVLENIWDLHDKLSDAIHSISRSHFLSSIKTLKNSHNILSAAADGAAENRGGFVFVKDFRPDEDESAIHEAKSLNAIRTALENLEDQLEFFHTIQTQQRVERDAAIARLEQSRVVLAMRLAEHQGKKYKVIEEALAFVGDVHDASCLVSPETLYGQPNCRGAENFAIEKGKGSNILINVFLSSINFVKKSLALDHMGGMVGNAAMVAVSMIALLHLHQVTYHDHPFRQEDRVHRNRTVGRTTQLEDSTSGTHSSNLDVLLARG >OIW04867 pep chromosome:LupAngTanjil_v1.0:LG09:10657253:10658227:1 gene:TanjilG_13707 transcript:OIW04867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLLRRPPGHEAFPDDVFYLHSRLLERAAKRSNQTGAGSLTALPVIETQAGDVSAYIPTNVISITDGQICFETKLFYRGIRPTINVDLSVSRVGSAAQLKDMEQVYGSLKLELAQYREVAAFAQFGSDLDAATYALRKDLPLSGYVEVRYDDPEKCVVSGPIPKLDKIRPMLQLRNLLTFITLISANFFGRFLGSEGTAIMITPSSLVLYGILNFSLLLLFRIYLDHINNRFGYRVVFIINLSLLSLTYLFIFSTRLFLSGLILAALLSTAVGELSMMMAPPGGEGGSGADSSQHPILDLNLPPGGRDDETSVNHPFPNPSHP >OIW05408 pep chromosome:LupAngTanjil_v1.0:LG09:1522869:1526217:-1 gene:TanjilG_28873 transcript:OIW05408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGTTQNMASFNTDETCELQNPRTHNQSFSLSFAKFAENYPPGFSRKVFAEIIGTYLLVFVGSGSAALNAIDESKVSRLAASLAGGFIVTVMIYSIGHISGAHMNPAVSIAFAFVTHFPWRQVPFYIAAQLTGAISASYTLRELLEPARHLGATSPSGSNIQALIMETVATFTMVFISTAMAYDTKAIGELAGVAVGSSVCIASIVAGPISGGSMNPARTLAPAIATASYKGIWVYLVGPVTGAILGAWSYSVIQESDKPASAISLSSLSFKLRRRPMIIETEQVGNNCHLSSL >OIW04772 pep chromosome:LupAngTanjil_v1.0:LG09:14337367:14341315:-1 gene:TanjilG_08655 transcript:OIW04772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQMQAVQTEHTEHISSPSDLSRGPQWTPPAIQEISVADYESRTSRGPYPSLGRVSFTPTKQGTSKNPDSEGSTLSQSESSESEPATNLGLSSQRNFSKRGSFISNPIHPLSFPDLTPSKEAFDPALSAFSESDASTPLRDAQRWSSASSSQDFADVTESFESETPGLPHVPSDGFRCSLCERFLSQRSPWSSRRIVRSGDMPTTGVLPCCHVFHAECLEQITSKTWKNDPPCPVCVKTEDVNSPDQRGPMRLRNSFPRFKSFTEDGPSRPWGCTQVGNCVEGALHAPPCNAMYILNRNRIKKNLSLKGNLSNEFPGKVRKSVPFPYSSQLFRGSADQEAVAGPSMKR >OIW04495 pep chromosome:LupAngTanjil_v1.0:LG09:18023199:18024597:-1 gene:TanjilG_13877 transcript:OIW04495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSAAFSTSFYTTQSSLFSAEKSDKLFLKKCSLLPSQVEKRHLYQPLRYKGFFPERCVGTVKAIKFEKGFKNVEVFTKEHVAVSLAHDVAQHSNKFIRERGAFTVVLSGGSLIKYLRKLVESPHAESIDWSKWHVFWVDERVVPKDHLESNYKLAYDGFLSKVPIPQVNIYGIDDALEADGAADVYETTLRRLVKSGVIATSASGFPKFDLMLLGIGPDGHVASLFPEHPIVKEDKKWVTFIKDSPKPPSDRITLTFPVINSSSNIAMVVTGAGKSNAVYSTLQGDENTEKLPVGLVSPEGDLKWYLDIGAASKLFKE >OIW05193 pep chromosome:LupAngTanjil_v1.0:LG09:5243965:5249078:-1 gene:TanjilG_19824 transcript:OIW05193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSANSLPSDSSHDLDEQISQLMQCKPLSEQQVKVLCEKAKEILTDESNVQPVKSPVTICGDIHGQFHDLAELFRIGGKCPDTNYLFMGDYVDRGYYSVETVTLLVALKVRYPQRITILRGNHESRQITQVYGFYDECLRKYGSANVWKIFTDLFDFFPLTALVESEIFCLHGGLSPSIETLDNIRNFDRVQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDISEQFNHTNSLKLIARAHQLVMDGFNWAHEQKVVTIFSAPNYCYRCGNMASILEVDDCKNHTFIQFDPAPRRGEPDVTRRTPDYFL >OIW04560 pep chromosome:LupAngTanjil_v1.0:LG09:17234388:17237194:1 gene:TanjilG_20916 transcript:OIW04560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPIVVLSTFVTISFALLVCLPISHSLQEQRKLLQPTLIPNSCNETCGKLHVPFPFYVNISCVSISNAFHLTCSNSSTLLIKIGSESYPLLEFFQDGLLVDFPGTSSCRQYNDLNSFGNKFSGKDHFGVSVDNVIGLYDCEDSSLCKADCETVDLPGCDGSSGGSLGCCYPLSDHSIWHVGQGFSVFSQFGCRGFSSWAVLRGSNSGNRGVKLEWAIARNSSNEVCARNADMVNATSIEGGVRCVCQDGYFGDGFANGSGCLQSCIKNGQEAYGNDCFIKRHDQRKIVIIAGIFGPVLIVASLVALFYLLKRPGKPGMLDTEQAYFHNHSIRKACRSRLFSHQELEEATNGFEESRKLMQSTNGTMFAGVLGDGSHVAIHKLKCENERDIIQVLPQIEALSDVVHRNMACLIGCCIDSGYTPLVVYEYPANGTLKEHLYQSKGHKHGLDWHKRLNIATETACVVAFLHYENSPPIFHHNLTSSCIFLDGDFSVKIAGFGLLNSNFNHDSQMYKNRECFHLSKNDVYDMGVVLLEIISGSNHLDLPKLALQKIKDGKLEEIVDTLLYYHEQPYYRQEQIQKIADLATRCLLFGADGKLGIIDVARELVHITKESIDGGVERTYNGGDILKFKPSSNDNNVS >OIW04203 pep chromosome:LupAngTanjil_v1.0:LG09:21256303:21257385:-1 gene:TanjilG_00763 transcript:OIW04203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSTHTSLRSEARLQPYQKWARSEARLQPYQKWARSEARLQPYQKWARSEARLQPYQKWARSEARLQPYQKWARWESRLQSYQKWGWSREISLSAFRKFPFFMSLTEERFAKSMNFLVNDMGWPSEDIAAYPVVLAYSLEKRIIPRCRIIKILKSKDLIKNSLSIGTFTCRSEKSFLMKYVTKFLGDVPLLLDVYKGSVDHRDLL >OIW04809 pep chromosome:LupAngTanjil_v1.0:LG09:12665412:12667636:-1 gene:TanjilG_23707 transcript:OIW04809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRGTLEVVLVSAKGLEDNDFLSSIDPYVILTFRAQEHKSTVKEGGGSNPQWNEIFLFTVSDSASELNLKIMEKDNFNQDDFLGEAIIPIDAVVAEGSIPETAYNVVKNEEYCGEIKLALTFNAEPERNDDQGYNAEESYGGWTESNRDV >OIW05316 pep chromosome:LupAngTanjil_v1.0:LG09:2769444:2775795:-1 gene:TanjilG_28781 transcript:OIW05316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLKKKDGILTYAYLLLYIALSSGQIFFNKWVLSSKEINFPYPLGLTLLHMIFSSVVCFVLTKILKIMKVEEGMTPEIYATSVVPIGAMFAMTLWLGNTAYLYISVAFAQMLKAIMPVAVFVLGVAAGLEVMSYRMLLIMSVISLGVLVASYGEININWVGVVYQMGGVVGEALRLIFMEIFVKRKGLKLNPISVIALCLFLPWIFLEKSKMDDHGPWNFPPVLLILNCLCTFALNLSVFLVITHTSALTIRVAGVVKDWVVVLLSAILFADTKLTIINLCGYGIAIAGVAAYNNFKLKKEASRDPSDASDHDESSQRQQSQLLPTR >OIW04299 pep chromosome:LupAngTanjil_v1.0:LG09:18620647:18623115:1 gene:TanjilG_32491 transcript:OIW04299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKKRKGTENEESSNLPEVFHSDRGSNLKSHYSLEDCSRLKKRCTEHVDIKSASASNKSRVAGIATAPPCGTSSLITPGRGLKRKIGCIGVATQMGRKKKIGDDYVTGARIGQGRYGSVWLCQSRVGGVQYACKTLRKGEETVHREVEIMQHLSGHPGVVTLHAVYEEADCFHLVMELCSGGRLIDQMFKEGPCSEHRAANILKEVMLVIKYCHDMGVVHRDIKPENILLTALGKIKLADFGLAMRISEGQNLTGLAGSPAYVAPEVLSGKYSEKVDIWSSGVLLHALLVGSLPFKGDSLDAVFEAIKNVNLDFQTGMWESISKPARDLVGRMLTRDVSARITADEVLRHPWILLYTESTPKMLPVKTKSKHQTGAASHKFAPRLRGNMIVDGSLDNFSSQVSFSESCKSEDQDDCVFIDALATAISHVTISEPKRSKLWGPSSPIDQQGSSNMKANLCKAF >OIW04840 pep chromosome:LupAngTanjil_v1.0:LG09:11578510:11582262:1 gene:TanjilG_13688 transcript:OIW04840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPDHSQTFGSSSYSRKRDLVFIVNPLGANGRTGKEWKKLVPYLRSRLSKEYNIRESLTSGPCHAIDITREAIREGAEAVIAVGGDGTLHEVVNGFFWAGKPVASQIKEPANSTALGVIPLGTGSDFARTIGWKNDPCEAIERVARGLRSRIDVGVISGEIGEHHYFINVADIHLSAKAGFYASRYKRFGNLCYVIGALQAFMSHHNQDLRIKVNEGEWETCPQVTALCIGNAKYFGGGMKITPNADPCNGKLEVVILQNFKWYDFLLKMHKLYNGTHLTEKNVSSRSVLSIEVEDISGMGGVYIQSDGEHLGFLPKKICVLPAAIEMIY >OIW04665 pep chromosome:LupAngTanjil_v1.0:LG09:15681440:15682904:1 gene:TanjilG_07800 transcript:OIW04665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKSDSAEAIVLNFVNEQNRPLNAQNVADALQKFNLKKAAIQKALDNLVEAAKISFKEYGKQKIYIARQDQFEIPNTEELNQMKENNASIQQQLEEQKKASSEVESEIKSLQSNLTMEQICEREVNLRAEVKEMENKLTKLCGGVTLVRPEERKAVEDMLSEKISQWRKRKRMFKDLWDTLTENSPKDPKEFKEELGIEYDEDVGVSLQSYSDLLQPAKKRQRGQ >OIW05291 pep chromosome:LupAngTanjil_v1.0:LG09:3259286:3262659:-1 gene:TanjilG_03680 transcript:OIW05291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHLEKGLNDSIANRFSDSENSGEFNKPDFRELDLGSPVSTLRTRGIGSGPVASTSSSSSGSFPKRSESEPTINNANYSGELSGENIPSNARGCSGSKPGHGRSDSGTAAPLIYSGQSSQSVNSPLLNVLPTGNICPSRRIVKTGMATNRGSRSDVLGTGTGNYGHGSIMRGGKEVLGLENVKGVGSEGVKSVDPEELKRVGNELYKKGHFVEALRLYDKAIALSPRNAAYRSNRAAALTGLGRLVEAVRECEEAVMLDPNYGRAHRRLATLFLRLGQVENARKHLCHLGLQSDLSEMQKLQMVEKHMSKCADVRRIGDWRSVLREVDAAIAAGADSSPQLFMCRAEALLKLHQIDDAESILSHLPKSEQNTNSSSQARLFGMLSEAYSYFIRAQIEMEYGRFENAVTAAEKASHIDSRNVEVAVLLNNVRMVARARVRGNDLFKSERFTEACSAYGEGLKLDPSNSVLYCNRAACWFKLGQWERSIEDSNQALLIQPNYTKALLRRAASYSKLDRWEEAVKDYEVLRRDLPNDNEVAEALFHAQVALKKSRGEEVHNLKFGGEVEEVSGLEQFRAAISLPGVSVAHFNIASNLQCKQISPFVDTLCGQYPSINFLKVDIQECPTIATSENVRIVPTFKIYKNGSRVKEIVCPSRDTLEHSVRRYSL >OIW04257 pep chromosome:LupAngTanjil_v1.0:LG09:21581314:21584871:-1 gene:TanjilG_00817 transcript:OIW04257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVSCRRFVEWKEQFVSQERGNRVVHYYLKDSHGESVLAVVGTERSLRHMFYVVSEEFLEIYAKDGSVSAGFKWRSRREVVDWLTSTLSKQYLPGDRNLSPGHNLAQAHEATDSINEVGALPTQITDDKGLIMSNSEVQNSDIVWSGVPWTCGKQLKHYPAFSRNGIKIAIQSFIFVMAKGENHYIAYLEDMYEDKRGQKKVRVRWFHHNQEVKGVNLVRNPHPREIFITPYSQVISAECVDGPATVLTRDHYLKCLPSFCPTSMDKIHLCFRQFRSNKVKPFDLSKLRGYYDQPILSCLHHDSIQNPEPNSIAEDEECNTGDDVKPGSKRARSGRGSPQSWIDHQGVRKLIRSQQMMVYKTFRNANYGQPDRRLLSLKKVDCQPLYNPTYKIDDKIEFLCHDSGIRGCWFRCTVLQISRKLLKVQYDDLQDEDGNGNLEEWIPTYKLAMPDKLEMRHSGRPTIRPAPAYVEQELAIEVGTAVDAWWSDGWWEGVICGVDNCGDDSVQVYFPGEGLLMKVCKKDLRISRDWLGDEWVDIKAKPDITSTMFTVNSFYTKISESLSISKDADSVGFANSCQDVLIGNKSNEPDIVEEKLVCCEGIADDVDQVHDNNPPSKKCAEGGNIEMHDCSNNGEDDGKDNKDDDNNQDFNNDDRDMEVLRTSGKDCKGAEPMEVAV >OIW04771 pep chromosome:LupAngTanjil_v1.0:LG09:14298821:14300592:-1 gene:TanjilG_08654 transcript:OIW04771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSSAYGYGGWVNAHATFYGGSDASGTMGGACGYGNLYSQGYGTNTAALSTALFNNGLSCGSCYEIKCVSDHKWCLAGSILVTATNFCPPNNALPNNAGGWCNPPQHHFDLAQPVFLRIAQYRAGIVPVAYRRVPCRRRGGIRFTINGHSYFNLVLITNVGGAGDVHAVAIKGSRTGWSSMSRNWGQNWQNNNYLNGQTLSFKVTTSDGITVVSYNVAPAGWSFGQTYTGAQFP >OIW05106 pep chromosome:LupAngTanjil_v1.0:LG09:5598944:5603925:1 gene:TanjilG_02579 transcript:OIW05106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEKCSNNKSFIVFPLLFCFLLFPHLLLSLENDYIRGPVRELVTTTNHRSDSDPQQVHISLVGKDKIRVSWITEDKHTKSLVEYGTKSGKYSSKATGTHTMYQYFFYTSGRIHNTVIGPLEPSTTYFYRCGGSGPEFSFNTPPSKFPIEFAIVGDLGQTEWTQSTLKHVDSTNYDVLLLPGDLSYADSQQPLWDSFGRLVEPYANKRPWMVTQGNHEIEIFPIIYPHGFKAYNNRWPMPFEESGSTSNLYYSFDVVGSHIIMLGSYTDFDDKSEQYKWLQNDLDSVDRNRTPWVIALLHAPWYNTNEAHQGEGESMRQAMEKLLYNAHVDLVFAGHVHAYERFTRIYDNEANSCGPLYVTIGDGGNREGLALNFKNPRSPLSLYREPSFGHGRLQILNETHAHWSWHRNNDTNSFEADGVWIESLINSKACTKTQEKQTSHEEL >OIW05346 pep chromosome:LupAngTanjil_v1.0:LG09:2274049:2277928:1 gene:TanjilG_28811 transcript:OIW05346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIASDVKKKKNSTVLCLDETKERIKNMFNKVELSTSSYDTAWVAMVPSPNSPEAPFFPQCLNWLLDNQLFDGSWGFSDRHPLLTNDALLSTLASILALKRWGIGDDQIDRGLLFLESNITSINDEKQHLPIGFDILFPSLVEYAQILGINVPLGATSLEAIIRKKEIELERGFQSNSEGWSAYLAYCSEGMQKSRSWETVMKYQRKNGSLFNSPATTAAIYNQLKNTDCLNYLQSVLGKFGNGVPTVYPLDIYARLCMIDSLERLGINYHFKEEIQSVLDETHRYWLQGAEEIFLDPTTCAMAFRILRLNGYDVSSDPLHQYSEENFANSLKGYLKDIGAVLELYKASQVIIHPEESVLVRLHSWTRHLLMQESSPQQVYADKLRSYVDREVKDALGFPHYANLERLLNKRSMEHYNVKETRILKTSYRSCNLANQEFLKLAVEDFNICQSKHIQELKQLSRWVVESKLDNLKFARQKLAYCYFSIAATLFTPKLSDARISWAKNGVLTTVIDDFFDVWGSEEEQINLIQLVEKWDVDINTACCSETVKILFSAIHSTICEIGEKSVKWQGRNVKNNVIKIWLNLMQSMFREAQWSKTNTVPTIDDYMQNAYISFALGPIVLPTLYLIGPKLSDDDVENHELHCLYEFMSTSGRLLNDIHGFKRECEEGKLNAVSLRIINGNGLITKEDAIEELKVIIEDKRRELLKLVLQEKGSVVPRDCKELFWKMMKVLNLFYIKDDGFTSLEMHSSVNAVLKEPVVLHELLEGAQQNLDVY >OIW05037 pep chromosome:LupAngTanjil_v1.0:LG09:7942292:7946294:-1 gene:TanjilG_18636 transcript:OIW05037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEMHYYFIYHLLVLKHTVRPDHSLQDVRSKIFPLKGRKPKAPEVIPSEPLTSRRKERSLSSLVVSTPRVSSSQVTMTGRRTKPTRKASGLHGSSFSIENAIKKEEELLGDHSESSSSHDSSSKFAENTGQSLSPCEGSESIPNKETENGAEPWDAKLDLWKPLNCLVEVASRTKSFKSSVQGSDAKLEPLQANESDSQVKKAKNKENKRKAKVEDEKISTHPVSPDTAKPKKLRRIRRKKEPDFGQSRITLQAVVDSNCTKLLRTGPIWFSLVASENQEGDAPLPQIPSSFVRIKDGNIPVSFIQKYLMKKLELNSEAEVEIKCMGQTVLPTLKLHNLVELWLQTTSSTSHRISATIGSTAKDFVMVLAYARKLPHPSNSQF >OIW05366 pep chromosome:LupAngTanjil_v1.0:LG09:1952133:1979819:1 gene:TanjilG_28831 transcript:OIW05366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPKENGNGYHHHHHEVPPPGMGNGDSVRRVTRRPRASSSTSTSSDTLPVVRVPEQQQLQQQRLPCTEFDMAYFNSYSHLGIHQEMIKDRVRTETYREAVMRHQSFIAGKVVLDVGCGTGILSIFCAQAGAKRVYAVDASDIALKAHDIVEANNLSDVVVVLHGRVESMLGSVITARDRWLKPGGLILPSNASLYMAPVTHADRYSEYIDFWRNVYGINMSPMISLAKQSASEEPSVETITGENILTWPHEVKYIDSYSITIHELETITSSFKFNSMMRAPLHGFAFWFDVEFNGPAIQPINSHSTSSTNNHLANGNQRRKRTNPNDALVLSTAPENPPTHWQQFSTTLLFFFIFFTEHHTMPSSLSVTTPQTHQTLEKLLDSARPFLRGELHSINTNLPSLISLLRSVGAGECWHKHGSFLDHLLDIYRILKIWKASDLVCLCGLFHSAYSNSYVNLAIFDPSTGRDVVRGHVGEEAERLIHLFCVVPRQQVIHDNLLFHYDDGELVEHLNESHISLRNAKEKGLFNGEETWRKKLLALVPVQGIKVKHIRTGEDVHVSRRVVAIFLMMTMADFCDQLYSFQDVLFDNVNGRLEFSGNNYWGLWPGDGKPGLWLNSISRMGAIYTLIVREEEIFIQENIKKRVELAVDYDRDENIELPLPPVFNNCTTVLDAGDQITARDLYWEAVCDVSSEGLERAEELLVGSIKKNPFVGEPYVVLSQVYLTNGRFEEAEKHAERGLTLLLEWGSPWDKRTSWEGWVAWTRVLLMKAKDKSWPNTSFGILNLGFVK >OIW05101 pep chromosome:LupAngTanjil_v1.0:LG09:6618675:6623615:1 gene:TanjilG_06237 transcript:OIW05101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHDKGKKSCPICTEEMDLTDQQLKPCKCGYEICVWCWHHIMEISEKDGGGGRCPACRAPYDKKHIVAMAANCQRLVAEMTSQRKKKMQKLKPKSSQEKNHLSDIRVIQRNMVYIMGLPLNIADENLLQQKEYFGRYGKVLKVSISRTATGLIQHSEDNYFCVYVTYSKEAEAIRCIQSVHCFVLEGRCLRACYGTTKYCHAWLRNLPCRNRDCMYLHDYGSQEDSFTKDDLALALARSRVHQIVGATHNLNWRVGNVLPPPEDDCRHMSPASKVACKSSSDVLTFPVCCNRAMRVSGSLPPVSSPSCSDNLANRKVGASNGPEVPVSVVNSTERSILNTRTEKDENSSQVHSGGVFIPSEMNKHNIGGNSQTYKSDVDKEVIEEKLLSPVLSSREDSLGPLVGNDEDMVAPAVSTSFTKLSKQQCDFNTGKAISLNSNGDVQGLCSELSSISMHGHLEDSYSIPGSDKLHFTRNSMDSSIRQHLQQDDHYSNEDSTTPALWEDIIVDDMLNLDHKQPKFCKGINNLPAGSCSPGLPQNVDQSSSHHIWEQDQLRHEHHLGKPFDSFNQHLERGSGKHVESKNNVNMVASDVGESSIISNILSLELDACEDSLVKLLAESEEPCRPIKTQTLLKVQDKNQSSFAFARQDDFMNEASDLEQTFGITRHASNGNFASNGLMGNEDNLAAKYKYVPSSNSAPDKFIGIPKAHASSPPGFSMSSRIPPPGFSSGRVTHDYNTPAKHPQQYGLPSGNIGRTGNGQFNNDAVIMEAFRTGMLAERLNNGTSFNMRQSLLPPYSPAEQDAARLMLLMQQSIPSQNLRLPDLADNRFSLQNDAYSSRFLDQFQPNNHSIYEHLHSQPFSSNVFTSSSQPTWHDTNRFSGLPIPQVLDNQRMGFNNFMPSNYENIKF >OIW04943 pep chromosome:LupAngTanjil_v1.0:LG09:9556217:9586869:-1 gene:TanjilG_15688 transcript:OIW04943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKMLLHYLLVLLRVLTMVVLIQAQDQSGFISIDCGLPENANYTDDTTGIYYTSDDKFISSGESVSLSYSQRKTYERQLWYVRSFPKGERNCYRINVTSGTKYLIRADFIYGNYDGLSKSPIFDLYIGANPWEVPLSTTLISRTEIIHSPPLDFIHICLVNTDQGTPFISSIELRALENDIYDTESGSLALYRRYDLGSTSDSIYRVLGRGGFGPVYHGFLDGIEVAVKMLSQSSVRGYQQFLAEVKLLMIVHHRNLTSLVGYCNEEGNIGLIYEYMANGNLDEHLSGLEYLHNGCKPPIIHRDVKCTNILLNENLQAKVADFGLSKSFSTDGETHLSTVVAGTHGYMDPDYTMSNRLTEKSDVYGFGVVILEIITGQPAIIKMHDDYKIHISQWVSPMISNGDIENIVDSKLEGDFDTNSVWKAVEIAMACLSKSSKRPNMSKVVTELKECFTAELARKRTDRDTENQYSTELVPLNLTIDFGPSAR >OIW04667 pep chromosome:LupAngTanjil_v1.0:LG09:15698831:15700474:-1 gene:TanjilG_07802 transcript:OIW04667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFDEGDAKKELHEVGIKISKGFPISGTSLASMESLSLPLVQEVVLSADMQCEKCLKRITDIITKMNVETESVVVNVLEKKVTLTFRLSKVGKVITRKITHINRNPLPKVAIIKRIFGSSSG >OIW04626 pep chromosome:LupAngTanjil_v1.0:LG09:16225416:16227681:1 gene:TanjilG_30524 transcript:OIW04626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQFRQIGEALGSLKALMVFRENIEINQRQCFLLHDVLSFAYDSIADEIRHNLKFEEKNEKWKVLEQPLRDIHRVIKEGEAYIRNCLETKEWWSSAITLCHNTDCVEFHIHNLLCCMPIVIEAIESVAETSGWDQDEMQRKRLINSNKYRKEYRDMKLFQWKFGKQYLITQDLCNRYDTVWKEDRWFLFNKIHEKKMQCATKYEKKLRDLLLRNVERSESVEGKLLPSSILVTSKDYQVRRRMGNGSQYKEIQWLSENFVMRQFSGDIEALEPEITELLSLSHPNIMDYLCGFTDEDKKECFLIMELMSKTLSSHIKEIHGPRKRIPFLLHVAVDIMLQIARGMEYLHSRKVYHGELNPSNILVKPRGTSPEGYLHAKVSGFGLSSVKDLNQKLNTNNQNGTLPFIWYSPDVLEEQEQSGGGESNSKYTEKSDVYSFGMLCFELLTGKVPFEDSHLQGEKMSRNIRAGERPLFPLNSPKYVISLTKRCWHTDPNQRPSFSSICRILRYIKRFLAMNPGYNSQPELPLPPVDYCDIESALLRKFPSWASSESSPISQIPFQMFAYRVIECEKIITSPKEYSESGSDASAYGDELVTSGDEPFPIIIERKPLIVPDADSMNRKLMTRKSLSLKLTKQPGTPRGRSDRPPQMSPSGRTLRANSENRLISSPRMIRRTSSGHVSDSELS >OIW05268 pep chromosome:LupAngTanjil_v1.0:LG09:3538737:3541490:1 gene:TanjilG_03657 transcript:OIW05268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFMDSLLSMLFLLSNFISINLSFSYASNLSYKDHCASMVLESSSTKQSLNLFPLGDHHTGYYKGGDSIIDVAASWNQFSFELKPRKILATQVSNLFKVKAIISFRSSTNIYNMSYSSNRLSYSGGIRDRRGYLTFKLEGFWSESSGEICMVGNSSGYSKRGNSLNVDAVFKLSNVFNASNITSLVNGSLESLSSKKEENYFEPFSLLMFPKENYKYTLDSIEADNDCSSGIDAEQGLSLNLNKLSFCSSYPLNMVTRGLKLEYSSDCNFAKNCAPISGSSGKLPSLLSLESIECTHIEKHRIRVVVTFLNNSYYDFNPSFNPKTMLVGEGWWDEKKNTLCVVACNIIGMESITDGAHVNDCSVRLRLRFPSIWSIKNTSTIEGQIWSTKAANDEGYFKRITFRSGENHPVQREVHGLKYEYTLLDRVNQSCQKPVDVKDKKNRHPDAYSYDMRFDTSVRESNRRVAWGYAVPLTVDDQFYESEMHTISDSVSSSSKEIINNDSLFNISYMISLSLMSYPTSSDRNSLFNLSSETVAISAEGIYDAGSGSLCMVGCRDISTNNPDCEILVKFQFPSVDTTGRSGIKGSIESTRKKSDPLYFKTLDLSASSYYIEGARRNAWRMDMEFIMALISTTLACIFAGFQLYHVKKHPNVLPFISLIMMSILTFGYMIPLVLNFEALLTQNPNTKNFLLGNVGMWLEVNEISVRLITMVAFLLQSRLLYLTWSSRKSNESKKDFLVVERKAAYVTLPLYAAGLLIALLLKLKKDKDTVTFRRVYQRNSSSWANFNSYGGLVLDGFLLPQIILNLFSNMEENVLSFSFYFGTTFVRLLPHAYDLYRTHNYASQDDNGSYFYADPSADFYSTAWDIVIPMGGILLAIIVYLQQHFGAHYILPRRFKGSNVFEKVPDSEAEVETSNL >OIW04522 pep chromosome:LupAngTanjil_v1.0:LG09:17789805:17795214:1 gene:TanjilG_13904 transcript:OIW04522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTATMATAASAAAILYYTLNRKLQSSKIDDEEHTTDAQTNAPLGTDRVSHRLIQAPGTWFETISTLSETLRFTYSETLGKWPIGDLAFGISFLLKSQGNYNVDSVFGGKDSSQLKGSDIIAELKYLLNLLTLCWHFSKKPFPSFLEETGYTEENVLLQEPKAGILKPAFTIIADHSMGCFLLLIRGTHSIKDTLTAVTGTVIPFHHSVVYQGGVSNLVLGYAHCGMVAAARWIAKLATPCLLEALRHHPDYEVKIVGHSLGGGTAALLTYILREQKELSMTTCVSFAPAACMTWELAESGNSFITSVINGADLVPTFSAASVDDLRSEVTASAWINDLRDKVEQTRILSIVYRSASALGSRLPSIATARAKVARAGAILLPVSNGTQVVMKRAKSMAQVAWTRPNLNLTSWSCMGPRRRAVASHSNTKVEASSPRSSSSANEESSDSLICSPKKGVNAKNMNLPVSSYVEQWSSEIECANERTSDAERNVDHDDGEHMTNHNRYEDQVTEVELWQRLEHELYDRLDGEVEEIREEEEAAIAEETGGQTHNSAPEMNEDHRFFPAGKIMHIVTFHSDADEPANDSSSSSSSSDSSEVDDAKIGIFLTPRNRNGGDDSHRPPYLVVVKSVDAFDIDVGKGKVTVNGSPKSSCL >OIW04502 pep chromosome:LupAngTanjil_v1.0:LG09:17967197:17969151:-1 gene:TanjilG_13884 transcript:OIW04502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGFSGDETAPFFGFLGAAAALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKSYYLFDGYAHLSSGLACGLAGLSAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRAD >OIW05039 pep chromosome:LupAngTanjil_v1.0:LG09:7959608:7959907:1 gene:TanjilG_18638 transcript:OIW05039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKVGKVKCSMEEKPAVKESNTIMGMSASLMAAACAATMSNPAMALVDERLSTEGTGLPFGLSNNLLGWILFGVFGLIWTFYFVYASNLEEDEESGLSL >OIW04178 pep chromosome:LupAngTanjil_v1.0:LG09:21048635:21052010:-1 gene:TanjilG_00738 transcript:OIW04178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLEEPLGLDKLPSMSTIDRIQRFSSGACCSRVDNLGMGNRWLEGRSCSTSNTCNEDYEEYTEETFPWKRQTRDLSQGGDYFNQNTANKGRSSMKFSMIDDSFSDCQYSPTCNNKDIQDLTTKFLKGIPTFVKIVEVGPRDGLQNENNIVPTAVKIELIHRLASCGLSVIEATSFVSPKWVPQLGDAKDVMQAVHNLGGIRFPVLTPNLKGFEAAMAAGAREVAVFASASESFSKSNINCSVEDSLARYRAVTRAAKELSIPVRGYVSCVVGCPVEGPISPSKVAYVAKELYNMGCFEISLGDTIGVGTPGTVVPMLAAVMAVVPKVKLAVHFHDTYGQSLANILVSLQMGISIVDSSVAGLGGCPYAKGASGNVATEDVVYMLNGLGVKTNIDLGKLMLAGDFINNHLGRPSGSKTAIALGRA >OIW05286 pep chromosome:LupAngTanjil_v1.0:LG09:3315525:3318672:1 gene:TanjilG_03675 transcript:OIW05286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRIFSRVIPKSLTLPSILPRSTTATSLSFLRRISATSPSLRLKALFPHSHRSFSTRATSSSLNDPNPNWSNRPPKETILLDGCDFEHWLVVVEKPEGDPTRDEIIDSYIKILAQVVGSEQEARMKLYSVSTRHYYAFGALVSEELSYKIKELPNVRWVLPDSYLNVMEKDYGGEPFINGQAVPYDPKYHEEWVRNNARANERNRRNDRPRNADRSRNFDRRRENVVSRDMQNPGGSPPSNAGRFPPNNAGGGYAPPNNAGVGYAPPNNAGGGGYVPPNSAGGGYAAPPNSAGGYAPPNSAGGYAPPNSAGGYAPPSGGPGGYPPNSAGGFAPQNAGRSTPPHIAPGNYPPPPPPNSGYGPTGGVPQNNYTGNVGGVPPNAGWSNTAPNRDYQPPNLGGPAGGNPYSN >OIW05278 pep chromosome:LupAngTanjil_v1.0:LG09:3441790:3443642:1 gene:TanjilG_03667 transcript:OIW05278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKNITHGFYLIKGRSYHPMEDYVVAQFKKVESNELGLFAIFDGHAGHNVPNYLRSHLFDNILNEPDFWKEPTDAIKRAYDVTDSTILEKSGELGRGGSTAVTAILINGQKLVVANIGDSRAVLCKNGVAKQISVDHEPTTESEDIKNRGGFVSRFPGDVPRVDGRLAVSRAFGDKSLKKHLSSEPYVTVEMIHDDAEFIILASDGLWKVMSNQEAVDTIRDVKDARSSAKRLTEEALGRKSTDDISCIVVKFQ >OIW04930 pep chromosome:LupAngTanjil_v1.0:LG09:9370917:9380804:-1 gene:TanjilG_15675 transcript:OIW04930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSDSSYSTHLIDGDGIFNVAGVETFMKGVKLAECGLSYAVVSIMGPQSSGKSTLLNNLFGTNFREMDAFKGRSQTTKGIWMARCAGIEPCTIVMDLEGTDGRERGEDDTAFEKQSALFALAVSDIVLINMWCHDIGREQAANKPLLKTVFQVMMRLFSPRKTTLLFVIRDKTRTPLENLEPVLREDIQKIWDSVPKPQAHMETPLSEFFNVEVVALSSYEEKEEQFKEQVASLRQRFHHSIAPGGLAGDRRGVVPASGFSFSSQQIWRVIKENKDLDLPAHKVMVATVRCEEIANEKYAAFAANEEWCQLEETVQSGPILGFGKKLNSLLDVYLSEYDAEAIYFDEGVRSAKQKQLQEKLLQLVQPAFQSALGHIRSGTLDKFKETFDKALERGEGFSEAANNCIESCMAQFDKACADVVIEQASWDTSKVREKLQRDIDAHVESVRAAKISELTSSYEEKLKESLSGPVEALLDGANSDTWPSIRNLLRRETESAVLGFSSALSGFDMNEDTRQKMILSLEDYAQGVVEGKAKEEAGRVLIRMKDRFTMLFSHDSDSMPRVWTGKEDIRAITKTARSASLKLLSVMAVIRLADDDTDNIEKTLAVALVDSSNSAVNKSMTMVDPLASSTWEQVPSSNTLITPVQCKSLWRQFKTETEYSVSQAISAQEANKRNNNWLPPPWAILALVILGFNEFMTLLRNPLYLGLIFVGYLLLKALWMQLDVSGEFRNGALPGIISLTSKFIPTIMNLMKKLAEEGQNPANNNPQRNQSKNNHNALTGNAVSSTASSNVTSLDNEKEYSNPSKYE >OIW05508 pep chromosome:LupAngTanjil_v1.0:LG09:152413:154477:-1 gene:TanjilG_27638 transcript:OIW05508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNETTDSIESEANALLKWKSSFDTKSQVLLSSWKSTTTTSVCKWEGILCDKSKSVYSLSLTNYGLKGTLHTLSFSSLPNLLSLDISNNSFYGTIPPQIGNLSRLNHMNMSYNFLNGSIPQEMWTLRSLYDLDLARCNLSGSIPISIVNLANLSHLDFGNNYLEGYIPIEIGKLSNLVYLGIAHNPTLKGSIPKEIGMLRKLEFLDLSESGLYGTIPSEIGNLTKLNFLYLFGNLLSGSIPSSIWNLVNLFELNFSINKLSGSIPSYVGNLVNLTILALSENHLSGPIPPTIGNLTQLNVLYLVTNNFSGSIPTSVGNLVNLYLLSLGENNLSGSVPSTIGNLIQLNDLQLFGNKLSGSLPHEMNNLTNLSNLQLSDNNLIGPLPPQICSSGYLETLSADNNKFSGPIPTSLKNCSSVTRIRLDENQIEGNITEDFGVYPNLDYIDLSSNRFYGQVSPNWGKCPKLTRLIIRNNNLSGGIPIELVNATKLGALHLSSNQLTGKLPKELGNLKSLVELRISNNHLSGNIPTEIGSLQNLQHLDLAGNEFSGTIPEKVMALPNLLELNLSNNKIEGSIPSNIGQSLAFLDLSYNSLSGTIPTMLGDLKHLEMLNVSHNDLSGTIPSKAYVVMLLACCFAQPIAVIRRAAMS >OIW04449 pep chromosome:LupAngTanjil_v1.0:LG09:20016056:20016652:1 gene:TanjilG_32641 transcript:OIW04449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIDPITHKPLSNNANHQTQDQTKQQLNQPLEEEQENQQVSVDFDPIFEPEFNQNKELKKQETSIGSSKINEAKVEDNIIRPLFDTMDDVMNGFCTDEVPIIEPHEILVPCIPSSSSTSTSSSNSTNFLEELQLPDFEWSCNYNSVNNNMELWDDDDDFIISLNFLINGGDKKQVFDAPHNQYPKMAMDSESWAYGLF >OIW04337 pep chromosome:LupAngTanjil_v1.0:LG09:19027905:19032803:-1 gene:TanjilG_32529 transcript:OIW04337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGSSSSGGGRKITVSPRPCCGRRVVANKRPRRGGSVDGFVNSVKKLQRREISSNRDRGFNMSDAQERFRNILLQEEYDTHDPKGPSSVVLPFLRKRSKIIEIVAAQDIVFALAQSGVCAAFSRGKTNERICFLNVSPDEVIRSLFYNKNNDSLITVSVYASDSYSSLKCRSTGIEYIRRVKPDAGFALFESESLKWPGFVEFDDVNGKVLTYSAQDSIYKVFDLKNYTMLYCISDKNVQEIKISPGIMLLIFAKATSHVPLKILSIEDGTVLKSFNHLLHRNKKVDFIEQFNEKLLVKQENENLQILDVRTFELTEVSRSEFMTPSAFIFLYENQLFLTFRNRTVAVWNFRGELVTSFEDHLLWHPECNTNNIYITSDQDLIISYCKADSDDSLSEGNAGSINVSNILTGKCLAKIRASNSSPMVNECSSGDNCSSSACNSRNRKHVSKIRSKVAEALEDITALFYDEERNEIYTGNRLGLVHVWSN >OIW04894 pep chromosome:LupAngTanjil_v1.0:LG09:9891703:9896262:1 gene:TanjilG_24010 transcript:OIW04894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWISENVESIKSIQIRQILTQGVSLGMIVTSALIIWKALMCITGSESPVVVVLSGSMEPGFKRGDILFLHMSKDPIRAGEIVVFNVDGREIPIVHRVIKVHERQDTGDVEILTKGDNNYGDDRLLYAHGQLWLQRHHIMGRAVGFLPYVGWVTIIMTEKPIIKYILIGALGLLVITSKD >OIW05127 pep chromosome:LupAngTanjil_v1.0:LG09:5809416:5812768:-1 gene:TanjilG_02600 transcript:OIW05127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMFSTNGFILYLLSGFSLAILSSFFLTHSNEHKYSSLNSLHYSTTHKVWPELEFSWKLVLATVIGFLGSAFGTVGGVGGGGIFVPMLTLIIGFDTKSAAALSKCMIMGASASSVWYNLRVPHPTKEVPILDYDLALLFQPMLMLGITVGVALSVVFPYWLITVLIIILFIGTSSRSLFRGTEMWKEETIMKKEMAKQQATFVNSRGELLIDTEYEPLIPKEKKTSMQILCFNLRWKRILMLMIVWVSFLLLQIIKNNVKVCSAWYWVLYTLQFPIALIVFGREAVKLYKDHKKRMNTGNLECICEASIEWTPLSIIFCALCGILGGTVGGLLGSGGGFILGPLLLEIGVIPQVASATATFVMMFSSSLSVVEFYLLNRFPIPYALYLTGVSILAGFFGQCIVRKLIAILKRASVIVFILSGVIFASALTMGVIGIQTSIRMIRNHEFMGFLGFCSSQ >OIW04480 pep chromosome:LupAngTanjil_v1.0:LG09:18337463:18341765:1 gene:TanjilG_01653 transcript:OIW04480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREPSHSTGPSDIVSTTKNMPERPLSPPLVLNYRSRSQGIGARRVTPTTLTIATAGSTVEKLLPNGDFYVGRFSGNGPHGSGKYLWSDGCMYEGEWKRGKASGKGKFSWPSGATYEGEFKAGRMEGFGTFVGSDGDSYSGSWSSDRKHGFGLKCYANGDVYEGWWKKNMQDGEGRYIWKNGNEYVGEWKNGVINGKGELVWINGNRYGGQWNHGVPKKTQYWLKGNKLLMNENFGVALRKSGSSVTSVEVGRGSVNEKNFPRICIWESEGDDITCDIVDNVEASMYYRDGTVSDYNEVKQFQRNPCCGFASEVKRPGQTVSKGHKNYDLMLNLQLGIRYTVGKEASMTRELRRSDFDPKEKFWTRFPSEGSRITPPHQTAEFRWKDYCPIVFRHLRKLFQVDPADYMLAICGNAALRELSSPGKSGSIFYLTQDDRFMIKTVKKSEVKVLIRMLRSYYQHVSRYENSLVTKFYGVHCVKPIGGQKIRFIVMGNLFCSEYPIHKRFDLKGSSHGRMTDKPEEEIDETTTLKDLDLNYVFRVQRNWYQDLIKQIELDCAFLEAEKIMDYSVLVGVHFRDDNTCDKMGLSPFLLRTGNRDSYQSEKFMRGYRFLEAELRDRDRVKSGRKSLIRLGANMPARAERMTRRSDFDQYTGGGISHLNPYSSGETSDVILYFGIIDILQDYDISKKLERAYKSLQVDSSSISAVDPKFYSKRFRDFVRRTFVVDW >OIW04336 pep chromosome:LupAngTanjil_v1.0:LG09:19006219:19010704:1 gene:TanjilG_32528 transcript:OIW04336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLDVNSEQYATMSSNSSSSSSGGVNSSDNNVNSNSRAGTVTWYGMNLPSVRTLRSPISFLLENSGILTSAPDSEAVTVNNGVTGSELRSQLQTQSLHGGGASAAAVGTPCTNSAGEVAIRIIGSGENDHSVVGSGSLSSSSWNRRGEVGYGGYDDVVRDRDGMHGLVDGGGEDHGGISASERVPRVSSSSSLAGSGQVGGEAAGNGLESNGRELSSYQRYDIQQIAKWVEQILPFSLLLFVVFIRQHLQGFFVTIWVSAVMFKSNEIVKRQTALKGDRKISILVGISVAFMLHVMCIYWWYRNDDLLYPLVMIPPKATPFWHSIFIILVNDTLVRQAAMAFKCFLLIYYKNGRGQNFRRQAQMLTLVEYTLLLYRALLPTPVWYRFFLNKDYGSLFSSLTTGLYLTFKLTSVVEKVKCFFSAVKALSRKDVNYGVCATMEQVNAAGDLCAICQEKMHAPILLRCKHIFCEECVSEWFERERTCPLCRALVRPADLKTYGDGSSSLLFQLF >OIW04806 pep chromosome:LupAngTanjil_v1.0:LG09:12563477:12566898:-1 gene:TanjilG_23704 transcript:OIW04806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVVPVNEVWYAVVTGANKGIGYGICKKLASIGIVVILTARNEKRGLEAVETLKEFGLSDFLIFHQLDVTDPASVASLADFIKTQFGKLDILVNNAGTPGGIVDGENVLRRKRGELSDWNKIVRQSYELAEESVETNFFGTERVTEALIPLLQLSNSPRIVNLSSRMGVLQNIPNEWARRVIGDDIEKNLLTNKKLGEVLREFLKDYKEGSLKTKNWPIVVSGYTMSKAALNAYTRLLAKKFPHFRINCLCPGFVKTDINQNTGFLSIDEGAECPVKLALLPDNGPSGLFYFMDEVISF >OIW04550 pep chromosome:LupAngTanjil_v1.0:LG09:17556966:17559732:1 gene:TanjilG_13932 transcript:OIW04550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSIQSFKGYGKVDEVEQQAFQKKTRKRLIILIVSSIVLLTVIVAAVAGIIIHKRNTSSSSSSNSVPQPELTPAASLKAVCDVTQFPNSCFSAISSLPNANTTDPKLLFKLSLHVAIDEVSKLSSFISKLRDNEKIDPLLQKAIDVCGTVFEDALDQLNDSISSIGNSGAGRVLPSADISDVETWLSAVMTNQETCIDAVDELNSTASRGVLSELETATRNSTEFVSNSLAIVTRILGLLSRFDAPIQNRRLLGFEESEFPAWIGLAERRLLETNETASVDVVVAKDGSGQYKTIGEALKLVKKKSLKRLVVHVKEGTYVENIDLDKNSWNVMIIGDGKDKTIVSGSRNFKDGTPTFETATFAVKGKGFIAKDIGFHNTAGAEKHQAVALRSGSDQSVFYRCSFVGFQDTLYAHSNRQFYRDCDITGTIDFIFGNAAVVFQNCKIMPRQPMSNQFNTITAQGKKDPNQNTGIVIQKSTITTLDNDILTAPTYLGRPWKDFSTTIIMQTDIGSFLKPVGWISWVPNVDPVSTISYAEYQNSGPGADVSQRVKWAGYKPTLTDDEAVKFTVQTFIQGPEWLPDTTVEFESTL >OIW04114 pep chromosome:LupAngTanjil_v1.0:LG09:20614736:20615086:1 gene:TanjilG_00674 transcript:OIW04114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQELPVHQRADAVSSLVYEANARVRDPVYGCVGAISYLQNQVSELQMQLAVAQAEILCIQMQHEPIVMPNQEIMDLDHKSYLLHNELPQFLNFGSSSNVIHDSLKIENIFGHDMVS >OIW04204 pep chromosome:LupAngTanjil_v1.0:LG09:21265372:21267042:1 gene:TanjilG_00764 transcript:OIW04204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITKVLKVSLILVLLVIVYVEAHYENHDHNSLNNNGSSLVAIRFPDHPSYSDVSSSGDSDCGFSNSQQFGLSDDDNGGENEAFLAAKPHKQPLKLHLKHRPVNKDIEPKKSVIDFTAKDLTRIQSLHRRVIEKKNQNNISRLQKSKEQSNQPYKPVVAPAASPESLGSGYSSQLVATLESGVSLGSGEYFIDVFVGTPPKHFSLILDTGSDLNWIQCVPCLACFEQSGPYYDPKDSESFKNISCHDPRCQLVSSPDPPNELCKVENQSCPYFYWYGDSSNTTGDFAVETFTVNLTSPTGKSELKQVENMMFGCGHWNRGLFHGAAGLLGLGRGPLSFASQLQSLYGHSFSYCLVDRNSNTSVSSKLIFGEDKELLNHSNLNFTSFVDGVKENSVDTFYYVQIKSVMVGGEVLEIPEETWHLSEEGAGGTIIDSGTTLTYFSEPAYEIIKEAFMKKIKGYQLVEGFPPLKPCYNVSGIEKIELPDFGILFADGAVWDFPVENYFIQIEPDDVVCLAILGTPRSALSIIGNYQQQNFHILYDMKKSRLGYAPMKCADV >OIW05494 pep chromosome:LupAngTanjil_v1.0:LG09:242991:244328:1 gene:TanjilG_27624 transcript:OIW05494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQNIGAGNSDDAFYRYKMPKMITKIEGRGNGIKTNIVNMVDIAKALARPAAYTTKYFGCELGAQSKFDEKTGTSHVNGSHDTAKLAGLLENFIKKYVQCYGCGNPETDVLITKSQMIQLKCAACGFVSDVDMRDKLTSFIIKNPPEVKKGSKGKKAMRRAEKERLKEGEAADEELKKLKKEVKKKVPSSSSKTGAIKSTSSKKKGSGSDEDRTPPTHNQIEDKEISHDEDDDDDDDDDVQWLTDTSLDAARQRIQEQLSAVTADMVMLSTKEPEKKKKAASKASGDSANGNTKDKLAVEVKASLKKGIGAKELHSHLAALPGSAQEKMSVLFEALFEGVEKGFAKEAIKKKSYLAVAVAEDEGSQSLLLRAIEEFCCKSSSNALKEVALVLKALYDVDVLEEEPIVQWYSKGLKGDKKDSQLWKNVKPFIDWLQSAESETEEE >OIW04749 pep chromosome:LupAngTanjil_v1.0:LG09:14065722:14065907:1 gene:TanjilG_08632 transcript:OIW04749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNQRKRNFQIEAFKHRVVMDPKYADKTWNILEHAIHEIYNHNASGLSFEELYRFHPFPFS >OIW04642 pep chromosome:LupAngTanjil_v1.0:LG09:15290300:15296652:-1 gene:TanjilG_07777 transcript:OIW04642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGGGKIRKQLHLSKIYSFACGKQSFKGDHSQIGGRGYSRVVFCNEPDNFEVGIRNYADNAVRSTKYTIATFLPKSLFEQFRRVANFYFLVTGILSFTQLAPYTAVSAILPLIVVIGATMVKEGIEDMRRKKQDIEVNNRRVKVHKGDGTFEYTEWKNLSVGHIVKIEKDEFFPSDLLLLSSSYEDAVCYVETMNLDGETNLKVKQGLEITSFLHEDFKFRDFKATIKCEDPNANLYSFVGSMEFEEQQYPLSPQQLLLRDSKLRNTDYVFGAVVFTGHDTKVIQNSTDPPSKRSKVERKMDRVIYFLFCILFIMAFAGSISFGFITEDDLDNNGVMKRWYLRPDNSTIFFDPKRVPAASIYHCLTALMLYGFFIPISLYVSIEIVKVLQSVFINQDIHMYYEEADKPAHARTSNLNEELGQVDTILSDKTGTLTCNSMEFIKCSIAGVAYGRGVTEVERAMNRRNSPVINENVNVPESGDIKESPGKRASVKGFNFTDERIMSGNWVTEPYRVVIQKFFRLLAICHTAIPEVHEDTGNVSYEAESPDEAAFVIAAREVGFEFYKRTQTTLSMYELNPVSGKKVERIYKLLNVLEFNSTRKRMSVIVKDEEGRTLLLCKGADSVMFERLAKNGREFEEKTLEHVHEYADAGLRTLILAYRELNEEEYKEFDNKISEAKNSVSDDRETMVEEVSDKIERDLILLGATAVEDKLQNGVPNCIDKLAQAGIKIWVLTGDKMETAINIGYACSLLRQGMKQIIIHLETPEIRTVEKVGGKRAITKASRECVQNQISEGMQQVIASRGTSQQAFALIIDGKSLAYALDDNMKNMFLDLAVRCASVICCRASPKQKALVTRLVKSGTGKTTLAIGDGANDVGMLQEADIGVGISGVEGMQAVMSSDIAIAQFRYLERLLLVHGHWCYRRISSMICYFFYKNVTFGFTVFLYEVYASFSGQPAYNDWFLSLYNVFFSSLPVIALGVFDQDVSARYCLKFPMLYQEGVQNILFSWRRILSWMLNGFISAIIIFFFCTKAMEIQAFNDEGRTAGRDILGATMYTCVVWVVNLQMGLAISYFTLIQHIFLWGSVALWYIFLMVYGSMPSSFSTNAYRVFIETLAPAPSYWIVTFFVVISTLIPYFAFSAIQMQFFPMHHEMVQWIRYEGKTDDPELCEMLRQRSLRPNTVGSTARVAAKANHVRDRATDHR >OIW05362 pep chromosome:LupAngTanjil_v1.0:LG09:2008971:2011809:1 gene:TanjilG_28827 transcript:OIW05362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGYTSRTYVRSCSSLSEDQPKDNSVSDMLVDSFGRLHTYLRISLTERCNLRCQYCMPEDGVELTPRPQLLTKAEILRVANLFVSGGVNKIRLTGGEPTVRKDIEDICLELSNLKGLRTLSMTTNGIALARKLPKLKECGLTSVNISLDTLIPAKFELLTRRRGHEKVMDSINAAIDLGFNPVKVNCVVMRGFNDDEICDFVELTREKPINIRFIEFMPFDGNVWNVKKLVPYTEMMDTVVKRFTSLKRLQDHPTDTAKNFTIDGHQGRVSFITSMTEHFCAGCNRLRLLADGNFKVCLFGPSEVSLRDPLRNGADDRELREIIGAAVKKKKASHAGMFDIAKTANRPMIHIGG >OIW04859 pep chromosome:LupAngTanjil_v1.0:LG09:10979460:10982483:-1 gene:TanjilG_13699 transcript:OIW04859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTSLVANVLHRDLKPSNLLLNANCDLKIADFGLARTTSETDFMTEYVVTRWYRAPELLLNCSEYTSAIDIWSVGCILGEIMTRQPLFPGKDYVHQLRLVTELIGSPDDTSLGFLRSDNARRYVRQLPQYQKQNFSSRFPNMSPDAVDLLEKMLIFDPKRRITVEEALCHSYMAPLHDTNDEPVCPRTFNFDFARPSFTEEDIKELIWRESVKFNPDPPIY >OIW04459 pep chromosome:LupAngTanjil_v1.0:LG09:18579368:18580434:1 gene:TanjilG_01632 transcript:OIW04459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQNQLQPLPLLSSFLGSSSSPQEEVLLQNQKPRTCYSEAHEDVTVALHIGLPDNSSSVSNTNNNEVVNSSGQVPNNYWIPTQEQILIGFSHFSCPICLKAFNRYNNLQMHMWGHGSQYRRGPDSLKRTHPRPLLDIPCYCCSSGCKNSIEHPKAKPLKDFRTLQTHYKRKHGIKPFTCRKCGKSLAVKGDWRTHEKNCGKRWLCICGSDFKHKRSLKDHIKAFGFGHSPFSSSDAM >OIW05339 pep chromosome:LupAngTanjil_v1.0:LG09:2360538:2363367:1 gene:TanjilG_28804 transcript:OIW05339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAGTMCEFSKKEFIDGLQSLGVDSLEKFREKIPYMRSELKDEQKFREIYNFAFGWAKEKGQKSLALDTAIGMWQLLFAEKQWPLVDHWCQFLQARHNKAISRDTWAQLLEFAKTVGPNLSDYDAEGAWPYLIDEFVEYLNETGIIQK >OIW05172 pep chromosome:LupAngTanjil_v1.0:LG09:5454823:5456693:1 gene:TanjilG_19803 transcript:OIW05172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKEENTTCVIGDRSTSDVVVRLRTQEGRDDWLYCHSEILVKKCKYFADRLSENWPTCQILDSRNCVDVYCQELDFDYHVNLIRLLYVVIDGSVDDLWHGVRNALGILQVAVELGCPQIITACVNYLEAATWEEAEEEEILKIVPRLGLQAEPVLARLQPVNQSAIVNIFVSAIRFATSSPPASMSDLKSSTQEQLEYMITEDDDAPLLTADDNIKHEVKECVNRLFSRFNNSLIVLSCDSTEPLSDAENIQLFRSYLTDVSWICQILSKLEIMRELVEYWFDASEKIVKVLEQGSSTIEVIEIKLRAIEVFSKVLEAIGYGTVILPTANRLQIMKVWLPFVRVTKPLIDSATFNSENAMTLKIDGELWQSLESSFVSIILALPSGDQAEVLTEWLGNEYIKYPDLTEAFEVWCYRSKVARRRLSLIEDEHAMTNSI >OIW05058 pep chromosome:LupAngTanjil_v1.0:LG09:7258057:7262380:1 gene:TanjilG_02765 transcript:OIW05058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTESDYGRNSSEVEMENLTVPPGFASLTSFFLKRVEKVKKTDKSETVSASEEKSEMNSITACKDRPWILLDESNQKPEESRTEHLPMDPPINARRVKVTRRCPKCSNFLKVTARWHPKDARKEVLEEAPTFHPTEEEFKDTLKYIASIRSTAEPYGICRIIPPLFWKPPCTLEEKNIWEFSEFVAQIQRIDGHQVQHAQETMTSSSENTKTKRRKGTPVASDSQIENEISCTTNYQNLEDCVCESVAGPKFSLKTFKKYADEFKTQYFNNTDKNIVTNSDINLAIPQNQWEPSVENIEGEYGRIVQNPTEEIEVLCGNTFDAVVLSSGFPTVSDDSEACTFPEYLKSGWNLNNILKLPGSLLSFESSEASRNFVPRINVGMCFSPLYWRVEEHQLYSLSYMHLGEPKVWYCVPGSFALNFEAIRKKYLPDLCAEQPDKNHNLVMQLSCSILKAEGIPVYRCVQYPREYVLIFPGAYHSGFNCGFNCSEAVSFAPLEWLLRGQNVVDLYCEKRRKTLLSYDKLLLGAAREAARARWEVDLRMMRTPQNIASKDAYRRDGILAKALDSRIRSESLKREYLCISLKSQKMDGTFDATDKRECSICLCDLYFSAVVCSCSEDKFVCPDHAKQLCSCNWSKKTLLYRYEIGELNLLHQALDGKLSSVYKWAKEDLGLSMTSVAPKDRKHLPQNVSSSTPASQDLKMKEPKSKTVSDADSKRKERLLQAITNASKRKQNEVESQVMGTSTDPFSSSSKMKVKEKILGFQSAKTCIGGGVNSADTKPDMKTLGGGKFSISKKVEPKVTYPVSSVANSHFLTLVQEGKLVEISSDSSCTSSSSESDD >OIW04386 pep chromosome:LupAngTanjil_v1.0:LG09:19464653:19466670:1 gene:TanjilG_32578 transcript:OIW04386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMFLANSSPISVSLSSRTKLLPSSLSSSVNGSSGVRFSNRNVGCKIKVHASKEANNGPVLDITFEPFEEVKKEFFNIPTNPHVSLARQKYSDQCEAALNAQINVEYNVSYVYHAMYAYFDRDNNMRGGRVMLQSMLMPLSEFDHAEKSDALNAMELALSLEKLNNEKLLNLHSIANEKNDTHLVDFIESQFLVGQVEDIKKISEYVAQLRRVGKGHGVWHFDQMLLNGGVAA >OIW05166 pep chromosome:LupAngTanjil_v1.0:LG09:5498011:5498290:1 gene:TanjilG_19797 transcript:OIW05166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNLNGTYHGPAIPPPPLDYDCCCGYIFNLIFKVILGVIVFLFWLIVCPNKVKVAGVTDGSWF >OIW04069 pep chromosome:LupAngTanjil_v1.0:LG09:20294059:20295030:-1 gene:TanjilG_00629 transcript:OIW04069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNHWWAGNVARRQTEPNSSSSSPPLQLRNHSSVEEEQVDQIRVKPRKEQELMDKNIGNNNNLPTPTDSNNNATEDDENNDRDDNNHGTPDQGGGSGRRPRGRPPGSKNKPKPPVVITKEIPNALRSHVLEISSGNDIAECIATFANRRHRGVSVLSGSGVVINVSLRQQAAPGGVVTLHGRFEILSLSGAFLPAPSPPGATGLTVYLAGGQGQVVGGTVGGPLVAAGPVMVIAATFANATYERLPLEDEPGEEDMQLQQQKQEVNEGGDGTPVSQGLGENQQQVPMPVYNLNPSLVPPNGQIPHDVFWGAAPRPPPPPPPSY >OIW04187 pep chromosome:LupAngTanjil_v1.0:LG09:21123518:21124858:-1 gene:TanjilG_00747 transcript:OIW04187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAFTHSIQNSGFRYNPNLNKSDAYDDAEFQGILDIYVHHARNIHNICIYDNQDVYTKFSLTYNPDETLSTRIINGGGKNPTFNENLRMKITQNDAVLKCEIWMFSRSRNYLEDQLLGFSLVQISQVYGKGKVTEDYSLSSTDLFHSPAGTIQLTLSLDTSFDVNSSMILVSESAKNSSISSEVVLLDRKISQVMLDQVDEYARNEFPDISVVEENEQMVSEYFNFAARGSISGSMSIPFFHLCASPELDDCEMTMNSPVESISQNESIQNSVFLSSTTTTLSDDKNSAELAEKKNHLGGVSSTSVNVSIIVEGTQNFGASPDTPTSKKESDAKEGNFSSKEKESKKDNNFIESAKFGEVFSAPLGNINIEAEQNFMQQQIVDMYMRSMQQFTESLAKMKLPMDLNKPEIENHGDVIQVHDNNKLDIDKNKKKDGSRVFYGSRAFF >OIW05252 pep chromosome:LupAngTanjil_v1.0:LG09:3775863:3778365:1 gene:TanjilG_03641 transcript:OIW05252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRALWSCFGTKGRDESNNDTNMVDETEENDKEGPVLVEMFTSQGCATSPMADMVLSRLGRGDFRLEMPVVLLAFHVDYWDYIGWKDPFGSSQWTVRQKAYVESLGLDSIFTPQVVVQGKSQCIGNDENALIDAITSAPRFPAPSFQATFNRPTSDSLEVSLTGALKNKVDNNGADVMVALYETGLVTDCPRGENKGRVLSNDYVVRKLENLCTVKDHPAKKTVSGTINFPLWSGFKSCKCGIAVFVQSSSHQILGSQSFHLPDDI >OIW05328 pep chromosome:LupAngTanjil_v1.0:LG09:2524372:2526169:1 gene:TanjilG_28793 transcript:OIW05328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTFNSSNSLYDFVVKDGNGVKGIVDSGLKEVPERYIQPPHLQINKLDSSTHILPPIDLSKLNGPEHDQVVDQIVSASETLGFFQVVNHGVSIELLESLKDAAHTFFNLPPENKAIYLSGVSPSPTVRYGTSFAPEKEKSLEWKDYISMIYNNDEQALQYWPDQCKEVALEYVKLSSKIVRDLVEILIGELGVKLEESKIDGLLGRRVVNMNYYPACPNPELTVGVGRHSDIGAITVLLQDGIGGLYVKVEQDNDSENEEWLEIPPIHGALVINIGDALQILSNGKYKSAEHRVRTTNTQSRVSVPIFTLPQPTERIEPLPEVVKKDGLARYREIVFQDYMKNFFGNVHGGKKSLDFARINAA >OIW04325 pep chromosome:LupAngTanjil_v1.0:LG09:18904477:18904886:1 gene:TanjilG_32517 transcript:OIW04325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEIGSSSSSPLSNHKKVSLVSRMRKCCLSFAASLQENFRYVKAFFVGQVKTITSRNEQEASAAELEATKMQVEAADAAEDIKNKLNKSA >OIW05206 pep chromosome:LupAngTanjil_v1.0:LG09:4976688:4977962:-1 gene:TanjilG_14759 transcript:OIW05206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGDIRTNPSSSSIFVYNSRSMNNVSRSVEGRKGEEDEKLQHEKIRPYTRKWETSVMDTIDELNLISSRMSLGKSHGCDVQHDVPAVFFSNGGYTGNIYHEFNDGIIPLYITSQHFDKKVVFVILEYHNWWIMKYGDILSHLSDFPAIDFRGDNRTHCFPEAIVGLRIHDELAVDPALMEGHKSIVDFRNILDKAYWPRIRGLIQDEEREAQEKSREQVSSSPSLQASQQQYIKQQVQENPSTKPTLVILSRTGSRAITNENLLVQMAEEIGFRVEVLRPGRTTELAKIYRVLNASDVMIGVHGAAMTHFMFLKPGSVFIQVVPLGTIWAAETYYGEPARKLGLKYIGYEIQPRESSLYEVYDKNDPVLTDPASINNKGWEYTKKIYLDSQNVALDLRRFGKRLRRAYEYRVSESNMNLQHMQQ >OIW04593 pep chromosome:LupAngTanjil_v1.0:LG09:16725322:16727411:-1 gene:TanjilG_18070 transcript:OIW04593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAPCCSKVGLHKGPWTPREDALLTKYIQAHGEGQWKSLPKKAGLLRCGKSCRLRWMNYLRPDIKRGNITPEEDDLIIRMHSLLGNRWSLIAGRLPGRTDNEIKNYWNTHLCKKMRNQGADIEEEAPSVNKKRKRNSSSKKKNKQRKDKKNKDTNEETQKTKVYLPKPIRVKPLTLPRTDSTFTLDSNSGSGASSSQDNNNNKVESPEGTKDLMNIVCEVGEENDGFDFLGEENDIVNESDVVECQPYFPKDHGNGTLEKLYDEYFQLLNMEDYCQYELDLDYFAESLLV >OIW05046 pep chromosome:LupAngTanjil_v1.0:LG09:8024549:8026029:-1 gene:TanjilG_18645 transcript:OIW05046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATTTTTTLSSILYTKHASPQHTTYHRNISTRLFCFHGYYGHKKRTTTSTRTKPLVVVAVTQGSAESSKSSDEKIPSWAKPDSNEPPPWAKDETNKNSENNNNIGGFQIPFYVYLLASAITAIAAIGSIFEYVNQKPVFGVLSSDSVFYAPLLGFFAFTGIPSSAFLWFKSVQTANKEAEEQDKRDGYK >OIW04767 pep chromosome:LupAngTanjil_v1.0:LG09:14255705:14257394:-1 gene:TanjilG_08650 transcript:OIW04767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQQNMQNQCVHFVLSTDAKPRLKWTPELHQRFTDATNQLGGPEKATPKSLMRVMGVPGLTLYHLKSHLQKYRLGKTQQVETCSENKQDYKEIKSSDDHCSKEINVGAQNQIAENLQIAKALQMQMEVQRKLHEQIEVQKNLQLKIEAQGQYLQSVLKKAHEALGGYNSSKVGIELTKAELSHLVTIINNASPISELTETKGLSLSYGERKQDRGTMCSLESSLTSSESSERKEVKNPMEFNTIALEMPLMEIKHGGKGSNGRKRSGETDSDGSCVEQPCGKKCAKNLEKPKLLELIDLNNQYDCDMGSGSKTTLDLNCSSSFWET >OIW05479 pep chromosome:LupAngTanjil_v1.0:LG09:429114:429463:1 gene:TanjilG_12070 transcript:OIW05479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTHLKTVKLTLKGKNPVTLDHLSVRGNNIRYYILPDSLNLETLLVEETPRVKPKKATAGKALGRGRGRGRGRGRGRGR >OIW04142 pep chromosome:LupAngTanjil_v1.0:LG09:20844204:20848680:1 gene:TanjilG_00702 transcript:OIW04142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFEKSGQQWRWCFVIFMGMVAVTVNASNTHNNKVSRIAFGSCSNQSAPQPVWDAVIDFHPQIFIWLGDNIYGDIKRPFKLFGRERTIGPWKNAPRFIPSSEDEMEAKYRKAKSNPGYAQLKENAEVIGTWDDHDYGLNDAGKEFHRKIINQKLLLDFLDEPQDSPRRKQAGVYASYKYGPAGKDVQIILLDTRYHRDPVGSDGTILGNSQWSWLERELKDPAIAVTIIGSSIQVISNISATVHPLFSMESWAHFPKERDRLFKLIADSKRGGVFFISGDVHFGEITRYDCAVDYPLYDITSSGVTQSVEGVVPPFLHFLVRFVAWLTPSTMRVKGPDCRYKSCVYGQPNFGTIEIDWDSHPVTLKLKVRDKNGIAVTGINTSLVELHASNSEDSVKTGHSSRHCTLEVSLPWIIRYRLAILVFITLAVLLVAFIGLVYAVITVFRKGVCKRKHE >OIW04199 pep chromosome:LupAngTanjil_v1.0:LG09:21229127:21230904:1 gene:TanjilG_00759 transcript:OIW04199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTQCLLHQHALTTFVRPTSSSQRLVPNTKPNHLVCKAHNNGDQSSIVSRRLALTVLIGAAAVASKVSPADAAYGEAANVFGKPKTNTDFLPYNGDGFKLLVPAKWNKSKEVEYVGQVLRFEDNFDTTSNLVVTVTPTDKKSIKDYGAPEEFLSKVDYLLGKQAFFGETSSEGGFDANAVAAANVLETSAPVVDGKEYYFLSVLTRTADGDEGGKHQLISATVKDGNLFILKAQAGDKRWFKGARKFVESAASSFSVA >OIW04477 pep chromosome:LupAngTanjil_v1.0:LG09:18384268:18392967:1 gene:TanjilG_01650 transcript:OIW04477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSGLAAFLNFGSDNRKKRRKKSHSNANADRKNISKCRGPIIWTETEEYFRDLALPDIDTLFDASSSPSSLVARECFSIPVVKESGPKDNVVVSSENENGNDENVDSVAVKNEDGFVGVDSLNDKVDSVNDKDQATYDNSDCAVSLEWLLGCRNKVSITSERPSKKRKVLGDDAGLTKVLITIPHDGNLSYCHYCGRGDSDLESNRLIVCGSCKVAVHRKCYGVQGDVDGGSWLCSRCERMDDISEIANPCVLCPNKGGALKPINISGEGAESFQFAHLFCCLWAPEIFVDDLKKMDPIMNVSGIEESRRKLVCNVCKVKSGACVRCSHGPCRLSFHPLCAREARQRMEVWAKDCSDNHSDFQEKSSILLSGDSVATGSEFSDASVLQVSFPVNNENNLKSGCRNRDNTGAISDGSPDKLNHNEPEDGISRLNAHKVLGCDAEQQLYNIGMVGRTNENASASDTLKYALVWKKLIERGKIDVKDVALKIGIAPEELTPNIYVMIEVYHVEFVEKDYLRVLCFLSIFVIVDALILSLQEACMDPDVRTKIVNWLKAHVYTNACHKGLKVKFKPASSSKDEDGATDGSDTSLISDPGLLDPVAVMSVVAPRRRTANNIRILKDNEVICSSEAVSSENGMPVDKCIVGEPDCGNPGTSNEVSIPEVSEKNLITSQDTLPEVQGCADEVEHSSLAGCISDEKLTICLLKPSMPSEHYLPVCSNSEVPDSGSIRIEATSSYVHPYISNQLIQLHNSVLSEDIICNQHQYLTCLDDCKSDQMNMEQLVRAREMGVFKYSPEDEVEGELIYFQHRLLQNAVKRRQIADNLIFSVAKSLPKEIDTAHQQMWDAVLVNQYTCDLREAKKRGRKERKHKEAQAVLAAATAAAAAPRVSSFRKDSLAESVQQEVAVHLDCYGSVKETTGPWYCELCGDLSSRNSGASATNSMEKPYLIAECALCGGSSGAFRKSSDGQWIHAFCAEVAAEAQKHGMEELKSFRQIRVELERLRLLCERTVRREKIKRDLVLCSQDLLASKRDHVARSELAQRSFILPGGSSESATTSLKVNTEGNRSCSEVVQRSDDITVDSSVPDKNHAGVAVSMDTDPKLDDDCSTSPSNYNHKIPEKLQYFGKQVPHRASAISHKLDDGGWRSKSRKHAETFGKELVMTSDEASMKNSMLPKGYAYVPADCLSNDDMLSNQDVDANGSVEHDG >OIW04953 pep chromosome:LupAngTanjil_v1.0:LG09:8789104:8802296:-1 gene:TanjilG_01149 transcript:OIW04953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEDVGDYVNDLCFDDEEIESDETIDPMILLGETKKKRRERKLNEKLLALSATIPGLKKKKMDNTSILDKASNYVKELQEHVRELEQEVGSQSCKNNETSSCIEVNSDYYGEPNNHDNIILPEVRVRVLHKEVLIIIHCEKQKSIMLQILSHLENLHLSMVHNSVLPFGKSTLEITIIAQV >OIW04733 pep chromosome:LupAngTanjil_v1.0:LG09:13587674:13590020:-1 gene:TanjilG_08616 transcript:OIW04733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSVYLNRNPTAKAILDLVHSAENNSLCYDHLAFRTFGVNGYGIDSMASYFLDYGYTQQDELRFPGKKLRALWFSPPDDSLAGTGSGINGPLPRIFISELLVDQMSPKTQDIIKKYTGSSGKGNKYAALASSLGLLTWEKPLYSEFQQLASESEYAAWTLVNGHALNHVTISTHRLKTHLRDITKLNQFIEENGFRLNSEGGVLKVSPDGLLQQSSTVADSVSFQFADGPTESVPCSYIEFAERLVLPQFKNLPDTEVKEFHRRDGFEVASADKIFESTSKEQLNRVGS >OIW04166 pep chromosome:LupAngTanjil_v1.0:LG09:20996547:20997505:1 gene:TanjilG_00726 transcript:OIW04166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDVTARLTYKNVPTRHRDLCRVCENIPIVLCGNKVDVKNRQVKAKQAYVPENPESVSEFEAPASPESSYGQAFLAEEDFAKEPMAVPSQLHLTVLGMDNTDLVSSSKPQHVVLNHVSIEKNMASKSVVALGLTHRFKSKYVTVVLYKPLKR >OIW04540 pep chromosome:LupAngTanjil_v1.0:LG09:17644023:17644748:-1 gene:TanjilG_13922 transcript:OIW04540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSNDTITTKCNKRKIEIKKVEPNNKRHVTFTKRKLGLFNKVTELSILCQAETAVILSSQQGKLYTCGYPDPDAVIRRFLTDGSPVQRGRAGKKQQQELVETLRLEYEDTHKMLQEEKKKLDEIKEAHNGRLDFPAWWNKDIEKMGVEDLENFMASLEILKLNLVATAEGMKLNSRTPMIPTPIDPRAMFSNTTATDPRAMFSNFNGCFSGNHQAWNSMNGSSSSSRNPLVTKFDLGHY >OIW04131 pep chromosome:LupAngTanjil_v1.0:LG09:20733967:20734323:-1 gene:TanjilG_00691 transcript:OIW04131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIYSKGMVVFGVGILWVISFCCMKTTEADGIEKIDDAGVTLHAIRREDPTVGCNSHSDAGQECSGEDDKLGLYSDVDDTFKVVKNVAVNDKFQQGSSVDDDDDSPDILHNNVDVLGH >OIW04968 pep chromosome:LupAngTanjil_v1.0:LG09:8661460:8662947:-1 gene:TanjilG_01164 transcript:OIW04968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSKCTGHKNASLTSSTNDSSSILIHNEKDKLLDGLLVSGFDEASCISRVQSHSFRKASLHKPARYLISKLRDYEELHGKCGPYSRAYKRNVKHLQSKKNIGAAMCKYIVWDPSNGLGNQMISIVSSFLYAILTERVLLVRFGADMNGLFCEPFLNSTWELPKNTPFWNERRVETYQTMLKKDKANNISKEALPSTLFLNLQHTIDDPEKLFHCDYNQDLLRHIPLLILQSDQYFVPSLFMNPSFNLEINKMFPEKDTIFHHLSRYLFHPSNEAWGLISRFYQTYLAEADEKIGLQIRVFSPNTTPKQAIMDLVLNCTISNNLLPELDTKNPASSYTRNKTLNAVLVASLYPEYGESLRAMYLNKPSISGELIGVYQPSHEEKQKFHDNMHNMKAWTEIYLLSLSDVLVTTSLSTFGYVAQGLGGLKPWLLYKLISNDTHFPACERDFSFEPCNHIPPKHYCNGKTIKDYASSFPFLKGCIDYSIGVKLVNDSAT >OIW04315 pep chromosome:LupAngTanjil_v1.0:LG09:18801449:18802582:1 gene:TanjilG_32507 transcript:OIW04315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAFTNLSRWLWSGKHQEPRTPNVSSINSSADSNVWESDNLRFPLVRQENAGSSSRRVRRKWRSREDRKIDREFDVVLVPSDGGCVSGSESDDSDWSIGWLEPHGPGFPSDDETDNSFAVLVPCYGRDSGRMVEGPKSNLPSSVGNFPHSYSDGKSNSINIYESYLYADRARNMWKTGSLLFGIPDAQISTSASS >OIW04952 pep chromosome:LupAngTanjil_v1.0:LG09:8807538:8815547:1 gene:TanjilG_01148 transcript:OIW04952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTMETKSQNTMGNNMPSNQVGPSNKPPDQGLVMQPQVHNPGQQQPVPLPNQSQSRQQILSQNIQNNIAPQPNLPPVSSLPQTPSQNIGQNSNIQSIAGQNSVGSTMGQNSNMQNMFPGSQRQMPGRQQVLSQQQQQQSQNQQQYILQQQLFKHKLHLQSQMQQQQQQQNLLQPNQLQSSQQSVMQTSSILQPSMMQASSLSSLQHNQQANNVQQSTQSMLHQHPQVMRQQPQQTSAVHQQQTPMNQQQMLPAQKQQQQLMGSQSNATIMQHAQMLGAQNNVGDIQQTQRLHSQQNNLTNLQQRQQQLMNQQNNLTNMHQQQFGNNAPGLQQQQMLGPESGNPGMQTTHHSTHMKVPNPQQSQQHATNLLPPQAQQSQTQGAQQQLMPQINTQSSQLQQQFGLPQQPNSLQRDMQQRHQTSVSMLQQQNTIDQQKQLYQSQRALPETSTTSVDSTAQTSQSSGVDWQEEVYQKIQTMKESYLPELTEMYQRIAAKLQQHESLPQQPKSEQLDRARAFRTMLEHIIAFLKVPKNNISSNFKEKIGSYEKQIINLINANKPKKGMSSLPGQPPPSHMHSTSQPQPQVSQVQSHESQINPQLQPTNLQGSVATMQQNNMPNLQHNSMSGVSAAQQNMMNSMQSGTNLDSGQGNPMNSLQQVPLSSLQQNPISTPQQTNINSLSSQGGVHGIQPNLNTLQSGSSMLQHQQLKHQQEQQMLQSQQLKQHHQRQLLQRQQMLQQQQLHQPGKQQLSGQLQTHQMPQLYQMNDINDIKVRQGTGAKPGVFQQHLTSGQHSAYPHQQLKPGGPYPVSSPQLLQAASPQILQHSSPQVDQQNNLSSLTKVATPLQSSNSPFVGPTPSPPSAPSPMPGDSDKPIPGFSSISNAANIGHRQTVGAAAPAQSLAIGTPGISASPLLAEFSGPENALAATSGRSTVTEQPIENLIRVVKSMSHKTLSAAVSDIGSVVSMNDRIAGSAPGIGSRAAVGEDLASMTNCRLQARNFITQDGINGIKRMKRKTSAIPLNVVSSAGSLKDSILEASDLESTATSNVKRPKTEQANHTLLEEVREINNRLIDTVVEISNEDVVPTAAAAAAAEGAEGTIVKCSFRAVALSPTLKSQYASMQMSPIQPLRLLVPVNYPNCSPILLDKCPVESSMENEDLSMKAKSRINISLRSLSQPMSLGEIAKTWDICVRGTISEHAQQSGGGTFSSKYGTWDDCSD >OIW04285 pep chromosome:LupAngTanjil_v1.0:LG09:21707984:21708757:1 gene:TanjilG_00845 transcript:OIW04285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFSVIFILSNTLLLLSSSAFAKTASPPSLSPTPAPAPAPDFVNLTQLLTVAGPFHTFLNYLKSTKVIETFQNQANNTEEGITIFVPKDKAFSDLKKPSLSKLTNDQIKQVALFHALPHFYSLADFKNLSETGSTPTFAGGDYTLNFTDDSGTVHISSGWSITKVTSAVHSTDPVAIYQVDKVLLPEAIFGTDIPPVPAPAPTPDIAPAADSPTQQSSDSRSSSPSLSHDFSSSYKIMSYGILANLALATFGVMLIL >OIW05484 pep chromosome:LupAngTanjil_v1.0:LG09:365064:372956:-1 gene:TanjilG_12075 transcript:OIW05484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEPEEIEPPPAAVPFSQLFACADRFDWFLMFVGSVAAAAHGTALVVYLHYFAKIIHVLRMHSQEGTSEEQFERFSELALTIVYIAAGVFTAGWIEVSCWILTGERQTAVIRSEYVQVLLNQDMSFFDTYGNNGDIVSQVLSDVLLIQSALSEKVGNYIHNMATFISGLVIGLVNCWQIALITLATGPFIVASGGISNIFLHRLAENIQDAYAEAASIAEQAVSYIKTLYAFTNETLAKYSYATSLQATLRYGILISLVQGLGLGFTYGLAICSCALQLWVGRFLVIHGKAHGGEIITALFAVILSGLGLNQAATNFYSFDQGRIAAYRLFEMISRSSSSVNHDGTALDSVQGNIQFRNVYFSYPSRPEIPILSEFYLTVPAKKAVALVGRNGSGKSSIIPLMERFYDPTLGEVLLDGENIKNLKLEWLRSQIGLVTQEPALLSLSIRDNIAYGRDATMDQIEEAAKIARAHTFISSLEKGYDTQVGRAGLALNEEQKIKLSIARAVLLNPSILLLDEVTGGLDFEAERAVQEALDLLMLGRSTIIIARRLSFIRNADYIAVMEEGQLVEMGTHDELLSRGGLYVELLRSEEAAKLPKRMPIRNYKGTAAFQIEKDSSASNSLKEPSSPKMLKSPSLQSRPNVSRATGGIFNSQESLKVLSPPPEKMMENGRAVDDAHKEPSIRRQDSFEMRLPELPKIDVQSVQQQTLNDSDPESPVSPLLTSDPKNERSHSQTFSRSHSHSDDGSVTLREGKDTRHRKPPSIRKLVELSFAEWFYAVLGSIGAAVFGSFNPLLAYVIGLVVTAYYRIDEDHHLQHEVNKWCLIIGFMGIVTVVANFLQHFYFGIMGEKMTERVRRMMFSAMLRNEVGWFDAEENSADNLSMRLANDATFVRAAFSNRLSIFIQDSAAVVVGLLIGALLHWRLALVAFATIPVLCLSAFAQKLWLAGFSRGIQEMHRKASLVLEDAVRNIYTVVAFCAGNKVMELYRLQLNKIFKQSFLHGMAIGFAFGFSQFLLFACNALLLWYTAICIKNGYIDPPTALKEYMVFSFATFALVEPFGLAPYILKRRKSLISVFDIIDRVPKIDPDDSSALKPPNVYGSIELKNVDFCYPSRPEVLVLSNFSLKVSGGQTVAIVGVSGSGKSTIISLIERFYDPVAGQVILDGRDLKLYNLRWLRSHLGLIQQEPIIFSTTIRENIIYARHNANETEMKEAARIANAHHFISSLPHGYDTHVGIRGVDLTPGQKQRIAIARVVLKNAPILLVDEASSSIESESSRVVQEALDTLIMGNRTTILIAHRAAMMRHVDNIVVLNGGRIVEEGIHDSLAAKNGLYVQLMQPHFGKAMRQHHRLV >OIW04649 pep chromosome:LupAngTanjil_v1.0:LG09:15447916:15452712:1 gene:TanjilG_07784 transcript:OIW04649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKSTSRPSSAADPYTDSFVSLITKSEIRYEGVAYNINTEESTIGLRNVRSLGTEGRKKDSQQIPPGVKVYDYILFCRSEIKDLQVKPLPPVQPTPQFNNDPAAIQSHYPHPVNTSTSLPSVASASLADHSSHATQLGNPGSNFQGPSPLYQSEGNIGSWGISPSTPNANGGGLTMPIDSQGYYAAPNGVPHLHQSLLPLPFGSSIPSSFQQPMQYSDFSPSLPTGSSNLPELPSSLLHEAWACPYHSALTNSGSDIKAIVWPLSIKPNALYCSSSTYETVPQLSLAIVGSTNSISTETQAPSLVSSRSSPEPSLLVFAENQPPILPLAVTSWPFHMPGGAPIQIHHGYSERRRGTGVWHPVIKFTQDSDFMAKKEKLKKDGVRGHLDKEENAFIEDGDAFFDPLSSNALDQASQNGRTKYSEQIKNDTETIGDFVSHRGGRGGRDPSNGGRGGRGPSNGGRGGRGPSNGGRGGRGPSNGVRGGRGPSDGGRGGHGPSDGGRGGRGPSDGGRGGRAPSDGGRGDRGPSTEGSGAPPSRGGRGGRGPSHGGRRSDGPSRGGHHCRGGYAGTGRSMPSHSS >OIW05069 pep chromosome:LupAngTanjil_v1.0:LG09:7091780:7111730:-1 gene:TanjilG_02776 transcript:OIW05069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVDGGGGGSGGGVVLNLQAKVTNEAKMKELLHRITSAEIKLCSDAIKEFIRLLKGDNGGELLREYVHGSPKCSELLESWKLRQGKPGMFYIFELISCILSHHEGKYRNNDVHSMFVRKDLDKFARLIIDEYLGDVYKELSSKEMKRQKAALLLMASVVRRGPSLAYEVSKTFDFKLAEFAKLAGYKPRWNDEKRSRGLLRKSFVGFAMSFLEVGKPGLLRWVLQQRDMFSGVLRGLENDDDETVVFVLSTLRDRVLVEESLVPPGLRSVLFGSVTLEQLVNICGREGGGLAAELAYNILITVCTDPSNGLMPDLKKRPNPLRGNPKRIMGLMKKLRATEVQYHRDLLLAIVNGRHSFGLSYLKEFPYNIENDTSSSWISAISVAANLVSSVGDGLSKEFTSSQSNDPHSFDKMDLHNNMKCLFPRPFSRSMFNRGLLHTNFLVKHGIVKLLLEILKLLDSLFGCLNRSSSSSNSFMQHMVSIKQEIQNYVQAFLPDPQVLLNLLSPLKARNSSLKRPACHLEHSGNSRQKLKKDTFESDIDIVVGGISSAPDIDLTGNNGIADIAVRESELDDEEDLMNTMGEIWGVDLHSMAFSTPKDAESYLHSKLLDALRYYRRTMPFTLDKAIESFMDFLKTPLELTSNLQVSLLSLLVEYVEWCPDNEIPIRTPPMFYKHLQTFIKLLMFSPVNGVRDQAYRLAVAAMFSTGAFDKNLHEIGKWFLFLPGYHIKESPINILDVEALQSLCSIVISFLCDAVSTLGNNLVRYWDILMNHVHCLESGKDLSPDFSPFIICVLEKCLQVIRPKSGTCLLSRKSMVLLYTCNTVKYLLQTQVDAKLLSAVVNADLTERLDGNYEDVEVLPEWKPLKNLVDYVQSISCQQNCGLLSENEESASLDGSLGSALGSVKRSLSCGAGHEVAETTIAFISSIISEATDKRLTKLPSGVAIPQDLLTVPFSLLSSVIFLDHSVLLHASKLWPVMFYAGLDMALSDLGSDSRNAAPIGTPDLTLCPDPLTCNQLLHGSEADAAAFSIFLKQAPFHVLFPAMMCMNGPSISKISKMQELLLHKLSESTSDCSLLPNLQLVLFWIHRIQLWCKANPLAEIEEHLNLCVIIVGNLLAQMCVPYSGSDWATNSAFHSSSHDIQQAIKTIFSHPSILMSLSFPLGSSQNFANGNIGNDFDMPNIESSEGFNKLSNPILNILTMTLDYLWSLCDSHLCASEAQDVPNNFVKAFKSLQQKLFLDVRHRFELCICAKDMKPLHPTLYALHALVRFLSPFQLLELVGWMFSRVEVDDLPCKKSMLSVACSVAAGAFRTLSIYFQQLTGSRAPYDLFWEMGENNMKADIFEQIYCKVVDFSVNFEIDFADRCLLEAVNALYKQKHMQQEAFHPLVLVLWKIIMITPVKMVSQCVYKTNAKKAKFLYILNEMSSLQSSLFGHLFLGIVNRSLHHDTGLTGQAFDLNLSEDQFMLLLPTSLSYLSLISRRLGKQNCKDFNHLPYFYSKILFKGFSQWKSFLSKDIFEEECGEFIPSSVQQLLCLFNDSLLGKSIHMLQYHFALNGDSVKMKMRLNLFKSICPISASQDELMDCDSEVIDSYSLRQSLSIIYRAVAKIFLCKILLFHEETGEELEEVSVEMQKKLKASRIRFMNILVDIWQFSVKKFPLTSNQSGIGESTDISLLYNHLEIFVLKSILDLTVEIHDDLIQLQSIPFLEQLIRSALLHRFGDPATMKTLHDIFTQLNDGRLSYDLHLQLLLSHSQFAPTLHSVRRPAGSFLKPISSILQCLVIPSLDHYENDEKHKEQTNELYRGPLEIIKLLEILLLTKARQTGLDSRNDIGINLKELHALLCHSYSATLSQTDLAIYNMMRDIESLCGLQSLDVTDLECFWGVDALKSKIEHPLKKSMSSKIKYDSEAIEEWSTSQHRDSIPIDPEICVSTVLYFPYDRSFSDELPFVNKIEEDIVWKKICSSCVEVREHYDPVFILRFSIHSLSKAYIEPVEFAGSGLLAIAFVGMSSPDHGIRRLAYGTLDKFKNALEKCQKRKDVTGLRLLLNSVQNSIEEPFQRIPSVIALFVAEASCVLLDSSHEHYSAISTLLIHSSKLNMRAVPLFDNFFWSISVNFKAERCWMLRLLYAGLNSDDDAMIYIRNSILENLMSFYVSPLSDIESKDLIIEVVNDVISSGSLSKWLGNYGLEQLMDLSSNLFNFLLHDIKLLNESAGLVNPFLQMIASMLKLSQKRKIFQPHFTLSIEGIYQIYQAGSICDQTIKSINPECALEAILMNSPPVSIFLMDVERLESFLIWAITTAIQSDSSRRLRSNGSHIFSANTLWEESHENSLVSKFLRWLAASIIIGKLYQKSNDVDSGFAETQNLDSLHSLLLQVENTSGQRHDTKIGSEKLLASTIFYLQQLGINHEVLPSVVTALCLLVFGASNFAVGRTDLLQGYTTLISSNCSRVRCPPEANPSWRW >OIW04544 pep chromosome:LupAngTanjil_v1.0:LG09:17607286:17609075:1 gene:TanjilG_13926 transcript:OIW04544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISAYYETKTAHFGVVSTDWLAQAQSATSIPNPTLSSPIPIQHNKPFSVIDEFNTWRNQPHLAEAVAAIRALAAVIRSSQATTMMELEIELKKASDSLKSWDTTSISLTAGCDLFMRYVTRTSALEYEDFNSAKSRLIERAEKFGEISYKARKVIAMLSQDFIFDGCTILVHGFSRVVLEVLKLAAQNKKLFRVFCTEGRPDRTGLRLSNELAKLDVPVKIVIDSAVAYSMDEVDMVFVGADGVVESGGIINMMGTYQTALVAKSMNKPVYVAAESYKFARLYPLDQKDLAPALRPVDFGVPIPSKVEVECSARDYTPPQYLTLLFTDLGVLTPSVVSDELIQLYL >OIW05458 pep chromosome:LupAngTanjil_v1.0:LG09:619469:623347:-1 gene:TanjilG_12049 transcript:OIW05458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSLTPNSSPSSALSPIAVSLPLPITNSSTSPSSQPNPSAPFSPPPSSTSPQPISPPVSQPPLPPAAPSAPPQAVITAPPPAQTSPPFPNLSPPSPANVPRPPSTNSPPPSKEKPPKTNPSRAPPPSVSQSHPSPDAPPPSTLPSTPSTDAPPPSKLPSTPSNDAPPPSTLPSMPSNDAPPPSTLPSTPSNDAPPPSKLPSTPSNDAPPPSTLPSTTPPSVLSPPASLPRSAPNKTAAEGPTVSLPSIPTEEPTARPNNGNDTDDVSTKTTSSHSRGLSDGASAAVAITVGFCVLGLLVMAVWFAKKKKKRGRGSKDGFPTVSPFNSSHNSGTLLLRSRSPANFVGRASGSDFRYSRPDPNAVGSSRSGFTYEELTQATNGFSAQNMLGEGGFGCVYKGLLVDGREVAVKQLKIGSEQGEREFSAEVEIISRVHHRHLVSLVGYCISKHQRLLVYDYLPNDTLHYHLHGENRPVLDWPTRIKVAAGAARGIAYLHEDCHPRIIHRDIKSSNILLDQNFEAQVSDFGLAKLALDTYTHVTTRVMGTFGYLAPEYATSGKLTEKSDVYSFGVVLLELITGRKPVDTSQPIGDESVVEWARPLLTEALESEDFEILVDSRLEKNYNRNEMLRMIEAAAACVRHSAVKRPKMSQVVRALDSLNEFPDLNNGVKPGQSRVFDSTQKSAHVRMFRRMAYGSQDSSNMFESQSSWWSREQEENKSRTIS >OIW05200 pep chromosome:LupAngTanjil_v1.0:LG09:5179655:5180173:1 gene:TanjilG_19831 transcript:OIW05200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESHDETGCQAQERPILCVNNCGFFGRAATMNMCSKCYKDMLLKQEQDKLAASSVENIVNRTSNGKGKQVVKSTAIDVQVETVKVKTICAEISADSSSGESSQNKAKTGPSRCATCKKRVGLTGFSCKCGNLFCAMHRYSDKHDCQYDYRAVGQDAIAKANPVIKADKLDKI >OIW04725 pep chromosome:LupAngTanjil_v1.0:LG09:14421426:14429373:-1 gene:TanjilG_06717 transcript:OIW04725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRPVSTSSIPRGGLPNDNGDSVVTLDQVPRWIDAEHSVGYDNEDSTSYFPDPLASQPGADSGGSGSVSRFPVDHEVNSRIYLWRGNPWNLEVDAVVNSTNEVLDEAHSSPGLHAAAGPGLAEECATLGGCRTGMAKITNAYDLPARKVIHTVGPKYAVKYHTAAENALSHCYRSCLELLIEYGLQSIATGCIYTEAKNYPREPAAHVAMRTVRRFLEKQKDNMTAVVFCTTNTTDTEIYKRLLPLYFPRDKHEEEVALLKLPADVGDENGETVIDERKIRIKPLPKKNIPKPPVDLPVSDVGLVRRTSSYLDTFLDPAFMSLIKDPDERRLEQWEKTVQAQRGWNVAKLLGLGDLGGPPLSAAEEYSLHSRYLSKANSLNLSEIAEMKIVYRGGVDSEGRPVMVVVGAHFLLRCLDLERFVLYVVKEFEPIIQKPYTIVYFHSAASLHLQPDMGWMRRLQEILGRKHQHNLHAIYVLHPTLGLKVAVFGLQLLVDTAVWKKVVYVDRLLQLFRYVPREQLTIPDFVFQHDLEVNGGKGLIVDPRTKYVYQRP >OIW04615 pep chromosome:LupAngTanjil_v1.0:LG09:16075501:16077970:1 gene:TanjilG_30513 transcript:OIW04615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAATVVVPPRILTTTNVVHCSALPYLSPRFSRTITPSLFLPSLKHLQESRKSSLIQIRASSEETSTSADANELITDLKEKWDAVENKSTVLLYGGGAVVFVWLSSILVGAINSVPVLPKVLELVGLGYTGWFVYRYLLFKSSRKELATDIEVLKKKIAGTE >OIW04251 pep chromosome:LupAngTanjil_v1.0:LG09:21550925:21556622:-1 gene:TanjilG_00811 transcript:OIW04251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSLTEFLLKPIFLLTLSAFFHLLLLVIVLVSWVWNNFTVEAKHDSKEKSNATLLKKSFFCSLGASVFNLILFLFNCFYWYTSGWSEEKVVTLLDLALKTVAWGVVCICLHKQFFLLCSVDVVHLYEKHVLLPAQYLVSDVVSTFVGLLFCYLSYFVKNEGGDSSTLQEPLLNVHSDNNVGNGLGSKETKGDATVTPFSNAGIFSILTFSWAGPLVAVGNKKTLDLEDIPQLDSRDSVVGPFPKFRDKLEEDCGAINSVTTLKLVKSLVFSAWKDILFTAFLALLNTLASYVGPYLIDSFVQYLDGQRLYENQGYVLVSAFFFAKIIECLSQRQWLFRLQQVGIRIRALLVTMIYNKALKLSGQSRQGHTSGEIINFMSVDAERIGDFSWYMHDLWLVVLQVTLALLILYKNLGLASIAAFVATILVMLANAPLGLLQEKFQRKLMESKDTRMKATSEILRNMKILKLQGWEMKFLSKINVLRNTEQGWLQKYLYTSAMTTFLFWGAPTLVSVVTFGTCMLIGIPLESGKILSALATFRILQEPIYSLPDTISMVAQTKVSLDRISSFLCLQDLQSDAVERLRPGSSDTAIEIVGGNFSWDITSSSTTLKNINLAVSHGMRVAVCGTVGSGKSTLLSCMLGEVPKISGILKVCGSKAYVAQSPWVQSGKIEDNILFGKEMDREWYEKVLEACSLKKDLDILPFGDQTIIGERGINLSGGQKQRIQIARALYRDADIYLFDDPFSAVDAHTGSHLFKECLLGLLSSKTVVYVTHQVEFLPAADLILVMKDGKITQCGKYADLLNSGTDFMELVGAHQQAMSALNSLDGRTESIITLEPDVNASGALDVKEKDANKDMQNGKTDDTSEPLGQLVQEEEREKGKVGLSVYWNYITTAFGGALVPLILLSQTIFQALQIGSNYWMAWATPISSDVEPPVRGTTLIAVYVGLSIGSSFCILAREIFVVIAGYKTSTILFNKMHNSLFRAAMSFFDSTPSGRILNRASTDQSAVDTDIPIQIGSFAFSFIELLGIIAVMSQVAWQVFIVFIPVIAISIWYQQYYIPSARELSRLVGVCKAPIIQHFAETISGTSTIRSFDQQSRFQESNMKLTDRYSRPEFNIAGAMEWLCFRLDMLSSITFAFCLILLISVPQGVIDPGISGLAVTYGLNLNAIQAWMIWNLCNLENKIISVERILQYTSIPSEPSLVVEESRPDPSWPSYGEVDIHDLQVRYAPHLPLVLCGVTCTFRGGLKTGIVGRTGSGKSTLIQTLFRIVEPTAGQVMIDGINISSIGLHDLRSRLSIIPQDPTMFEGTVRNNLDPLEEYSDEQIWEALDKCQLGDEVRKKEGKLDSAVSENGENWSMGQRQLVCLGRVLLKKSKILVLDEATASVDTSTDNLIQQTLRKHFSNSTVITIAHRITSVLDGDMVLLLSQGLIEEYGSPTTLLEDKSSSFAALVGEYTMRSSSTFEKSVDH >OIW04284 pep chromosome:LupAngTanjil_v1.0:LG09:21702334:21706085:-1 gene:TanjilG_00844 transcript:OIW04284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISAISWIPKGVAKSEPVLADPPSKDEIQQLITTTLTTEDVDEVIDDEEEKEDEVSHALAVAEAIGGGVVVSNTNKYDDIALALKDLDMDNYDDEDDQGVELFSSGIGDLYYPTNDLDPYLKRNTNKDQDEHDDDDDDDSEDLQDMIINPTDSVIVCARNEDDVWVLEDTNSKEMNMFIHHEIIIPAFPLSTAWLDCPLKGGDTGNFIAVGSMEPAIEIWDLDVIDEVQPCVVLGGIVEKKKKGKKKSIKYKDDSHTDSVLGLAWNKEYRNILASASADNKVKIWDVVAGKCNITMEHHTDKARTKLKSFVLIISSVDQTCFPPLLSHVQAVAWNLHAPQVLLSGSFDHTVVLKDGRVPSHSGYKWSVTADVESLAWDPHTEHSFVVSLEDGLVKCFDVRTAKADSTSELSSTFTLHAHDKAVTSVSYNLSAPNVKLWDLSNNQPSCIASKTPKTGAVFSISFSEDNPFLLAIGGSEGKLEVWDTLSEAGISRRYDKYNKNRP >OIW05270 pep chromosome:LupAngTanjil_v1.0:LG09:3522262:3524152:1 gene:TanjilG_03659 transcript:OIW05270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNLNCSIEGNKKECRGALIVLEGLDRSGKSSQCSRLVSYLEGQGIHAELWRFPDRTTNVGQMLSAYLTNASQLDDHTIHLLFSANRWEKRFPTDEVVVSETQPTDEVVVSETQPTDEVVVSETQPTDEVVVSETQPTDEVVVSETQPTDEVVVSETQPTDEVVVSETQQSNEVVVSESHQSNEMVVSEAHQTSGEVVVSETQISNEVPVSEFQPNNEVVVSEFQPNNEVDVSEIQQNNEVPTQPRNELVMSDTQPNDEANMPETQTCDDLVMSEAIPENELANSTTDPNNQHSHSETLHDNQFTNFHMIPEDQLPQLESLPHSEPPPSSELPTDDHLTDIKPLPHNHLPHYEIVPNNHQTSHNHLPHYEQL >OIW05052 pep chromosome:LupAngTanjil_v1.0:LG09:7290981:7292627:-1 gene:TanjilG_02759 transcript:OIW05052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKEQIHVLNALDVAKTQWYHFTAIIIAGMGFFTDAYDLFCISLVTKLLGRIYYHVDGAAKPGTLPPNVSAAVNGVAFCGTLSGQLFFGWLGDKMGRKKVYGMTLMIMVICSIGSGLSFGHSANSVLATLCFFRFWLGFGIGGDYPLSATIMSEYSNKKTRGSFIAAVFAMQGFGILGGGIFAIIISAAFKARFDAPSYEVDPVRSTVPQADYIWRIIVMVGALPAALTYYWRMKMPETARYTALVAKNTKQAAADMSKVLQVEIQSETNKEEAQGKPSFGLFSKEFLRRHGLHLLGTASTWFLLDIAFYSQNLFQKDIFSAIGWIPPAKTMNALDEVYRIARAQTLIALCSTVPGYWFTVALIDRIGRFAIQLMGFFFMTVFMFALAIPYDHWTHKDNRIGFVIIYSLTFFFANFGPNATTFVVPAEIFPARFRSTCHGISSASGKLGAIVGAFGFLYLAQNKDKSKTDAGYPAGIGVKNSLIVLGVVNILGFFFTFLVPEPNGKSLEEMSGENEDEEATNEGSYNNNNNNNNNNNNNNNNRTVPYV >OIW05032 pep chromosome:LupAngTanjil_v1.0:LG09:7880426:7881943:-1 gene:TanjilG_18631 transcript:OIW05032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGDGERFCEKEEVKAMLESVVGAEAVAFFSSAISNSVYSDVIVPPSLESNLNLRLRHLVEVSKWDYAILWQVVGLKSGGYALKWGDGYCQDRKDGQRNEPKEEDVAKKRHVLQKLHEECRGSESKESKEANYGSLDRVSDMQMFYLTSMYYVFGFNSQCGPGSSFKSGKSIWASDAASCLNQYESRSFLGKLAGLKTVVFVPMKAGVVELGSMEMIPEEQSVLEMVKTMFEESSFGQAKMLPKIFGRELSLGDSKSQSMTISFSPKVEDDSGFTSDSFEPQAMVANHAYGNSSNRCVGDNSETKLFPQFGNFNSHGRVSSVDLGNDDASSPQTDERKPRKRGRKPANGREEALNHVEAERQRREKLNQRFYALRAVVPNISKMDKASLLGDAITHITDLQKKIRVLESEKGMINNNKDHDQLPMPDIDFQARQDDGVVTVSFPLDMHPVSGVVKAFREHQIVPEESTVSTAQDKVIHTFSIRTQGGEAASIMLKEKLEASLLKN >OIW05478 pep chromosome:LupAngTanjil_v1.0:LG09:432038:434348:1 gene:TanjilG_12069 transcript:OIW05478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNSHTKCCVDNILNKKQALPIETIFKLPVATPIWPSGDGFASGIIDLGELQVFQISTFNKVWATYQGGVDNQGATIFEPTTIPQGFCMLGSYSQPNNKPLFGRVLVAKDVSSSTINGTLNKPIDYTLVWSTESMTINQDSHAYFWLPIAPYGYKTVGHVVTTTQDKPSLDRIRCVRSDLTDQCETYSWIWGQGKSNDPNGFNIYEVRPTNKGTQAPSVSVGTFVAQNSVTTNPISIACLKNTNSNSKSMPNLQQVEAIIKAYSPSMYLHPNEQYLPSSTNWFFSNGALLYKKGEESKPIPIEPNGINLPQGGNNDGAYWLDLPADETNKDRVKKGDLPSSKSYIHVKPMLGGTFTDIAMWVFYPYNGPARAKVEFINLSLGKIGEHVGDWEHVTLRVSNFNGELCKVYFSQHSKGAWVEASELEFQGGSNKPMVYSSMHGHACYPHAGLDLQGRNGIGIRNDSAKSNMVMDMGASFELVCVEYLESEVIEPPWLNYYKEWGPKIDYNIDYELKKVEKMLPGKLKRMFEKIVNGLPSEVLGEEGPLGPKLKNNWSGDEV >OIW04385 pep chromosome:LupAngTanjil_v1.0:LG09:19455192:19455869:1 gene:TanjilG_32577 transcript:OIW04385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTSGGAVPASASSPEARNMEAPPASSPVVAAQQAEGSSQPPSRYESQKRRDWNTFLQYLRNHKPPLTLARCSGAHVIEFLKYLDQFGKTKVHVTGCPHFGHPNPPSPCVCPLRQAWGSLDALIGRLRAAYEENGGRPESNPFGARAVRIYLREVREGQAKARGIPYEKKKRKRTTMVSAVSGSGAAAASGSGGNGAGDDTSAGAGVASVSTAPPSVTTTSTTG >OIW05050 pep chromosome:LupAngTanjil_v1.0:LG09:7319243:7320056:1 gene:TanjilG_02757 transcript:OIW05050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGNSTNHVNDIWSGLEYKISDEEMQSLKNCFVGELLRAEEARMVNVILAKEGFLSIQAIPMGGNLILFKGENQEEMVTNGVSCLSTSEGGHQWMWLRRESKVKIDHSMVVKIGDTCFEVRMAEEDLNMFNNSSSVWTAEGKEFVSDKAPSSGDSDWPEEAMADWGVDSCTEEDDDVDPTLSRLSTSLRIVRNPRKMVKNVQCEGDVNNLLLVKEGGEN >OIW04986 pep chromosome:LupAngTanjil_v1.0:LG09:8365627:8369094:-1 gene:TanjilG_24458 transcript:OIW04986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSMKKSESNPEMVHSPLVTYASMISLLSLCPPFVIILFGIFNPSIVYDHLGEIFSALIFGSLVFCVFLYIKGHLAPSSSDSGSTGNFIIDFYWGMELYPRIGKHFDIKVFTNCRFGMMAWAVLTVTYCIKQYEANGKVSDSMLVNTILTLVYVTKFFWWEAGYWNTMDIAHDRAGFYICWGCLVWVPSVYTSPGMYLVNHPVHLGTGLALSILLAGILCIYINYDCDRQRQEFRRTNGKCTVWGRAPSKIDASYTTTSGETKRSLLLTSGWWGLARHFHYVPEILAAFFWTVPALFNHFFPYFYVIFLTILLFDRAKRDDDRCRSKYGKYWKLYCDKVPYRVIPGIY >OIW05103 pep chromosome:LupAngTanjil_v1.0:LG09:5566044:5568354:-1 gene:TanjilG_02576 transcript:OIW05103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIGDCEINSQVDKGEVESALQMEHHQGIKSIPSLGRQSSIYSLTLDEFQNTLVSENGKNFGSMNMDEFLTSIWNAEDNINQPVNHNHNHNHDSNNMSLTETASSEKNMATIRKQPSLPRQGSLTLPAPLCRKTVEQVWSEIHKQQQQSSNGNNSNNGNVPNTESAPRQPTFGEMTLEDFLVKAGVVRETCTMNHALMSHQQQQQQYGVYTNNNTTMPPYVIGGGVGNVVAQQPYNTVAQGVGELGNGKRNDNSVYHPPAPPPPPGICFPGGRVVNGGGGGYTMAVPPPPNIGIGGSVSPASSNENSAGQFGIEGRGRKRMVDGPVEKVVERRQRRMIKNRESAARSRARKQAYTVELEAELNILREENNQLKQDLAELERRRKEQCFEDVNMRVQTKAEKANEKLRAMRRNLSCPL >OIW04519 pep chromosome:LupAngTanjil_v1.0:LG09:17820943:17821874:1 gene:TanjilG_13901 transcript:OIW04519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSKTIPSIEEENKYWFLYSIIETMEHGDSFSHNGQNTKPSSGNSSRSNPMWDKYASLPHAKVMKIMRQVLPKDAGISDDAVDTVQKCASEFIAFITDAAIEHSKLDKRMTVSAEDLLFVMENMGFDDYVPPLTLFLERYRKQSVQHGVPNVGTPPPPSPPPTLPQSEITNKTSLDMFYEDGVGDV >OIW04954 pep chromosome:LupAngTanjil_v1.0:LG09:8763012:8766356:-1 gene:TanjilG_01150 transcript:OIW04954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRSSNPSKKTGQSNSDLYRSASSKASSKESERIDSLFYSYANGSTGLIDPEGIETLCADIEVDHTDVRILMLAWKMKSEEQGYFTLDEWRRGLKALRVDTVSKLKKALPDLEKEVQRPTNFADFYSFAFQYCLTEEKQKSIDIESICELLTLVLGSTFPSQVNLFVEYLKSQNDYKVINMDQWMGFFRFCNEITFPTLNDYDPELAWPLILDNFVEWIREKQI >OIW04773 pep chromosome:LupAngTanjil_v1.0:LG09:14360005:14363421:-1 gene:TanjilG_08656 transcript:OIW04773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDTNVEDVKKQESLHDPDPKDDVGSDKNSVDDKKDLNEHKNDGNSMPSSHQEEEVIKKKYGGLIPKKPPLISKDHERAYFDSADWALGKEHKSLKDHSKHSAQSCSQHNSMPVQGAQLMLQQMILKLMATIIMPLQRTRVLLKMLPMITVVLLRTKAAITSYVF >OIW04309 pep chromosome:LupAngTanjil_v1.0:LG09:18739353:18740132:-1 gene:TanjilG_32501 transcript:OIW04309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENLETPEMFSKLILQQQQYQSQSNTHPFQLSNECRTSEDDDSHSSGGPIQKPASSTEGATIEVTRRPRGRPPGSKNKPKPPIMLLQESGPVMSPYTFEIQSGNDVVESLRRFSIRNNTGLCIVNCSGTVVNINLRQPSPDESGITVHFQGCFEIVSLSAIIFPHSWAPVVPNEFSITVAGLDGRIAGGFVVGRLIAAGTVYVVAASFNNQSYHRLPSEEEVRINNSVYGVGDVLSLPVSGGADVIWDPTARPPPPPF >OIW05449 pep chromosome:LupAngTanjil_v1.0:LG09:729626:731141:1 gene:TanjilG_12040 transcript:OIW05449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYSYNVIAWVQGGFGNVYKGVLSDGTLVAVKRLKDGNAAGGEIQFQTEVEMISLAVHRNLLRLYGFCMTPTERLLVYPYMSNGSVASRLKGKPVLDWGTRKQIALGAARGLLYLHEQCDPKIIHRDVKAANILLDDYCEAVVGDFGLAKLLDHEDSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELITGQRALEFGKSANQKGAMLDLVKKIHLEKKLELLVDKDLKSNYDRIELEEMVQVALLCTQYLPGHRPKMSEVVRMLEGDGLAERWEASQRAYTTKCKANESSLSDRYSDLTDDSLLLFQDMELSGPR >OIW04921 pep chromosome:LupAngTanjil_v1.0:LG09:9080465:9093510:-1 gene:TanjilG_15666 transcript:OIW04921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTVSGNGSGSRMEGVSGTHILSARVRKTIQSIKEIVGNHSDSDIYVVLKESNMDPNETTQKLLHQDPFHEVKRRRDRKKEPQNQNIGNNNSAEHPEAQRYSENVGRGMKFHVPSVRNVGRANYSRNTLPGNGREFRVVRDNRVINRIYKEVKPPSVQCSTSANEQSNANTSEKGSSTAATNQSSSGARSSPQALNGPSDSHATYSKDAVSNVNRKFASEEKQCIVLNAAARVQSIKPNITQQNSTTVASTSSAVGVYSSSTDPVHVPSPDSRVSGVVGAIRREVGVVGVRRQSSDNKVKQSSVSSNSYTNSVVGKAGTSANSFQPVAALSKTERLSQTNVNEPSFSGVSVNRPSTKNQYNSRPHQLSGQRVSQHNKEWKPKASQKLSSNSPGVIGTPKKTSSPTAKISKDIESNTAELQDKLSQVNIHENQNVIIAQHLRVPETDRCRLTFGTIGTELDSLRHRSEYQLIRASEKSDEESTASLTVPAPELSTDEVSGSKQVDLQDDHVRSTGSVSPASGAAAEQQLPDNEVSSSPHNHDNYAKTGLVRDNVPSYAPSESHQQDSHDMPCFSAYDPPTVYEVPYFRPTVDESVRGQGQPSLNEGLSSHAGNSIPASTIPMVQQHQPHVAQMYPQLHVSHYANLMPYRQFLSPVYVPPMGMPGYSSNHPYPHPTNGSSYVLMPGGGSHLNANSLKYGVQQFKPVPAGNPAGFGNYANPTGYTMISPGMVGGATALEDSSRVKYKDNLYVPNPQAETSEIWLQNPREIPGMQSTPYYNMHAAHGGYMPSHAGHASFNAAAAQSSHMQFPGMYQAPPQLAAIPEDSSRVKYKDNLYVPNPQAETSEIWLQNPREIPGMQSTPYYNMHAAHGGYMPSHAGHASFNAAAAQSSHMQFPGMYQAPPQLAAIPGPHHLGPAMGNNVGVGVAAAAPGAQISAYQQPQMGHLNWPTNF >OIW04579 pep chromosome:LupAngTanjil_v1.0:LG09:16492923:16495055:-1 gene:TanjilG_18056 transcript:OIW04579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNGDDAITIETQCIRRHHKHEPRDNQCTSALVKHIKAPVHLVWSLVRRFDQPQKYKPFVSRCIMQGDLGIGSVREVNVKSGLPATTSTERLEQLDDEEHILGIKIVGGDHRLRNYSSTITVHPEVIDGRPGTMVIESFVVDVPDGNTRDETCYFVEALIRCNLSSLADVSERMAVQGRTDPLNL >OIW04361 pep chromosome:LupAngTanjil_v1.0:LG09:19243443:19248123:1 gene:TanjilG_32553 transcript:OIW04361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVTRPTTFPKVVIQRDSDSEQSSSDDEEEEEENGLVVGNDNTDKSQVGFDAKGKGKIPITISLKKVCKVCKKPGHEAGFKGATYIDCPMKPCFLCKMPGHTTLTCPHRVTTEHGVIPAPRRKTCKPLDYVFERQLRSAIPSIKPKYVIPNQVNCAVIRYHSRRITCLEFHPTKNNIILSGDKKGQFGVWDFEKVHERVVYGNIHSCILNNMRFSPTNDCMVYSASSDGTISCTDLETGLSSSLMNLNPGGWQGPSTWKMLYGMDINCEKGLVLVADNFGFLHMVDTRSNHKSGDAVLIHKKGSKVVGLHCNPIQPDLLLSCGNDHFARIWDMRRMEVGSSLYDLKHQRVVNSAYFSPLSGNKIMTTSQDNRIRIWDSIFGNMDSPSREIVHSHDFNRHLTPFKAEWDPKDPSESLAVVGRYISENYNGTALHPIDFIDISTGQLLAEVMDPNITTITPVNKLHPRDDILASGSSRSLFIWKPKEKCEPAEEKDERKFVVCGRAEKKRGKKGNDSDESDDEAFISKFKKSKSKKSNWKLSSSSTKDKNH >OIW04847 pep chromosome:LupAngTanjil_v1.0:LG09:11317846:11319693:1 gene:TanjilG_29324 transcript:OIW04847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISSSSSDWSLLCFRTTHVHAFLPTCHFSSFYTVSCSNPRLLFHVNFSASPTPILEEEASSNNNNNNNKNTPLIHVDVNIAYDQQYSKLGTENLNEFLCGLFEDSKTEELAYECYVRLKERPEFRPVRSTLKHVIRYLLRLKKWDFVLSLSEDFKVYHLLPDKVTCSRLISFCIKHRKFKIVDALLHDFESDCEVAVLAFGSAMRGYNKLHMYRSTVSIYERVNSSKFVLDSIGYLNVMEAWMKLGDSERVVQLFREFESQKLRGSTRCLAKVYRILCESLGKSGRAFEALDYFREMNKKGIYEDSIYSTLICSFASLRKVDVVEELVREAKSKTMIRDPEVYLKLVIMYVEDGLLEKTLEVVEAMKDSGLKVTDVVLCAVVNGFSKKRGFLSAVIVYDKLIFRGYEPGQVTYASVINACCRVGQYSKAENLFSEMERKGFDKCVVAYSSMVVMYGKTGRLSNAMRLVAKMKERGCNPNVWVYNSLIDMHGKAKDLRQIEKLLNEMKRRKVAPDKVTYTSIIGAYQKAGEFETCIKLFHEYMNNGGVIDKVLAGIMVGVYSKVSQVEELVRLLQQLKVNGTSLDQRLYQSAWNALTEAGMELQARWLKESFNLT >OIW05018 pep chromosome:LupAngTanjil_v1.0:LG09:8195530:8197554:1 gene:TanjilG_06430 transcript:OIW05018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGQIMQISFTGSSGGTSSYVSGSTEAEGDRPNIHSEMSCSPWTCIPNYVEYLAQSSSSTYLPKDTSEAITACNYYHPTLATINNVNEVNATDRNFFYNNIGKHCAFKTPHYSSTQVDISKQVGFWRTDEGKEAHQEANQYGSTKHHHLFYADSHASWTEESVGDKHNVSQLDPRVMVGEPALLPKKQKTYAVDRLRRQRIADNLKALHELLPIPAERGQAYVLDDIIDYVKYLQLQIKEISGTRLQTEPTSIPFIFHEGYGHYIEKQMLNEPLEEMMGKLLEENPAAATQLLESKGLFLMPITLVEDLGEAMQMLGGNALL >OIW04193 pep chromosome:LupAngTanjil_v1.0:LG09:21185851:21188300:-1 gene:TanjilG_00753 transcript:OIW04193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYVYGPGRNHLFVPGPVNIPEQVLRAMNRNNEDYRSPAIPAMTKTLLEDVKKIFKTTSGTPFLFPTTGTGAWESALTNTLSPGDRTVSFLIGQFSLLWIDQQQRLNFNVDVVESEWGRGADLDILESKLASDTAHTIKAICIVHNETATGVTNNLSTVRKILDAYQHPALLLVDGVSSICALDFRMDEWGVDVALTGSQKALSLPTGLGIVCASPKALEASKTAKSVRVFFDWNDYLKFYKFGTYWPYTPSIQLLYGLRAALDLIFEEGLDDVILRHKRLGTATRLAVEAWGLKNCTEKEEWYSDTVTAVVVPPNIDSSEIVKRAWKRYNLSLGLGLNKVAGKVFRIGHLGHLNELQLLGCLAGVEMILKDVGYPVKLGSGVAAASAYLQNNIPLIPSRI >OIW05503 pep chromosome:LupAngTanjil_v1.0:LG09:174791:176748:1 gene:TanjilG_27633 transcript:OIW05503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPVTIPFKTLLPSPPSLSLSQLSITPPLLQSSSPPPPHQVLLSSESLETSILHNMTDQELFLKASMVGGNDDFTNKTTPKVAFMFLIKGKLPLAPLWEKFFKGHEGFYNIYLHQDPSYKDNVHEDSAFYGRKIPSQHVIWGTSSMIDAERRLLANALLDFSNQHFVLLSESCIPLFGFKTIYTYLMNSNLSYVQSFHDPTKDCMGRYNPKMSPTINITNWRKGSQWFELHRDLAINIVHDTKYYPMFKNYCLPRCYSDEHYIPTLVHILYPQLNSNRSITWVDWSIRGPHPRRYVWDDITNEVMSQIRFGSKCVYNGNTTNICFLFGRKFHPNTLEPLLRMSPSLLGDYYP >OIW04815 pep chromosome:LupAngTanjil_v1.0:LG09:12327019:12329429:-1 gene:TanjilG_15328 transcript:OIW04815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIPLEHVDDEKESSNNDNEVEATISPLISGLPDDISLFCLARVPRKYHSVLKCVSKRWRDLVCSEEWHCYRQKHKLDETWIYALCRDKLDQISCYVLDPNSSSRCWKLMQGLPPRMLRRKGMGFEALGNKLFLLGGCGWSEDATNEAYSYNASMDSWVEAASLSTARCYFASEVLDEKLYAIGGIGSHSSDPHSWDTFDISTNSWTSQTDPNIVPEIEDSVVLDGKIYIRCGKSPATPHVYAVVYEPSSGTWQHADADMVSGWRGPAVVVDGILYVLDQSSGSRLTMWHKERREWMPVGKLSPLLTRPPCQLVAIGKSIFVVGKGLGTVVVDVGDLGNVGRVMMSSSIPKLISDYNVISCKCLTI >OIW04991 pep chromosome:LupAngTanjil_v1.0:LG09:8346890:8348008:-1 gene:TanjilG_24463 transcript:OIW04991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIGKVDELSDIGKLPEHVLIEIFIRTCVSEWRHISCVDKHWSSIFHSQCFWQAALSFTYPFAHPSNTWPGPIPLPDSPKRRFMALYISQQILASDPQIQVEEIVGHTYLFLKEQLQLSIMSPHSGILHGTIIDQFIACGKSRDIAHELASLIWLAVLDNLEENHHTFYLLKRLAQEGDIFLPYPYSRSVKVQWRVFEKLFTDFRDCFNQVDYYDILACAKSRFQPIPSAWLGY >OIW04452 pep chromosome:LupAngTanjil_v1.0:LG09:20030440:20035107:-1 gene:TanjilG_32644 transcript:OIW04452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTTNKVSSGPIVTQRTTLCRSHEKHYFPLSTRINRIQLPTHGLEHAQLNYRCLLAETPALFNDRFINGKAVRLFSKKFSISCKSKGTNNTEEKECVATYDGAPDLKRVRTNDEKNGEIHNVRGLAEACRFIYNDAKFVNERARNDIVLLSRGLMRLDARARQDVAFLGTEFLKIDARAREDTEKIDRDVKERAMHLNHIASILKDQAQSRLKSAADEHWNDGALETDLRLADFRAKQRAMEDALMSMELIKYTHDRMVSKLYNFPLRKYRGSLSANNTSGYIMLEKNGKTTNSFLGDVSVTTERISALQEAYWSMASALSEADGIDYTDPEELELLITTLIDLDAMDGKQSVSLLAECSSSPDVSTRRALANALAAAPSMWTLGNAGMGALQRLAEDSNPAIAAAASKAIYELKKQWEIEEGDSWRFMVKVYPKEEKESREYDNDEDIK >OIW04830 pep chromosome:LupAngTanjil_v1.0:LG09:11956374:11958439:-1 gene:TanjilG_13678 transcript:OIW04830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQAAFSEKYDGPQFQVWWVDREVMGTLTFFVPPVCPVVDVLTRPTNDILWYTPTDQEWREGGRPVDLGETPLSRPKPTSVVPSGSTLSQSVDLTVEATTHVEVVEVATTRQERHGLFDRELSIENL >OIW05235 pep chromosome:LupAngTanjil_v1.0:LG09:4080405:4091034:1 gene:TanjilG_21220 transcript:OIW05235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFQLPEQDDDFDYYGNSSQDESRDSQGRTIADQSNGDMHGREVILLKKRRWSQNSDDGTHMTEERYRSMLGEHVLQYRRRVKDTSSSPAQNQIAVPLLKSSAGLKARKSGSERREGLHATETSSEWMNDSNSKKVGNHRDADFVQRYHTDRINYEPATLDIGDGITYKIPPIYDKLAAMLNLPTFTDIHLDDSYLKSTLDLGSLAKMMSPGKRSGNKNRAGMGETICQYESLQARLKVISTSNSAHKFSLKVSDVDLDSCIPEGAAGSIKRSILSEGGILQVYYVKVLEKGDTYEIIERSLPKKQKIKKDPALIEKEEMERIGKVKIKINRSLKWPRTAGMRTRKLARDMLLFWKRIDKEMAEVRKREEKEAAEALRREQELREAKRQQQRLNFLIQQTELYSHFMQNKSNLLSSEGLPMADENTTDQDALVGSSDAGPNEEEDPEEAELKKEAFKAAQEAVSKQRSLTNAFDTECLRLRQVDETDALQPEVAGASNIDLQTPSTMPVASTVQTPELFQGCLKEYQLKGLQWLVNCYEQGLNGILADEMGLGKTIQAMVFLAHLAEEKNIWGPFLVVAPASVLNNWNEELERFCPGLRRLPYWGGISERTVLRKSINPKDLYRREAKFHILITSYQLLVTDEKYFRRVKWQYMVLDEAQAIKSANSIRWKTLLSFNCRNRLLLTGTPVQNNMAELWALLHFIMPTLFDSHEQFNEWFSRGIENHAEHGGTLNEHQLNRLHSILKPFMLRRVKKDVVSELTTKTEVTVHCKLSSRQQAFYQAIKNKISLAELFDSNRGQLNEKRILNLMNIVIQLRKVCNHPELFERSEGTTYLYFGKIPNSLPPPPFGESEDVYYSGGHNPITYQIPKLVYQEILRSSEALSSAVGCGVSKESFQKHFNIFRPETVYRSIFSYDMYVKSGNFGFTHLMDLSPQEVTFLATGSFMERLLFSMIRWEQNLLDEVVEFLTETIDDDPECSYLEQGKVRAITRMLLVPPRSETKLLQRKFPIGPRHSPFEALVVSHRDRLLSNARLLHSAYTYIPRSRAPPIGAHCSDRNFYYKMIEELHDPWLKRLFVGFARTSDCNGPRKPDSPRHNLIEEIDSVLPLSQPALQLTHSIFGSSPPMRNFDPAKLLTDSGKLQTLDILLKRLRAGNHRVLLFAQMTKMLNILEDYMNYRKYKYFRLDGSSTIQDRRDMVRDFQHRSDIFVFLLSTRAGGLGINLTAADTVIFYESDWNPTLDLQAMDRAHRLGQTKDVTVYRLICKETVEEKILLRASQKSTVQNLVMTGGSVGGDLLAPEDVVSLLLDDVQLQQKLKQIPLQAKDRQKKKQPMKGIRVNEDGDASLEDLTNSVAQTTPDHDLSMEPEGSKSGNNKKRKSATDKQTSRSKNSQKMNEHGMNTPMDDEFDDTHLTTDPVSQKPKRPKRAKKNVNEKFEEAEQIQFPPPPRDFSAGVSKSESG >OIW05019 pep chromosome:LupAngTanjil_v1.0:LG09:8198312:8198785:-1 gene:TanjilG_06431 transcript:OIW05019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSSAVAPVTQRPLCASQFALANYACVKLPFSPGSPSEPPPDSPPDSPPSPDDGGGDDDDGGGGDDDDGGDDDDDDDHHHHHDNRRRHEHRHRHRHRHRNRKGPEEEECCRWAKEVDSQCVCELLVRLPPFLMRPVHQYSLDIGDDCHVIYSCGGPI >OIW04521 pep chromosome:LupAngTanjil_v1.0:LG09:17807551:17808036:1 gene:TanjilG_13903 transcript:OIW04521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSATMIGDPIVISASETQPAATNSTMVAQSEVEFAICDCCGLTEECTPAYIERIRERYHGKWVCGLCAEAVKDEIVRSERLVSTEEALTKHMNFCKKFNTSGPPPNPTVHLISAMRQILRRSLDNSPRVRSTPASPTKIHREIGSSGLTRSDSCFSTLSSS >OIW04627 pep chromosome:LupAngTanjil_v1.0:LG09:16230104:16234269:-1 gene:TanjilG_30525 transcript:OIW04627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEDVKSGESAVTTIVNLAEEAKLAREGVVNKAPSYAVATICKSLVAGGVAGGVSRTAVAPLERLKILLQVQNPHNIKYNGTIQGLKYIWRTEGFRGLFKGNGTNCARIVPNSAVKFFSYEQASKGILYLYQQQTGNEDAQLTPVLRLGAGACAGIIAMSATYPMDMVRGRITVQTENSPYQYRGMFHALSTVLREEGPRALYKGWLPSVIGVVPYVGLNFAVYESLKDWLVKSKPFGLVNDSSELSVTTRLACGAAAGTVGQTIAYPLDVIRRRMQMGGWNNAASVLTGDGRGKVPLEYTGMIDAFRKTVRHEGFGALYKGLVPNSVKVVPSIALAFVTYEVVKDILGVEIRISD >OIW04999 pep chromosome:LupAngTanjil_v1.0:LG09:8100913:8102542:-1 gene:TanjilG_06411 transcript:OIW04999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYLYPVKEEYWEQASSSSSIYHIESGNDSSMAPLIPPKPMNGLHEAGPPPFLTKTFDAVDDPTTNHIVSWTINGSSFVVWDPHAFSGTLLPRYFKHNNFSSFVRQLNTYGFRKNDPDRWEFANEGFLRGQRHLLGNIRRRKAPSQPSTTLQQAQEGHYVEVGSFGLDDEIDHLRRDKEVLMMELMKLRHQQQNTRSYLMEMEERLQGTEMKQQQMMAFLARAMRNPTFIQQLVQQKEKRKELEEGITKKRRRPIDQGPSSSVKVEPLEFGEYGYEVSELEMLAMEMQGFGRGMREHEEERVDKELDEGFWEELFSENSEGELDIITSQGDQDEDVNILANRLGYMGSGPK >OIW05285 pep chromosome:LupAngTanjil_v1.0:LG09:3360891:3363189:-1 gene:TanjilG_03674 transcript:OIW05285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPKCCFRIMFKLKKPKRDKSKQEKAQSNAESSNWRQSTHEDSSRIRNEGVMIDQAIPSRVVNEIAATRIQDAFRSFMARRTTQHLRGEDNFEALIEDHMSREQTETTLTYIHSWSRIQEQIKARRLCMITETRIKRKNLENQLKHDAKVNELEVEWCNGSETMEEILSRLQQREEAAIKRERAMAYAFSHQWRPNCSQYFGQAAYSLDKEIWGWSWTERWVAARPWEVRVRAQTPKKKLNGQQQNTKLDKTNHNENKVTLAKCPMSNGKETGKRKENNTPKLSINNQPK >OIW04490 pep chromosome:LupAngTanjil_v1.0:LG09:18191915:18195847:1 gene:TanjilG_24187 transcript:OIW04490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFSKEQLLARLKELQIEFSQYEHPVILTVEAGEKYVGHLKGGKCKNLFLKDKKQRFYIFSALAETKVDLKVLSQRLGLGKGGLRMAPEEALGEILQVPLGCVTPFAVVNESARDVSLLLDQGLKTQEVCLFHPLSNDMTISLNARELEVFLKSIGRDPVYVDFEANPTVGKDQPPDLAALVPSSSIVLPDLLEKQPSSQVPTNVNHTSVDNKSKTISAKVSKPSISEKSTEEKHVNKGQSPSNSIDIGLSVDEILHKASELLLSEINEEAIKQHGEHLGTVVFDNLRKGLSSDLKDLAMIIKNTAYTEGFHAGIHHQPRRL >OIW05060 pep chromosome:LupAngTanjil_v1.0:LG09:7242033:7247318:-1 gene:TanjilG_02767 transcript:OIW05060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDHVVGGKFKLGRKIGSGSFGELYIAVNVQTGEEVAIKLEPVKTKHPQLHYESKLYMLLQGGTGIPHLKWFGVEGDYNCMAIDLLGPSLEDLFNYCNRKLTLKTVLMLADQLINRVEYMHSRGFLHRDIKPDNFLMGLGRKANQVYIIDYGLAKKYRDLQTHRHIPYRENKNLTGTARYASVNTHLGIEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYDRISEKKMSTPVEVLCKSYPSEFVSYFHYCRSLRFEDKPDYSYLKRLFRDLFIREGYQFDYVFDWTVLKYPQIGSSSSSSRGRHGSGKAAMNPGPSVQKPEKISAGKEIREKFSGAVEAFPRRNPTSSSPRDDHIKHRSFDEVAAHKDVSSTSVMEEMVKIAQRDKFMGREGLHVTAVVLQFHDNQEKGYSSSRYGSSSRRAIASSARPSSSGDHTDSRTGRVTSTASRPSTAHRTQPVYETKQASFTRSGSIRGNRDDPLRSFELLSIRK >OIW04559 pep chromosome:LupAngTanjil_v1.0:LG09:17240882:17243180:1 gene:TanjilG_20915 transcript:OIW04559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSENNEQAPRLAPRLNERILSSMSRRSVAAHPWHDLEIGPGAPHIFNVVVEISKGSKVKYELDKKTGLIKVDRILYSSVVYPHNYGFIPRTLCEDNDPIDVLVLMQEPILPGTFLRAKAIGLMPMIDQGEKDDKIIAVCADDPEYRHYTDLKELPPHRLMEIRRFFEDYKRNENKEVAVNEFLPPATAVEAIQYSMDLYAEYILHTLRR >OIW04374 pep chromosome:LupAngTanjil_v1.0:LG09:19345086:19346644:1 gene:TanjilG_32566 transcript:OIW04374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHLVIYSSFLLYILFALAAFRIHVQANGNWLNAHATFYGANLSPTSLGGACGYDDTFHAGFGVNTAAVSTMLFRGGEVCGACYQVICDYRLDPKWCLRSRSVTVTATNFCPPNNHGGWCDPPHHHFDMSMPAFFRIARQGNEGIVPVLYKRVGCKRRGGVRFTLKGQSNFNMVMITNVGGSGEVKGVWIRGSRSGAWLPLHRNWGANWQSNADLRNQRLSFKITLVDGKTLIFLNVVPSSWRFGQTFASRNQFF >OIW05309 pep chromosome:LupAngTanjil_v1.0:LG09:2966528:2969738:-1 gene:TanjilG_03698 transcript:OIW05309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQASIACSSLPHRNVVLPTTLSPKPNSIFTFLPRTKSSSHFTPRCSFQPQPLQISSPASKSLGISRSKVTPLLCRISSNGYSANESRSIREWVEVVGDAVSTAFPLWVTIGCALGLMKPSSFNWVTPQMNMVGLIIVMLGMGMTLTLDDIRGALSMPKEVLSGFFLQYSVMPLSGYFVSKLLNLPPHYAAGLILVGCCPGGTASNIVTYLARGNVALSVIMTAASTVSAVVLTPLLTAKLAGKFVAVDAAGLLLSTLQVVLLPVLAGSILNQYFQPLVKLVSPLMPPMAVATVAILCGNAIAQSSSAILMSGGQVVLASCLLHASGFFFGYILARILGVDVSSSRTISIEVGMQNSVLGVVLAAQHFGDPLTTVPCAVSSVVHSVFGSILAGIWRLSVPAQKKD >OIW05164 pep chromosome:LupAngTanjil_v1.0:LG09:5518165:5521797:-1 gene:TanjilG_19795 transcript:OIW05164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGEFITRCLILLLGYAYPGFECYKTVERNKVDFEELRFWCQYWIIVALFTVVEKFADVFIGWLPLYGEMKLALFIYLWYPKTKGTGYVYDNVLRPYASKHENDIDTKFQEWRTRAWDLAIFYWQNCTELGQSATFQVLDFLTAQHTKFSGKTKNSKKKEKESPITLYPPSAPPLPEIRASLFQNSEHNFKGKNKKWI >OIW04990 pep chromosome:LupAngTanjil_v1.0:LG09:8349563:8352973:-1 gene:TanjilG_24462 transcript:OIW04990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGVGVEHHHVEASIADENCSAKPTNQGEGLRQYYSLHIHDLHLLLRHKSHNLNRLQAQRNDLNSRVRMLREELQLLQEPGSYVGEVVKVMGKNKVLVKVHPEGKYVVDIDKNIDITKIIPSTRVALRNDSYVLHLILPSKVDPLVNLMKVEKVPDSTYDMIGGLDQQIKEIKEVIELPIKHPELFESLGIAQPKGVLLYGPPGTGKTLLARAVAHHTDCTFIRVSGSELVQKYIGEGSRMVRELFVMAREHAPSIIFMDEIDSIGSARMESGSGNGDSEVQRTMLELLNQLDGFEASNKIKVLMATNRIDILDQALLRPGRIDRKIEFPNPNAESRQDILKIHSRRMNLMRGIDLKKIAEKMNGASGAELKAVCTEAGMFALRERRVHVTQEDFEMAVAKVMKKETEKNMSLRKLWK >OIW04328 pep chromosome:LupAngTanjil_v1.0:LG09:18926865:18927320:1 gene:TanjilG_32520 transcript:OIW04328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKNSSVKSTSHGGMFSCWGCLKLKLPWTKRRRSTYKPIGSYDPLSYAQNFDDGWDDDDEESARRGFTARQAFQLRMIEVASAYCCNDEKKKQVMCTV >OIW04157 pep chromosome:LupAngTanjil_v1.0:LG09:20940874:20941659:-1 gene:TanjilG_00717 transcript:OIW04157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDRVDHPPDSALISPQPESPPSRPPTKPASAPPRTYVVKIPKDQVYRVPPPENAQKFNQLATPNTHRCRCHWCFWFTGILFTLISLLALTAAIFYLVVRPKALEYSIDSIDIRGFNMTSISPEFHVTFRANNPNRKIGFHYEKNSSVEIYFKDVMLCNGVLPEFYQPSNNLTVFKTTLQGNVIKLRSREETEMVKVQSREKVPLTVKLIAPLKITVGFVKTGKITVKVDCDVTVDKLAPGAKIVSMHCYYRAYVLWAFKI >OIW04168 pep chromosome:LupAngTanjil_v1.0:LG09:21001934:21003610:-1 gene:TanjilG_00728 transcript:OIW04168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGNLSAHVSSLPTCLSSCVIPIKENSLYFMPLPSRRRTQINTIRATSSDAGHSQQQSSSTSEAKNPLAVVLDIPRAVWRQTLRPLSDFGFGRRSVWEGGVGLFLVSGTLLFVLSLAWLRGFQIRSNFRKYTAVFEFAQACGICNGTPVRVRGVTVGNVIRVNPSLRNIEAVVEVEDDKTIIPRNSLIEVNQSGLLMETIIDITPRNPIPTPSLGPLDKDCPKEGLIVCDREKIKGQQGVSLDALVGICTRLGRDVEEIGIINSYSLAERAFSMVEETKPLLTQIKAMAEDVQPLLAEVRDSGLLKEVENLTRSLTQVSNDLRRVHSSIMTPENTELIRKSIYTLVFTLKNIEVCLNF >OIW04573 pep chromosome:LupAngTanjil_v1.0:LG09:16961716:16964494:-1 gene:TanjilG_20929 transcript:OIW04573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQTPQMIPVMPSFPPSSITTEQIQKYLDENKKLILAILDNQNLGKLAECAQYQAQLQKNLMYLAAIADAQPQTPTMPPQMAPHPAMQQGFYMQHPQAAAMAQQPGLFPQKMPLQFGNPHQMTQQQQQLQQLHQQHQQAQMGHRPGGPNSGIHSEAALGGGSGGGPPSATGPNDAHGGSKQAAPGAGATGGDGQGSSAAAHNSGDGESSYLKGSEETK >OIW05523 pep chromosome:LupAngTanjil_v1.0:LG09:36279:42962:-1 gene:TanjilG_27653 transcript:OIW05523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKVIGQTVRDLKREVNKKVLKVPGIEQKVLDATSNEPWGPHGTLLADIAQATRNPHEYQMITAVIWKRINDTGKNWRHVYKALTVLEYLVANGSERVIDEIREHAYQISTLSDFHYIDSSGRDQGHNVRKKSQSLVVLVNDKERIFEVRQKAAANRDKFRNNLSGGMYRPGSYSNSAYGDRYDDRHGSREEDRNDYGYGREREGGYRDEDRSSRDGDHHSRDYEERNGRDGYRNDDYRGRSRSVDHQYESRSRSSDRDRDRSYEDDGHSSRGSNAKPEDRSLEARLERKISEQSIGAPPSYEEAVGESRSPVHSEKDIETSAASAPRGSSPHAIDNPSQTSAPTASYPFVSNNPTEATAVASTAASENQEIEAPDNFFDPRVPLPAAPATSNNVEVDLLGSLSDSFALTLVPVTSATETPEGNANTGSTASFAAPSTGSSNFNQSFEDPFGDSPFKAVPSTETATFRPQTFQSFEPSQSTAHNADFGSGDSFSVVPHSASGAGDTQPFSTTSQFLSQDLSSPQQETDILADILPPAPLPGMTSQLNISAPAGSQPSPSFLASSGQMASPFSEPTGEVTHQSFLASTSQPTQGISPPADQFPQQAFSAPNGQPGQVHSPFSAPNGQPGQVQSPFSTPNSQPGQLQSLFSAPNGQPGQVQSPFSTPNSQPGQLQSLFSAPNGQSEQVQTPFSAPTSQYGQQTFSSHAGQPNLHGFSLSTGQSTKLPFASQGGQPAQSIGHMYGKINTQGGSPVAPSMSLQSQNGYNVHMNSGNFLPQAPTGQPSQSTNFPHHGGSPSHTTFSMASHSPTNQASLFNNQNFTGQQGAVSTGSNSLVSQPSKDKFETKSTVWADTLSRGLVNLNISGHKTNPLADIGIDFESINRKEKRMEKPTTQAVTSTVTMGKAMGSGSGIGRAGAGAGAGAGAGAIRPPPNPVMGLNMGMGMGMGNGMGGYGGMNPSMGMSMGNGRGMGMGMGMGMGMNMGMAQGVQMQPSTGYQQGSNMQSNYNPMMGPGGYSQQPYGGYR >OIW05219 pep chromosome:LupAngTanjil_v1.0:LG09:4371417:4372210:-1 gene:TanjilG_21204 transcript:OIW05219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICYIHYFGIAGRATITIVNPDKRQAYNLEYGDALILPNGITSYILNPNHNQNLRVVKLALPINNPGNIYSRYKEIQRILLGNKDEHEDEEQSHRQEKSHQEEGVIVRVSKEQIQELRKHAQSLLGNGKPSEFVPFNLRSNEPIYSNKFGNFYEITLDRNPQA >OIW04588 pep chromosome:LupAngTanjil_v1.0:LG09:16627464:16630361:-1 gene:TanjilG_18065 transcript:OIW04588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGSVDKSLSSLIMMDEAENPHQFSSIATKLHSNGPTATSVHELLECPVCTNSMYPPIHQCHNGHTLCSTCKTRVNNRCPTCRQELGDIRCLALEKIAESLELPCRYSSLGCPEIFPYYSKLKHESICNFRPYNCPYTGSDCSVVGDIPYLVTHLRDDHRVDMHSGCTFNHRYVKSNPMEVENATWMLTVFHCFGQYFCLHFEAFQIGTAPVYMAFLRFMGDEREARTYGYSLEVGGNGRKLTYEGSPRSIRDSHKKVRDSHDGLIVYRNMALFFSGVDKKELKLRVTGRIWKEQQNPEGGVCIPNLCS >OIW04139 pep chromosome:LupAngTanjil_v1.0:LG09:20817403:20819173:-1 gene:TanjilG_00699 transcript:OIW04139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFPLINFEKLNGEERKDTMDQIKDACENWGFFELINHGIPYDLMDTLEKLTKEHYRKCMEHRFKELVATKGLDAVQTEVKDMDWESTYHVRHLPESNISELLDLTHQHREVMKEFALKLEKLAEDLLDLLCENLGLEKGYLKKAFYGSKGPTFGTKVANYPPCPNPELVKGLRAHTDAGGIILLFQDDKVSGLQLLKDGQWIDVPPMRHSIVVNLGDQLEVITNGKYKSVEHRVIAQTDRTRMSIASFYNPGSDAVIYPAPPLLERGTEEKNKVYPKFVFEDYMKIYAGLKFHAKEPRFEALKAPDVNVGPIATV >OIW04800 pep chromosome:LupAngTanjil_v1.0:LG09:12740139:12744672:-1 gene:TanjilG_11146 transcript:OIW04800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMMRRLKSIASGRTSISSDRGGDSSTIRAKFDEETEGKVNDETNIVERGTKDQEDHVDTLKAIEATVVNGHGTETGQIITTAISGRDGQSKQTISYMAERVVGTGSFGIVFQAKCLETGEAVAIKKVLQDKRYKNRELQVIRMLEHPNVVQLKHYFFSTTDKDELYLNLVLEYVPETVYKVSKNYIRTHNHMPMIYVQSIRTRAPELIFGATEYTTAIDMWSVGCVLAEFLLGKTLFPGESRLDQLVEIIKILGTPTRDGIKCMNPNYNEFKFPQIKAHPWHKIFDKKIPLAAVDLVSRLLHYSPNLRSTALAACAHSFNSLQEPNVSLPNGRPLPPLYNFTDQELADAPDELRKRLIPEHARS >OIW04486 pep chromosome:LupAngTanjil_v1.0:LG09:18223046:18223491:1 gene:TanjilG_24183 transcript:OIW04486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSMEKSDAYRKGAASSKKFDGGAPGGGPGGGGGPYGGGPRGPPCGGLDNVRGLDSIRGRDHSSLPACGSCCG >OIW04778 pep chromosome:LupAngTanjil_v1.0:LG09:13286711:13289898:-1 gene:TanjilG_06367 transcript:OIW04778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRKGLMEQDLKKLDVTKLHPLSPEVISRQATINIGTIGHVAHGKSTVVKAISGVQTVRFKNELERNITIKLGYANAKIYKCEDERCPRPMSYKAYGSGKEDTPVCDVPGFENSKMKLLRHVSFVDCPGHDILMATMLNGAAIMDGALLLIAANESCPQPQTSEHLAAVEIMRLQHIIILQNKVDLIQENVAINQHEAIQKFIQGTVADGAPVVPISAQLKYNIDVVCEYIVKKIPIPERNFISPPNMIVIRSFDVNKPGFEVDDIKGGVAGGSILRGVLKVNQFIEVRPGIVVKDENGNIKCTPIYSRIVSLFAEQNELQFAVPGGLIGVGTTMDPTLTRADRLVGQVLGAVGSLPEVFVELEVNFFLLRRLLGVRTKGTERQGKVSKLAKGEMLMLNIGSMSTGARVVAVKNDLAKLQLTSPVCTSKGEKIALSRRVEKHWRLIGWGQIQAGITLDVPSAPFSAEDIIE >OIW05187 pep chromosome:LupAngTanjil_v1.0:LG09:5278130:5278351:-1 gene:TanjilG_19818 transcript:OIW05187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRNCMKSEGTSTNMSCISGSISTSHMSKRSKRTLHRHHFFGRENLGGNKDEINLVALCDHDSLGNHTNEPTL >OIW04831 pep chromosome:LupAngTanjil_v1.0:LG09:11931595:11934115:-1 gene:TanjilG_13679 transcript:OIW04831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEKKAVVGLSWRPQLPIVSSSKAADGFHTNPRTEASINTLWKPNSQLVDGLFVPPSDPRKLNKLIRKQAKDTTGKNWFNMPAQTMTPELQKDLKLLKLRGAIDPKRHYKKGDSKSKTLPKYFQASSFVMGTVVDSPLDYYSGRLTKKERKATLAEELLSDQNLAAYRKRKVREIEEKNQPGGNEKWKIKGKNTRKRAKERRVY >OIW04651 pep chromosome:LupAngTanjil_v1.0:LG09:15467705:15470706:-1 gene:TanjilG_07786 transcript:OIW04651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSMERILVIGATGFMGQFVTKEILNFGRPTYLLLRPGSITPSKAAIVKSFQDKGATLIHGVINDKEFMEKIMKDYNIDIVVSLVGGGNLLDQLALVEAIKSVKTIKRFLPSEFGHDVERANPVEPGLSMYKEKRLIRRMTEESGIPYTYICCNSIASWPYYDNCHPANVAPPLDHFQIYGDGNIKAYFVDGNDIGKFTMKAIDDIRTLNKIVHFRPSSNCYSINELASLWEKKIGRTIPRITISEDDLLAAAAENRIPESVVASFTHDIFIKGCQVNFNTDGPKDVEISTLYPDEKFRSLEDCFEDFLPLVHQ >OIW04384 pep chromosome:LupAngTanjil_v1.0:LG09:19438486:19442190:-1 gene:TanjilG_32576 transcript:OIW04384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAALDCWSNRTTTTTTTTSDDDTVEQVLMRSHHRSELPITPSLSSSSSTKDSSLLHNKFQKLTRNVSEAIASFKNSLNLDSPRDPSSSKIEASRKIAWGTVVRNLTQLYPGSQLPEKLMSNIRNHYDSLPLSYGQAEFDMKDVFLHIKLIEQASETEQPAILFQEESDNDGELQGSLLKLTFACNSPISWPAMSSALDSSSISCKKMQIFEKKGFTLGIVILVFQSEQDRLVRMQTENALKFAMKKPKTSSVKLPFGLCGCQEENFKGREFGESEEVSGDVCYGKEIENSSQKIQLQTPLPSSSFIVSVDEWQTIQSGGKNIEKWLLNSDSVEFTDQIGFGPNSYKGVYMGKRVGIEKLKGCDKGNSYEFELRKDLLELMTCGHRNILQFCGICVDDNHGLCVVTKYMEGGSVHDMMLKNKKLQVKQIVRIAVDVAEGMKFLNDHGVAYRDLNTQRILLDRHGNACLGDMGIVTACKSIEEAMEYETDGYRWLAPEIIAGDPESVTETWMSNVYSYGMVIWEMVTGEAAYSALSPVQAAVGIAACGLRPEIPKDCPQTLKYLMTKCWHNTPSKRPHFSDILAMLLRPNNNR >OIW04176 pep chromosome:LupAngTanjil_v1.0:LG09:21039086:21039513:-1 gene:TanjilG_00736 transcript:OIW04176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTLGGSSVVEGSQNSLEIENLALFAVQEHNKKQNTVLEFVKVISAKVQVVSGNLYTITLEATDGGNKKVYETKVLEKLWLNFKEVQEFKLVADAPSASTT >OIW04764 pep chromosome:LupAngTanjil_v1.0:LG09:14237991:14241343:1 gene:TanjilG_08647 transcript:OIW04764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFMLMVLRYGVMKNPIGGGYLTIPVAINGTNPLEWINAVVPPAVQNPDSNSQVISTDILISGLFAGSNFSKEEQQALQTWNRLKNLLDHAQGLPNAGGAIKEAASAWNSLVSSIEEQRQGHANDSSRTKEKQCPHFLNKMDSTELGNSSYKLQVPCGLTQGSSITVFGIPNGLLGDFRIDLTGELLPGEPDPPIILHYNVRLHGDKITEDPVIVQNSWTVAKDWGEEERCPSPTPEKVNKVDELDQCNKNVGKNISQHYTASMHSHTSRRFSAAEGQSENQKYFPFKQGYPFVATLRVGSEGIQMTVDGKHITSFAFRETLEPWLVNEIKISGDLKLISVLASGLPTSEDSEHIIDLESLKASPISAQTSLDLLIGVFSTANNFKRRMAVRRTWMQYNAIRSNTTAVRFFVGLHKSQIVNEELWKEAQTYRDIQLMPFVDYYSLITWKSLATCIFGTEVVSAKFVMKTDDDAFVRVDEVLGSLKRINLAHGLLYGLINSDSKPHRNSDSKWYISPQEWSEANYPPWAHGPGYVISHDIAKTVYRKYREGHLKMFKLEDVAMGIWIADMKKEGLEVRYENEGRVYNEGCKDGYVVAHYQGPREMLCLWQKLQEGKGAKCCGDRR >OIW04632 pep chromosome:LupAngTanjil_v1.0:LG09:16398759:16401076:-1 gene:TanjilG_30530 transcript:OIW04632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGNLKLEQVGLISDLMQGEELTKKLHNLMFSSSSSTSHETKLVLIDKILVSYEKALKKLKWEANMGEEDTKITISKMMDSPCSFTNESPRGEVLDQEIKHKNVSKKRKTMDSWTKQMKVCSGTVLDGSLGDGYNWRKYGQKDILGAKFPRGYYRCTHRHAQGCLATKQVQKSDEESTYEITYKGRHTCIQASHAKKAFPSKSKTCMSENKHHNHQKNKAQEEKIEQPHETTFTFGPEFEVKIDLETKEDIFPSFFFSSPSIGLETMIENHFTETFSPAFLSPSTSESNIFCLSPCNFGSSGLGLSVQTSESDITDVVSATTSVNNSPIANLDDLDLFFDNVDFDTDFPINTPEYFTL >OIW04976 pep chromosome:LupAngTanjil_v1.0:LG09:8548505:8549759:1 gene:TanjilG_01172 transcript:OIW04976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQKFAIFISNLNYIIESNARINSPSSHILGLNKFSDWSPEEFKETYLNLEIPTDNDIELNDLPYIALHSPIDLRVKGVVSAIKNQWSFVQPIPNFFSVHGLWPSTRHKDQPRDCNSTYTFPPNEKDIKNKWNPDLFNNINQYWISLQKTKYKLWSGEWKHHGTCAKKDANISLNVEEYFSKTVDAYFKYKVQDVLNPLSQQKVPIIPGKEYSFDTLIAAFKAAFNNTDVSLKCTMIEDPTTKLLKEQHLREVLIYMDNDLNKIEVEEEYKITECDKNKEVIFTGK >OIW04466 pep chromosome:LupAngTanjil_v1.0:LG09:18487311:18493464:-1 gene:TanjilG_01639 transcript:OIW04466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGIISKLRNLDAYPKINEDFYSRTLSGGVITVASSILMLLLFFSELQLYLHAATETKLIVDTSRGETLRINFDVTFPSLSCSVLSIDAMDISGEQHLDVKHDIIKKRLDSLGNVIETRQDGIGAPKVEKPLQRHGGRLEHNETYCGSCYGAEVTDEDCCNTCEEVREAYLKKGWALSNPDIIDQCKREGFLQRIKNEDGEGCNVYGFLEVNKVAGNFHFAPGKSFQQSGVLVHELLAFQKDSFNLSHHINRLAFGEYFPGVINPLDSVQWTQDMASGMYEYFIKVVPTVYTDVSGHTIQSNQFSVTEHFGTGERLQSLPGVFFFYDLSPIKVVTFTEEHISFLHFLTNVCAIVGGVFTVSGILDSFIYHGQKAIKKKMEIDTIYSREVQLSNEFPLGILSFSKTNLPLSPMKVEKLDYILCNKCYFPMICIFSETALIMQMIHTFLKVDGLSFVAIHLQKHEKEII >OIW04332 pep chromosome:LupAngTanjil_v1.0:LG09:18956262:18959295:1 gene:TanjilG_32524 transcript:OIW04332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIVFETAPFATSFSPSNSSNFHTFPSKQTFLLSLRCHSNSRSLSQPQQPDPDLKPVIVPTRDRRRVVKLAWEKLVRWSRFWSSKAKTDVLERTNKVVVLGGGSFGTAMAAHVANRKAQLEVQMLVRDPQVCFSINERHCNRKYFPDHRLPENVVATTDAKSALLGADYCLHAVPVQFSSSFLESVADYVDPGLPFISLSKGLELNTLRMMAQIIPQALRNPRQPFVALSGPSFALELMNKLPTAMVVASKDKKLANRVQQLLASSHLRISTSSDVTGVEIAGALKNVLAIAAGIVDGMNLGHNSMAALVSQGCSEIRWLATKMGAKATTITGLSGTGDIMLTCFVNLSRNRTVGVRLGSGEKLEDILNSMNQVAEGVSTAGAVIALAQKYKVKMPVLTAVARIIDNELTPQKAVFELMSLPQVEEV >OIW04605 pep chromosome:LupAngTanjil_v1.0:LG09:16826066:16829080:1 gene:TanjilG_18082 transcript:OIW04605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNSKTFTFDEVAKHNNVKDCWIIVNGKVYDVTPFLDDHPGGDEALVTATAKDATIDFEDIGHSDTAIEDMKKYYVGDVDTNTLPANLDKNKPQLIREVNASNTGNDSSAFVVKILQFVLPLLILGFAYALQYYGKSSKPSDS >OIW04330 pep chromosome:LupAngTanjil_v1.0:LG09:18934967:18935993:-1 gene:TanjilG_32522 transcript:OIW04330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPQLGIGDDEGESNVTLLVSSSTTMESVCLNGSKLKEFNYMGLSDSSSVDSSVPSFSSPDENKSNLNLKATELRLGLPGSQSPERDSDLCLRSSTQFDEKPLFPLRPAVDDHHPSSKPAVLGNKRGFSDAMNEFPEGKLIVSSDANTILSSRPSLGVGLKPCSMLDNVGAQQAKAKEVATTKATESRPIPNGSANNNSSAPATKAQVVGWPPIRSFRKNSLATTSKNIVEVDGKVGSGTLFVKVSMDGAPYLRKVDLKNYSEYPELSSALEKMFTCFTIGRKK >OIW05255 pep chromosome:LupAngTanjil_v1.0:LG09:3736426:3737324:-1 gene:TanjilG_03644 transcript:OIW05255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTESQSSCTNVLITLSPCLDYITGNASTPSSECCSQLASVVSSQPQCLCEVVNGAASSFASSLNINQTQALALPSACRVQTPPISACTDSSYSPIGVSVSDTPNSPSGTNQKKFLVFWE >OIW04240 pep chromosome:LupAngTanjil_v1.0:LG09:21493903:21495999:1 gene:TanjilG_00800 transcript:OIW04240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGASLLFSCSIQFGVSPPKLAASSSVYHLKSISSFGHSGFSKKSKLLHKSDEILCKALQVENAPCFTVGQKFQLGDVIEAQQFERDTLNAIFEVARSMESIERSQILKGYLMATLFYEPSTRTRLSFESAMKRLGGEVLTTENAREFSSAAKGETLEDTIRTVEGYADIIVMRHFERGAAIRAAETASIPVINAGDGPGQHPTQALLDVYTIEREIGKLDGIRIGLVGDLANGRTVRSLAYLLAKYRDVKFYFVSPHVVKMKDDIKDYLTSMGVEWEESADLMEVASKCDVVYQTRIQKERFGERFDLYEGARGKYIVNQEVLNVMQKHAVVMHPLPRLDEISVEVDRDPRAAYFRQAKNGLYIRMALLKILLIGW >OIW04919 pep chromosome:LupAngTanjil_v1.0:LG09:9053663:9054069:1 gene:TanjilG_15664 transcript:OIW04919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKKGRFYKQFKRHGFEHDYDVVGEIFNSSIATGKLSQASTREPPTSDEDKEIEEDFLSKGVHIDYDTIDIDGDDLQEIGRKKRVMDLQGSLDTKRQRILE >OIW04838 pep chromosome:LupAngTanjil_v1.0:LG09:11615989:11616615:1 gene:TanjilG_13686 transcript:OIW04838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKEQVAPIRDHPSNYEGDISTHPQRNHQRKFINSCVCLLVFLLLLAIVIIVLIFTIFRVKEPIIRMNSVQVTKLELANNMSLIADVSVKNPNFASFRYSNTTTTLYYHGIMVGEARGPSGHAKARGTITMNVTVELITKHIISSPNLSADLGSRLLTMSSFSSVPGQVKILKLFKKHVVVKMNCTMSFNITTQGIQELDCKSKVKL >OIW04439 pep chromosome:LupAngTanjil_v1.0:LG09:19950282:19952978:1 gene:TanjilG_32631 transcript:OIW04439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMKSATSSNKVNKDEQVDQHIVPEPRWLEVFLKESFFGTCKAHRRKRNELNRYCINCNVSACGHCVLSDRHVDHKILKVHRYVYKDVVSLSAMEEHIDCSEIQPYKCNKQLVISPNPLPYSGPAQLDDEETCNICSRKLTEPDLYRYCSISCKVKAVQRKADDSAPPFILIPNPQEIQEETSKSQNERTSEPQNEETSEPQPEETSEPQPEETSKPQSLRKRKRKGIPHRAPFF >OIW04404 pep chromosome:LupAngTanjil_v1.0:LG09:19652215:19653051:-1 gene:TanjilG_32596 transcript:OIW04404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSSSSSSSGVPVARVRSNAERDPKDLEASLNHLLQLHNHNSLSLRHNAEKAKKNAIGKAEKVSELLVEAVNGGVQDSFINQKRIEFEIRTLASTITRFIKQTDQWLSSTHALNTAVKEIGDFENWMKVMEYECKSITAAIQNIHQE >OIW04209 pep chromosome:LupAngTanjil_v1.0:LG09:21296137:21298222:-1 gene:TanjilG_00769 transcript:OIW04209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFPMMLLRLINLVLYKLLANSCYRAARKVKSYGFHFGYLSSKTPHQPSFPSVIKCDLEGRVSQTLICDIHNVLLRSNSFFPYFMLVAFEGGSILRALLLLLSCPILWILDYEQKLKVMTFITFCGLKINNMENISRAVLPKFYLENLNLHAYEVLASAGSKVVFTSVPRVMVEGFLKEYLSVGDVIGTELNTIGSYFTGLISASGLLVKHRALRDYFGDRKPDIGIGSSSVHDHLFISLCKEAYVVNNEEGKNNPSSVMPRNKYPKPLIFHDGRLAFLPTPSATLYMFLWLPIGILLAIYRILLGILLPYKCAMTLGVWSGIVLNVKGYIPQKSEKNKGVLYVCTHRTLLDPVFLSTCLARPLTAVTYSVSKVSEFIAPIRTVRLTRDRKKDGETMQRLLREGDLVVCPEGTTCREPYLLRFSSLFAEMADEIVPVAMNVNVSMFYGTTASGLKCLDPLFFFMNPRPSYHIQILGKVPKEFTCAGGWSSFEVANYIQRLLGDALGFECTTLNRRDKYMMLAGNEGIVKDDKSRKCC >OIW05384 pep chromosome:LupAngTanjil_v1.0:LG09:1720343:1721962:1 gene:TanjilG_28849 transcript:OIW05384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARDDLSVLTALDVAKTQWYHFTAIIVAGMGFFTDAYDLFSIANVTKLLGRIYYTHEGAPKPGTLPPNVSLAVNGVALCGTLAGQVFFGWLGDKMGRKKVYGLTLAIMVFSSIASGLSFGHTAKGTVSTLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGFGILFGGLVSLVISTIFDHAYSAPAYEVNAEASLVPQADYVWRIILMLGALPAALTYYWRMKMPETARYTALVAKNAKQAAQDMSKVLQVEIEAEQDKVDKLSERDNNNFGLFTKKFAKRHGMHLVGTCTTWFLLDIAYYSSNLFQKDIYSSIGWLPPAKEMNAIHEVFRVSKAQVLIALCGTVPGYWFTVAFIDHLGRFFIQLMGFFFMTVFMFALAIPYDHWTKKENRIGFLVMYALTFFFANFGPNSTTFVVPAEIFPARLRSTCHGISSAAGKAGAIVGAFGFLYASQSKDATKRDAGYPAGIGMKNTLIVLAVSNCLGMFFTFLVPESKGKSLEELSGENEDEGTNDTSQPPPVSSRTVPV >OIW05057 pep chromosome:LupAngTanjil_v1.0:LG09:7263503:7266314:-1 gene:TanjilG_02764 transcript:OIW05057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLSPPSFSNTISSSKHTLNSNHIDETTLINLFKSQQNHLNFFFNNIDHSQTLSFTRLLLSTAGTIFFSGVGKSGFVARKISQTLVSLAIRSVFLSPVDALHGDIGIVSAGDVVVLLSKSGATDELLRLVPCARAKGAELVAVVSVEGSALSAMCDMTVVLPLERELCPFNLAPVTSTAIQMVFGDTVAIALMGARNLSKEEYAGNHPAGKIGKSLIFKVKDVMKKEDELPICRESDLIMDQLMELTSKGCGCLLVVDDNHHLIGTFTDGDLRRTLKASGDAIFKLTVGEMCNRKPRIIGPDAMAVEAMKKMEAPPSPVQFLPVINDDCTLIGIVTLHGLVSAGL >OIW04944 pep chromosome:LupAngTanjil_v1.0:LG09:8901297:8901530:-1 gene:TanjilG_01140 transcript:OIW04944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRTEEKVGELVKQFSGQGKIMLLGADDMDIFKGISLKLLAMEQLLIRHPECQEKVVLVQIANHAKGKESCEKVTPD >OIW04441 pep chromosome:LupAngTanjil_v1.0:LG09:19961769:19961990:1 gene:TanjilG_32633 transcript:OIW04441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIITRLGVLMCSSLPLLSYFMESITQLFGSKAASKSHFSIDCEENMMDMRKGGVSNAANVAAQKHFRQIQHMC >OIW05460 pep chromosome:LupAngTanjil_v1.0:LG09:602403:609304:1 gene:TanjilG_12051 transcript:OIW05460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTPQKVFSGWTHTPKKTGVSGTGSGSLDPGSGHDLDHGSKGKGVSFGENGGNLDRQVLVERISNIEKELYEYQFNMGLLLIEKKEWNSKYNDLSQDLAEVKDAREQEKAAHLIAISEAEKREENLRKALGVEKECVLDLEKALREMRSEHAKIKFTADSKLAEANALVASIEDKSLELEAKLHSADAKLAEISRKSSEIDRKSQDVEIQESALRRERLSFIAEQEAHESTLSKQREDLREWEKKLQEGEERLAKGQRILSEREQRAHDIDKICRQKEKDLEEAQKKADATNITLRSKEDHVNVRLADITLKEKEIDTVRLNLDLKEKELSTWEEKLNARENVEIQKLLDEHNAILDVKKEEFEVELDEKRKSFEEGLQNRLVEVEKKESEINHMEEKVAKHEQALEKKAEKLKEKETEYELKFKALKEREKSVKSEENDLAKEKGKIEGERAELLSLKAEVEKIRDNNEEELSRIKTETNRLKVTGEERSEYLRLQSQLKNEVDQYRHQKELLMKETDDLRQQKETFEREWEELDVKRADLEKELKNVIQQKEEILKLQQTEEARLKNEKQATEDYVQRELETLKLAKESFAAEIELEKSSLYEKTQSEKNQMLMDFELRRQELEADMQNQLEQKENDLLERRKLFEEKREDELNNINFLRDVANREMEEMKLQRSKLEKDKQEADENKNHLERQRTEMQVDIDVLVDLNRKLKNQREQFVVERRRFIDFVEKLRSCQNCGEIISEFVLSDLQSSADIENFEAPSLPKLAGDIIQGASDANLDSSRQSTELSPVAEPKSPVSGGMSWLRKCTSKIFKISPIKKIEAEDVENLTDAAILSAEKANIEGSPVRIPGTEIETELSFAIVNDSFDARRVQSDNDITVVEVDHDPSIDNQSNIDSKAPEDSQPLDSKIGQRKPRKGGVRTRVKRTNTIKSVLKEAEAILGEPEGSEAVPGESVDDRETEFPNGNADDSADVNSESQKPSSRGIAANVRKRNRVQTSQMTVSGHDGDASEGHADIPGQRKRRRQKAAPPLVQPARETRYNLRRPKVGATTSSARAIVSGGNKESEGEVNRVKDTEEGIVFSKTSRSHSVNVTNENDGSIHLVQKFVETHETYGDMTRTVADNIALSEEVNGTADDVEEHDSGYRTESHGDDADGVRNEDEDDEDYQHPGEASIGKKLWTFFTT >OIW04472 pep chromosome:LupAngTanjil_v1.0:LG09:18427868:18441631:1 gene:TanjilG_01645 transcript:OIW04472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRQKMRLGSQYESLYPSEGSKTFSFGNVLDWLHYTWIDIGTPNISFLVALDTGSDLLWVPCDCIECASLSAGHYNVLDRDLNEYSPSLSSTSRHLPCSHQLCDLSSECKGPKDPCPYKDQYASDNTSSSGFLIEDKLHLASDGRNAAQSSVQASIILGCGRKQSGGYLDGAAPDGLLGLGPGSISVPSLLAKAGLIPNSFSICLNENDSGRILFGDQGHIDQQSTPFLPVEGRFSQELNNIPRMKFTFSKNQTFLIQNPLLTFPVSQEYTAFCLTVIQTDEDYGTIGQDFLKGYRMVFDRENLRFGWIKFGTSDSRQELLNFEDMERINELENLNFQDEESLFDGFLNGNVQDSLESIVAGNNQTQTTEFAAYGNNQTQTTESATYGNLVAPPLLFDDSHPLPWSPNSSDPLSKEALQDLPSSMVGLFSEGAAYQSQYEIPNMPMNPMGTRIEQVAPMLPNTIAPQFGRATMTSPSSW >OIW04561 pep chromosome:LupAngTanjil_v1.0:LG09:17198035:17201217:-1 gene:TanjilG_20917 transcript:OIW04561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSYETNKGTEANNLKQERHVHEEQEDGIVFALKLCSSVVFPLAVRSAVELGIFDILAKAGVEGTKLSAEDIATKIGSKNPEAPTMLDRILRLLTSHSMLYCSLVHENDQEGAQRLYSLTPNSKYFVTDADGVSFGATLNLTLDKVFLGSWTEMKGAILEGGIPFNRVYGMHAFEYPIVDPRFNDVFNKAMVNSTTIVMKRVLEFYKGFDHINKLVDVGGGLGINLNLITSKYPHLHGINFDLPHVIEHAPTYAGVEHVGGDMFESVPHGDVIFMKWIIHDWSDEHCLKLLKNCHKATPDDGKVIVVDSILPVIPEATATAKSGFQADILMMAQNPGGKERTQHEFIKLATNSGFAGVRFIGSISGIWVMEFFK >OIW05116 pep chromosome:LupAngTanjil_v1.0:LG09:5683881:5693643:-1 gene:TanjilG_02589 transcript:OIW05116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVGEDGKNLQREIPWLPQTPLKPIILSKPVPIYNSREETEVGSYFKGSFSSFEAEINGRPYPLPEPVENVAGDNDRTRVKISFDNNGSSSSNNGFGEILGSTRYHEFLMNQFGCSSFNSQFNPMYMMLGQNHFSQELPLINTINNNQDPQGTPYFAYYSTATSQVVEPNPDCGIRLDSRYAAELQMNTVEGDQMGNQRNVTATMCNNNQSPLNKEFCDSVIEHAASTPCKETSNHNEEISNVIDLNETPQLKPKRRKHRPKVIRESKPKINRKPVTPKPVPSGEIPTGKRKQVRRKLSTPQTEVIGEQTEPSIPASAEKTCRRSLNFDIGEQPRDENPACVENATTYFGCENGTATVATQNLNNYRPLHEDTQAHDIHLSTHGANPNTNSAESSGKRKGLMTPLDGHENNGKMRSSPNDSNSRSHEMLTTGIQMDGSKRKQYDTDEHANNRYPNLIGAHYNAMQAYGTRYWIQFPNIQKKRRSDIGKNSNTSNTYSGTATKDVRLPTWPQDVRSQQTKRRSSVLTPIHDRDSLTLTTNYNTKLTHTAQQLGSYDRQSFGNAERLHTCVDALVQQTGAPLTKKKRTKKRTTLVSSAYSCTSGIQQHRKFSLENHGLHMVNSSGIAREEMWKNIHTVDALTEVFTHLNINREARELVLHEQNALVPYNQQSQNHKGLVQGYGTIVPYEAFDPVKKQRPRPKVDLDEETNKVWKLLMLDINSHGVDGTDEAKAKWWEEERNVFRGRADSFIARMHLVQGDRRFSPWKGSVVDSVVGVFLTQNVSDHLSSSAFMSLAARFPLKSTSNYKTYHEGSTSLTVNEPQVHIVEPEEKIFDQSAYELGSTAINFIEHPEEKEAVNSNDSGRTSGSLISLTDDESNCKLLEPTQREIREQHSPVESGQISATTEEGQENSCHTGVQKELNDVVSSQCSVITSQISGHILIDQNPEKMGSSSDSNSEVEDMSCTAKYNDFCDKISFTKLLEMASSTMVHEVDSQISKSNEIDPLKTEASSSGLSKNKDENNTRGLSFPTESENQATIANSPSLLSQFHLQEQSNHKQQHALDVLGQSQDSLQNTTEINFGEQNYAMNHENSKMTSAPIKSKSKEPGKEKKDEFKWDILRIEAQAKAGKREKTKNTLDSLDWDAVRCADVREIADAIKERGMNNMLAERIQSFLNRLVDVHGAIDLEWLRDVPPDQAKEYLLSIRGLGLKSVECVRLLTLHHLAFPVDTNVGRIAVRLGWVPLQPLPESLQLHLLELYELHYQLITFGKVFCTKSKPNCNSCPMRGECRHFASAFASARLALPGPEQKSLVIQAGNNPTYQSPSVIMNRLPLPLPESSMQPQEIVQTEVSRHLEASSGTHICQPIIEEPATPEPECSHVMESDIEDAFYEESCEIPSIKLNMEEFTLNLQNYMEENMELQDGEMSKALVALNPEAACIPTPKLKNVSRLRTEHCVYELPDSHPLLEGWDTRETDDPGKYLLAIWTPGETPNSIQPPETKCSSQDCGQLCNEKECFSCNSFREANSQTVRGTILIPCRTAMRGSFPLNGTYFQVNEVFAEHESSLNPISVPRSWIWNLNRRTVYFGTSVPSIFKGLTTPEIQQCFWRGYVCVRGFDRATRAPRPLMARLHFPASKLAKNKEIRNKRASTSANSQGLNLETNPQPEQPQELLLNIENLFN >OIW04907 pep chromosome:LupAngTanjil_v1.0:LG09:9791901:9808679:1 gene:TanjilG_23910 transcript:OIW04907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMEVELSSVQLKMHSQTILQKQKGGLVTMPFIIANEALARVATLGLLPNMILYLMGNYHLHLSHATHILLLSVATNNFTPLIGAFVADSYLGRFLAVGLGSFITFLGMALLWLTAMIPQARPPPCDPTTEKCKSATSGQMTMLLSSLAIMAIGNGGLQCSLAFGADQVNKKDNPNNQRALEIFFSWYYASTAISVIMAFTGIVYIQDHFGWKLGFGVPSALMFLSTFFFFLASPLYVKNKTHSSLLTSFAQVTVVAYKNRKLRLPPKNSAGMFHHKKDSDLLVPTDKLRFLNKACFIKDREKDIASDGSASCPWSLCSIDQVEELKAIVKVFPLWSTGILMSLNIGGSFGLLQAKSLNRHITSNFEVPAGSLSVIMIFTIFVWIALYDRVVIPLASKLRGKPVRISAKRRMGIGLFFSFLHLVTAAIVETTRRKRAIKEGYINDTHAVLNMSAMWLFPQLCLGGIAEAFNAIGQNEFYYAEFPKTMSSIASSLFGLGMVPGYLLSSLLFKIVENFTSRGGKDGWISDNINKGRYDKYYWVLASLSGVNILYYLVCSWAYGPTADEEHTVTEENSSNEEELPLMELGIADQYDKYWEEQEGISMKNEVELASSELEMASQHISQPQRNKGGLVTMPFIIANEALARVASIGLLPNMILYLMGSYKLHLAKATQILLLSTASSNFTPVVAAFIADSYLGRFNAVGLGSIVTFMGMTLLWLTAMIPKARPPPCNPATERCKSATNGQMAMLLSSLALQSIGNGGLSCSLAFGADQVNKKENPKNHRALEIFFSWYYASSAISVIIAFTGIVYIQDHLGWKLGFGVPAALMLLSTFFFFLASPLYVKNKTQGSLITGFARVIVVSYKNRKVLLPPMNSAEMYHHKKDSDLVVPTDKLRFLNKACVIQDPENDVASDGSATNPWNLCTIDQVEELKAIIKVIPLWSSSIMMSLNIGGSFGLLQAKSLNRHITSHFEVPPGGMAEAFNAIGQNEFYYTEFPRTMSSVSSSLFGLGMAAGNVISSLVFSIVENVTSRGGKEGWVLDNINKGRYDRYYWILASISAVNIGYYIVCSWAYGPTVDQVSKVVDVNGSDVSEEIGPQGKELTEFGNGSSVNKVLKEELFEQGVHDEK >OIW04146 pep chromosome:LupAngTanjil_v1.0:LG09:20867400:20878773:-1 gene:TanjilG_00706 transcript:OIW04146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVMTPAPIDQQEDEEMLVPHTDLAENNHQPMEVVAQPETANNTVETQPVEDPPSSRFTWRIDNFSRMNTKKLYSEIFVVGGYKWRVLIFPKGNNVDYLSMYLDVADSTSLPYGWSRYAQFSLAVVNQIHDKYTVRKDTQHQFNARESDWGFTSFMPLGELYDPSRGYLMNDTLIVEAEVLVRRIVDYWTYDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDMPSGSIPLALQSLFYKLQYSDTSVATKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEDKMKGTVVEGTIQKLFEGHHMNYIECINVDYKSTRKESFYDLQLDVKGCRDVYASFDKYVEVERLEGDNKYQAEQYGLQDAKKGVLFIDFPPVLQLQLKRFEYDFMRDTMVKINDRYEFPLQLDLDRENGKYLSPDADRTVRNLYTLHSVLVHSGGVHGGHYYAFIRPTLSEQWYKFDDERVTKEDIKRALEEQYGGEEELPQTNPGFNNAPFKFTKYSNAYMLVYIRETDKDKIICNVDEKDIAEHLRERLKKEQEEKEHKKKEKAEAHLYTIIKVARDEDLVEQIGKDIYFDLVDHDKVRSFRVQKQMSFNLFKEEVAKEFGVPVQFQRYWLWAKRQNHTYRPNRPLTHVEEAQSVGQLREVSNKVHNAELKLFLEVELGPDFRPIAPPEKTKDDILLFFKLYDPEKEELRYVGRRFVKSTGKPSEILTMLNEMAGYDPNEEIGLYEEIKFEPNVMCEPIDKKLTFRASQLEDGDIICFQKAPSMDSEENVRYPDVPSYLEYVHNRQVVHFRSLDKPKEDDFCLEMSRLYTYDDVVERVAQQLGLDDPSKIRLTPHNCYSQQPKPQPIKYRGADHLSDMLVHYNQTSDILYYEVLDIPLPELQGLKTLKVAFHHATKDEVVIHTIRLPKQSTVGDVLDDLKTKVEMSHPDAELRLLEVFYHKIYKVFPPTEKIEGINDQYWTLRAEEVPEEEKNLGPQGRLIHVYHFTKDTAQNQMQIQNFGEPFFLVIHEGETLDEIKVRIQKKLQVPDDEFAKWKFAFFSLGRPEYLQDSDIISSRFQRRDVYGAWEQYLGLEHTDNAPKRTYAVNQNRHAYEKPVKIYN >OIW04145 pep chromosome:LupAngTanjil_v1.0:LG09:20862600:20864915:1 gene:TanjilG_00705 transcript:OIW04145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFELRRAREKLEKEQKERKEKARLKVQKEKKAKEESLKQREAIEASQRSRRIDASNAQLKADQEMQESLLAGRGIVFYRLLEAVPFQGGGDKIKLPPSCFTELSDQGAFDKGPLYFQLSLVHAEGSSVTQGNYRDKQGTTHSGVLEFTADDGSVGIPPHVWNNLFSEGSIESPLVEVRYVWLPKGTYAKLQPERVGFSDLPNHKAILETSLRQHATLSRGDILTVNYGELAYKLRVLELKPSSTVSVLETDIEVDIVDPDKASEKTDEHVLIPLVVGVSQIGTVDEGKFLYYKFSIDNGAWEKISTGNSNVEVKLEFETDSGDTDLFISRHPLIFPTRHQHEWSSHDIGSKALILSSKDKNFGPGTYSIGIYGFKGITRYKISVMVQDNLNQKPGQQASSSMSSTEIDTEQCRNCKHYIPSRTIALHEAYCVRHNVVCQHVGCGVVLRTGESKNHIHCDRCGQAFQRVELEKHMKVFHEPLHCPCGIILEKEQMVEHQGSVCPLRLISCRFCGDMVPAGSSAMDVRDKLRGLSEHESICGSRTAPCDSCGRSVMLKDMDIHQIAVHQKG >OIW04756 pep chromosome:LupAngTanjil_v1.0:LG09:14149099:14150007:-1 gene:TanjilG_08639 transcript:OIW04756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSPNNTHTLDKDTQTPPPTQPNNTKSSSFTNGSFKPYYPTTTTTSTSSTPPPPPPSTVLISYNECLKNHAANIGGHALDGCGEFMPSSSSNPSEPRSLTCAACGCHRNFHRRDNNPRFPSFYHSSPPLPRRGLSLRSSSPSSLSPSPISSSPSSPPPISHHFPTSYAVPHMLLSRGNGYCDDQHRGFSFFNYSSENKNQSGKKKRCRTKFSQEQKEKMHSLCEKLGWRMNKEDEGLIQEFCNEVGIPRGVFKVWMHNNKNIFKKRSLEMLGNAVSDEKINGNHNGNGDDSDEINNSLEHN >OIW04254 pep chromosome:LupAngTanjil_v1.0:LG09:21566431:21569055:-1 gene:TanjilG_00814 transcript:OIW04254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLQQKTETGLFPPPGFSSTYKANLDDNSVSELVSILRTAFRFDEFDSVEKVLVARDASLKAEIASLQVKIELERLNKIRAEEELKKKEEQCQKGERALELYEKLLKRVKESEFGNMKTVEESSDKNCELLAENCKFGEDDKIAMDGLRMRNNEMEEELKNDKGVIDELKTVNTRLLDENQRLKALVESKERKYLELNEKVLKIEDDVKLLKSANAAYGSGSGEWKGPMAEPQELEEFNEMKGHGCNTGSIPFQGNRGTCKVNKDAPGTGGSGSVPNTTIIEIADSDDEDNDDQSHRRQQKNFSSMETIERVARKISLSDISSSSSDSPSHCYKKRKRG >OIW05283 pep chromosome:LupAngTanjil_v1.0:LG09:3377269:3377955:1 gene:TanjilG_03672 transcript:OIW05283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVITAADDGDILNRHYGNPLNPQNPTTAISIRECDVIVHSHTIRTVVTTSSLKLDSWIADTLSIPSPFHSIIVGFDVEWNPNTTTAARNPIATIQFYTDERCMIFQILHAPFIPRSLVRFLINPYVTFVGIGIVDDVIKLREDYGLNVSGVIDVREFAAEELNEKELKNAGIKTMSLRFLGLEIEKPKRVSRSRWDRVCLTPEQVQYACVDAFISYELGNCLIGPEYL >OIW05320 pep chromosome:LupAngTanjil_v1.0:LG09:2710107:2711273:-1 gene:TanjilG_28785 transcript:OIW05320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASACINNFLDCPPPPYNWLTPRISITPNTNDQDSASKLNDSNHNLDLESDNFKFCLEDRVTMLPADELFSDGKLIPLHLSLKNSLTNNTTSPETVKSHRRPEISTSDQYLFSPKAPRCSSRWKEILGLKKLYQSASMNKTTSLISSSSSSSNNKSLKYFLHRKTTSLTPENAPLLKDHSDSESISISSSRLSLCSSSSSHDHDGNVPRLSLDSEKQNPNPNLISIHRNPNHSRIRLVKAKPESFENNRKIMNKEPYSGTNRVGFRKPSPESGAVVCRGVTVDSPRMNSSGKIVFQSLERSSSSPSSFNGGPRFKYRDRGMERSYSANVRVTPVLNVPVSSLMSGSKSGSIFGFGQLFSSQPKKEVGVGNGGPIKGHQYGARNRCERS >OIW04993 pep chromosome:LupAngTanjil_v1.0:LG09:8339462:8340192:-1 gene:TanjilG_24465 transcript:OIW04993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECNNNGSRRNRDGGEVGKAIIGLVCGTLVYYHCAFRNSSILSLFSDVFIVLLCSLAILGLLFRQINIQVPVDPLEWQISQDSANTIVASLANTIGAAESVLRVAATGHDKRLFFKVILSLYLLSALGRFALPATVAYAGLCFFCLYMFADSSQSITSCLAWFLEGRRNDTDDRDQDTIM >OIW04119 pep chromosome:LupAngTanjil_v1.0:LG09:20661881:20662534:-1 gene:TanjilG_00679 transcript:OIW04119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSPTLPISSPLANHSSTVSSQSQSPIATPALRSFVSRLTTSLRHGFSQRRPWTELLDRNSMSKPESLSEAYSRIRKNFNYFRVNYVTLIAIALALSLVTHPFSLLVLIGLLASWFFLYLFRPSDQPLVLFGRTFSDRETLGILVVLTVFVIFLTTVGSLLISALMVGLAIVCAHGAFRLPEDLFLDDQEPANAGFLSFLGGAASSAAASAAVSHV >OIW05410 pep chromosome:LupAngTanjil_v1.0:LG09:1514442:1515884:1 gene:TanjilG_28875 transcript:OIW05410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKICCIGAGYVGGPTMAVIAVKCPSIEVVVVDISKSRIAAWNSDQLPIYEPGLDAIVKQCRGKNLFFSTEVEKHVFEADIVFVSVNTPTKTQGLGAGKAADLTYWESAARMIADVSKSDKIVVEKSTVPVKTAEAIEKILTHNSKGIKFQILSNPEFLAEGTAIQDLFKPDRVLIGGRETPEGQIAIQALKDVYAQWVPEERILTTNLWSAELSKLAANAFLAQRISSVNAMSALCEATGANVQQVAYAVGTDTRIGPKFLNASVGFGGSCFQKDILNLVYICECNGLPDVAEYWKQVIKINDYQKSRFVNRVVASMFNTVSNKKIAILGFAFKKDTGDTRETPAIDVCQGLLGDKANLSIYDPQVTEDQIQRDLSMNKFDWDHPIHLQPTSPTTVKKVSVVWDAYEATKDAHGLCILTEWDEFKTLDYQKIYDNMQKPAFVFDGRNIVDANKLREVGFIVYSIGKPLDPWLKDMPAVA >OIW05130 pep chromosome:LupAngTanjil_v1.0:LG09:5843386:5856785:1 gene:TanjilG_02603 transcript:OIW05130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGLRKHQVSLRGASAKEITRDDLLQKVSRERELRNYAKRAAAAALFIQRVWRRFKVTKMVALNLQQEWEISVNHYTGVRTAIWISNNLLRPFLFFVTCLPNRRQKVDNKKIVSLKIGLTILMESLKSSELSECNSGNQDITIVTSLAMRVLVLLTDPKGWKGITDGPHLDADLAVKDLIQFMGSDKSGCYVSIARYIHALNSNSSPTKNISQADELFLITASAITLAVRPFYLTNFDVNGPSMLDVNHSAQRYIVHLLTIPWLVKRLPSVLQPALKHKSILFPCFQTLLAIPPVGWALTNIICLATGNGNDSVGSGSFNQGLDIGLYVRVVIALAESLLSCLGNNGWTTKKKKVLQPDVETSTQPVDMVLHEGEATTYESLIVSYIDQFRPICQQWHLTNLLASINTDATNKPEITLSNSLEHLRKLDLHDVTLLHSNLLRILSSLSPTRGSLPVLNMLSFTPGYLVRLWDVLEDAYFSGDQHISGNDTSEKRKDKDFKKMQKQASKNGANMWASVLNKFTGKSQAATDCTNSIGSCSEPSNVNENDSSDIWDIEPMRFGPQGIPKDKFATLHLFCATYSHLLLVLDDIEFYEKQVPFKLEQQRRIASMLNTLVYNGLSNVNGHHNRPLMDCAIRCLHLMYERDCRHSFCPPALWLSPGRKSRPPIAAAARTHEVLSANLRSNDSSSAALSVGSVITMTPHVFPFEERVEMFREFIKMDKNSRKMAGEISEPGSRAIGVVVRRGHIVEDGFRQLNSLGSRLKSSIHVSFVSECGLPEAGLDYGGLSKEFLTDISKAAFTPEYGLFSQTSTSDRLLIPTISARYLDNGLQMIEFLGRVVGKALYEGILLDYSFSHVFVQKLLGRYSFLDELSTLDPELYRSLMYVKNYDGDVGELCLDFTVTEESYGKMNVVELKSGGKDISVTNDNKMQYIHAMADYKLNQQILPFSNAFYKGLTDLISPSWLKLFNASEFNQLLSGGNYDIDIDDLKNNTRYTGGYNEGSRTIKIFWEVIKGFEPKERCLLLKFVTSCSRAPLLGFKYLQPAFTIHKVACDVPLWATIGGQDVDRLPSASTCYNTLKIEEGGVSKEDYNQVIDELEQIMKERTDEVLVGCTNNLVLALDESRIIHVGGKVLCQDCSHGWNEWVYGGKPIKGAKVSLTCMDKRERVVYYTSDKTDELGQYDISVNNYVYGKELNTKGCLVRLVSSPDYVCNILTDFGGGKSGVKLNQPTLVYRSLIKYVINPFYYTTPLCDKPDTNASNSESKDPHGEEGHY >OIW04445 pep chromosome:LupAngTanjil_v1.0:LG09:19986678:19988434:1 gene:TanjilG_32637 transcript:OIW04445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAFVSQDKVVAMVSRTGRELQRYRKGRRQVVGCIPYRYKNDVQTSLESLEELQVLIITSKKGKAMLFPKEKLGKWSFKSKTYDTFYEGYMFPLLVQDQLEFWPEQHVRQRIWMSISEAKEVCQHWWMKEALERLVNRLTAQKYGRVKQVVVFEIRLNTSSLVALP >OIW04724 pep chromosome:LupAngTanjil_v1.0:LG09:14451481:14452594:1 gene:TanjilG_06716 transcript:OIW04724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTSLSSIIHISSTYSNPQKKFQIGMISRRHIDFPLSFSSLPSSKVLQKSVPLAASIALLLWSSPAHAGFMSGISGIESIRGPDLPQIDFLNRLNEENQKKYAENDARFKSSPLLQKLLEQSKLNKEKNRQAIENKYCIRGAEWGVGDCSAEGMSPEEKEKFIAMLKEKAGEK >OIW04914 pep chromosome:LupAngTanjil_v1.0:LG09:9641797:9644953:1 gene:TanjilG_32022 transcript:OIW04914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAKSGRMPLRDISKINNINNNVIDSNNKKKKNTNNQTTTLDVEDYSVDRLLLVHSDLSTILHQIDELVVEAVKLKNVNKDGRKEIESFSDVLSNMLSSLKPWVPRFQKALSSTRLVQPEILPHQALESKSVSSDDIDESDVCDSPAETTLVSPSPLVSWRANCTIQRGRQMFMLTPLPMSKALSTRHQQPSKSEFNKLASIGTSSFIALDMTDLLDNVAMKQTPKKPVPSIAIEESNNNEELGLISSPLIPRRDSSMIVMMTPCLKMSPPKSCVLLEPISEMGHVGNEKVCNRKSTPFPVGIHYSDSEDSESPGSGHASQGLAFKYPELLGIHNVPKSGIAKKTVEASPDWLMSPPKTCVLLEPPDEESLNSEKVDNISCVQITSSIGMQQISKMKDDFSKEHNQTNKSCNQGNFGANLSHVEGTPMWQEPESSFRTGKRPGENTLKKELWTKFEAASTYIVQPKLPTIGENIQKGFLDLLEEASADE >OIW04795 pep chromosome:LupAngTanjil_v1.0:LG09:12932231:12932530:1 gene:TanjilG_16151 transcript:OIW04795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLGNFEWVNAYGKKRCKSLFWRMRATMKKTFKKCGKKKQIKFQYDPSSYALNFDDGCSHFDIQDAANKFMGDAIVEELKDMNNNTTTWVFVLLVKTM >OIW04554 pep chromosome:LupAngTanjil_v1.0:LG09:17501314:17508986:-1 gene:TanjilG_13936 transcript:OIW04554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTRHRLILTLTLQEPVSHVVARPSPNDILEWHYVLEGSEGTPFAGGYYYGKIKFPPEYPYKPPGISMTTPNGRFMTQKKICLSMSDFHPESWNPMWSVSSILTGLLSFMMDNSPTTGSVNTTTAEKQRLAKSSLSFNCKNATFRKMFPEYVERYNQQQLSEQVASERESSEASNDKASKPLLEKNADSTREDTEKIDGLKEVQTPYHGKLSSVSFAFCNEHSAACAWKSLGRFRPLIKAIATILEPNNLVAMEDKCLGSKDLDLGLSPNPREVLLESSVEESEEPDEKERLRRMKISKANKGQAAWNKGRKHSPETLRKIKERTRLAMQNPKIKMKLANIRHPQTIETRLKIAAGVKMRCKRRRERKVVQETCCFKWQNLIAETSRRGYAGQEELQWNSFEILNEQLELEWLASVKECKTMPREPGSNRAPKTLEHRRKIAAAISAKWADPEYVNKVYSAKGKHHDTERAERKPRRRPIDGAQSIRKNPIVKMNANLNVKSDTKFLNYVRLKKSMSSPSFKDPLVSSKLEMLKTIRVQRSAADTELTKTIQQARLLIAEAEKAAQALEFATTKSHIAQTSLIETRQLIAEAIQSLESIDAQGIVVSNIPSSSSSEVKKENDADFEALNQSHKDPVNGHETLSSSDYKFYKDLGKVSLQKFVDGDSEQLHPTSINGFVSIPVGFNCKIEQSSSSNQQCQTEHEHSSKYKIHTSSTVLGIQSIKDEKQPISPTVTKKWVCGRLVEVVKQ >OIW04780 pep chromosome:LupAngTanjil_v1.0:LG09:13304510:13306231:1 gene:TanjilG_06369 transcript:OIW04780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVSDYYKILKVNHDATDEELKKAFKKLAMKWHPDKNYDHHKKEDFEAKFKQISEAYDVLSDPKKRQIYDFYGHYPLNSHRYNKENGDGNVKDHEKKGVVESSLGCTLEELYKGCKRRLRISRIVPDELDNLTSIEEILKIDIQPGWKKGTKITFPGKGNQENGAAPADLIFVLDEKPHALFKRDGNDLVTTQKILLVDALTGGKTLNLKTLDGRDLTVQVTEIVKPGSELVVPNEGMPISKEPGKKGNLRIKFNVMFPSRLTTQQKYELKKILE >OIW04177 pep chromosome:LupAngTanjil_v1.0:LG09:21041064:21045478:-1 gene:TanjilG_00737 transcript:OIW04177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVLLLRSKFDFYIIISFVIIITSSSSLSQSNQYCDSGIGYSDSTCGNGIGSLNSKLLIKGGTVVNANHKHLADVYVEDGIIVAVNPNIRVGDDVTVIDATGKFVMPGGIDPHTHLEFEFMGTETVDDFFSGQAAALAGGTTMHIDFVIPRNGNLTAGFEAYEKKAKKSCMDYGFHMAITKWDEAVSREMELMVKEKGINSFKFFMAYKGSLMINDELLLEGLKKCKSLGALAMVHAENGDAVHEGQNRMTELGITGPEGHALSRPAVLEGEATARAIRLAEFVNTPLYVVHVMSIDALEEIAKARKSGQRVIGEPVVSGLVLDESWLWHPDFETAAKQVPPYVSLYVMSPPIRKRGHDKALQAALSTGVLQESGQISVTDYVRLTSTECARIFNIYPRKGAILPGSDADIIILNPNSSFEITSKSHHSRLDTNVYEGRTGKGKVEVTIAGGRIVWENNELKVTPGVGKYIEMAPFNYLFDGVDKKDAIYLNSLQAPVKRVKS >OIW05152 pep chromosome:LupAngTanjil_v1.0:LG09:6099305:6102051:-1 gene:TanjilG_02625 transcript:OIW05152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAITKTNGHYQNGSLCLNTSTTTNGSNINNDPLNWGVAAESLKGSHLDEVKHMVAEYRKPMVKLGGETLTISQVAAIAAKDRGVRVELSESARAGVKASSEWVMESMNNGTDSYGVTTGFGATSHRRTKQGGALQKELIRFLNAGIFGNGTESTHTLPQSATRAAMLVRINTLLQGYSGIRFEILEAITKLLNNNITPCLPLRGTITASGDLVPLSYIAGLLTGRPNSKAIGPKGEVLNAKQAFEVAGINSGFFELQPKEGLALVNGTAVGSGLASIVLFEANVIGVLAEVLSAIFAEVMQGKPEFTDHLTHKLKHHPGQIEAAAIMEHILDGSSYMKDAKKLHEVDPLQKPKQDRYALRTSPQWLGPLIEVIRFSTKSIEREINSVNDNPLIDVSRNKALHGGNFQGTPIGVSMDNTRLALASIGKLMFAQFSELVNDFYNNGLPSNLTASRNPSLDYGFKGAEIAMASYCSELQYLANPVTTHVQSAEQHNQDVNSLGLISSRKTNEAIEILQLMSSTFLIALCQAIDLRHLEENLKNAVKNTVSQVSKRTLTTGINGELHPSRFCEKDLLKVVDREHVFTYIDDPCSATYPLMQKLRQVLVDHALANAENEKDLSTSIFQKIETFEDELKVILPKEVESARAAYESGNSTIPNKIIECRSYPLYKFVREELGSELLTGEKVRSPGEECDKLFIAMCHGRIIDPLLECLGEWNGAPLPIS >OIW04122 pep chromosome:LupAngTanjil_v1.0:LG09:20676813:20678441:-1 gene:TanjilG_00682 transcript:OIW04122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSVDNGDSKEEIEESNGSKVQEFDSIDISTSRKTLPSSENPQENMSFVPNRIKFFNFGFASAKFKRHAIVKDQISELAPSSGSLRECLAKKLNWDSLKKVCMEWIRDPVNMALLAWILCVGVSGAILFLVMTGMLNAVLPNKSTRNAWFEVNNQILNALFTLMCLYHHPKRIYHLVLLSRWNPEDISRLRKVYCKNGTYKPREWAHMVVVVILLNVNCFAQYALCGLNWGYRRSVRPPIGVGVCIAFSFGAPAVAGLYTIISPLGKEYDSDMDEEAQVQISKAQKKEQLRVKSFERRYSFAQRDQQRVVESKPLWSGGILDLWDDISQAYLSLFCTFCVFGWNIERLGFGNMYVHIATFMLFCIAPFWIFILTAVNIEDDTVRLGLVGVGIVLCFLGLLYGGFWRIQIRKRFNLPAYNFCFGRPSASDCTLWLCCCLCSLAQEVRTRNTYDIIEDEFSRKEHDTSSDKLQISPSPREDIESNKSGSSSLFGSSFPSISPQSSKGYHSPGTPLSTVKEDNPERDENGIMKSPTPPLIHRESL >OIW04433 pep chromosome:LupAngTanjil_v1.0:LG09:19901781:19908213:-1 gene:TanjilG_32625 transcript:OIW04433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIQTCGRPIDSLLEKVLCMNILSSDYFKELYQLKTYHEVVDEIYNQVDHVEPWMTGNCRGPSTAFCLLYKFFTMKLTVKQMHGLLKHPDSPYIRAVGFLYLRYFADTKTLWNWYEPYVKDDEEFSPGSNGRMTTMGVYIRDLLLGQYYFDTILPRIPVAVLRQVVSNLEKCKLPTTHCGTTGETTRHGSDDTARRPPSVKAALSVSFGQRAPHRASTRDSSPVRRTLPPPPPQRNGSEDIRRSPNSRRSQSREYPDRDRVAVLRQVVSNLEKCKLPTTHCGTTGETTRHGSDDTARRPPSVKAALSVSFGQRAPHRASTRDSSPVRRTLPPPPPQRNGSEDIRRSPNSRRSQSREYPDRDRGRDREGDRDRGREGDRDRGREGDRDRGREGDRDRGRERDRARDRERDRYQDRDSGRHRDRNRNRDRDQERDRERYRDRDRDRDQERDRIGKDRERERSMDYDKRSKYPDRESSWDYVGIGSRRHRSRSRSRSRSRSLQAGTGRLESRSSPPRDLNKTSASSNLAKLKDIYGDLGDNKGNADLERIPRRDNGGGEEVIRLGGSTWKY >OIW04638 pep chromosome:LupAngTanjil_v1.0:LG09:15248937:15249347:-1 gene:TanjilG_07773 transcript:OIW04638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMKYLTLLWTHLSWVLNFLLYYPFYKLHDSKVPIIEEEVSICDYYESTTTSEEGIDCAVCLCKIEEMDEIRVLRCDHLFHRDCLDKWVGFKNAICPLCREPMGPRRVITELGAEVLFFQFCAIHNDDEHDTWWLR >OIW04320 pep chromosome:LupAngTanjil_v1.0:LG09:18825840:18832645:-1 gene:TanjilG_32512 transcript:OIW04320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHKCTYIFPRRFPDREESYDHEKKKNVNSIKSRDSFEVENDAPKKQLINQNDVVFNSPKLSVVSDIFNPRDKVQRSKQKQVSAFRDWFIHKKRDSKVKDHDDDDEVEEERELLLPFKDVASAVDRSFDRNVSLPRLSSGSSYAGSLFSGTATLDGTTTFSSDITKDDTSSYRVSNVTATIMHEEEEDEAVEAESYARKYRESKYLQMAMAKRIGCLSSLVSEPVFAVDTGIETWDAESVSYRLWVSGSLSYTDKISDGFYSILGMNPYMWVMCNDVEEGKRLPTLMALKAVEPSESSIEVVLIDRQEDSTLKDLHDIAQELHSDSGNSLMLVEKLGKLVAICMGGTFPVEQGDLHKRWKLVSKRLRSIHKCVVLPIGSLSSGLCRHRAILFKRLADYIGLPCRIARGCRYCASDHRSSCLVKIKDDRQLSREYVVDLVGEPGNVHGPNSSINGAYASLIPSPFRISHLKESQSPYVDDATFSQSISSNHSSIIPECHPYAESAQEAQQVRETNLMRNYKGSSYALVDQSCQGVSGALCPAFEASLHEYPRLGKDTVVVQDSDNEDIVNGGAGVKSTFNQSILSLSSQSEQEQVENDRVENQGSAGNIPRYLDLEPSLAMDWLEISWDDLRIKERIGAGSFGTVYRAEWHGSVSIMKRVRHPNVVLFMGAVTKRPHLSIVTEYLPRGSLYRLIHRPASGEVMDARRRLRMILDVAKGINYLHCLKPPIVHWDLKSPNLLVDKNWTVKVCDFGLSRFKANTFLSSKSFAGTPEWMAPEFLRGERSNEKSDVYSFGVILWELVTLQQPWSGLSPAQVVGAVAFQNRRLEMPPNISPTLATLMESCWADDPAERPSFTSIVDTLKKLLKSPADTFKMCGK >OIW04160 pep chromosome:LupAngTanjil_v1.0:LG09:20959726:20961075:1 gene:TanjilG_00720 transcript:OIW04160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELVKQGKVRLTKWYSPYSQKERSKVIRELSGVIISRAPRLCNFVEWRGFKVVYKRYASLYFCFCNDQEDNELETLSIIHHYVETLDRYFGSVCELDLIFNFHKAYFILDEILLAGAMQETSKRTTLRLIAAQEDLVEVAKEEASSLSNIIAQATK >OIW05017 pep chromosome:LupAngTanjil_v1.0:LG09:8192108:8194366:1 gene:TanjilG_06429 transcript:OIW05017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSQHIISIVKGEENIAQMKKENNKIHPRDKQNETRNIIHSVKVGVSLVLVSLMYLLNPLFHQVGENAMWAIMTVIVIFEFSPGATLGKGLNRGMGTIVGGGLGCLAAFFAHNIIGGVGNSVIIGTVGTYFRLLPKVKQRYEYGVVTLMLTFNLVMMYGVRANEKVWEIARQRLLSIVMGFIVCICVSLFIFPLWASDELHHSTVSTFHDLANTIQGCLEENGILVSENESQLIAGFTLCNSVLNSKSKDELLANSARWEPWHGKFGFCYPWENYLKIGNVLRELATFVLAVGHSLQSSKQDMASLRQTHLEQFETCEAIGSRVAWALRELGDSMKQMKKCEDNKGSSILAKLKECREELSLVISTSKVAILENGQVLAISSLVFLLMKVVDKVEELVKEVEELGQIAAFPTNITIPLSS >OIW04599 pep chromosome:LupAngTanjil_v1.0:LG09:16792666:16793040:1 gene:TanjilG_18076 transcript:OIW04599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSNRNMSEITTMKSEFEDLLPVMAEKLDVEAFMSELCGGFKLLADQENGLITSESLRRNSALLGMDGMSKEDAEAMVREGDIDGDGKLNETEFCILMVRLSPGMMEHAEEWLEKTIEEELMKS >OIW05443 pep chromosome:LupAngTanjil_v1.0:LG09:1112101:1112379:-1 gene:TanjilG_12034 transcript:OIW05443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTPLLSSPFSLFFAMASCPFLVGHDLKLRDKENYIFNNGSFGTEDQYVNQSVLGCQSTTNQYSQTDSHFFGKTRESGFYGLLNIEVSSKNS >OIW04516 pep chromosome:LupAngTanjil_v1.0:LG09:17850257:17850541:-1 gene:TanjilG_13898 transcript:OIW04516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAKQKIVAMAEEEEQHNAVVKIYTKSETRVKNNLHNHKFHATVIPAPRKSVKRMMFEEMLQFLTRLFTNSRKLLQHAQNKVSQKDQKTHISLQ >OIW05175 pep chromosome:LupAngTanjil_v1.0:LG09:5418413:5420927:1 gene:TanjilG_19806 transcript:OIW05175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSVYLKGHEGIHHNSIGQFSHANSAPWWSSFASESAYGAESYGQMKPFSLEFANYEDHLNDTKKSARGAEQVFGKGYTTQFTIFSDIFKMSGGAQKPQATTSLQSSLTDTNTHFELGFTQPMISAKYPYADQFYGLFSTYVPQISGRIMLPLNMASDDGPIYVNAKQYHGIIRRRQSRAKAVLDNKSIKRRKPYMHESRHRHAMRRPRGCGGRFLNTRNYANGNGKSGNEVNKSSGQQLHSSGSQGSEILQSEVGTLNSSKETNGNSPNISGSEVTSMYSHGGLDSFTFNHIGSEAVHSLADIIDGGRGIITPTKWVAAGGNCYNLNI >OIW05066 pep chromosome:LupAngTanjil_v1.0:LG09:7138610:7140467:1 gene:TanjilG_02773 transcript:OIW05066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKRGRGGSAGNKFRMSLGLPVAATVNCADNTGAKNLYIISVKGIKGRLNRLPSACVGDMVMATVKKGKPDLRKKVLPAVIVRQRKPWRRKDGVFMYFEDNAGVIVNPKGEMKGSAITGPIGKECADLWPRIASAANAIV >OIW04407 pep chromosome:LupAngTanjil_v1.0:LG09:19671774:19672358:-1 gene:TanjilG_32599 transcript:OIW04407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSKPSNFPQRPFPIIVQRQRSKNMMCLEEHLTRQLSNISNEGYHGGESASVPFVWESEPGTPKVRVNENFMPPLTPPPSYQQKGTTKKTITKAKIKNSPKATSLFQTIFPKRGTKKTSHEAPVLSYSSFSSSSSSSTPRRLSYSVPSSPMTYSRKGEEEDLYDVPRSSLCFGNAGSRGFYSSMFKKVLLGDFM >OIW04444 pep chromosome:LupAngTanjil_v1.0:LG09:19975126:19978214:-1 gene:TanjilG_32636 transcript:OIW04444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLSDLINLNLSDTTEKIIAEYIWVGGSGVDLRSKARTLSGPVNDPSKLPKWNYDGSSTGQAPGKDSEVILWPQAIFKDPFRRGNNILVICDTYTPSGKPIPTNKRHAAAKIFSHPDVAAEEPWFGIEQEYTLLQKDIHWPIGWPLGGFPGPQGPYYCGTGAEKAFGRDIVDSHYKACLYAGINISGINAEVMPGQWEFQVGPSIGISAGDELWVARYILERITEIAGVVLSLDPKPIPGDWNGAGAHTNYSTKSMRNDGGYEVIKQAIEKLEKRHNEHIAAYGEGNERRLTGRHETADISTFSWGVANRGASIRVGRDTEKEGKGYFEDRRPASNMDPYVVTSMIAETTLL >OIW04210 pep chromosome:LupAngTanjil_v1.0:LG09:21302184:21304567:1 gene:TanjilG_00770 transcript:OIW04210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAENVPKSKKQVNLFYSLDCKDLAFNVASHCDHIILHNIKWRSFADGFPNIYIENAEELRGKHVAFLASFSSPAHVFEQLSVIYALPRLFVASFALVLPFFPTGSFERMEEEGDVATAFTLARMLSNIPISRGGPTSLVIYDIHALQERFYFSDEVLPLFETGIPLLKQRLSQLPDADNVVIAFPDDGAWKRFHKQFDNFSVVVCNKVREGDKRIVRIKEGDATGRHVVIVDDLVQSGGTLIECQKVLAAHGAAKVSAYVTHGVFPNQSWERFTHKNDGLDNAFAYFWTTDSCPLTVKAIENKAPFEVLSLAGSIANALQI >OIW05459 pep chromosome:LupAngTanjil_v1.0:LG09:611709:617175:-1 gene:TanjilG_12050 transcript:OIW05459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEEEQKLKRIAATSYDYENDPRWSDYWSNILIPPHLASRPDVINHYKLKFYHRYIDADLVVEPMSTSSSSQPARPSPTSSSPSPSDGQTRARSSAGSANRSSGTSATTGPNPTPLRWDKQTIQFSVNAWVFVVAVLAVVPLVPRNLSNRAYRLSFMGTTCSSLYSLYSLYGKPRAWNLQALQIYFQSIIAAKDFIIFIYCLSFVTSHLCLKFALIPILCRALEHVAKFLRRNFSRSTLYRKYLEEPCVWVESNNTTLNILTSHAEIGLGFLLIISLFSWQRNIIQTFMYWQLLKLMYQAPVTAAYHQSVWAKIGRTVNPLIHRHAPILNTPLSAVQRWWFR >OIW04259 pep chromosome:LupAngTanjil_v1.0:LG09:21599074:21600291:1 gene:TanjilG_00819 transcript:OIW04259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANNSADEPLLLVSPDPAVPKAKRQLNKRRFLRSRSAPCAPELVPPETNDNVVSITNSKSIFGKLHPSFKKVATCLTLYIGVGAVIFYLVRNQIKGTKTDGVLDAIYFTIVTMTTVGYGDLVPNSDLTKLLACAFVFSGMAVVGLILSKAADYLVEKQEILLVKAMHMRRKVGPIEILKEVEVNKTRYKFVMVFLLLVLLIIAGTIFLVNVEKLEMIDAFYCVCSTLTTLGYGDKSFSTQPGRIFAVFWILTGTLTLAQVFFYLAELNTERRQKELANWVLTKKMTNLDLEAADLDDDGSVGAAEFVIYKLKEMGKISEEDILRVMQEFEHLDVDQSGTLSISDINLAHPS >OIW05469 pep chromosome:LupAngTanjil_v1.0:LG09:490886:494753:1 gene:TanjilG_12060 transcript:OIW05469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLECFLNVVRALGMGSCITEVGGGSGSPPLVPQLNNLDSVKRRRMKGSSSFDYKVVPGRMFLNGSSDVASLYSKQGKKGINQDAMLNFCSKEGTIFCGVFDGHGPFGHRVAKRVRDSFPLKLIAQWDLHHKNKDGLSDHSSAAGSCNSDIGFRLADEKPIVTDNELGGTNAILTLRESFLKASKFMDKELKLHPDIDCFCSGTTAVTLVKQGQDLVIGNVGDSRAVLGTRDHNDSLIAVQLTIDLKPNLPREEERIKRHRGRVFSLRNEPDVSRVWLPNSDFPGLAMARAFGDFCLKDYGLIAVPDISHHRLTEKDEFVVLATDGIWDVLSNEEVVDIVASASQTNAARVLVESAVKGWKTKYPFSKIDDCAAVCLFFDSNSELKSAYATEELIPEASIDQSEQSPLLCEKGIGLDA >OIW05435 pep chromosome:LupAngTanjil_v1.0:LG09:1245718:1248039:1 gene:TanjilG_23261 transcript:OIW05435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFGYAGIGNMGFAQSLFDSMPERDVVSWNSLLSCYLHNGVHIKSIETFVKMRSLRIPHDYATFAVVLKACSGIEDFGLGLQVHCLAIQMGFENDMVTGSALVDMYAKCKRLDDAFRVFHRMPERNLVCWSAVIAGYVQNDHFIEGLKVFKDMLKTGMGVSQSTYASVFRSCAGLSAFKLGTQLHGHALKTDFGYDSIVGTATLDMYAKCNSISDAQKVFRRLPNPTRQSYNAIIVGYARQDKGLNAINIFKSLQKSYLGFDEISLSGALTACAVIKGHLEGIQLHGLAIKCGLGFNICVANAILDMYGKCEYLMEACLIFDEMERRDAVSWNAIIAANEQNGEVEKTLSLFVSMLQSTMEPDDFTFGSVVKACAGRQALNCGTEIHGRIIKSGMGLDWFVGTALVDMYCKCGMLVEAEKIHDRIEEQTTVSWNSIISGFSSQKQGENAQRYFSRMLEMGVIPDNFTYATVLDICANLATVELGKQIHGQVLKLKLHSDMYIASTLVDMYSKCGNLRDSRLMFAKAPKRDYVTWSAMICAYAYHGLGEEAIKLFEEMQRMNVKPNHTIFISVLRACAHMDYVERGLQYFREMQSHYGLDPQMEHYSCMVDLLGRSGKANEALKLIESMPFEADDVIWRTLLSNCKIPENEEVAEKAADSLLQLDPQDASAYVLLSNIYANAGVWGEVAKIRTIMKSHKLKKEPGCSWIEIRDEVHAFVVGDKAHPRSEEIYEQTHLLVDEMKWVGDVPDFDFMHDEDMEELDPYERLETTSAV >OIW04865 pep chromosome:LupAngTanjil_v1.0:LG09:10791941:10796455:-1 gene:TanjilG_13705 transcript:OIW04865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQETLKKSPSQEKYGKVQSAIKILFLVLFVGWIFIWIMVPTNIERNKWLPQIRRETNSTYYGIEGASFLIYTSPFLLMAVLGCVYLHIAKKSNDSNMESCNVSKLDVAICKRPVLVKGPLGIVSGTELAFLLMFIALLIWSFAKLLHNGFVKITPQLAAKDGQTIWQEKLDSVALRIGLVGNICLAFLFFPVARGSSVLPFFGLTSEGSIKYHIWLGHIVMTLFTIHGLCYIIFWAVTNQISQMLKWDKVGISNLAGEIALIFGLIMWIATIPRIRRKFFELFFYTHYLYILFIVFFIFHVGITYACLMLPSFYLFLVDRYIRFLQSRHQVRLVSARVLPCEAIELNFSKDHGLTYNPTSVMFINIPSISKLQWHPFTVTSNSNLEPEKLSVVIKSGGTWTQNLYKILSNDSAIDRLSVSVEGPYGPASTDFLRHDTLVLISGGSGITPFISIIRELIFLKTTFKCKTPKVVLICSFRTYSSLSMTDLILPISSTPYDISNLELQIEAYITKDKEPQSNSHLHLQTKWFKTNPTDVPIYAILGPNSWLWHGAIISSSFIIFLIIIGIIGRYYIFPIDHNSYAIFSFPLRSFLHMLIICGSIAMVASAVVLLNKKYNAKEAKKIQNLEVSTPTVSPSSIIYNVDRELESLPCQSLIQATNVHYGVRPDLRQILFEVKGSSVNVLASGPKKMRQEDMEVSTFRNELTNLLPLI >OIW04473 pep chromosome:LupAngTanjil_v1.0:LG09:18416415:18417323:-1 gene:TanjilG_01646 transcript:OIW04473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLAGNSSPPRSRWRKVAYGGMQPGYDDNHTDESFLEGMVMNASVVKRDMLKVMLDSVSISEYLCIVALVVLVWTYTLGSTLDENSLLFIDASLLVSESTVKAPGVMKNPALTSCISVNASVVASVFIASRLPSRLHVFAIMLFSLQVFLFAPLVTYCIKKYSFRLHLCFSISLMAMTLSFVYMLHRLLFVLLLSLLVFVNIVCPYWLIKIQEYKFEINGPWDEAKLCFDITD >OIW04513 pep chromosome:LupAngTanjil_v1.0:LG09:17860483:17863749:-1 gene:TanjilG_13895 transcript:OIW04513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQSSIENGGSGGRTVVVGVRIDSPSRELLTWAMFKIAQPGDVVLALHVLGNNGIELDHKNGLLDSIHRRLSKSAKVLNDEGNGQIFSDHSLAKIFLDSTENKSCSICGPTSAPDSSCLENAEDSSTDGDNQNSLAIVPVQTNDSVSRARTLHCRELPELKPGWPLLHRTILNRRHSTERSLLHQISVVQWAMQLPCRNLSYSTDQDLKTNNYDGSQDQSLALDSKTGALVPVDTKIGTAFSPERNSRSIPKELEGLHDRYASSCRLFEYQELVSATSNFLPENLIGKGGSSQVYRGCLPDGKELAVKILKPSEDVLEEFVLEIEIITTLHHKNIISLIGFCFEDANLLLVYDFLSRGSLEENLHGNKKNPLVFGWNERYKVAMGVAEALEYLHNNDGQPVIHRDVKSSNVLLSEDFEPQLSDFGLARWASTSSSHITCTDVAGTFGYLAPEYFMYGKVNDKIDVYAFGVVLLELLSGRKPISGDFPKGQESLVMWASPILNSGKVSQLLDPGLGDNYDHEEMERMVLAATLSIRRAPRARPQINIISKLLQGDADVIKWARLEVSALVAPEMLDDETCPASNLQSHLNLALLDVEDDSLSMCSIDQNVSLEDYLRGRWSRSSSFD >OIW04927 pep chromosome:LupAngTanjil_v1.0:LG09:9314774:9317976:-1 gene:TanjilG_15672 transcript:OIW04927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSWFQILETLSPLAVGLCPTIKNILLLDSEGKRVAVKYYSDDWPTNNSKLAFEKFVFTKTAKTNARAEADITLLENNIIIYKFIQDLHFFVTGGDDENELILASVLQGFFDAVTLLLRNNVEKREALENLDLILLCLDEIVDGGMILETNGSLIAEKVTSHSMDADAPLSEQTLTQAWATAREHLTRTLLK >OIW04076 pep chromosome:LupAngTanjil_v1.0:LG09:20339944:20345662:-1 gene:TanjilG_00636 transcript:OIW04076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLAASGGDTVKLFDASVKPGDPCTLSFTPYPGSQVNSVKWNHTNLVVASAGDDKISLWHKNGNSMGTIPVVGTDSGDGIEESISAISFSTKASRYMCSGGSGQVVRIWDLQRKRCIKWLRGHTNTVTGVMYNCKDEHLASISLSGDLILHNLASGARAAELKDPNQQMLRVLDYSRVSRHLLVTAGDDGTVHLWDTTGRSPKVSWLKQHSAPTAGISFSPSNDKIIASVGLDKKLYTYDSGSRKPSACISYEAPFSSLAFRDDGLMLAAGTSNGHVAFYDVRGKPKPFVVLHAYGGSEAVTSLCWQRSKPVIVNERSCTPEIALMGDAVEDSILMPDPLPSATSSSISVSTSVSTTRNPGRLGASLEASSLTTSNSEFSSTSLNVSAGEETPHRTSLWPGGALSRLHAPRSSYNLKDDMEVFSPLVDVQPFTPSMWDESGTKKDMFADRKPSMMLFPSSSRRFPNSEEGNIDHPILDWKSGSIAKQDITQSSFPPVGSTPPPSSKNEDSSITPPEAWGGEKLSDKYNFSRQPVNAPSRFGMLPSGGQTTGSMFSGLQDTSSLMGISSYSGSSLNYTNLRSKDVSTSQETSLAFPDHLFSNSSTLSINTKTSLGQTNIDSPRIVDSPRMSPFPRRFSTYAERISTTSTFGDGVSLSVGSPKIKKSGDETRDELLSSLLSKSDISATIESSSLPPTNGVISQHKASQSDAQQGSSFTLQLFQRTLEETLDSFQKSIHEDMRNLHIEILRQFHLQEMEMSTVMKSILENQAELLNEVKSLRKENQQLRQML >OIW04926 pep chromosome:LupAngTanjil_v1.0:LG09:9274730:9281042:-1 gene:TanjilG_15671 transcript:OIW04926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGRIRARLRRSNLYTFGCLRPSTSEEEPHPLQGPGFSRTVHCNQPLVHERKPLFYCKNDISTTKYNVITFLPKALFEQFRRVANIYFLLAACLSTSSMSPFSPLSMIAPLAFVVGLSMAKEALEDSRRFVQDVKVNRRKVNQHKDNGVFGPRSWQNIMVGDVVKVEKDHFFPADLLLLSSSYEDGICYVETMNLDGETNLKVKRSLETTLTLDNDGAFKDFTGTIRCEDPNSNLYTFVGNLEYERQVYPLDPSQILLRDSKLRNTDYIYGVVIFTGHDSKVMQNSTRSPSKRSTIEKKMDYIIYTLFTVLILISVVSSIGFVIKTKYQSTAWWYLRPDNIDTQYNPQKIGVAGISHLVTALILYGYLIPISLYVSIEVVKVLQATFINQDIQMYDEDTGTPADARTSNLNEELGQVDTVLSDKTGTLTCNQMDFLKCSIAGTAYGVRSSDVELAAAKQMASDLEDEDSDLSNFPMPKTKVSWEDVRRAEQIELESVVTSNSDEDHRQTIKGFGFEDNRLMNVNWLKEPKADVLLMFFRILAVCHTAIPELNEDTGSFTYEAESPDEGAFLVAAREFGFELFKRTQSSVFIRERLSASGEVVEREYKLLNLLDFTSKRKRMSVIVRDEEGSIFLLCKGADSIIFDRLSKNGKMYLEATTKHLNEYGEAGLRTLALAYRKLDEEEFSAWNNEFQKAKSAVGVDRELTLERISELMEKELILVGATAVEDKLQKGVPQCIDKLAQAGLKIWVLTGDKMETAINIGFACSLLRQGMKQICITTPSSESDGKEIIKDNIINQIINASQMIKLNKDPHAAFALIIDGKTLTYALEDDVKHQFLELAVDCASVICCRVSPKQKALVTRLVKEGTGKTTLAIGDGANDVGMIQEADIGVGISGVEGMQAVMASDFAIAQFRFLERLLVVHGHWCYKRIAQMICYFFYKNIAFGLTIFYFEAFTSFSGQSVYDDWYMILFNVVLTSLPVISLGVFEQDVPSEVCLQFPALYQQGPKNLFFDWYRILGWMGNGLYSSLVIFFLNIAIFNDKAFRSNGQTADMAAVGTTMFTCIIWAVNCQIALIMSHFTWIQHLFVWGSITTWYLFLLLYGTLSPEYSGTAYQILVEALGPAPIYWIATLLVTVICNLPYLAHISYQRCFNPMDHHIIQEIKYYRKDIEDHHMWTRERSKARQETQIGFTARVEAKIRQLKGKLQKKHSSLGILSS >OIW04785 pep chromosome:LupAngTanjil_v1.0:LG09:13273429:13276171:-1 gene:TanjilG_11087 transcript:OIW04785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMPHPPVLLAPQNPMENDKDTQKLTFEASSMQYQPNIPSQFIWPDHEKPCLTSPELEVPAIDLKVFLSGNPQAISSACSQVHEACKKHGFFHIVNHGVDGKLKSQALNLLDDFFSMQLSKKQRAQRKVGELCGYANSFIGRFSSKLPWKETLSFRYCADHSSKTVEEYFVNVMGEDFKQLGIVYQNYCEAMSNLSLVIMELLGMSLGVGRKYFREFFEGNESILRLNYYPPCQKPDLALGTGPHCDPTSLTILHQDQVGGLQVFVDGTWYSVTPKEDAFVINIGDTFMALSNGIYKSCLHRAVVNNKIVRKSIAFFLCPNAEKVITPPKDLVNNENPRLYPDFNWPSLLEFTQMHYRADQKTLDAFSRWVQEKVDIPNKNIN >OIW04100 pep chromosome:LupAngTanjil_v1.0:LG09:20520955:20522782:-1 gene:TanjilG_00660 transcript:OIW04100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRLFVLHLEGKIYSCKHCHTHLALSEDIVSKSFHSRHGKAYLFNKVVNVSFGEKDDRQMTTGMHTVADIFCVGCGSIVGWKYEIAHENSQKYKEGKSVIERFKVSGPDGSNYWINHEANGGGSDADDGQIYMTGLLDYLFTILV >OIW04848 pep chromosome:LupAngTanjil_v1.0:LG09:11321032:11322144:-1 gene:TanjilG_29325 transcript:OIW04848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLWKRATGVLKDRYRILVARLAPHGPCRNPDLETVIIKATSHDDQCMDYKNVQRVFRWLRTSPLYFKPILYNLSMRMEKTNSWVVALKGLMLIHGVFCFDLPAVQKMGRLPFDLSRFSDGHMSHEKGSGFNAFVRAYFAYLDHKSMFVFSKAKKSSKNGKGEETEEILMEELQNLEKLQGLIDMLLQIKPWHHHMKVVLILEAMDCIMDEVFELYDTFCAEIERVLLKIYDMGGKVEAGIGLSIVQKAEFQGDKLSLYFDFCRDIGVLNALECPKILRIPEKDIHELRRIINEKKTLEGTNNGVVANEDKIVSKNMESEKGLRTVISHQWEVFDDIIIDVKENAIATTNPFQEPYNLVPCIVLPDLISL >OIW05474 pep chromosome:LupAngTanjil_v1.0:LG09:456981:459427:-1 gene:TanjilG_12065 transcript:OIW05474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKLLCDSTSLPEPFQTSPTPAIQWRDQKSSPPLDLVGTANIAAAAYAGGWEDVLGLEEQQRRNLQRLHAKGVLWKPPPEEDSSSSMPSSSSLRSVVFRLSHGGEVSSDGNCLFTASLKAMGKEEVVNVRELRRRTVKRFLEDFGSEGLEEREAIDDAIRHMYSPDLNNGWGIHVVQEVKLLAKKENRFDLDSAIDDLVHLGMQREFAAESIYRERCIPVNDGPSWAKYMLISGSPDDEYDIITLQYTEEGLLSVDENREGHAAAFGDDIAIECLATEFKREIYVVQAHGSDAMVDEENCVFFLPHRPRSQVKELPFFLFMKGTADHYEPLIAHPAAVVSQEKVAVVL >OIW04498 pep chromosome:LupAngTanjil_v1.0:LG09:17999276:18007657:1 gene:TanjilG_13880 transcript:OIW04498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFSITATFQISFVSFLVLLSVATSQLNPCNFPAIFNFGASNSDTGGFSAALSALKPPFGETFFHRPAGRISDGRIILDFIGGIFATLIPKQEYFSKALYTFDIGQNDLTALIFGNMTKEQVKASVSDIVKTFSENVKNIYNLGARSFWIHNTGPLGCLSLFLTKFPSAEKDEYGCAKANNEVAQYFNQQLKEALAQLRKEFPDAAITYVDIYSAKLSLFQDPKKYGFELPHVACCGYGGEYNFDSGEYCGGTINVNGTNILVGSCKNPSARILWDGIHYTEAANKVVFDKISTGAFSDPPVPLNMTSIFNFGASNSDTGGYSVSMKALKSPYGETYFHRPAGRYSDGRIILDFIAQNFGLPYLSPYLDSLGSNFSTGANFGTAGSTIQPQSNYSIVGYVFSPFSLDVQYNQFINFIPRTKFFREKGGIFATLMPKEEHFSKALYTYDIGQNDLTASFASFRPIKQIIDSIPDIVKKFTENVKVVKYPSTGFEDPQVACCGYGGKYNCNLMVGCGNTIKVNGTEISAGSCGKASVRVMWDGTHYTEAANKVVFDKISSGAFTDPPIPLNMACLRSIDTKF >OIW05121 pep chromosome:LupAngTanjil_v1.0:LG09:5763045:5767113:1 gene:TanjilG_02594 transcript:OIW05121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLTLVLTLVLAFLCFTQSQAQNNNDTNALTQFHLQTDAYGYLLPNWTGFDACAAAWRGVTCSPNGRVIELSLPSLNLQGPIHSLSTLSYLRFLDLHDNHLNGTVAPLSNCTSLELLYLSGNNFSGEIPPEISSLKLLLRLDISNNNINGSLPKELSRLTHLLTLRLQNNDLSGNVIDLSSSLVNLTELNFTNNELHGYLPDSMFNKFGNASFFGNDGLCGSPPLPFCHISPSPESNKTFSSHPSSLPQTSVLVPSSSKAQQRKWLSPGAIVGIVVAIPVVLMVVISFIIVQCCDREKESSMMGSESGKRKSGSSGSGSSEKRVYNNNNGVVVGDKDRDSDGTNATENNEKTKLVFFDRGNVFELEDLLRASAEMLGKGGLGTVYRAVVDEGVTVAVKRLKDTNPFERVEFEQYMNVVGKVKHPNVVRLRAYYYAKEEKLLVYDYLPNGSLHALLHGNRGPGRIPLDWTTRMSIVLGAAKGLARIHAEYSAAKIPHGNIKSSNVLLDKNGVACISDFGLSLLLNPIQAIARLGAYKAPEQEELKTLSQEADVYSFGVLVLEVLTGKAPSKFHNSTSNRAPRVELEEEDVNVDLPKWVRAVVKEEEWTKEVFDQELLRYKNIEEEMVAMMNVGLACVVPQPEMRPNMFEIAKMVEDMRVEQSPLREYYDESRTSLSPSLVTTEDGLTKE >OIW05321 pep chromosome:LupAngTanjil_v1.0:LG09:2666844:2669647:1 gene:TanjilG_28786 transcript:OIW05321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEEGNRAKVEYDIEEETVDWRGRPSNSDKHGGMRAATFILGIQTFEIMAIAAVGNNLITYVINELHFSLTESANIVTNFVGTIFILALLGGYLSDSFLGSFLTILIFGFFELCGLILLSMHAHIPKLKPPPCNMLTDGENCIKTKGFKAMIFYLALYLVALGSGCLKPNMIAYGADQFNQNQSKKISTYFNAVYFAFSMGELIALTVLVWVQTHSGMDVGFGVSAAAMAVALLSIICGTVYYRNKPPQGSIFTPLAQVFVAAIYKRNQIVSPEMLHGVSQNNVPFQHSNKFRFLDKACIRVMEEGKESNWRLCSVEKVHQTKIVLSVIPIFGCTIIFNTILAQLQTFSVQQGSAMNTQLTKSFHIPPASLQSIPYILLIFVVPLYDIFIVPFIRKFTHHPSGISPLQRIGFGLFLVTFSMVSAALSEKKRRDEALNHNKTISIFWITPQFLIFGLSEMFTAVGLIEFFYKQSLDVGMQTFLTAITYCSYSFGFFLSSVLVSLVNKVSSSSSNGGWLHDNDLNKDKLDLFYWLLAALSFLNFLNYLFWSRWYSHNPSNSTISQPNHST >OIW05397 pep chromosome:LupAngTanjil_v1.0:LG09:1606779:1608200:1 gene:TanjilG_28862 transcript:OIW05397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHLKIIHAHALRTSLHQHTVVLGKLFRFAAVSPFGDLNYAHHMFDQMPQPTPFFYNILIRGFSKSTSPWHSIHLFNRMRHNCVPPDEYSYTFLLKSRSRTKLDLPLLLGSDEIHGAVLKSGFCYHLYVQNGLIHLYASRGVTVSACRVFEEALKMGFEVDVVSWSGLVAAYVRAGELDIARRVFDEMPERDLISWTAMVSGYSQAKRPREALELFEEMRYAGVIPDEVTMVSVISACTNLGDLETGRMVHQFIDENGFGWMAALCNALIDMYGKCGCLEQAWHVFNGMKRKSLITWNTMMITCANHGEADDAFWLFEWMLRSGVVPDGVTILALLVAYTHKGLVDEGIRLFQSMQREYGIEPRIEHYGAMVDILGRSGRLQEAYNLLTNIPIPCNDVVWGALLGACKIHGDVEMGEKVIKKLLELKPDEGGYYILLRDIYIAAGRTAEANQMRKAMLDSGARKNPGCSWVEA >OIW05155 pep chromosome:LupAngTanjil_v1.0:LG09:6142379:6143930:1 gene:TanjilG_02628 transcript:OIW05155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METMNEIRNSRFKRICVFCGSSHGKKSSYHDAAIQLGNELVARNIDLVYGGGSIGLMGLVSQAVHDGGRHVIGVIPKTLMPREITGETVGEVKAVADMHQRKAEMAKHSDAFIALPG >OIW04710 pep chromosome:LupAngTanjil_v1.0:LG09:14671106:14672730:1 gene:TanjilG_07835 transcript:OIW04710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYTERVDHRIKDKHGKICSEAIYDLDSSNYSDSKLDLIDGSGSTIGSERQVNIMNGGESGKNNYSDTHINGTKSISASTRRSSSSSSSESSSDGFFGMDTLKFTTSGASTSKSEQNYVSNSQSSSKSEVDNIPPVSISTFQVCNNVIHNQKDMPPTVSPPIQVMDRSGGFDPARIPSAVFDINTNPLEWSLASNESLFSLHVGDNSFSRDHVFGEVCMSPEFTKSGETNLFTRTQSVIIEEIDTARNSVNVENPQTVETSDEPFKVEEERLSEDQNEIKNSDQTASSKSSKVNVSSLYHEKRSVHGHCATVLIVAGRSVINGQPAAIQGQAVSVRVVAGHAAIVGTLATRKAMVMPPPLYSSFSFRSTIYYLTPFNHKYALVNSFDFCSGFKTL >OIW05001 pep chromosome:LupAngTanjil_v1.0:LG09:8121662:8127083:1 gene:TanjilG_06413 transcript:OIW05001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNTDTGYPSSRVAIPPPQPFLKSLKYSLKETFFPDDPLKQFKNQPASKRLVLGLQYFFPIFKWGPQYTMKFLKSDIIAGITIASLAIPQGISYAKLGNLPPILGLYSSFIPPLIYAMMGSSRDLAVGTVAVGSLLMASMLGREVNPKENPKLFLHLAFTATFFAGFFQASLGLFRLGFIVDFLSHAAIVGFMGGAATVVCLQQLKAIFGLEHFTHEADVVSVMRSVFTQTHQWRWESTVLGCCFIFFLMVTRYFSKRQARLFWVSAMAPLTSVILGSLLVYFTHAEKHGVQVIGELKKGLNPVSVRDLVFVSPYMTTAIKTGLITGIIALAEGIAVGRSFAMFKNYHIDGNKEMIAFGTMNIIGSFTSCYLTTGPFSRSAVNYNAGCKTAASNIVMAIAVMLTLLFLTPLFHYTPLVVLASIIVSAMLGLIDYEAAIHLWKIDKFDFLVCISAYMGVVFGSVEIGLVIAVVISVLRVLLFVARPRTFVLGNIPNSGVYRNVEQYPNANHVPGILILEIDAPIYFANASYLRERILRWIDEEEDNIKATGKTSLHYVIMDMTAVGNIDASGISMLEEIKKIIDRRGLQLVLVNPGSEVMKKLHKAKFIEEIGHKWIYLTVEEAVGACNFMLHTHKPNHTKDEPEGWNNV >OIW04569 pep chromosome:LupAngTanjil_v1.0:LG09:17021314:17023536:-1 gene:TanjilG_20925 transcript:OIW04569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKGSSSWLTAVKRVFRSPTKDSDKRSSRRKEDCDQEEDEEKREKRRWIFRKNHETVSTKQTPIKLKHHVAAATTNASVALRTDQDQKHALAMAVATAQAAVAGARLTKPSTTNNNNHVREHFAAIVIQTAFRGYLARRALRALKGLVKLQAFVRGHNVRKQAKMTLKCMQALVRVQARVLGQRIRPSLDGSRKSTFSDTTSVLESRYLQDMSDRKSVSREGSSIANDWDERLHTVEEVKAMLQQRKEAAMKREKSLSRAFSQQIGRNGWTPTIGNEDDMEERPKWLDPWMSTKPWEGRGRASTDQRDPIKTVEIDTAQPYSYLGGANYRRSHPNYQYNPNHQQQRHSIASPMHRTHQNGSMQQSPASPSPAKSRPIQIRSASPRCIREDRTSQTPSLRSTYHYTGNLCQISQAGTSNGAAAALPNYMAATESAKARIRSQSAPRQRPSTPERDRGAGSVKKRLSFPAPDPYNVGADYGNCRQNLRSPSFKSVGASHFGIEKQSNYSSCCTESISGEISPFSTGDLRRWFR >OIW04066 pep chromosome:LupAngTanjil_v1.0:LG09:20276610:20277185:-1 gene:TanjilG_00626 transcript:OIW04066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNKQFEKALALYDKDTPDRWKNIAKVVGDKSAEEVKKHYEILLEDLRRIESGSVPIPKYKSTASSNNIIDEEHRLLKYLNQKL >OIW04901 pep chromosome:LupAngTanjil_v1.0:LG09:9754244:9756599:1 gene:TanjilG_23904 transcript:OIW04901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIKPVQRNEQHFGRKPCSYWLAGRCNRNPCRFLHSVTPSSSSYYTPQYNKPKNAYRYTRNPHSHYSDEKTTTNPKYNSKAVTVRETNKTGDEKSVTKKPSQTLCRYWVNDNCVKGDNCQNLHSWFTGDGFSTLAKLKEHKKVVTGITIPVGSDKLYSGSTDGIVRTWDCHTGQCTNVRNCGSEVNSLISEGPWIFVGLNNVVKAWNIQTSMEFTLDGPRGKVLAMVVGNDILFAAAQDGIISAWRGSSDANSPFELAASLSGHTKAIVCLTVGGKMLFSGSMDHSIKVWDLDTLQCKMTLNGHTDMVTSLICWDSFLLSSSSDCTIKIWVATEEGTIKVAYTHTEENGILALNGMSDAEGKPILFSSSADNSVRLYELPSFLERGRLFAKQVVRSIEIGPEGLFFTGDGTGLLMVWRWLEVPKVASS >OIW04960 pep chromosome:LupAngTanjil_v1.0:LG09:8706647:8710197:-1 gene:TanjilG_01156 transcript:OIW04960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRTVTRRLGYKLLPMLSSTSTVHLHSHATSFGFKEVKEEEKAQMVGNVFTNVATTYDLMNDLMSAGLHRLWKDRLVSKLNPFPGMNHLDVAGGTGQLPSQSIFYYVSDGDVAFRILENINRVRQRGLPNVFEDSLKAETQIYVCDINPKMLNVGKQRALEKGFGEDGSLIWVEGNAESLSFQNDSMDGYTIAFGIRNVTHIEKVLSEAHRVLKRGGRFLCLELSHVDIPVFKDLYDYYSFSVIPSIGELVAGDRESYQYLVESIRRFPSQEKFASMISDAGFQKVEYENLVGGVVAIHSGLKI >OIW04608 pep chromosome:LupAngTanjil_v1.0:LG09:16041242:16045254:1 gene:TanjilG_30506 transcript:OIW04608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNDQTSDGTNHNITDLICNAGAGAAAGAIAATFVCPLDVIKTRLQVHGLPPAQKGSVILASLQNIFKTEGFRGMYRGLSPTILALLPNWAVYFTVYEQLKGLLRSHDGSNELTTVGNIIAATGAGAATAISTNPLWVVKTRLQTQGMRPDVIPYKSILSALARITHEEGVRGLYSGIVPSLAGVSHVAIQFPAYEKIKSYMAKKDKTTVDKLSPGNVAIASSISKVVASIMTYPHEVIRSRLQEQGHDKNYGVRYAGVIDCTRKVFVKEGVPGFYRGCATNLLRTTPSAVITFTSYEMIHRSWHKVRIRPRTTRGRPSWFST >OIW04156 pep chromosome:LupAngTanjil_v1.0:LG09:20936639:20938525:1 gene:TanjilG_00716 transcript:OIW04156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSFSAEDLSTIGGIATVSLLHSFIPTHWLPFSIVGRAQKWTLSRTLLVTAFGAILHVVSTSLLGITAITMANTIAGEETVHKLASLLLVFLGGGYILLFLMGKGGHSHSHNQPMEKMAVAGLILVPALSPCATTLPVFLAVGNSSSMMVLAILVLLISTISVMTSLVALSFYGASQLKFHWVERYDKLLVGSVLCLVGVLTLIFHDHDHGEVGSLGEHSHRKIISL >OIW04301 pep chromosome:LupAngTanjil_v1.0:LG09:18634355:18636648:-1 gene:TanjilG_32493 transcript:OIW04301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFQQPFPDQVLENVLENVIQFLSSRRDRNAASLVCRSWYRAEALSRSDLFIGNCYAVSPNRATSRFIRVRSITIKGKPRFADFDLMPMNWGAHFTPWGFALSKAYPWLEKIHLKRMSVTDDDLALVAESFAGFRELVLVCCEGFGSSGLAVVADKCRVLRVLELVDCVVEMVDEGEVDWISCFPESQIHMESLVFDCVEYPINFWALERLVTRSPCLKKLRLNSHVSIFQLHRIMLRAPQLTHLGTGSFSAIETVAIGDQEPDYASAFSACRSLVCLSGFREILEDYLPAIYPVCANLTSLNLSYADINAEQLKSVIYHCHKLQTLWMLDSVCDEGLQAVAATCKDLRELRVFPVNTREDSEGPVSGVGLEAISQGCRKLESILFFCQRMTNAAVVAMSKNCPDLVVFRLCIIGRYRTDPVTHQPMDEGFGAIVMNCKKLTRLSVSGLLTDRAFEYIGRYGKLVRTLSVAFAGDSDRGLKYVLEGCPNLQKLEIRDSPFGDEGLRSGLHYYYNLRFLWMSSCKLTRQGCQEVARALPRLVLEVINSEEKEADDIEILYMYRSLDGPRDDTPKVVTILH >OIW05347 pep chromosome:LupAngTanjil_v1.0:LG09:2250175:2252787:-1 gene:TanjilG_28812 transcript:OIW05347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWSSKAKVTIGLEITTTTTAPRINGRPVLQPTCNYVPTIERRNSIKKVTPLSPPSPPPHPCKTSLTPPVSPNSKSSLRPQAIKRCNDNNGLNSSSEKIVAPPRSTMKGPKLERKKSKSFKEGSYGKGSIVEASLSYSSSFITDSPGSIAAVRREQVALQQAQRKMKIAHYGRSKSAKFETLVVPLHPSITLTTKTIDEEKRCSFITPNSDPIYTAYHDEEWGVPVHDDKMLFELLILCGAQVGSDWTSTLKKRLDFRSAFSEFDADIVANLTDKQLISISSEYGIDISKVRGVVDNANKILEVKEKFGSFDKYIWGFVNHKAISTQYKFGHKIPVKTSKSESISKDMVRRGFRFVGPTVIHSFMQAAGLTNDHLITCHRHLQCTLLTA >OIW04494 pep chromosome:LupAngTanjil_v1.0:LG09:18032944:18034324:-1 gene:TanjilG_13876 transcript:OIW04494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFLSSKVGQKHLYHPLRHNGISPIPMNPRRTHVGGSVSVKASLNNNDVEVFSKEHLAVSLAKYVSGISNKFIREKGCFTVVLSGGSVKYLKKLVDSPYYDQIEWSKWHIFWADEKVVPITHVDSNYKHAYDGFISKVPIPSHQINTIDDALPADGVADVYETSLRRLVERKVITTSSETGFPKFDLMLLDMGPDGNVADLYPGYPEVNETRKWVTFLKNAPKPPPERITFTLPVINSASNIAMVVTGAGKADAVYSALVKGPSNGKLPIHLVSPEGEIKWFLDKGAASRLYR >OIW04250 pep chromosome:LupAngTanjil_v1.0:LG09:21540984:21549392:-1 gene:TanjilG_00810 transcript:OIW04250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQSWVLGHQLLLTGSRLPYISHPKRIIPIKVTASATNTAYPFPLISKSFLLSSYNSVSDTNLFGRTRLLRCLGTSSDDDSLNGTNSVSKVNFNFIQQLLNRGFILAATVCGILVFGCRRVLAVEGVVNAAYGVIDQSILLLKSTWPKLLPFLRMFKEHGLVLALLLSLSAFFSMAETSITTLWPWKVRELAEKESENGVFRLLRSDVTRFLTTILIGTTVVNIGATALVTEAATAIFGEAGVSAATGVMTVAILLLTEITPKSIAVHNATEVARFVVRPVAWLSVVLYPVGRVVTYLSMGMLKLLGLKGRSEPYVTEDELKLMLRGAELSGAIEEEEQDMIENVLEIKDTHVREVMTPLVDVVAIDASLSLVDFHDLWVTHQYSRVPVFEQRVDNIMGIAYAMDLLDYVQKGELLESTTVGDMAHKPAYFVPDSMSVWNLLREFRIRKVHMAVVLNEYGGTVGIVTLEDVVEEIVGEIFDENDSKEEIQKKTGYIVMRAEGVFDVDANTSIDQLSEDLNIKMPEEGHQYETVSGFVCEAFGYIPRTGECIKVVLEREDEDEDDESNGDHQDQKEKNHTFKLEILAGNARKVSAVRFERINGDDEMVHSKAVTRVLPKITKRKWSGDEDPDDAAEYDGNAFAKRTQDDMSNEYVDELNPVAMEAATSHSLPCFTTSKSIITITKSKLLHFPLRQSFNFSLNNATRTKRVSAINDVNTIIVLHPAPVELTWQIIAGAIAGITPFVVAGIEFSKRIIAQRRCEECGGSGLVLMEEKEYVRCPGCGGFLPWQSWKRFFSG >OIW05337 pep chromosome:LupAngTanjil_v1.0:LG09:2375600:2382801:1 gene:TanjilG_28802 transcript:OIW05337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLEGPRNVTPDVLTASTGLEVTKLAPKKWGLSDSSSTVLVAFLGTVSFLISQGVDLRPNLAVILGLATVDSIFLGGTCLAQISSYWPPYRRRILIHEAGHLLTAYLMGCPIRGVVLDPIVAMQMGIQGQAGTQFWDEKVANDLAEGRLDGTAFDRYCMVLFSGIAAEALVYGEAEGGENDENLFRSICLLLEPPLSVAQMSNQARWSVLQSYNLLKWHRAAHRAAVKALESGGSLSVVIRSIEETLYFEK >OIW04641 pep chromosome:LupAngTanjil_v1.0:LG09:15282926:15285028:-1 gene:TanjilG_07776 transcript:OIW04641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNHLFSLSLRRLPLPLSLPRFLTFSIPDDVYDPPFSPPQKPNENDNNKKTKKKKKNDNKNGTMNLNEEAKFPLKSELPFDFKYSYSEINHSVKPISFRESPKFSPFGPGRLDRTWTGTSAPVQKEVDRIRIEEERKRVHGEPLSEEEVAELVERYRHSDCARQINLGKGGVTHNMLDDIHNHWKKAEAVRIKCLGVPTLDMDNVCFHLEDKSGGKIIHHNINTLLLYRGRNYDPKNRPVIPLMLWKPYPPIYPRLVKNVIEGLTHEETKEMRNRGLNSHPLMKLTRNGVYVNVVERVREAFKTEEVVRLDCTYVGTSDCKRIGVKLRDLVPCVPILFKDEQIILWRGNAIQEQPSNPECSIEVKESTM >OIW05227 pep chromosome:LupAngTanjil_v1.0:LG09:4231672:4233902:1 gene:TanjilG_21212 transcript:OIW05227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHMGEPTLDTELVVASKGSIKEVKSVKKPSTSEDFWTTSTHDMDNNAVQSQGSISSTSQTNQPAAPRVSSCKTGTHTEFVNHGLILWNQTRQRWVGNKKQETRTQQLREPKLSWNATYESLLGSNKPFRQPIPLSEMVDFLVDIWEQEGLYD >OIW05442 pep chromosome:LupAngTanjil_v1.0:LG09:1148511:1150310:1 gene:TanjilG_12033 transcript:OIW05442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQPDSTPRKVVFIMRDQSLDTSKSYESYSQRMSQTSSCSQGGFQEKFFHNAVAKTIGEMIHVGQQSLSGSADYDPEHLEYLTPLKRLGSSAMFDDTQDLVFAQMSSMKNAKHVNNE >OIW04895 pep chromosome:LupAngTanjil_v1.0:LG09:9882544:9888091:1 gene:TanjilG_24011 transcript:OIW04895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGELKDTEAYEEELIDYEEEDEKALDSSKLTAESGKKGYVGIHSSGFRDFLLKPELLRAIVDSGFEHPSEGKFLSMLSLSCILTEDSSTTILFDSSLVQHECIPQAILGMDVICQAKSGMGKTAVFVLSSLQQIDPVAGQVAALVLCHTRELAYQICHEFERFSTYLPDIKVAVFYGGVNIKVHKELLKNECPHIVVGTPGRILALARDKDLGLKNVRHFILDECDKMLESLDMRRDVQEIFKLTPHDKQVMMFSATLSKEIRPVCKKFMQDPMEIYVDDEAKLTLHGLVQHYIKLQESEKNRKLNDLLDALDFNQVVIFVKSVSRAAELNKLLVECNFPSICIHSGMSQEERLKRYKGFKEGKQRILVATDLVGRGIDIERVNIVINYDMPDSADTYLHRVGRAGRFGTKGLAITFVSSASDSEVLNQVQSRFEVDIKELPEQIDTSTYSKITFLSLLISL >OIW05399 pep chromosome:LupAngTanjil_v1.0:LG09:1587214:1590016:-1 gene:TanjilG_28864 transcript:OIW05399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALWLHLVGYKPLIGSRHKDFSDETERIRTPCLSHKAKASSIATKTLNGSPSFAKVGSNKFRRNWYRKFISSSTISSSPEFISSSSSELLSGLYSKAVDCTFSIEKKRFGLVEWFFCRYRVSEFHDEAELASSLVNKESGDIVKPLGIDLQDIKTEKKKKRKDNTAEKVVRRKMKSLSGLSDVNISTSAGDLLRPDNKLKLKRNGEEVTSLHQDSNVEITLNRSSFQYSSVPEVPQNMSCLESERKPEPKKRRKTEAAPEHPSSQIASAYTGAKSTNCSSLVIDLQLMSPPIPGDERSNGKTKEELAFIGSNPYLCLSQEKHGENFTNHRLLMSTTSEVGTVPVKETGLKNRMEKASVAHLNAKFAMEMPDLNGSVNVLSTEVKSQQTRGLSACERRIKTINFNRMDDNGEAVGTCLLLQFTPGVHVPSKEELLATFCQFGPVKASETKLFKDTGSAQVVFFRNTDAGEAFRSLELNKPFGATLIDYKLHHGSLATPPADRIGIPTQPTGIIPPPGGASRTLKQGIVRSADAGVAFHSKEHNKQFGGNPVDYKLHHLSAATLPAERFRTPIQPTGFMPLPVEAPPHGEAFSSLEQNKPFGATIGGFKLQHPFAATLPAERFRTPIQPTGLIPVPGEAPPHGEAFSSLEQNKPFGVTFGGFKLHHPFAATPSAERFSTPTQQTGFMPVHGEAPHPGEAFPNVEKNKASGATVVNSAAIPSAERFSTPTQQTGFMPVHGEAPHPGEAFPNVEKNKVSGATVVNCKLRHTSAATPSAERFSTPTQQTGFMPLHGGAPPPGQAFHIVEQNKANGATLVNRKLQHPSAATPPPAERFRYLAQSTGLMPLLPGGERPPLHFIKQNLQMMASVLEKSGNSISPQMRAKLDSEIKNLMEKVNSMTCFSPPNSQQ >OIW04858 pep chromosome:LupAngTanjil_v1.0:LG09:11011465:11012529:-1 gene:TanjilG_13698 transcript:OIW04858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRPQDAQPARSFFPFGNPFRVIAPKGSHMSSQLLALLKAFEARLAERLSKLMFKSKEEILSLSWMTSAMESLRDTYNDIRTLISDLELPVNDWNDKWIDIYLDISRNVLDICNAFSSEFSRLNTCNLSLKYALHKFDGASSKLFVRAFSSLDDWKHHVHSKNPRIEKCSTILDNLMGSLDLPKVKNSAKGKVLMQAMYGVKVQTVFVCSVFDAAFSGSPKKLIDLDVADMYSWAPAFSRLQSLINEEIRARFSNGKFSVLVELEVVDAVVKEFNPSIQRSAETINNESLLKTIEELSKAEEKLSQGLDLLAKGVDGFFKVVLVSRDDLLSAPTSAKSVKNCAFGSNANQKAIL >OIW04456 pep chromosome:LupAngTanjil_v1.0:LG09:20052749:20055596:1 gene:TanjilG_32648 transcript:OIW04456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRNSPGYYSPPRRSYGGRGRSPPPPPPPSRRGHGGGGGRRRESNNGSLLVRNIPLDCRSEELRIPFERFGPVRDVYIPKDYYSGQPRGFAFVQFVDAYDASEAQYHMNRQIFAGREISVVVAAETRKRPEEMRHRTSRFRGSGNYGGRRSSHYGRSRSRSISRSRSRSPPYHSGSRNRYHSRSYSPAPRRHSDYSVSPRRPVEHPRSPRSPPRERDGDQNRRSYSPGERDGDQNRRSYSPAYGNGADLNPSNGYAEKAVYKSEADREQWKSSRASRSPPGSRSRTPDLSPRHGR >OIW05496 pep chromosome:LupAngTanjil_v1.0:LG09:225830:227125:1 gene:TanjilG_27626 transcript:OIW05496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVIGKEKILLELARRFNRKIHVDAREMEVLRVLGYGESGVFTEDRLESNIHVVGWNVLGETWPYFRPNFVRMKEIMSERGYSKVVGFVPTGWTYEVKRNKFVVKSKDSFEIHLVPYSEHSNYEELGEYVKFLRPKRVIPTVGLDVEKSDSKHADKMRKYFAQLIDEMANKPEFLRGFHCASGEVGSKAEKDVSDDDTLKPGQNMGKEVESSDMEVHKSINPNSDVNLPSFTGEPCTGSPTLLTDEEKDKIIQELSCCLPTWVTRSQLLDLISISENNIVEAVSYFYERETEFHQQALSCQASVALSKCCTLTESDSPSKACLNTNNDHNFFDISPIQGPICLNTKNTPKNVVIFSSQDSKSANLRHTAVPSSISPAKKKRGSASKPSKKVKVKAKSESSSSKQFTITKFFSKALPKNETPSVTQSDHCSS >OIW04984 pep chromosome:LupAngTanjil_v1.0:LG09:8400349:8402289:1 gene:TanjilG_24456 transcript:OIW04984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYTILLMLLMLYSTILSSYIVACSSRNEEAPSYYSKLVSNASSFPLEDYYDYIIVGGGTAGCPLASTLSYSFRVLLLERGGIPYDNSNLMNQEGFLTTLLNSPNNANNLYSPVQAFVSEDGVPNARGRVLGGSSAINAGFYSRADPQFFTRSGLTWDMKLVNESYEWVEREIVFRPNLKTWQSAVRDGLLEAGVDPYNGFTLEHATGTKIGGSTFDSNGRRHSAADLLRYARPSNLKVAVYASVERLLLASSVSNTATSAASNPRQSAIGVVYRDQNGRYHHAIVHDHGEVILSAGAIGSPQLLLLSGIGPRPYLSSWGIPVAYHLPYVGHFLYDNPRNGITLVPSVPLEHSLIQVVGITDSGAYIEAASNVIPFVSPSQSVFIRNPSSPLYLTVATLIAKISGPLSSGFLRLASTDVKLNPIVRFNYFNNPVDLERCVNGTRKIGDVLRSRALNDFKFRNWLGDQDFRFVGPALPIDQSNYVQMADFCRRTVSTIWHYHGGCVVGRVVDRDLKVIGISSLRIVDGSVFSVSPGTNPQATLMMLGRYIGQKIIRERQG >OIW04591 pep chromosome:LupAngTanjil_v1.0:LG09:16707239:16708645:1 gene:TanjilG_18068 transcript:OIW04591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMGDCDSEKSNTPRLPLLNINPLPMHSPERPGMQTPPLHTLVSIPFGWEEQPGKPKPCTQLVCFSNNPTTPKCLELPPRLLINDAKQLPSPTTVLEGPHVDREVSGGSNVFPSYADHIGNIVGGCHKKLRMIKVKRSLASSNFSHAKSCVWTSISEGLKQVVPWKSKKQKKDGYGVHKSKTFP >OIW05326 pep chromosome:LupAngTanjil_v1.0:LG09:2555617:2558783:-1 gene:TanjilG_28791 transcript:OIW05326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGFKPNSERLHELQQFDATKAGVKGLVDRGVTKIPTLFHHPPDKYDNKASSIGNKEHIIPIIDLADIGTDPSTHQEIVGRIREASEKWGFFQLVNHGVPVSVLEEMKNGVLRFFEQDIEVKKELYTHDQMKTFIYNSNFDMYSSPALNWRDSFMCYLAPNAPKPEELPQVCRDILLEYGKRVKKLGIVLLELLSEALGLHSEYLKDIGCAEGLLSLCHYYPACPEPELTMGTTKHSDNCFLTVLLQDQIGGLQALYRDIWIDIPPVPGALVVNIGDLLQLITNDRFKSVEHRVVANLLGPRISVACFFSAGIKSSPRLYSPIKELVSEDNPPKYRETTVADYQAFFYAKGLDGTSTLQHFMI >OIW04127 pep chromosome:LupAngTanjil_v1.0:LG09:20714616:20714934:-1 gene:TanjilG_00687 transcript:OIW04127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAIDSVFDPLREFAKDSVRLVKRCHKPDRKEFSKVAVRTAIGFVVMGFVGFFVKLIFIPINNIIVGSG >OIW04333 pep chromosome:LupAngTanjil_v1.0:LG09:18960496:18964673:-1 gene:TanjilG_32525 transcript:OIW04333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSITCDGGGAAVRFRFRPPLPDLHSNGYTNLITIFMILCFTSISHVCASIHDYQNETFIHRSNSFFFHGGSEGLYASRVPYINDTEDNSLNGKSFIRFESITFRRTKESAENKNMMQQKTGLVEAIIVKVRDRNNIGGAYLNSDAICCTPEHADNGSCKVGEVIIRQNPNDPSGPKRIQTFFEAKNEETKMVLQTVDISSTGMYYLYFMFCDPELKDTTISGRTVWRNPDGYLPGKMMPLMTFYGLMSLAYLFLGLFWFLKFVQYWKDIIQLHYHITAVIGLGMCEMALWYFEYSNFNSTGSRPMAITLWAVTFTAVKKTVSRLLILVVSMGYGVVRPTLGGITSKVYLLGVAYFAASEALELVEHLGNINDFSGKARLFLVLPVALLDACFILWIFSSLSKTLEKLQIRKSMAKLELYRKFTNSLAVTVLLSVLWIGYELYFHASDPLSELWRRAWIIPAFWTLLAYVILLVICILWAPSQNPTRYAYSEETGDDFDEEAVKLAGSGIKVSGDMSIMLERKDRKASLAADLHVFGGLGEDKEEDKRE >OIW04341 pep chromosome:LupAngTanjil_v1.0:LG09:19107722:19110574:1 gene:TanjilG_32533 transcript:OIW04341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLYQARGNEVPVLSLNSLPLGIRFRPTDEELIDYYLRQKINGNGDLVWVIREIDVCKWEPWDLPDLSMLRNKDPEWFFFCPQDRKYPNGHRLNRATTHGYWKATGKDRKIKSGSTLIGMKKTLVFHTGRAPKGKRTNWVMHEYRPTLMELDGTNPGQNPYVICRLFKKQDESLEVSKCDEVEQAASTPMTANHTPEEMQSDPAMVPVSSSQGTEYDKLLAVDKNSEEAICNVITSVDSHGDICDVYSAQNQIAEPPAEAVQPLNENLLYPALECLDDKVISPVHVHIPPEFYYQANKESDASSWLQLQNDTNEIDITDYFDSIIDDFCIEESTGQNPSPTLFNLKDNESCIKSDAQMANSNHMQAFDAAYPEEAINRNTPLLASDEFFNDIASDLSGNEQKSNTRFSQIYSEVFSIDAGMDEVYNGVNDYEQATSLNTVVNGDTGIIRGTQLVQNELPNINTMGQSEGFVMHRQSCSNEAAKVGSNSTIAGEGEASGNHVAGESTIVTDDADELEIPSPMSFDAGMLFVSKRQVDSEDSPRSEDSPRSEDSLRPEDLTSKRSDSYLPKASSNLNMWSSSVITVSAVVLVLLVFLANILGYLQF >OIW05147 pep chromosome:LupAngTanjil_v1.0:LG09:6055654:6055989:1 gene:TanjilG_02620 transcript:OIW05147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVQRKKMLKSMITKVLKGLQFLAYNEAATTTTMVPKDVKEGHFAVIAIQGEETKRFIVELEYLTDPDFLRLLEQAKEEFGFEQKGAIALPCRPQELQKIIENRRMNNAIV >OIW04682 pep chromosome:LupAngTanjil_v1.0:LG09:15108165:15111794:-1 gene:TanjilG_00118 transcript:OIW04682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKGDITFFCLALLFLWTSVTGLLSPKGVNFEVEALMAIKYSLMDPHSVLSNWDGDAVDPCNWAMVTCSSDHFVIALGIPSQNISGTLSPSIGNLTNLQTVLMQDNNISGPIPSEIGRLQKLQTLDLSDNFFIGQLPDSLSNMKGLHYLRINNNSLSGTIPPSIANMTQLAFLDISYNNLSGPVPRLNAKTFSIVGNPQICATGIEQNCFRTTLIPSAINNSQDSQSSGKPKSHKVALAFASSLSCICLLVLGFGFLLWWRQRYNKQIFFDVNDQHREEICLGNLKRFHFRELQVATNNFCSKNLVGKGGFGNVYKGYLSDGSVIAVKRLKDCNAIGGEIQFQTEIEMISLAVHRNLLRLYGFCMTATERLLVYPYMSNGSVASRLKAKPALDWSTRKRVALGAGRGLLYLHEQCDPKIIHRDVKAANILLDDYCEAVVGDFGLAKLLDHQDSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELISGQRALEFGKAANQKGAMLDWVKKIHQEKKIDLLVDNDLRNKYDRIELDEIVQVALLCTQYLPSNRPKMSEVIRMLEGDGLAEKWEASHRAESTSRGHELSSSERYSDLTDDSSLLAQAMELSGPR >OIW05264 pep chromosome:LupAngTanjil_v1.0:LG09:3629751:3631540:1 gene:TanjilG_03653 transcript:OIW05264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKLLLITVFVLDLIAFGLAVAAEQRRSIAKIVQDSDKYYSHCVYNSDIATGYGVGAFLFLLVSQILIMVASRCFCCGKPLGPGGSRACAVVLFIICWVFFFIAEVCLLAGSVENAYHTKYRTFYNVDNPPSCEMVRKGVFAAGAAFIFFTAIISEFYYINYSSAREKFQPYGGAGETGVGLGSYK >OIW04094 pep chromosome:LupAngTanjil_v1.0:LG09:20491967:20494817:1 gene:TanjilG_00654 transcript:OIW04094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGSCCLNRRTELRFRVLAISTVKSLTVKIKLLLCCCVALTLLVFCVTSFTFVLWNNQTPPALRSLTDSRKGYSIIMNTWKRYDLLKQSIKHYSSCPRLESVHIVWSEPDPPSDVLLSFLHRVVKSKSKDGRQVKLKFDINKEDSLNNRFKEIKDLETDAVFSIDDDVIFPCSSVEFAFDVWLSAPDTMVGFVPRVHWVDSLKGEGNKFVYGGWWSVWWTGTYSMVLSKAAFFHKKYFTLYTNEMPTSIREYVTKNRFYSGLTEQPLPPFLPDLSIPTFLLCAQ >OIW04182 pep chromosome:LupAngTanjil_v1.0:LG09:21087566:21088673:-1 gene:TanjilG_00742 transcript:OIW04182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNILFVSGVSLTIGLKSTMQFFMKRSNFKGTISFGIGFFILIMGWPILGMIVEAYGFIVLFSGFWPTLSVFIQKVPVLGWLFQQPFVRSVFDRSRGKRVPV >OIW04316 pep chromosome:LupAngTanjil_v1.0:LG09:18804539:18807849:1 gene:TanjilG_32508 transcript:OIW04316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKAANTLKILDDDGDSFAKRAEMYYKKRPELVGYVEESFRAYKALADRYDHLSKELQSANRTIASVFPEQVQYRTDDDSEEESETGTNASSPDLNNSKRVIPKVPKNEFRSPSMLLSRKGPPRGNATTPSKFALSPPSGLTKHAALGEIDKLQKDILALQTEKEFVRSLYERAYEKYWEIEDQITEMQKRVCSLQDEFGVGTVIEDDDARTLMAATALKSCKETLTKLQELQEQSSKEAKVEYQRVKEAHEMFEALRDEFISKYMGGQDLCDREKTESTRAEQESMDHEIAGLEHEVHDVGQLREKIREKLEKDSSKSFTVTEMAEKIDELVDKVVSLETAVTSQTGLVKRLRSETDELQTNIKNLEQDKEMLIEGSEVTKKRLDELEKEMRRVKLLNRSVRSQDNNLQTHFTEASCNLEHLSEKLNDMKPDVEEENMVLYKKKRSASICEPRKEPKKHANTLSLGNLADMKDVKTIRDLSTENVDNNRLNLSESSFMHERNPKLLQPDKDYVYDTMSIGDNESQDLDIVEEDQPNWRQMFISGLDDREKILLEEYTSVLRNYKDVRTKIDDVEKKNRDSIFELALQLRELKNAVVSKDKEINYLQQKLNFPEATPGESPYTLTTEYKYTPNEAALQKAAEGGTNMHDSEISPLNSDANAVRTPFSEQNNVGSTTNNSSYATIKMTLEKLMTSQDKHRNLSTLELKFRSELDSLLEENLEFWLRFSTSVHQIRKFQNSIQDLKAELRTIKENNNSEGHSHSKHHQPIQSQLRPIFRHLREIRTELSLWLEHNAVLQDELQGRYSSLCDIQDKIARAGSTKAPEGEKEVEELSGYQAAKFQGEVLNMKQENSKVASELQAGLSLVKGMKVDVEKTLDELDQDIGINSTNQSQTKPSSSRARIPLRSFLFGAKLKRQRQSLFACVNPSMHKYDDLPEPEDAPI >OIW04981 pep chromosome:LupAngTanjil_v1.0:LG09:8422306:8428840:1 gene:TanjilG_24453 transcript:OIW04981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGNRSAITSSKWRCLNPSYYLKRPKRLALLFIGFVFLSFFVWDRQTLLREHQIEVAELRKELTDLHNLKLDLFQLDVNSAQGGTVGKTGLEGKITESSKDAPDDPIDTQRREKVKEAMLHAWGSYEKYAWGQDELQPQSKSGVNSFGGLGATLIDSLDTLYIMGLHEQFDKAKEWVATSLDFNKDYEASVFETTIRVVGGLLSAYDLSGDKVFLEKARDIADRLLPAWDTPTGIPYNIINLAHGRAHNPGWTGGNSILADSGTEQVEFIALSQRTGDPKYQQKVENVIAQLNKTFPEDGLLPIYINPKSGTTSYSPITFGAMGDSFYEYLLKVWIQGNKTSAVKNYRDMWEKSMKGLLTLIRRTTPSSFAYICEKNGGSLSDKMDELACFAPGMIALGSSGYGPDESQKFLSLAEELAWTCYNFYQSTPTKLAGENYFFHSGQDMSVGTSWNILRPETVESLFYLWRLTGNKTYQEWGWNIFQAFEKNSRVESGYVGLKDVNTGVKDNMMQSFFLAETLKYLYLLFSPSSVIPLDQWVFNTEAHPLRIVPRNEMGHVQNSNEKHKPLSRLGGRKGGRSD >OIW05414 pep chromosome:LupAngTanjil_v1.0:LG09:1467039:1474724:-1 gene:TanjilG_23240 transcript:OIW05414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEDSSVRVSSSIESSVSNDASQTRQRKKRKWDQPAESLMPVGMAVPGVLPFSNSIPLGGIAFSGVTPISSALLSVQQHTAAAAAIPKLNPQRIQEELIIAREIVINDAESSVRYKLTKRQTQEEIQRCTGAIVITRGKYRLPNAPNDGEKPLYLHISAGAHIKETAERILVVDRAAAMVEEMLRQGQNSQSISSTSPSALANGLKVLSTCVFLGFEADPSLNIVARIRGPNDQYINHIMNETGSTVIVKGCGSGNNECSNGEDGQQPLHLFLSSNNAKSLEDAKLLAENLLDTISVECGASRVSSCKVFSAVPPPQQVYSVVPPPQQLYSAFPPPQQVYSAPSLPKQIPTAISPPQVYSAVPPPQQLSAEVQSSRLEANTGLTTSSMSSTMVPIPVPPASFVGVPGVTTALIPGQSARYLSSGPNANMIGYTPPLVSGGTSYIGYGGIYPQATPLQQVALALRHSPPIASTVAPTPSALNGESKSTTSSDVEKEKQPSQRRKFQELPVDTKSTTKLNQQLEPPRFNEQSVVRNISTMPAPKKLIQPSSGIPPPPSRAMPPPPPPPKFSAPPEIKVQDKKILPKTKSDAVPG >OIW04774 pep chromosome:LupAngTanjil_v1.0:LG09:14370964:14372861:1 gene:TanjilG_08657 transcript:OIW04774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGGSIEDGEMSKGASIRKGMTKGLSIMDFILRIIGAIATLASAVSMATTNETLPFVTQFVRFRAEFDDLPTFMFFVTANSVVCGYLVLSLVLSIFHIVRSSAVKTRILMVSLDTVMLGLLTAAASAAAAIVYIAHKGNSNTNWFAICQQYNNFCERISGSLIGSFIAVFLFVVLILLEAVAISRN >OIW05359 pep chromosome:LupAngTanjil_v1.0:LG09:2046724:2049606:1 gene:TanjilG_28824 transcript:OIW05359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHAPNPMMLLQSTLSLILCLINSQIAQSTPTHPSINLWPKPRNFTWLPPHQVTLLSPSFTITTNHHHHNKHLSAAITRYHHLIKTEHHRPLIPLTNLTTTLPPLHSLKISISNPTTPLHHGVDESYTLTITSATPHAMLHAKTAWGAMRGLETFSQLVWGHPSHVAVGVHVWDAPLYMHRGVMLDTSRNYYPVKDVLRTIEAMSMNKVNVFHWHVTDSHSFPLVLPSEPGLAEEGAYGPDMVYSVQDVKRVVEFGLDHGVRVMPEIDSPGHTGSWALAYPEIVTCANMFWWPGEWSDRLASEPGTGHLNPLNPKTYQVLKNVIHDVTKLFPEPFYHSGADEITPGCWKTDPTIQKYLSNGGTLSQVLETFINNTLPYILSLNRTAVYWEDVLLDGIVHVPSTVLPKEHVVLQTWNNGHDNTKRIVSSGYRAIVSSADFYYLDCGHGTFVGNNSAYDNQTTGSDSTNGGSWCGPFKTWQTIYNYDIAYGLSDEEAKLVLGGEVALWSEQADPTVLDARLWPRTAAMAETLWSGNRDERGRKRYGEATDRLNEWRSRMVNRGIWAEPIQPLWCIRNPAMCNTVYAI >OIW04915 pep chromosome:LupAngTanjil_v1.0:LG09:9631354:9638312:1 gene:TanjilG_32023 transcript:OIW04915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDVLPSDITFEGNDWNYIFIRKNCSCANGIKKYVSSTTFTVKSNGGLVYDLVMDSYDGLAFIPNTTRMARNGVVVSVRLFCGCSSGLWNYLMSYVMRDGDSVESLASRFGVSMDSIESVNGIEDPDNVTVGSLYYIPLDSVPGDPYPLKNATIPAPVPAPSVDDFSGDQVNHKAHLPYGWIIGGLGIGLTLIILSIFLCVCLRSESRSHEKDAQGKVSHKFHILRNPSFFCGSGRYICGKVVEQKQTDGESSHNQVTIPKVSSLGPDVFDMDKPVVFAYEDIFSSTDGFSDSNLLGHGTYGSVYYSLLRDQEVAIKRMTATKTKEFMSEVKVLCKVHHANLVELIGYAASHDELFLVYEYAQKGSLRSHLHNPQNKGHSPLSWIMRVQIVLDAARGIEYIHEHTRTHYVHRDIKTSNILLDASFRAKISDFGLAKLVGITNEGEVSTTKVVGTYGYLAPEYLSNGLATTKSDVFAFGVVLFEIITGKEAIIRTKGTVTKNPERRSLASVMLAVLRNPPDSMSMSSMKDYIDTNMMNLYPHDCVFKMAMLAKQCVDDDPILRPDMKQVVISLSQILLSSVEWEATLAGNSQVFSGLVQGR >OIW04708 pep chromosome:LupAngTanjil_v1.0:LG09:14651417:14654146:-1 gene:TanjilG_07833 transcript:OIW04708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLVESKGGAIFCMFLALFFLGTWPALLTLLERRGRLPQHTYLDYSITNLLAAVIIAFTFGQIGKSTIDGPNFLDQLAQDNWPSVVFAMAGGVVLSLGNLSTQYAWAFFGLSVTEVITSSIAVVIGTALNYFLDDKINKAEILFPGVGCFLVAVCLGSAVHSSNTSDNKAKLSNFSSDYKDGTLQTSKEINGDQVNSMDLEGGTSSGDKVKAGTAGFLVELEEKRAIKVFGKSTFIGLAITFFAGACFSLFSPAFNLATNDQWHTLKKGVPHLNVYTAFFHFSVSCFLIGIILNITFLYHPVLNLPKSSMKAYLVDWNGRGWALLAGLLCGFGNGLQFMGGQAAGYAAADAVQALPLVSTFWGIILFGEYRKSSRRTYILLGSMLFMFIVAIGVLMASAGHRK >OIW04223 pep chromosome:LupAngTanjil_v1.0:LG09:21383336:21388270:1 gene:TanjilG_00783 transcript:OIW04223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVVGFDIGNENCVIAVVKQRGVDVLLNDESKRETPAVVCFGEKQRFLGSAGAASAMMHPKSTISQVKRLIGRKFSDPEVQNELKMLPFETSESLDGGISIHLKYLKETHTFTPVQILAMLFAHLKTIAEKDLGTDVTDCVIGVPSYFTDLQRRAYLNAASIVGLKPLRLIHDCTAIGLGYGIYKTDFPSKVLVVAFIDIGQCDTQVSIAAFQAGKMMILSHAFDRSLGGRDFDELLFCHFAAKFNEQYNIDVYCSAKACTRLRAACEKLKKVLSANSEGHLNIECLMDEKDVKGIIKREEFENLASGLLERICIPCNKALADAGLTAEKINFVELVGSGSRIPVISRLLTSLFKREPSRTLNASECVGRGCALQCAMLSPVFRVKEYEVQDSIPFSIGLSSDESPICAGSNGVIFPKGQPIPSVKTLTFQCRNFLHLETFYANLNELPPGTSPKISSFTIGPFHGSHGNTTEAKVRVQLNLHGIVCIESATLIEDHVDDSVTAGDHHSNFEAMDVEPNSETVGYVTEDCVNRKCGSPCCSVDGTRKDKANRRLRVEVSENIYGGMTKDEILEAHEKELQLTQHDRTMELTKDKKNSLEAYVYEMRPKLFNTYRNYASEKERDGISRGLQETEEWLYDDGEDETLHAYSAKLEDLKQLVGPIENRYKDEEARAQATRDLLSSIVEHRMSAGSVPLQNKEQIIDECNKAEQWLREKMQQQDSLPKCSDPVFWSSDIQSKKEELNIFSQQGSVVAFIDIGQCDIHVSIAAFQAGKMMILSHEHRERHFDELLFGHFAAKFKEKYSIECLMGEKMLRHYQEGKF >OIW05081 pep chromosome:LupAngTanjil_v1.0:LG09:6943630:6946450:1 gene:TanjilG_06217 transcript:OIW05081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKGEGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVGFTDSERLIGDAAKNQVAMNPINTVFDAKRLIGRRYSDASVQSDIKLWPFKVISGPAEKPLIQVNYKGEDKQFAAEEISSMVLMKMREIAEAFLGSAVKNAVVTVPAYFNDSQRQATKDAGVIAGLNVMRIINEPTAAAIAYGLDKKATSVGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKNKKDISGNPRALRRLRTACERAKRTLSSTAQTTIEIDSLYEGVDFYTTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKRSVDDVVLVGGSTRIPKVQQLLQDLFNGKELCKSINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVFEGERTRTRDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEDIEKMVQEAEKYKSEDEEHKKKVEAKNALENYAYNMRNTIKDDKIGEKLAADDKKKIEDAIEQAIQWLDSNQLGEADEFEDKMKELESICNPIIAKMYQGGAGPDAGGAADYDDAPSGASGPGPKIEEVD >OIW04658 pep chromosome:LupAngTanjil_v1.0:LG09:15608502:15616769:1 gene:TanjilG_07793 transcript:OIW04658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKQLIVAVESTAAMGPYWDTILMDYLDKIIRCFGGIDSTGQKPSASNIEFALVTYNTHGCYSGCLVQRTGWTRDPDVFFLWLSAIPFTGGGFNDAAIAEGLSEALMMFPNSQSGGPNQQNVDTHRHCILVSASNPYPLQTPVYIPRLQNLEQSESIDLDPASRLYDAEAVAKAFPQLSVSLSVICAKQLPKVKAIYNSGKRNSRAADPPVDVKNNHFLILISESFMEARAALSRSGITSLPSNQSPVKVDTVSTTQVTGAPPNSMSSVNGSIANRQPISSGNVPSATVKVESVPVSSMVAGPSFPHNSSVPRATSTGQGVPSLQTSSPASTSQDIITSNENVQDTKPVVSMLQSIRPVNPPQANVNILNNLSQARQVINSAALSGGTSMGLQSMGQTPVAMHMSNMISSGMASSVPVAQNVFSSGQSGMTSITSSGPLSGPAQVGQNPGLGSLTSATSKLSGSSSIGISQPSGNLQAAVSMGQQVQGMSQGNLSGAQTVQNGVNMNQNVMGGLGPSVVSSGNGTMIPTPGMSEQAQSGMQSLVNNAAANMTLPQQGSSGMQSTQQQSKYVKVWEGSLSGQRQGQPVFITKLEGYRNFSASETLAANWPPVMQIVRLISQDHMNNKQYVGKADFLVFRAMNPHGFLGQLQEKKLCAVIQLPSQTLLLSVSDKAFRLIGMLFPGGLGSGHKWFHKDKFHHKEPITLVEEEAS >OIW04484 pep chromosome:LupAngTanjil_v1.0:LG09:18243774:18245095:1 gene:TanjilG_24181 transcript:OIW04484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAPTFLVLAIILSGQRTCESARVFTIVNYCKETLWPAAIPGDNFNGGGFVLKPGQSSVFSAPVGWSGRIWARTGCNFDKNGIGNCQTGSCGTSLKCGSSGKTPASLAEFTLAELDFYDVSLVDGFNVPISIMPLNGKGNCTNAGCDSDLRTTCPKELSVKTNGKTVGCRSACDVFNTDEYCCRGNFGNPSTCRPTFYSKKFKEACPTSYSYAYDDPTSICTCQGTDYVIAFCASRFVY >OIW05490 pep chromosome:LupAngTanjil_v1.0:LG09:288853:290966:1 gene:TanjilG_27620 transcript:OIW05490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRYEGHAIGIDLGTTYSCVAVWQEQHFRVEIIHNDQGNRTTPSCVAFSDTQRLIGDAAKNHAAMNPTNTVFGSPVKNAVVTVPAYFNDSQRRATRDAGKIAGLNIMRIINEPTAAALAYGLEKRTNCVGECNVFIFNLGGGTFDVSILTIKNNVFEVKATSGDTHLGGEDFDNKMVYHFVQELKLKKKVDISGNPKALRRLRTACERAKRVLSFAFDTTIEVDALYQGVDFCSSITRAKFEELNMNYFRKCMETVERCLIDAKMDKSCMHDVVLVGGSSRIPKVQQLLQDFFNGKNLCKNINPDEAVAYGAALQAALLSGKNKFLQNLVLLDVTPLSLGISIKGDLMSILIPRNTKIPSKKRKGYLTDLDYQKYCSISVFEGERIRASDNNLLGFFILSGLTPALRGHPLVVDFDIDADGILNVSAMDETSGCRNEITITKDKGLLSTQEIKRMIQEAEIYKVEDKQYLKKARALNALDDYVYKMKSAINDVETSSKLNTQDKMKINSAIVKARNLIDGNTKPEIAVIQDYLKEVEVLIEKSMGKFH >OIW04776 pep chromosome:LupAngTanjil_v1.0:LG09:14375962:14379138:1 gene:TanjilG_08659 transcript:OIW04776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRTGLFDLEKHFAFYGAYHSNPINIAIHVLFVWPIFFTALMILYFIPPFFNIPNCEFYLFGNHVVLVWNVGFLVALTYSVFYFTLDLKVGSFAAFLCVLCWVGSSFVAAQLGFPLAWKVVLVAQIVCWAGQFIGHGKRAPALLDNLIQAFVMAPFFVLLEGLQTLFGYEPYPGFHAIVQAKIDADIKEWQESKQSLIS >OIW04451 pep chromosome:LupAngTanjil_v1.0:LG09:20027457:20028348:-1 gene:TanjilG_32643 transcript:OIW04451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEEVNKPPSLPPYPEMIMKAVEALNDQNGSNKSAIASYIESTYGELPAGHTALLTHHLHKMKESGELVFLKNNYMKPDPSAPPKRGRGRPPKPKAHVPPGTVVSPPRPRGRPPKDPNAPPSAKVSSGSGRPRGRPKKIARSVLPPPSAEAAPASSGRPRGRPPKVKPQLTEVSVES >OIW05288 pep chromosome:LupAngTanjil_v1.0:LG09:3297334:3298056:1 gene:TanjilG_03677 transcript:OIW05288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEVAISCKDVFNRLSQRDTQYTCLPSNSQWQFAEDVCERLKLFSSITEIISGTKYPTANIYFLKICQIKLEITQWMNSSNELIKMMAKFERYWSVIHDIMGVATFLDPRYKMALLEFYFDKLYDHGAFTQVRKIRVLCYDFVFYYQQTKNSSGSSPLLNRTITNDEPLEEYDMFIVRRRETRSSSVKTELDHYLEEDIIKRTPNFDILNWWKTNGVMYPTLQAIAKNLLAIPISTVASE >OIW04826 pep chromosome:LupAngTanjil_v1.0:LG09:12020670:12024110:1 gene:TanjilG_13674 transcript:OIW04826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESTEEFEPLFDYTRIQPFNAASFDGSFLSLVNFFSYLPINDMKNDDDDDGVYAHRKKVSQHVVKKGKTGVKEVPVVEIEDNDDDDDDWLPSPPKVSCDTERLIEEDSTLKKLRLKKQELVSFTQSAKNFIEIIEESAKREFSDSLQTSMNDVSDNTTKPPERPKILISVQDKDDVKQFRIFMDDKFDRIIKIYADKVRCDVTQIALSFDGDKVGLSDTPASLGMEDGDIIEVHVKSR >OIW05401 pep chromosome:LupAngTanjil_v1.0:LG09:1572101:1573429:-1 gene:TanjilG_28866 transcript:OIW05401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKYAIANVLILLLNMTSLLNVLACPYCPYPSPTKPTKHPIIVKPPVHKPPKQPPYHGKPQPCPPPQAPPAPPHFPKPPVVHPPYVPKPPPYPKPPIVKPPVVHPPNVPKPPAANPHPNPHPNPHPNPKPPVVKPPYAPNPKPPVTPPYVPNPPIVRPPYVPKPPVVPVTPPYVPKPPVVPVTPPYVPKPPVVPVTPPYVPKPPVVPVTPPYVPKPPVVPVTPPYVPKPPVAPVTPPYVPKPPVTPPYVPKPPVVPVTPPYIPKPPVVTPPYVPKPPVTPPNVPKPPVVKPPIVYPPPPFVPLPPVIPTPPIISPPYVPKPPPTVTPPSPTPPVVTPPSETPCPPPPPSPAPPVQGTCPIDTLKLGACVDILGGLIHIGIGGSATQTCCPLLQGLVDLDAAVCLCTTIRAKLLNINIFLPIALQLLLDCGKTPPEGFKCSAD >OIW05212 pep chromosome:LupAngTanjil_v1.0:LG09:5011075:5014761:-1 gene:TanjilG_14765 transcript:OIW05212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSKSSYENESNKGTGKQSQEDSEGKLGKVVSPNSKEFLFICFLVTLWYSSNIGVILLNKYLLSNYGFKFPIFLTMCHMSACSVLSYVSIAFFKVVPQQMIKSRSQFIKIATLSIVFCGSVVGGNISLRYLAVSFNQAVGATTPFFTAVFAYLATFKREAWITYAALVPVVTGVVIASGGEPDFHLFGFIMCLSATAARAFKSVLQGILLSSEGEKLNSMNLLLYMSPIAVIFLLPAALIMEPNVVEVTLALGRENKSMWLLLLLNSVTAYAANLTNFLVTKHTSALTLQVLGNAKGAVAVVISILLFKNPVTIVGMGGYTITVLGVAAYGETKRRFR >OIW04652 pep chromosome:LupAngTanjil_v1.0:LG09:15494191:15500352:1 gene:TanjilG_07787 transcript:OIW04652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLTLLYFLLFPFFFSTTATSSATLPPSSSSYPLGFSYHIDCGSSTNSTDIFNTTWLSDRFFTGGATSIVSEPLRFNHPHEKNLRFFPISSGKKNCYSLPSPSHRFFLRTFVVYDNYDGKSHPPSFDVSVQGTVVFSWRTPWPNSVARDGAYSDLIASFPSSDTAALVCFYSFATDPPVISSIEFFAVDPNSYDASSTGNSSVILVNYGRISCGSNQWGPGFTNDVDRFGRSWQSDSDFRTRKSNKIAELSTRSDVSGTDQKPNYFPAKLYQTAVTTDEENGSVLEYELSVDAKLDYLIWLHFAEIETRIRKVGERVFDVFINGENLTRIDIYKQVGGFAAFTWHHTVKNLSSSVLKVKLVGVVGAPLICGIENYALVPTDPSTVPQQVIAMKALKDSLRVPERMGWNGDPCAPTNWDAWEGVTCRMSKDKTALVISQIDLGSQGLKGFISDQISLLPDLVSLNLSSNSLVGEIPSGLGQRSLTQLDLSNNQLTGSIPDSIASSSLQLVLLNGNLLEGRVPEELYSIGVHGGAIDLSGNKGLCGVPSLAACPMFWENGRLSIPGKIAIGLSCLFVFCVVVLLVFIFIRRRRNDYDFALPHELTALAAKRNRYQRQKSLMVLEMESQHAKGPSPFSTQ >OIW05125 pep chromosome:LupAngTanjil_v1.0:LG09:5794463:5802737:1 gene:TanjilG_02598 transcript:OIW05125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASLSTASTTASAAAGSNLGDIPESCVAGVLPHLTPPEICNLARLNRAFRGAASSNSVWESKLPCNYQQLLDIIMPPHQRYQNLSMKDIFALLSRPLPFHDGNKEVWLHKVTGRVCMSISAKAMSITGIDDRRYWTWIPTEESRFNIVAYLQEIWWFEVDGEVKFPFPADTYTLSFRIHLGRFSKRLGRRVCNYEQTHGWDIKPVRFEFSTSNGQRSTSEYFLDETVQIDAYGDHKRRNWVDYKVGEFIVNGSEPITEVRFSMKQIDCTHSKGGLCVDSVFIIPTGLRERKRSGIMK >OIW04400 pep chromosome:LupAngTanjil_v1.0:LG09:19600632:19603237:1 gene:TanjilG_32592 transcript:OIW04400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSAFQWLSLVGMIWLQAINGTNTNFPAYSSQLKQLLSISQVQLNSLAFASDAGKLFGFFSGMASVYLPLWLVLMIGSTLGLIGYGVQYLFITNHISSLSYWHVFLLTVLAGNSICWINTVCYVVTIRTFSSDRQVAVGLTTSYQGLSAKIYTNIVGAISGHKTARTFLFLNSILPIIVSLIAAPLVREFGVTSPKYINVGFVVMFVITIATGIYAVISSLQFVTSKISPLHNLIVILVTLLLPLLVPISLKIKELVESWQTKRERLRVFHFTAEESINEDWTENEVKEAEDSREIQEVGIREEIGVKLMVKRIDFWLYFFVYLFGATLGLVFLNNLGQIAESRGCSATLSLVSLSSSFGFFGRLMPALMDYFCRGRYTISRPASMAAMMAPTAGAFFLLLNKTHIALYTSTAIIGVCTGAITSISVSTTTELFGTKNFSVNHNVVVANIPIGSFIFGYLAAIVYHKEGHEQHGKCMGMACYRNTFLVWGSLCFFGTFLALILHARTRKFYSLKL >OIW04171 pep chromosome:LupAngTanjil_v1.0:LG09:21022689:21023363:-1 gene:TanjilG_00731 transcript:OIW04171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTRSPCEVGDEDEIVQKGGAIQNCEFCGKEFKSGKALGVHKKIHFEAHRNLKSKKGNCNGKKFSSLMPSNRKLRLSCYVCNKDFPSEKSLCGHMRTHPNRDWRGVHPPGTTADASNKHTPCFSDSKVQIDDDNYVGAIAAATASSDASRCLTLSWKKTNKRSGRSVFYAEVVAAAKTLVSFTSMSRSCEAIEGIDTQVSSSNSYARSSCFSQFDLNEPPYNHA >OIW05184 pep chromosome:LupAngTanjil_v1.0:LG09:5307013:5317629:1 gene:TanjilG_19815 transcript:OIW05184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENANSSGIARTKSDQLVDLVVSALKSQSSGDSIGVADGDGGGGSSLTRKSSWRMMGASPSRGGGGGGGSRKTHIRKTSSGVALSRASSSMDFSFSFTGFTLPPDEISDSRPFSDDDIPEDIEAGIHKPKFQGEPTLPIYLKFSDVAYKVVIKGMTKTEEKDILKGISGAVNPGEVLALMGPSGSGKTSLLNLIGGRLSHPTSCGTISYNEQPYSKCLKSRIGFVTQDDVLSPHLTVKETLTYAARLKLPKTLTREQKEKRALDVIYELGLERCQDTMIGGSFIRGVSGGERKRVCVGNEIIINPSLLFLDEPTSGLDSTTALKIVQMLHDIAEAGKTVVTTIHQPSSRLFHKFDKLILLGKGNLLYFGKASEAMDYFQLIGCSPLIAMNPAEFLLDLANGNLNDVSVPSELEDKLLIGNAEPETRNGKPSPAVVQEYLVESYETRVAEIEKKRLMIPTPLDEEIKAKVTCPKREWGASWCEQYSILFWRGIKERKHDYFSWLRITQVLSTAIILGLLWWQSHANNLKGLQDQAGLLFFIAVFWGFFPVFTAIFTFPQERAMLTKERATDMYRLSAYFVARITSDLPLDLVLPVLFLLVVYFMAGLRLSTGPFFLTILTVFLCIVAAQGLGLAIGATLMDLKRATTLASVTVMTFMLAGGFFVKRVPIFISWIRYLSFNYHTYKLLLKVQYDHITPSINGIRIDSGLTEVVALVAMVFGYRLLAYLSLRRMKLQA >OIW05138 pep chromosome:LupAngTanjil_v1.0:LG09:5926754:5928965:1 gene:TanjilG_02611 transcript:OIW05138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIRNIAIGRPEEATHPDTLKAGLAEFISTFIFVFAGSGSSIAYNKLTNDGPATPAGLISASIAHAFALFVAVSVGANISGGHVNPAVTFGAFVGGNITLLRGVVYVVAQLLGSIVASFLLTFVTGLGVPAFGLSAGVGVGNAVVLEIVLTFGLVYTVYATAIDPKKGSLGTIAPIAIGFIVGANILVGGAFSGASMNPAVTFGPAIVSWTWTNHWIYWVGPLIGGGLAGLIYEVLFINHSHEQIPTVDY >OIW04600 pep chromosome:LupAngTanjil_v1.0:LG09:16794247:16795479:-1 gene:TanjilG_18077 transcript:OIW04600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMSDLNFGFKPLIAIMILFLIQCLHSFMCISLHIYSGFAFVYYEDERDAEDAIRALDNVPFGFDKRRLSVEWARGERGRHRDSSKPNQRPTKTLFVINFDPIRTRVRDIENHFEPYGKIVHVRIRRNFAFVQFEEQEDATKALECTNMSKILDRVVSVEYALRDDCERADNYDSPRRGGYERSPSPAYRRRPGPDYGRPRSPVYDRYNAADRRRSPDYDRPRSPAYDRPRSPGYGRHRSPEYGRYRSPSPIRRP >OIW05208 pep chromosome:LupAngTanjil_v1.0:LG09:4989472:4989621:-1 gene:TanjilG_14761 transcript:OIW05208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKMLIKLVPKERSALHQTSTWWDLGRVDVSKLIPASKEIVSRISESFS >OIW04225 pep chromosome:LupAngTanjil_v1.0:LG09:21393697:21396557:-1 gene:TanjilG_00785 transcript:OIW04225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADFTAPSFSLGFDLDLDLDSHHSPPLSPSPHPNHVSHRFLKRLRRGPPHLSFDADDDIEEFSSQDDDDDDVVVAQGARNHSVCSSSKVSLKVCGVLTPHLSSNCSERKRKQASDIPVSVKMETGQSGLMFPKLTTTPLRRFQLIDSDSDDPAGGDASAAQRIHPHSKATTCNKSKTVNSFVQSGSSKMETGPSGLLFPKLASSPLRKFQLIDSDSDDLVDEDVSATHKIDPCSKYAACNQSKPVNSFEKSRKMSFDMNQDQDLWKDFSPVKAFSVPTPAFNEIYEEYFRSSKDKEVEKSVIDISESHNGRYFGANSSCQRDQQLWESAGPLPPAHCYFFHEDPKIQQLVRRRLCNFSPLGVSSVNHQHNVSLIDYMGQFGSTSSSKKQEARKGYVSSSIRGGNKSINLTVDETFEASGRWVDPKFNSPLSKGESSRKKTTRRNSTKSNVSKGKNKKDKSTSSNVPRTSTNWVEPKSCANMPKDAGQRRVQASGQSSGHWYTSDGRRVYVSKSGQELTGGTAYRQYRKESGAGVKKSKAKTSAKKTNSKKRN >OIW04728 pep chromosome:LupAngTanjil_v1.0:LG09:13414072:13417110:-1 gene:TanjilG_08611 transcript:OIW04728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFQIEDITVYFPYDNIYPEQYSYMLDLKRALDAKGHCLLEMPTGTGKTIALLSLITSYVLSKPNSPLKLLYCTRTVHEMEKTLAELKLLHEYQLQHLGPAARLMALGLSSRKNLCVNQRVLAGENRDSVDAGCRKLTASWVRAIAAENESVARCEFFEDYERAGSAAVLPPGVYTLQDLRAFGKEKGWCPYFLARHMVQFANVVVYSYQYLLDPKVAGIISKEMQKESVVVFDEAHNIDNVCIEALSVSVRRQTVEGARRNLNRMRQEIDKFKATDAGRLRAEYNRLVEGLALRGDLPATDAWLANPALPDDILKEAVPGNIRRAEHFIHVLRRLVQYFEGRLDTEEVVNEGLVGFVSSVLNHAGIDQKTLKFCYDRLHSLMMTLEITDTDEFLHIQTICDFATLVGTYARGFSIIIEPYDERMPHIPDPVLQLCCNDASLAIKPVFERFQSVVITSGTLSPIDLYPRLLNFNPVISRSFKMSLTRDCICPMVLTRGSDQLPISTKFDMRSDLGVVRNYGRLLLEMASVVPDGIVCFFVSYSYMDGIVNSWNENGILKDIMQHKLVFIETQDVVETTLALDNYRKACDCGRGAIFFSVAR >OIW05073 pep chromosome:LupAngTanjil_v1.0:LG09:7013590:7018149:-1 gene:TanjilG_06209 transcript:OIW05073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEIQRRKVQNVEKPLPGCLGRMVNLFDFSHVTGNRLLTNQPHHDASSLSRSRSDVARVIGSSFGDQLEDKMIVSDSTRSSPKKKINGTPMKMLIDQEMSKEIASKHNPPNVVAKLMGLDALPCGEPNLAVERSDIRDYSQHMRSRSGTPLKHWQQEDRFMDKEMLHEVHPNTEQIAYKDIYDIWLRSHRTSNVRDKTPEREKRTEDVNAKKMALIYQKFTEAKCLSTDERLRQSKEFDEALEVLSSNNDLLIQLLDSPNLYELQSTPLVETKRITVLKPSKMVDNEKLGGKGKQNDKQIKKPTNVCQGAAWEKNSLGYSPACQKVAELPVQPTRIVVLKPSSGKTQETKAVASPTMSSPRNMQTGNLYQGPEDGEALEPREAAKEITHEMHESLRSHQRDETFYSSAFSNGYTGDESSFNRSDNEYTAENLSDVEVTSPSPRHSWDYINRCGSPYSSSSFSRASCSPEPSVCREAKKRLSERWAIMASNKGPQDQRHLRRSSTLGEMLALSDIKKSVISEVEGISKKQEPSESVSCSRNFSEEICMDGSPKNLPRSKSVPLSSTVYETRVGGEVCDHDASKTHDSKELAKSKSMKSSFKGKVTSFLFSRNKKATKEKSPLSRCKDESQSNVSESSELRVNSLGALTDVMSQSFNNGAPGEFSLPDLHELSGKTLFDSISKVNGMISLESGSAVLKTTMPGISSENQDQPSPISVLEPPFEDDNAARESLDCTKGGSRVSLKSNLIDKSPPIESIARTLSWDDSCAEVSSPYSSKPSLASPDTKVEEQDSLVLVEKLLSAAGLDNQMQSDSFYSTWHSLESALDPSLRETYADLNEKDSQPQPLHEAKTRQRRSNRKLVFDCVNVALMQITGYRSEKYLLSRVVGEAPLLVDQIMAQMKDFSCGLRYLWGDCGDSNNMMLENVVRKEVVGKGWVELMRLEMDIFVKEVEGKLLEELVDYAVVDFISMV >OIW04810 pep chromosome:LupAngTanjil_v1.0:LG09:12432009:12434555:-1 gene:TanjilG_15350 transcript:OIW04810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARWIILMCLLISIVEARRHCSHIYNVRNYDAIANGKNDDSKAFLKAWSDACKSRVSASVVVPEGTYRLNPIVFTGPCKASITFKLKGTLIAPTNNPSTGTTWIDFRYLNHLTVTGGGKLDGQGASAWGRKNAHPVLMTMGFAFVNNSNINGIRSINSQNVHITMFMCENITLSHLTITAPGQSPNTDGIKMARSQGININNVHIATGDDCVAILTGTKNVNISNVYCGPGHGISVGSFGSNSDEFDIEDIFVKNCTFKGTSNALRIKTWASPLDHPLKASNIVYEDITIIDVEHPINIDQEYCPSANCGNKVSSGVKISNVSYRNIRGTCQGDVAVSFKCSESNPCQDISLENIVLKRSTSSRETLTNRCSHVNGAFSGEQFPPSCI >OIW04179 pep chromosome:LupAngTanjil_v1.0:LG09:21056424:21064513:-1 gene:TanjilG_00739 transcript:OIW04179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCCPGGAETPADNAEADKGETNNQQSSDNNNNNNNEASATTPPPSSKPSPPPSSKPAKQAPIGPVLGRPMEDVKATYSIGKELGRGQFGVTHLCTHKASGKQYACKTIAKRKLVNKEDLEDVRREVQIMHHLTGQPNIVELVGAFEDKQSVNLVMELCAGGELFDRMIAKGHYTERGAASLLRTIVQIVHTCHSMGVIHRDLKPENFLLLNKDENSPLKATDFGLSVFYKQGEVFKDLVGSAYYIAPEVLKRKYGPEVDIWSVGVILYILLCGVPPFWAESETGIFNAILRGHIDFTSDPWPSISPQAKDLVRKMLNMDPKQRLTAYQVLNHPWIKEDGEAPDTPLDNAVLNRLKQFRAMDQFKKVALKVIAGCLSEEEIMGLKQMFKGMDTDNSGTITIEELKQGLAKQGTKLTEQEVKQLMEAADADGNGIIDYDEFIAATMHMNRMNRADHVYTAFQYFDKDNSGYITIEELEQALHEYNMHDGRDIKEIIAEVDADNDGRINYDEFAAMMTKGHTEAVPTKKRRSFWSKLKSEAAVKVGAEEAERFCKAFQQVHKKLVYEELSLDSAQSFINSS >OIW05115 pep chromosome:LupAngTanjil_v1.0:LG09:5676343:5682176:-1 gene:TanjilG_02588 transcript:OIW05115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTRRSRGIEPGAKKPRLINELDRGSILGPPPLPRRQAASGVTKLGSARFGVNGRDPEKSDLGHGVDDDDDDGGYHPQPPPHQELVVQYKTALAELTFNSKPIITNLTIIAGENVAAAKAVAGIVCSNIVEVPSEQKLPSLYLLDSIVKNIGRDYIKYFADRLPEVFCEAYRQVDSPVHSNMRHLFGTWKGVFPTQTLQMIEKELGFTSAANGSASTSASVRSDSQSQRPPNSIHVNPKYLERQRLQQSIKTKGGVNDMNEAFLNSKEDPERALGSARPLLDPRITMKNNLRINRDAFNDSVPEKSIRESYGGNQYSSDTSNKLSLVVGRTFGRVTELGHDKPWYKAGGIVAETRSGQGIDFSVKHGFSNHEALKSMKLDAHRQPTLNINNKRSSVMSSNWKNSEEEEFTWDEMNTGLTGHGAPNVSNNLSTDTWTADDENLEGEDHLQIAHTFGEKVDREIFTVKKQLPAFVGHQSLSWKLQDQHSNDKLNLKPGRSEELLSNSGVFPTNTTSLSVGMQNRSFMPNAMIGMTEVMRQQQFDSARAESPSLPVNVRHPHPMQNLAEQDRPQTRKASQYLGTLQSQHTRDSSIALPPNVQVGNLRRSQERDLQGPLSSAASFQPKLQQRLGPSQAEVTVKPKKPPQSKVTLARKTSEQSTTSSMPAAAVKKGISPNKSIISSLPTTSSLDTRNVQPQLEVRPTRSSGPSPTTLISSAPVVASPSSLGPLNDDSPTLPKMTQRKAGQPPRGSTQLPASSNGSSARDPPSNASNNNTSNPIANLLSSLVAKGLISSKTESPTKVPTEVLTEVPTEVPTEVLTEVPTEVPTEVLSQLEEKTESIAASSSSPVASVSVSAAVPIPSSRDEVDDTAKSSLALSKSTSMEIRNLIGFDFKPDVIREMHPLVISTLLDDLPHHCTVCGTRLKLQEQFDRHLEWHATREKEQSGLITASRKWYAKSNDWIAGKDEYPSEHELTDSVDVHDKETEENQLDTTVVADENQCLCVLCGELFEDVYCRERDEWMFKGAVYLNDTDGNSEMESRNPGPIIHARCLSENPISSVT >OIW04587 pep chromosome:LupAngTanjil_v1.0:LG09:16622308:16626474:-1 gene:TanjilG_18064 transcript:OIW04587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMHILNVSTTPFNFFCHPKTLHPKFPSYPHKPTFRFQRNTFPRYLSTSTTVKFQTLAHFGRPTNRRNSLRKKLLHDHNQVRPNQVEIQNPSSIVDNVVEKVEIEEKTEPKLLGESVLLNKLENWLEQYKKDIEYWGIGSGPIFTVYQDSFGNVQRVLVDEEEILRRSRVLREVIDDFPEVSNKILYAKNMAREMENGNNVIARNSSVANFVVQGEEGKGDFVKGIRGFVVQPGFLPKVKGVGSRVLFVLVVLWAAKNLFSFGDKEVEHTEKEKEMMRRKIKARKEKEMLVKGAVEVIPEVSESLVIDMKKPNLDKEQLMNSIIKAKASADKLVVQGSSAKGGNRPMDMDFKVQEIREMAREARKIEGIDCSHVSSDTEMDDPGIEELSNEMEVIKMNGEQHKSLSNHQNEVERKTKDCNSTLQTTSVDVIKDIHSSTLPEVNPTDECNLHASNVIVPGDNEINKQDIEFTESSVHLKDREYNHPSETPISGSSINESSVNNKPRIIRSVKEARHYLSKKHDKQDPDIESKIELVNENIADLRSSSEIDFNDRKCQNLEMDAVLSRNGASNGISDSKPTINAFEDPHQKDKEFSPEKNGYVRDSAVETGLGDLQKSETTLDHEVNGISADTRLSVKTESCLEKNSHEIEPLSKQQQSDALNGISDSKPSINPNEDSNQKDKEFLPSKDDYFKDSGAEPGVEDLQKFEATLDHKVNGVSSMETKLSGKTENWLEKNFHEVEPIIKQMRAGFRNNYMAAKERVDQPLDIPTEMESGFDEDGGELDWMQDDHLTDIVFRVRENELSGRDPFDLINAEDKDAFFRGLEKKVEKENKKLSHIHEWLHSNIENLDYGADGISLYDTPEKIIPRWKGPPAEKIPEFLNEFLEQRKTISTRNTNPIKKDENGFAEKPTVSSSQEKVDGSKAPMKKVKNPKTVIEGSDGSVKAGKKSGKEYWQHTKKWSEGFLQSYNAETDPEIKSTMKDIGKDLDRWITEKETEEAADLMKKLPDKNKSFVEKKLNKVKREMELFGPQAVVSKYREYADDKEEDYLWWLDLPHILCIELYTIEDGEERVGFYSLEMATDLELEPKPYHVIAFQDAGDCKNLCYIIQAHMEMLGNGNAFVVARPPKDAFREAKANDFGVTVIKKGELQLNIDQTLEEVEEQITEIGSKIYHDMIMKERSVDINSIMKGVFGFNESTTKR >OIW05231 pep chromosome:LupAngTanjil_v1.0:LG09:4166851:4175384:-1 gene:TanjilG_21216 transcript:OIW05231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQGDQTFISLRPGGGRSGAAGTRFLAPRFDSSLAAIPAFGSFSSDPSLSNVAPSIKGFMFPEIECPNSWWGTKPFRDNVLPEVLALLFVHFSSSLATSHSYLLQEARGHMKFTCLSKGGGFHLPPCHMLNFCGIRILMDCPLDLSALIAFSPVPTAFDALPFEESNNNEANDLLNSNVGSEKRQKIEKHLDAKSLIFEEPWYKTVKNLHLWNISFIDVVLISSPMGILGLPFITRMKGFSAKVYVTEASARLGQLMMEDLVSMHAELRQFYGSEKSDFPPWLRQEELEMLPSVLREIICGREGVDLGGWMPLYSAADVKDCILKVHTLKYAEEACYNGTVVIKAFSSGVEIGSCNWVLNGPKGDIAYLSSSSFISAHAMSFDYRGLHGTNALIYSDFSSLCDTQDVEEGDNYSVPTADKLLPMSFQNFDEFNLNSDENLEEKEKLIFISSCAIECVKGGGSVLIPVNRLGTILQLLEEIATSLDASALKIPVYIFSSVAEELLAFLNIIPEWLCKQRQERLFAGELLFAYVKLLKEKRIHVVPAIHAHKLLMNWQEPCIVFCGHWSLRIGPAVHLLRCWCGDPKSLLILEDVLNPELALLPFQPIAMKVLQCVFSSGIGLRKVQPLLQTLQPKTVLFPEDLRFQINFPSEKPFSVLHYTEAETLEVSCQKNSSELKIAKDLASHLYRITFKNQQIEICRLKGELFMENARYHLLPDNDPKHSISRSLVHCSLPDSEKLLAALSKVGINASLEPASDTESQTLCILHTQDPCKALIEIGTTSAVITTADENVASLIYKVVDNIFGGV >OIW05014 pep chromosome:LupAngTanjil_v1.0:LG09:8174792:8176111:1 gene:TanjilG_06426 transcript:OIW05014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMASSPLLSPSSDKRFWSNLRTRIDTILHHSQQPSTTTTKNEQGNQLKEDSLLLIRGFDSVSHTLSLLSTNLDNALQGATELAHPHSLTDIFHTNFDEAQNKQEDSKEEAQNKQEGLKEEESNQGVKRKFDDNQENDSDSKLEDGPKKQDRNMKRAKNLAISMATKAASLARELKSMRSDLCFMQERCGLLEEENRRLRDGFTKGVRPEEDDLVRLQLEALLAEKSRLANENTNLVRENQCLNQLVEYHQLASQDLSESYEHVIEGMCLDFSSPPPTIAEEGAVDDFDDHKNKEEPQTLPRTNLCTSLDEC >OIW05220 pep chromosome:LupAngTanjil_v1.0:LG09:4326711:4331058:-1 gene:TanjilG_21205 transcript:OIW05220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKIRVRFPTLVLLLGIVFLIAVSISIAYREKNVIKNHERPQEREQEGRDPRQQPHPHHQEEQEREHTSEEERDREPSRGNIGKRESKSKRKSKVLTQTHPDSHRQRNPYYFNFERFRTLYRNRKGQIRVLERGYRIVEFQPKPNTLILPKHFDVDYILIVLNAMITIVISGKRQAYNLEYGDALKLPVGTTSYILNSDDNQNLRVVKLVIPINNLNNFYVMFFLLSYYLLFLYHLIFYAPIAYLTPSNFNFFLLSLHICHPISINASSNLHLLGFRINGDENQRNFLVGSEDNVIRQLEREVKELTFPGSTEDVERSDGAHIPLLCKCSASTTTTKGERGKVWKKGSHLFHLEHSLLSCYVLSWLPLRYHLRSVPSTDVSYSFQPIPCSVDAIAL >OIW04215 pep chromosome:LupAngTanjil_v1.0:LG09:21333504:21335258:1 gene:TanjilG_00775 transcript:OIW04215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERERNNPAFLTMFTLLALLSQNLIMPVISTTIKDQKNNYSPKKPHAGSPHTALSNSLCNNRSHKSPPSHHGSGGSYGSTPPSHGTPSHHTPSHGSPSHGSGGSYNPTPSPPSGGNCGSPPQEPATPSIPSPPSDGGGSYNPTPSTPPSDGSYNPTPSTPPGGGSYNPTPAPPSGGNCGSPPQEPTTPSIPSPPLDGGSYNPTPSTPPGGGSYDPTPAPPSDSGSGSYNPTPSPPSGGGSGGSYNPTPSTPPGGGSYDPTPSPPSDGGGSYNPTPSTPPSDGGSYNPTPSPPSGSNCGSPPQDSTTPSTPTTPSNPPSGGGGYYNSPPIYGGESPPTPITVSPPSTPIDPGTPSTPPFLPSPSPFTGTCNYWRNHPGIIWGLLGWWGTLGHAFSVSSMPGFGASLTLPQALSNTRTDGVGALYREGTASFLNSLVNNKFPYTTQQVRDRFAASLSSNKAAAAQARLFKMANEGKMKLRV >OIW04892 pep chromosome:LupAngTanjil_v1.0:LG09:9936212:9936457:1 gene:TanjilG_24008 transcript:OIW04892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAVEKKLKEMEIDYVRATVEEGGIQVDQLFFHDPDGFMIEICNCDSLPVIPLVGEVARACSRVNLQIMQNQNQIKMVKHL >OIW04346 pep chromosome:LupAngTanjil_v1.0:LG09:19146891:19155269:1 gene:TanjilG_32538 transcript:OIW04346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGAGFKASIPSSVRKTIQNIKEITGNHSDEDIYAMLKECSMDPNETTQKLLLQDTFHEVKRKKDRKKENLNNREPVELRRRHGTQGRGQRGVVGNFMPHNVSHGASISKYSGTGKDTGAHQVTEKVAPSLPASQETISKAKTSAASSAANDPASVASGTISGVSPSPSFSGSGNRTSPSSGANNNLGSALLSDCSNKSATVASRSGFRPSSSDHPGPAPASSSAVNFSSSDPTLVPSNDLRHPSSVGAVRCEEGNPCPPVELTAASETGSSSVQGKIPGKSEGVAKTHRTEMSPSSTVTHGSSTASRPSSNYGSRSQHSIGAQKAGSNKEWKPKITNTINQGSEPDSASAEVTGQLQSASSALGSQEDTSKLQKKLEDLNLTQRQHVILPNHIFVPDSEKNKFSFGSLGVTFGVNTSYTNGPESEKSSTPLSQTSQSIEETAEEQPSSSQNAAVNSEVGDYPDHPQSPTNGPENLLSSGVDGSSSAIQEFNESKQDTALQSEDHPYSEVHTSPNYGYGFVPPVLGNQVTPFDSSETQTRDISRLPSFVVHQPFDPASYYAQFYRSGADSDGCLSPFSSAAKYNGNVTVLAATNSQSPQEGGLLSTAGPTPLVTQAAGLMQSSIAVTQQPVPVFRTPSGVHISHYAPNYIPYGHYFSPFYVPPPPAMHQFVGNGAFPQQPQASTVYPPPPAVAAPGVKYPLPQFKPGTNAANPTHLVMPSAYGAYGSSPAGYNHSSAAPPAGNSTFNEDLGSSQFKENNVYISGQQSEGSAVWVTAPGRDISSLPTSSYYNIPPQGQHVTFAPTQAGHGTFTGIYHPPQAVTAAGVHPLLHQSQTMAGAVEMISLTAKLDNQVVSSDFFGKLQNIDGVPCGQHCNWLHLSVRAGKIDNRIISHYAPNYIPYGHYFSPFYVPPPPAMHQFVGNGAFPQQPQASTVYPPPPAVAAPGVKYPLPQFKPGTNAANPTHLVMPSAYGAYGSSPAGYNHSSAAPPAGNSTFNEDLGSSQFKENNVYISGQQSEGSAVWVTAPGRDISSLPTSSYYNIPPQGQHVTFAPTQAGHGTFTGIYHPPQAVTAAGVHPLLHQSQTMAGAVEMVGPGGNVYQQPQHAQINWPGNY >OIW04350 pep chromosome:LupAngTanjil_v1.0:LG09:19177132:19178676:1 gene:TanjilG_32542 transcript:OIW04350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSITHRFSTCHRHPTVPITGFCASCLSERLAGIVSPVNEDSHPPPELRRTKSCSGGRDVPSDGASVSEPRRWSCDVRARSTLSDLFNVDDEEKKKRRNRSGFEVEVERGNGIGETVRVCDEEEGTKTMKEFIDLELRNRKNARKDFRSFWHAASMCSEKLKKWRWNCKLKTKRSSFGEKGNRNGIGDFEKPRARRLRESQSEVGEYEFGRRSCDMRRISVDYNSRISFDAPPRASWDGYLVGNKASHRLSPMISVVEDENHKVLIKENDNINNVANFIPGGSTQTQGYYSCRRSFDRLNSHRRNSIADVDELKLKSLNAKVSPATTELFYGAKLLITEKELMDRNVNVKSLRDVQSDCVLGSAFKNDSDVATVASQMSFKKFQNRPKLWNKLVQRKEDKLEFDAGDDMVKKPVALSWQKLRRVVNVQRDESVSQKLLRSYSVSCRHPCRMYSFINSLGALEARGNVSNSRQEFTLQRNQSARYSPNNLDTGLLRFYLTPLKSYRRSKSGKGSL >OIW04967 pep chromosome:LupAngTanjil_v1.0:LG09:8669662:8674713:1 gene:TanjilG_01163 transcript:OIW04967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDNGYKSQYIGGQMEKFVRLDELDDLSLPSSSTGMKKLRFNLDCLPFRRRGKKTPSKSFRQGVKRGSDGLVTLGRSLRSGVTWSVFPEDLRVSEKKVFDPQDKNLLYWNKFFELLCIFSVACDPFLFYLPYFNHKSFCLAIDNKLASFAVTLRTIFDCIYLIRISFQFRTAYIAPSSRVFGRGELVIDPAQIAKRYLQRYFIVDFISVLPMPQIIVWKYLYKTRRAEVLATKTALLRIVILQYFPRFLRFLPLASEVKKTAGVFSENALLGAMYYLIWYMLASHITGSVWYLLAIERNDTCWKEACKEVRNCYVHFLYCGSSNKHIPGYESWRNISQTVLRSRCSGEEDSQFNYGIFTQAIQSGIVASIEVFPKFCYCLWWGLQNLSTLGQGLLTSTYPGEVLFSIVIAIMGLVLFSLLIGNMQTYLQSMSVRLEEMRIKRRDSEQWMHHRLLPPELRERVRKYDQYKWLNTRGVDEENLVQSLPKDLRRDIKRHLCLNLVRRVPLFANMEERLLDAICERLKPSLYTESTYIVREGDPVNEMLFIIRGRLESVTTDGGRSGFFNRGLLKEADFCGEELLTWALDPKSAANLPSSTRTVKAINEVEAFALEAEELKFVASQFRHIHSRQVQHTFRFYSQQWRTWAAIFIQAAWRRHMRRKVAEQRRKEEEEDFYDSDDGGNDSARALVPHSEGSSSKRFGLGSTIYASRFAANLQGRRLRGSSSMDLAKIQKPSEPDFSALGDEE >OIW05167 pep chromosome:LupAngTanjil_v1.0:LG09:5484387:5485016:1 gene:TanjilG_19798 transcript:OIW05167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVKECNHHKDEKKKIFRRIFWGIVIFLFIFLLTILIVWAILRPTKPTFILQDVTVYAFNATIPNFLTSNFQVTLSSRNPNNKIGVYYDRLDTYVVYRSQQITLRTAIPPSYQGHKEVDVWSPFVYGTNVPVAPYNFVGLSQDQSNGNVLVVVNIDGRVRWKVGTFISGRYHIYIRCPAYINFGVQSNGISEGNNAVKYQLVQQCTVSV >OIW04768 pep chromosome:LupAngTanjil_v1.0:LG09:14283213:14286248:1 gene:TanjilG_08651 transcript:OIW04768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHEIRKDQNIRLFGRIISLPSNSTNDSSSEHASSLLPQDHSPPSSSSSPREAISETEHEAETYKEPSTKELATDQDDEATLQTKEDLKSPTSSGTYENPKTPSAEKETSLAKSSKNGEQSETSVSQDKTLKKPDKILPCPRCNSMDTKFCYYNNYNVNQPRYFCKKCQRYWTSGGTMRNVPVGAGRRKNKSYLSSAEHYHQIIVPEALQASKLGGLHNGTLGNGAAVLTFGSDSPLCDSMTSVLSLAEKAQNGIVLNGFHAPNQNVFVRYDKKEINNNNNGGENLVAASVLDLTSSENKGHGGSHEPMDKSHQGFPQFPCFQGSSSPWPYPWNPAWPPPPFSQPCYPMSFYPTHAYWGVNVMPPPTWSVHPISPQSHSITSSSPNSPTLGKHSRDGNIISQTNSVKEKPGTESISNNNSESSVLIPKTLRIDDPGEAAKSSLWSTLGAKNEGGLFKAFPSSKGGNKNHVVEASPVLHANPAALSRSLIFHERT >OIW04542 pep chromosome:LupAngTanjil_v1.0:LG09:17614996:17622688:-1 gene:TanjilG_13924 transcript:OIW04542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQFHPQASTKQTCTLLAVTCGTSFEQQKPSQNHHNYPFPELVSVGRLEVQTLSNPEKEQFCKILESYQPNIVYLQGEQLANGEVGSLVWQGVELSTPEAITELFGPTLPTAVYLEIPNGKSFAEALHFKGIPYVIYWRNIFSCYAACHFRQAFLSVVQSSSTHTWDAFHLALASFELYCSQNNQVLPGDSHDTNCEMGPHLLGNHLQINVDPPEMGEEDDDENSSGSLADITIHDDEVNLRFLICGAPSTVDESLLRSLEDGLRALLTIEIRGCKLHGKFSAPPPPLQAAAFSRGVVTMRCDISTCSSAHISLLVSGSAQTLFSDQLLESHIKNEIIEKSQLVHAHLNKEGNEQNISEPRRSASIACGATVFEISMKLPQWALQILRQLAPDVSYRSLVVLGIASIQGLPVASFEKDDAERLLFFYQSCEKDSYTNNIVFSNPPCWLKPPPPTRKRCEPSQGDIPGPDDGFFAGEGAVRKVDEEEKDRKMVNGTSTPLTPARQRLKVSAMRPIPHVHRHRLTSFYGPFETNGFDGAQVEANLPLVAPTKRTSIGSTSATQRKSFAASAQSKQVISLNPLPLKKHGCGRGPVQTCSEEEFLKDVMEFLILRGHSRLIPQGGLTEFPDAILNGKRLDLYNLYKEVVTRGGFHVGNGINWKGQIFSKMRNYTSTNRMTGVGNTLKRHYETYLLEYELAHDDVDGECCLLCHSSAAGDWVNCGICGEWAHFGCDRRQGLGAFKDYAKTDGLDYICPHCSVTNLKKKQSIANGYSQGSMLSRPL >OIW04877 pep chromosome:LupAngTanjil_v1.0:LG09:10118411:10120156:-1 gene:TanjilG_23993 transcript:OIW04877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAVLQLPDECWETVFRFLSQCHQRYLEPVSLVSKQFLSITNHLRFSLTICHPTLPYLHHLFLRFRMLQSLDLTCFSGDLNMLLHKISRSALALNSLNISNSTRIPADGLRALGKMVKTLKSLSCSNMGSLTNADLLLIADCFPFLEELDISFPRDLDKIFIIDYKNFDNLTGPVSDFGIKLLSLALPKLRMVNLSGNYFINDSSLLNLCKNCEFLEQVQIFGCDFVSQVGIASAFWERPNLTSLSVSNFGRANIASEFIGSLVSLKSLTCIDLTYSCISDELLFSIAEAGLPLRKLILRGCSNYSFAGISCLLSKCQVIEHLDLMDAEFLDDKLMSELSVFLSNLMYIYLSGCRMLTNSSFFALIRNCPLLNEVTMEETSIGENVIENSLMDFTVVHSQMKSLRLANCSKLRDESVKRFASICPNLQLLDLSSCWGISEVGVVEILKRCCKITYLNFASCLQVKKLSGMNFEALKLEDLTLSRSGVDDDALLVISKRCCGLLYMNMENCSEITDKGVKKVVENCIQLRTINLKGCYKVSADIVAWMVFSRPSLRKISAPPPFRPTESQKELFLRHGCSVT >OIW04317 pep chromosome:LupAngTanjil_v1.0:LG09:18809091:18811575:-1 gene:TanjilG_32509 transcript:OIW04317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEQNQPLSSRISTVVPATPRDEENDTCHLSCTDMMMKLHYIKAIYFFTSEAAQGFLSAHELKKPMFPLLDLYTKISGRIRRSESGRPFIKCNDAGVRIVESHFDMTLKEWLRQNGFGVEGVVHDHVLGPDLGFSPLVFVKFTWFKCGGLCVGLSWSHILGDAFSAFNFIIMWSKALSGHVPPKSLHVPNSIKPSPSSNYTIYMKKVTVIEEQWLATSDTKVVTQSFYVTSKQLEHLVKATSNENNKVSCFEVLSALLWKYIANIRQGSETKVVTICTHGNGRRENEFPSNNSLVLSIVEANVEVEKSDIQDLVRLIGEKKIVGNYHLMEKLEEENGWKEDFVVYGANLTFVDLEQANIYGVKLNGQKPIVANCAFHGVGDKGVVLVLPTSQEDNEDESKRRMITVSLPEKELDQLKDKLEEEWGITSYMQLFDDDQKHN >OIW04326 pep chromosome:LupAngTanjil_v1.0:LG09:18905443:18910014:-1 gene:TanjilG_32518 transcript:OIW04326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRPVQLWIKGNHVVMDNGILQVYLSNPGGFVTRIQYNGIDNILEDLNEDFNRGYWDIVWSESGSTGTTGTFERIVGTNFKVIVENEEQVEISFTRMWDPSLKGKLSAVNIDKRYVMLRNSSGFYSYGIFEHLKEWPAFNIPQIRIVFKLRKDKFHYMAVADDRQRFMPLPDDRLPRRGEELVPPEAVLLVNPVEPEFKGEVDDKYQYSSENKDIKVHGWISSESEIDPPMGFWVIIPTNEFLTGGPVKQNLTSHVGPISLAMFLSAHYAGEDIVLKLKPNEPWKKVLGPTFFYLNNNTLLDSEDPLELWEDAKLQMTEEVQNWPYDFPASEDFQKSSQRGSVSGTLLVRDRCLSNDDIFAQGAYIGLAPPGDAGSWQRESKGYQFWTRANDEGNFAIDNIRSGDYNLYAWVPGFIGEFWNNVVITITPGCEINVGDIVFEPPRDGPTLWEIGIPDRSATEFYVPDPNPKYINKLYVNHPDKFRQFGLWERYAELYPHEDLIYVDGVSDYTKDWFFAHVTRKNDDGSYKGTTWQIKFNLDEVNTSVIYKLRLALASANVSELQVRVNDQKQDPPLFTTGVIGKDNAIARHGIHGLYWLFSIDVPGLLLKEMDNTIYLTQTIATEPLALFHGVMYDYIRLEAPKS >OIW04188 pep chromosome:LupAngTanjil_v1.0:LG09:21132360:21150426:-1 gene:TanjilG_00748 transcript:OIW04188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFEFPEKMLCHADSFFIGHPIPALSIDDELVPGQTYFVIPIDIFACHTLSASSLAALGSCVSNKSPIKFGACPFEYLKGSDGRVLIKVMPEFITRLINGENNNNNGSSSNSFLCSTPELQKQYEQLVKSKDQTWSPKLETISEYKLRRLASDSGGGAFVDWAATSTSAANRSVTAPPDDLSLGFNATGPTTGGIFSGASTRSINYNLAPQEMGMVGLRDLFVVTPASTFHHQHEQPHFSDHPHHLSINNSSNPATALGVGIIPLLAATPCLDNETMMSNRNRSNSATQGIQFWQDQQHHHYFKNHNVNAGQENYLQSGGTGDGGGVEVTITGSGNNSGGTMTCQDCGNQAKKDCSNRRCRTCCKSRGFDCPTHVKSTWVPAARRRERQLMQAVTGAGGSTASTSGAKKPKLISSHTTTSHTSTSNTTPPRSFDTSSSHQDAGIKEPLPGQIRAPAVFKCVKVTAVEDGDDEYAYQAVVKIGGHVFKGFLYDQGVENRDIYPNLSELHLGGGNGGNGSGAIGSGRNVVSSSSPMMDPSDVYGGGLLGSSTYGNPIN >OIW05345 pep chromosome:LupAngTanjil_v1.0:LG09:2293528:2297346:1 gene:TanjilG_28810 transcript:OIW05345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIASDVKKKKNSTVLCLDETKERIKNMFNKVELSTSSYDTAWVAMVPSPNSPEAPFFPQCLNWLLDNQLFDGSWGFSDRHPLLMNDALLSTLASILALKRWGIGEDQIDRGLLFIESNITSINDEKQHLPIGFDILFPSLVEYAQDLGINLPLGATSLEAIIRKKEIELQRGFQSNTEGWSAYLAYCSEGMQKSKNWETVMKYQRKNGSLFNSPATTAAVYNHLKNTDCLNYLRSVLEKFGDAVPTVYPLDIYARLCMIDSLERLGINYHFKEEIQSVLDETHRYWLQGAEEIFLDPTTCAMAFRILRLNGYDVSSDPLHQYSEEKFTNSLKGYLKDIGAILELYKASQIIIHPEESVLVRLHSWTRHLLKQESSRQQMYADKLRFYVDHEVKDALAFPHYANVERFLNKRSMDHYNVKETRILKTSYRSCNLANQEFLKLAVEDFNICQSKHIQELKQLSRWVVESKLDKLKFSRQKHAYCYFSVASTLFTPELSDARISWAKNGVLSTVVDDFFDVGSSEEEQMNLIQLVEEWDVDINTSCCSETVKILFSALHSTICEIGEKSVKWQGRNVKNNVIKIWKNLIQAMFREAQWTKTNTVPKIDEYMQNGYTSFALGPIVLPTLYLIGPKLSDDDAENHELHCLYKTMSTFGRLFNDIRGFKREIEEGTLNAVNLHIINGNGLITKEDAIEEMMVMVEDKRRELLELVLKEKGSVVPRDCKELFWKMSKSISLFYTKDDGFSELEMHSTVNAVLKVPVVLHELLEGAQQNLGA >OIW04344 pep chromosome:LupAngTanjil_v1.0:LG09:19136544:19138045:1 gene:TanjilG_32536 transcript:OIW04344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANMQIVPAYKNIVEAQYVEMMVPLYSYGCEKKIKKTLSHLKGIYSVKVDYYQQKVTVWGICNKYDVLETVRSKRKDTRFWNQEDNVGLENSQSPLTSPNPPLPTHDKDPKPFLALTKVRSLSFKALKKVFTRSLSF >OIW05028 pep chromosome:LupAngTanjil_v1.0:LG09:7778159:7781666:1 gene:TanjilG_18627 transcript:OIW05028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATASVSFKSREDHRKQIELEEARKAGLAPAELDEDGKVINPHIPRYMSSAPWYLNAERPSLKHQRKWKSDRNYTKSWYDRGAIVFKADKYRKAACENCGAMTHDANSCMDRPRKVGAKWTNKHIAPDEKIETFELDYDGKRDRWNGYDASTYALVIERYEARDDARNKYLKEQQLKKLEKNDQNSEEDEDDLRVDEVKVDESKQMEFAKVEKRVRTTGGGSTGTVRNLRIREDTAKYLVNLDVNSAHYDPKTRSMREDPLPDADPREKFYLGDNQYRNSGQALEFKKSNLHAWEAFDNGQDVHMQAAPSQAELLYKNFKVMKDKLKSQTKDTILEKINDPYP >OIW04959 pep chromosome:LupAngTanjil_v1.0:LG09:8722968:8728305:1 gene:TanjilG_01155 transcript:OIW04959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDNEEIPSVPSTPATPGTPGAPLFGGFRSERNHINGISRKSLLNSCKCFSSVQDWTLEDGALPKVSCSLIPPPIPLARKVGAEFIGTFILMFAGTAAAIVNQKTNGSESLIGCAATTGLAVMVIILSTGHISGAHLNPAVTISFAALNHFPWKNVPTYIGAQVLASICASFALKWIYHPFMNGGVTVSTVGNGQAFALEFIISFNLMFVVTAVATDTRAVGELAGIAVGATVMLNILIAGPSTGGSMNPVRTLGPAIATNNYKAIWVYLIAPILGALGGAAAYTAVKLPDEDQNIHAKVPSNPGSFRR >OIW04939 pep chromosome:LupAngTanjil_v1.0:LG09:9516409:9523277:1 gene:TanjilG_15684 transcript:OIW04939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEHGNGNDQHVFEIGVVIPRRVVQVKDESFDCAHVLVEEFKKVGFVVERVIGIADEFIKLAAPLETLGRAAAALQIKKRTHIGMDLQFEVEEVEAFVKQPDGSVFSWCERFQCYCHLIYGIVNNSDSAITLEFDGEQIYWEIGESLLWKLESENIVKQVFPLHDEKKRKTLLRSWAFHWWDLTNQPIDDIYSYYGAKIAIYFAFLGMYTRWLLFPAAFGLTLQFIDFGSLRLVVLPAFFIMVILWAVMFSQFWKRKNAALLARWPVSSAVADDPGYVTPGRKCCSLQPPMELIKVFETDKVKGKEVFRRYEWFGRFMRFRNDAFVIFSIICLQLPFELAYAHLFEVASSDAIKFGLTAIYLCAIQYITKFGGKVCVKLIKYENNENTEKRADSLVYKVFGLYFMQTYIGVFYHALLHRNFSTLRKVLIQRLLLSEVLENLVENSLPYLKYTYKKYRVRRKKKKEKGESREKIQFTSRVEKEYLKPSYSASIGEELEDGLFDDFLELALQFGMIMMFACAFPPAFAFAALILTVMSICTNCALLAWLYDEEGKWKIEPGLAAILIIEHVLLLIKFCFSRLVPEEPAWVKANRAKHTIQAQDMCSKKLLRTISGGDRKFDLMKKLE >OIW04881 pep chromosome:LupAngTanjil_v1.0:LG09:10053095:10061660:-1 gene:TanjilG_23997 transcript:OIW04881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVVGLDFGNESCIVAVARQRGIDVVLNDESKRETPAIVCFGDKQRFIGTAGAATTMMNPKNSISQIKRLIGKQFSDPELQRDIKSLPFHVTEGPDGYPLIHARYLGEAKTFTPTQVFGMMLSNLKEIAEKNLNAAVVDCCIGIPVYFTDLERRAVLDAATIAGLRPLHLIHETTATALAYGIYKTDLHETDQLNVAFVDIGHASMQVCIAGFKKGQLKILAHSYDRSLGGRDFDEVLFNYFAAKFKEEYKIDVFQNARASLRLRAACEKLKKVLSANPEAPLNIECLMDEKDVRSFIKRDDFEQLSLPILERVKGPLEKALAEAGLTVEDVHMVEIVGSGSRVPAINKILTEFFKKDPRRTMNASECVARGCALQCAILSPTFRVREFQVNESLPFSISLSWKGSGPDAQNSGSDNQLSTVVFPKGNPIPSIKALTFYRSGTFSVDVQYGDASGLQASKISTYTIGPFQSTKSERAKVKVKVRLNLHGIVSVDLATMLEEEEVEVPVSKESAAENTKMDTDGAPTNAAVPPTFNDSDVNMQDAKASDDTPGVENGTFDSGDKPVQTDSDTKVQAPKKKVKKTNIPVAELVYGAMIPVDVQKAVEKEFEMALQDRVMEETKDKKNAVEAYVYDMRNKLNDKYEEFVTAPEKEGFIAKLQEVEDWLYEDGEDETKGVYVAKLEELKKQGDPVEERYKEYTERGTVIDQFIYCINSYREAALSTDPKFDHIDINEKQKVLTECVEAENWLREKKQQQDSLPKYANPVLLSADIRKKAEAVDRFSKPIMTKPKPAKPVTPPTPATPPPQGGDANGNAGAASSDVPPESTEPMETDKPENAGPNSLRLRAACEKLKKVLSANPEAPLNIECLMDEKDVRSFIKRDDFEQLSLPILERVKGPLEKALAEAGLTVEDVHMVEIVGSGSRVPAINKILTEFFKKDPRRTMNASECVARGCALQCAILSPTFRVREFQVNESLPFSISLSWKGSGPDAQNSGSDNQLSTVVFPKGNPIPSIKALTFYRSGTFSVDVQYGDASGLQASKISTYTIGPFQSTKSERAKVKVKVRLNLHGIVSVDLATMLEEEEVEVPVSKESAAENTKMDTDGAPTNAAVPPTFNDSDVNMQDAKASDDTPGVENGTFDSGDKPVQTDSDTKVQAPKKKVKKTNIPVAELVYGAMIPVDVQKAVEKEFEMALQDRVMEETKDKKNAVEAYVYDMRNKLNDKYEEFVTAPEKEGFIAKLQEVEDWLYEDGEDETKGVYVAKLEELKKQGDPVEERYKEYTERGTVIDQFIYCINSYREAALSTDPKFDHIDINEKQKVLTECVEAENWLREKKQQQDSLPKYANPVLLSADIRKKAEAVDRFSKPIMTKPKPAKPVTPPTPATPPPQGGDANGNAGAASSDVPPESTEPMETDKPENAGSV >OIW04884 pep chromosome:LupAngTanjil_v1.0:LG09:10011237:10019591:1 gene:TanjilG_24000 transcript:OIW04884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSFEVTELPVRSSKFIARKQWVVAGADDMFIRVYNYNTMDKVKVFEAHTDYIRCVAVHPTLPYVLSSSDDMLIKLWDWEKGWACTQIFEGHSHYVMQVTFNPKDTNTFASASLDRTIKIWNLGSPDPNFTLDAHQKGVNCVDYFTGGDKPYLITGSDDHTAKVWDYQTKSCVQTLEGHTHNVSAVCFHPELPIIITGSEDGTVRIWHSTTYRLENTLNYSLERVWAIGYLKSSRRVVIGYDEGTIMVKLGREEPVATMDNSGKIIWAKHNEIQTVNIRSVGADVEIADGERLPLAVKELGTCDLYPQSLRHNPNGRFVVVCGDGEYIIYTALAWRNRSFGSALEFVWSVDGEYAVRESTSRVKIFSKTFQEKKSIRPTFSAERIFGGTVLAICSNDFICFYDWAECRLIRRIDVNVKNLYWADSGDLVTIASDTSFYILKYNRDVVAAYLDSGRPVDEEGVEDAFELLHETSERVRTGIWVGDCFIYNNSSWRLNYCVGGEVTTMFHLDRPMYLLGYLASQSRVYLIDKEFNVTGYTLLLSLIEYKTLVMRGDLERANEVLPSIPKEHHNSVARFLESRGMIEDALEVAIDPDYRFDLAIQLGRLEVAKGIATEVQSESKWKQLGELAMSTGKLEMAEDCLKHAMDLSGLLLLYSSLGDAEGISQLATLAKEQGKHNVAFLCLFMLGKLEDCLQLLVESNRIPEAALMARSYLPSKVSEIVAIWRKDLNKVNSKAAESLADPEEYPNLFEDWQVALAVESKAAETRGVYSPAEEYVNHADKSHVTLVEAFRIMQIEEGEQALENGDSYHELTEQNGEDDYPQEHEEQIGEDDYTEENEEQNGEERSQDEAVVMDADSTDGATVLVNRNEADEESGVNKGSPSA >OIW04321 pep chromosome:LupAngTanjil_v1.0:LG09:18838835:18842594:1 gene:TanjilG_32513 transcript:OIW04321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGHAPPTNMDNQIGADENVDGSGEHSMDHIQYETHALDDGNGAIGGGVEDIAAADVYVSGDGGGGDHDFAVQPCDGSNQLTLSFRGQVYVFDSVTPDKVQAVFLLLGGCELPCPDTAPQHDQRQAPLEYPARCSQPQRAASLSRFRRKKRERCFEKKIRYEVRQEVACRMDRKKGQFTSSKKQDGASSLGAGQESGQDDSPSETACTHCGISSKSTPMMRRGPNGPRSLCNACGLFWANRGTLRDLSKRNQELSLVPAQQVEGNNNSDCGTAIHAHNNLVTFSGNDNPDLVPGR >OIW04717 pep chromosome:LupAngTanjil_v1.0:LG09:14485182:14489472:-1 gene:TanjilG_06709 transcript:OIW04717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRIPFTLFSLILICTLSIPNLVHSKTLKRDVKALNEIKASLGWRVVYAWVGDDPCGDGDLPPWSGVTCSTMGDYRVVTELEVYAVSIVGPFPTAVTSLLDLTRLDLHNNKLTGPIPPQIGRLKHLKILNLRWNKLQDAIPPEIGELKSLTHLHLSFNSFKGEIPRELANLPDLRYLYLHENRLTGRIPSELGTLQNLRHLDVGNNHLVGTIRELIRIDGCFPALRNLYLNNNYFTGGIPAQLANLSSLEILYISYNKMSGVIPSGIAHIPKLTYLYLDHNQFSGRIPDPFYKHPFLKEMYLEGNAFRPGVKPIGFHKMLEVSDSDFLV >OIW04611 pep chromosome:LupAngTanjil_v1.0:LG09:16065226:16065378:1 gene:TanjilG_30509 transcript:OIW04611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDEARLLKAYGELPENTRLNEGIGGGLDEEDDGAGNDYIEFEDEDIDKI >OIW04852 pep chromosome:LupAngTanjil_v1.0:LG09:11153669:11154065:1 gene:TanjilG_13692 transcript:OIW04852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDTTFEDENNGSCENEVMKVYSNKSSNEPDIMREDSLNKDEVSILDNKRKSAYEFDAHDSIAENEGNQKSSRQRSWT >OIW04530 pep chromosome:LupAngTanjil_v1.0:LG09:17730795:17731918:1 gene:TanjilG_13912 transcript:OIW04530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSTPYQALAETCEADNSSFNMPLLLAIALIGATVGGLLARQRKGELQRVNEQLLQINAALRKQAKIESYAPTLSYAPVGGTRVLDNEVIVDPKKQELISKLKTGKNFLRNQQPDKAFTEFKVALELAQNLKDPIDEKKAARGLGASLQRQGKYKDAIKYHSLVLAISEREGEISGNTEAFGAIADCYTELGDLEKAGQFYDKYIDRLEKD >OIW05352 pep chromosome:LupAngTanjil_v1.0:LG09:2158760:2161568:1 gene:TanjilG_28817 transcript:OIW05352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDANIDSPASESSSPPVLHPALAPLSFLLGTWRGQGEGGYPTIHSFSYGEELHFSHLPNKPVIGYTQKTWKLSSGEPMHAESGYWRPKPDGTIEVVIAQSTGLIEVQACGKPCSLNERTSPPPPPQLDSTKEMVVLKLYEILNQQNPWFSIWRPLKLNYNNRTSYAGLFVYEDYRMNKF >OIW04905 pep chromosome:LupAngTanjil_v1.0:LG09:9771250:9771963:1 gene:TanjilG_23908 transcript:OIW04905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTLYLNLTFHKPLTPATSAAATRRSYIPVRCATSRSRRLPLLKGRILSIESLQAIQTLKRLYRTNPPNLTHALSTTLTRLIKSDLDATLRELLRQQQCTLALRVFHTLRSEYGADLTLHAEIAKALANCGMYEDLDDFIVDLEENCEIDCGDYKGLVNLMKVVIEAKRRESTVRIYRLMKKCGWGSVVEPDEYVVRVLVNGLKGFDEIALAEEVQNEWNRAFDNFSRGILGNLRV >OIW04606 pep chromosome:LupAngTanjil_v1.0:LG09:16849104:16850955:-1 gene:TanjilG_18083 transcript:OIW04606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTNVDNLWVLAIASKCTQENIAWLLLIMATLWLTMTLFHWSHPGGPAWGKYYSTWRKTTQSSPSSTPHHINAMIIPGPKGYPLIGSMNLMTSLAHHRLAAAAKACNATRLMAFSLGNTRAIVTCHPDVAKEILNSSVFADRPVKESAYSLMFNRAIGFAPYGVYWRTLRRIAATHLFCPKQIKASETQRNEIATQMIEFFRNRHNDGGSIRQVLKRASLSNMMWSVFGQRYEDNSGMEEELSGLVEQGYDLLGTLNWGDHLPWLKDFDLQKIRFTCSKLVPEVNRYVGSIIADHRAHTAQSNRDFVHVLLSLHGPDKLSDPDMIAVLWEMIFRGTDTVAVLIEWILARMVLHPDVQHKVQDELDTVVRGGSRALTEEDMTALIYLPAVVKEVLRLHPPGPLLSWARLAITDTTIDGYHVPAGTTAMVNMWAISRDPNVWRDPFEFIPERFVAGEGEPEFSILGSDLRLAPFGSGRRTCPGKSLGLATVNFWVARLLQEFEFLPNDADKNGVDLTEVLRLSCEMANPLTVKVRPRRGLSLCNKLS >OIW05126 pep chromosome:LupAngTanjil_v1.0:LG09:5804691:5806250:-1 gene:TanjilG_02599 transcript:OIW05126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLKTELCRFSGAKIYPGRGIRFIRGDSQVFLFVNSKCKRYFHNRLKPSKLTWTAMFRKQHKKDAAQEAVKKKRRATKKPYSRSIVGATLEVIQKRRTEKPEVRDAAREAALREIKERIKKTKDEKKAKKAEVTAKSQKTGKGNVSKGAASKGPKLGGGGGKR >OIW04582 pep chromosome:LupAngTanjil_v1.0:LG09:16563120:16566761:1 gene:TanjilG_18059 transcript:OIW04582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKGQKFVRFKDWRSESSLSTEQNDPDSNEFHKGEVKLNPVTDGANKSMASRRKVLDPQGSLLQKWNKIFVITCFLAISVDPLFLYIPVINEQRKCLDLSGKLLKIIACVLRTFFDIFYVLRIIFKFRTGFIAPSSRVFGRGELVDDPVAIAKKYLSSYFIIDILSIIPLPQMAILAAIAIPQYSAPHMAKMMLKYIIIAQYVPRSLRIYPLYKEVTRTSGILTETAWAGAAFNLFLYILASHVVGSFWYMFSVESEVRCWHRQLKNTTFSHDSFISCGVKDNPTVLSLLNRPISCPHKDPDDTTDPTFFNFGIFMEALKPGLVNSDTDFTDKIYYSFWWGLRSVSSVGQNLQTSTYSGEITFAIFISISVLVLFTSLIGNMQKYLQSTTVRVEEMRIKRRDAEHWMSHRMLPDYLKERVRRYDQYKWQKNRGVEEETLIRNLPKDLRRDIKRHLCLDLLKKVPMFENMNKQLLDAMCDRLRPVLYTEKSDIIREGDPVDEMLFIMRGILATATTNGGRTGFFNSFVLKAGDFCGEELLTWALDPNTSPNLPISTRTVQTISEVEAFALTAEDLNLVASQFRRLINSKQLQHTFRQDLIYISFPFLCKNISLHFLDSVTQFYSLQWRTWAACFIQATWRRYLKKKAKRSLRAAEDRLRAFANADGSSPSIGAVIYASRFSSNLLRSLRRSKRNKMPHTRKVLPLLPRKPSEPDFTTKEK >OIW05131 pep chromosome:LupAngTanjil_v1.0:LG09:5868207:5869712:1 gene:TanjilG_02604 transcript:OIW05131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQTSPQLHFVMFPLMSPGHMVPMMDLATILTQHNMIVTVFTTPHNASRFSQTFTHASKSGLQLRLVQLPFPSKDSGFPEGCENFDMLPSMAMGLSFFEASNNILYKPAEEVFEGLKPKPNCIISDVSFPYTANIATKFNVPRISFYGTSCFCLLWQMNVIISNVLETIASDSEYFVIPDIPDRIEITKAMMPGPMNENWNDFVGKMVAAEMVSYGVVMNSFEELEQAYVKDFKKAKNGKLWCVGPVSLRNKDHLDKARRGKNKASIDEDYCMKWLEMQKASDVIYVCLGSICNLTSLQLIELGMALEESNRPFIWVIRETNQSQELNKWLIESGFEERTKRKSLLIKGWSPQVLILTHPSIGGFLTHCGWNSCLEALCVGVPMLTWPLFGDQFFNERFIVQILRVGVSVGVESPVNWGEEETKGVLVKKENVLRAIEKLMDDENESEERRKRARVVAEMAKIAVEEGGSSYHNVTLLIQHIMHHSNQQRSHVSNGLIRM >OIW04318 pep chromosome:LupAngTanjil_v1.0:LG09:18815200:18816389:-1 gene:TanjilG_32510 transcript:OIW04318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSEQRKKRLKEMRQQADLAQVSGGSEGSGVPGLLSNPLIEAPSTIPSRAAPRFDFYTDPMNAFSSNKRNNAGVQVAPDYFPPPSFGGAPTARFSSPHPESINLQLTPSPTQASPEPYGNPVWNGPRGPAHYNAPVWNGPRGPAHYSSNPRFEQPGSPFYNSPQGIVHHPNHSPNPSPGYRNSPNPSPGRGRGFWQNRNPVSGRGSGRGRSSQGHSSNEDRAYGQDRFYKRSMVEDPWKLLKPVIWKAPYAFPVNSKSWTSTSKSASTEQEGPTAASVKSNSEPSLAEYLAAAFNEAAAGAENNAENV >OIW04271 pep chromosome:LupAngTanjil_v1.0:LG09:21656221:21657150:-1 gene:TanjilG_00831 transcript:OIW04271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPHVEIVPLTIPEPQSNKITYNDKATKKGALQQHAVIFVYETKVAELNRSIIVTWCKSTTEHSLSMSVEKICSEENKHTCKIDLESGQSWGKKGLKSFEIDGARVDIFWDFRHAIFSTSPEPSSCYYVALVCKKELLLLLGDLAKDAYRRTRSKPSSEDVTLLCKKENVYGKKVFCTRAMLEEGKRENDIVIETSLSGPDDPEMWISIDGMLASRIMNLNWRFRGNEIVMMNNLPVQIFWDVHDWLFNEIGSGPGLFIFKPDFFEANSYPNSRECHEKREDRSKDELGEESPFSRGFCHFLYAWRTS >OIW04653 pep chromosome:LupAngTanjil_v1.0:LG09:15526999:15528183:1 gene:TanjilG_07788 transcript:OIW04653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGSCNDESTATDSLSITISPTTTLLTFTALSKKVLPLPLSNNLCRAGSGVSVIVDSDSGSGVEAESRKLPSSKYKGVVPQPNGRWGAQIYEKHQRVWLGTFNTEEEAAKAYDTAAQRFRGKDAVTNFKPPSSSVVVDGGNNDDTEAEFLSSHSKSEIVDMLRKHTYNDELEQSKRNRGFNDRSLSRSTSANNGVDCYFSGASGNKAREQLFEKAVTPSDVGKLNRLVIPKQHAEKHFPLQNGVNGIVAGKGVLLNFEDVGGKVWRFRYSYWNSSQSYVLTKGWSRFVKDKNLKAGDTVFFHKSTGPDKQMYIDWKVKNVVNEVRIVGSVGPIVEPVQMFRLFGVNILKLPGSDSNGNINSASSIGGCSNGKRREMGMFTLECSKKPKIIATL >OIW04277 pep chromosome:LupAngTanjil_v1.0:LG09:21670768:21680678:-1 gene:TanjilG_00837 transcript:OIW04277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLNRRLSSSNGKLQQSMKFFKGNEPLHRSNSDRTCRRKPLLLLIFGLVAIGSVWFLLTFNIKCLMSKGNEAICGERARIMLHRYNVSKKQLHALASLFSGSDKNIVQSWKLRVREIKDFMSLSNLVKGSWWALIGILMSYKLSGFCLLWRSQKHKVVKGHPVAQKKKLQHFVRGPSKSAGRWRKKFLVIFVSLGVVGSIWLFWHLNMNIMQRREHLLANMCDERARMLQDQFNVSMNHVHALAILVSTFHHDKHPSAINQCLMSKGNEAICGERARIMLHRYNVSKKQLHALASLFSGSDKNIVQSWKLRVREIKDFMSLSNLVKGSWWALIGILMSYKLSGFCLLWRSQKHKVVKGHPVAQKKKLQHFVRGPSKSAGRWRKKFLVIFVSLGVVGSIWLFWHLNMNIMQRREHLLANMCDERARMLQDQFNVSMNHVHALAILVSTFHHDKHPSAINQKIFGEYTESTAFERPLTSGVAYAMKVLHSDRKHFERQHGWTIKKMETENEALVQDCIPENLDPTPFQDEYAPVIFAQETVSHIVSIDMMSGKEDGENILRARASGKGVLTSPFKLLKSNHLGVVLTFAVYNTNLPPNATSEQRIEATVGYLGASYDVPSLVDKLLHQLASKQTIAVNVYDTTNASAPITMYGTDVVDTGLLHISSLDFGDPLRKHEMHCRFKQRPPLPWTAINASVGVLVITLLLGHIFYAAIDRIAKVEDDYRKMRELKVRAEAADVAKSQFLATVSHEIRTPMNGVLGMLQMLMDTDLDENQMDYAQTAHRSGKDLISVINEVLDQAKIEAGKLELEAVAFNPHAILDEVLSLFLGKSNEKGIELAVYASNEVPEVVIGDPKRFRQIITNLVGNSLKFTNDKGHVLVSVHLANEVKNPLDIMDAVLREGLNLDQDMSDRTYNTLSGFPIDERWKSWENFKMLGSLNTDDPDMIQLLVTVEDTGIGIPMDAQSRIFTPFMQADSSTSRTYGGTGIGLSISKCLVDLMGGEIGFVSEPGIGSTFSFTGTFRKGDTVSMDAEWQKYNLFASQCQGLRALVVDRRKVRAVVTRYYLQRLGMSVDVTSSLKSACSCVSGTCNMSMSTHLAMILIEKDAWDKESSILYTIEKHKQNSISRAGMNFPKIFLLAAHLSTNERDELKSIGVVDDVLMKPLWLGALVRCFRESRGTEKRHTDRKRVSKLGNLLINKRVLVVDDNAVNRKVAEGVLQRYGAIVTCVESGRAALRMLKLPHNFDACFMDLRMPEMDGFEVTRQIRSLESEVNEKIASGKTSAAMYGNIGYWHTPILAMTADVTQDSNEECRKCGMDEYVSKPFEEEQLYMAVSHFFKPAS >OIW04116 pep chromosome:LupAngTanjil_v1.0:LG09:20631134:20631772:-1 gene:TanjilG_00676 transcript:OIW04116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSALQFHRFNPAFDAATTAIANGVNFPKQQPPPQLRFISLSSAKATVPETVALHHDQSVGPNQCCSVVIQHIDAPISAVWSVVRRFDNPQGYKHFVKSCNVVTADGIRVGAIREVRVISGLPAASSTERLEILDEESHVISFSVLGGDHRLRNYRSVTTLHGDGNGGTIVIESYVVDVPQGNTKEETCVFVDTIVRCNLQSLATKSKYSKL >OIW05258 pep chromosome:LupAngTanjil_v1.0:LG09:3705436:3706317:-1 gene:TanjilG_03647 transcript:OIW05258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSTSTSNSREWTQIYAIYGMDQWQTLIFLLTQAILFSVLSILYLLYFTAICIFFERFLASGTARFAAGFIAAVIVLSAVCLYFAAANFFYSAVPLHYDMANRIVSAVSDWSSVKLALDLGCCGRGILLNAVATQLKKEGSSGRVVGLDRTKQTTLSTLRSAVTEGVGEYVTCREGDARRLPFPDNYFDVVVSGVFVHTVGVGRRSAAEAAAERMRAVGEVVRVMKPGGVGVVWDLLHVPEYVIRLKEMKMEDITLSECVTAFMVSSHIVSFKKPSHHLHGPPEVRLDWRLC >OIW04475 pep chromosome:LupAngTanjil_v1.0:LG09:18402899:18404720:-1 gene:TanjilG_01648 transcript:OIW04475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSNKVKVVSLFHKTTEPANKTLVFEFEGTLLRSSSLFPYFMLVAFEAGGILRSLILFLSYPLVWLVGEDQLGLEIMVFLCFFGIRKDTFRIGSSILPKFFLEDVGWEGFEDVMCCEKKVASSKLPRVMVEGFLKDYLSVEGVVARELKTFNGYFLGLFEEKKVNKITPSYEGKTKACNDNTIGIGGSHFEHIDKELFPHFKVYLSKERKNWHVLPREKYPKPLIFHDGRLAFRPTPTSSLALFMWLPFALFLAIFRFIFGTSLPFNVSAPILAFSGTRTTLSKPFNVSLVHNKEDQKSMLYVCNHRTLLDPLYIAYVLNKPLSAVTYSLSKFNELVSPIKTIRLTRDREKDREAMVKLLKLGNLVICPEGTTCREPYLLRFSPLFAELTDDIVPVAVDVKVTMFYGTTASGHKWLDPFFHFLNPNPTYFIKFLERVPESETCMGGGKSRIEVANFVQNEIGKGLGFACTSFTRKDKYLVLAGNEGVYDKKHGSPYLKGE >OIW04819 pep chromosome:LupAngTanjil_v1.0:LG09:12253527:12264152:1 gene:TanjilG_13667 transcript:OIW04819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITVSVKWQKELLKDVEIDMTQPPYVFKCQLYDLTGVPPERQKIMVKGGLLKDDADWSTLGVKQGQKLMMMGTADEIVKSPEKGTVFVEDLPEEEQVVAIGHTAGLFNLGNTCYMNSTLQCLHSVPELKSSLIKYSHSGRTNDVDQTSHMLTIATRDLFSELDKSVKPVAPMQFWMVLRKKFPQFGQLHNGVFMQQDAEECWAQLLYTLSQSLKSPGSRTEEAAKGRAFLKQGGLAGKGVSLGEIGENSDAVKALFGIELNSRVHCQESNEETSEAESVYSLKCHISHEVNHLHEGLKHGLKSELEKASPALGRSAIFLKESRINALPRYLTVQFVRFFWKRESNQKAKILRKVDYPLVLDVYDFCSDDLRKKLDAPRQILRNEEGKKFGLKGSEKSSTGKENDVKMSDAEGSSNGGGEPSVAPMEEGEKEAQLTGIYDLVAVLTHKGRSADSGHYVGWVKQENGKWIEFDDDNPKPRVEDDITKLSGGGMPN >OIW04144 pep chromosome:LupAngTanjil_v1.0:LG09:20854028:20860553:-1 gene:TanjilG_00704 transcript:OIW04144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRAVVTIEEQLLEKAIKEECAWESLPRRLQTTVPSKEEWHKRITQYCIKKRLQWNNCFAGKVCKESEYYEDMMRYLRKNLALFPYHLAEYVCRVMRVSPFRYYCDMIFDVMKNEQPYDSIPNFSAADALRLTGIGRNEFIDIMNKCRSKKIMWKLNKSIAKELLPTQPVDFPIEPWWGVCLVNFTLDEFKKLSEEETITIDKACKEEGNSFVIFDPNIVKALCRRGLVYFDVPVYPDDRFKVSRLEGFVSNRELSYEDPIEELLYAVFVVSNENATVRELATTLQADLSQLQAAASFVCRLGWATKIIDPESILRDTNIPGSPRSVVSDEYASFASQGSENMLIDSDSIQQGDTSGSGNYGPRSTCTHVAFIVDANITSYLMMGSVSPGLKSHAVTLYEAGKLGHASIGDLCKDLSTLESTTFEGELQEFANHAFSLRCVLECLQSGGVRTDAKEEACFDKMGLITSSNDEASSPIAEISLAEKSENSGVTEAVINDDDLLNLDSEKHVEASVSSEPVINGIDDGTNSITSENGSNHIQEASKSNATLHSQEKLPESEGSDVGSEMLKRKKKYRVDILRSESLASLSPATLNRLFLRDYDIVVSIVPLPHLSVLPGPGGPVHFGPPTYSSMTPWMKLVLYSTAASGPLSVVLMKGQCLRLLPAPLAGCEKALIWSWDGSTIGGLGRKLDGNLVKGSILLHCLNSLLKHSAVLVQPLSRCDLDESGKIITLDIPLPLRNSDGSVASVGKELGLCEEENSKLNSLLTDLANNTKLWTVGYIRLLKLFNGKKSDRFSSEEKYEWVPLSMEFGMPLFSPTLSNDICRRVVSSEMLQSASFEEHHDAMQILRKKLHDICAEYKSTGPAAKLLYQKGQAKESSRQLMNYASGKWNPLKDPSSPISGDSSGRQRLKLANRQGCQTQVLSFDGSILRSYALTPAHEVATTPITEASQANTTKAESQENDSKEATLPGVNLIFDGSELHPFDIGACLQARQTISLIMEAATDSASATIK >OIW04543 pep chromosome:LupAngTanjil_v1.0:LG09:17610632:17610826:-1 gene:TanjilG_13925 transcript:OIW04543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTATSVVGKGKLSESAIDNGSASSINKVFWMRDPKTGNWVPENHFGEVEAAELRKKFLQKRQNL >OIW04962 pep chromosome:LupAngTanjil_v1.0:LG09:8699565:8701037:-1 gene:TanjilG_01158 transcript:OIW04962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGDEGVVAAEPAAAPASVIPGEPMDILTALQLVLRKSLAYGGLARGLHEAAKVIEKHAAQLCVLAEDCDQPDYVKLVKALCAEHNVSLLTVPSAKTLGEWAGLCKIDSEGKARKVTGCSVVVVKDFGEEHEAYNVVLQHVKSN >OIW04368 pep chromosome:LupAngTanjil_v1.0:LG09:19303556:19304584:-1 gene:TanjilG_32560 transcript:OIW04368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSIPSSSFSSSSSSISEEPLLAPNPDRFCMFPIQYPQIWEMYKKAEASFWTAEEVDLSQDLRHWDSLTDDERHFIKHILAFFAASDGIVLENLAGRFMTQIQVAEARAFYGFQIAIENIHSEMYSLLLETYIKDSADKNRLFHAIETIPCVTKKAEWALRWIDGSESFAERLVAFACVEGIFFSGSFCAIFWLKKRGLMPGLTFSNELISRDEGLHCDFACLLYSLMKKKLPEERVKGIVRDAVEIEREFVCDALPCALVGMNGVLMSQYIEFVADRLLGALGCGKVYEVQNPFDWMELISLQGKTNFFEKRVGEYQKASVMSSLNGGDGGAHVFNMDEDF >OIW04244 pep chromosome:LupAngTanjil_v1.0:LG09:21510219:21510857:1 gene:TanjilG_00804 transcript:OIW04244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKRAVAATAAMEMVHPVEPRYRGVRKRPWGRFAAEIRDPMKKSRVWLGTFDSAEEAARAYDAAAVTLRGPKAKTNFPINPSPFYHHHATDPYFDHHRYYATANNGAGFNDHVVINPQRPTCSGMSSNVESFSGPRHSSAVAAPPATVATRRYPRTPPLVPEDCHSDCDSSSSVVDDGDDIASSSFKVPLPFDLNVMPLDVDDDLHCTALCL >OIW05136 pep chromosome:LupAngTanjil_v1.0:LG09:5910232:5912584:1 gene:TanjilG_02609 transcript:OIW05136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSSSVHSSGYDLSFKILLIGDSGVGKSSILVSFISNLVEDLTPTIGVDFKIKAFAVGGKRLKLTIWDTAGQERFRTLTSSYYRGAQGIILVYDVTRRETFTNLSEVWSKELELYSTNQDCVKMLVGNKVDRDSERAVSREEGLALAKELGCLLFECSAKTRQNVESCFQELALKIMEAPSLLEEGSTAAVKRNVLKQKHEPQVSKNGGCCS >OIW05230 pep chromosome:LupAngTanjil_v1.0:LG09:4176082:4176737:-1 gene:TanjilG_21215 transcript:OIW05230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RYRYPFLETNGIVSVEDNRVGPLYKHVSPPALAPRLSSIGIPEKDIIFQTLELKCKWVARVLSGKELLPTEEEMMASIQEYYQQMENNGMPKALNSSSAF >OIW04601 pep chromosome:LupAngTanjil_v1.0:LG09:16799393:16799590:-1 gene:TanjilG_18078 transcript:OIW04601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGSQITKTAGHSFIEEGGQLHKFIVDDRSHPESNEIFALLDGVYELIKLNISSFECHLDLDLSY >OIW04933 pep chromosome:LupAngTanjil_v1.0:LG09:9422094:9426321:-1 gene:TanjilG_15678 transcript:OIW04933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMEEKPKLLHGTIEATIFNASPYSSLFPFNCIRTNGKPAYVIIKINNKKVAKTTEDEDRIWNQTFQIQCAHAADSTITIVLKTSLSTLGKFHIQAMQLLEERNMINGFFPLLMENGKPNPKLKLRFSLWFKPAKLEPSWEKVLRNEEFQGLKNVTFPQRSNCEVKLYHDAHHSSAFQPPFDVCGAPRKLWEDVYKAIEGAKYIVYIAGWSFNPNMVLVRDPQTEIQHARGISLGDLLKKKADEDVAVRVMLWDDETSLPFIKNKSVMDTNDEDSLTYFNNTKVICRKCSRLHLKFPTLFSHHQKTITMDTKGPNFVNVRELMSFVGGLDLCDGRYDTEKHSLFQTLIRESHCYDFYQPNIAGSSLNKGGPRLPWHDAHACVIGDAAWDVLTNFEQRWTKQCDPSLLVSASTLITLMPKIGSSNSIERDWNVQVFRSIDHSSCNNLLSKLTVERSIHEAYVDAIRRAERFIYIENEYFIGGCHMWDKDRNCGCTNLIPIEVALKVVNKIKAKEKFAVYIVIPMWPQGVVESEHVQDILHWTRETMSMMYKLIGEAIQESGETRNPREYLSFFCLGNREHKGKGEYLPLESPLPQTQYWNAQKYRRFLVYVHSKLMIVDDLYILIGSANVNQRSMDGKRDTEIAIGCYQSHNEVDNKMSHSDINEYRMSLWYEHTSSADALFLEPENLECVHRMCSIGDKMWEIYASEEIVDMKNVHLVTYPIRVTQEGYVKDLSNGIYFPDTKSLVKGNRSIMLPPMVTT >OIW04418 pep chromosome:LupAngTanjil_v1.0:LG09:19754116:19757827:-1 gene:TanjilG_32610 transcript:OIW04418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGCANNTYEEARKQRLEENKKRFEDLGISKISKTLTEVTTPVKKTHHHLPKSKSKSNDLVEPRRSSRTRNPIASYSEEAGIDLPTLRKRSRSNSSSCYSYIARPLEEIKQASDKQRSRAWEAAEKLHTNLQSENPTFIKSMVRSHVYSCFWLGLPSKFCEEHLPKTHYNMILEDENGSEYEAVYIGSRSGLSGGWRAFALEHKLDDGDALVFELIEPARFKIYTVKAFPDSVEEYEKEEPEEKEGNMRATRALKAGSKGETKSKKTRKSKQAIVVYETNESESSQEHLPQSSIDNEVKAHEISPTKITVSQEKMQEKSKMLATGVSKVEGQVERVKPKGKNLVKPRGAKPGKKQKVTKNLVQDDGELMDVEPVEEASKDDSHVESVKPKANNIVNPRGAKPSKEPKSTDNLVQDGVELEKVEPVEEARKCVSQKPRKKAAGKLFRKRA >OIW04278 pep chromosome:LupAngTanjil_v1.0:LG09:21682934:21683437:1 gene:TanjilG_00838 transcript:OIW04278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAVTASENTPSFNQTDLEAAMTDMRSHSYYGFVILLKILNSQPKSLQNNDLTFLMPSDAELSQFSITPLQLHDFLLSHSIPTPLVLNHLLHFPNGSVVPSSIPTKSINITNSGKSGLFVNNARIVTPNVCQTSLIRCHGISAALTFDNNPPIPPLSSEPKPPTIQQ >OIW05190 pep chromosome:LupAngTanjil_v1.0:LG09:5261090:5263277:1 gene:TanjilG_19821 transcript:OIW05190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENQHGTKINLEKFTWIIESFSKKNTKKLRSKSFVIGGCRWRIVVQPIEKGVDHLSLCLKISGPLPAYGWSKFAYFKLSLINQMDNEKSIVKETQQKFDSRYRIWGSSFMHLSDFYNPKQGYLINGHCIIAAQVAVSDHAFQITTPSLTNSISVARLIEYSEETRNSTIQTKSEFRSQEDFGIVIPPSERTHSPNHGHINKPSFYPSIYDDGPKEVPVIHLSEILDINSLGPEEATFFPLLEEVCLCHPSLIESQMKKSPKYILWAFITLGQVLHFLKTTKMKNMDEKARENLECLWEEVQLFGFDLTWLEPYIQSALDVKAYLEKAEKVKNLKDIVVYLETEVRKLRTKLAIAEVDVDIARKDLEEVEMGLEERNIDAKLGYDI >OIW05133 pep chromosome:LupAngTanjil_v1.0:LG09:5875051:5876211:-1 gene:TanjilG_02606 transcript:OIW05133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHKPLEELCEKLEPFPSCIICDKHIPCVAEIANKFKVPRIIFDGTSCFHLLCTHNLNASKVYEGVSSEEKFIVPGLPHRIELQKSQLPGLFNPSIYQNVNAFREKIRACEENSYGIVVNSFEELELEYVKEYQRVSGRRVWCVGPVSLSNKDILDKAQRGKRINTNDESQYMKWLDSWPIGSVIYVCLGSLNNATPEQLIEIGLGLEATKRPFIWVIRGAYKRDEIETWLVEYGFEDRVKDRGLLIKGWAPQVLILSHKSIGTFLTHCGWNSTLEGICAGVPLVTYPLFAEQFFNEKVVVQVSESGVSLGAENGVHLGEEEKSSVQVKRENVRDAIEKVMGEGEEQERIREIARKWAHMAKKAIEEGGSSYNNMSQLIEDIIHVG >OIW04072 pep chromosome:LupAngTanjil_v1.0:LG09:20320699:20323799:-1 gene:TanjilG_00632 transcript:OIW04072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENPKLSKVSFFEMPLHYPRYTQKDYEDMPEWKLDQLLKEYGLPTHGDLVYKREFAMGAFLWPTLSKPFSNPFHSAPNLKFKPQKRFAVTSFSQSSPISITKVTPFGQSTLYYPQDDARKRDIRAYAGRSKKTGGKSSSGGRIEGNADFRRRLKRNARAKAKKLADSLFYRLKNPRGGGNYPNNFSEDELQEIGLGYDRMVRFMEKDDPNLRHPYDWYKYGEFGPFSWRGVVLGEPIRGRPTDECVSIISEVRDHEEWEKIEQAEMAQDFGEKLKKLDKSKLRYFWIFIRHPRWKLSELPWQQWTLVSEIALEAGKQRLDKWSLMGRLGSKARSLVGQCAAWMRPDIIYVKRPVFQCRFEPQDNFFKALVPLLDPNSEEEHPCGLQNDDGIIEVCTYFSGLCKIVKVNQKAFVDDVVNAFEKLSDEKKSKCLEFLLTNHPVPLLHPYTKEWKAKLEEDELGCDAPDEDDEARVDDPEETEILDWIEDEENDDDLGIVDDEEEEEEDGEEEEQFDSYDDDDDQDLDTDMEEDGDGNFRSLEEGNLKKNKKNWDIELQKSLSSAEAMENLVKNSFDFTTKFYNKQLEEMNKKEEVHSADGDETALRGKRAKVKPEEWKYAGIGRWRKRIKKSKLPPELFLRAAVRPFTYRNLVKEIVLTRHAILDGDIGKPLKG >OIW04224 pep chromosome:LupAngTanjil_v1.0:LG09:21389138:21391987:-1 gene:TanjilG_00784 transcript:OIW04224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYLSRIEHTLPLPPSLLTLPIREAMQMEIEKLFLDKVIANLGLCISLYDIRSIEGGYIFPGDGAPTYTVVFNLVMFRPFVGEVIAAKLLASNADGLRLSLGFFDDIYVPAHLMPIPNHFEADPINSYENDSKKGTWFWDYEGEHFPIEDSEAEIRFRVQSVSYPPVPVEQPKESKPFAPMLVTVWVLFLGGFEGEEVVEEQA >OIW04327 pep chromosome:LupAngTanjil_v1.0:LG09:18914362:18925414:-1 gene:TanjilG_32519 transcript:OIW04327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIGTRVMFVADVRRAMVTDRAIPAANIDLHYAHCSRNLEKCKVCGDMVPKKHADDHYLTTHAPVSCSLCSEMMERDILDIHRGENCPRRIITCEFCEFPLPAIDLAEHQEVCGNRTELCHLCHKYVRLRERYTHEVGCSGNQDRPVESSRNVREAEREEDARRRHPNDISTRRLLFTIAITGFAVILGSFFFTKESRAQ >OIW04226 pep chromosome:LupAngTanjil_v1.0:LG09:21397429:21400640:-1 gene:TanjilG_00786 transcript:OIW04226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFPYNSLHAPSHSDLHNPKPKTPSTTRFNRFGAPTRKRTGPGTPLFNWKINDQDKTTSSSFSATKKNRSQVPVSARRLAAGIWRLHMPEMEMSGYRRSEDRLGLQHGSDLKHISQSLPSISGTKSGHFCEPEQPFQFSNTQMEGATKWDPLCFKTSDEAQHIYSHVKLVDQKVHAVSVVSTLEAELEQARAQIQELETEHRSSKKKLEHFLKKVSEERAQWRRREHEKIHAYIDDIKSELNRERKSHQRIEILNSRLVNELADVKLSAKRYMQDYDKERKARELIEEVCDELAKEIGEDKAEVEALKRESFKFREEVEGERRMLQMAEVWREERVQMKLIDAKVALEEKYSQMNKLVADLETFLNSRGLNPNSKEMREAQSLQQAAAAMSIQDITGFSYEPPNSDDIFSIFEDANFGDPDKRDIEPCNSHSPASHASKIHRVSPEANVVSKDDMQRHPDLFMDDNGDIEGDESGWETVSHAEDQGSSYSPEGSAQSLSKKHRDSNVSRRSVQEWEQNAGEETPITETSEVCSIPTKQSKKVSSIVRLWRSGLNYGDNYKIIAVEGMNGRLSNGRLSNVGIMSPDHGSGKGGLSPEDFLYQLSSPESGNPHSQRGMKGCIPRGPQKNSLKSKLFEARMESQKVQLRHVLKQKY >OIW04113 pep chromosome:LupAngTanjil_v1.0:LG09:20609936:20611754:1 gene:TanjilG_00673 transcript:OIW04113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGLKKHLKRLNAPKHWMLDKLGGAFAPKPSSGPHKSRECLPLILILRNRLKYALTYREVIAILMQRHILVDGKVRTDKTYPSGFMDVVSIPKTNENFRLLYDTKGRFRLHSVRDDEAKFKLSKVRSVRFGDKGIPYLNTYDGRTIRYPDPVIKANDTIKLDLEENKIVDFIKFDVGNVVMVTGGRNRGRVGVIKNREKHKGTFETVHIQDATGHEFATRLANVFTIGKGTKPWISLPKGKGIKLSIIEEARKRVAAQLPTAA >OIW04618 pep chromosome:LupAngTanjil_v1.0:LG09:16101472:16104238:1 gene:TanjilG_30516 transcript:OIW04618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFIKAQKSRAYFKRYQVKFKRRRDGKTDYRARIRLINQDKNKYNTPKYRFVVRFTNKDIIAQITSASIAGDHVLAAAYAHELPHFGLEVGLTNYAAAYCTGLLLARRVLKTLELDEEYEGNVEATGEDFSVEPAETRRPFRALLDVGLIRTTTGNRVFGALKGALDGGLDIPHSDKRFAGFDKEKKELDPEVHRKYIFGGHVANYIKTLIEDEPEKYQSHFSEYIKRGIEADGLEALYKKVHAAIRADPTAKKSGKQPPKEHKRYNLKKLTYEERKNKLIARLQALNSAAGADDDEDEDDD >OIW04379 pep chromosome:LupAngTanjil_v1.0:LG09:19401306:19401932:1 gene:TanjilG_32571 transcript:OIW04379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSLSQLVQNMFSNNNIMLVAIVSLLLVILFVLLLHIYAKFFLSPAQPRRLRTPATVEPSRMHHFHFIETSPISTKGLDFPTVSRIPMFVYEAETKELECVICLSGFEEGEIGRRLPKCGHAFHLECIDMWLSSHCTCPICRAHIVVQNNDGDDDDMLQIVIGTSEGESVNDNYRGGGVSVSQTSSSLLLACSFKRMLSTVFQSSNPM >OIW05340 pep chromosome:LupAngTanjil_v1.0:LG09:2354639:2357105:1 gene:TanjilG_28805 transcript:OIW05340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKLGRGHRDKIQQFMTITGASDKAALQALKASDWHLEGAFDFFYSHPQLRTFTDSRHLEELYNRYKDPYVDMIMADGITLLCNDIQVAFSEPKLITFFMCVVIFF >OIW04597 pep chromosome:LupAngTanjil_v1.0:LG09:16772247:16778293:1 gene:TanjilG_18074 transcript:OIW04597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLIQLVNKIQRACTALGDHGEESALPTLWDALPSIAVVGGQSSGKSSVLESIVGKDFLPRGSGIVTRRPLVLQLHKIDEGREYGEFMHLPKKKFTDFAALRQEIADETDRETGRSKGISSVPIHLSIYSPHVVNLTLVDLPGLTKVAVEGQPDSIVQDIENMVRAFIEKPNCIILAISPANQDIATSDAIKISREVDPKGERTFGVLTKIDLMDKGTDAVDMLEGRAYKLNFPWIGVVNRSQADINKNVDMIAARRRENEYFASTPEYRHLASRMGSVHLGKVLSKHLESVIKSRIPSLQSLISKTIIDLESELSRIGKPIAADTGGKLYVVMEICRTFDQIFKDHLDGIRPGGEKIYQVFDNQFPAALKRLQFDKHLSLDTVRKLITEADGYQPHLIAPEQGYRRLIESCLVSIRGPAEAAVDTELKQYPTLRVELGSAAVESLERMREESKKATLLLVDMESGYLTVEFFRKLPQDAEKGGNPTHSLFDRYNDAYLRRIATTVLSYVNMVCSGLRHTIPKSVVYCQVREAKRSLLDHFFTELGKREGKQLASLLNEDPAIMQRRTNLSKRLELYRSALSEIEAVAWDK >OIW04340 pep chromosome:LupAngTanjil_v1.0:LG09:19097977:19100658:1 gene:TanjilG_32532 transcript:OIW04340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRISRIGSYAIASSIQENQQQQTCITCTTFNILAPIYKRLNHEDQSSRESDFRANWLARNHRILDWLLYERSSIICLQEFWVGNEELVNLYEKRLGDAGYISFKLGRTNNRGDGLLIAVQKEHFEVINYKELHFNDCGDRVAQLLHVKLAFPFTECKNSDIRQEVLIVNTHLLFPHDSSLCRVRLNQVYKMLQYVESYQKENQLKPLPIMLCGDWNGSKRGNIYKFLRSQGFVSSYDTAHHYTDADAHKWVSHRNHRGNICAVDFIWLLNPDKYRKVLKSSWSEAVFGMFKYLLRRASLTERDAFALLKADNEDFITYSGFCEALRQLNLTGHCHGLSIDEIKDLWIQADNDGNGVLDYKEFMQQIWNQTGSDERYDNNNEHVDDSEEQTIGFSVKKAVLFPPEVEKGTWPEDYSLSDHAKLTVAFSTIKMRCSQMISQKSLRTL >OIW05061 pep chromosome:LupAngTanjil_v1.0:LG09:7214463:7215570:1 gene:TanjilG_02768 transcript:OIW05061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNTHKTVHTLLIHILLISLFPLKITTSPKTEGYALVKWKNTLIPPLPPSLSSWSLNNLCTNWYSITCDNTTNTTVSDINLSGLNLIGTLSQLSFASLPNLTNLNLSGNNLGGSIPFTICTLSKLTFLDMDSNLFEGMLPYKLEKLKELQYLSLWSNNLNEIGNLKKMRILFFYQNQLSGFIPMEIENMKEIKELDLSRNQLCGPIPVEIGKLKEVTMLGLSQNQFFGPIPPEIGNIKKLRQLYLYQNQLSGLIPVEIGSLKDMV >OIW04310 pep chromosome:LupAngTanjil_v1.0:LG09:18748499:18753439:-1 gene:TanjilG_32502 transcript:OIW04310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSENSKKEIILNSPSISSAQEALDLDSQDTPIVSLTLTMNVDGVNYFHVKVVGLLFVATTRVNISPSLVLELLQRIARVIKDYLGILNEDSLRKNFVLVYELLDEVIQGTKRMPGIAVTKSVVATEPGGRKREEIFVDIIEKISITFSSSFDVDKTLSLIPPDGEFPVMNYRMTQEFKPSFRINAVIEEAGSLKVI >OIW04183 pep chromosome:LupAngTanjil_v1.0:LG09:21093605:21097734:1 gene:TanjilG_00743 transcript:OIW04183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAPSSQERAQRLYEKNLELENKRRRSAFPSDPNAWLQIRDNYEAIILEDHAFSEKHNIEYALWQLHYKRIEELRAHLNAVLTSVSSKSSQGGKGQVRPDRITKIRLQFKTFLSEATGFYIDLIMKIRAKFGLPLGYFEDSENQIVMEKDGKKSAEMKKGAVSCHRCLIYLGDLARYKGLYGKGESIKREFAAASSYYLQAASIWPSSGNPHHQLALLASYSGDEVGTIYRYFRSLAVDSPFTTARDNLIVAFEKNRQSYSQLSGDVKALAAKESSGHLTGKGRGKVEAKLATRGTGVEACPKKEGASNIQEAYKSFCTCFTFSEVLSLVSTGLRKLLSSGQNEELNFGLDTLENGLAIVRIVSITIFTVHSVNKESESQTYAEIVQRAVLLQNAFTAAFELMSFIVERCVQLQDPSSSYLLPGILVFVEWLACHPDFAAGSDVDEKQAIVRSEFWVHCISFLNKLLSVGPMSVEDNEDEACFNNMSRYEEGETENRLALWEDIELRGFVPLLPAQTILDFSRNQSLGSGVEKERKARVKRILAAGKVLANVVRIDQKMIYFNSKGKNFAIGVEPQVSDDFVLAPYSGTPDAEELLKENTADKTKAGIVQPDQHQLMEGEDDDEVIVFKPIVAEKRADTVVVSSWVPYEGFESVSTAFGGDMKFHMNSASNSLNNVNHETSLPPSVSSMLPQHLQSVQPHSLRWLEEETTLPNSLKSLRFSENGHVVQPDLPLQAVSISNHTALPVPTQQPVSAGTNMLHGLSKAEDFVISSKVDAIMPSGFISDNSVMKTSSALQAGLKKSPVSRPTRHLGPPPGFGRVPPKQDIEPTISDLISGNPIMDDYSWLDGYQLPSSTKGLGPNGSLAYPESNPHQVRNNGLSGMVSFPFPGKNVPSAVQVEKQNGWQDYQGSELSKIHHDQQLQPQQQLAAGNQNFTTVPEQFQGQSIWTGRYFV >OIW05281 pep chromosome:LupAngTanjil_v1.0:LG09:3400750:3403562:-1 gene:TanjilG_03670 transcript:OIW05281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQMTDSEMDQQNQGYLRSEPCIISRGSTSTSQPNIRTMVAASGNTTNVDSYYLQDAYDNTRLYGLAQYNGIQHQHNLDMAGATAANIYYSGMNPSSSSVVFTPLPLNHRASDQLPGSNTFPVSGSSSDNFGRSSGFMDDVRGPYKRKIAEGIRGNHQYFNASASSSIAPPNARHADGVAMMDTASFSLHMPSLVEVGPHGSAWNRSGESIMVHDHNSLIRGNYLCPHFQPAPPPWFDQQLNNDGHTTAWNQPLPMPYMQAPNVNGNSLENASRGLQRYHDPASNRNGLRFPHPPPANHPHHNYHHPALPMQGVRGHNIIFHPPVTAASLRVPTNPSRNAAIPTQAGFEMVPRHFGPVPPEGLQIYRPHRAIMPDATLRHRNLAPMGFLQVDDVALIDEVGNPVDHHRDMRLDIEDMSYEDLLALGDRIGKVSTGLSEETISAELKMKTYVTPAAVINLEEPASEDQESDSCIICQDEYKDEENIVVLRCDHEYHADCLKKWLLVKNVCPVCKSEALTPERKDV >OIW04216 pep chromosome:LupAngTanjil_v1.0:LG09:21338536:21339347:1 gene:TanjilG_00776 transcript:OIW04216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VGRSLFGGLRNSVPGLLTTSHELTCSNFLSQQQRTFIQMRTVLKVVDNSGAKKVMCIQALKGKKGARLGDTIIASVKEAHPNGKVKKGKVVYGVVVRAAMQKGRCDGSEVKFDDNAVVLVDKQGQPIGTRVFGPVPHELRQKKHVKILTLAGHIA >OIW05010 pep chromosome:LupAngTanjil_v1.0:LG09:8159053:8159430:-1 gene:TanjilG_06422 transcript:OIW05010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGYLQNLSLEYDSVWDTKPSWCQPWTITLTGVSIIAITWFILKSVLVTSLISLLIGAWWFIFLYSYPKAYSQMIAERRQKVTNGVEDTFGVRNK >OIW05482 pep chromosome:LupAngTanjil_v1.0:LG09:385990:391550:-1 gene:TanjilG_12073 transcript:OIW05482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFIFTPKCLVLIYYTFFVPQSLCSTLKKSTNLHHNHIHGSSSSSLTYLWPLPSHFTSGDQTLSINPSLSLSLVSDNGVSVILTTAFDRYKGMIFKHGGNGGGVGFGLVRKLRESLVKVSSYEYDINQLKIIVHSDNEELQLGVDESYTLKVSKSKEHYIVGEATIEANTVYGALHGLETFSQLCFFDYTTKTVQIYKAPWSIQDEPRFSYRGLMLDTSRHYLPIDVIKQIIESMSYAKFNVLHWHIIDEESFPLEIPAYSNLWKGSYTKWERYTLEDAYEIVNFAKMRGINVMAEMDVPGHAESWGTGYPDLWPSPSCREPLDVSKTFTFDVISGILTDLRKIFPFELFHLGGDEVNTSCWSHTSHVKEWLQNHNMTAKDAYEYFVLKAQDIAISKNWTPVNWEETFIAFPAKLHPKTIVHNWYDSGVCAKAVAKGFRCIFSNQGFWYLDHLDVSWDEVYTAEPLEGIYNASEQKLVIGGEVCMWGETADTSNVQQTIWPRAAAAAERLWSRRDSTSAKNITLTALPRLQHFRCLLNRRGVPAAPVTNFYARSAPVGPGSCYDQ >OIW04846 pep chromosome:LupAngTanjil_v1.0:LG09:11312478:11312852:1 gene:TanjilG_29323 transcript:OIW04846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKDRRRSSIHDITSVNNGDVSAPQAPITGQTNGPAGNSTCKSGKQAPPASTGVPSIGIYAAPTIGQPIGGPLVSAVGTPVNLPAPGHMAYGIRAPVPGAVVPGAPTNIVPMTYPMPHTSAPHK >OIW05395 pep chromosome:LupAngTanjil_v1.0:LG09:1621900:1631111:-1 gene:TanjilG_28860 transcript:OIW05395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTPNADSATAASPPSRPSIILPPRPSAESFFSGGGVSPGPMTLVSNFFSNEGYSDTDYRSFSQLLAGAMASPLAFGAARTPFGVPTDNSGEEDGGVHKNLGFKQSRPMNLIIARSPMFTIPPGLSPSGFLNSPAFFSPQSPFGMSHQQALAQVTAQAVFAQSHMHMHADYQSSAAITASTEPLVEQPSFPLNKASEQQVLPSVSEPINAQPGTSQLTQADKKNQPSSVPIDKPADDGYNWRKYGQKHVKGSEHPRSYYKCTHLNCPVKKKVERAPDGHINEIIYKCQHNHEKPQGNRRIKDNSDTNGNASVQPNIDSNSQGWVGSSTKFEESKVDCSVLQSDQTSNQGASRQRPGSTESEEVGDVENREVGDDREPNPKRRQVSPSPLSQKNATEAKIIVQTRSEVDLLDDGYRWRKYGQKVVKGNPHPRSGYVRKGVSGRSFALEMCMLEVEMRVTAAVERRKEGEDEGYTCFCCIKRERRGTRGFHVSAAEYSTDKINLHIKGQLGARGFVESEEDRCTQPYPSYYKCTSAGCNVRKHVERASTDPKAVITTYEGKHNHDVPAARNSSHYTSSSNSMPLKPHNVVPEKHPLLKDMDFGLSDQRPVHLRLKEEEIIV >OIW05246 pep chromosome:LupAngTanjil_v1.0:LG09:3871962:3873116:-1 gene:TanjilG_03635 transcript:OIW05246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEETWLCSLCGKRARIVCESDQAKLCWECDHKVHSANFLVAKHLRILLCRLCQSPTPWKACGPSLTPNSSICHSCSLFRDNIRSDDDEDDDDDDNDIESEDDESYDDVEEEKEGENQVVPLSSPSPSSDADTDISSAATASAGATSGLPLKRFPHNFSVHDSDDEIGCSSSETLFRPLKQLRTGINHRETEHEQWYNHR >OIW05013 pep chromosome:LupAngTanjil_v1.0:LG09:8170812:8171768:-1 gene:TanjilG_06425 transcript:OIW05013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLTVETEDVSSDIYHSLGFTELGKEVGVPRVLSLLSSLLERLVQNNETLLESKHIKDVVTVFHGLRAPTLNVRKYIDRIFKYSGCSPSCFVVGHIYIDRFIQHMEVKLTSLNVHRLLITSIMLAAKFMDDAFFNNAYYAKVGGVSTGELNRLEMSFLFSIDFRLQVSIETFGKYCWQLEKEASETLQIERPMQACRIKESWSNKDDSTCASTIAR >OIW04255 pep chromosome:LupAngTanjil_v1.0:LG09:21570489:21575579:-1 gene:TanjilG_00815 transcript:OIW04255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPFDASSMPLSHNPNMSQTHYHHSCIYSTFTNQVPSLSFCKYQLNTFHTHTLVDEDVSEHSLWLKMQDEARIDVTLEPILSTYYHSTILSQKSLLTALANHLAIKLSSANLPYAPLFDLFKGILEGDDLNIMDAVKHDLIAAKERDPACISYVHCFLNFKGFLACQAHRVAHKLWLQGRKVLAVMIQNRVSEVLGVDIHPGAKIGSRILLDHATGIVVGETAVIGNDVSILHSVTLGGTGNITGDRHPKIGHVLKFRSVLKCGKNDRLWNKFSSSSSSWSNRPPPSSEMKGKVLCFTNENPTKVKGTRISVDDVCMVLENGPLGPAIQDALNVFDEMPQHELVVGVIRKLKDVNTALQFFRWVERKTEQAHGPEAYNAILMLMARTRNLDHFEQIIEEMSIAGFGLSNNTCVELVARFVNSRKVREAFGIIESMRRFKFRPDFSAYTTLIGALSASSECDLMLTLYHQMQELGYEVSIHLFTTLIRVFAKEGHIVAAHSLLDEMKSNSFNADRILYNICIDCFGKVGKVDIAWKFFHEMKAQGLVPDDVTYTSMIGVLCKAERLDEAIKLFEELDLNRNIPCVYAYNTMIMGYGSVGKFNEAYNLLERQKRKGCIPSVIAYNCILTCLGRKGKLEEALMIYEEMKKDAAPNLLTYNILIDMFCKAGELEAALKVQDSMKEAGLFPNIMTVNIMIDRLCKAQKLDEACSIFLGLDHKVCTPDTVTFCSLIDGLGRLGRVNDAYSLYETMLDSGQTPDVVVYTSLIRNFFKCGRKEDGHKIYKEMVLRGCSPDLMFLNAYMDCVFKVGETEKGRALFEEIRAQGLIPDVRSYSILIHGLVKAGFSKETYNLFYEMKEQGLHLDTRAYNTVIDGFCKSGKVNKAYQLLEEMKTKGLQPTVVTYGCVVDGLAKIDRLDEAYMLFEDAKSKDIDLNVVIYSSLIDGFGKVGRIDEAYLILEELMQKGLTANTFTWNCLLDALVKAEEIDEALVCFQNMKNLKCSPNEITYSIMINGLCMIRKFNKAFVFWQEMQKQGLKPNTITYTTMISGLAKAGNVQEAKGLFDRFMASGGVPDSGCYNAMIEGLSNADKAMDAYALFEQARLKGCCIYSKTCVVLLDALHKADCLEQAAIVGAVLRKMAKSQHATRLP >OIW05135 pep chromosome:LupAngTanjil_v1.0:LG09:5901253:5907103:1 gene:TanjilG_02608 transcript:OIW05135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGAVAVVDDLDGGVLSCAERTQSLDANSNIDHLSILTNGEAGHSSEIVGFRVGELLLSNGESYSGSLLGDIPEGQGTYVWSDGGVYEGEWRHGARNGIGKMRWRSGAMYEGEFSGDYIHGTGTYISSDKLTYKGRWRLNLKHGLGYQVYPNGDIFEGSWIQGTPEGPGKYTWANGNVYSGNMRGGTMSGKGTLTWITGDSFEGSWLNGMMHGLGVYTWSDGGCYVGTWTRGLKDGKGTFYPRGNRLPTVQEIYLSALRKRGLLPDLRKQKQVGDVMVGQNQRPQSNGRNVSLERRWSLEVSIEKVIGHDSASDFTESVTESGDKEVNAKIPILEREYMQGVLISEVVLDNMFSSTSRTTRRLQRKLKEIKRPGEAIIKGHRSYDLMLSLQLGIRYTVGKITPVQRREVRASDFGAKASFWMDFPKEGSQLTPTHQSDDFKWKDYCPMVFRNLRDMFKIDAADYMMSICGNDALRELSSPGKSGSVFFLSQDDRFMIKTLRRSEVKVLLRMLPDYHHHVKSYENTLITKFFGLHRIIPSSGQKFRFVVMGNMFCTELRIHRRFDLKGSSLGRSSDKIEIDENTTLKDLDLNYSFYLEPSWRESLLKQIEIDSKFLEAQHIMDYSLLLGVHYRAPQHLRRMSYNQSTNGDGLAILAEEDPLEDDVLNYPQGLVLVPRGDDKSVVVGPHVRGNRLRASSAGDEEVDLLLPGTARLQIQLGVNMPSRAELLPGKQEKQMFHEVYDVVLYLGIIDILQDYNMTKRIEHAYKSLQFDSLSISAVDPTFYSHRFLEFIQKVFPPNATPA >OIW04670 pep chromosome:LupAngTanjil_v1.0:LG09:15738452:15743185:-1 gene:TanjilG_07805 transcript:OIW04670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQNDNNARRKKGGLTTMPFIFANEITEKLAVVGFTTNMISYLTTQLHMPLTKAANTLTNFGGTSSLTPLLGAFISDSYAGKFWTITAASMIYQIGMITLTLSAVLPQLRPPPCKGDELCKEASAAQLSVLYISLLLGALGSGGIRPCVVAFGADQFNESDPKQTTKTWSYFNWYYFVMGASMLVAVTVLVYIQDNVGWGLGLGIPTIAMFISIIAFIVGFPLYRNFDPSGSPFTRLIQVVVAAFRKRKITHYDLLYQNDEMDAAISLGGKLHHTEHIKFLDKAAIQTEEDNSKRPNLWRLSTVHRVEELKSIIRMGPIWASGILLITAYAQQGTFSLQQAKTMDRHLTKSFQIPAGSMSVFTILTMLITTAFYDRVFIRVARRFTGLDRGITFLHRMGIGLVISNFATLVAGFIEIKRKNAAKAHGLIEHSNATIPISVFWLVPQYSLHGMAEAFMSIGHMEFFYDQAPESMRSTAMALFWTSISIGNYVSTLLVTLIHKFTKGPNGSNWLPDKNINKGKLEYFYWLITLLQFINLIYYLLCAKFYTYKPVQIHDKGDNSSEENHVQLSTTV >OIW04371 pep chromosome:LupAngTanjil_v1.0:LG09:19318078:19320644:1 gene:TanjilG_32563 transcript:OIW04371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDLNTLPPVLRHLCHEQPPTIAVDDGGNLTQNLDPIPVVDLQSLNHDKNNLDEACKDWGLFRLVNHGIPPTLLNQLHDQAKQVFSLSFESKRESFDGSSVNHFWGTPALTSSGTGVTSDHQKTNLFEAFHVPLAQLSQFQPQLPLIESFRVLLVEYGNHLSRIATTLFEAMVKKLELNLEPSKSYLAKDTGYVRVYRYPHCSNPDIGLGMEVHTDSSVLTILNQQDIVSGLEVLRDNQWLTINPISNTLIVNIGDLMQALSDDRYKSVVHRVKVNREKERISMGYFVFSGDGVELKSSKYKPFTYDHFRDQVQQDVKAFGTKIGLARFKRAEDN >OIW04109 pep chromosome:LupAngTanjil_v1.0:LG09:20584949:20586930:1 gene:TanjilG_00669 transcript:OIW04109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNSTAIFNGVDRVKGSWTPQEDETLLRLVAQHGPRNWTVISAGISGRSSKSCRLRWCNQLSPDVQHRSFTPAEDSIIINAHALYGNKWATISRLLPGRTDNAIKNHWNSTLRRHGLAQGYSSSESDIVVNKKRVTNDFLIRSYPSKRPYRENHFPENKKGLGSGFAENIHSIPVTTPLSLWPPGAAEKEEEEEEESDEVEGKGEKVNGQTHLSVSGSSREQDLEPNYITTVEFYWAPFLVESNSDDPNMHSILNRIIMPESIEKHGVNWKDADYLIFNTYIWWMNTFKMKVLRGSFDEEATEYDEVPRPEAYRRVLKTWSKWVDENIDPERTKLFFTSTSPLHIKSEDWNNPDGIKCARETTPILNMSAPLDVGTDRRLFVISHNVTQSMKVPVYFVNITALSELRKDAHTSVYTIRQGKMLTRKQQADPATYADCIHWCLPGLPDTWNHFLYARIISQS >OIW04590 pep chromosome:LupAngTanjil_v1.0:LG09:16701467:16704376:1 gene:TanjilG_18067 transcript:OIW04590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWNPQTLQFLSETFLHTLSPAPEPRRRAESALSEASDRPNFALAVLRLIAEPSVDDQIRQAAAVNFKNHLRLRWSPSSDETAATIIDSEKAQIKALIVPLMLSASPKIQSQLSEALALISNHDFPKSWPDLLPELVANLKNASQASDYASINGILGTANSIFKKFRFQYKTNDLLLDLKYCLDNFAAPLLEIFLKTASLIDSSANSGVILRPLFESQRLCCRIFYSLNFQELPEFFEDHMKEWMTEFRKYLTASYPALEGSGPDGVAVVDELRAAVCENINLYMEKNEEEFQGYLNDFALAVWTLLGNVSQLSSRDRLAITAIKFLTTVSTSVHHALFAGEGVIPQICQGIVIPNVRLRDDDEELFEFNYIEFIRRDMEGSDLDTRRRIACELLKGIAMHYGDAVRSIVSAQIQILLSSFAANPRENWKDKDCAIYLVVSLATKKAGSSYVSTELVDVQSFFESVIVPELQSPDVNGFPILKAGALKFFTMFRAQISKHVVLRFFQDLVRFLAAESNVVHSYAASCIEKLLLVKDEGGRARYTSEDITPIFPVLMNNLFNSLKFPESEENQYIMKCIMRVLGVADISVDVARICIEGLASLLGEVCKNPKNPVFNHYIFESVAILVKRACERDLSLISIFEASLFPRLEIILANGVTEFFPYTFQLLAQLVELNRPPIPPIYMQIFEILLSPDSWERGANVPALVRLLQAFLQKAPSEISQGDRLTKVLGIFDKLIKTKSTSEQGFYVLNTVIENLQYDVIKPYISHIWAALFSVLQQSRTVKLIKSLLIFMSLFLIKHGASNVVDSMNSVQPGIFIVIMNQFWIPNLKLITGAIELKLTAIASTRLICESPVLLEPAASESWGKMVDSIITLLSRPEQDRVEEDPDMPDITENVGYTATFVRLHNAGKKEEDPLKDIRDPKEFFVASLSRLSALSPGRYPKVINDNVDPANQTALLQLCNTYNLQIV >OIW05517 pep chromosome:LupAngTanjil_v1.0:LG09:92493:98608:1 gene:TanjilG_27647 transcript:OIW05517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSYEAIKNIDEDEDVEMGQHQKQIYESRSVFNNAQKTNNKVESPTSISSTFTSISTKQQQHQPQTQRLVSLDVFRGFTVVLMILVDEAGGLIPAINHSPWNGLTLADFVMPFFLFIVGVSLALAYKKLSSRVVASRNAILRSLKLLALGLFLQGGYFHRLGDLTFGVDLKQLRWMGILQRIAIAYLLTALCEIWLKADDTVNSGSSLARKYRYQWAVALFLSGLYLCLLYGLYVPDWEYQIPTEPSSAPMTFSVKCGVRGDTRPACNAVGMIDRTILGIKHLYRRPIYARMQECSINSPDYGPLPPDAPSWCQAAFDPEGLLSTVMAIVTCLVGLHYGHIIIHFKDHKVRMLYWMIPSSCLVVCGLTLDLLGMHINKVLYSFSYTCVTAAAAGFLFVGTYLLVRYLILSKLSYLPLKFESYSTKLLSLSRKHRHKTLIQT >OIW05098 pep chromosome:LupAngTanjil_v1.0:LG09:6690674:6693371:-1 gene:TanjilG_06234 transcript:OIW05098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRSCWKPNGEDCVRGRVEGMLWYKDLGNHVHGEFSMAMVQANSSMEDRSQVESGPLSFDHLGPQGTFIGVYDGHGGTAASQFVCDNLFSNFKSFAAEHQGISEHVIRRAFLATEEGFLSLVKKQWLNKPQIASTGSCCLAGIICNGMLYIANAGDSRAVLGRTERATRVTTAIQLSAEHNVSIETERDDLRSKHPYDSQIVVMKHKVWRVKGLIQVSRSIGDAYLKKAEFNKEPLPYKFRLSEPFFKPILSCEPSISTHKLDPADQFIIFASDGLWEQLSSQEAVNIVSNNPHNGIARRLVKAALREAAKKREIRFTDLQKIEEGVRRHFHDDISVIVVFLNHKLIDNSSLWVSPVSISGGRSTNS >OIW04087 pep chromosome:LupAngTanjil_v1.0:LG09:20429895:20432093:1 gene:TanjilG_00647 transcript:OIW04087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVTEDKSLVVNIEDNGCEGCCSDEEQSSSQDSCPEIVGVSEKERRSSVSECSVEVDLEGTVSDVKVHLAKVERDCRICHLSMDMTNHESGTPIELGCSCKDDLAAAHKQCAEAWFKIKGNKTCEICGSIALNVAGANEVQMTEQWNEANDASIISPPPPAPTVETRSFWQGHRFLNFLLACMVFAFVISWLFHFNVPS >OIW04946 pep chromosome:LupAngTanjil_v1.0:LG09:8867485:8868051:1 gene:TanjilG_01142 transcript:OIW04946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSNSSTTYGSEPTTPNTTFVQADPSTFRSVVQQLTGASNDPSAHKLPLTLPSRLSSAHRPTTTATTAATKKPIFKLHERRSNNLQLNIGVSDMFHNNHYIMMNNVTLGGSTRGEMVNLIPSPVSPLEFLARGSPRTPKSPQQEEEQRVVVEKGFYLHPTTPKASNTTPQLLPLFPLHSPNSNHNSSS >OIW05183 pep chromosome:LupAngTanjil_v1.0:LG09:5322306:5322839:1 gene:TanjilG_19814 transcript:OIW05183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNKIILRPAASSSHHRLQPLLQTQTSKHSFGEVVGGTAAECVAVCCCFPCGLANFIILAIYKVPAGLCRRMLKTRRRKRLLKEGRFPPMNRRHCSCGCCEFNGLRIHPMCANDESDIKSIHSVEPDDKDAIALEKEMWDKFYGTGFWRSSSRRETSPQTQPNNIALVHKSHPHITV >OIW04702 pep chromosome:LupAngTanjil_v1.0:LG09:14845435:14845722:1 gene:TanjilG_06768 transcript:OIW04702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLTEGTHLGVPRPSFLVDGPQPVDAPDLTTNAPSLTETDAPSVPRLTEDDAPRLTEDDALRLVDADAPRLIEDDVPRLTETYVPHTSDVDAPRL >OIW04932 pep chromosome:LupAngTanjil_v1.0:LG09:9411109:9413497:-1 gene:TanjilG_15677 transcript:OIW04932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFGMNYFCHGSFSFSSSCFHLNPSSSINNNNKKKKILTTFNDIQRLAHHSPFSVNEIEALHELFKKLSSSIIDDGLIHKDLSFCLVIMRSRVQIVETVFHLRELTLALLKTPAGENLFLDRVFDLFDEKRNGVIEFEEFVHALSIFHPYAPIEEKTDFAFRLYDLRQTGYIEREEVNQMVVAILSESGMKLEDEVLEAIIDKTFQDADVDNDGKISKEEWKAFVVRHPTLLKHMTLPHLK >OIW04362 pep chromosome:LupAngTanjil_v1.0:LG09:19256379:19269766:1 gene:TanjilG_32554 transcript:OIW04362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASDGSEYFEIGSMGSESFARASNADTVAEDEEELQWAALSRLPSQKRINYAVLRASSSRRQPPQADGGGSENLIDVRKLNRFHRELVVKKALATNDQDNFRLLSAIKERLDRVGLEVPKIEVRYKNLTVSANVQMGSRALPTLINYSRDLVEGIFTKMRIFRPKRHKLTILNDVSGVIKPGRMTLLLGPPGAGKSTLLCALAGKLDSNLKKNGIITYNGTELDEFHVKRTSAYISQTDNHIAELTVRETFDFAARCQGAKEGFAEYTNDLARLEKERNIHPSPEIDAFMKASSVGGKKHSVNTDYILKVLGLDVCSETIVGNDMLRGVSGGQRKRVTSGEMIVGPRKTLFMDEISTGLDSSTTFQIVKCIKNFVHQMDATVLMALLQPAPETFNLFDDLVLLSEGHVIYQGPLEDVLEFFESVGFKLPSRKGIADFLQEVTSKKDQAQYWADPSKPYRFISVSEIAEAFKNSRFGSYVESMQAHPYDKSKGHPAALAKTEYAVSKWEISKACFEREVAFVGFVTCTIFLRTRLHPTDESNGNLYLSALFFGLVHMMFNGFSELPLMITRLPVFYKQRDNLFYPAWAWSLSSWILRVPYSVIEAVIWTVVVYYTVGFAPSAGRFFRYMLVLFVVHQMALGLFRMMAAIARDMVLANTFGSAALLIIFLLGGFIVPKGMIKPWWIWGYWLSPLTYGQRAITVNEFTATRWQKKSATGDNTIGHNVLRSHSLPTQDGWYWGGLGVLILYALFFNNMVTVALAYLDPLRKARTVIPQDDEPEKKSSRDVNNQGTELRNKGREDTNAKGMILPFQPLTMTFHNVNYFVDMPKEISKQGIPETRLQLLSSVSGVFSPGVLTALVGSSGAGKTTLMDVLAGRKTGGYIEGDIKISGYPKEQRTFARISGYVEQNDIHSPQVTIEESLWFSASLRLPKEVGIEKRREFVEGVMKLVELDTLRNALVGMPGSSGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLMKRGGRVIYGGKLGEQSQIMIDYFQRIRGISSIPDGYNPATWVLEVTTSAAEERIDADFADIYKNSDQFRGVEANILQFEHPPPGSEPLKFDTIYSQNLMSQFLLCLWKQNLVYWRSPPYNAMRMFFTFVSALIFGTVFWDVGSKRSSTQDLMVVMGALYSACLFLGVNNASSVQPIVSIERTVFYREKAAGMYSPIAYAAAQGLIEVPYIAVQTILFGIITYFMVNFERTAGKFFLYLVFMFLTFTYFTFYGMMAVGLTPSQHLAAVISSAFYSLWNLLSGFLIPKSSIPGWWIWFYYICPVSWTLRGIITSQLGDVENIIVGPGFKGSVKEYLAFSLGYEHTVNGFSAVGLSIIVLIGFVLLFFGSFAVSVKVLNFQKR >OIW05244 pep chromosome:LupAngTanjil_v1.0:LG09:3911143:3911361:1 gene:TanjilG_03633 transcript:OIW05244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARVKRGNLVFFSIFIVAAKVRFLSPVAYGGSESGNHPLIFRDPLPSTFIFIDSQFSYFSMNLGCFRGSLK >OIW04128 pep chromosome:LupAngTanjil_v1.0:LG09:20718022:20720150:1 gene:TanjilG_00688 transcript:OIW04128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFTGGLVRSVFRNCSAGSHESKVKRENKRWVSVRTYLCGDEFNSVLAEEDSASFKSSEFTVTPDMQEDLTDKEEAKSEETVENRPHSNSKLLNEEESAIVIQSAYRAYLLRRHNEEIGLKTDKEDLNLVTNSPDRNSLNTSIEVQTGNSNEVFSVEGEKKSIYQQRTRTQVIKQKDWDDSTVSSNVAKMRMQNRMEATTRRERALAYAFSQQLRICSKRKSTKYNSMEPNMSWSWLERWMATRLPDTSSIESHSMKQYDPFNSNHKFATKTRFLDAAREEKESCGSNEVPLHYDNYSVSKKDGSSMAGKDIKHKQVGSSKTEMKLSTIKPSNE >OIW05456 pep chromosome:LupAngTanjil_v1.0:LG09:664084:665052:1 gene:TanjilG_12047 transcript:OIW05456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKNLTTGVTSAVHSSIDSISQITKTPSTVLSETSKTLSSNPLQVASKVVSETLKDTSKIISPNTLLDTTSKIISSPDKLITNASKVISDTLTDASNVVSNVVSDTLTDASKVVSNTLTDTSHILSSETSNIFSKNILQDSTKIISSNPLKDVSNIFSSLASNITSSTIDIIPKPSKLLSPLSTITNTLDSLVDITNSTTLIKTLESALNPTLLLTPLTSLSSLLPSFLQNFVSILGKQLGILITPLWNFLRRFLILGLILLIILIGLAIIFMPLIIITSPIWVPIGTFLFLIIAPFLSIFGFGALVVCTLLWAYSYYKKQI >OIW04191 pep chromosome:LupAngTanjil_v1.0:LG09:21178276:21179988:-1 gene:TanjilG_00751 transcript:OIW04191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKLEAKLGKLVDSVGNFFSGNDPLPWCDSQIIAGCEREVNGASSEHMNECLLRLSWALVHSKQPHDVQRGIAMLQGSLPATNDPLQQREKLYLLAVGYYRSADYSNSRELVDTCLQIAPDWRQALTLKKSIEDKIKKDGVIGLGIAATAVGAVGLIAGGIAAAVSRKK >OIW04097 pep chromosome:LupAngTanjil_v1.0:LG09:20506412:20510625:1 gene:TanjilG_00657 transcript:OIW04097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTYRDRTSEFHSLSLTLKKIVGSTPTPSNQNAPSISLYQQSDFNRKASRIGVGIYDTSQKIARLAQLAKKSSMFNDPIMEIQELTILIKNDITALDSALKDLQNIQNMEIVDGNFSQDRIVHSNAVCDDLKAKLMGATKQLQDVLTTRTENIKAHENRRQIFSKNAAPRDNPFQHQPKPVTEPPPWSNSSNASESLQQASTLPSNGVPASNQLRRRLAVDNTPSQQMEMSMVQQVVPQHENHAQSRASALHNVESTITELSGIFTNLATMVAHQGELAIRIDDNMDESLANVEGAHSSLLRHLNQISSNRWLLIKIFAVLILFLMIFLFFVA >OIW04501 pep chromosome:LupAngTanjil_v1.0:LG09:17979474:17979806:1 gene:TanjilG_13883 transcript:OIW04501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFFFAGGLEQQVRQVVKSGVGRCMNCTSTVDLVQYDKVLKLFFVPVWRWPGKDTLLYCDNCKLMFPQSHSLPPPPPPPTTAFSDSLRCRFCDRTVEADFKFCPFCGSDL >OIW04620 pep chromosome:LupAngTanjil_v1.0:LG09:16112189:16119153:1 gene:TanjilG_30518 transcript:OIW04620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFSATGSMVGSSAIVGWVAPRGSSGGIKQYYLGGVTPNEVVQDKGNLQVIGNSTFITLQSSRLYMVFQLETTTEPLSWLIYATGSTGLFPSAPDYALTKHIDKVSVRIDYSKAKLGSSQVDGSSSSQGIGSSSSSQSDSCESKLNLNVPLFFDITQLNCLPVWNAQGFILRYTQSPPNIWSFILSAPNPNSYIAIGFSPNGGMVGASAIVGWISSSGAGGGMKQYYLTGLAPNQVVPDRGTLQVLRNSTLITSQSSRLYMVFQLQTNHPLPRLIYAIGPNGIFPSVPSFVLARHQDKASITVNYATGSSALGNPSMNIKRRHGVLNILGWGILIIMGAIVARHFKEWDPFWFYFHASVQTLGFVLGLIGVISGLILNNQLQIDVSIHKAIGIIILVLSCLQMMAILARPKKESKARKYWNLYHHNTGRVLIVLAIANIFYGIHLGREGNGWKVGYGIVLAILLFIAIIFETRLWSRD >OIW04489 pep chromosome:LupAngTanjil_v1.0:LG09:18197979:18199941:-1 gene:TanjilG_24186 transcript:OIW04489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLVLIFIAVVLYFGNKNKGMIQKTILVLKHSWDMNLKRRGCYSKGGNTIKPVKWYIGDSAESEKSEVEDEEKEKLLNDNRIEKEGVEFYSNGDFYEGEFHRGKCNGSGVYHYSVSGRYEGDWVDGKYDGYGIESWARGSRYKGQYRQGLRHGYGVYRFYTGDSYAGEWLNGQSHGFGVQNCSDASCYIGEFNFGVKHGLGCYHFRNGDRYAGEYFGDKIHGFGVYHFANGHRYEGAWHEGRRQGYAVYIFRNGERRCGEWDAGNLKHSLPPLTDAIHSTVQAARKTAENAINLRRKDDQVNRAVIAANRASTAAKVAAVKAVQNRYFEDVTPVSKKLIGG >OIW04504 pep chromosome:LupAngTanjil_v1.0:LG09:17949384:17951955:-1 gene:TanjilG_13886 transcript:OIW04504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVKMKSRGIGLNFFVLSFFVFSSILPLSFSAANQPRSFKKPDHHFGSSAVFPVQGNVYPLGHYTVSLNMGYPPKLFDLDIDSGSDLTWVQCDAPCKGCTKPNDQLYKPKNNLVRCVDQLCAEVQLTTDHHCATPDDQCDYEVEYADNGSSLGVLVQDYVPLQFTNGSVVRPRIAFGCGYDQKFSGSTSPPSTAGVLGLGSGSASILSQLHSLGLIRNVVGHCLSGRGGGFLFFGDDLIPSSGIVWTPMLPDSSEKYYSAGPAELFFNGKPTAVKGLELIFDSGSSYTYFNSQAYQAIVDLVSNDLKGKQLKRAIEDPSLPVCWKGAKSFKSLSDVTNYFKPLSLSFTKKKNVKMQLPPEAYLIVTKYGNVCLGILDGTEVGLENLNIIGDISLQDKMVIYDNEKQQIGWVSTNCDRLPKS >OIW05379 pep chromosome:LupAngTanjil_v1.0:LG09:1775291:1779495:-1 gene:TanjilG_28844 transcript:OIW05379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTTDPDAEVIALSPKTLMATNRFICDVCNKGFQREQNLQLHRRGHNLPWKLKQKSTKEQKRKVYMCPEPTCVHHDPSRALGDLTGIKKHYSRKHGEKKWKCEKCSKKYAVQSDWKAHSKTCGTREYRCDCGTLFSRRDSFITHRAFCDTLAHETAKHHTNLNPLGTHLFGTTNQMSLNLYQVGAQISNLQNQNHATTNNSILSHGNAALAPPKYEHIFSSPLHHHSSYGVVQSPHSMSNSSAGFFMTDPNQGFQEFQSHQQGTISSHKELYGLMQLPDFQGNTNNNNSTSESVSVPANMFNINFFTNSNNSSGNIMAGQFNNINGEGNQETTLYINNKSPVSNQVGSGNLSSLFGNSFVQQETMSPHISATALLQKAAQMSSTTNASSMLRGLGRSSTNGAESFGSDSRREGIRSSMENDQHHHLHGLTNSIGNGNNSIYGNMKGNENNLGQFHNVEEPNKLSQNLGVCFGESDKLTLDFLGVGGIVRNMNSRFSQREQQHSMGSTSSLDP >OIW04311 pep chromosome:LupAngTanjil_v1.0:LG09:18760894:18762000:-1 gene:TanjilG_32503 transcript:OIW04311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHPSRPEAEHLLGMAEKLLQTRDLNGSREFALLAQESEPLLEGPDQILAIVDVLVASEKRVNNHPDWYALLGVDRRTDDIEAMKRQYRRLALLLHPDKSRFTFADHAFNLVADAWAVLSDPIKKAQFDRELSYFTRVDLSAPAQAQAQTQAPSWVQQDKLPVRRTGPGLGSGSGVRNNVPPQGFDFDPRNNNASASAVRSEVAAEESSRRKSATFWTACPYCYRLYEYPRVYEGCVLLCQNCERSIHGIEVKSLPPLVPGQDAYYCCWGFFPMGFVLGNIESGEKEKNAVPEPVPLQQPAATVLPNWMPAPTASLPQPTQNGGNNAQPAAGNPRVTRAAAPTGVQNGAPSRGGTPKKRGRPRKYPRD >OIW05343 pep chromosome:LupAngTanjil_v1.0:LG09:2315388:2318738:-1 gene:TanjilG_28808 transcript:OIW05343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLTPPPIEDGFTAEKLFNQGFSYTYDDVIFLPHYIDFPSDAVNLSTNLSRNLRLSVPLVASPMDTVSESAMAAAMASLGGIAIIHSNTTAAVQASLVRAAKSRRVPILHDPVFLPPSAEITSVEDFADSPFILVTESGNSKSNLLGYVSRENWITNQNNKSCSRVRDYLVESPVTVPWSYDLAKIDETLNEKKANFVGLVRDDSELVDFVTREDVDRVKGYPKLLAKGSVGANGEWMVGAAIGTREEDKERLEQLVKAGVNVVVLDSSQGNSVYQLEMIKYVKKVYPEIDVIGGNVVTMYQAENLIQAGVDGLRVGMGSGSICTTQEVCAVGRGQATAVYKVSSIAYKSGVPVIADGGISNSGHIVKALSLGASTVMMGSFLAGSTEAPGAYEYQNGQRVKKYRGMGSLEAMTKGSDQRYLGDTAKLKIAQGVVGAVKDKGSVLKFVPYTLQAVKQGFQDIGANSLQSAHDLLRSRVLRLEVRTGAAQVEGGIHGLVSYEKKYY >OIW04252 pep chromosome:LupAngTanjil_v1.0:LG09:21561713:21562421:1 gene:TanjilG_00812 transcript:OIW04252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQERCNVVITTIMLLFCMLMFQSEIAHGDTYNVGGLAGWSRNIGDWTKGKQFEEGDTFVFKYDPRLHNVVKVNQANFYVCSVDGAIAIYKTGNDSLRLRTGMHYFISGVHGDCGSGIKVALHLL >OIW05439 pep chromosome:LupAngTanjil_v1.0:LG09:1177412:1177576:-1 gene:TanjilG_12030 transcript:OIW05439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIAASTMTARTEGEPPLNIVIASPLNLNWNLLLSHKLHMWLSSNVVASELLFR >OIW05243 pep chromosome:LupAngTanjil_v1.0:LG09:3973150:3977707:-1 gene:TanjilG_21228 transcript:OIW05243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGPVKQVSRQDIQLVQNLIKRCLQLYMSQAEVVETLLNQAKIEPGFTELVWQKLEEENQEFFRAYYLMLMVKQQIQEFNSLLEQQVQLMHLQPTAASSLPNSSGSHIPAVASSPNSNGSHIHTVASLLTSNGSHLPAVPLLSTSNGSHISAVSSQSNSNGSHAPAGPQNQAHYAAERTRGGLKPENIQHPVGSSLSNVFGNGQSSLQATMRAAIDMSAHSSRVNGPPNMLSAQSSNMDLIQSINRGMIKTEPGFSGCSPYIVGAEGNVLEAHPTIGYVSVTSFTNVESNSHSLNEAVLDPDTSFGFLGQIPRNFSLSDLTADFSQSSADILESYSSSPFLATDNGNFLERGEQDNNRLDSISEGLSYEDFRSE >OIW05006 pep chromosome:LupAngTanjil_v1.0:LG09:8145537:8148395:1 gene:TanjilG_06418 transcript:OIW05006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEETEAEVAPALIAVHPHRSSVAVAVGCDLRVFDLLAGVGVTLLDDSSSSSSSSSSSFHKDSIRAIRFSANGKLFVSAGDDKTLKIWSSISWRCIFTLSSEKRISAVAISNNESYVCFADKFGVVWVVDITGFDANQPLLDKKPTPLLSHYCSIITSLEFSPDDRFILSADRDFKIRVTNFPNKPLNGAHEIQSFCLGHTEFVSCLAFFQAQEYQQHLLSGSGDSTVRLWDVSSGALLDTCEVANKAGLLESNGNGKSEEHGHAVTDLCTTLDGVALLSHNVSAQTLTLAKVVSIEGEAFVPTSLGYAPSARELWIVTGVSSLPGCSHPSFARVRVISGIDIEQEAVVLEDEKMPGGEKLLETLQGTASVDENVFLAAAEAVKAAMHNLLIKKQYPSESRESRKKTRNDRKLKQ >OIW04802 pep chromosome:LupAngTanjil_v1.0:LG09:12529935:12535723:1 gene:TanjilG_23700 transcript:OIW04802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNSNNNNRGRYPPGIGYGRGGGGGGFNLNPNQNQNAAFQPRNNYQQQQNQQQHYVQRHLVPQQQQQQQQQQWLRRAQLGGGADSNVVDEVEKNVQNEANDSSSQDWKARLKAPPADTRYKTEDVTATKGNEFEDYFLKRELLMGIYEKGFERPSPIQEESIPIVLTGSDILARAKNGTGKTAAFCIPALEKIDQDTNVIQAVILVPTRELALQTSQVCKELGKHLKIEVMVTTGGTSLKDDIMRLYQPVHLLVGTPGRILDLAKKGVCILKDCTMLVMDEADKLLSPEFQPSIQHLIQFLPSNRQILMFSATFPVTVKDFKDRYLQKPYVINLMDELTLKGITQYYAFVEERQKVHCLNTLFSKLQINQSIIFCNSVNRVELLAKKITELGYSCFYIHAKMLQDHRNRVFHDFRNGACRNLVCTDLFTRGIDIQAVNVVINFDFPKNSETYLHRVGRSGRFGHLGLAVNLITYEDRFNLYRIEQELGTEIKQIPPFIDQAVYCR >OIW04535 pep chromosome:LupAngTanjil_v1.0:LG09:17700974:17707701:1 gene:TanjilG_13917 transcript:OIW04535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSDTLLDYALLQLSPKHSRCELFVSSDGNTEKLTSGLVKPFLTHLKVAEEQVALAAQSIKLETERHKNAGTWFTKGTLERFVQFVSTPEVLEMVITFDAEMSQLEAARRIYSQGAGDQHLDSQGGNGTGAVAAADATTKELLKAIDVRLSAVRQDLTTSCARAAAAGFNPHTVSQLQHFAQQFLAHRLNEACTKYMSLYERRPDIISPWKQGSDDKELRSSICSDMSIDTDNGTHLRPNQREAQANASEPAKPSTWQHPKSFSTFQRCSSSSNNLNQKGEANDDNNQKEECPGPNDSSPSTPPSGPAMRRLSVQDRISLFENKQKENSSGSGSGPKPAVGKSIELRRLPANVSLSTEKPILRRWSGVSDMSIEVSGDKKDNNSPLCTPSSVSSVSQTKSSEEDKDPNNSNSEVNADQVVRTDQQSSQDTSNISIFNQERTSDSGGFKDQEERTFESHLRSSEVVGRKTSQLSFGVVTTSVVSDVKLSGMREYGGMKNHAITTPSLIRGSHGHYRSRSAQFEGVGVKLREGSVQGGSSQSTTTGPSLRSFTRELDDSSFSINQQKGEESEVPKMRYQKPQPGSHEQISKSHGKRDEIRGANENNKLDLPGKEVLESQYDARVTSTTPSEQVQRLRNFKGNQGLHDQLKLKAEELEKLFEEHKLRVPGDQPGSARRAEPEDAHMEETVNSQFRRPGVVESTPPFPSRNTAREMTTSSSNVAMSDAKSLVKTMDTHEYGNALRKSFSDLSFGDDSRGKCYEKYTKKRNAKLKEEWSSNRAAKEARMKAIQDSLEQSRAQMKAKFLGSIDRQDSVLGAHRADKLKYFKSNIEKDQHPIDLLENEDLSEFSEEKIYGTSRQSRKNLPNRHMPAATPHTTVTSISRSYGRRRDNPLAQSVPNFSDLRKENTKPSSGVSKTTRLQVRNHAHSKSTTEEMQCIQEEKTKRTQSLRKNSANPTEFKDLPPLNSDGVVLTPLRFHMHQIDLGPPDQSPRSLLKKANIIGPGSVGNTFRMKASMASDTQKTEEFEELEYEVVDSLHMTVEEQDVIETMAIEGYAYNNGKVRLSQEPEKSGNSGSEIGDSTRSLSQVDPTSVGEMTTAFSSTFNGVGSLQDSPAESPVSWNSHMHHPFSYPHESSDIDVSADSPIGSPPSWNSHSLTQVENDAARMRKKWGSAQKPFLVPNSSQNQPRKDVTRGFKRLLKFGRKSRGSESLGDWISATTSEGDDDTEDGRDPANRSSEDLRKSRMGFSHGHPSDVIFNESELFNEHVQSMQSSIPAPPAHFRFRDDHIPRSSLKGKASVS >OIW05303 pep chromosome:LupAngTanjil_v1.0:LG09:3084063:3086356:-1 gene:TanjilG_03692 transcript:OIW05303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQQLPRVTGTTTGTVVQDAFGGAMTLIQSSPATWKSALLSNLFIFIVGSPILVTGLSLNGIASAFLLGTLIWRAFGPSGFLLVATYFVIGTAATKVKMAQKVAQGVAEKRGGRRGPGSVIGSSAAGCVCAFLTIFGVGGDAFSQLWRLGFVASFCTKLSDTVSSEIGKAYGKTTYLVTTFKVVPRGTEGAVSVEGTLAGFFAAIVLAVVACLLGEVLHSFPTLNMSIYLRMSGKQGGEGIRRHDLPILYL >OIW05192 pep chromosome:LupAngTanjil_v1.0:LG09:5253394:5256507:1 gene:TanjilG_19823 transcript:OIW05192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPPTIDVDLGGPEKIEEVKEGGQLFHCDLCDTEVIHKLAQMFLPGLASACVDNTSGDLFRTPGSVVDELRKEMIDYVTQRSESFVAESVILEGDLDGEVSDHPFDIISNMVDDFVSLKRNFFTRVSGWLLSEKREDNIEDFVQEMEINGFWTLDRRETIAETFLKNVDFENKYHCGMKFNSPEELSNHVDSCNFRSIFCENEGCNARFCAGHLKEHDSTCPFKIILCEQRCPDSIMRRDMDRHCITVCPMKLINCPFFAVGCRSAIVQCMIGKHCSDDVHSHLFHLLKGTHKQANGEDLQRRVEEILQAESSGRLAQARDVRSLSIIVKGIEAKLGPIKVRAVEKNSSETITKNANVEVADTDTKGSEQNTQASDMVSSPDKADKGDSVNISSAENAAKTEDSEHSHIENNGSEERRRTMVNLSDKDEDTAKSDDSDHSHLENKNSEERTQTSNTITLSDKAEISVAIDDGTQIHKENQDATGSELLKGNNESNQINLEKVADKGEISATNAGNAENSTENINFDDKENDIQNSEVDTKGTVKSNVKNKDIEDDNLKESIQTSKVKNVSDLAA >OIW05180 pep chromosome:LupAngTanjil_v1.0:LG09:5346677:5351236:-1 gene:TanjilG_19811 transcript:OIW05180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWVWHDDDVEHNALSSSHDVTSEQCSTRKIVKSQCRTEEVEPGKFIRKCEKTEELLRDCVGRPAEVVQSNKEYTEEDVTNEVLKGGSITFGSSHNGALDFPGLRSDIEAMERNLFGGLSRFFETAEQMTNGFFDVVNSPHIFDAESSSPPPTRRGIPIEEYHGQEAFPKPKEKESIDTDFAAMAKDI >OIW04997 pep chromosome:LupAngTanjil_v1.0:LG09:8078284:8094964:-1 gene:TanjilG_06409 transcript:OIW04997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWATLVKDFKERVGLIQTTPPSSSSPSSPTDTSSSSSSPSSHILHSIHPPLLLSPSSPSSDKHELEFDFKRFWEAFRLSTSDKEKEAALSFSVDTFCRLLNHHANVPNSITMLVETHIFSFVVGRAFVTDIDKLKISSKKRSLDVAQVLKYFSEATKDGISTGANLLTALGILVSWPVDKQSLLDSGIFCYLVQILNAFLDPDITIQQPNAAIGDEGMLELHRGYNDDVDQGRRFEVEGSVVHIMKALASHPSAAQSLIEDDSLLLLFQMVAKGSMIIFSRYKEGLVPLHSIQLHRHAMQILGLLLVNDNGSTAKYIREHHMIKILLLAMKDFDPGCGDSAYTMGIVDLLLECVELSYRPEAGSVILREDIHNAHGYQFLVQFALTLSNMTKTQGFQYSHSDLSDVQDIASEGFQDGREQISSEQENSSHQSVQCLSPALSRLLDVLVSLAQMGPNESLQSYGRKSSYQHGSHTLSSDWPRDELWEKGDGKIKDLEAIRMLLDIFLKANSQELQAEVLNRLFKILSSHIENYKLCQQLRTVPLLILNMSGFPFSLQEIILKILEYVVTVVNCVPEQELLSLCCLLQQGITSKLKQTILSFFVKLSSFDQQYKKVLREVGVFEVLLDDLKQHKILGPDQQNVNSNQLESKNSSSNIQKLLDNKDVIITSPKLIESGSAKFPIFEVEATIVIAWDCMVSLLKKADANQASFRSANGVTTIMPFLASDVHRPGVLKVLSCIIIEDISQDHPEELGVLIEILKSGMVTSDSGSQHRLSLEAICDILGAVWRILGVNRSAQKVFGEATGFSLLLTTLHGFQSDCVDLDKSSRVYVKVFTYLLRVITSGVADNAVNRIKLDAIISSQTFFDLLFESGLLCVEYEKNVIQLVLELALEILIPPFLTSEGSTMSNTTENELSHNLLLTPSGPVNPDKERVYNAGAVRVLIRSLLLFTPMVQLQVLDLIEKLASAGPFNKENLTHRGCVELLLETIHPFLLGSSPLLSHALKIVQVLGSYRLSASELRMLIRFVLQVRPKSSGNILVEMMEKLVLMEDMASENISLAPFVEMDMSKIGHASIQVSLGERSWPPAAGYSFVCWFHFQNLLKSQAKETDPLKAFPSKKRSGQNGLHQRHMLRIFSVGAANNDNSTYAELYLQDDGVLTLATSNSSFLSFSGLELEEGRWHHLVVIHSKPNALAGLFQASIAYVYLNGKLRHTGKLGYSPSPVGKPLQVTIGTSVGNARVSDLMWKLRSCYLFEEVLTPGCICFMYILGRGYRGLFQDTDLLQFVPNQACGGGSMAILDSLDADLTLAASGHRLDATSKQGDLKTDGSGFVWDLDRLGNLSLQLSGKKLVFAFDGISTEFIRSSGSFSMFNLVDPVSAAASPIGGIPRFGRLCGDIYICNQTVIGETIRPIGGMSLVLSLVEAAETRDTLHMALTLLACVLHQNPQNLKDMQTYRGYHLLALFLRRRMSLFDMRSLEIFFQIAACEASFSEPKKLETIQTQAMFSPDESLQESSLEDHYLSKFRDDNSSIGSHGDVDDFSTQKYSHISELENADIAAETSNCIVLSNADMVEHVLLDWTLWAAAPVSIQISLLGFLEHLVSIHWYRYHNLTILRQMNLVQHLLVTLQRGDIEVPVLEKLVMLLGMILEDGFLSSELENVVRFVIMSFDPPRMAPQSLIVRESMGKHVIIRNMLLEMLIDLQVAIKSEELLEQWHKGVSSKLITYFLDEAVHPTSMRWIMTLVGVCLTSSPTFSLKFRTSGGYQGLVRVLRSFYDSPDIYYILFCLIFDKPVYPRLPEVRMLDFHALIPNDGNYAELKFVELLDSVIAMAKSTFDRLSMQSMLAHQTGNISQVSASLVAELVEANSDMAGELQGEALMHKTYAARLMGGEASAPAAATSVLRFMVDLAKMCPRFTAVCRRAEFLESSIDLYFSCVRAAQAVKMAKQLSAVPEERIFNDFDDACSSQNTFSSLPQEQDQSVKTSTTVGSFPHAQVSTSSDGMSAQGNSVAAERSENNVTTSELELNKSVREDVQSFQSLDADSADKVSAGSSAHKFSFHSSKPSLEILPPKDFLINAPFSVPDSPILSEKSSSRIPHTPSSPVVALASWLGNASHNEAKSPLTPTPSFDSSMSFGEFDPTSDLKSSSLGLSASNAYFSVTSKLLVDINDSGYGGGPCSAGASAVLDFIAEVLSDIAIEQVKASQAIENILESVPLYVDSESMLVFQGLCLSRFMNFLERRLLRDDEEDEKKLDKSRWSSNLDALCWMIVDRVYMGAFPQPSGVLKTLEFLLSVLQLANKDGRIEGASPWGKGLLSITRGSKQLEAYIYSVLKNTNRIILYCFLPSFLTSIGEDGLLSSSSLLDEPKNSLSSSSPQDDSGIGISTVLQLLVAHKGIIFCSSNSDTDLNCCLCVNLIPLICDKRQVVQNIAIDVIKYLLLHRRAAFEDLLVSKPKQGQKLDVLRGGFDKLLTTSLSDFFEWYQNTEQIVNKVLELRAGIMWGQYVAGSAKFPGVRIKGMEARRKKEVGKKSREATKVDLRHWEQVNERRYALDSVREDMSTELRVVRQDKYGWVLHAESEWQCHLHQLVHERGIFPLSKSSLTQEPEWQLCPIEGPYRMRKKLERCKPKIDTIQNILDGRFDFEEAELSKGKIKNGFDASDSNSEPYFQNLTDGYQQNGPDNEIFDTPFSDKLDSVKEAASYRNEWNDDKDSSMNEASLHSALEIGAGSSTVSAPIDESTQGRSDIGSTWQSSSMRVDDVKIADDKYDKEMHDNGEYLIRPFLGPFEKLRYKYNCERVVGLDKHDGIFLIGELSLYVIENFYIDDSGCFCEKECEDELSVIDQALGVTKDVFGSVDFQSKSTLSRSSTIKSGIGGRAWAFGGAWGKEKIQRNGKLPHPWQMWKLDSVHEILKRDYQLRPVAIEIFSMDGCNDLLVFHKKERELVFKHLVSMNLPRNSMLDTTISGSSKQESNEGSRLFKTMAKSFSKRWQNGEISNFQYLMHLNTLAGRGYSDLTQYPVFPWILADYESDNLDLSNPASFRRLDKPMGCQTLEGEDEFRKRYKSWDDPEVPKFHYGSHYSSAGIVLFYLLRLPPFSVENQKLQGGQFDHADRLFNCVRDTWLSAAGRGNTSDVKELIPEFFYMPEFLENRFNLDLGEKQSGEKVILVQIIYFFVGDVILPPWAKGSAREFIMKHREALESDYVSENLHHWIDLIFGYKQRGKAAEESVNVFYHYTYEGSVDIDSVTDPTMKASILAQINHFGQTPKQLFLKPHGKRRTDRKLPPHLLKHSTHLVSHEIRKSSSPISQIVTLNDNKILIAGSNNLLKPTTYTKYVAWGFPDRSLRFMSYEQDRLLSTHENLHGGNQIQCAGVSHDGQILVTGADDGLVNVWRISMFGPRALRRLKLEKPLFAHTARITCIKVSQPFMLIVSGSDDCTVIIWDLSSMSFVRQLPEFPAPISAIFVNDLTGEIVTASSILLAVWSINGDCLAMINASQLPSDSILSVTSCTFSDWLDTRWYATGHQSGAIKVWQMVHSSDQDSSLSRPVSNLGAKEPEYRLVLHKVLKSHKNPVTALHVTGDRKQLLSGDSGGHLLSWTLPNESMRVSFNKKG >OIW04180 pep chromosome:LupAngTanjil_v1.0:LG09:21066481:21069633:-1 gene:TanjilG_00740 transcript:OIW04180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCCLGANDNAPEDKGETNQHQQTKNISNENDSATTPPSSSSKPSKPSKTSPVGPVLGRPMEDVKSTYTIGKKLGKGQFGVTYLCTHKVTGKQYACKTIAKRKLVNKEELEDCRREVQIMHHLIGQPNIVELVGAFEDKQSVHLVMELCAGGELFDRIITKGHYTERAAASLLRTIVQIVHTCHSMGVIHRDLKPENFLLLNKDENSPLKATDFGLSVFYKQGEVFKDVVGTAYYIAPEVLKRKYGPEADIWSVGVLLYILLCGVPPFWAESENGIFKAILKGQVDFSSDPWPSISPQAKDLVRKMLNSNPKQRLTAHQVLNHPWIKEDGEAPDTPLDNVVLNRLKQFRAMNQFKKVALRVIAGCLSEEEIIGLKKMFKGMDTDNSGTITIEELKQGLAKQGSKLTEQEVKQLMEAADADGNGTIDYDEFITATMHMNRMNREEHVYTAFQYFDKDNSGYITVEELEQALHEYNMHDGRDIKEIIAEVDADNDGRINYDEFVAMMSKGNTESALTKKRRDSMVL >OIW05380 pep chromosome:LupAngTanjil_v1.0:LG09:1763596:1768425:1 gene:TanjilG_28845 transcript:OIW05380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSSAASLLGIREVDQNQMNQQQSSTPSSSTTPAAPPQKKRRNQHGTPCKFICEVCNKGFQREQNLQLHRRGHNLPWKLKQKSTKEQKRKVYMCPEPTCVHHDPSRALGDLTGIKKHYSRKHGEKKWKCEKCSKKYAVQSDWKAHSKTCGTREYRCDCGTLFSRRDSFITHRAFCDALAHESARQQPNLNSAIGSQLYGNSSMTLAISQVGSINDQNNHQSGDQLCLRPTTAGQFDHILQQSLGSSTFKPLETMHSSPFFMPDEPNQNQNYQNKALFQGLLQLADLNNNHNNNPFCNINSKNNLSEHINNDQGSNFFSIMSDHNQTSTTTNAPSLFSSSVQHSSGSISHMSATALLQKAAQMGASSSNNNNTASSILRSFRSTTSNSDQRPLSSANFDTIFSGNNNNLQELMNSFVVSGNSPILENGSSSSSAARFSTGFEAYDNNSINREPKLHSVSIGGSDKLSTRDFLGVGQIVRSMSGGVSHREQQQQQQNTAPSDQSFGDGGNFLSE >OIW04971 pep chromosome:LupAngTanjil_v1.0:LG09:8617476:8621790:-1 gene:TanjilG_01167 transcript:OIW04971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRPASEVPRRFLWNSNVDLVVPNFHTPSVYFYRPTGVSNFFDSKVLIEALSKVLVSFYPMAGRLCRDEDGRVQIDCNGQGVLFVEAATGSVIDDFGDFAPTLELRQLIPGIDYEQGIETYPLLVLQVTHFKCGGVSLGVGMQHHAADGYSGLHFINTWSDVARGLDVSIPPFINRTLLCARDPPIPVFEHVEYKPPPLMKTTTTTTTQNNVKNGSENAAVSIFKLTRDQLNILKGKSKEDGNTINYSTYEMLAGHVWKSVCKARSLSEEQETKLYIATDGRTRLQPPLPPGYFGNVIFTTTPIAIAGDLISKPTWYAASRIHNALLRMDNEYLRSALDYLELQPDLRALVRGAHTFKCPNLGITSWTRLPIHDADFGWGRPIFMGPGGIAYEGLSFIIPSSTNDGSLSVAIALQHDHMKVFKDLLYDI >OIW05003 pep chromosome:LupAngTanjil_v1.0:LG09:8131683:8137977:-1 gene:TanjilG_06415 transcript:OIW05003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAAMSHADSKRMYSWWWDSHISPKNSKWLQENLTDMDAKVKQMIKLIEEDADSFARRAEMYYKKRPELMNLVEEFYRAYRALAERYDHATGVIRQAHHTMAEAFPNQVPPVLTDELLTEPHTPETQKDASNHLYAIKRNGAYTDEHDSDISRKGLKQFNDLFISGEPRRTEGRARRGLNFHEMDEIMKNNGIYDDTKSQVLSESERLTKAEEEILALKIALERLETEKETGLFQYQQSMERLSNLESEVSHARENSQGLDERTIKAETEVQTLKEALTKLQAEKEACLVQYQQCLEKLSNLEKNISCAQKDVGELNKRASRAETEAESLHKDLYRVEAEKEAALVQYNQSLEKVSKLEEGLVHAEEKARRTNEQANVVKNEIEAMKLEITKLTQENEDAVLRHRQCLEIISGLEHKLYCAEEEVRKLNCKIADGVEKLQSSEQECDLLETSNQTLQSEFQSLAQKMGSQSEELTEKRKELSRLWTCIQEERLRFIEAETSFQTLQNLHSQSQEELRSLASELHNKAEFLENMESCKNSLEKEIQRVKKGNKILNELRLSSSLSIQNFQDEILNLRETIEELEHKVGLRIDERNTLQQEIYCLEEELNDVNKRYESMMEEVGSTGLDSQCFASSVKKLQDENSKLKEKYEVEKCEKAALVEKLEILESLSEKSISDLNTELNSVNGKVKVLEESCKYLLDEKATLFSQLKTTTDKLEMISEKNSLLENSLFDVNSKLEGLRVKSKISEDNCMLLDHEKYSLNSEKETLVSQLKITRQTLKDLEKKHYELELKHSELKAEKESALQRGEELLVSLYSERVENSRIVKFNEGYIADKELQIHVLQENARHRKKEYEEELDRAVHTQTENFILQKCIHDLEQKNFSILVDCQRLLEASKMSDRLVSELENENVQKQVDMNSMSEKTKILRIGLLQVLKTLGLNDDHLCQDMIEEDQELLNHIHGKLHETQNHFVTVFNERERAMIENSVFVTFLRQLKLNAENLVAERDSLDMELRIQSNQFLALQEEVQKILEKNQELKLAITKGDEKMKVMNTEIENLCKQLSDLEVAHKNTLEESCKTVEEKNCLIRRLLDLGEEKGKLEEEVCLMIHDIIVQSNLSLILQEVLFEKFVALEKVSSDLGKLCFVNTNLEERLKIMASKLEDGQMENSHLKESFVVSNVELKLLESVNDQLNCQMTNGKELLSRKENDILKAAEMISALRDEKTELQRLVEDLKSKYDEARVILADQATQILNLSSDKDRENEKLACLYEVNQKLEAEMQHLCQELGETKLREEKLSSELQNGANEIEQLETQADMLYAGLQISAVNETLFEGKARELDDACKNLELRSDAKDMYSEMLKERVMKLEGENRRLHGQLAAYVPAASALNDCITSLEMQIVVHANPHDYKESKAKILANPQYTEGGPQTSENQNATAPDALPNLQDMWKRINAIEMAVKQMSESSKPKDEMREIQVLKSGTSQTNIQVSKHVTQMDEAKVHQGRPSAELKPKDIMLDQMTECSSYGISMRGILESDDRILELWETADKDRSIGLKVGKIQTQKTATAYGSYHVEKEKFGVDKLVIPRRRLATQTHHEEDGNKRKVLERLDYDAQKLTNLEITVQDLMTKVEISEESSTMRKGIEYDSVKDELEAAKETITKLFDTNHKLMRKVESVTDSDENGSMSRRRILEQAQRGSEKIRRLQLEVQRLQFLLLKLNDERKGKGKTMVDDQNSSVLLRDYLYEGTRVSYQKRKKKKKGHFCACMQPPTKGD >OIW04630 pep chromosome:LupAngTanjil_v1.0:LG09:16368530:16372686:1 gene:TanjilG_30528 transcript:OIW04630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESGKVRLVRCPKCQNLLPELADYSVYQCGGCGAVLKAKQKGYGSGSLWETSDEGEGGEDHAKSGISMGKGVVDMSDNSDVGVRSSGSSLRYNGRGSEKAKKGHGSFLNRSEVGDEKGVFGKGSDVNENKDEGGKSIGREQQEQKSQMDREIGSKFSRRMYNWPNGERSETEGFQKKPPADVEDVRFSTLNYPGEGTSNSYSRYPYNYGEQWRNDKEIDGLSRVQHLEQDRAELLRKLDELTNQLNQSPEVVNNNPKEKVRPDGRMVPPDPYGGSDASWFPEGSSRLNKTPRQFFGHNKHVAGVTGPPYLNYPHDPYAYTSGPEMAMPNFHPSMHNPNYIPGYGDPFAAQMMSRGPPPFTRQLMHPYFPGHYADPSPNSYEPYAHNAMLHPPSCSCFHCNNRRGSAPAPPAAFSNSRFPDAPYDPIYRHEIPGPFGPHVRNPRTGISPVFFQEKQLHTRWPGDINSAMGGLAQSLPQKVMPASGGRRCFPIAGGSPFITCCNCFELLQLPKKALVMMKNHQQKVRCGACSLEMSLAIINKNLVISLRSEMKENTTKIDNISNEVVNSHVSHSRGHLSTGGVNFSPDDYSGYDFLSVDRESPVLAADPSVNSNKLQEMQSFHSSSSSTSEDENSPEVLIVPGEATKSIHQPTRASQSPPPSGSALEEYFAYSNNNNEENRFGKGNRSSRIEQEKAKIDKITSRQNSLKEVVLASEMDVHDYSNTGVSHDSGDASREHDHLRSNKGGESFFANIIKKGFRDLSQSNETDNRGKSKVSVNGQPLSDRVVKKAEKLAGPIQPGNYWYDFRAGFWGVIGGPCLGIIPSFIEEFNYPMPDKCAGGNTGVFVNGRELHEKDLDLLSGRGLPNYSDRSYVIEITGRVLDEETGEELNSLGKLAPTVEKAKHGFGMKVPKTAA >OIW04065 pep chromosome:LupAngTanjil_v1.0:LG09:20268819:20275456:1 gene:TanjilG_00625 transcript:OIW04065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSDSYRNMMLKNPEYGEELGVLIERERELNRLRSGSAPPTVEGSLMAVGGLFDSPVAAGMGLGGGRGYLGNEEEVRADPGYANYYYTNGANLNPRLPPPLVSKEDWRFSQQRLRGSKVGGIGDRRRMSGDEERSLFSVQPERKSAVEWGGNGDGLIGLPPTLGLGNRHRSFAGVFQDEINNAASASKQSHRLPSRNAFDDIAEESETHYAFLEQELDALKSSGNQQGISGAKNLVGSASQSYASALGASLSRSTTPDPQLLPRAASPCLPPIGDGRSSSADKRTPNGQNSFNTISSSGLNDPADLVSAMGDMNLSTADKVGDERRPQSPRHSEVDYNHDVNKHSYLNTLDSLSFQSHSASQSHLKVSESGFGLDLNNSSMYANEQLEPSKVGGISVNSHFKGPSTPTLTNRGNSPAHYQNFDHMSDSYPNYGLSGYAVNPSSPSMMASQIGNGNIPPFFENAAAALGVNALDSRALGRGAPLGSLLAASELQNASKLGNHAAVRTNQLPLMDPMYLQYLRPEELAVAQIAALTGSAINGGYTNNSFTDLSGFQNGFLESSIASKIPHTGGVPYLGKSASLNHNSYRNPSYGLGMAYPGSPVAGSRFPNSLYGLGSPMSQTERNMHLSGMRNVAGGFMGAWNSDAVSSLDENFASSLLDEFKNNKAKCFELSEIAGHVVEFSADQYGSRFIQQKLETASMDEKNMVFHEIMPHALSLMTDVFGNYVIQKFFEHGTEAQIREFADQLTGHVLTLSLQMYGCRVIQKAIEVVTLDQQTKMVAELDGHIMRCVRDQNGNHVIQKCIECVPEDVIHFIVSTFYDQVVTLSTHPYGCRVIQRVLEYCRDPKTQQIMMDEILQSVRMLAQDQYGNYVVQHVLEHGKPDERTAIIKELTGQIVQMSQQKFASNVIEKCLTFGTPTERQALVDEMLGSTDENEPLQVLMKDQFANYVVQKVLETCDDQQLELILNRIKVHLNALKKYTYGKHIVARVEKLVAAGERRISILTLNPAQVV >OIW04442 pep chromosome:LupAngTanjil_v1.0:LG09:19963756:19969534:-1 gene:TanjilG_32634 transcript:OIW04442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEKNREKEREEEGELETSSLDEREYEIQDLRERLKSSGVSRFNLIEKELSWRRKFSRESLLAGFKDFVILPHHWWYRAWSSFILLWAVYSSLITPMEFGFFRGLPEHLFLLDFIGQIAFLVDIVLQFFVAYRDSQTYRMVYKRAPIALRYLKSSFVFDLLGCMPWDIIYKASGKKEEVRYLLWIRLYRVRKLHDFLYKLEKDIRINYIITRIMKLIVVELYCTHTAACIFYYLATTLPESQEGYTWIGSLKMGDFSYSHFRDIDLGKRYMTSLYFAIVTMTTVGYGDIHAVNMREMLFVMIYVSFDMILGAYLIGNMTALIVKGSKTEKFRDKMTDLMKYMNRNRLGRDICEQIKGHFRLQYESSYTNAAAIQEIPISIRSKISQTLYLPYIEKVPLFRGCSSEFISQIIIRLHEEFFLPGEVIMEQGNVVDQLYFVCHGVLEEVGTAEDGSEETVSFLRRNSSFGEISILCNIPQPYTVRVCELCRLVRLDKQSFTNVLDIYFYDGRKVLNNLLEGKESFRGKQLESDITFHIGKQEAELALKVNSAAFHGDLYQLKGLIRAGADPNKTDYDGRSPLHLAASRGYEDITLFLIQEGVDINLRDNFGNTSLLEAVKNGHDRVASLLVREGASMKLENASSFLCTAVARGDSDYLKRLLSNGMDPNLKDYDYRSPLHIAAAEGLYFMAKLLLEAGASVFAKDRWGNTPLDEARMSGNKNLIKLLEDAKSAQLSEFPCRSEEFTDKMHPKKCTVFPFHPWDPKEHRRHGIVLWIPHTIEELIKTAAEKMELSTDSCILSEDAGKITDVDMIKDGQKLYLVPETP >OIW04672 pep chromosome:LupAngTanjil_v1.0:LG09:15800589:15801704:1 gene:TanjilG_07807 transcript:OIW04672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKIPNHPPNQKKMVFKKLKHKANSFEDSKLQRKLRIIWHDPDATDSSSDEEGGDEEMRRKTKRTVLEVALPPFPPNPVAADTSTESSSNIELNKKRVLAKTPSVKGKTAGKCRGIRMRKWGKWAAEIRDPFKGRRLWLGTYNTAEEASQAYERKRLEFETMAKAMSGEKSKNNNADVVVGFSAQAMVTQEKSNFNYSDSSGAASVSESKSAATLDDSECVLSPASPLSVLELDNSASKPSNLVENGNVSNNEAVEKNGFEADFAELIGNIDESGEINDLEAELADLEMPDLSIFNVPPPTNDAAAAAAPSAFEFDWLTFDFNDDFGSLEDFHIGGIDEDGPSELPDFDFDDFSADEFAGWIEEPLNIPCI >OIW04338 pep chromosome:LupAngTanjil_v1.0:LG09:19083915:19085168:-1 gene:TanjilG_32530 transcript:OIW04338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTILSSETMNIPDGVSIKVHAKVIEVEGPRGKLVRDFKHLNLDFDLITDENGKKKLKIDAWFGSRKTSAAIRTALSHVENLITGVTKGYRYKMRFVYAHFPINASIGNDSKSIEIRNFLGEKKVRKVDMLDGVNIIRSEKVKDELILDGNDIELVSRSCALINQKCHVKNKDIRKFLDGIYVSEKGTIVEE >OIW04896 pep chromosome:LupAngTanjil_v1.0:LG09:9664299:9666615:1 gene:TanjilG_23899 transcript:OIW04896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNEATLRSLALKIKGTFQGAAGFDPVVVEVVGLDMEDQKESVFHDSVDKACQILGKLDAFVNCFTNEGKMQDHLELAESELKKTANINFLAPLFLLKAVGQKMRDFKTGGSIVFLSSIIGCERGIYSGAAAYGSCLAGVQQLVRVSAMEIGKYKIRVNAIARGLHLDDEFPSFVGKERAQKLVKEAAPLERWLDVKNDLASTVIYLISDVHT >OIW04536 pep chromosome:LupAngTanjil_v1.0:LG09:17695452:17696552:1 gene:TanjilG_13918 transcript:OIW04536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDDDEIQSHPSPASGSPVSSPTENGRITVTVAAPAPHQVPPMNSLALALPIQTQARGSNGGGGGGGGREDCWSEGGTAVLIEAWGERYLELSRGNLKQKHWKEVAEIVSGREDYTKTPKTDIQCKNRIDTVKKKYKSEKAKIAAGGAPSKWPFYERLDRLIGPTAKIPGSAAGASNLQPQKVPVAIPVGIRSANQYQPRQQQLPLKNQKIQIRRRPPAEESDSSERDVPSPVSSDSYPPESYERKKPKIQTETNSIAVKGREKEKGKGWGSAVKELTQAILKFGEAYEQAESSKLQQVVEMEKQRMKFAKDLEVQRMQFFMKTQLEISQLNKTKKKGGNGNGNGSNNHHSNNNNMNNHNNNSVSE >OIW04558 pep chromosome:LupAngTanjil_v1.0:LG09:17258110:17259593:1 gene:TanjilG_20914 transcript:OIW04558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASMQGGVKGFSDSREEEEEEEAAIEEIITREESSSSRLHHQKEDYAAAASSNFMNHQQKKQIDFMDLSLGGSNNKDIINMSNGEANLQQGSQSSQAIVTEKEHMFDKVVTPSDVGKLNRLVIPKQHAEKYFPLDSTSNEKGLLLNFEDRSGKLWRFRYSYWNSSQSYVMTKGWSRFVKEKKLDAGDIVSFQRGVGDLYRHRLFIDWRRRPDQYYGVPDPNSATLITPLFLPNQPHLSQYSIRWGGRFYSLPPPTQQPRHHQHEHIQYLNHNNMYPFQHLGGGGGGGSGSHHYLNNYNEVSNSGSGSLYYLKSTTPSMQITNHQSFMTRQQQHEGGGGNNIAPVIIDSVPVSHHHQQQQQHHHGDYKNGSSNTNGTGKRLRLFGVNMECGSSPSEDSKCCSIPHASSSMPPVSSSARFGDNKRREASIFDLDPSLQYHRQ >OIW05306 pep chromosome:LupAngTanjil_v1.0:LG09:2993114:3011369:-1 gene:TanjilG_03695 transcript:OIW05306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGFHDDHMNENMYNSNNSICFPGRVERLVRGRELRKSSRAFLSNESPDNSNNENYNNNIINGVTESMEHDLHLREANGNSSGHTSHFERFLEGAAAARAFTDGYERDDGKPFRQRLLVVANRLPVSAVRKGEDSWSLEISAGGLVSALLGVKEFEARWIGWAGVNVPDEIGQKALTKALAEKRCIPVFLDEEIVHQYYNGYCNNILWPLFHYLGLPQEDRLATTRSFQSQFAAYQKANQMFADVVNEHYEEGDVVWCHDYHLMFLPQCLKNHNSKMKVGWFLHTPFPSSEIHRTLPSRSELLHAVLAADLVGFHTYDYARHFVSACTRILGLEGTPEGVEDQGKLTRVAAFPIGIDSLRFIRALDLPQVQEHIKELQERFKGRKVMLGVDRLDMIKGIPQKILAFEKFLEENAYWRDKVVLLQIAVPTRTDVPEYQKLTSQVHEIVGRINGRFGTLSAVPIHHLDRSLDFQALCALYAITDVALVTSLRDGMNLVSYEFVACQDEKKGVLILSEFAGAAQSLGAGAILVNPWNITEVAAAIAKALNMPSSEREKRHRHNYHYVKTHTAQEWAEIFVSELNDTVVEAQLRTKQVPPRLPTKTAIERYLQSTNRLLILGFNGTLTEPVERKGDQYKEMEPTVHPELKEALIELCHDSKTTVVVLSGSGRTVLDENFKEYDMWLAAENGMFLNPSKGEWMTTMPEQLNMEWVDSLKLVFEYFTERTPRSHFEEREASLVWNYKHADVEFGKIQARELLQHLWTGPISNAAIEVVQGSRSVEVRAAGVTKGAGIDRILGEIVHNKSMTTPIDYVLCVGHFLTKDEDIYSFFEPELPSIGVCLPRGKVTDGTKFNGERISSMKTPTQKNGLKSLQNMAQRPQANAEKKQVNHIARAPRRPAPEKTSWNVLDLKKENYFSCAVGRTQTNARYTLGSSDDVVSFLKELAATSSYYSF >OIW04293 pep chromosome:LupAngTanjil_v1.0:LG09:21750118:21750567:1 gene:TanjilG_00853 transcript:OIW04293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPSPFVLLYYRVMTSPSISSEDPLKWGMAADSLKGSHFDEVKEMIGEYRKAVIYLDGGSGNGNTSLSIAHVAAVANSNSHVKVHLSHSARPAVDASCQWIMESINNGTQIYGVTTGFGTASNRRTKQGLHLQKEMVRSAFPIFLLSTF >OIW04808 pep chromosome:LupAngTanjil_v1.0:LG09:12648440:12655589:-1 gene:TanjilG_23706 transcript:OIW04808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWNRVLFAASNLARSRRFSTAIPGPCIVHKRGADILHDPWFNKDTGFPLTERDRLGLRGLLPPRVISFEQQYDRFLNSYRSLEKNTQCQPENVVSLSKWRILNRLHDRNETLYYRVLIDNIEEFAPIIYTPTVGLVCQNYSGLFRRPRGMYFSAKDKGEMMSMIYNWPSQKVDMIVLTDGSRILGLGDLGVQGIGIPIGKLDMYVAAAGINPRNILPVMLDVGTNNEKLLKDRLYLGLRQPRLEGEEYLAIVDEFMEAVYARWPKAIVQFEDFQMKWAFKTLERYHKRFCMFNDDIQGTAGVALAGLLGTVRAQGRPLSDFVNQKIVVVGAGSAGLGVLKMAIQAVSKMTGCSELAAKSQFFLIDKDGLVTTERNNLDPAAAPFAKNPRDIEGLTEGASILEVVKKVKPHVLLGLSGVGGIFNEEVLKAMRESVSTKPAIFAMSNPTMNAECTAIDAFKHAGENIVFASGSPFENVNLVNGKVGHVNQANNMYLFPGIGLGTLLSGAHLITDGMLQAASECLASYMMEEDILKGILYPSISSIRDVTAEVGAAVLRAAVEEELAEGHGDVGPKELAHMSKEETVEYVRSNMWFPVYSPLVHEK >OIW05483 pep chromosome:LupAngTanjil_v1.0:LG09:379640:382168:-1 gene:TanjilG_12074 transcript:OIW05483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGGGGNGDDHHRKMFWRSASWSASRTAAPGNTTTTNNNSSGEVGNDFSDPNPGDGHNRRFHLPPLTPRSQHQSSKARSCLPPLSIAPRSMDEWPKASSDDIGEWLQLPTTPSGGGRGNNSNNNNNNGERLKLDLSSIQQNHDNGYNSNCNNSVGLVKRDKIASFDKECSKVADHVYLGGDAVAKDRDILKQNGITHVLNCVGFVCPEYFKADFVYRTLWLQDSPSEDITSILYDVFDYFEDVRELGGRVFVHCCQGVSRSTSLVIAYRMWREGQSFDDAFQYVKAARGIADPNMGFACQLLQCQKRVHAVPLSPSSLLRMYRIAPHSPYDPLHLVPKMLMDPSSSALDSRGAFIVHIPSAMYVWIGKNCEAIMERDARGAVGQIVRYEKVQGPVIMIKEGDEPSYFWDAFSKFLPLMVKSGNGVGNIKSSVKVWPGERKVGSYDPDFEVFGRAIKGGIMPPFTSSDDEHETVLPARDSSWSVLTRKVSSATTKEFVSAPKLPFPRVYSDSMLYIHTSANSFQPLPLSSSSLSLSSSSSPFCVSLDSISSNSNTHSKFLSELTPDSSSKVSAYVPVSSPLSNFSNLSLSSSSSHPVSTSADIHGVKLSHPHSQSASLPLKKPPTSLAERRGSLSKSLKLPPMNDNTQLTNKPPTFHASPKVGVLMNDNVSYLHQSDSPDHFYEDGHLNHKGVSSPQQCELTSSPGNVDGVDYKESSKEDLKCTSSDGTDDSGLLQRSMTESSVYHWPSLEKIDTFGASHLDSKAAFVIFSPSMPMRAGNILYFWLGRSFNCGGTSQVQLDSDRESDFPRAVDWNGIGGDILAQFSLPKNTIIKVLPYLFLFS >OIW05265 pep chromosome:LupAngTanjil_v1.0:LG09:3606994:3610297:1 gene:TanjilG_03654 transcript:OIW05265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQEMVDIESQVIYRATVQQGAPHISHKEKCLVTLKFNDVVYKIKTKKSGLFDKNTKEEEKVILKGVSGIVEPGEMLAMLGPSGSGKTTLITALGGRLGGKLCGTITYNEKPFSNAIKRNTGFVTQDDILYPHLTVTETLVYTALLRLPNTVSKEDKVMHAKSVIDQLGLTQCKDNMVGSPYIRGVSGGERKRVSIGQEMVINPSLLFLDEPTSGLDSTTAQRIVSTLWELACGGRTIVMTIHQPSSRIYYMFHKVLVLSEGNSLYFGKGSEAMDYFSSIGFAAPALAMNPSDFLLDLANGVYTNELNEDHPIDKQKLVSAYKINIEAQLKARLEGISDLGNRVQGEFQDKGFGKWPTSWSQQFFVLLRRYIKEKKHESFSTLQIFQIIVTALIAVLLWFKSDISHLQDQIGLLFFICGFWSYIPLYQAIFTFPQEVKMLEKERSSGMYRLSSYFMSRIVAELPMDLVLPTIFILITYWLTGLKPNVMNFIYTLLSLLLHVLTCQGIGLAIGAIVLDQKTATTFATVLMLCFTQVAGFYVQHLPKFMHWIKYMSNAYYTFQILIGSQYHTGQTYPCSKGQCLIEEYPAIREMGFPDLHRKALAAIVLVIMLIFYRLVAYFALMRVGVTKNLT >OIW04998 pep chromosome:LupAngTanjil_v1.0:LG09:8098011:8098777:-1 gene:TanjilG_06410 transcript:OIW04998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWFSKILTIVITFIGTIICHGLALSISLNDVECVSEYIANEGDIISGNFIVMDHDIFWGSDHPGIDFSVTAPDGTMAYALKGVSGEKFELKALHHGIYKFCFHNPVSTPETVSFYIHVGHIPNEHDLAKDEHLDPINVKIAELREALESVISEQKYLKARDARHRYSNFF >OIW05332 pep chromosome:LupAngTanjil_v1.0:LG09:2453897:2456046:1 gene:TanjilG_28797 transcript:OIW05332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEVSSLIRVLGGGGGYKEEKNQHHHHHHRMVANESDDDKPTAPITMDLLGGSSIVSPELDLDSQVPNGWEKRLDLQTGKVHIQKCKTLDSPPMFENKLNMNQKVPKLETLNFPSSPFKTPLNLFDETSLGLNLFSPSLPSNNYQSVCTLDKVKSALERAEKEPRRKRVSFFKSSLSTTPSYSSSSSSLKETQEEECDMSSSPMAVGCPGCLSYVLIMKNNPKCPRCSNVVPLQSMKKPRIDLNISI >OIW04908 pep chromosome:LupAngTanjil_v1.0:LG09:9815689:9816954:-1 gene:TanjilG_23911 transcript:OIW04908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSSELKLTQKWIKFIADGSVGHEIEASSTKGITVVKAHKGFILCDFTIHNGLLDQNGNWYVGDIATLVDTIGALAAYSFTSFHHITLDFTISYYSTAKLQEEIGVEAKAKGKKDGLTSLIVEVRKKENGELVALGKLWMVRRKERHQESKL >OIW04639 pep chromosome:LupAngTanjil_v1.0:LG09:15259735:15263629:1 gene:TanjilG_07774 transcript:OIW04639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLINSSAATRCCMPSSPHHCFPSRSILLPFSKPIFSCNAPILRSVSVRSQPLGSDGFGGGSNKHISLEEHSVSSASVIDFLTLCHRLKTTKRKGWINNGIKGAESIADHMYRMALMGLIAGDVPGLNRERCIKIALVHDIAEAIVGDITPSDGVPKAEKSRREQAALNEMCEVLGGGLRAEEIKELWLEYENNSSLEANLVKDFDKVEMILQALEYETEHGKVLDEFFISTAGKFQTEIGKSWAAEIISRRKSLSANS >OIW04249 pep chromosome:LupAngTanjil_v1.0:LG09:21536145:21537928:-1 gene:TanjilG_00809 transcript:OIW04249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESDLGKLFIGGISWDTNEERLKEYFGKYGEVIEAVIMRDRATGRARGFGFVVFSDPAVAAIVIMDKHIIDGRTVEAKKAVPRDDQNAMNRQPGGVIGSPGPGRTKKIFVGGLPSTITESEFKQYFDQFGTITDVVVMYDHNTQRPRGFGFITYDSEDAVNRVLYKTFHELNGKMVEVKMAVPKELSPGPSRSPLIGYNFGLNRASSYLNSYAQGFNMNPLGGYGVKMDGRFSPLSSGRSGFNQIGSGGYGIGVNFGSGLSPIYGGTSNYGSGLGYGRIFSPFYNGNNSTRYTTPIGYSGDNTRSDSFLNSTSHNVWGNGSLSNTTNSQVNPGAYLGSGSGTFGVSIGNSGTNWSPSVPSQGGGAAAASGFTNWSNVYEGGGDSNKGLGGGGYGRNDNTNVTQSSSFAAPAGGYEASYRDLYRNGSVYSDPTWRSAASEIDGSSSFGYGLGGIASDDPGKTSEGFIGNYNVTSRQPNRGS >OIW04664 pep chromosome:LupAngTanjil_v1.0:LG09:15677408:15680089:-1 gene:TanjilG_07799 transcript:OIW04664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTTTTSSPALLFSFLSYKPSPPKSSFHLFQLRNSKPVNNAITSSHCFSPKPPVPKQSTLLCYADRRKPTALSTASSADGNLRTVLRTALWVAEGVYILWLFLLPYAPGDPAWAISSDTINAVLGLSLNFFFILPLLNFGYILLILVVGIHLMEAPLLHPMSEGLFNFVIGWTLMFAPLLFTDRKRDRFKGSLDVLWGMQMFLTNTFLIPYMAIRLNDADDDTVPSKCSQLGSVMTNGASVVGLIGGGVCLLSLLWAFFGRTDANFGGIAERWEFLVGYLGSERLAYAFIWDIGLYIIFQPWLIGDNLQNIQENKVVLVKYLRYIPVVGLVSYLLCLEPEEV >OIW04584 pep chromosome:LupAngTanjil_v1.0:LG09:16571789:16571962:-1 gene:TanjilG_18061 transcript:OIW04584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGRKTSMLDENHNSDDGAKNPFNTGPVYFPGYYNLTEFKMIRPKISRFKDIKLSFG >OIW05329 pep chromosome:LupAngTanjil_v1.0:LG09:2491237:2494750:-1 gene:TanjilG_28794 transcript:OIW05329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNFSCATGDCGTGKVECSGSGATPPVTLAEFALGSQGTGLDFFDVSLVDGYNVPMLVIPHGGSSHNCSSTGCSSDVNAVCPEELKVRSMDDEQKVVACKSACTAFKTPQYCCTGSNSTADNCKPTSYSQVFKRACPQAYSYAFDDVTSTFTCSAADYNIIFCPASNNTSLGTQNQGVSVGESLTAGNGTTQWLSPSGDFAFGFYQLPNELFLLAIWYDKIPNKTIIWYANGDNPTPKGSRLMLNDSNGLVLSTPQGSELWRSSNLTLAAIYSGLMTDDGNFQLRDQNLVTLWDSFSHPTDTLVPTQTMELNSILSSRQGELNYSLGRFILHLQNDGNLVLNLLNLPSNYSYEPYYSSGTADDKNHTNVGLRVVFDKSGFLYILKKSGEMFPISKPNETFSTDDFYYRATLNYDGVFTLEYHPKEPKNEQSWVVGETIPDNICSYSTYTNGQGVCGFNSICTLKDNKRPMCNCPEGYSLIDSEDTYEGCIPNFQVICQADGHHGPQDNLYIMKELPNTDWPKSDYETISPCSLQDCTESCLQDCLCVMVSFNKSSCWKKKLPLSFGRNDQGVNVTSVIKLMKNNDPLSPFSNTKKDHSTLITVISVLLGISILMLVVAIGFIFICNRKKIESTSTIKSVVDRNLRNFTFKELEEATSNYRDELGRGSCSIVYKGTIEMTSVAIKKLDKLFQDSDKEFKTEVNVIGQTHHRNLVRLVGYCNEGQHRILVYEFLTNGTLANFLFMHSKPNWKQRIHIALGIARGLVYLHEECCTQIIHCDIKPQNILLDDDYNARISDFGLAKLLSINQSRTETGIRGTRGYVAPDWFRSAPITAKVDTFSFGVMLLEIICCRKNVDTEFDNEESGILTDWAYDCFKMKRLDILLENDCEAANDIKSFERFVMIAIWCIQEDPSLRPTMKKVMHMLEGIVEVAIPPSPYLHGSVS >OIW04983 pep chromosome:LupAngTanjil_v1.0:LG09:8404703:8407698:1 gene:TanjilG_24455 transcript:OIW04983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSTLTKPLFPSISSFTSKPISKTSLLSFNNNNNNNTLFHSKKQCSLVVNNVLKTVEPTQTSQVLDSVSNDVGSLVSKPTILVSEKLGEAGLQVLRGYGKVECIYDLSQEDLCSKISECDALIVRSGTKVTREVFEAGKGKLKVVGRAGVGIDNVDLKAATEFGCLVVNAPTANTIAAAEHGIALLAAMARNVAQADASIKSGKWQRNKYVGVSMVGKTLAVMGFGKVGSEVARRAKGLGMNVIAHDPYAPADRARAVGVELVSFDQAISTADFISLHIPLIPTTNKMFNDDTFARMKKGVRIVNVARGGVIDEDALVKALDSGIVAQAALDVFTEEPPSKDSKLVQHENVTVTPHLGASTKEAQEGVAIEIAEAVVGALKGELSATAVNAPMVSPEVISELAPYVLLAEKLGRLGVQLVSGGSGIKSVKVVYRSARGPDDLDTRLLRAMITKGIIEPISNSIINLVNADFTAKQKGIRISEERVVVDSSPELPIDSIQVQISNVESKFASGVSEGGQISIEGKVKYGVPHLTCVGSFGVDVSLEGNLILCRQLDQPGMIGKVGNILGEENVNVSFMSVGRTSLRKKAIMAIGVDEEPNKETLDKIGAVPAIEEFVFLKL >OIW04849 pep chromosome:LupAngTanjil_v1.0:LG09:11390033:11393242:1 gene:TanjilG_29326 transcript:OIW04849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIDSSTTKLKSVGPGMDLPIMHDSDRFELVRDIGSGNFGVARLMRDKHTEELVAVKYIERGDKIDENVQREIINHRSLRHPNIVRFKEVILTPTHLAIVMEYASGGELFERICNAGRFGEDEARFFFQQLISGVSYCHAMQVCHRDLKLENTLLDGSPAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLLKKEYDGKIADVWSCGVTLYVMLVGAYPFEDPEEPKNFRKTIHRILKVQYSIPDYVHISPECRHLISRIFVADPSKRINIPEIRNHEWFLKNLPVDLMVENTMNDQFEEPDQPMQSIEEIMQIISEATIPAAGTQSSLHQYLTGSLDIDDDMDEDLETDPDLDIDSSGEIVYAL >OIW04091 pep chromosome:LupAngTanjil_v1.0:LG09:20470767:20472209:1 gene:TanjilG_00651 transcript:OIW04091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSCATTTLVSKFSVFPDQKSNLPDLKLSVSDLPMLSCHYIQKGCLFTKPSIPTHTLIPLLKSALSRTLSLFPPLAGRLTTDSDGYVYITCNDAGVDFLHANASSYKISDLLSSLDISPSFKEFFTFDSKVSYSGHSSPIVAVQVTELADGVFIGCAANHAVTDGTSFWNFFNVFAQLCRGGCKGLHMVPDFTRDSVLISNCVLRLPQGGPKVTFDADAPLRERIFSFSRESIQELKARVNNRKLTEDKAVELMMKNSNDYYQKENGKVATIFENWFKTEVNSKPQLATETVEISSFQSLCALLWRAVTRARKLQASKMTTFRMAVNCRHRMKPKLESYYFGNAIQSVPTYASAGEVLSMDLRWCAEQLNKNVREHDDSMVRKFIEDWENNPRVFPLGNPDGASITIGSSPRFPMYDNDFGWGRPLAVRSGKANKFDGKISAFPGRDGSGAVDLEVVLAPETMAGLECDAEFMLYATTQL >OIW04092 pep chromosome:LupAngTanjil_v1.0:LG09:20477140:20477739:1 gene:TanjilG_00652 transcript:OIW04092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKRLFLKHSIAIVTIMVSVCTLLIVIVTVLKLPEASTKNSKMGFYPITRSRKVPLQDVKLCKFGEMMVDLLPQDLAFTVFVPSEEAFNRDLRLRVSDSLKPDKYNDTYAIMTRVLGFSAIPRALSSVNVHSGEVVSYDSISGFPLYISKDIDGTLVVNRIRSEIVDVRKNEFVIHVIDGVIMDADFQQSVLPDDSEED >OIW04845 pep chromosome:LupAngTanjil_v1.0:LG09:11304465:11310109:1 gene:TanjilG_29322 transcript:OIW04845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSADEMDGSSEWSWEQDKAFESALVNHPEDAPDRWEKIAADVSGKTVEEIKQHYELLVEDINQIESGCVPLPSYNSSSEGSASQASDEGAGKKGGHHNGESNHGTKASRSDQERRKGIAWTEDEHRQLFLLGLEKYGKGDWRSISRNFVVTRTPTQVASHAQKYFIRLNSMNKDRRRSSIHDITSVNNGDVSAPQAPITGQTNGPAGNSTCKSGKQAPPASTGVPSIGIYAAPTIGQPIGGPLVSAVGTPVNLPAPGHMAYGIRAPVPGAVVPGAPTNIVPMTYPMPHTSAPHK >OIW04520 pep chromosome:LupAngTanjil_v1.0:LG09:17815225:17815935:1 gene:TanjilG_13902 transcript:OIW04520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDPRKDQPSISFPLFDGMGHRIHGYESKVEDEPGICSPPLWTRSPPKSPNQRRNYYRSLSPKSKTQAIERGQTELMEMVRTMPESSYELTLKDIVEQPKVDVEEEIRVREKIWRNKNVHKRGSVISRNVDKKGSRSNKIDSGGLYLKMVFPTSLRSKKNNKKKDSSANNNSSNVSPRPSVSDRSTKNIDKDWWKKHLSASGGESDSGVSSINSGSMRSSGSSSSNSSSKSNSRYA >OIW05489 pep chromosome:LupAngTanjil_v1.0:LG09:308439:309341:1 gene:TanjilG_27619 transcript:OIW05489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDRARLAKIHQPDAESKCPRCDSTNTKFCYYNNYSLSQPRHFCKTCRRYWTRGGALRNVPVGGGFRRNKRNKGNNNRSKSPMKSAVSISASANSNSSSVCTNSTDMMSHFPNHFPFLPTLHHNSYSDYISESTGLHFGTSLDARNGNNAGAGAGAGAGSDAEFQIGTSSCGGNGNGNNSGDSSFSNGLHLEQWMLPNLQQVHQQQFPNFLNNLELFKFDGEKAEPPSYVRDGGMKFLSKSLDSSVSGINPQINTTRMEENQGLRKNILGTNSGNDIFWSGSNTAWTEVPSFTPSTNQML >OIW05222 pep chromosome:LupAngTanjil_v1.0:LG09:4304822:4309720:1 gene:TanjilG_21207 transcript:OIW05222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAVCGSKRALFEELPPSPPLSKRLRCSSSTSPIRISPHHHSLIDQLRNLFPHLDHLHYERALQESGNDLEGAIKRLNELCLGTADGNSGTAKGSEAEVNAYTAKLEDDGNASAYENQPAMNNLPANGAEWVEFFVTEMSAATSVDDARGRTARMLEVLEKSISARASADAIDVVQKENLMLKQQIEVLMKEKNSFKNAFRIQLERFSDYEDKNQELQHLKQSVSQYQEQIRTLEMNKYTLAMHLERAQQSNNTFHGHFPPDVF >OIW04910 pep chromosome:LupAngTanjil_v1.0:LG09:9843687:9845829:-1 gene:TanjilG_23913 transcript:OIW04910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQMKERFAKLLLGEDMSGGGKGVSSALALSNAFTNLAAAVFGEQKRLEPMSPERKSRWRKEIDWLLSVTDYIVEMVPSQQKAKDGSTMEIMTTRQRTDLHMNIPALRKLDTMLNDCLDNFKGQNEFYYVKKEEGDADKDGKTDEKWWLPTPKVPVDGLSDVARKFLQYQKDCVTQVLKAAMAINAQTLSEMEIPESYIESLPKNGRASLGDTIYRSITDDYFDPDQLLGTMDLSSEHKILDLKNKIEASIVIWKRKMNQKDSKSAWGSAISMEKRELFEERAETILLFLKHRFPGIPQSALDISKIQFNKDVGQAVLESYSRILESLAYTVLSRIEDVLNADSQNQNSSQLGKKNNMKNTIPKPEKPLTPKEGVQIEKGGAEEIPSSMTLSDFMGWLGDSDMKKDPSTIPDELDNDIDNGKPIKLSNVVTDNKKASYLENLGGVKSPICRQ >OIW05149 pep chromosome:LupAngTanjil_v1.0:LG09:6085638:6085916:-1 gene:TanjilG_02622 transcript:OIW05149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFIALFLTIIVVTSHLVESRAIGLGGIYGQEQRNHVGNKGKVIESKLKTNNPTSVANENHHAKSEVGNGTSQKDDEDRNKDGKFKRIVGHA >OIW04898 pep chromosome:LupAngTanjil_v1.0:LG09:9738131:9738745:-1 gene:TanjilG_23901 transcript:OIW04898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILGNLIAITQTSMKRMLAYSSIGQIGYVIIGIIVGDSNGGYASMITYMLFYISMNLGTFACIVSFGLRTGTDNIRDYAGLYTKDPFLALSLALCLLSLGGLPPLAGFFGKLHLFWCGWQAGLYFLVSIGLLTSVVSIYYYLKIIKLLMTGRNQEITPHVRNYRRSPLRSNNSIELSMIVCVIASTIPGISMNPIIEIAQDTLF >OIW04891 pep chromosome:LupAngTanjil_v1.0:LG09:9941785:9945288:1 gene:TanjilG_24007 transcript:OIW04891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPLHLHHPLPNPIPTHVSKNSIFIPSPLSIVSNTRPPLYLNNNINNNNIVSHKTLTLRRAGWFLGLTDNNNKKKMNLPEIVKVGDPVLHEAAEEVDPNEIKSERVQKVIDDMVSVMRKAPGVGLAAPQIGVPLRIIVLEDTKEYISYAPKEEIKAQDRRPFDLLVILNPKLEKKSKRTALFFEGCLSVDGFRAVVERNLDVEVTGLDRNGEPIKITASGWQARILQHECDHLDGTVYVDRMLPRTFRTVENLLLPLAQGCPKLGPR >OIW04592 pep chromosome:LupAngTanjil_v1.0:LG09:16709394:16710999:-1 gene:TanjilG_18069 transcript:OIW04592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAEIRAIWHRSANHCFVQEDTKITPKLACATSKLADHVAINVTHFSRKSSFPNLGPDSRWWLMQPNQGFQKGLTYDQFNVVVDEVGILRASDENEAHEGYYKQFGDANHYSSHDIQHHFQADITEKAKNDRMQEAYGENSQVFHAIIDMMAKHETLEIDSVGLLVSKQINCFSLDSDCSWIEDDKAKPWWRTSDRDELASFVSQKSVNHIENCDLPPPQKNEIIFLEGVTEQVFIKAQLIEALCHSQTRAREAEELAKQVYAEKEHLIELFFKQASQLFAYKQWFRLLQLETIHIHIKNKDHPIHTLHKFANTKQERQTKPKGGVTTTYAVAFALGMGLVGAGLLLGWSVGRMLPRL >OIW04377 pep chromosome:LupAngTanjil_v1.0:LG09:19371777:19384155:-1 gene:TanjilG_32569 transcript:OIW04377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESDKYICIRETSPQNSVVIIDMSMPMQPLRRPITADSALMNPNSRILALKAQVPGSTQDHLQVFNIELKAKMKSHQMTEQVVFWKWITPKTLGIVTQTSVYHWSIEGDSAPVKMFDRTANLANNQIINYRCDPNEKWLVLIGIAPGSPERPQLVKGSMQLFSVDQQRSQALEAHAASFATFRVAGNDKDSTLICFASKSVNAGQVTSKMHVIELGAQPGKPSFTKKQADLFFPPDFADDFPVSMQISNKYGLIYVITKLGLLFVYDLESATAVYRNRISPDPIFLTTEASSEGGFYAINRRGQVLLATVNEATIVPFVSGQLNNLELAVNLAKRGNLPGAEELVVQRFQELFAQTKYKEAAELAAESPRGILRTPDTVAKFQSVPVQAGQTPPLLQYFGTLLTRGKLNVFESLELSRLVVNQNKKNLLENWLAEDKLECSEELGDLVKVGYAPDYLFLLQTILRTDPQGAVNFALMMSQMEGGCPVDYNTITDLFLQRNLIREATAFLLDVLKPNLPEHGYLQTKVLEINLVTFPNVADAILANGIEDPELHFKYIEAAAKTGQIKEVERVTRESNFYDAEKTKNFLMEAKLPDARPLINVCDRFGFVPDLTHYLYTNNMLRYIEGYVQKVNPGNAPLVVGQLLDDECPEDFIKGLILSVRSLLPVEPLVEECEKRNRLRLLTQFLEHLVSEGSQDVHVHNALAAVKAFMTADLPHELIELLEKIVLQNSAFSGNFNLQNLLILTAIKADPSRVMDYINRLDNFDGPAVGDMAVEAQLYEEAFAIFKKFNLNVQAVNVLLDNLQTIDRAVEFAFRVEEDAVWTQVAKAQLREGLVSDAIESFIRADDAAHFLEVIKAAEDADVYHDLVRYLLMVRQKTKEPKVDSELIYAYAKVDRLGEIEEFILMPNVANLPNVGDRLYDEALYEAAKIIFAFISNWAKLAVTLVKLKQFQGAVDAARKANSAKTWKEVCFACVDNEEFRLAQICGLNVIIQVDDLEEVSEFYQNRGCFNELISLMESGLGLERAHMGIFTELGVLYARYRPEKLMEHIKLFSTRLNIPKLIRACDEQQHWKELTYLYIQYDEFDNAATTVMNHSPEAWDHMQFKDVIVKVASVELYYKAVHFYLQEHPDIINDMLNVLALRLDHTRVVDIMRKAGHIQLVKPYMVAVQSNNVSAVNEALNEIYAEEEDYERLRESIDLHDNFDQIGLAQKIEKHELLEMRRVAAYIYKKAGRWKQSIALSKKDNLYKDCMETCSQSGDRELSEDLLVYFIDQGKKECFASCLFVCYDIIRPDVALELAWMNNMIDFAFPYLLQFIREYTGKVDDLIKDKIEAQKEEKAKEKEEKDVIAQQNMYAQLLPLALPAPPMPGMGGGYTPSPPPMPGMGGGYTPSPHMGGMGMPPMPPFGMPPMGGPY >OIW04260 pep chromosome:LupAngTanjil_v1.0:LG09:21602289:21602558:-1 gene:TanjilG_00820 transcript:OIW04260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISQRLLKLRYHFVAALILCITLFTLILIAPTFITLFTYFCPLFLSTTIVLALVFIFARNSSSESSLHKTLLDYVAASHLHEDSSFLD >OIW05446 pep chromosome:LupAngTanjil_v1.0:LG09:820586:824540:1 gene:TanjilG_12037 transcript:OIW05446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSTTLSLDHLPPSEQLCYVHCNICDTVLAVSVPCTSLFKTVTVRCGHCTNLLPVNMRGLLLPSSNQFHLGHSYFSPSHNLLQEEMPNPTPNYFMNQNTNIANEFSMPARTTVDELPRPLIINRPPEKRQRVPSAYNRFIKDEIQRIKAVNPDITHREAFSAAAKNWAHFPHIHFGLMPTDQTVKKTNVHQQQDGEEVLIKEGFYASANVGFSPY >OIW05307 pep chromosome:LupAngTanjil_v1.0:LG09:2986274:2988532:1 gene:TanjilG_03696 transcript:OIW05307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATHTPKNILITGAAGFIASHFANRLVRNYPEYKIVVLDKLDYCSNLKNLIPSKSSPNFKFVKGDIGSADLVNYLLITESIDTIMHFAAQTHVDNSFGNSFEFTKNNIYGTHVLLEACKVTGQIKRFIHVSTDEVYGETDEDAVVGNHEASQLLPTNPYSATKAAAEMLVMAYGRSYGLPVITTRGNNVYGPNQFPEKLIPKFILLAMQGKPLPIHGDGSNVRSYLYCEDVAEAFELILHKGEVGHVYNIGTKRERSVIDVAQDICRLFSMDPETSIKFVENRPFNDQRYFLDDEKLKILGWSERTTWDEGLKKTIDWYTKNPNWWGDVSGALLPHPRMLMMPGGLERHSDGSEDEKPESYVSSNTRMVVPPTKNVVTSQKHPLKFLIYGRTGWIGGLLGKLCESQGILYEYGKGRLEDRSSLVADIQSVKPTHIFNAAGVTGRPNVDWCEIHKTETIRANVAGTLTLADVSREHGLLMINYATGCIFEYDAAHPDGSGIGFKEEDTPNFMGSFYSKTKAMVEELLKEYDNVCTLRVRMPISSDLSNPRNFITKISRYNKVVNIPNSMTILDELLPISVEMAKRNLRGIWNFTNPGAVSHNEILEMYRDYINPNFKWNNFTLEEQAKVIVAARSNNEMDASKLKNEFPELLSIKESLIKYVFEPNKKTN >OIW04624 pep chromosome:LupAngTanjil_v1.0:LG09:16185600:16186691:1 gene:TanjilG_30522 transcript:OIW04624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFEPLGPRELTGAVDLISHYKLLPHFEFFCKKPLPVSIADTHYLQYVVGDTEVRKGDGMQLDQLIQNTSSFRDTSARIQPFDQDILKEAFQLKETGPIDLPPGEKGIPTIAGKSKSESKDKEKKHKRHKDRDKDKDKEHKKHKHRHKDRSKDKDKDKKKDKSGHRDSSADHSKKHHDKKRKHDGDDDLNDVHKHKKSKHKSSKIDEMGAIRVAG >OIW04133 pep chromosome:LupAngTanjil_v1.0:LG09:20748990:20759322:-1 gene:TanjilG_00693 transcript:OIW04133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSDDNEREQKKPHRTPRNSTNNKNADAGVVEVHKQLVQQTNTQKHNLQDLEEKIKNLKGRQEAYDDLLIAVNQCWIQLVSDLVLLGIQAGRGPDALKTLDNPENPQGSLHLCPVEDIFLRNLIQKDSVEGTSNDEIVDYVEEALALRQSSTLELLKLLQDTIDSQTEKIGSIAQVLHGVLSSEDLIIQMSKVDDIMKEDAYNLREAIDALNAMHKEYAVGIQNYISGNLQDQADIKHLAGELDESLTELEECRRKLVNLKMQKDSAPGMRSHNSNAVNGNLSPEKPAERTTSSRELKDSIEESKIVVAGRLSELQDAQEDNQILTKQLQDLQNELNDDKYVRSSRIYSLANDQLQHWNTELDRYKMLTESLKAGSVHVAKWEKELNLKLESADTARHTRGNSDYKTEELELQLQKCIMEKNDLEMKMEEAIQDTGRKDIKSEFHVMSTALSNEMGMMDAQLKRWKDTAQEAVFTSELTSLVNRSAEQDLEIKSLKALIEKLEWDNMELGLIVEMHGDENYEKSTIAEIRESERNARSQAEGLQNALDEHSLVLRVKAANEVEAACEQRLSAAEAELEDLKAQLNASERDVLELSEAIRVKDTEAETYMSEIETIGQAYEDMQTQNQHLLQMVAERDDYNIKLVSESVKTKQAHSTSLSEKQALAKQLQQINASLENSKTRIVHSEEQMKALLLEAIKSTQEDKHLAVALEFAKWELADAEKELKFLKSNVSSSEKEHNQIQKDVEVMEMELESERSSRKKLEDELMEMNRQIAELSSETGEEAVQKLEDELKVCKNMIKCTVCYDRLKEVVIVKCCHMFCSQCIQRNLELRHRKCPACGTAFGQSDVRLVKI >OIW04194 pep chromosome:LupAngTanjil_v1.0:LG09:21193523:21195721:1 gene:TanjilG_00754 transcript:OIW04194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLITRRHVLPSLHKTLIQQQQQLSNSPLVLLQSTLTTFSNNTNLQHALLQMAINGHYMNFHDYNTLLNDCVTKRAFREGQRVHAHIIKTLYFPSVYLRTRLIVFYNKCDSLRDARFVLDEMPMRNVVSWTAMISAYSQRGYAPQAMDLFLQMLRSGTEPNEFTFATVLTSCTGSMGFTLGRQIHSLILKSNYEAHVYVGSSLLDMYAKYGQIHEARGIFECLPERDVVSCTAIISGYAQLGMDEEALVLFRRLQGEGMQSNYVTYASVLTALSGLAALDHGKQVHNHVLRSRVPSYVVLQNSLIDMYSKCGNLTYSRRIFDTMHERTVISWNAMLVGYSKHGEGREVLELFTLMREEKKVKPDSVTILAVLSGCSHGGLEDRGMQSHFPPRTGDKEKD >OIW04263 pep chromosome:LupAngTanjil_v1.0:LG09:21621194:21622906:1 gene:TanjilG_00823 transcript:OIW04263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPEGSFLQDQNQNSSSSKEEENDEPCLALANVVKIMKKVLPPRAIITDQAKEAIVACTNEFIGFITMEANDICLNENRSIITAQDLLFAMDRFGFDNYFNILTLYLHRYRQNVAAASLLVTNMPPPHLSEPPLLVQPTMPQMGNNVITHFHPFTFINRHRFFHD >OIW04715 pep chromosome:LupAngTanjil_v1.0:LG09:14526560:14531687:1 gene:TanjilG_06707 transcript:OIW04715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEENKDKAPRAPFDPETTAEFAGDSSWPLGVDDSDGSYFYSGDRDRSILSEFGWNIDPVQMNRIGSDTDGLWLPVHSAFSGSVEPVDTAAVAATSSRSNNQSVSTSSSEDPPEKSTVFDEKPPSEIPSKSKKKGQKRIRQPRFAFMTKSDVDHLEDGYRWRKYGQKAVKNSPFPRSYYRCTNIKCMVKKRVERSSEDPTIVITTYEGQHCHHTVGFPRGGITSHESAFPSVHLVPSISHIYYPIQMPIEYNATLTSISQASQTQDEEAGGSNTGGSNTPMDEGLLGDIVPPGMRNR >OIW04149 pep chromosome:LupAngTanjil_v1.0:LG09:20893331:20901285:-1 gene:TanjilG_00709 transcript:OIW04149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKSVTQSKLVFAVNGEKFELSKVEPSTTLIQFLRSQTPFKSVKLGCAEGGCGACVVLISKYDPVLDRVEDFTASSCLTLVCSIHGCSITTSEGIGNSKDGFHPIHERFAGFHASQCGFCTPGMCVSLFGTLVNAEKTNRPEPSPGFSKVTVTEAEKAIAGNLCRCTGYRPIADACKSFAADVDMEDLGFNSFWRKGEIKDLKISKLPQFNHNHKSCRFPVFLKDIKHDGVFLASEKHSWHSPTSLKELQSLLEISQANGTRLKLVVSNTGTGYFKDKEVYDKYIHLKGISELSKIRKDQTGIEIGAAVTISKAIEALKESCISDFLSEFVMILEKIADHMTKVASGFIRNTASVGGNLVMAQRNYFPSDIATILLAVDSMVHIMTGTLFEWLTLEEFLERAPLSLESVLLSIKIPCLKLNKSKSLEQRSRFLFETYRPSPRPLGNALPYLNAAFLVEVSPCKDSGGTMIVTCRLSFGAYGTKHAIRAKTVEEFLSGKLLNARFLYEAVKLLTVTITPEDGTSKADYRSSLAAAFLFQFFNQLIDSPVEIIDGNFNGDASFSFTADSELKEKHKQAHHDKIPTLLSSGKQLLEAGREYHPVGAPIVKSGASLQASGEAVFVDDIPSPTNCLYGAYIYSEKPLARIRSVKLRPELQLNGVREVISTKDIPNGGENIGSKSIFGDEPLFAEDIAKCVGERLAFVVADTQKLADMAANSAVVDYDIENIDPPILSVEDAIERSSFFEVPPFLYPKHVGDISKGMAKADHKILSSEMKLGSQYYFYMETQTALAVPDEDNCIMVYSSSQCPESTHSIIARCLGIPENNVRVITRRVGGGFGGKAMKSVPVATSCALAAHKLRRPVRIYLNRKTDMIMAGGRHPMKIIYSVGYKNDGKITALELQILVNAGIYVDISAVMPHNIVGALKKYDWGALSFDIKVCRTNHPSRSAMRAPGEVQGSFIAEAVIENVAAALSIDVDSVRSINLHTHKSLQSFYEGCFGEPHEYTLPSVWNQLAVSANYEQRTVMVKEFNKINIWKKRGISRVPVVYQLILRPTPGKVSILSDGSVIVEVGGIELGQGLWTKVKQMAAFALSAIQCDGTGSLLDKIRVVQSDTVSLIQGGFTAGSTTSESSCEAVRLSCNTLVERLRPLKEKLQEEMGSIDWKTLILQAYMQAVNLSASAFYVPSLDSSMYLNYGAALSEVEIDLLTGETRFLQTDIIYDCGQSLNPAVDLGQIEGAFVMGLGFFMLEEYETNPDGLVLADGTWNYKIPTVDTIPQNFNVQIINSGHHQHRILSSKASGEPPLLLAASVHCATRAAIKEARKQILSWSNINSDDIHDSTFQLEVPATMPDVKELIGLDIVQRYLIWKMGRM >OIW04270 pep chromosome:LupAngTanjil_v1.0:LG09:21650706:21654770:1 gene:TanjilG_00830 transcript:OIW04270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSDKGATDDQISQLPSWTHKHVHTNLELVGNDSEGCENLINEDPCIEGILHIFAPILRCCDLDLNKQPTGLKDPELLARETVFSVSEIEALYELFKKISSAVIDDGLINKEEFQLALFKTNKKESLFADRVFDLFDTKHNGILGFEEFARALSVFHPNAPIDDKIEFSFQLYDLKQQGFIERQEVKQMVVATLAESGMNLSDDVIESIIDKTFEEADTKHDGKIDKEEWRSLVLRHPSLLKNMTLQYLK >OIW04950 pep chromosome:LupAngTanjil_v1.0:LG09:8823133:8823690:-1 gene:TanjilG_01146 transcript:OIW04950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFTKLPLARKAWNSITSTLRKIHKIHGSKSKSKSKSKSKSKAMKKTKKHKPTKAIVTKHSRHNRRLVKIKSVIFSLKKKHAPIYIDKLFKESYSCDLVGKLKPNIIAHNNLQLIEKSEKVTHDKGVEEEVGETSKGCNSNDDDNMWESMELASPLMHGIDERAEEFIARFRGEMAAQEKLARNL >OIW04200 pep chromosome:LupAngTanjil_v1.0:LG09:21232974:21236959:1 gene:TanjilG_00760 transcript:OIW04200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGVGDEEPALKRMKFLSKGLVALSNGSSSKEPVGGSSSGFMARALSSEVDGQVIGSRGVIKREEFVRIIANALYSLGYRKSGEHLEEESGIPLHTSAVNLFMQQILDGDWDESVASLHKIGLEDESIVKSASFLILEQKFFELLDGEKVMEALKTLRTEIAPLCTNSSRVHQLTSCIVSPSPRQDTVRVGSRSKLLEELQKLLPPTIMIPEKRLEHLVEQALILQREACPFHNSSDKDMSLYSDHHCGKDQIPSRTLQILEAHDDEVWFVQFSHNGKYLASASKDRSAIIWEVDVNGGLSAKHQLSGHQRPVSSVSWSPDDKELLTCGVEEAIRRWDVSTGKCLQIYGKTGVGLVSCAWFPTGKYILSGLSDKSICMWELDGKEVESWKGQKTLKISDLEITGDGEIISICKDNAVLLLNRETKDERFIDEYQTITSFSLSKNNKFLLVSLLNQEIHLWNIEGDPKLVGKYKGHRRTRFVVRSCFGGFKQGFIASGSEDSQVYIWHRNSGELIEALPGHSGAVNCVSWNPTNPHMLASASDDRTIRIWGLNCMKVKHQNAHSNGIHYCNGGT >OIW05165 pep chromosome:LupAngTanjil_v1.0:LG09:5511962:5516001:1 gene:TanjilG_19796 transcript:OIW05165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGARPPNRRQPPPSEGSVISNRPPLKRNLAFASTKPPFAPPYEYRSFSDSRPSGQEDEAVVVRSPYMKVKNGLNGTEGESKEPSHSLGYNNVINNPLNTPVSKKGGRTYNKARVPRDGRSAPPTPISEAGSPSPASNCRYDSSLGLLTKKFINLLKHAEGGNLDLNNAAETLEVQKRRIYDITNVLEGIGLIEKKIKNNIHWKGVDCSSSGEQNGDVSMLKEEVEKLSLEECRLDDQISEMQERLRSLSEDENKKKFFFVTEDDIKSLPCFQNETLIAIKAPHGTTLEVPEPEEAVDYPQRRYRVILRSTMGPIDVYLISQFEEKFEDMSLQHASSSESNERLATDVIPVENSYDSLEPQAPHSSHKFSDINSAQEFGGGMMKIVPSEADTDADYWLLSDAGASITDIWKTDLDILDPDFGIISTPKTPSSQFSEAPPAVDNVTQR >OIW05008 pep chromosome:LupAngTanjil_v1.0:LG09:8154628:8155332:-1 gene:TanjilG_06420 transcript:OIW05008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLVVMSQHQHRNQYYSSNRPKPQGSYCSSPSKDFRVINCKTFQRGNGILPTPFKSCAYSSPVTKRASSPKTPSDNKTLFKTSTLNSTPIPINAKACKKDTTLIEGISDNRTLCKTRSQQSTPILINGNACKMGTAFSDGSLLLSELWAGPTYSNSPPPSSLPIPKFSVTPAKTVSLDLPGSSPEIEMHYPVAKSALSSPRRECSSCMLHLFVKDDSATKMLCRVLNLNLNDE >OIW04929 pep chromosome:LupAngTanjil_v1.0:LG09:9364112:9368188:1 gene:TanjilG_15674 transcript:OIW04929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKHSTPAPMQPPTYTNQVPSPSPKISPLSSSLKKIKAPPPAYALVIPPPPPNKDCLSMTCSEPLTYTPPGSPCGCVWPLQVKLCINIAIYKFFPLVSKLAEEVAASVLLNHSQVRIVGADVAKQQLEKTNVLINMVPKGVKFDHTTAFLISKKFWHREILIDDSLFGAYEVLYVQYPGLPPTPSSVSSMDDGPNPGRNNNGAMMKPLGVDVSRKKKEGSNGRIVFVIVLSSITAFVLFIGLVWLCLLKCGSRVLKSEQAPEVLISSSSKISGSAMSLNHGIMPRSASLSFNSGTMTYTGSAKTFTLNDIEKATNNFDSSRILGEGGFGLVYKGILNDGRNVAVKILKRDDQRGGREFLAEVEMLSRLHHRNLVKLFGICTEKKTHCLVYELVPNGSVESHLHGADKETDPLDWDARMKIAIGGARGLAYLHEDSNPCVIHRDFKSSNILLEHDFTPKVSDFGLARTALDEGNKHISTHVMGTFGYLAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDLSQPPGQENLVTWARPLLASKEGLDMIIDPYVKDSISDDTLVKVAAIASMCVQPEVSQRPFMGEVVQALKLVCSEFDEPSYIRSVSFQEEGLISDAEGIHREDLLEYHKPSSGYQSGEENVSLSASELLSTSGQEFESFRKHSASGSLVIGKKRQFWQKLRSLSRGSTSDYGFSTKL >OIW05044 pep chromosome:LupAngTanjil_v1.0:LG09:8020045:8021525:-1 gene:TanjilG_18643 transcript:OIW05044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATTTTTTLSSILYTKHASPQHTTYHRNISTRLFCFHGYYGHKKRTTTSTRTKPLVVVAVTQGSAESSKSSDEKIPSWAKPDSNEPPPWAKDETNKNSENNNNIGGFQIPFYVYLLASAITAIAAIGSIFEYVNQKPVFGVLSSDSVFYAPLLGFFAFTGIPSSAFLWFKSVQTANKEAEEQDKRDGYK >OIW04660 pep chromosome:LupAngTanjil_v1.0:LG09:15626904:15628379:1 gene:TanjilG_07795 transcript:OIW04660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPFIHFFSFLFFLFSSPLLTHSRTTPFNPKTTLLDVASSIQKTQNIFTFNHQLHSSSSSSSSSSFSSNSLSTLSLELHSRASIQNPSHNDYKSLTLSRLARDSARVKSLQTRLDLSLKRISQTNLHPTDTQQDSFIFNEIQGPIVSGTSQGSGEYFLRVGIGNPPSQSYMVLDTGSDVSWIQCEPCSECYQQTDPIFEPSSSSSYAPITCDAPQCKSLDLSECRNGTCLYEVSYGDGSYTVGEFATETVTFGSASVENVAIGCGHTNEGLFVGAAGLLGLGGGSLSFPAQLNATSFSYCLVDRDSDSASTLEFNSPLPRNAVTAPLQRSKEMETFYYVGLAGISVGGEMLPIPETSFQVDASGGRGIIVDSGTAVTRLHGEVYNSLRDTFVRGIRELKKVNGVALFDTCYDLSSKTSVEVPTVSFHFAEGKELPLPAKNYLIPVDSVGTFCFAFAPTTSSLSIIGNVQQQGTRVGFDLDNDVVGFSANSC >OIW05310 pep chromosome:LupAngTanjil_v1.0:LG09:2961193:2963490:-1 gene:TanjilG_03699 transcript:OIW05310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFGGSLPVPSVQELAKENLTAVPPRYQRPQQEESIISQVDDEYPRIPVIDLHNLLSQESGDAELEKLHLAFKEWGFFQLVNHGIESTFLDKVKLEIKDFFNLPMSEKNRFRQKQGDIEGFGQLFVVSEDQKLDWSDVFIMITRPIHKRKPHLFPYLPLPLRDTLELYSQEVKNVGMNIIAHIAKVLNTKEIVELFEDDMQMMRMNYYPPCPQPENAIGLTPHSDGAGLTLLLQLTEVEGLQIKKDGKWVPVKPLPNSFIVNIGDMLEIITNGIYRSIEHRATVNPSEERISFATFIFPKYDGELGPASTLVTEETPPQFKTTTVEEHLKSFLGRELDGKTYIASKKI >OIW05273 pep chromosome:LupAngTanjil_v1.0:LG09:3488886:3490689:1 gene:TanjilG_03662 transcript:OIW05273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGIVNLEKKWLFPLMITSAACIFFLAASLNMGLVSTIHSINSLFFSPSFPHTNQTSAVSVETKILIPPAPAPARPAIPRFAYLVSGSKGDLERLWRTLLALYHPLNHYVLHLDLEASVEERSDLLTRIEKHHVFTEVGNVFVIKKSNLVTYRGPTMVSNTLHACAILLKRSREWDWFINLSASDYPLVTQDDLLYSFTDIDRSFNFIDHTSRLGWKMEKRAKPIIIDPGLYLTTKSDVFWVEPKRALPTAFKLFTGSAWVILSHEFVEYVIWGWDNLPRTLLMYYTNFLSTPEGYFHTVACNVPEFAKTIVNSDLHYISWDVPPKQHPHILNINDTDKMIESGAAFARKFNQDVPVLDVIDKKLLHKRRGLFTMGGWCIGKPKCSEIGDIYKLKPGPGAQRLQRLLAELTSNAKSGQNQCI >OIW04509 pep chromosome:LupAngTanjil_v1.0:LG09:17904875:17906630:1 gene:TanjilG_13891 transcript:OIW04509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECCKRPNRSDMHVSVEEEAIIEEETREYFDEIAPKRHTKPQRSEYSSQYVDAFSNNNDGNQSLPELLEFQRLENDPQETKLAYDGNQVTEEFVETEYYKDLNSVDKHHHTTGSGFINVEKSGKSFRIEPDNDTGSHHSSKGNPATNDWVPAPSIEEGFNSDKPNRSDN >OIW04138 pep chromosome:LupAngTanjil_v1.0:LG09:20813724:20815961:1 gene:TanjilG_00698 transcript:OIW04138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTVTSVIHYTSFIQAIRRTPCVIQIHLNFRFLCTQSPQLFPNHNNNPDTTENPECLSLRIENLPKGESVGSAFRTWMRDGFPVHAGHVFHAINRLRKLNFNKRALEVMEWVIRERPYRPRELDYSYLIEFTTKLHGISHGEKLLSNIPCEFQNELLYNNLVIACLDKGVIRLSLEYMKKMRELGHPISHLVFNRLIILHSSPKRKKMIPKLLTQMKADKVTIHVSTYNILMKIEANEHNLENLVKVFGRMKHAQVEPNEISYCILATAHAVARLYTATESYVEAVEKSITGNNWSTLDVLLILYGYLGNQKELERVWGIIQGLPFVRSKSYMLAIEAFGRMGQLPRAEELWFEMESRKGLKSIEQFNSMITVYCKHGFVDKAARLYRNMKTNGCKPNAITYRQLALGCLKAGMTEQALKTLDLGMRSTICKKVRNSTPWLETTHSIAEIFAEKGDVGNVEKLFEEFHKAKYCRYTFVYNTLIKAYVKAKIYDPNLLRRMILGGARPDAETYSLLKVAEQFRA >OIW04256 pep chromosome:LupAngTanjil_v1.0:LG09:21578956:21580765:1 gene:TanjilG_00816 transcript:OIW04256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLSYRVVIVLCVMDFMMWAQVDLAEGGGRNYISWDDLKVDEQRLALKTNNEIRVIVVDQYGKGHSKTVQGAVNMVPDYNTQRVKIYIYPGIYREKVLVPNNKPYISFIGKRNETSGAVITWNSKSSDRGSDGQTLGTYGSATVGVESDYFCATQITFENSVVAAPGGIGMQAVALRVNSNRAMFYRVKIKGTQDTLLDNSGTHYFFRCLIQGKVDFIFGNAKSLYEKCNLQSIAENYGAIAAHHRNSPHQDTGFSFVGCRIGGTGNVYLGRAWGDYSRIIYSNTYMDDIINPAGWSEWNHPERKWTAVFGEYECEGRGADRTHRVPWSKSFTYEEAKPFLDKRFIDGDQWLRL >OIW04135 pep chromosome:LupAngTanjil_v1.0:LG09:20773809:20777400:-1 gene:TanjilG_00695 transcript:OIW04135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSENRHPLRNAVSNHSGDNIEGGVDFYGEAVLRLNINDNQDRDAAAQSIPFPERPGEPDCLYFLRTGMCGYGSACRYNHPANVSLGIHYGEELPERVGQPDCEYFLKTGTCKYGSTCKYHHPRDRRGAAPVSFNSLGLPMRQEERSCPYYMRTGSCKFGVACKFHHPQIGASPVAGSPTSTILPTSGLPYVGGFSAWQLPRMSYLSGQGIQSYVPPFLSSPQGIIPAQNWNTYMGSMSGAITTGFVGSNFVYDTMNLGEPLLCGGQMISPVLPERPGQPECRYFMSTGTCKYGSDCKYHHPKERTAQSLMNPVGLPLRPGHAICSYYRLCGVCKFGPTCKFDHPILAIPQSYGLTSSHAFSVPDTSFINSSRVLSTVQPPNTSISNLSNGKVQHSDTKTTEDSSKQVDSTTPDSFPATSKS >OIW04557 pep chromosome:LupAngTanjil_v1.0:LG09:17466104:17488493:1 gene:TanjilG_13939 transcript:OIW04557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRALQLVEDKCLVLESSKGKLGSSSMSFSSLMSTCWKMLIDVFFGNFMFLLTFFIMAKIFLHTSISISRCSDLLLALMISGYFKIFLIAMTVWEFPSSVIFIIDLFCLSSNAVALKVTSLNVMFQSMNSPSQLNWPQNGNDPCGQSWKGITCSGNRVTEIKLPGLELSGTLGYQLQSLTSVTTLDLSNNNLGGAIPYQLPPNVARLNLANNNFSGMVPYSLSDMTSLTDLNLGHNQLQQALNVNFQKLTSLSTLDLSFNSLTGDLPQTISSLSSTSTMYLQNNQFTGTINVLATLPLETLNVENNKFTGWIPEQLKSINIKTGGNTWSSGPAPPPPPGTPPATKTNPNHKSGGHSTPSGSGTSDGGKKSGIGGGAIAGIVISILVVGAIVAFFLVKRKSKKSSSDMEKLDNQPLAPVTSNGTHESNSMQSSSVIDLKTFDPPVAPIILKPPPLDRHKSADEEEFSNKPIIAKKTVPAPANVKAYSIADLQIATGSFSVDQLVGEGSFGRVYRAHFDDGKVLAVKKIDSSVLPNDLSEDFSEIVSSISHLHHPNVTELEGYCSEHGQHLLVYEFHKNGSLHDFLHLPDEYSKPLIWNTRVKIALGTARALEYLHEVCSPSVVHMNIKSANILLDTELNPHLSDSGLASYIPNADQVLTNNAGSGYDAPEVGLSGHYSLKSDVYSFGVVMLELLSGRKPFDSSRPRFEQSLVRWATPQLHDIDALAKMVDPALEGLYPIKSLSRFADVVALCVQPEPEFRPPMSEVVQALVRLVQRANMSKRTYGADQGGSNQGGDDPEDM >OIW04539 pep chromosome:LupAngTanjil_v1.0:LG09:17659048:17667144:1 gene:TanjilG_13921 transcript:OIW04539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTVEKVQSCAVEDGVDLKFCHMQKFKLYETCSRFYLIGRNKSRTLWRVLKIDRSEPSELNITEDSTVYSETECYDLLRRIHEGNKPTGGLKFVTTCYGIIGFIKFLEPYYMLLVTKRRKIGTICGHTIYAIAKSEVVPIPHATVRSKMAYSKDEKRYKKLLCSVDLTKDFFFSYSYNIMLSLQKNLSDHNMMGKSLYETMFVWNEFLTREIRNDLQNTFWTVALVHGFFKQANLSISDREINLTIIARRSRHYAGTRYLKRGVNEKGRVANDVETEQIVFTDAHDGCPMQISSVVQIRGSIPLFWSQEASPLNLKPGIILSKKDQNFEATRLHFDNLVNRYGNPIIILNLIKTFEKKPRETILRAEYANAVRSINNSLRGENRLWFLHWDLHWHSRRKATNVLSQLGKVAAYALKRTGIFYCPVTPNLRAEGLFRDSYSENNSAVDHTIAEQASMNKDNVDNETEVSDCYYSGDENKDYSVKPQMLQAGVLRTNCIDCLDRTNVAQYVYGLAALERQLQVLGFSESSYIYLDHPLAKELMTMYESMGDTLAFQYGGSAAHNKIFSQKRGQWKATTQSQEFIRTLQRYYNNTYADGNKQNAINIFLGHFQPQQGKPALWELDSDQHHTIGKGGLYLEDDNVRSNNKRSLSAGNILYESGNTVTKLSVTNFQPYSEKNDNCRPSESTPDIVTCGSEICHCRKIRRGMFKDQHCDSDYVCHDEHGDACDCSNFLNVDWLSSSGNSCEEELLERLTSISSENIVNEQIAETIPSASKSGSSMKKQSGAELKKGAEYAESFERWVLGETFFV >OIW05498 pep chromosome:LupAngTanjil_v1.0:LG09:198095:201313:-1 gene:TanjilG_27628 transcript:OIW05498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPMVAVTVSQYLLQVISLMMVGHLGILVSFSGVAIASSFAEVTGFSVLLGMAGALETLCGQNYGAEEYKKLGNYTCCAIITLTLVCLPISLLWIFMDKILLLFGQDPEICRAAREYCICLIPALYGYAVLQSLIRYFQTQSMIFPMVFSSVAVLFLHVPICWGLVFKFGLGHVGAAFAIAISYWLNAIGLGFYIKYSSACEKTKIVFSSNALSSIKEFLHFAIPSGLMFCFEWWSFELLTLLAGLLPNPQLETSVLSVCLNMTTLHYFIPYAVGASASTRVSNEIGAGNPKAAQGAVRVVVIIGIAEAFIVSTFFYCCRHILGYAYSNDKQIVDYVSDMVPLLCVTVSADSIIGALSGVARGGGFQKIGACVNLGVYYLVGAPLALLLGFVLKLRAKGLWMGTLVGNKSKREIR >OIW05405 pep chromosome:LupAngTanjil_v1.0:LG09:1539408:1539929:-1 gene:TanjilG_28870 transcript:OIW05405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGPSSSPLLSGTKRKEPTRNAHDPSLQSQQQFHGSLGYEDKTQNLYREICQVSCSSPFNLKQLRGQNHAEKSRDLEFNDKAGKEGSSNQRQWCEMCEVSCPNEALLKLHFDGKKHKAKLQKLKISMQGGEDPHKKKWCQLCKLWCMNECAFKQHLEGKKHIIQMHAITKKEK >OIW04754 pep chromosome:LupAngTanjil_v1.0:LG09:14100227:14101466:-1 gene:TanjilG_08637 transcript:OIW04754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQRLTYRKRHSYATKSNQHRIVKTPGGKLVYQTTKKRASGPKCPVTGKRIQGIPHLRPAEYKRSRLSRNRRTVNRAYGGVLSGGAVRERIIRAFLVEEQKIVKKVLKIQKAKEKQASKN >OIW04207 pep chromosome:LupAngTanjil_v1.0:LG09:21287911:21289442:-1 gene:TanjilG_00767 transcript:OIW04207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNDKGPFYYSNHHELNQSSFPFFMDNHHSTLENFQGFFDPSLHGNSMDCNTLSRPFDLSCNSTSEVIDENYKKHISAGDLTMISENHSILNSSLSSSSNEADQVVTEEDSAKSKKDKQPKECEEDGDHEKSKKENKTKKKEKKAREARFAFLTKSEVDHLEDGYRWRKYGQKAVKNSPYPRSYYRCTTQKCNVKKRVERSFEDPSTVITTYEGQHNHHCPTTLRGNAITMFSSPSLFGSTSIGPSFPQDFLAQLLPSYNNQYFRNHHEQNQNTMLFHNQNQQLQFPRDNGLLQDLLPSFQGRQKP >OIW05267 pep chromosome:LupAngTanjil_v1.0:LG09:3547062:3549616:1 gene:TanjilG_03656 transcript:OIW05267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATMQLQSLTLSRSYTLSPPITASSFTPISGRRSSGKFPHYTGIKLRYAAVTRFSGARIAPRSSRVVCEAQDTAVEVAGITDANWQSLVLESETPVLVEFWAPWCGPCRMVHPIIDELAKDYAGKLKCYKLNTDDSPSTATRYGIRSIPTVIIFKNGEKKDAVIGAVPQTTLTKSIEKFL >OIW04163 pep chromosome:LupAngTanjil_v1.0:LG09:20978990:20980280:-1 gene:TanjilG_00723 transcript:OIW04163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLGFSKMILMLTIMVTLMGKMAKSELHYVGGTKVSWGSPNVNFTTWSIHEQFYVSDWLYFGYDRHRFSVFEVNKSGYETCNQTGFIKNITGGAGRDVFQLLEAKPYYFISGGGSCWQNVKVAVNAVHHIAPAPQPASPNAASDYSHINQTFMVLILVFIWGMLLN >OIW05480 pep chromosome:LupAngTanjil_v1.0:LG09:421247:424067:-1 gene:TanjilG_12071 transcript:OIW05480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKHETRSIIIRLMGLDEVRPQLRVRNTQKVLSEKYRQKVASIGVRKKRPSRQHDSFTMSNSEKEESVDALKVVKTIRRDEHDNPSKGNGKEKSVHQMLLDDDASYLSDNGKPETLADAIISFNSETSVSSRRMVEQRGNDLKYCSFHSSCKNSHSADGLLEDIFCPIIKRVYPEMKDRKRTSYHMDFRKGSSKSFSKVSEEISTRTEIVANEVVDTASSSFFRMNEASGNSFDMLKPASNVSVNEIQCNSPFLYSNDSSVLHCHGSTYHQLPLISEHGNGARNFSYRSGYSNDKIGRNSRSKVGVNYSIARKVTMARPLCSASVIADNHGTMTNDNLFQKYWGLRKNASVICPTLKSKYQNINRSDCLEDNHMEENCIGLHKLKKRCHGNDLSDQKPMLPQLSRSRPSPTFIDNRTLHGTCLMNDEMKNDKHEDNDMSKQNIASPNLSVDCSVSDAKIEVLGRSHIYPSKPQTESTECRDSDSQNHSSYASTQKDTSGFQDSLGSFEEECEPSPISVLDLAFREDISSSSECSKVVGDGGYDSSEVDDEGFDLNVSSDVDCVDKSVVDFKEKQDLVGLFRAEKSRDFSYVSEILTEVGISNRGLFTYFSTWHSAECPISPSIFEILERKFGEQQLWKRSERRLLFDRINLGLLEILHPCSYIPTREKPVSKRLNPEPRQKIIDEIWALLVAQEKEASKDSADKMIDGEITWTELREDIVVIVKEIVKLLIEELANEMVSLANLRNCVVMCVDSSHV >OIW05344 pep chromosome:LupAngTanjil_v1.0:LG09:2308517:2309167:-1 gene:TanjilG_28809 transcript:OIW05344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLLRIRPYLRNGLYRQPLHLTSVGLHASNINVLSRSFGQAARKEDEEDVEEVEIDQRSLPADFDPSTFDPTENRGPPSERVFKLVDEMASLTVAEAAELGPILMKKLGIKEIPTVGYMKAGSANLAGMAMKAPVEVEEDKKPEKTVFELKLESYEAASKIKVIKEVRGFTDLGLKEAKDLVEKTPSIIKKGVSKEEGEQIVEKMKALGAKVVME >OIW04876 pep chromosome:LupAngTanjil_v1.0:LG09:10410252:10413831:-1 gene:TanjilG_14307 transcript:OIW04876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKWNHRPRRFFRRQRSPPPKFYDINAPLPDSWKDGVPLWEKKYCKLIGVPWQKIVDTKKFTFCHNNVFDWNDSAAEEGFENAKKRYWANINCLPCDINLPDPDSYIHQIDWNPYIDPELIKEVDSAYFALPDEEQSNDVNNKRTKSYDDDEKPWERTGTPDSGVLENKVQGEIDIKNKRTKISMDDESPWECAGTPCSKVLENKDRGGNQWDHHVGDSADVDNTDNPWESSIAHGNEGLTDNGWHGGRVKSWGSNEGRDDKNQYRDWGTGYDPRGNDCLGIPSQIDKGWGKVWDGSWCQQQQQSRNLAYAGKPWECKSSQHTGWRNSRANVSGMEQPENACVSGDMQSRRNYPGRTAWNQRFQRRGGFNRHDFGYYGSQFQRVDRQTEHYWRRDK >OIW04402 pep chromosome:LupAngTanjil_v1.0:LG09:19642395:19644946:1 gene:TanjilG_32594 transcript:OIW04402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASLLRLHFHDCFGCDASVLLDDTSSFTGEKSAGANVNSLRGFDVIDDIKTQVENACPGVVSCADILAIAARDAVVALGGPSWNVGLGRRDSTTASKDDATKDIPSPLMDLNDLISAFANKGFNTKEMVALSGAHTTGQARCQLFRGRIYNETNIESNFATSVKPKCPSTGGDSNLSPLDITTNVIFDNAYFKNLVNQKGLLHSDQQLYSGGSTDTHVTTYSNNPTTFYADFASAMVKMGNLSPLTGKSGQIRTNCHKVN >OIW04703 pep chromosome:LupAngTanjil_v1.0:LG09:14821141:14823905:1 gene:TanjilG_06145 transcript:OIW04703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEASHKPIPLLKDELDIVIPTIRNLDFLEMWRPFFEPYHLIIVQDGDPSKVIKVPEGFDYELYNRNDINRILGPKASCISFKDSACRCFGYMVSKKKYIYTIDDDCFVAKDPSGHDINALEQHIKNLLSASTPHFFNTLYDPYREGADFVRGYPFSLREGVPTAVSHGLWLNIPDYDAPTQLVKPRERNTRYVDAVLTIPKGTLFPMCGMNLAFDRELIGPAMYFGLMGDGQPIGRYDDMWAGWCVKVITDHLGLGVKTGLPYIWHSKASNPFVNLKKEYKGIFWQEEIIPFFQNATLSKDSTSVQQCYIELSKQVKEKLSPIDPYFTKLADAMVTWIEAWDELNTPSEAKSSKAANGTAAK >OIW04621 pep chromosome:LupAngTanjil_v1.0:LG09:16132076:16134242:-1 gene:TanjilG_30519 transcript:OIW04621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTLNLNPQTQSDSPRIINPLDPEEFKRQGYMIIDFLADYYGNVGKYPVLSKVEPGYLKELLPSTAPLGPEPIESILEDVKKHIIPGITHWMSPNFFGYFPSGSSIAGFVGEMLSTGFGIVGFNWVSSPAATELESIVMDWLGHVLMLPKPFLFSSNGNGGGVLLGTTCEAFLCTLVAARDKKLSQVGTHNIGKLVVYGSDQTHSSFQKAAQIAGINPKNYRAIKTKRSSSFTFSPDSLLSTILLDVENGLIPCFLCATVGTTATTAIDPVGSLCNVAKDYGIWVHVDAAYAGAVCICPEFRYCLDGIEHANSFSFNPHKWFLTNLACCCLWVKDHTALTNSLSTDPHFLRNKASESKKVIDYKDWQITLSRKFNSLKLWLVLRSYGVDNLRNFLRQHVEMAKTFEGLVRLDRRFEIVVPRNFSLVCFRISPSAISNGLPNGTKFDSIGKIMNDKHYLVNEVNHKLLDSINSSGSVYMTQCEIEGDFVIRCAIGATLTEEKHVIMAWKLVQEHANSLLGAF >OIW04821 pep chromosome:LupAngTanjil_v1.0:LG09:12212137:12213492:-1 gene:TanjilG_13669 transcript:OIW04821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGDFAGSYEYNSSSGSAKVLMAIMPLLKLLCLTVIGLVLANPRKQFIPKATFKLLSKLVFALFLPCLIFSELGESITLKNFVDWLFIPVNVLVSTAIGCLLGFLVVLLCRPPPELTRFTIIMTGFGNTGNLPLAVVVSVCHTNDNPFGPSCYTRGVAYVSLSQWVAVILVYTLVYHMMEPPMQYYEIVEEGAEIVEQQRLNDISRPLLVEAEWPGIEDKEDEHSKTPFIARIFKSISSISSTTIPDLEITAENSGNSPRSIRCLAEPRVVRRIRIVAEQTPIQYILQPPTIASLLAIIIGMVPQLKAVFFGVDAPLSFVTDSLQILGGAMVPSVMLILGGMLAEGPNESKLGLRTTIGITVARLLVLPLLGIGIVSLADKLHFLVLNDAMYRFVLLLQYTTPSAILLGAIASLRGYAVSEASALLFWQHIFALFSLSLYIVVYFRIITYV >OIW04719 pep chromosome:LupAngTanjil_v1.0:LG09:14479444:14480244:1 gene:TanjilG_06711 transcript:OIW04719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMWSFASNAISSIRKRSSGDLSKTCAEGSDDEVCSNAGRDEVLECPICWESFNVVENVPYVLWCGHTLCKNCVLGLQWAVLKFPTQQVKVPFFISCPWCHLLSFRLVYKGNLKYPCKNFFLLWMVECRSGDRQKVVSTCADSQQIWSPKSNFLGSQATNCNLRRASTSDSGSGESNGDVGGGDGSRHHFSLHKSLNFFVHFTSKFPLVIIFLLIAYFVVPCSAIILVLYLLITILFAIPSFLILYFAYPTIQRLIREITSSDVATL >OIW04975 pep chromosome:LupAngTanjil_v1.0:LG09:8562080:8567714:1 gene:TanjilG_01171 transcript:OIW04975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKFVIFTSNLNYIIESNAMRNSPSSHILGLNKFFDWSPEEFKETYLNHQVMPTNGGIPTDNSIEENDIPYIEPPSSLNWWKKGAVSAVKNQGTCGCCWAFSAVGGIEGINAIATKKLITLSAQELVDCDTASDGCTNGRETNGWNWVIKNGGIASEAAYPYKAEKGICQASKIANSATISSFAKVAQSEDALWRATATQPISVNVDARDFQHYTKDTGILDGRNCKNTTDTNHAVLIVGYDRSKAGVDYWIVKNSWGQDWGKKGYIWIKRNTGLPYGIANSATISSFAKVAQSEDALWRATATQPISVNVDARDFQHYTKDTGILDGRNCKNTTDTNHAVLIVGYDRSKAGVDYWIVKNSWGQDWGKKGYIWIKRNTGLPYGVCAINAWAYYPIKK >OIW04920 pep chromosome:LupAngTanjil_v1.0:LG09:9070947:9075849:-1 gene:TanjilG_15665 transcript:OIW04920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIGLRALSLIAIASLLVFEEWVSTPTCKLIPNKTLHFPQFHDHDDDNSDEFKVMMVAELLLLGSEAGYVNQFFRDYYMSKFFRKSFESLKPDLLLVLGDVSAKGSKLTKSKWTSVLRQFHTMLGPFVGLPFHAVLGDRDIGECSDLDANKVNWISHKLPGLDHSGCGAFEIGNISFVTLNAVALLCGNSSLRFDIEKAIERESLELHVGMADTKAAINNSTNFGDADYNFGWRESTVLPGSGPVLLLHFPLDQTRNEHYDGIDAIKRSSNTLIDGLNVVPKSRELIGAGLYKSLHTLPLNASEYILQALKPRIIFSAHRYAFSDHIHLDKTREISVPAMSWNARDDPGFVIATFHKTRREHRREC >OIW04359 pep chromosome:LupAngTanjil_v1.0:LG09:19236920:19238450:1 gene:TanjilG_32551 transcript:OIW04359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAITKVYIVYYSLYGHVDTMAREVHRGAASVEGVEATLWRVPEMLSDVILTKMKAPPKANDIAEIRPEQLLDADGFIFGFPSRFGMMPSQFNAFFDGTSALWASQALAGKPAGIFWSTGFHGGGQELSALTAITQLAHHGMLFVPLGYTFGSGMFEMNEVKGGSAYGAGTFAADGSRKPTELELQQAFYQDTENLVATPSAGYCGCKLQ >OIW04212 pep chromosome:LupAngTanjil_v1.0:LG09:21315592:21319328:1 gene:TanjilG_00772 transcript:OIW04212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFFSEYGDANRYKIQEVIGKGSYGVVCSAIDTHTGEKVAIKKIHDIFEHISDAARILREIKLLRLLRHPDIVEIKHIMLPPSRRDFKDIYVVFELMESDLHQVIKANDDLTKEHYQFFLYQLLRALKYIHTGNVYHRDLKPKNILANANCKLKICDFGLARVAFSDTPTTVFWTDYVATRWYRAPELCGSFYSKYTPAIDIWSIGCIFAEVLIGKPLFPGKNVVHQLDLMTDLLGTPSLDAISRVRNDKARRYLTRIRKKQPVPFAKKFPNVDPLALRLLERLLAFDPKDRPTAEEALGDPYFKGLSRVEREPSCQPITKMEFEFERRRVTNEEIRELIFREILEYHPQLLKDYIKGTERTNFLYPSAVDQFKKQFAHLEENGGKNTSVIPLERKHVSLPRSTIVHSNMVPPKQHFNIASSKNRQIAEEYNSNPRDTENPAARPIQGMQRIPLAKPGNVVGPVVPYEYASVVKDSYDPRRFTRGSVLPSQSIAPTYYYQRSSSGNQEKAAAIETDKGVSVQAKHGQQQYGVTAKIAPEIDINIDSNPFFMTRAGVNKIEEQDDRIAIDRNLLQPKAQYGRINAASGATAHRKVGPVQYGMTTRMF >OIW05148 pep chromosome:LupAngTanjil_v1.0:LG09:6083222:6083434:-1 gene:TanjilG_02621 transcript:OIW05148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRLMSKNNVWSYGVFFYELVTGRRPVDRNRLRGEQNLLEWIRPYLAPDGKKFQLILDPRLDKKQIVKSA >OIW05354 pep chromosome:LupAngTanjil_v1.0:LG09:2143649:2145938:-1 gene:TanjilG_28819 transcript:OIW05354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSKPVKMPDLPYMDLNSGENLKISHSSGHNSCTNSRTNSPTHPTKLNEVAKDASTNEISTSEFGSVHLDQVSKTDAKLTTKSNRPQKLAPSTRNISDFSTQSPRTKKTSNFDPAITTPGLPTGVAKGMSNHSKNTIQVPGSGASSRSDSLESTSATIRPHTGGDVRWDAINLAARGGTLNLKDFRLLKRIGYGDIGSVYLVELKGTKAHFAMKVMDKAALISRNKLLRAQTEREILGLLDHPFLPTLYSYFETDKFYCLVMEFCSGGDLHSLRQKQPNKCFTEEAARFYASEVLLALEYLHMLGIVYRDLKPENLLVRDEGHIMLSDFDLSLRCSVNPTLVKSSSANASNGSSDSGAMLGEEQAIQTCASSSNFFPRILPSKKNRKAKSDFGLMVGGVRLPELMAEPTNVRSMSFVGTHEYLAPEIIKGEGHGSAVDWWTYGIFLYELLHGTTPFKGAGYKATLFNVVGQPLRFPETPQVSAVARDLIRGLLVKEPQKRIAYKRGATEIKQHPFFEGVNWALVRSATPPHIPETIDFSKYASKDKDSTTQADKKMADIANDKNSNSSNDSSYVDFEYF >OIW04856 pep chromosome:LupAngTanjil_v1.0:LG09:11098187:11104255:1 gene:TanjilG_13696 transcript:OIW04856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVPIKTHEPHEQQANHGYLDREAKKFYRIKHGYHDRETMIFDRAIHEHRDRGSEGHSASSKGHGLGIGQGSCGTSRKDLVDSPPRCTNIKAMGDMDHGESVGHLYAQVGTNNKAMGNGSHDTSTFQHYTPEHTSRHRNGTEHIYASSSQVTQAKETSQAHEQQAKQGHLDQEAKTFDRAKHGHRDRETVISDRAIHGHRDQGSKGHGAKTDRGSKGHAAFGEGHGPSGTDRGAKAMAHQEKAITHHHMTEEAHWTKTHGVCLTEVIAHQTRTWAIIHKNAPTSRPWAIGTMTHQ >OIW05099 pep chromosome:LupAngTanjil_v1.0:LG09:6685494:6687479:1 gene:TanjilG_06235 transcript:OIW05099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTDNTQNPNLNNNLPQEENPTKTLDPTEPKPDLGLSEQPTPDPTADKDAAADESMVSPATAPISDAQKKKIRAERFGITVQLSEKDKRNSRAERFGTSSTTPGSETSKSEEVKRKARAERFGIPSPTTTADEKAKKKARVARFAPASKAAADPAEEDKRKARALRFSNQSSGSLSQVNSKGNVEPVVIAGKAVGGD >OIW05087 pep chromosome:LupAngTanjil_v1.0:LG09:6884990:6890859:1 gene:TanjilG_06223 transcript:OIW05087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQFLKPENALKRAEELINVGQKQDALQTLHDLITSKRYRAWQKTLEKIMLKYIDLCVEMRKGRYAKDGLIQYRIICQQVNVGSLEEVIKHFIHLSTEKAEHARSQAEELEAALDVDDLEADKKPEDLMLSYVSGEKGKERSDRELVTPWFKFLWETYRTVLEILRNNSKLEALYAMTAHRAFQFCKQYKRTTEFRRLCEIIRNHLANLNKYRDQRDRPDLSAPESLQLYLDTRFEQLKIATELGLWQEAFRSVEDIHGLMCLVKKTPKASLLVVYYAKLTEIFWISSSHLYHAYAWFRLFVLQKSFNKNLSQKDLQLIASSVVLAALSVPPHDHTHGASHLELEHEKERNLRMANLIGFNLETKPESREVLSRSSLLAELVSKGVMSYVTQEVKDIYHLLEHEFLPSDLALKVQPLFTKISKLGGKLSTASSVPEVQLSQYVPVLEKLATLRLLQQVSNVYQTMKIETLSGMIPFFDFSVVEKISVDAVKQKFVSMKVDHVKNVVIFCKVSLESDGLRDHLANFAEQLNKARQMIYPPERKPSKLGALLPSLTDVVAKEHKRLLARKSIIEKRKEEQERQLLEKEREEESKRLKLQKITEEAEQRRLAAEFEQRKNQRILREIEERQLEETQALLQEAEKRIKKKGKKPIIEGDKMSKQTLMELALTEQVRERQEMEKKLQKLAKTMDYLERAKREEAAPIIDAAYQQRLVEERILHEHEQQKEVELSQQRHEGDLLEKERLVRMIGNKEIYQERVVSHRQAEFNRLRREREERISRILQSRRQEREKMRKLKFYLKLEEERQEKLRAEEEARKLEEAERRKKEEAERLAKLAEIAEKQRQREQELEEKERKRREELLGRTTEAALKTSEPLARPPDSVSAAPAAAAAAAAPTAGKYVPKFRRERTEGTGAAAPPPETDRWTKPDSGDRWRSGDDRRPSAFGSGSRASSTWSSSRNR >OIW04335 pep chromosome:LupAngTanjil_v1.0:LG09:18995703:18997039:-1 gene:TanjilG_32527 transcript:OIW04335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFFQLSGSDGDSDQSHHAVLFSSRNVHRITSMQSWEANLSQANKDGKAVVANFTASWSHPCRDVMPIFSELANKYSFLVFLTVDADELAELCNSWNINATPTFYFLKDGRQLDKLVGSDKLELKKKVAAVADLVTNSPN >OIW04382 pep chromosome:LupAngTanjil_v1.0:LG09:19423828:19424685:-1 gene:TanjilG_32574 transcript:OIW04382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRPWGKSTVRIHSTSSSQSHSHSHSSSLFSCSSFKDIQTLCLDETPLPKTKPSVFHRVRLANSLLRTLSTHLPPQQPPKLPITLSNSKPEPDAPTTSQPDPVHLHLQQKTPKLPKHDSPTSDPTPFIPGTEQRVVVYYTSLRVVRPTFEACKSVLSILSGFRVRVDDRDVSIDSGFTTELNRIMGQTGFTLPRVFIGGRHVGGAEEVKQLNEMGELKKMVEKLPAEDQSECHVCGGHRFVLCNVCDGSRKVYMDKIGFKACNACNENGLLRCPSCLSTPLLPEL >OIW04405 pep chromosome:LupAngTanjil_v1.0:LG09:19659178:19662587:1 gene:TanjilG_32597 transcript:OIW04405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFPRFSSSAAMNVMLALFFLLLTRSSAAQLSENFYSNKCPKVFNAVKSVVHSAIAKEPRIGASLLRLHFHDCFVNGCDGSILLDDTSSFRGEKTAPPNNNSVRGFEVIDAIKSKVEETCPGVVSCADIVAIAARDSIAILGGPYWNVKVGRRDSKTASFSDASSGVIPPPFSTLSNLISRFQAQGLSVKDMVALSGAHTIGKARCASYRDRIYNDTNIDKLFAKSRQRNCPRKSSGTPKDNNVAVLDFKTPTHFDNSYYKNLINKKGLLHSDQQLFNGGSTDSLVRTYSSNEKTFNSDFVTAMIKMGNIKPLTGSNGQIRKHCRRAN >OIW04811 pep chromosome:LupAngTanjil_v1.0:LG09:12378819:12383640:1 gene:TanjilG_15324 transcript:OIW04811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRGDQMTLSGSASYYMQREMPGSGTQPELHNSPNIRQMSNPNLPFQSTIGGGTLGSTFPMDSTGIQSQSVNVGAPSGVPSGEPVKRKRGRPRKYGTDGTVSLTLTPSSKPASHPGTLTQTQSQKRGRGRPPGSGKKQQLASVGELMSGSAGMSFTPHIITVGVGEDIATKIMAFLQQGPRAICILSATGTVSTVTLRQPSTSGGTVTYEGSFEILCLSGSYLLTDGGGSRNRTGGLSVSLASPDGRVIGGGIGGVLSASSQVQVIIGSFIWGGSKAKKMRKEASEGAEVAMESDHQAAHNPATVNSMSPNRNFTPTSSPIPWPASRQLDMRNSHIDIDLMRG >OIW04644 pep chromosome:LupAngTanjil_v1.0:LG09:15328891:15333792:-1 gene:TanjilG_07779 transcript:OIW04644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKFSKQFEGQLIPEWKEAFVDYWQLKKGIKKIQLFNNTNNNTLNMHQSSSMPKSIISSIRNYSLFGNQHRDHGPIQVHRKLASSTSKGDMYETELLEQFADTDAAKEFFACLDHQLNKVNKFYRTKEEEFMKRGDSLRKQMKILLELKSTFMEKQGREGCSQDSKEDQSISCTFSNEEDSVRNRELQEEMQETSTDDKNETPFSESPRTDEVGKSMQMKSKDGKLRTHSGRINNYQGKNLRINIPLTTPSRTFSAISYLVREDLLNQSSRKCGTEGGKVHVNKTKLHHAEKMIKGGFIELYKGLGYLKVYRNLNMLAFLKILKKFDKVTAKQILPIYLKVVESSYFNSSDKVMKLADEVEELFIKKFAEDNRRKAMKYLRPSQAKESHFVTFFIGLFTGCLLALLAGYVIMAHVTGLYRPQQHSVYMETVYPVLSMFSLMFLHFFLYGCNILAWRKTRINYSFIFELAPTKDLKYGDIFLICTMAMTTVIGVISLHLTLLTKGYSCAQVQDIPGLLLLVFLLMLVCPFNIIYRSSRYRFLSIIRNIMLSPLYKVVMLDFFMADQLCSQVPMIRNLEYVACYYITGSYKTQDYGYCMRTKHYRDLAYAVSFLPYYWRAMQCGRRWFEEGQTSHLVNLGKYVSAMLAAGAKMAYEKDGSIVWLCLVVIMSSAATMYQLYWDFVKDWGLLQINSNNPWLRNELMLQRKSIYYFSMGLNLILRLAWLQTVLHSSFESVDYRVTSLFLAALEVIRRGLWNFYRLENEHLNNAGKFRAVKTVPLPFHEVDEED >OIW04375 pep chromosome:LupAngTanjil_v1.0:LG09:19359174:19361150:1 gene:TanjilG_32567 transcript:OIW04375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCSVAVSNSPVFSTSSLFCTKPSIVSSSPDSHTLSLSHLKPSSSSSSSSPSNSTSSPSSPFRFRLSKPLIASSTSPNGPVLKRKRPARLDIPVSSLSVEVPATSSAVASDVVEDEGDGFSVYCKRGRREYMEDRYSAADNLLGESKFAFFGVFDGHGGSRAAEFAAKNLKDNVLNEVSTTEEEDIQEAVKRGYLNTDSDFLKDDLNGGSCCVTALIKNGNLVVSNAGDCRAVISRNGVAEALTSDHRPSRGDERDRVETLGGYVDLCRGVWRIQGSLAVSRGIGDRHLKQWVTAEPETKVLRIEPEHDLLILASDGLWDKVSNQEAVDIARPFFVGNKKSQPLLACKRLVDFSTSRGSIDDTSVMLIKLEHYI >OIW04107 pep chromosome:LupAngTanjil_v1.0:LG09:20577355:20579343:1 gene:TanjilG_00667 transcript:OIW04107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSGSKSNLSTSNQVMESEFQKILLEFSAFDDVEAFKREVEEKGLDVNEAVFWYGRRIGSKKMGYEKRTPLMIASLFGSTRVVKYIIEIGNVDVNKACGSDGVTALHCAVAGGSESSLEIVKLLLDAGADADCLDAIGNKPVTLIAPAFNPLSNSRRKALEFFLRGGQGDQQLYEIEQLPEKKEGSDKKEYPVDISLPDINNGVYGTDDFRMYSFKVKPCSRAYSHDWTECPFVHPGENARRRDPRKYPYSCVPCPEFRKGTCQKGDSCEYAHGVFESWLHPAQYRTRLCKDETGCNRKVCFFAHKPEELRPVYASTGSAMPSPKSYAASALDMTSMSPLSLSSSLPMSTVSTPPMSPLAASSSPKSGNMWQNKINFTPPSLQLPGSRLKAALSARDLNLEMELLGLESPSHARQQQQQQQLKEEIARISSPSFRSRMGDLNPTNLDELLASADASVLSQLHGLSVQPSTPTQMQTQNALQMHQNINHLRASYPSNNMPSSPVYKSSPYGFDSSAAVAAAMMNSRSAAFAKRSQSFIDRGAATHHIGLSSPSNPSCKVSSTLSDWGSPTGKVDWGLNGDELNKLRKSASFGYRNNGASSPMAQPEHVEPDVSWVHSMVREERTERFGVEKPQQQQQHYDLSKETLPPWLEQLYIEQQKQMVA >OIW04205 pep chromosome:LupAngTanjil_v1.0:LG09:21268244:21275566:-1 gene:TanjilG_00765 transcript:OIW04205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLGKKSKFLLKKVMKKEYFSSAFRWKLLHIQNTIMDTLVFKILSLVEALVLLRSEVLSRHHDLLSQLSSLHHAEHALSTLRSSLSSLQSSLRRLRSELSTPLRQISSNTTTLCNLHRTTELISYSVRFLRLSKKLRDLTSAAASEPERLDLAKAAQLYSEILALCNEYDLGGIDAVDEELNWVRETGDRLRNEAMKVLERGMEGLNQAEVGTGLQVFYNLGELKGTVEGVVNKYKGLGAKSVSVALDMKAISGSGGSGFGPGGIRGSGTPQIGGGVKAREALWQRLGNCMDQLHSIAVSVWHLQRVLSKKRDPFTHVLLLDEVIQEGDPMLTDRVWEAVAKAFSTQMKSAFTASSFVKEIFTMGYPKLYSLIENLLDRISRDTDVKGVLPAINSAGKEQIISSVEIFQTAFLAHCLSRLSDLVNSVFPMSSRGSVPSKEQISKIISRIQEEIEAVQVDARLTLLVLREIGKVLLLLAERTEYQISTGPESRQVSGPATPAQLKNFTLCQHLQDVHTRISSMIKGMPSIAADVLSASLGAIYGVACDSVTSLFQAMLDRLESCILQIHDQNFGVLGMDAAMDNNASPYMEELQKCILHFRSEFLSRLLPSRNTTAPGTENICTRLVQSMASRVLVFFIRHASLVRPLSESGKLRMARDMAELELAVGQNLFPVEQLGAPYRALRAFRPLIFLETSQFASSPLLQDLPPNVILHHLYTRGPEELQSPLQRNKLTPLQYSLWLDSQGEDQIWKGIKATLDDYAANVRSRGDKEFSPVYPLLLQLGSSLTEKIQGSSKS >OIW04987 pep chromosome:LupAngTanjil_v1.0:LG09:8362409:8363808:-1 gene:TanjilG_24459 transcript:OIW04987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIVYFTSIVLSFDSYDREMSAYENVVGGKLKLKGKALDVKAGGVKKKKKNKRTHQDQVLQVTEHDEIPAGGSTEQAKDATDEEDIINDGSKLSGEGKTPHYDDHLTPAERRYIEQREKLDVNRLAKISNKSHRDRIQDFNQYLANMSEHYDIPKVGPG >OIW04982 pep chromosome:LupAngTanjil_v1.0:LG09:8410042:8417971:-1 gene:TanjilG_24454 transcript:OIW04982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLKSSSSSISRSRVMKKESNGPKVEEGLNPFKYDKFDPDAYVHNNCSLNDREIKQLCSYLVDLKKASAEEMRRSVYANYAAFIRTSKEISDLEGELSSIRNLLSTQAALIHGLAEGVNIDSLSISSSDGLSKDAISNSEFRETSDLDKWLVEFPDLLDVLLAERRVDEALAALDEGERVVFEAKERKSLNPPVLLSLQNSITERRQKLADQLAEAACQPSTRGAELRASISALKRLGDGPRAHSLLLNAHLQRYQYNMQNLRSSSTSYGGAYTAALAQLVFSAVAQAASDSLSIFGEEPAYTSELVMWATKQTEAFALLVKRHALASSAAAGGLRAAAECVQIALGHCSLLEARGLALCPVLLKLFRPSVEQALDANLKRISESTAALAAADDWLLTYPTVANRQTGRLSSISVGNTTAFQHKLTSSAHRFNLMVQDFFEDVGPLLSMQLGGQALEGLFHVFNSYVNMLVKALPGSMEEEATFDDSGTSIVRMAESEAQQIALLANASLLSDELLPRAAMKLSTINQAAYKDDNRRASDRHNRHPEQREWRRRLAGSVDRLKDTFCRQHALDLIFTEEGDSHLTADMYINKDGNADEVEWLPSLIFQELFIKLNRMSNIAADMFVGRERFATLLLMRLTETVILWLSEDQTFWDDIEEGPKPLGPLGLQQFYLDMKFVVSFASQGRYLSRNLQRIVNEIISKAMSAFSTTGLDPYSELPEDEWFNEICQDAMERLSGKPKEINGEREVNSPTASVSAQSISSVRSHSSS >OIW04804 pep chromosome:LupAngTanjil_v1.0:LG09:12555536:12557148:1 gene:TanjilG_23702 transcript:OIW04804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFTGTLDKCKACDKTVYVVDLLTLEGIPYHKSCFKCTHCNGVLTMNTYSSMDGVLYCRTHFEQLYKESGNFSKNFQNGKSSERHHDLNRTPSKVSSMFSGTLDKCSVCTKTVYPLEKMTLEGECFHMNCFRCAHGGCHLTHSSYAALDGVLYCRVHFSQLFMEKGNYSHVLQAAAHRRNGSSTPPETIEFPSPEPQPEDKKEEQEEEKESS >OIW05269 pep chromosome:LupAngTanjil_v1.0:LG09:3530709:3533278:1 gene:TanjilG_03658 transcript:OIW05269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNLNCSIEGNKKECRGALIVLEGLDRSGKSSQCSRLVSYLEGQGIHAELWRFPDRTTNVGQMLSAYLTNASQLDDHTIHLLFSANRWEKRSLMENKLKTGTTLIVDRYSYSGVAFSAAKGLDIQWCKAPEIGLLAPDLVAYLDISPEKAAERGGYGNERYEKLEFQKKVAESYRALHDVSWKVVDACQPIEDVEKELQEVVVDCVTECHKGKPISTLWYQ >OIW05173 pep chromosome:LupAngTanjil_v1.0:LG09:5446710:5447945:-1 gene:TanjilG_19804 transcript:OIW05173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMSDEIDVPPFFLCPISLEIMKDPVTISTGITYDRESIEKWLFTEKNKTCPITKQPFSDSIDLTPNHTLRRLIQTWCTMNASHGIERIPTPKPQVNKIQITKILKDAYHSPLLLIKSLSRLKSIASGSEANKRCMEAAGVVEFLASVVISNIHNNDSATSAEDEALSILHDLHVSEAGLKILLGFKNGEFIESLTRVMQKSIYESRVYALFLLKSMSEVAEPVHLFHIRTELFMELAHILKDQISHKVSKATLQTLIELCPWGRSRIKAVESGAVSILIELLLDCKERKPCEMMLVLLELLCQCAEGRAELLSHGAGLAIVSKKILRVSTMSNDRAVRILLSVSRFSATPSVVQEMLKIGVVTKLCLMLQVDSGNKAKEKAMEILKLHGRVWRDSPCIPNNLISSYPAYV >OIW04777 pep chromosome:LupAngTanjil_v1.0:LG09:14383819:14385371:-1 gene:TanjilG_08660 transcript:OIW04777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGSTSSELPPGFRFHPTDEELIVHYLCNQATSKPCPASIIPEVDIYKFDPWELPDKTDFGEKEWYFFTPRDRKYPNGVRPNRATVSGYWKATGTDKSIYSESKHIGVKKALVFYKGRPPKGVKTDWIMHEYRLVGSRRQTNKQFGSMRLDDWVLCRIYKKKNMGKSLEQKEEYPIVQINLTGENDHDTKQEMLKFPRTNSLANLLDMDYLGPISQILYDGSYNSTFDYQINTANVGVDHFVKPQMVEFTNSYEGDSGKYQVKENSTTNPYQFVNQLYDQTKQNQ >OIW05450 pep chromosome:LupAngTanjil_v1.0:LG09:720639:724012:1 gene:TanjilG_12041 transcript:OIW05450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKQRKVTLVCFVSFFLFWSSSNALLSPKGVNFEVQALMGIKYGLKDPHGVLDNWDGNAVDPCSWTVITCSSENLVIGLGIPSQSLYGTLSSSIGNLTNLQIVLLQNNNITGPIPSELGKLSKLQTLDISNNFFSGEIPSSLGHLRSLQYMRLNNNSLVGACPESVANMTQLAFLDLSYNNISGPVPRILSKSFNIVGNPLVCATGKEPNCHGLTLMPMSMNLTNPKDALPLGAKKIHKTAITFGLSLGCLCLIVLGFGLLIWWRHKHNQQAFFDVKDRHHEEIYLGNLKRFQFRELQIATHNFSSKHILGKGGFGNVYKGVLSDGTLVAVKRLKDGNAAGGEIQFQTEVEMISLAVHRNLLRLYGFCMTPTERLLVYPYMSNGSVASRLKGKPVLDWGTRKQIALGAARGLLYLHEQCDPKIIHRDVKAANILLDDYCEAVVGDFGLAKLLDHEDSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELITGQRALEFGKSANQKGAMLDLVKKIHLEKKLELLVDKDLKSNYDRIELEEMVQVALLCTQYLPGHRPKMSEVVRMLEGDGLAERWEASQRAYTTKCKANESSLSDRYSDLTDDSLLLFQDMELSGPR >OIW04817 pep chromosome:LupAngTanjil_v1.0:LG09:12280618:12283469:-1 gene:TanjilG_13665 transcript:OIW04817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSRLEEGKDPAANLRTSPSRPFPFRLLQFFLLFLVIGLGASILSMYMIRHFGIHNVESAYRPCFQQPSATIESWVRPTSGLMHTMNDSELLWRASFVPRIKTYPFKRLPKMAFMFLTKGPLPLAPLWEKFFAGHEGLYSIYVHSLPSYNADFPPSSVFYERQIPSQVTEWGMMSMCDAERRLLANALLDISNEWFLLFSESCIPLQNFSIVHRYLSRSRYSFIGAIDEPGPYGRGRYDENMAPEINSTDWRKGSQWFEINRELAIRVVGDTTYYPKLQEFCTPHKCYVDEHYFQTMLTIDTPHLLANRSLTYVDWSRGGAHPATFGKDDIKEELFKTILQEQNCLYNNQPSSLCFLFARKFAPSALDPLLKIAPKVLGI >OIW05280 pep chromosome:LupAngTanjil_v1.0:LG09:3413880:3417041:-1 gene:TanjilG_03669 transcript:OIW05280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSSASLKKKGSKKSSKAKASSKRKSGKYKSKKVSRHEVSLSSSDYDDSKSMDTSMSSSSEDSHTKRSRSHSRKYVKGRKKVRRRSHSRDSSEDSLYARKRKKMKRKSEHEVRKKPYQKKKKVKREASVSPRGSGSRSCSTCQGGNSGSDDDSQYESFGGRSERKEKDKRKLKRGRSKNEKSSRYRTRSCSPCTPYNGSCAEVAEDKYVGENNSRRLRSVITVTRAAEESDELYRNETNEEIVDDHDYPCRSNDSKDGGTKRESGHHILPSSEEKLRDEDEIGDLSADFNFMEPKTVDRSYDDRSNLQAYSAEASESMKNETNDTSGANLDNDDLESILRQQALENLKRFRGEIQSTAKPSDQKYKNVNQKKQLITDKHEQVQGKSIVNNADIGTKFNKLTPVEETNFSVSRKNLNAYPTNNEMISNMDKDISSSAMHHLACPQGKVTDAGNLSETVTKSNNCRTNNPKLTKQESRHLLHSHSTLKQTPVSRLPQEQLIVAETTKDTGISAHVVSHSSNDNGNDIRGMSSGVPKHSIHSPKFRHNNLNKGKDEVKEQSHFEPKQTSASNKPLSEKFPMSEDDVEKNAVNTTQAAIQSIINSGSDVGESNNSAIPKSCIESSSVENNSGNLQDESNPGSQFEQKKMTVMRGGELVQVSYKVYIPKKAPALSRRQLKR >OIW05221 pep chromosome:LupAngTanjil_v1.0:LG09:4317049:4317462:1 gene:TanjilG_21206 transcript:OIW05221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMPRTEEKVGELVKQFSGQGKIMLLGADDMDIFKGISLKLLAMEQLLIRHPECQEKVVLVQIANPGHWDIASKACVALWYQQLMMM >OIW04162 pep chromosome:LupAngTanjil_v1.0:LG09:20970544:20976284:1 gene:TanjilG_00722 transcript:OIW04162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLFETNGNGNGNGIDAEDSLPPPPPVVPQDVVPVKAEQQPPPPEPAKKKPSRVPMARRGTGSKGTKLQLLTNHFKVNVNNNDGHFFQYSVSLAYEDGRPVEGKGVGRKVIDKVQETYDSELNGKDFAYDGEKTLFTIGPLAGNKLEFQVVLEDVTSNRNNGNCSPENGTQNESDKKRMRRPYRAKTFKVELSFASKIPMQAIANALRGQESENFQEAIRVLDIILRQHASKQGCLLVRQSYFHNDPKNFADVGGGVLGCRDVSTTMIITPGPVVDFLIANQNVRDPFSLDWAKAKRTLKNLRIKTSPSNQEFKITGLSELPCKEQMFTLKTKGGADDATEEVTVYDYFVNHRNIQLRYSADLPCINVGKPKRPTYFPLELCSLVSLQRYTKALSTLQRASLVEKSRQKPQERMRVLSDALKISNYGAEPMLRNCGIQINPSFTQVDGRVLQAPRLKFGNGEDFNPRNGRWNFNNKKIVDPVKIERWAVVNFSARCNVQALVRDLIKCGNMKGIMVEDPFDVFEENTQFRRAPPVVRVEKMFEHMMSKLPGAPKFLLCLLPERKNSDLYGPWKKKNLADFGIVTQCIAPTKVNDQYLTNVLLKINAKLGGMNSLLGVEHSPSIPIISKAPTLILGMDVSHGSPGQSDIPSIAAVVSSRHWPLISKYRACVRTQSPKVEMIDNLFKKVSDKEDEGIIRELLIDFYTSSGKRKPDNIIIFRDGVSESQFNQVLNQELDQIIQACKFLDENWDPKFLVIVAQKNHHTKFFQPGSPDNVPPGTVIDNKVCHPRNYDFYLCAHAGMIGTTRPTHYHVLLDEIGFSTDDLQELVHSLSYVYQRSTTAISVVAPICYAHLAATQMGQFMKFEDKSETSSSHGGLTAAGAVPVPQLPKLEEKVCNSMFFC >OIW04912 pep chromosome:LupAngTanjil_v1.0:LG09:9654031:9654411:-1 gene:TanjilG_32020 transcript:OIW04912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVLRSGIRKGRSGAKKREDNQELNNQNQNPVVEVEAIATRTRRRRAAAAEAAAVPNNEERKQQQVAVNAKVVVSEKEEEELGGKEKKEEEGEEKKMDAVDSGGKANAGEDEGNAPPLPEKVLYCL >OIW05217 pep chromosome:LupAngTanjil_v1.0:LG09:5070949:5075144:-1 gene:TanjilG_14770 transcript:OIW05217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLQRHLLLSFIIIIVSCGSCYCSKVQEGPLSSIYVHDSPSIDDDYGWIFKNFIKRTIDFFSSNEVVKVGYTKPSLRTINVNDFGAKGNGIDDNSVAYMEAWQAACSSGDVVLVVPEGNYLLKPIRFSGPCKSNITLQISGTLEASDDRSDYSGYFRRYWLIFDSVEKLSVNGGGTLNGNGNMWWENSCKRNKKKHCKDAPTAVTFYKCRDLTVEDLTIVNAQQMHVRIQDSVNVNASGLKVIAPESSPNTDGIHVTNSQIVQISSSIIGTGDDCISIVDGTSDLIATDITCGPGHGISIGSLGARGAKEFVTGIKVNGAKLSGTKNGVRIKTWQGGSGMASNIEFRNIEMDNVTNPIIIDQNYCDKKEPCQKQKSAVQIKNVLYQNISGTSASDVAVKFDCSESFPCKEIIMQNIDLQGEEGKYVEASCNNVQLSYLGDVNPSCCS >OIW04736 pep chromosome:LupAngTanjil_v1.0:LG09:13632875:13635572:1 gene:TanjilG_08619 transcript:OIW04736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQPHSCSATTQSSITIPNHTFTPKFIPLSRVSSMPTFSVTLQPLSIRCRHSHLDEPKSFPSSPQAQRYSPPPPSASPASVGALPPRVFVGHSIYKGKAALTVSPKSPEFQSLESGAFKVSKEGSVLLQFAPAVDVQNKLDNIDENIFIPITKAELAVLSSLFNFIMPYLLGWHTFGNSIKPDNSSKVNSDNPRYGGDYEWNR >OIW05433 pep chromosome:LupAngTanjil_v1.0:LG09:1271043:1273435:1 gene:TanjilG_23259 transcript:OIW05433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIANLAPKSEKKRSRKNKAIVDENAPLLPKTHESDVGFDDFNGASFSGAVFNLSTTIIGAGIMALPATLRELGMVPGLIAIIFMALLTEKSIEFLIRFTRAGKSSSYGSLMGDSFGKYGKALVQLCVIVNNIGVLIVYMIIIGDVLSGTSASGEHHSGIFEGWFGVHWWTGRTFIVLFTTLAIFAPLSSFKRIDSLRFTSALSVALAVVFLVIAVGISIIKIISGGIGMPKLFPDVTDVASVLKLFTVVPVFVTAYICHYNVHTIDNELEDSSQIQGVVRTSLGLCSSVYIMISFFGFLLFGDGTLDDVLANFDTDLGIPFGSVLNDAVRLSYAAHLMLVFPVVFYPLRLNIDGLIFSKSKPLVLDNFRFGSLTVALIGVIFLGANFIPSIWDAFQFTGATAAVCLGFIFPAAIVLRDRYNITTKGDKILSVFMIVLAIFANAVAIYSDAYALLKQNKTSRE >OIW05452 pep chromosome:LupAngTanjil_v1.0:LG09:704974:706452:1 gene:TanjilG_12043 transcript:OIW05452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKGGKKKSSSKSFLFEAPLGYTIEDVRPYGGIKKFRSAAYSNMALTGSAIGFEGYEKRLEISFFEHGVFADPGAIGLRALSKDQLDEILKPAECTIVSSLSNDYVDSYVLSESSLFVYPFKVIIKTCGTTKLLLSIPAVLKLASALDIAVKSVRYTRGSFIFPEAQSFPHRSFSEEVAVLDSYFGNLGSGSQAYVMDDHDKSQIWHIYSASAKPKGSSEAVYGLEMCMTGLDKENASVFFKQNTCSAALMTEKSGINKILPQSAICDFEFDPCGYSMNGIEGSAISTIHVTPEDGFSYASFEAVGYDYENNSLAELVERVLACFHPAKFSVALHIDMHGANLVDKFPLDINGYYCGQRSNELLAEGSAVAYHTFFRADGCASPRSILKCCWSEDEIEEEMGEKI >OIW04585 pep chromosome:LupAngTanjil_v1.0:LG09:16594436:16600489:-1 gene:TanjilG_18062 transcript:OIW04585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLIQLVNKIQRACTALGDHGEESALPTLWDALPSIAVVGGQSSGKSSVLESIVGKDFLPRGSGIVTRRPLVLQLHKIDEGREYGEFMHLPKKKFTDFAALRQEIADETDRETGRSKGISSVPIHLSIYSPHVVNLTLVDLPGLTKVAVEGQPDSIVQDIENMVRAFIEKPNCIILAISPANQDIATSDAIKISREVDPKGERTFGVLTKIDLMDKGTDAVDMLEGRAYKLNFPWIGVVNRSQADINKNVDMIAARRRENEYFASTPEYRHLASRMGSVHLGKVLSKHLESVIKSRIPSLQSLISKTIIDLESELSRIGKPIAADTGGKLYVVMEICRTFDQIFKDHLDGIRPGGEKIYQVFDNQFPAALKRLQFDKHLSLDTVRKLITEADGYQPHLIAPEQGYRRLIESCLVSIRGPAEAAVDTELKQYPTLRVELGSAAVESLERMREESKKATLLLVDMESGYLTVEFFRKLPQDAEKGGNPTHSLFDRYNDAYLRRIATTVLSYVNMVCSGLRHTIPKSVVYCQVREAKRSLLDHFFTELGKREGKQLASLLNEDPAIMQRRTNLSKRLELYRSALSEIEAVAWDK >OIW05271 pep chromosome:LupAngTanjil_v1.0:LG09:3513910:3515705:1 gene:TanjilG_03660 transcript:OIW05271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVATLEEDKAMVTPEVKKDPISADGQPSNDIDQSETLPGSNVENSESETNKDLSDSGIQASKEVTASDTLTTSAEAGTLPNHESGNSEESPSNHPDNSEPIISNQPVNAEAVQNSQAVNSELQTSVERVVYVTQQSSEVVISETQQSNEVAVSETQQNNEMVVSEPQQSNEIVVSETQQSDEVVFSEIQQNKEVTVSETQQNNEMAASETQQNNEMVVSETQQSNEVVFSEAQQSNEVFVSETQQSNEVVLSETQQSNEVFVSEPQQSNEVFVSEPQQNDEVLLSETPQSNEVVVSETQQSNEVAMSEAQPSGEALMSEAQPNGEALMSETQPTDEVVVSETQPTDEVVVSETQPTDEVVVSETQQSNEVVVSESHQSNEMVVSEAHQTSGEVVVSETQISNEVPVSEFQPNNEVVVSEFQPNNEVDVSEIQQNNEVPTQPRNELVMSDTQPNDEANMPETQTCDDLVMSEAIPENELANSTTDPNNQHSHSETLHDNQFTNFHMIPEDQLPQLESLPHSEPPPSSELPTDDHLTDIKPLPHNHLPHYEIVPNNHQTSHNHLPHYEQL >OIW04134 pep chromosome:LupAngTanjil_v1.0:LG09:20769136:20769786:-1 gene:TanjilG_00694 transcript:OIW04134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHRVRNADPTTPNDAVPAPPPTQPTNPIQEPRFRGVRKRPWGRFAAEIRDPWKKARVWLGTFDSAEDAARAYDAAARSFRGPRAKTNFPFSSSNPSQIAIYNRGFENRRGHRFTEPVNRPTSSGMSSTVESFSGPRVPSSSIAIHHRRLVDPVSVDDCHSDCDSSSSVIDDEDCVLTSSFRQPMPFDLNLPPPDDNTGDGGDFTADELLATTLCL >OIW04669 pep chromosome:LupAngTanjil_v1.0:LG09:15735914:15736111:-1 gene:TanjilG_07804 transcript:OIW04669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHATSMKIVITVMVLVVAIIITLMGSAEGIRNAKGIGDLTGIPPPDCCLIPFCCDARNLSDITKP >OIW04686 pep chromosome:LupAngTanjil_v1.0:LG09:15079672:15080445:1 gene:TanjilG_00122 transcript:OIW04686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDVITEAAPPSRFLDEDLNNFTLPSPFLPSPFFLFSHSQSQSQSLKPTTFIISLTFPSPSIPPIASLILPEIPFSTASLHPSPPSAAVTLHSLSPHTLFASVNSPISPDRAHAVARVLIGDRIVPDSVLILDSIRPRNYRGRLSSDEAVAFRLESLAERKRGKGEKMLEGLEYYPSGSVVDGLAAAVLARCQVLGIRAGLCVSWPQFDASVSALLKGVLRNGALRGLDLDLIFSDEVLQSGKGRSKDHGFQSDLYI >OIW04655 pep chromosome:LupAngTanjil_v1.0:LG09:15564409:15565805:-1 gene:TanjilG_07790 transcript:OIW04655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTHSFSYISSSNGSSSNNAFFEADEVDDEASIFLSLGPPGQHNPKPMSSLNHSTTTLCQAQNPTICDDHSGITVALHIGLPSTTQNINPANTKPDYLTSTPLQGQYWIPSPAQILIGPTQFSCSVCNKMFNRFNNMQMHMWGHGSQYRKGAESLRGVKPASSMLRLPCYCCAEGCKNNIDHPRSRPLKDFRTLQTHYKRKHGAKPFGCRKCGKPFAVRGDWRTHEKNCGKLWFCICGSDFKHKRSLKDHVRAFGDGHAPHTVEGCGDQNLMGDEDLLLGDEDEDDDEEFDEDDTNNENGFHF >OIW04428 pep chromosome:LupAngTanjil_v1.0:LG09:19875859:19879977:1 gene:TanjilG_32620 transcript:OIW04428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSTPALKRTDSIADNMPDALRQSRYHMKRCFAKYLEKGRRIMKLHHLMEEMDKVIDDKNERNQVLEGLLGFILSSTQEAVVDPPHVAFGIRPNPGVWEFVKVSSEDLSVEAITSTEYLKFKERVYDEKWASDENAFEADFGAFDFPIPHLTLSSSIGKGLEFVSKFLTSRLSGEFAKKQAIVDYLLSLNHQGESLMINDTLSSAPKLQIALILADVFLSALPYDTTYQNFELRLKEWGFERGWGDTAGRVKEIMRTLSEVLQAPDPVNLETFFSRVPTVFNVVIFSVHGYFGQADVLGLPDTGGQVVYILDQVKALEAELLLRIKQQGLNVKPQILVVTRLIPDARGTKCHQELEPIIDTKHSHILRVPFQTEKGIDATAKIHDLMEGKPDLVIGNYTDGNLVASLMARKLGITQGTIAHALEKTKYEDSDVKWKELDPKYHFSCQFMADTVAMNASDFIIASTYQEIAGSKDRPGQYESHAAFTLPGICRVVSGINVFDPKFNIAAPGADQSVYFPYTEKDKRISQFHPAIEDLLYSKVDNNEHIGYLQERRKPIIFSMARFDVVKNLTGLVEWYGKNKRLRNLVNLVIVGGFFDPSKSKDREEMAEIKKMHSLIEKYQLKGQFRWIAAQTDRYRNGELYRCIADTKGAFVQPALYEAFGLTVIEAMNCGLPTFATNQGGPAEIIVDGVSGFHIDPLNGDESSNKLADFFEKCKVDPSYWNAISASGLQRINECYTWKIYANKLVNMGSIYTFWRQVNKEQKEGKQRYIQMFYNLIFKNLVKTVPVPSDEPPQPVDMQPSLKQPRTRHVTRPINYFIPPQTLLNGSLVHWVALSRENKLKLLKC >OIW05251 pep chromosome:LupAngTanjil_v1.0:LG09:3779852:3782919:-1 gene:TanjilG_03640 transcript:OIW05251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIAGGEHLSQTKLFRLWPFWSSGNVSSSSNSTQNLRQCNASSSSSSSKKVSSMAMSLLLPPRRRLRLDPSSYLYFPYEPGKQVRSAVRLKNKTKSHVAFKFQTTTPKSCYMRPPGGILAPGESLIATVFKFVEQPENNEKIQDLKTKAKFKTLSLKVKEGVDYVPELFDEQKDQVVVEKILRVIFIDAERRSPILEKLEKQLAEADAELEARKKPPAEAGPRVAVEGLVIDEWKERREKYLARQQVQAVDSV >OIW05367 pep chromosome:LupAngTanjil_v1.0:LG09:1947281:1949746:1 gene:TanjilG_28832 transcript:OIW05367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSKCGLLPEARRLFRESNNRNIITWNTIIHALVDSGQVDQARHLFDEMPVRDSVSWTTMISGYCRNGYPEYSIETFASMLRDCSDGNYDVYSFTSVMKACGCLGYSDLALQLHGHVIKKFDLGSECCIQNAVVDMYVKCGVVNLAESVFFSIEKPSLFCWNSMIYGYSKFYGARKALHLFARMPERDDISWNTLISVFSQHDLGVQCLSMFVEMWNQGFRPNFMTYGSVLSACASISDLEWGKHLHARILRMEHSIDAYLGSGLVDMYAKCGCLGLARRLFDGLGERNEVSWTCLITGVAQCGLEEEALALLNQMRQDSVVLDDFTLATVLGVCSGESYATVGELLHGYAMKSGMDSSVPVGNAIITMYAKCGNTDKASLAFRSMPLRDTISWTAMIAAFSQNEDIHRAREYFDTMPERNVITWNSMLSTYVQHGFSEEGLKLYVLMRREGVEPDWITYATSVRACADLAVIKLGIQVVSHAIKYGLSSDVSVANSIVTMYSRCGQIEEAQKVFDSIYVKNLISWNAMMAAYAQNGLGKQLIETFEDMLKTECKPDHISYISVLSGCSHMGLVVEGKHYFDSMIKVFGISPTREHFACMVDMLARAGLLDQAKNLIDGMPFKPNATIWGALLGGCRIHNDSRLAETAVKNLMELDMEDSGGYVLLANIYAECGELQGVANMRKLIKDKGIQKSPGCSWIEVDNRVHVFTVDDINHPQMKEIYMKLEEMMKKIEDTGRYINVVSSAHRSQQYHSEKLAFAFGLLNVPSWKPINVMKNLRVCHDCHLVIKLLSFVTSRELIMRDAYRFHHFKDGFCSCGDYW >OIW04236 pep chromosome:LupAngTanjil_v1.0:LG09:21463162:21464820:1 gene:TanjilG_00796 transcript:OIW04236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNSNHFMRHVYKTPSLEERGKGTLSKDIVEVDKLGLENRKWYWNIGFGDGDSRGSNGIGGGNGRSNGGMGNSNGGMGNYNGGSGSGGGGQGGFYNGGGGQGGFYNGGGGRSTGGGGSSSGGGGYPWSNDDWGDEYLYDDYDFIPEPFNYKDASVMRHHTMKKFSEFDRESQAKKIGMKNGGKIHYDGVPKMEDIGEEKRN >OIW05296 pep chromosome:LupAngTanjil_v1.0:LG09:3215308:3216702:1 gene:TanjilG_03685 transcript:OIW05296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESVKPSAVTPRKKLARGFTKVLHLRALIGIAQVDGLNNADENLKDEGNMDKTTINWTLDTNDEELQEKIATEALIAKLFASTSTLKAAYAQLQFAQAPYDPVGVQAADQVMVSELKHLSELKQCYLKKQFDPSLEIAIFAAESKELRSVVKTYEITGKKLESQARLKESEAMFLREKLEEAIRQNKSIEKKLKQSGQLSVLDNLHISGLSHSHFSTVLRHAIRSIRSFVRLIVHEMMSASWDIEAAVDAIEHDVVYWIEDHKCYAIESFVCKEMFDSFHFPNFSLPNESLPDKSKQQQWFFGRFNELRSMKAIEFLAEKPRSSFAKFCRVKYLKLVHSKMESSFFDNLSQRNILSAGGFPGTTFFASFAEMAKRVWLLHCLAFSFEAHASIFQVEKGCRFSDVYMESVNDEMFLHSDQTVESEPYVAFTVVPGFRIGKTVIQCQVYLSQHQTKVKKFTCSKLR >OIW04541 pep chromosome:LupAngTanjil_v1.0:LG09:17634953:17635841:1 gene:TanjilG_13923 transcript:OIW04541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPKFMRPFKMENQEVKLVNKRRKHVSSIESTTMDIGLRFFTQITNSSSKSNSNVVVKSAVRLKTNQTTPLEFFCFLKTCNLCNKQLSPDKDIYMYRGDEGFCSVECRNRQIVLDDMRELESSTKQMVACYNRQCCNDARRETRLILEDLRMQRLKSKPIPCNQNFWL >OIW05426 pep chromosome:LupAngTanjil_v1.0:LG09:1347811:1351861:-1 gene:TanjilG_23252 transcript:OIW05426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNELVSTWCNLNSSVPSSYVHPEDKRPANSIINTEEKIPVIDFGGHDRAHIIRNIISSAENYGFFQVINHGVSKELIDNTLNIFKEFHAMPVDERVSETSKDPNGSCKLYTGSGRYCSDVARYWKDSLQHPCPPSGEFMQYWPEKPLGYREIVGKYTQELSTLGLKILELISEGLGLDPEYFNGDFTAKPVVISHHYPPCPEPGLTLGASSHKDPNILTILLQQEGIIGLQVFKDGAWIPVQPIPGAFVVNMGFMLQIITNGRLIGALHRVVTNSTTSRHTIAYFINPTEESIVEPAKSVISSTSPPKYRPMTFGELKWNFMNKGPSFAAELGDDIKSS >OIW04283 pep chromosome:LupAngTanjil_v1.0:LG09:21698633:21701427:-1 gene:TanjilG_00843 transcript:OIW04283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKDVMKGLFKHKTRSPVELVHHAHQLLLFLDTNTSSRENKREEKLSELSKTILEIRTILYGNGASEPNPDACSQLTREFFKEDTFRLLIISLPNLKLGPRQDATHVIANLQRQRVNSKLIASHYLENNIDLVDILMQGYEKEGDVALTYGAVARECIRHQSVARHVLESEHMKKFFEYVQIPNFEVASDAGATFKVEYAYDTTSFNFHSISFQVLMVELLTRHKSTVAEFLSKNYDWFFKEYNSLLESTSYFTRRRAVKLLGDMLLDRSNSAVMVRYVSSLDNMVILMNLLRDSNKTIQFETFNVFKLFVANQNKPPEVISILVTNKSKLLQFLSNFNSEKADEQFQADRRQVISEIITVGQEDRPCTSSDDCEVQC >OIW04787 pep chromosome:LupAngTanjil_v1.0:LG09:13200016:13202872:-1 gene:TanjilG_11089 transcript:OIW04787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWYQEVSKLKAKYESLQRTQRHLLGEDLGPLSIKELQNLEKQLEGALAQARQRKTQIMIEQMEDLRRKERHLGDMNKLLRLKLEAEGYNFKAMENLWSSTSGVGNDSFPFQPSETNPMECQPEPFLQIGYQQYFQGETSNVNVPKSMACETNFMQGWVL >OIW04467 pep chromosome:LupAngTanjil_v1.0:LG09:18478017:18479000:-1 gene:TanjilG_01640 transcript:OIW04467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKMNFFNNSTQLDSDPFRVELMEVLSPFVKTPSTTTTPSLISPPSSISYLPSFPTQPNFYTEGCPTMMTHLFDTGLSNSYNFIGFEQPSSVFGLNNLTPYQFNQIQAHTHHNNHNIMSNSNTLSFLSPKPVPMKQVGLPPKPTKLYRGVRQRHWGKWVAEIRLPKNRTRLWLGTFDTAEEAALAYDKAAYKLRGDFARLNFPNLKHQGSCVGGAFGEYKPLHSSVYAKLDAICDDLQKQVKPEKKPVKRSKVSSKEEVQPQLQNNNNCKEETLLSTVVTDCEGSDGSSPLSDLTFDDFTEPQWETPSENFNLMKFPSYEIDWDSL >OIW05324 pep chromosome:LupAngTanjil_v1.0:LG09:2606205:2608103:-1 gene:TanjilG_28789 transcript:OIW05324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVEAHHLNLLSPQLININREMMNPIDTNINLYNTTQINYPSVLPLSGTTTTTENVFRPPYNTLIGANSFPQKTTMKSDSSLTYNVPLSRKRSRENSNIINYPYSSYTTTTQNHKKSNCSSFSFLGEDLSLHFQQQQLDINNIIAERLEKVRIEIEEKRKGQLRKFMEAIEVGVMKRLKTKEDEIEKIGKLNWALEEKIKSLCIENQIWRNLAQTNEATANALRNELEQVLIHRAGGDENETTVCPGGAAAALMDDASSCCGSTDGDNKKKDSEGVWRTIMGCAGMKDKEGSGKGIIGKNDDNMRLCKNCGKEESCVLILPCSLLQQF >OIW04479 pep chromosome:LupAngTanjil_v1.0:LG09:18350242:18351353:1 gene:TanjilG_01652 transcript:OIW04479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRPLNPNAQPFYPPNTLHNQHVSAESTNQNITRSGRNRAKYEWRMKGTRPTTSKGKLPYNDGCATRGNVIPFPTTIEKSSITTVMIRNIPNQFTFCDLLKILDEHCFELNKNAENVAAYSKFDFLYLPMDFRKHAIEKKLSNLGYAFVNFTSPSAAFKFYMRFNGFVWNVTTNRKTCEINAAQYQGVEALIRKFREKVFRCKRSDFLPFVFWEHRDGFNSQVVGTTVGKHIWGLPRRT >OIW04093 pep chromosome:LupAngTanjil_v1.0:LG09:20478893:20484143:-1 gene:TanjilG_00653 transcript:OIW04093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKEQDVSLGANKFPERQPLGIAAQSQDEPKDYQEPPPAPFFEPSELTSWSFYRAGIAEFVATFLFLYITILTVFGVQRSPTKCQSVGIQGIAWAFGGMIFALVYCTAGISGGHINPAVTFGLFLARKLSLTRAVFYIVMQVLGAIVGAGVVKGFEGKERYAQYHGGANFVNSGYTKGDGLGAEIVGTFVLVYTVFSATDAKRSARDSHVPILAPLPIGFAVFLVHLATIPITGTGINPARSLGAAIIFNKDLAWDDHWIFWVGPFIGAALAALYHQVVIRAIPFKSKRPDQAERVIGTLLGSVLTDGTLDIRNSYAVPHNESIDQVALDIEYHHNMLLSHQKVNPKEVIVGWYSTGLGVTGGSALIHEFYSREVSNPIHLTVDTGFLNGEGTIKAYVSNNLSLGDRQIAAQFQEIPLDLRMVEAERMGFDTLKATAIDKIPSDLEGMETSMQHLLALIDDIYKYVDDVVEGRSDPDNRIGRFISDAVGSLPKLPPSAFDKLVNDSLQDHLLLLYLSSITRTQLSLAEKLNTLAQIL >OIW04239 pep chromosome:LupAngTanjil_v1.0:LG09:21476024:21492588:1 gene:TanjilG_00799 transcript:OIW04239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFRARDYNLHLQSHALPRVPAHSHPLSSPSSLSLSQVIVVHHENNDFFDPLRRNEHDMKTILHDAEKFSKDSDHQPVKEWTSFRRLLMQRFPVSKMVSISSMPDVLLRSGKSQEKSSISMHLEELDGSQNLEDGGAKVITWQEYVSRLHELKDEINQSWLAEDRVTSLRLSIKVAKLLMDTSVSEFYPTLFVLVTDIMDMLGDLVWQRIKRKAEFSEEGTLLCNLPENFGAIDICADAKETCNNWFIKIGSVHELLPRIYLELAILPCWRFLLDQPADSLRRLVMMTRGLGDPVASAYCRLYMAHCAQKLPAHDIGYLVTCVNDITIILMQMLSAKESTHGNVQSFKKLQVSQMEPTMEYIMKCIFNGLSQRQVSEVLAELGFMKSQQDFPRVSIVLHHLLKELPIKVVCSNALQILHLIEFSKDSSFDQHMNYRLVGFRLYEMKPSVDVLNAVLDKVIQVITQYESLDEYLKVVDAYADLILQNQMDNILSTILEGISQRARNKGVTEDEMPSLQSLLVKLLSHFKCLEDVFSLNCFPEILDVMRGNSRNVVFLHILNMATRKGHISDATSIQLLFEISQTLHDNLEFMNVKDDDRLVARSITRLVHMVDYGAEMERHLAFLVDCRGAFGKLNELKEALVHSSNYLAIQALKCPKKHPSCFFKSCITFSEVTIPSISAQGRQFDLYLETAEVAFLGGLISHSDGLIGSAIGYLCTINILDAFRMPSDVEGLVSSIRKLCGFLVVVPGNFTLPATYVPNNLFTLISSQSCYEPKMRAKIFSAIILLLTTLSQKTFPYHANIQIPSNDTLYYGDSSYKQELVSLSKLVLENLISAVQQEGSKAVRGTIALEACNCIASSFKASEELLSVCQMLIETAKSCLSPKDKYLHSTIQFVVGRHAMLFDDDSLAAFVNSPSALVDWNSLSIDRYDVRHLLPSPLPPRRHLHRPSFELDLDYQRYLDLPSSSPNQQPQDDGSDPVISDGDGYRAVSFKYANPSDLAETRDNDTESGYRPPFPVPESLLHSLPPNEKVHQIISRTAIFVSKHGSQSEIVLRVKQGDNPTFGFLMPDHHLHAYFRFLVDHQELLKGDKDDTIGNPSVDKNKSQGLEQTGGALSLLGSVYGSGEDEDGTTDNTHDFKRNDCEGAGDAVSAHDSAGVEQAESSPDAAKKDGSISRSPISSKENVPVIKRNQPISTVKAAIAARAKTTDALDLVSNVVDKSQISVPDKVKIELPVVEPPSNIKGVIEKVVEFISKNGKQFEAVLAEQDRAHGRFPFLVPSNRYHTYYLKVLQTSEDSKLPGKGLRRHNSAGRSGDNNSTAHEESDNSSYGSVGSDIAHDMDRKEKFKMIVGKSKKDCQDATPKDIQTQNTVCMDAAAAAAILQAATRGIRNPNLELFSKTSSGSSQGLGSYVKSPNLNAEDSASVPVDKAIREKLNISVAGEADSSEANMTKEKIKAERLKRAKMFAAMIKSKAEPFKSEVPRGLSVEPPGSGLSSSDAETANLVGEERKGSSVPLDVDNSDKSQKSEEKLSVDTSNKSHKYKENLAVDNDERRSKRKYRSRSSRHEEEEEEEEEEEENREEKRDHKRSKKKHRSHRSSHRSRDRNRHKRRHSSSKDKYSYEVTKHDSSSDDEHHHSRHHHKYDSSSDEKHHTSQRRHRENSISDNEHRHSRHHHKHYSSSDDEHRHRSRNVKHKIRSHYERETDLEEGEINMESDKSKASEVGRGTREASIGLPKSNLNASAPSQSPETADVSDELRAKIRAMLMANR >OIW04836 pep chromosome:LupAngTanjil_v1.0:LG09:11655487:11672401:-1 gene:TanjilG_13684 transcript:OIW04836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWGTIANLKENLNKIALDVHDGDDDEILLRMYGGSTTNGDHNSAVSDRRNSNGFAHSKSPLANGIDHSEVEQYKAEIKRLQASEAEIKALSVNYAALLKEKEDQIVRLNKENGSLKQNMEATNAALRVSKAEGSIASTNGTYSVKGSSDQSPYRQNKITTQSKMEFKHSNLQGKDKEIANLVDGNNGPTVDAYHRTEIQKLKLELELEHDQLTKIQLKFQEEQKLNKSFQEELKILKLERDKTSKVVSEVRNELNEKVSEIKRLQLELARRENEEAVEAVDNLKRLIKTLEKENTNLKMEKKEVEAALESSRKPFTDKMLSNASEIQNNDSSRTSDMPDPSQSFPGKEEMERSIQKLSKDLMETQRERDKVVQELTRLKQHLLEKESEESEKMDEDSKIIEELRDSNDYLRAQISHIERTLKQTIASRDELKMANSNEILKSREVIDDLNKKVTNCISTIDAKNIELLNLQTALGQYYAEIEAKEHLEGEFARAREETAKLSQLLKDADHKADVSKREKEEILVKLSQSEKAQTEWRSRVSKLEEDNAKLRRAVEQSMTRLNRMSVDSDYLVDRRIVIKLLVTYFQRNHNREVLDLMVRMLGFSDEDKQRIGGAQQGAGKGVVRGVLGLPGRLVGGMLGGGGSTEAAANTGSDNQSFADLWVDFLLKETEEREKRESTTNTGKSTEDSRDKSPNTISASPPSSNQRFSIGAASAFPITSTNQNTSPPPHSHFQHSEHFGSEFSTVPLADGKTTGSNLFPRY >OIW04689 pep chromosome:LupAngTanjil_v1.0:LG09:15054966:15059295:1 gene:TanjilG_00125 transcript:OIW04689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICSPSYISAFSFTNPSLPKPRTSLSVPNSRVAKDLYFNHDGLVTKKLLAGVDMVAKLVGVTLGPKGRNVVLHNKYGPPKIVNDGETVLKEIELEDPLENVGVKLVRQAGAKTNDLAGDGSTTSVILAHGLITEGIKVITAGMNPVQIARGIEKTATALVSELTLMSREVEDHELTDVAAVSAGNDYVVGNMISEALHQVGKGGVVTIEKGSSIESSLEIVEGMQFDRGYLSPYFVTDRRKMTVELNNCKLLLVDKKITNPKEMFNLLNSAAKEKFPLVIVAEGIEQEALAPVIKNKLLGVLKVAAIKAPEFGDRKTHYLEDIAILTGGTVIRDDMGLTLEKARNDVLGSATKVVITKNSTLIVTDRITRAAVEKRVYQLRRLVENTEENFQKKILNERIARLSGGIAILKIVHSHFSTLNIKSQVGAQTQVELRDKQLRIEDALNATKAAIEEGVVVGGGCSLLRLSKKVDGIKRLLDNEEQKIGAEIFRRALSYPARLIAKNAGANGNVVIDKVLSDENVNFGYNASRDCYEDLMEAGIMDPTKVVRCCIEHAASVTKAFLTSNAVVIDRMELNPIPRRKRPMTTPGTQIPGMSKDHSLIQAIKGNQLRSTRNTRLT >OIW04167 pep chromosome:LupAngTanjil_v1.0:LG09:20998792:21000217:-1 gene:TanjilG_00727 transcript:OIW04167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQLTDEQISEFKEAFSLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMARKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQINYEEFVKVMMAK >OIW05304 pep chromosome:LupAngTanjil_v1.0:LG09:3074869:3081926:-1 gene:TanjilG_03693 transcript:OIW05304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQQLPRVTGTTTGTVVQDAFGGAMTLIQSSPATWKSALLSNLFIFIVGSPILVTGLSLNGIASAFLLGTLIWRAFGPSGFLLVATYFVIGTAATKVKMAQKVAQGVAEKRGGRRGPGSVIGSSAAGCVCAFLTIFGVGGDAFSQLWRLGFVASFCTKLSDTVSSEIGKAYGKTTYLVTTFKVVPRGTEGAVSVEGTLAGFFAAIVLAVVACLLGEVGRYEAVICVLASQIANLGESIIGAALQEKEGFRWLNNDAVNIINISMGSVIAVLMQQALQSWHI >OIW04911 pep chromosome:LupAngTanjil_v1.0:LG09:9866494:9869090:-1 gene:TanjilG_23914 transcript:OIW04911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVTNILHMNGGIGKCSYANNSILQHIREEAIIRVYNSIHPRCLSIAELGCSSGPNAFIVVSEIIKIVENLCKEMNHKSPEYKVFMNDLPENDFNNIFKSSSTFEEKLSNEMKSQIGPCYFYAAPTSFYGRIFPNQTLHFIHSSSSLHWLSKVPEGIEKNKGNIYISNTSPLNVLKAYYEQFQKDFSLFLKCRAEELVEQGQMFLTLLGRRSEHQSTKECCYIWELMAKALNDMVLEGKIKEKEIDTFNIPYYTPSPSEVKLEVLKEGSFTINLLEVSEVNLNAYDTWNTLEYESQSCESFNDGAYNVAQCIRAGTEPLLVSHFGEAIIEEVFSRYQEILNDHMSNEKIVFIYVTILLTRK >OIW04636 pep chromosome:LupAngTanjil_v1.0:LG09:15236714:15237817:1 gene:TanjilG_07771 transcript:OIW04636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEKNNGVEAYNNTYNMDMDSYYSTYEVQCKKHPSSSSVGICSYCLKERLVKLVCSDCGEQRLSSCSCSDYISSNRNSCTVEVGRVSFLIENEKNETPNVVQHLNSNKCKLQDKEEDHQVMMLRRSNSNCVEIKKKGFWKIVKLFRKNKKKKNEECGRSVVGFDEKSETWMDHRVVSRSRSLCSFKGGVIFGSDDGGDSVLSCARSSTSAARSSSVNMLEPGRRSGYSEAEPRKSGFDGEKREYENNNRLVFSPRESDFKGMDDSSFIDLKFDYSSESTKHEFSAAKMGDTLSAFGSTRGGNFIVHDGGGGSYGNGVLTSGGSCRITVNDRDVKRGRKSMKGWRWIFKHHSDLGNSKKRYQDLISKT >OIW04275 pep chromosome:LupAngTanjil_v1.0:LG09:21665449:21667551:1 gene:TanjilG_00835 transcript:OIW04275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEENKEEALKAIENAEKRFAQRDYVGAKNYALKAKTLFPGLEGISQMVATFEVYIASEVAHNGELDYYSILRLKPSADTQAVKRQYKKLAVLLHPDKNKCVGADGAFKLVSEAWAWLSDNVMRSSYDIRRNAQFDGIYQKNMSSIHAKGYDKCSNSSISHGRPDTFWTICTSCKVQYEYLRKYVNKKLSCKNCRGTFVAVETGAAPANGSFPYCPWTYMQGNGYESHSYDGVTFLPTSSTYINGNGVTGYHSGHGYEYVSDVSFQWNSTGLVNQNGSTTVSADSVYQANGNAKRGRPKVKSEVDGRHHMADTVVDFNSVVPPSSNEPAEVKLSRPDKKRKVVMGVGFRNGSEDKGSKCSSDSIVANGIGRGQKLSYTSEVQTKQCNMAPAFDARKLLIEKARKEIRKKLEEMKLSYNAAATAANEKEKSQAEDGQVRRETCRRTILNVPGHQPKHGKTAPVSITVPDPDFHDFDKDRSEECFKPKQIWALYDEEDGMPRLYCLIREIISVNPFKIHISYLGSKTYGEFDSVNWIDSGFTKCCGNFRAANPDFVEQVNIFSHVLSKEKAGRGGCIRIYPRSGDIWAVYQNWSQDWARSTPDEVRHQYEMVEVLGDYSEELGVCVSPLIKLAGFKTVYKANTDKSAIQWIPRREMLRFSHQVPSWLLKGTEASNLPERCWDLDPAATPDELLHAAPTEANGL >OIW05038 pep chromosome:LupAngTanjil_v1.0:LG09:7952187:7956890:1 gene:TanjilG_18637 transcript:OIW05038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQFRRCQRILILSLLSLSVIAPLVFVSRRFKVFTPHGINNLYSFSISYYCYCYSLCFNFVSVISGRREFLDDLSTVTYRTDPLKLNAIEQEGAGELEEPKQVVYEEKDFGSKSRYSDEKINDSVESRHEVHRNIFLERNESQHDKGQDQVAQHKGLSSMDGDKKKVNTTAMHNQNMHTRSQRVADGNIEMVDKESGKKKTQHQISRSWPRRVRNQKVGEIRDQIIRARAYLGFIPASRNSPLAKELKLRIKEMERAVREATKDSDLSRSALQRMRHMEASLSKAHRAFPDCTAMATKLRAMNHNAEEQVRSQRYQTSYLVHLAARTTPKGFHCLSMRLTTDYFSLRPEERKFPNENKIHDPKLHHYAVFSDNILACAVVVNSTVFTTKEPEKLVLHVLTNSLNFPAISMWFLLNPPEKATIHIQNIDDIKWLPKDNTFKKLNSSDPRYTSELNYLRFYLPDIFPTLNKIVFFDHDVVVQRDLSRLWDVNMNGKVIAAVGTCKEGETPFHRMDMFINFSDPFNAKRFDVNACTWAFGMNLLDLQNWRRHNLTAVYHKYLQMGFERPLWNIGTLPLGWVTFYNKTRFLDRGWHALGLGFDSEVDRNVIERAAVIHYNGIRKPWLDIAMGRYKNYWTKFLKFDHPLLQRCNLQV >OIW04640 pep chromosome:LupAngTanjil_v1.0:LG09:15276386:15281527:1 gene:TanjilG_07775 transcript:OIW04640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAISPSPASSTAAPPPTTTTPPPKQSPSSLAPSTSISTPPPSQSPPPATPSAPPPATPVPLSSPPPPLPPQASPPPPSVAPPPSSPPSSPVPPSSPPPSPVPPRNSPPPSPATPPSNPSPPSDNSPPPPAIALPPQGSPPPPPSVPPPINSPPPPAPVPLPANSPPPPASVLSPVISPPPSASVPPPVISPPPPASVPPPVNSPPPPASVPPPVNSPPLPATTTPPQNSPPPPASTPPRSAPPPPRISPDSQPTPPSNSTPKPSPQSPALLLSPPPPSLVTPPPSQNGTENSIPAPGSSGGKGIGTGGIVAISVVAGFFLLGFIGVAIWCIRRRKKKGHENGGYVMPSTLPSSPESDSSFFKAHSSAPLVQSGSGSDVYTPPSEPGGLGNSRSWFSYEELIKATNGFANQNLLGEGGFGSVYKGYLPDGREIAVKQLKIGGGQGEREFKAEVEIISRIHHRHLVSLVGYCIQDNKRLLVYDYVPNNNLYFHLHEKGRSVLGWANRVKIAAGAARGIAYLHEDCNPRVIHRDIKSSNILLDYNFEAQVSDFGLAKLALDANTHITTRVMGTFGYVAPEYASSGKLTEKSDVYSFGVVLLELITGRKSVDTSQPIGDESLVEWEYDSLADPRLEKNYVESEMFCMIEVAAACVRHSAAKRPRMGQVVRAFDSLAAADLTNGMRLGESEAFNSAQQSAEIRLFRRMAFGSQNYSSDFYSQDNLNT >OIW04151 pep chromosome:LupAngTanjil_v1.0:LG09:20904761:20908309:1 gene:TanjilG_00711 transcript:OIW04151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMDGTLTPKKSLLSLTSSRSVTQTINGSHKFVIQGYSMAKGMGVGKYIVRDLFTVGGYCWAIYFYPDGRNPEDNSAHVSVFIVLVINSTDVRALFELILVDQSGKGKHKVHSHFDRPLESVPHTLKCKGSMWGYKRFFRRSLLESSDFLKNDCLKINCTVGVVVSTTDSPQLHSINVPESDIGSHFGALLENMEGSDVIFNVAGERFPAHKLVLTARSPEFRSKFFDGLNADNQEIIVTDLDAKVFKAMLHFIYRDTLMEEVDEVSSTTSSDFPILETLTAKLLAAADKFGLERLKLMCESRLCKDICVNSVANILTLADNCHATELKAVCLKFAAQNLAAVMRSEGFESMKEKCPRLQSEILKTIAGCEVDSCSAGEKSQSVWAQLSDGGDVNSRRVRQRI >OIW04576 pep chromosome:LupAngTanjil_v1.0:LG09:16903831:16904175:1 gene:TanjilG_20932 transcript:OIW04576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTRTRVNPTVRGHAEPEVRFDTDSGAVHDIDDDRSFHFYVRTRLGHRYGVFGSQRRGEESESFNHLISFFFSDQRTGAAQIPASHLDFTVVGVLEQVDIFIVFFWFMVYRMRH >OIW04546 pep chromosome:LupAngTanjil_v1.0:LG09:17589269:17598544:-1 gene:TanjilG_13928 transcript:OIW04546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDALDKAFSDLVGTIKSWIPWRSEPTNVSRDFWMPDNSCRVCYECDSHFTIFNRRHHCRLCGRIFCANCTTNSIPASFSSERNSWDELEKIRVCNYCYKQWELGIVAHDNSIQPSDLDRCDSMSISSVASSKSSGIANSSNMTLFSLPYSVGSYQQMQQDSSLDLYQSPMSGIGSEGESLSVSGGTDNLVADLGNPLSKQYGFSINRSDDDEDEHGIYRSDSDLRHYAPENSYFEQAKFDGVNNTDGSQKARPDGENISAKFSSKHSFDAQGLEGAPIVGKNEDEPDTYDENEAPSSLYVSEDVDLEPVDFENNGLLWLPPEPEDEEDEREATLFDDDEDDNDVNTVGEWGYLRNSSSFGSGEHHLRERSSEEQKKVMKNVVDGHFRALVTQLLQVENLPVEDNDKNSWLEIITSLSWEAATLLKPDMSKGGGMDPAGYVKIKCIASGSRIESMVVKGVVCKKNVAHRRMTSKVDKARILILGGALEYQRVTNLLSSVDTLLQQEMDHLKMAVAKIASHHPNILLVEKSVSRYAQEYLLAKEISLVLNVKRPLLERIARCTGTQIVPSIDHLSSQKLGCCETFHVEKFIEDLIGAGQGAKKTVKTLMFFEGCPKPLGCTILLRGADADDLKKVKHVVQYGVFAAYHLAMETSFLADEGVSLPELPLNSLALPNKSSSIQRSISTVPGFSVPGNEKPQELEPDTEPRRTKSVTIADLASLASTAGPCAPNDFSQSMPPGSSVNHSTAITKENSISDLYYKKPLPFNSKVKDETDSKQLVVEEISAVDNTLAVMDDPTVSGKLDQHISADTPQNDNSKVDQLSGSEMLSPEDVQKHSVKPEIINEEPVPLKEEFPPSPSDHQSILVSLSSRCVWKGTVCERSHLFRIKYYGSFDKPLGRFLRDHLFDQSYRCHSCEMPSEAHVHCYTHRQGTLTISVKKIPEILLPGERDGKIWMWHRCLRCPRINGFPPATQRIVMSDAAWGLSFGKFLELSFSNHAAASRVASCGHSLHRDCLRFYGFGKMVACFRYASIDVHSVYLPPHKLVFDYEDLNWIQKESNEVVSRAEFLFSDVLTGLSQIGDRRSIAVPVNSGLKTPELSHQVAELEGILQKEKLEFEESLHKILNQERRKGQPGIDILEINRLRRQLLFQSYMWDHRLIYAANSGNETDLNSSIPDDKERHIDEYQTSMASMEVKGFSGVNSIHDDPMLNKSHSVGAGRGGGVDGGISQSDASNQEIDMAENKNNMKEDPPNLSIRKSISGQSELFEPELGAHIALSDGPFPVIPSLSDTLDAKWTGEDHSGLGIQKENTSVNSDTFMVDALANTVHKEAYNLGDHAEDQNGPKGIHSASKGHDNMEDSLSWLGMPFLNFYRQFNKNFFASTQNIDTIVDYNPVYVSSFRKLELQGGARLLLPIGVNDTVIPVYDDEPSSIIAYALTSPEYHFQLTDDGERPKDGIELASSYLSDSSAFHSFTSADDTAFDSQKSFGSIEDMILSISGSRNSSMLEPMSYTKSMHTRISFGEDGPLGKVKYSVTCYYANRFEALRRVCCPSELDYIRSLSRCKKWRAQGGKSNVFFAKTLDDRFIIKQVTKTELESFIKFGPEYFKYLSESIGTGSPTCLAKILGIYQVTSKHLKGGKETKMDVLVMENLLFRRTVTRLYDLKGSSRSRYNPDSSGKNKVLLDQNLIEAMPTSPIFVGNKAKRLLERGVWNDTGFLASVDVMDYSLLVGVDEEKHELVIGIIDFMRQYTWDKHLETWVKASGILGGPKNTPPTVISPKQYKKRFRKAMNTYFLMLPDQWSPPSIITSHSQSDLAEDNNNNNTQSRTSAE >OIW04818 pep chromosome:LupAngTanjil_v1.0:LG09:12275538:12279446:1 gene:TanjilG_13666 transcript:OIW04818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFFKWINFFMIFNFFSLSLSTNYLDEAKNPDVFDWMVKIRRKIHENPELGYEEFETSELIRTELGKLGIPYRHDQVAVTAVIAFIGTKKSPFVALRADMDALALQEKVEWEHKSKVPGKMHACGHDAHVAMLLGAAKILKEHEKEIQGTVVLVFQPAEEGGGGAKKIVESGALENVTAIFGLHVTPRFPIGEVASRPGLVYARSGFFEATISGKGGHAAFPQNSIDPILAACNVVISLQHLISREADPLDSQVVSIGSFQGGSAFNVIPDSVTIGGTFRAFSKESFMLLRQRIEQVITGQALVHRCNSTVNFFDEEKPFYPATVNNGELHKHFHNVAGNFLGINKVNDIPRPSMGAEDFSFYQEVVPGYFFLLGMQDPSQERLASGHSPYYRVNEDALPYGAALHASLATSYLLKLQHEVEG >OIW04928 pep chromosome:LupAngTanjil_v1.0:LG09:9338010:9349832:1 gene:TanjilG_15673 transcript:OIW04928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKVEFRESGLVVKKMKKINLAPENVDYCKSADYQDRLGDLPDCLIHHILSFVETKDAIRTSVLSKRWRHLWASVTCLNFSSKSFARLVEFKKFVLWVLSHRDSSQVKVLIYSRFGVDYATDQYLLNKVIEYAASHGVEEIRINLRAKTCGSPPVEIPLALFACQSLKMLEFKDCHPTNVSFPFGCKSLETLHLERFSMHPAAANFSNPFASLAELFGFTTLTTLHLNSFSMCYTGIDSLDPFGNCVNLKTLHLSEMSFQSDLNPKDFVISAPQLKNLSLMCNRFKCKIVVASPQLTNFSYLYSTRCSFLEFTLPSMDGLTIDIHELHDQLEKSPHKKRRDTLHGLVNMLRGHRNSEAVKLSFCTVAKKTMQFMVLTKPDKKRKTELNFYQILDCWVVTLANKGKKHNPIPVSSILIAMRQNAATREFYHVIDFASANGNVGLELPADQAEVIFIGTGTSEGVPRVSCLTNPLKKCLICSKAAEPGNKNRRLNTSILIRHPTSTGSHNILIDAGKFFYQSALRWFPAFGLGKDTCTNYGSIMESTQFGPFIKGYSGPGCCPVACVGLCEEDVTVFVIRTLDAVIITHSHADAIGGLDDLRDWTNNVQPNIPIYVAKRDFEVMTKTHYYLVDTSVIIPGAAVSELQFNIISEEPFVVHGLKLTPLPVWHGKGYRSLGFRFGNICYISDVSDIPEETYPLLMDCEILIMDALRPDRSTATHFGLPRALEEVRKIRPKRTLFTGMMHLMDHEEVNNYLSTLMESEGLDVQLSYDGLRVPIKL >OIW04723 pep chromosome:LupAngTanjil_v1.0:LG09:14463064:14464552:1 gene:TanjilG_06715 transcript:OIW04723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSRHFRTAGKLLEKVILVPAAQLSGVNTHFNVLHSTVSSCNELPKKLNKSERKPLVTSFNELKRQARLKKKEIQNVHEIILEPPENGLLVQQLVPIARQVYAARSELLSTVSTLVKYTAIYTCSLCREVHVGHPPHKIRTCDVKGSLSSKEHSWVKGGIEHVLPLVESFHLYDRIGRAVSHKEMLKVDRIPAIVELCVQAGFDIPEYPTRRRTFPVYCVAGRVIDFEKRFPKEASLGKDIDTCGFWHKKKRLHEVTNSMELHSDDIQAIAVRGMQAWEKMCSGASKLMEKYAVQTCGYCPEVQVGPKGHRVRNCQAYKHQMRDGQHAWQEATINDLVPPVYVFHVRDQKHGEPLVNELKKYYGMLPAVVELFAQAGAPVGKSFASTMREDVVVPETSEEKWVV >OIW04232 pep chromosome:LupAngTanjil_v1.0:LG09:21432555:21438512:1 gene:TanjilG_00792 transcript:OIW04232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESATNSIVSKARNAFHSAASKAERVFKSNQDHDNKDLITQPELDSPHKHNLSKLHSELKNIKWRTPHIGIKQDLQEKIKNIRIGRKEVQDTDKVGDADMAIPFYDENLFILNMKNDLEAKASEAIPLVESLTAANVDLIPPSSVLKQLAISVEAGIKTKSIKDFIASSGSFSPAIERAASTLSAVKALVLREKEEKLTSEFSSDERVLHLISSLFDPEGDFLKRKINSNPEETAITSLPRDIHGAPPESLVVKLAEVIGNFKNVRKMALFWRRFIVELGKLWSEEQHLPGVPTDEIPDLKSCLLYQQFQVINCCISRKRLHIIATESLESMMKEADTNIKHSDNYIDGSPASPVLYARLSTGELVLRIGADCLAGDLMLLETGEPVYSPFTQEGPLLTEDLIREHEEFVLRTGSVGAGCSQLLSDMQAFKAANPGSILEDFVRWHSPPDWSENEAITEDSDVFYPGELSTRGQLSRRMQKEGNLWRQLWETSKPVPAVKQAPLFDEDLAVEGILNAFEDIHPSELFGQLFVSLLGLGFTIAEPMLSGNNDLSKLFYECKEYVVATCQSNRWCEKVHDLVKVYETVETILLNPEESLNMIKQTEEPSMSTGEPKTRFKRLGLIFGGKDILLRKPVSNDQINDEDKSIRQSLSSFFESKSSLFSKKLPKSRCPSPQKPSSLITDWTTV >OIW05075 pep chromosome:LupAngTanjil_v1.0:LG09:6991455:7003840:-1 gene:TanjilG_06211 transcript:OIW05075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEALELTRAKDAKERMAGVERLHHLLEASRKSLTSSQVTSLIHSSFDLLSDNNFRVSQVTLQSLASAAVLSGDHFKLHFNALLPAVVERLGDAKHPVRDAATRLLLTLMEVSSPTIIVERAGSFAWAHRSWRVREEFTRTVTSAINLFASTELPLQRAILPPILNLLNDPNPDVREAAILCIEEMYVQAGPQFRDELQRHNLPSSLVKDINARLEGIQPKVRTLDGFPSGYVTGEIKSLSVNPKKSSPKAKNSSRETSLFGDGDVTEKPIDSIKVYSEKELIREVEKIASTLVPEKDWSIRIATMQRIEGLVLGGAADYPCFRGLLKQLIGPLSTQLSDRRSSIVKQACHLLCFLSKELLGDFEACAEIFIPVLFKLVVITVLVIAESSDNCIKTMLRNCKVARVLPRIADCAKNDRNAILRARCCEYALLVLEHWPDAPEVHRSADLYEDMIKCCVKDAMSEVRSTARMCYRMFAKTWPERSRRLFLSFDPVIQRLINEEDGGIHRRHASPSIRDRGAPMSLPSQASASSYLPGYGTSAIVAMHRSSGLSSGSSISSGVLLSQAKSLGNGTERSLESVLHASKQKVTAIESMLKGQDLSDKHNSSHQPSSFDLGIDPPSSRDPPFPAAVPTSRNHLTSSLKAESTASGVNKGSKRNGGLGLSDIITQIQISKDSAKLSYHSNVGSEPVSYSSKRGPERLQERSSVEYNSDIREARTFISANTDKHYLDGPYRDGNFRDSHNSYVPNFQRPLSRKNVSGRMSAGRRSFDDNQLSLAEMRSYVDGPPSIHEALSEGLASGSDWSARVAAFNYVHSLLQQGPKGIQEVVQNFEKVMKLFFQHLDDPHHKVAQAALSTLADIVPACRKSFEGYMERILPHVFSRLIDPKELVRQPCSTTLEVVSKTYSVDSLLPALLRSLDEQRSPKAKLAVIEFAINSFNKNAMNPEGAANIGILKLWLAKLIPLVHDKNTKIKETAITCIISVYSHFDSIAVLNFILSLSVEEQNSLRRALKQFTPRIEVDLMNYLQNKKERQRSKSSYDQSDAVGTSSEDGYVGFYRKAQYLGRYSAGSVDSDSGRKWSSQDSTLIKASLDQAASGETQEHLYQNIETDSNSGCLGSKAKNLAYAVNSMGQNFGSQTSQLGHVDSSMNFEDLSTPRMDGNGLMSLENLNVAEGYVNDEEHPSELEHNHRSDKALKINSLTDTRPSIPQILHVICSGVDGSPISSKRTALEQLVEASITNDNSIWTKYFNQILTVVLEVLDDSDSSIRELALSLIVEMLKNQKDGMENSVEIVIEKLLHVTKESVAKVSNEAEHCLTIVLSQYDPFRCLSVIVPLLITEDENTLVTCINCLTKLIGQLSQEELMAQLPSFLPALFEAFGNQSADVRKSVVFCLVDIYIMLGKAFLPYLQGLNNTQLKLVTIYANRISQARTGKVIDATQG >OIW05495 pep chromosome:LupAngTanjil_v1.0:LG09:235731:237212:-1 gene:TanjilG_27625 transcript:OIW05495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSPIKSSQWSTLPEDLIEEITHRLPKPCDYVRFGAVCKGWHSSVYKNYKQKHLLHLHQNTPLLLFPAEDREVRGLYNITQGMIYNKFQFNLPNQRCCGSSYGWLFFVDKVTKSTLELILVNPFLGDDSKTIKLPPIKFGYDDEIDRMDEYGVVKAILSKDPNVFPHDYEVVALYGMFARVTHYKSGDKFWSYAKKIEWVPFSDVIFYKDLILAVDRYNWIVNFTLEPKKRMHSTNCSFWYLKWNTVRDQLPKTARNYSHNYLMENSKGDLLLVRRSFWSEDKLAAMEAAEVERAREMNIALIDQYVEVEESESESDDESDDESESDDESESDDELESDDEPDYDDEPESDDEPGSDDEPESDDELEPEDEPESEDEPEEKEPQLTMKFEVSKLSFISTHRRLRKINGTKTLDGETLFLGDNNSISVPTSKYPQLRPNSIYYTDYYYDVYIRNFQFGSCDTGIFNVENRTFDKHYVPSFSTKGMSPPFFVIPQ >OIW05181 pep chromosome:LupAngTanjil_v1.0:LG09:5337859:5339270:1 gene:TanjilG_19812 transcript:OIW05181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSSISIFLLLSLLPLFSLSSSSFSSPQINSNSILVALLDSHYTELAELVEKALLLHKLEETLGTHNITIFAPRNHALERNLDPEFKRFLLQPRNLNSLQTLLMSHIIPTAIFASQRWPATGAAVRHETLAGEYGLSLGEDSSGNKIVDSAAVLRPDDVIRPDGVIHGIDQLLIPRSVQEDFNRRRNLRAIAAVIPEGAPQVDPRTNRLKKSAPVPAGAPPVLPIYDAIAPGPSIAPAPAPGPGGARRHFNGERQVKDFIQTLLHYGGYNEMADILVNLTSLATEIGQLISEGYVLTVLAPNDEAMAKLATEQLSDPGAPEQIMYYHIIPEYQTEESMYNAVRRFGKIRYDTLRLPHKVVAQEADGSVKFGTGEGSAYLFDPDIYTDGRISVQGIDGVLFPLEENEEDSNTQKRTTTTSPLVKVAAKPRRDGETRRNGNVVCHVIRMLW >OIW04294 pep chromosome:LupAngTanjil_v1.0:LG09:18593994:18595394:-1 gene:TanjilG_32486 transcript:OIW04294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDSDLPVTSKTATATATDKKPATKIKKLTLIPLIFLIYFEVAGGPFGEEPAVQAAGPFLALLGFLIFPFIWSIPEALITAELTTAIPGNGGFVLWADRAFGPFWGSLMGTWKFLSGVINIASFPVLCIDYITKIFPVFSSGWPRNVALLVSSLALSFLNYIGLTIVGYVAVVLAVVSLLPFILMSLIAIPKIRPHRWLSMGQKGVKKDWNLFFNTLFWNLNFWDNVSTLAGEVDKPKKTFPLALLVAVIFTCVSYLIPLFAVIGSVSVDQNAWENGFHAQAAEMIAGKWLKIWIEVGAVLSAIGLFEAQMSSSAYQVLGMAEIGMLPKVFGIRSKWFHTPWLGILVSMLIETAVSYMDFTDIISSANFLYSLGMLLEFAAFLWLRWKSPTMTRPYRIPMKLPLLVIMCLIPSVFLVFIMVIATKTVFLVSGIMTLGGIAFFFFIKLCKKKGWAKFYHHQLIIEE >OIW04276 pep chromosome:LupAngTanjil_v1.0:LG09:21668616:21669824:-1 gene:TanjilG_00836 transcript:OIW04276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMLMLNLMVSLLFLTIMIPPKTVEAEFEQWCVADEQSTEDELQGALNWACGSGGADCTQIQENQPCYLPNTLKDHASYAFNTYFQNFKHTGASCNFKGAAITTELDPRIFSMNYGNNRGKSLLGKQVKLYCTLVMVHVTMTSIPDPNLK >OIW04170 pep chromosome:LupAngTanjil_v1.0:LG09:21012880:21017251:-1 gene:TanjilG_00730 transcript:OIW04170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAAIGQLNLTESPTRGSRSIDCFEKLEQIGEGTYGQVYMAKEIKTGEIVALKRIRMDNEREGFPMTALREIKILQKLDHENVIKLKEIVTSPDANKYKGGIYMVFEYMDHDLTGLADRPGMRFTVPQIKCYMKQLLMGLHYCHLNHVLHRDIKGSNLLIDNEGNLKLADFGLARSFPNDRNANLTNRVITLWYRPPELLLGATKYGTAVDMWSVGCILGELLNGKPIFQGKDESEQLNKIFELCGAPDEVIWPGVSKLPWYNQFKPTRPMKRRLSEVFKHFDHHALELLETMLALDPAKQSGQHAQMRPGPNQQAHGAHQVHPGGPGHHYGNPQGPSGGPGRYPPGANPGGGYNHPNHGGQGGEGGYGSGPFPPQARGAPFPPQARGAPFPPQVRGAPFPPQARGAPFPPQGGGDGGFGVGAPNYTQQGPYGGSAAGRGSNMGGNGNQES >OIW05229 pep chromosome:LupAngTanjil_v1.0:LG09:4185155:4187061:-1 gene:TanjilG_21214 transcript:OIW05229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDANCHISLLVAENLMVMHEEFLDGNFTSIANLREANLKQSAHPHVTQIVNDDEDDEEEDGDNEESIIRDDNSSNMNVDIPKYESNVNSMNGQVSNPLPTVSGEANDGWIAVSNRRNKVRKN >OIW05485 pep chromosome:LupAngTanjil_v1.0:LG09:361813:363595:-1 gene:TanjilG_12076 transcript:OIW05485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIYRIAIGSPGEAGQPDAIRAAFAEFFSMIIFVFAGEGSGMAYNKLTNGGGATPAGLIAASLSHAFGLFVAVSVGANISGGHVNPAVTFGAFVGGNITLLRSILYWIAQLLGSVVACILLKSATGGMETTGFSLSSGVSVWNALTFEIVMTFGLVYTVYATAMDPKKGNVGIIAPIAIGFIVGANILVGGAFDGASMNPAVSFGPALVSWQWTHHWVYWVGPFIGAAIAAIIYDNIFIGDDGHEPLSSNDF >OIW04121 pep chromosome:LupAngTanjil_v1.0:LG09:20668493:20672704:-1 gene:TanjilG_00681 transcript:OIW04121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNVVEVKVGFHCDECIKKILKAIKKIEGNKSSLVVCVNPFMHAYHHDIWHLGNPFQNPATLFFLQCSLIFIVSQCIDLCLKPLGQCSIVSQILGGVILGPSLLGHNKYLAESLFPAKGSTTIDTSATFGVMYFFFTAGVKMDPTTLLKTETKGVTIGLSVFLFTLTIPTTMSILMVKYVSMDMSLAKSLPFIATSQSITAYIVIAIFLRELQILNTDLGRLAISIAMFGDMHYVMGPLLLGLAIPEGPPLGTALMRKMETLTYGFFYPSYLAASGLHINIFKVDLKSLWIVCVIVVVAIVVKICAVMLTGYYHNVSMKDCFVMGLILNARGIAEIVVYNIWRARKLMTDQEFSLAVLSIIVVNAIITPLIKFLYDPSKQYYSITRCSMQHSKRESKLRIMVCIHKNESIPTMMSLLEASYASEESNIEVIALILVEILGRSRPLLVAHQPHETLNSTSPKSTQIHNAFRQYAYQQKGCAKVQSFTSISNFDTMHDDVCRIALDRSANILIMPFHKHWEIDGSVVIINRAIQNMNIKILERAPCSVGILIDKGMNMINSSLSSLLSSKPVYHVAVFFIGGADDTEALAYSSRMCRHKHVEVTIIRYIQFGSENSKERKHDSDLIDEYRCVNIGNSRFKIVEEVIRDGEEMLSSIRKMKNKYELVIVGREHPQTILVDGFQEWSECKELGVVGDMLASQDFETKASILVVQQQRMIKKSVKHNKGSSMPNERDQKVHDFPFHEIPRSGSWSV >OIW04245 pep chromosome:LupAngTanjil_v1.0:LG09:21515585:21518910:1 gene:TanjilG_00805 transcript:OIW04245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTESQNPLVGENSCGSLLKKLQQIWDEVGESEEQRDQMLLQLEQECLDVYKRKVMHAAKSRAELLQALSDANVELSSLLSALGEKSFAEIPENTCGTIKEQLAAIAPILEELWQQKEERIREFSDVQSQIQKICGEIAGNLNLSDDAPTEVDESDLSLKKLDEYQSELQELQKEKSERLHKVLEFVSTVHDLCAVLGLDFFSTVTEVHPSLNDTTGVQSKSISNGTLDRLAKTVLTLKEDKKQRLHKLQELASQLIDLWNLMDTHPEERKLFDHVTCNMSASVDEVTVPGALALDLIEQAEVEVDRLDQLKASRMKEIAFKKQTELEEIFACVHVEINPEASREKIMALIDSGNIEPAELLADMDNQIVNAKEEALSRKDILDKVEKWMSACEEESWLEDYNRDENRYNVSRGAHLNLKRAEKARILVNKIPALVDTLVAKTRTWEEDHGMSFTYDGVPLLAMLDEYALLRLEREEEKRRMRDQKKYHELQNTEQETVFGSRPSPARSVSAKKVAGPRANGGANGTPNRRLSLNAHQNGSKSTVKEGKKDNVKTTAPVNYVMISKEDDASHVSCTEPIPASP >OIW05453 pep chromosome:LupAngTanjil_v1.0:LG09:695886:698515:1 gene:TanjilG_12044 transcript:OIW05453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNNVGSQPGLPQHQPQFQPQPQASSQPNPFGNAFNVAGSGLIRGGLGAYGEKILGSSSEYVQSNISQYFSDPQYYFQVNDHYVRNKLKVVLFPFLHRGHWTRITEPVGGRLSYKPPIYDINAPDLYIPLMAFGTYVVLGGISLGFRGKFSPEALNLLFIKGLLGWFMQATLLKVTLLWLGSGEAPLLDFVAYAGYTFTGLCFAVLGRIISSYSYYFLMPWTCLCMGVFLVKTMKRVLFAEVRTYDSSKHHYLLLFIALAQFPLFMWLGNITVNWLI >OIW04342 pep chromosome:LupAngTanjil_v1.0:LG09:19113080:19115288:-1 gene:TanjilG_32534 transcript:OIW04342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNLISKYHDELIANAAYIGTPGKGILAADESTGTIGKRLASINVENVETNRRTLRELLFTAPDVLQYLSGVILFEETLYQSTAAGKPFVDVLKEGGVLPGIKVDKGTVELAGTDQETTTQGLDGLAQRCQKYYDAGARFAKWRSVLKIGPNEPSELAIHENAYGLARYAVICQENGLVPIVEPEILVDGPHDIKKNAAVTERVLAAVYKALSDHHVLLEGTLLKPNMVTPGSDSAKVAPEVVAEHTVRALQRTVPAAVPAVVFLSGGQSEEEATLNLNAINQVKGKKPWTLSFSFGRALQQSTLKAWAGKEENVKKAQDALLTRAKGNSEATLGTYKGSAQLGDGASESLHVKDYKY >OIW04482 pep chromosome:LupAngTanjil_v1.0:LG09:18260430:18262138:-1 gene:TanjilG_24179 transcript:OIW04482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKHRTHRRVTNTNDVNQNQSQKPQKREKQKQASWAMVKGSFTCKNVKVVEHQKQKKLHESKEKNKKCKKIKCPVSLCNEIKVMATGTSEDVHNNRDSKMSGEYTNNDVSYSSRSIKAPQNEINGIVYASFSSSNSSASDSFRGMPLRKLSGCYEYRMVVDPVTGLTRDPSILRGTTCSYSECGEIFMNAESLEQHQAHKHPVSELVPEDTSKNIVEIIFKSSWLKKQSSIYKIDRILKVQNTQKTLSKFEEYRDSIKDKAIQHSKKHPRCIADGNEVLRFHCTTFMCTLGLSGTSNLCNLTPQCKACNIIKNGFKVTEEESKGILTYATSGKAHEKVDENNERRAMLVCRVIAGRVKKNGAESGSMEDYDSVVVGDDGDYSNLDELYVFNHRAILPCFVVIYRTF >OIW04304 pep chromosome:LupAngTanjil_v1.0:LG09:18678295:18679392:-1 gene:TanjilG_32496 transcript:OIW04304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDFGSWMPQMQFDWQSPNLSPFGAPLDSGKQNGVSNAINSGINNGTINVTMPAYMSSALPHLQFGHSNEPHGWFYCLPRFRQAFTPAPNLTTEEKLRAGHVKGFEEEIRPNVESGFLQKQFLVIDQTADRTTLIYSSRFGNPAECLASWDSRLHGSNNLNEKEPLRRDLNHVAGPTFVDKVDENQGIDIESEMHEDTEEINALLYSDSDGYSTEDDEVTSTGHSPSTMTTHDNQEPYCATSKEEVASSTGRSKKRKLHNGAYDDDIQFMDTAGSQIRNRTFDTGDDDAESRCSNSNNIEGLGEMGSLSGNKKMRKDKIQDILSILQSMIPGGKDKDPVDLIDEAIHCLKSLKLKAKALGLDAL >OIW05466 pep chromosome:LupAngTanjil_v1.0:LG09:531424:536428:1 gene:TanjilG_12057 transcript:OIW05466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMMNDVEDVEEGEILVEEKTEDDLKKEQQDLVKWNDNKPKHDSKIKEDSNTRVWAVNDFYPKYPSICRGYASGLHNHAWAQAVQNKPLNEIVVMDNDSNGNDDNSNRLRSGSVKEDNVSVNGHVVIDLDKEDGELEEGEIDDDADIEEGNVSQCVLNSEEKNVREILEGFTIDNVEESFAESCDKLQNALQSLRAVTDKDDVLVRLSFNAIQLFCSMESSQKEKNKDYIPRLLSFVKDRQAHLFAPECLKEVMITTLDSNGALVDSETIVKEKESQSNEMKTLEIQDRRTGDLISSSKPFDSIPIGASEALESGPSNFKGRGVLVPLFDLHKDHDIDNLPSSTREAPSCFPVNNASSVGEGMVRPVLPTREAPRFCLNKSFSVGEGMVRSGFPVSMAGAVKMEVDSEGSNLHPYVTDALKAVSSYQQKFGRSSLFTSEELPSPTPSGDCEGAAIDTNEEVSSVSVAGSAMSTKPPLPSSDQLLVSASASKDRSSMHGLSSSGVDATGSGSLPRKPSVKPRDPRLRLINSDASALDLNHRPSLVNNMPKVETIISRKQKAAEEPPLDVAVSKRLKTSLENSEHNTRDPRTAARNYGWLEEMTPVGSPLIERNNVEADPKKTISTVNSLCTGSSYFNVTSNVNQQVPVATSNATVSIPAVWKDLAVNPTMLVNILLERHKLAAAEAKKKPDDYSRNSLHLANANSALGTGPTMSFGTSMTTGFQKNSVGMLPVSAPATTAGKSIQDNSGNVRMKPRDPRCILHGNTLPKIGGLGREQSEAIVSPTPNNQGKCDNVSAPKLEVRSDTKLAPSQSSASPDIAGQFPKNLKNIADIISVTRPSSNDSPATQTFSSAPVLTSDRVEQKPVASSSQNLQAGVGSVPETCASVSLTPQSTWGDVEHLFKGYDEKQKAAIQRERARRIEEQNKMFAARKLCLVLDLDHTLLNSAKFVEVDPVHEEILRKKEKQDREKHHRHLFRFPHMGMWTKLRPGIWNFLEKASKLFELHVYTMGNKRYATEMAKVLDPKGTLFKGRVISRGDDTDSVDGEERAPKIKDLEGVLGMESAVVIIDDSVRVWPHNKLNLIVVERYTYFPCSRRQFGLPGPSLLEIDHDERPEAGTLASSLGVIERLHQNFFASQSLEEVDVRNILASEQRKILAGCRIVFSRMFPVDEANPHLHPLWQTAEQFGAVCTNHIDDHVTHVVTCSPGTDKVTWALSTGRFVVHPSWYVPFRLHLCSPTL >OIW04242 pep chromosome:LupAngTanjil_v1.0:LG09:21500346:21500995:1 gene:TanjilG_00802 transcript:OIW04242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAQFITLLHATSRSSLVKPISNRSPFYNPIKNYGQAMNGNRGRSWIEERAPSTAEEFQRVADEKKAKKAQQGVVATKTVGAEDATVADTKRFSKEG >OIW04538 pep chromosome:LupAngTanjil_v1.0:LG09:17669618:17671660:-1 gene:TanjilG_13920 transcript:OIW04538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATGNATSAPRQLSQKELDIQLMLAAQVHLGTKNCDFQMERYIFKRRFDGIYIINLGKTWEKLQLAARVIVGIENPQDIIVQSARPYGQRAVLKFAQYTGAHAIAGRHTPGTFTNQLQTSFSEPRLLILTDPRTDHQPIKEAALGNIPTIAFCDTDSPMRYVDIGIPANNKGKHSIGCLFWLLARMVLQMRGTIRPGLKWDVMVDLFFYREPEEAKQQEEDETPALEYSGIPEYNAASLAADGQWPTAIDQSWTDAVPQPIAAAPVGGWVAPEAAPVAGDWGEAVPPPQIPVPAVDSIQASGWE >OIW04690 pep chromosome:LupAngTanjil_v1.0:LG09:14967775:14970734:-1 gene:TanjilG_16153 transcript:OIW04690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACLSFSHFLSLPRCQLTWSYGYASFEVVQLRELRSRFSPGHVAMARKKASQDSSIEESNEYEPVAEKKTTRSSKTKSATTRTKKKSADESFEENMDLLINIDSDSIEGSSPASSDISKKKTPRTRRKDASISADVEGENELKEENKVRRGRPKVKNVITEDNGSEAEINDQEKKKVRRRGRPKKESVIREEKGSDSEINDQEEKKIRSRRRPKEENVIIEDTGSEAETGDVDEPSFIEDAEEESDDGLELIKYDGEDISYTYGWPPLVCCFGSAQNAFMPSGRPANRLIDHEIHERMKDALWSPENFYRAPGGCAASVAVALANLGGKVALMGKLGDDEYGQVMLYHLNTHNVQTRSIRIDSKRATAVSLMKAKMFYFSTHSLLDRNMRSTTLQAIRIAKKFGAVVFYDVNLPMPLWNSSEETKTFIRQVWNHADIIEVTKQELEFLCGIMPTEEFDTKNNDISKFVHYEPEVIAPLWHEDLKILFVTNGTSKIHYYTKEFDGALCGMEDAPITPFTCDMSASGDGIVAGLMRMLTVQPDLITDKGYLEHSIKHAINCGVIDQWILAQRRGFPPREGSIAEVTPNAYGIRSVTEREYRTIGTPDDEHEIELPPEEERVYHQLDPVS >OIW04814 pep chromosome:LupAngTanjil_v1.0:LG09:12332525:12338612:1 gene:TanjilG_15327 transcript:OIW04814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAQPFSLSLPCYSFLPLPRFSSYATPPNFASFPPIHDPSKNLTYGFYKSLIWVHRTTGWKNSCGGGSLIVKCGSHEENDDGFYIRRCVEVARKGIGNTSPNPMVGCVIVKDGKIVGEGFHPKAGQPHAEVFALRDAGDMAENATAYVSLEPCNHFGRTPPCTEALVKAKVKKVVVGMVDPNPIVASKGVDRLRSAGIEVVVGVEEDLCKRLNEAYIHRMLTGRPFLTLSFLPLPRFSSYATPPNFASFPPIHDPSKNLTYGFYKSLIWVHRTTGWKNSCGGGSLIVKCGSHEENDDGFYIRRCVEVARKGIGNTSPNPMVGCVIVKDGKIVGEGFHPKAGQPHAEVFALRDAGDMAENATAYVSLEPCNHFGRTPPCTEALVKAKVKKVVVGMVDPNPIVASKGVDRLRSAGIEVVVGVEEDLCKRLNEAYIHRMLTGRPFLTLRYSLSVNGNFLDLLGDGVTDHNGYYSLLLQEYDAVVLSSSLFSEKLSIPASQEPGANQPSLIIIHKNHSSSSQIPLVINEATGKVILFTDDITATASETTQRGIETVAVDLINLDVILDYCNRQGLCSVLLDMRGDFSEFEDLVKEGIQKRYINKFVTEILPIWNGFAETDPLMPLKSIEQGIKVVNLQTKASNQSIVFEGYLKF >OIW04376 pep chromosome:LupAngTanjil_v1.0:LG09:19368708:19370610:1 gene:TanjilG_32568 transcript:OIW04376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCWVRGKCIGKGAFGTVNIAVAKSNGQVFAVKSVDRKTGLPGQVEALENEIKILRRLDSPHVISFLGDDVTCESTTSYRNLHIEYMPGGNVADMDRAYVDEVLVKRYTWCLVHALRHVHELGVVHCDVKGRNVLLAGDGSVAKLADFGSAVEFNHATGILPRGSPMWMSPEVIRREYQGPESDVWSLGCTVIEMFTGKTPWKDDGVDTLNRIGFSSALPEFPNALSELGRDFLEKCLRREAKERWSCNQLLQHPFLQIESFTKVSESSPRCILDWFESEEEKMELDSENSGKGRIGKLDTGIRANWEIQGWVEVRAIESSELESSTTTAGFDEERGVNWEFGNVARVEKEMEIGSRLEYWDSGKFGTSKNERVKREMPRIRRLVWRWCRNRKKRVIVGGGCRNGYKLDRIEGIAYSLPWAAIRSHLV >OIW04231 pep chromosome:LupAngTanjil_v1.0:LG09:21421920:21427703:-1 gene:TanjilG_00791 transcript:OIW04231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQIVRRKKKGRPSKADLARRSGESPASAQSDLRRSRRRRNVRYTNMIDYDDYLDEEEEDEDERRREKKKLKLVMKLNQGAEEDEEEQQEGEDNEHHDYNNNNSASNLTRMGTRDAHAPEEEEEQGEDELEEEEEEGNGIDAEENEEEEEEGGEEDEDQRKREVKGTKVDSKWLHSVSGTPSKLLPSGSGIPLPDKRTLELILDKLQKKDTYGVYAEPVDPEELPDYHDVVDHPMDFATVRNKLANGSYPTLEQFESDIFLICSNAMQYNSPETIYHKQARSIQELARKKFEKLRIDFGRSHNELKSSDQKTGPNSLVKKLAKKPLGASQEPIGPDFSSGATLATLGDVQPTSHPMQGVIYERPGNVDGLVEGNAFLIDSNQEKAEDVLSGKGTLSKLGRKPSVQDYERRATYNMSNQPISRSDSIFTTFESEIKQLVTVGLQAEYSYARSLARFAATLGPTAWEIASQKIQQALPPGCKFGRGWVGEYEPLPTPVLMFDNFVQKEPNLVKKLQSTTESIKVDKNSKNVEPTIQQPVNGSMVEGKQPSVHPGSWPTLEGKPSLFGSVGVRPNTPVNLPHQQPNVQTRNLGKSKNKGLKQVELNSLPPTDQNNVSLVVKLTSNAPASVSKPREMVPCNTNISPSMPFKQPDINGVVSGDLPNGKVRNTTMNRRMPGPSESSSNQTGRAAPFFAHGQPSHESTTNQIGRAAAIVSHGQPSPESTSNQTGRATPFFAHRQPSPESTSNQTGRAVHFVSHGQPSPENTLNQTGRAAPFVAHGQEQSLSDPVQLMRILAEKAQKQQQTSSSSNHSPVDTPPGVPSGQRDGTGNASAAAARAWMSVGAGGFKQGPESPSPNSPKNQISADSLYNPVREFHQHMSRIRGEFPSSGMSFQSDKNNFPFQALAHQPMHPVGASQFQNRPNVFPQVAAPSDLSRFQMQPPWQGIRPPSQPRQKQETLPPDLNIGFQSPGSPVKQSSGVMVDSQQPDLALQL >OIW05238 pep chromosome:LupAngTanjil_v1.0:LG09:4025744:4031475:-1 gene:TanjilG_21223 transcript:OIW05238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLQRPTPSSSSSSFSRLLLLLTILPLTLASLAFILQWRGGFTDDSITRWSPNHSQFPGMSLSLSSNSKQQSHSRSDCSSPLLPNNHSPSFPYFRNSLFQYDSNLKPKVCITTSTSAGLEQTLPWIFYHKVIGVSNFLLFVEGKAASANVSRVLESIPGVKVIYRTRELEEQQAKSRIWNETWLSSFFYKPCNYELFVKQSLNMEMAIVMARDAGVDWIIHLDTDELIHPAGTQEYSLRRLLSDVPKNVDMVIFPNYESSVERDDIKEPFSEVSMFKKNYDHLPKDVYFGNYREATRGNPNYFLTYGNGKAAARVQDHLRPNGAHRWHNYMKTPKEVKLDEAAVLHYTYPKFSDLTSRRDRCGCKPTKEDVKRCFMLDFDRSAFIIASTATEEEMRQCSVIEKAAETTLSKVNFLKSIDSSNSTRNTRSGMVSSRKVGADGVSQATARRVLEVIDSSLPSAIPPLSPPSLDHADLITS >OIW04941 pep chromosome:LupAngTanjil_v1.0:LG09:9529091:9533304:1 gene:TanjilG_15686 transcript:OIW04941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYTQDQEMEIEALEAILMDEFKEIHSGETGLSTSNRCFQITISQSLDFLLFFFRRYGFTLDACLVAFSIYKLQMHNVRQKWLIFVIAAQLALFFSHTERYPDEPPLLNVKSLQGIPSEDLRILKEKLQQEASENLGMAMIYTLVTSAKEWLDERFSADNDENAEAEEAAKDDIVVPHGEPVTVDTFLAWRERYEAELALERAKLMPEAVLSAPKEKKLTGRQWFESGRAKGAATIIEELDEEDEEDSDIDFDDEDFEDDEDDMLEHYLAEKSDSSTHSSRVAS >OIW05488 pep chromosome:LupAngTanjil_v1.0:LG09:333359:336090:1 gene:TanjilG_27618 transcript:OIW05488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEWQKKKEMMMMNGNEMMYVKVMSDEQLETLRKQIAVYATICEQLIQMHNTLSTHQNLSGVRVGNMYCDPLMNSIGHKLTSRQRWTPTPMHLQVLERVFEQGIGTPSKEKIKEITADLIQHGQISETNVYNWFQNRRARSKRKQQQQNFGSSNAESEVDTEVDSKEKKTKAKEFQSQHRVTTGVFQNPQVSYDLQYLNSESNEPDSMFPPEGNLSPSRNFSDVPVFDGMLSNSSSDYLAGKFEEPESFNLYQSAGDYNMAG >OIW05026 pep chromosome:LupAngTanjil_v1.0:LG09:8261744:8263627:-1 gene:TanjilG_06438 transcript:OIW05026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFLIHLLFIFFLVNPSLSTCPLNTTVLTVVGGGSRPSFDTGTECHYVLQALHLVESDYLRREGLFVPPSTAAESCWTSFQSFIDKYEPNLDVRSSCGFQTSWISEGCMNITTRKEFEAQVPSTALQSVQNNCNQSLLNNAPCALCTTTLSNLQSYLTGPTVGNVSDCRAYTSIYAASLYDPTDPGTANCLFGLQFSTSSSHSKTRTIVIVLVSVLFALFAVAAFLGYLRFKNKKNNKKGSMNITETGQSSRLDSMSQSTTLIRFTFDEIKKATRNFSRDNIVGSGGYGNVYKGLLLDGTQVAFKRFKNCSVAGDASFTHEVEVIASVRHVNLVTLRGYCTATTKFEGHQRIIVTDLMENGSLHDHLFGSSTQKLTWPMRQKIALGTARGLAYLHYGAQPSIIHRDIKASNILLDDKFEAKVADFGLAKFNPEGMTHMSTRVAGTMGYVAPEYALYGQLTERSDVFSFGVVLLELLSGKKALKTDNDGQLTALTDFAWSLVKNGRALDVIEDDMPEPGPPQVLEKYVLVAVLCSHTQLYARPTMDQVVKMLETDESVPSILERPIPFIADRIVIEKSVNSNSGQLSSPTGYQAYTLQNCRLSNSREEEGSLESGTVSTETGLSSTT >OIW05204 pep chromosome:LupAngTanjil_v1.0:LG09:5113690:5115165:-1 gene:TanjilG_19835 transcript:OIW05204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLHGVRPDDHIFPSATKSCAMLARFDVGQSLHCFAVKTAYDNDVFVGSSIVDMYAKCGEIAYARKVFDGMPERNVVSWSGMIYGYTQLGEDEEALRLFKQVLVEDDVGVNDFTLSSVLRVCSGSTLFELGKQIHGFCFKTSFDSSCFVGSSLISLYCKCGVVEGAYQVFEEVRVRNLGLWNAMLIGCAQHAHTDKTFELFKQMGIVGMKPNFITFLSVLYACSHAGLVERGKYYFELMKDYGIEPGWQHYATLVDLLGRAGKLQEAVQVVREMPMGPTESVWGALLTGCRIHGNTELASYVADRVFELGPVSPGVHILLSNAYAAAGRWEEAARARKTLRDQGIKKETGLSWVEEGNRMHTFAAGDRSHAKTAEIYDKLEELGEEMEKAGYVADTSFVLKEVDGVEWH >OIW04556 pep chromosome:LupAngTanjil_v1.0:LG09:17489778:17493408:-1 gene:TanjilG_13938 transcript:OIW04556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIEHEDELKDEKNPRPLDEDDIALLKTYGLGPYSTSIKKAEKEIKDMAKKVNDLCGIKESDTGLAAPSQWDLVSDKQMMQEEQPLQVARCTKIINPNSEDAKYVINVKQIAKFVVGLGDKVSPTDIEEGMRVGVDRNKYQIQIPLPPKIDPSVTMMTVEEKPDVTYNDVGGCKEQIEKMREVVELPMLHPEKFVKLGIDPPKGVLCYGPPGTGKTLLARAVANRTDACFIRVIGSELVQKYVGEGARMVRELFQMARSKKACIVFFDEVDAIGGARFDDGVGGDNEVQRTMLEIVNQLDGFDARGNIKVLMATNRPDTLDPALLRPGRLDRKVEFGLPDLESRTQIFKIHTRTMNCERDIRFELLARLCPNSTGADIRSVCTEAGMYAIRARRKTVTEKDFLDAVNKVIKGYQKFSATPKYMVYN >OIW04465 pep chromosome:LupAngTanjil_v1.0:LG09:18500210:18503044:-1 gene:TanjilG_01638 transcript:OIW04465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTLMGKGDAKVNTFIDSMRASSPTRAENQDQVPTWIFYNPSALKMFEEIVYNSRGKQIVTFLDYDGTLSPIVSDPDKAFMTEKMRATLKDIAMHFPTAIVSGRSVDKVYNFVQLTELYYAGSHGMDIRGPTKSRSHKKINEGVLFQAASQFLPMINEVYKILVEKTKSVQGAMVESNKFCLSVHFRCVDEKNWAALAEKVRLVLNEYPKLRITQGRKVLEIRPSIKWDKGKALDFVLESLGYNNSNNVFPIYIGDDRTDEDAFKVLSSRSQGVGILVSQIPKETNASYTLQDPSEVEQFLHRLVEWRRKSSHSHKLYVVDNFKKLTLP >OIW04295 pep chromosome:LupAngTanjil_v1.0:LG09:18599718:18602223:-1 gene:TanjilG_32487 transcript:OIW04295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANTIFTQKSICLASGTFITRCESSKADYPTHHLPSNSKIKYNRFFTSDQSIFQNVCTRKMNMAVYANTPSGAPFPVDPSHGHWKVWILGTIVTILLSFSRGKWGPLLQLKDKIGATIDEAERVADIVEEVAERVEKAAEEAAEKLPEGKFQDAAEFIGKVAEDIDKHAENVEDALEKVEDMEKKLESFIESTTHEEKTTVVTKSQDQK >OIW05398 pep chromosome:LupAngTanjil_v1.0:LG09:1602119:1604821:1 gene:TanjilG_28863 transcript:OIW05398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRFVNSLQFELKSGHTKCISEDIKHNAVTVGKYSVVNPNDGYPIPDSHKISGRVSSPLGNSYHDADRAESGNFAFTAAEAGDYIACFWAPDHYPVLILNVEFDWRSGVAAKDWLNVAKKGKIEVMEFELKKLYDTVTSIHDEIFYLREREEEMQDLNMATNSKMFTFSFLSILVCLSVAGLQLWHLKTFFERKKLL >OIW05109 pep chromosome:LupAngTanjil_v1.0:LG09:5617940:5618158:-1 gene:TanjilG_02582 transcript:OIW05109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEVEGNQVNDAPKKMYVFGDSYADTGNIRKSLSNSWKDPYGVTFPGKPAGRFSDGRVLTDYIGMCNNTFKF >OIW04071 pep chromosome:LupAngTanjil_v1.0:LG09:20314644:20316284:1 gene:TanjilG_00631 transcript:OIW04071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGICCGVVEGETSTPIASSSLRRRSSDLFPLKYIADMAVPSSDGSSWKRQKLDSHPQSRECENTVQNCESNLTIDKNEEQNKESKGYDEVVIVSEVPTLDSTETKLGYEVEVEECPKYGVTSVCGRRRDMEDAVSVYPSFCSKNSNTENQNQNQNQIQDQKRFHFIGVYDGHGCSHVATMCKERLHGIVKEEIEKIQENLDWKSTMEQCFDLMDKEVERRTHTKDTPSCRCELHTPHSDAVGSTAVTAVLTPEKIVVANCGDSRAVLCRNGVAIPLSSDHKPDRPDELIRVEAAGGRVIYWDGARVLGVLAMSRAIGDNYLKPYVISEPEVTVTERSEEDECLILASDGLWDVVSNDTACGVVRMCLKAQQKAVSPPGSPGSYMAAEGSDKACSDASILLTKLALARHSSDNVSVVVVDLRRDH >OIW04172 pep chromosome:LupAngTanjil_v1.0:LG09:21024476:21024979:1 gene:TanjilG_00732 transcript:OIW04172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRTNPNRSISERVSILLAAFCVESDDDDDDDDEEEVPLDIIDSKIASLQEKIKVGMKHKIQVQEELEKIEEQCIEWEKVEECYARIFKKLKNMDKNNVAEFVKRNRELESENWKLRELKRKWGDDIYAKAELRTKLRKWEYKRDELEERIKVLEDQKKSAMHATSK >OIW05157 pep chromosome:LupAngTanjil_v1.0:LG09:6175720:6178728:1 gene:TanjilG_02630 transcript:OIW05157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKDIDTEPHGELAHHKDYADPPPAPLFDATELRKWSFYRAVIAEFVATFLFLYITILTVIGYKSQTDIAHGGDICGGVGVLGIAWAFGGMIFILVYCTAGISGGHINPAVTFGLFLARKVTLVRALLYIVAQVLGAISGVGLVKAFQKGYYNRYNGGANMLADGYSKGTGLGAEIIGTFVLVYTVLSATDPKRNARDSHVPVLAPLPIGFAVFLVHLATIPITGTGINPARSLGAAVIYNNEKAWDDQWIFWVGPFIGAAVAAIYHQYVLRAHAAKALGSFKSSQNL >OIW05196 pep chromosome:LupAngTanjil_v1.0:LG09:5203480:5209406:-1 gene:TanjilG_19827 transcript:OIW05196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQPTQVLRGSNKNDVVALESIQFNEEIQGIMAPENARSFTALLELRSAQPVEFFHSPESSVAAASARKPPLANTKPYNLTFPSNSGLSERAARFSVFSGENSSSPEEACLVVPVTSGDRVKNEPQETDSNPCSTQGCVSDPDVQDKNQRNTKRKEREKKFKPSSKKSKSVADENSGDGEKLPYVHVRVRRGQATDSHSLAERARREKINARMKLLQELVPGCNKISGTALVLDEIINHVQNLQRQVEFLSMKLAAVNPRIDFSLDSLLTTDGASLVGSNLPSMLTPLMWPEIPQIGNRQHYQQQWQYDAFHQPLWGREEVNHNFMTPENSLLTYDSSANSGAVL >OIW05108 pep chromosome:LupAngTanjil_v1.0:LG09:5612162:5615455:-1 gene:TanjilG_02581 transcript:OIW05108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYMKVKSPVPYRLRKLMPQHLKYGINFAVGGTGVFDTLTGGPNMTTQIDLFEQVIKDKVYTASDLNTSVALVSIAGNDYSHYLATNGSAQGLPSFVASVINQTTTNLIRIKGLGVKKIVLGGLQPLGCLPQMTSTFSFQQCNNTTNDLVLLHNNLLTQVLTTLNQQTNDHSSFIVLNLYDSFMSVLNHPSTHHIQNQLKPCCVGISSESFCGSVDGNNVKKYKVCDDPKSAFFWDLVHPTQAGWHAVYNKLRTMNVLQQIYY >OIW04603 pep chromosome:LupAngTanjil_v1.0:LG09:16802349:16807401:1 gene:TanjilG_18080 transcript:OIW04603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGVEVKKQHENGHETIDYDMQDKIDYVFKVVVIGDSAVGKTQILSRFAKNEFFFDSKSTIGVEFQTKTVIINGKVIKAQIWDTAGQERYRAVTSAYYRGALGAMLVYDITKRQSFDHVVRWVEELRAHADSSIVIMLVGNKGDLVDQRVVPAEDAVEFAEEQGLFFSETSALSGENVESAFLKLLEEINRVVSKKALECGIGVGNGDNNVAILKGSKIDIILGPELEINSDLVFCNNIYLSKLGYTKLASFLLNSINMASTISFLKLSALHINYPHQLTSKLYQLSSISARLNNPKDPPNLQKLFEQSNNDALAVGGEFGILEGRTFALTHPIVMISLFFYTLWTGYLGLQWRRVRTIQDEINELKKQVKDKPLEAPPSPVELKIQQLTEERKELVKGSYRDKHYNAGSLLLGFGVLEAVGGGLNTWIRTGKLFPGPHLFGGAAITVLWALSAALVPSMQKGSETARSLHIGLNTLNVLLFVSQLPTGVEILLKVFEFTKWP >OIW04532 pep chromosome:LupAngTanjil_v1.0:LG09:17724850:17725182:1 gene:TanjilG_13914 transcript:OIW04532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKHHPDLIMCRKQPGIAIGRLCEKCDGKCVICDSYVRPCTLVRVCDECNYGSFQGRCVICGGVGISDAYYCKECTQQEKDRDGCPKIVNLGSAKTDLFYERKKYGFKKR >OIW05325 pep chromosome:LupAngTanjil_v1.0:LG09:2562165:2566712:1 gene:TanjilG_28790 transcript:OIW05325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHGASIMHQAQRTSVRIGASHIRHGIGVTHQTQRASVKAGASDIKHGAGMVQACRIKHGPRDSNLARSEINHVKFTAEELRRIMDYKHNIRNMSVIAHVDHGKSTLTDSLVAAAGIIAQEVAGDVRMTDTRADEAERGITIKSTGISLYYEMTPESLKSFTGERNGNEYLINLIDSPGHVDFSSEVTAALRITDGALVVVDCVEGVCVQTETVLRQALGERIRPVLTVNKMDRCFLELQVDGEEAYQTFQRVIENANVIMATYEDPLLGDVMVYPEKGTVAFSAGLHGWAFTLTNFAKMYASKFGVDESKMMERLWGENFFDPATKKWTTKSTGSPSCKRGFVQFCYEPIKQIINTCMNDQKDKLWPMLQKLGVTLKSDEKDLMGKALMKRVMQTWLPAASALLEMMIFHLPSPSIAQRYRVENLYEGPLDDQYASAIRACDPEGPLMLYVSKMIPASDKGRFFAFGRVFSGKVSTGLKVRIMGPNYVPGEKKDLYVKSVQRTVIWMGKKQETVEDVPCGNTVALVGLDQFITKNATLTNEKETDAHPIRAMKFSVSPVVRVAVQCKVASDLPKLVEGLKRLAKSDPMVVCTIEESGEHIVAGAGELHLEICLKDLQDDFMGGAEIIKSDPVVSFRETVLDRSIRTVMSKSPNKHNRLYMEARPLEDGLAEAIDDGKIGPRDDPKIRSKILSEDFGWDKDLAKKIWCFGPETLGPNMVVDMCKGVQYLNEIKDSVVAGFQWASKEGALAEENMRAICFEVCDVVLHADAIHRGGGQIIPTARRVFYASQLTAKPRLLEPVYLVEIQAPEQALGGIYSVLNQKRGHVFEEMQRPGTPLYNIKAYLPVVESFGFSSQLRASTSGQAFPQCVFDHWDMMSSDPLEAGSQASTLVTDIRKRKGLKEQMTPLSEFEDKL >OIW05022 pep chromosome:LupAngTanjil_v1.0:LG09:8209796:8210416:1 gene:TanjilG_06434 transcript:OIW05022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKNNKTPLSRFSICRKFREALTTNFHGIKQYFYQREPRSVIITTPHSNFETSLVTTHLTKNTHEDDNPERGGAIPIMFDYTNTPRTTMSHIGIIISEREDKVGNKVVEAEPPRDVGVQHSEQQVKRKSIDINDTFTEYIQRAKGHNNSNNVGKGHNNATTTINNMEKNHNNQNDQFSDFIQHAKNKLRTTSNIGNTTTTTSFKRG >OIW05409 pep chromosome:LupAngTanjil_v1.0:LG09:1520014:1521878:1 gene:TanjilG_28874 transcript:OIW05409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYTTFPDLHQTQTPLVNYTLSSPSYPHYVSYPQNPNPSSSEPSLGTHVGTAPEPGLNPPGVDSYTPLTTTNSITHLGHEAIPNYSLVGSSGYYLDPNAHNWAASEAVITTPLSVSEQLAAAYLNPAWWINPTTQPRGNETWKKYPKKVKTTIVQPAYCEVCKIECTSVEVLHQHKLGKKHKKNLETLRESLKPFQSHPSGSSNPFIGPQVQNDTSKSASGSKSKRKTVETPEDLEKKKRKVLEGGAAAEAVRICAVCNVVCNSAIVYDYHLKGQKHAAMMKKVSEHT >OIW04823 pep chromosome:LupAngTanjil_v1.0:LG09:12099708:12113830:-1 gene:TanjilG_13671 transcript:OIW04823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAATTTSNIMLAITEKKTNPIDLYRPLRNYISLHYSEREAQNLEDDLQTLNRHRSDIDRRSDPSLPARRDLLTAYFKSLCLVETRFPISPDPYHVNTVNFVWFDAFKPKQKASLQNIHLEKAAVLFNLAAVYSQIGLSFDRGAADGRRQAVHAFVGAAGAFAYLRDGAAMKAAVGGSATVDVSVECAGMLERLMLAQAQECVFENTIAKGSTHGVCAKIARQVGLYYEEALAALNIAPLSQHFEKSWIVHVQLKAALFYAEACYRHGLELHEKEEIAEEIARLRSGINALTEAKKNTRGAAAQIVDAIGKLESNMNRNLERAVKENDRVYLMRVPSPNSLPPLPAFSMVKPMAMNEVLDASKEKMFASLIPDSSAKALSRYTEMVDDIIRTQAEKLQEASELTRVRLKEMELPDSILALEGNFSLPTHLKEDVEAVQISGGPSGLDSELQQLQDLRRVNQEFLVQTEELLQKEAREDSQFRSQFGMKWTRPQSSTLTKNLQDRLNRFAGNLKQAAESDGRIERSVRENSAFMSILDTRPIESALPTLARPIMSLDQNEDAVLGSLKLSLRQLETLGAQRAGLEDMLKEMKRKDDILPKLMTSTGSYEDLFKKEIAKYDHICEEIAQNIEAQEQLLLQIQAQNEDFSAIFNLEDYKASREKSYKQIEAAIAKFREIKDNINEGLKFYVTLQDAITNVKQQCSDFVMTRNIQCKEMIEDVQRQVAGLSFQDNRNTGAFNGNYPSVGHQNQRPNPQQTDTRPQAPYYQPSEQSPVSGYGHPPTPYGPGPSHQPPPPYHIPQTSGAPYPPPPQAHHPQANHDYGQPAYPGWRGPYYNGQAQHQQQQPASAPRPPYTDQSPYPPHQSGYYKQQ >OIW04705 pep chromosome:LupAngTanjil_v1.0:LG09:14618794:14621614:1 gene:TanjilG_07830 transcript:OIW04705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAEKERETQVYLAKLAEQAERYEEMVECMKKVAKLDLDLTVEERNLLSVGYKNVIGARRASWRIMSSIEQKEESKGNENNVKLIKGYCQKVEEELSKICSDILTIIDEHLIPSSSSAEANVFYYKMKGDYFRYLAEFKTDQDRKEAAEQSLKGYEAASATANTDLPSTHPIRLGLALNFSVFYYEIMNSPERACHLAKQAFDEAIAELDTLSEESYKDSTLIMQLLRDNLTLWTSDLPEDGGEDSIKADEAKPAEPEVPLFISDPEMEVVRHIRYYGKRKNFSFSGNGFGVWTGQHGPWPLHSSCILLP >OIW05257 pep chromosome:LupAngTanjil_v1.0:LG09:3718259:3720820:1 gene:TanjilG_03646 transcript:OIW05257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLLVMMMTTKLVKLVFSVFVVFLFSVNASFALYIPLDNYLIACGFSQNITFQGHTYIPDSQYSSLVSKTGDSIVAISNSSVVPLPIYQSARIFTQKASYTFVIEQEGRHWVRLYFYPVPNSDHDLNSAVITVVADEFVLLSNFTFRNYNGLYMFKEYAINITSVTLTVTFIPSNGSVTFVNAIEVVSMPDELFIDQALAINPPAPFSGLSELAFETVYRLNVGGPLLNAQNDTLGRTWESDMKYLYMNSSVTIVSVSPSTIKYPAGVTPKTAPNWVYATADAMGDANVADSNFNITWVFPVDPNFSYFIRVHFCDIISKSLNSLLFNLFINTDIALGNLDLSSLTNDLAVPYYKDFVSNVSAGSETLTVSVGPDTMADITNATLNGLEIMKISNALKSFDGFSSVGILLPNSPSKKKKMGIVAGFVVAVAAIALVGLCYCCFVGRNSKSTQQGRPLLSLPSLYGNFQTMSMMSTTSHKSATASCISLSSINLGRIFTFQEIQDACNKFDEKLLLGVGGFGRVYKGTLDNGTNVAVKRGNASSEQGIAEFRTEIEMLSKLRHRHLVSLIGYCDERSEMILVYECMSNGPLRSHLYGTDLPPLSWKQRLEICIGSARGLHYLHTGASQSIIHRDVKTTNILLDDNFVAKVADFGLSKTGPSLDQTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLMEVLCTRPALNPVLPRDQVNIAEWAMSWQKKGMLDQIIDKKLIGNVNSASLKKFGETAEKCLAEYGVDRPSMGDVLWNLEYALQLQETASSALMEPEDSSTNHIIGIQLSPLQNFDNGVRMTDGGTSATNDDDDAGHATTSVVFSELVNPRAR >OIW04890 pep chromosome:LupAngTanjil_v1.0:LG09:9954313:9954906:1 gene:TanjilG_24006 transcript:OIW04890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLANLGEKAQAAKDKAQQTAQAAKDKAQQTTQATKEKTQEGAGATRGVASGLGQSLKESAQAAKDKTQQTTQAAKDKAQESAQAAKDKAQESAQAAKDKAQESAQAAKDKAQESAQAAKDKTQENSGAARDRASQMGQSTKESAQAGKDNTSGFLQQAGEKVMGVAQGATEAVKNTLGIGQNDEDKDHYPNHNPRDY >OIW04217 pep chromosome:LupAngTanjil_v1.0:LG09:21341462:21345867:-1 gene:TanjilG_00777 transcript:OIW04217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSMNVFNSWPFSTFHNLHSSLPSIHHHQHITDQRRHIKTTTKPKKRSIAEILTLPPPQHRDKDNGTVQSMKKKKKTKKKGKNKSKMEKRGESTGDASLNNNNKKRNKKMKKSNSFFNDDLTQTHKEDAYMSKVQTPAYSFRKIRSTIGNKMVRLQDIDPCIHKKKFGSKILSEEQKQNNKDCDLVEKQLKEASPAHGILKNDLKQVSRKRSSGSDSEDSSEESDCDDMVPTSDRHVRFTCKDDPLSPKKGDSFETMFNKSSVVLATSLVKEQWSGSDDETTCLNADRNYDHGSINVENRNEVSPIVERKQFSHTLEQVSIQDSLKPCINQEESKQFEEKSESLTEVAFCDNDSLHLFAGSNTFTPNCSPYADISRPPSTVEDVQVSGINTDECESGSFSSIGKFIDHLENTTFHVDANTRTFLEPSSSYASYDQANERSQFPLQTYGDSDNRDQALGDRHLSHVFSADMIDNSFPFTGWGKGGVRTNCSNPNFVGLPLNSQGELINFSSSGKFGMNQPEILSTSRGSSSGLPVSNVFYGRSQQILSINERHVAQKTFPKDGENQLPHYPTRLVVTALQCKEREDIHCPYSDLCSSRNVHPFYANLNLMRNTHIEQIQSDRFQNPKGIGTISLKESSDHISPSSSQTTVRLMGKDVPIGRSSEEIQQYDGDVRADEESRRRNFSKFAALENSSLGRSSKQDWVSGSPLRISSGNVLQSGKIQNHQASQSTLLMNGPDSEFPYCVSQKGSLAVSRYACSYSHSTNGNFSQLNDRNGNPHVTKSASEFPFLQPAVDEQAKISWSQRPQGTSLSWLSSSTDERMVPVTFTQQVSGASTQSFPRNFWGSNFITPPENPSTECLYPSTPLSSLCPMKTPLRPTPIFQPQHVLVMPLAINNGCRSTNKIIDRTKLDDMTTNNHHHPCTNTRKRSAISFDDSRKPIKLPNI >OIW05234 pep chromosome:LupAngTanjil_v1.0:LG09:4092993:4094252:1 gene:TanjilG_21219 transcript:OIW05234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSILQYPEATSINSTQLHVWNNAAFDSTKENINPISESNIDDEIQEIENEMKRLSSKLEALRLHKAKTVEKKGRVVSSKFMEPKIETFVKGLGEESVKNKIEETPKTKVKNFNNMIRRGLSLGPSEIVRSRKVVDDAVTPVTTMQSRRKSCFLKPPEVDDERVNSILQGQNRKQAVTTDFLGSRKVVDDAATPVTAMQSRRKSCFLKPPEVDDERVNSILQGQNRRQTATTDFLGSRKFLKKEEEVLALVNPRKLFKEGGKSVPNKKLLKTGRVVASRYNQINSNSVAIDARKRLGQSSAMKLPLSGSVTGKRVLPENDKDDSGGRCDKRRASSVRVKKRWEIPGEVKKPSLSSSSSSSSSVMLPKIKALRCSNESARDSGAAKRVAELTGKRSYFCADNDADESVCKFLNLEEEEEEE >OIW05297 pep chromosome:LupAngTanjil_v1.0:LG09:3205088:3207869:1 gene:TanjilG_03686 transcript:OIW05297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGFRVLHLVRPFLSFLPEVQTADRKVPFREKVIYTVISLFIFLVCSQLPLYGIHSTTGADPFYWMRVILASNRGTVMELGITPIVTSGLVMQLLAGSKIIEVDNNVREDRALLNGAQKLLGILIAVGEAVAYVLSGMYGSVGQLGVGNAILIIIQLCFAGIIVICLDELLQKGYGLGSGISLFIATNICETIIWKAFSPTTINSGRGAEFEGAVIALFHLLITRTDKVRALREAFYRQNLPNVTNLLATVLIFLIVIYFQGFRVVLPVRSKNARGQQGSYPIKLFYTSNMPIILQSALVSNLYFISQLLHRKYSGNFLVNLLGKWKDSEYGGGHSIPVGGIAYYITAPSSLADMAANPFHALFYLVFMLSACALFSKTWIEVSGSSARDVAKQLKEQQMVMPGHRESNLQKELNRYIPTAAAFGGICIGALTVLADFMGAIGSGTGILLAVTIIYQYFETFEKERASELGFFGF >OIW04799 pep chromosome:LupAngTanjil_v1.0:LG09:12791072:12798159:-1 gene:TanjilG_11145 transcript:OIW04799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFGGFLDNNFGGGGARNLSDISHSNGTITNQNNNNRIMPSGAISHHRIMTPTLAKPMFNSPGLSLALQTNIDGQGEHVNRSMGENNNNFEVNGLRRSREEEHESRSGSDNMDGASGDDEQDAADNPPRKKRYHRHTPQQIQELESLFKECPHPDEKQRLELSKRLCLETRQVKFWFQNRRTQMKTQLERHENLLLRQENDKLRAENMSIREAMRNPMCSNCGGPAIIGDISVEEQHLWIENARLKDELDRVCALAGKFLGRPLSSMTNSIAPQLPNSSLELGVGSNGNGFGGMSNVSSTLPLGSSDFGIGGMSSPLAMVSHSTRPTGSMATGFDRSMERSMFLELALSAMDELVKMAQTNEPLWIKSLEGEREIMNHEEYLRTFTPCIGLRSNGFVYEASRETGMVIINSLALVETLMDANRWAEMFSSMIATTSTTEVISNGINGTRNGALQLMHAELQVLSPLVPVREVNFLRFCKQHAEGVWAVVDVSVDTIREISGAHNFVNCRRLPSGCVVQDMPNGYSKVTWVEHAEYEESQVHQLYRPLLSSGMGFGAERWVATLQRQCECLAILMSSAAPSRDHSAITGGGRRSMLKLAQRMTKNFCAGVCASTVHKWNKLNPGNVDEDVRVMTRKSVDDPGEPPGVVLSAATSVWLPVSPKRVFDFLRDERLRSEWDILSNGGPMQEMAHIAKGQDHGNCVSLLRASAMNSNQSSMLILQETCIDAAGSLVVYAPVDIPAMHVVMNGGDSAYVALLPSGFAIVPDGPGSRGTQNGGATTNSGNNGDEARVSGSLLTVAFQILVNSLPTAKLTVESVETVNNLISCTVQKIKAALH >OIW05338 pep chromosome:LupAngTanjil_v1.0:LG09:2367149:2370412:-1 gene:TanjilG_28803 transcript:OIW05338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKRSVGTLKEAELKGKRVFVRVDLNVPLDDNQKITDDTRIRAAVPTIKYLTGYGAKVILASHLGRPKGVTPKYSLKPLVPRLSELLGTEVKIANDSIGEEVEKLVAQLPEGGVLLLENVRFYKEEEKNDPEFAKKLAALADVYVNDAFGTAHRAHASTEGVAKYLKPAVAGFLLQKELDYLVGAVANPKRPFAAIVGGSKVSTKIGVIESLLSSVNVLVLGGGMIFTFYKAQGYSVGSSLVEEDKLDLATSLLEKAKAKGVSLLLPTDVVIADKFAADANTKIVPASGIPDGWLGLDIGPDSIKSFSEALDKTQTIIWNGPMGVFEFEKFAAGTETIAKKLAELSGNGVTTIIGGGDSVAAVEKVGLADKMSHISTGGGASLELLEGKPLPGVLALDEA >OIW04078 pep chromosome:LupAngTanjil_v1.0:LG09:20359756:20362496:-1 gene:TanjilG_00638 transcript:OIW04078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALCNKDENFHKKRDGFRSCGTKNKSINGSSLESRATSSSGSCSSGSTEKAKTAKGSSSPTPLGWPIRKAAVSKCSKSDEKENHHSQLDLDGTKFTTIRSKISGKFVGTEINMMKERFAKLLLGEDMSGCGKGVCTAMAISNAITNLCATVFGKLWRLEPLQCEKKEMWRREMDWLLCVSDHIVELIPSWQTFPDGSKLEVMTCRPRSDIFINLPALHKLDNMLLEILDSFTATDFWYVDQGIVAPEADGSASFRRRIQRQEEKWWLPVPRVPPAGLSDKSRIQLNHTRECANQILKAAMAINSTALAEMEVPESYLEALPKNGRTCLGDFIYRYITSDQFSQECLLDCLDLSSEHVALEIANRVEASIYVWRRRAHSRAPTNANRSTTKSSWEMVKDFMADGDKRELLAERAENILLSLKQRFPDLTQTTLDTSKIQCNKDVGKSILESYSRVLESMAFNIVARIDDLLYVDDLTKHSDRFPRVPKVNMVSQQMVSHSMAVSVSGTPHKPGVVTPRFSPAPLISPARGGDRTPFSTTNNNIVVVKPQHRRGFGVRRVLSNYLGVETKTTKVFTNSTEVNCSNTSNNKVQLPELKKEPYAMKSKTK >OIW04755 pep chromosome:LupAngTanjil_v1.0:LG09:14104067:14107866:-1 gene:TanjilG_08638 transcript:OIW04755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKRLVNDFVYVNMLWAFDSLGVFIRNYVLYSLGLILTYLFRFLKVGDSEKELHKPQLQADKTERNYEIYGFKEELANFLFWNGDNDDVGEGIVHGDGEELGEKGCFIFEDIHSGVHEDNENTEILPKCSISKENSHEDGMERIEEDESVFMDCESDNSVVHEDGEKIGVETEGFLFNETNSDFRQDAKRIDEEETESSASIGNDSDAEHDNIISREQEQQEEKIDEPFFIVSESTFYEDSKNIEEGEIENSVFVQGNFDVNKENKKIKEEKENRQIYLETDTATIIRMYQNMSEKDVISEFIEEPAAMSFSFREYFMSPNVSPISNNSCVSNVIIENKVSSELDKEKDPVEGKEKLVQFEFEAFGGTDSSDEDNFPFNENSVEYDSDSESSTSSGLIWGNSNKVEDSLAYQFLVSNEGFESELFKLMMKEERVDAEEEKQFSHGGKSSAEDTYIEMEAGVKDLKSLNAYSFGYKDKKGSYHEEKACRNVKSEETRWEEGLCESGSDEENDFEWEHDDLVEQLKMELKNARQGGLDTIIEEDGEDEEEEKEDMEAESSKVVEDLKPLKIEEKLQYKDQIDEIGNVYKSYAEKMRKLDILNYKTMHAVGLLQLKDPLKLISIPKSTIQVAKPVITQNLWRRKASKNASDPLLKIVQELQRDLELVYVGQVCLSWEILCWQHKKAHDLQPYDTQGCRYNHVAGEFQLFQVLMNRFIENEPFQQGTRILNYVKNRCVIRNLLQVPDIKDDSMKDKNITKGDEDDDAIASGRLADIIKESMSVFWEFVKADKDYGNVIPKVSQQIGIDPAISGLLVDIRTQLHKV >OIW05137 pep chromosome:LupAngTanjil_v1.0:LG09:5914526:5915383:-1 gene:TanjilG_02610 transcript:OIW05137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVQEEQQQHDLNHMQMIKLKGKRTKRQRLPSLFTLAMPSTSSTDNSEEEEDMANCLILLAQGRHHHDHHQKQNSSPESYQTTTPDHSNNNNRKKLGLCIYECKTCNRCFPSFQALGGHRASHKKPKTSIAQDQKPGVTSFVNDCFDNYFDSTTTNTTLTLQIPNNRALFSTNPTTRTITKCNKVHECSICGSEFTSGQALGGHMRRHRTVLSTTSTTTFMSGAKNIRVCESPHESIEVKKPRNVLELDLNLPAPDEDHRECKLPFQSKEKVIVLNATSLVDCHY >OIW05349 pep chromosome:LupAngTanjil_v1.0:LG09:2198941:2234357:-1 gene:TanjilG_28814 transcript:OIW05349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIFISDEELSKFSGDAATVAAKADAFIRGLLHDLDTVRARADAADINAEQNCSLIEQKYISLAAEFSKLESQVSELQSSLDQRQRELAEAESQNHQVQLQLVEKDREIERLRTEVAELHKSKRQLIEFNGQKDLELSEKNATIKSYLDKIVHLTENAAKKEAHLSEVEAELGRSQAACTRFQQEKEILERQNAWLDDELTGKVNSFFELRQKHTELDADMSSRLTNVERQFSECSKSLQWNKDRVRELEVSLKSTQEELISVKDAAAANEERFSAELSTVNKLNELYKESSEEWSRKAADLEGVIKALESHLKQVEDAYKEKLENESSARKQVEKEAANLKEKLEKCEAEIETRKKANESNNLSVTSFTAEPWFASIEVDNMIDKNSLLVPKIPVGISGTALAASLLRDGWSLAKMYAKYQEAVDALRHEQLGRKESEAILQRVLYELEEKAEAIIDERAEHEKMADAYSLMNQKLQNSLTENTYLEKTIQELKADLKRRERDYNLAQKEINDLQKQVTVLLKECQDIQLRGGSIGYGVVDDTANINSTSTETEVEKVISEHLLTFNGINGLVEQNNQLRSLVRSLSGQIENQEVEFKEKLEMELKKHTEEAASKVEAVLHRAEEQGHMIESLHTSVAMYKRLYEEEHNNNLSHTHSSEALAAVTEVGRNNLKASIESSQEAARKSLEKAGERVRCLEDDLAKSRSEIIALRSERDKMALEAKFARERLDSFMKEFEHQKAEVNGVLARNVEFSQLIVDYQQKLRGSSELLSAAEERCRKHYMELSVLKNEKEVLSNAEKRASGEVHSLSERVQRLQASLGTIQSAEEVREEARAAERAKHEEYVKKLEREWAEAKKELLEERENMRGLSLDRDQTLKNYLRQVEDMSKELASALRAVASAESRAVAAEAKLSSVQRKMGSTDDKVVGMDGMSGPSTFSSDEVVSELQAAKEEIEKLKEEAHANKAHMLQYKGIAEVNEDALKQIEVAHENFKTESDIVKKALEAELHSLKEKVSELESESSLKSEAVASATAGKEEALASALAEITNLKEEILSKSSQISAMEIQISALKEHLDKEHQRWRAAQTNYERQVILQSETIQELTKTSEARALLQEEASELRKLADAQKIENSELKAKWEEEKVGLEKSRSDAEKKYDEINEQNKILHSQLEALHIRWAEKERNYAGVSSRSSSADLLGDASLQNVINYLRRSKEIAETEVSLLKQEKLRLQSQLESALKAAESAHASLEAERAKSKSFLFTEEEFKVLQLQVREMNLLRESNMQLREENKHNFEEWQKSHELAQKARAETENLEKLLRQRAIELEGRKIEIEALKMEKDHLNDKVSELIERSKKVDVEDYDRVKKLVRDLQDKLRDRDTQIEEIGKIVSEKQDSVSRLEQDLSNCRLELTERDKRIDDIVQVEANLKLEAEKHRKLLAQFKKRMDILSREKEELGKENQQLAKQLDEIKQAKKSISDTTGEQAIKEEKDTRIQILEKHLQLLRDELKKEKEDNRVERSRRLKTEKAIKDSYNNVEQEKTKFVNDLERHKEALKRLSDEVEKLKIDLSNLPEGTNAAQLLSGSSVDDFVAPYISAVENFEKEAQSVFSELGSHGNLGDASTLPESSAAATGSPVNVQPPGITSSVAPGASNLPPKSTGDSERRLALPRAGVEARKAGRRLVRPRLVKPDEPQGDVEMSDTEGPGGKPGPSGDTETQSSVAQSSQPLARKRIAPTSSSELREESATPGEKSFDVVAPVLKKSKGSESPEVCAEEQPAATPESTESNPIAEESFDGGELPQGQNDEAVEAQSEDGEIAVEKDEESKDPQRMDDTSLEESQGDKTGTLEENLDQPAETKVVTNDAERDHCEPDNQQLRLAPGSEREEGKMFLDSGDLEGGSDLSNIAENQESREGLSEPVASPEPSPARVDDDALEAGEIDSPERSSDDKNDEGDMVVDTAEVTDPIVVESEHVADPALVSNETSLTGSAAETSSSKTNLPVPKQGTSAETDDTKQQESPSMSTTINLSERARQRAQLRQAGVVSTIGRGRGRASPRGRVLRGRRGGHRMPPSGDA >OIW05169 pep chromosome:LupAngTanjil_v1.0:LG09:5467267:5468055:1 gene:TanjilG_19800 transcript:OIW05169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDASCDESRQSNWDVPPPRQPPQAAGYHHQGYQTKPVHVTAFSNKHHEALEFDYVYHAAIPEKRKINFIMKVLYVVSVGFIILFVIAAMTLNPKTPIYHVNDLRVMNFSLNPTLKGNWYTNITVYNPNPGRFGHFHDFKLDIMHNDEVIAGVSSEGFEVEKNKHQLLEVNFTTESEIVKALKNPKMKLDELKKEHESLHVMVDIKITPVPVFMSNMKTYIEGLAVAYCPGLRIVFQKNNISEGHLNIDCQPNFCRIMILKQE >OIW05289 pep chromosome:LupAngTanjil_v1.0:LG09:3295006:3295200:1 gene:TanjilG_03678 transcript:OIW05289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTYLARADRFPIHEVESRDDNENRTRGYPSEPNPNLTVKTRCDWVRVKPDPNFRVRIRVWYN >OIW04699 pep chromosome:LupAngTanjil_v1.0:LG09:14879380:14884248:-1 gene:TanjilG_06765 transcript:OIW04699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDFWNRAKTFAEEAAKKSQTLTSSSSNRFADLVSETAKKSKELAADASKKAEIIKKQADDQIKSLSDSLSAIAAAAPSPVAIAAAADPSPSPEDLEKFGVTDDLRSFVKGLTSTTFQNFPLRNDESEGSGAPTVASNVRKDLNEFQERHATLVLTTVKEISRLRYELCPRAMKERHFWKIYFTLVNTHVAPYETQYMEQVQLRAAEENVDNTAEQTVVTRGAGKAEATGKNVKGKSSNASSTEQDIDTFLLGDLEDSDDAPDDGQGSFDDDFDEIGNSDVEDEKHSKRTSAMTV >OIW04060 pep chromosome:LupAngTanjil_v1.0:LG09:20215726:20224295:1 gene:TanjilG_00620 transcript:OIW04060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHHSSKDTDTSAPQVNWWYVDESYEELKKGNQNVRISDETFTCPYCPRRKQDYVYRELLEHASGVGRSSSLKKSARERANHLALVKYLEKDLISMEGPTPFKPVDKGIKILSSEETVKCHSSNMDTDRSASQSQISGLYVKKFYKQLKTGSLNVKTSDETFTCPFCPKKIKRDYVYREILAHASGVGQSNSQKRSHWEKASHLALMKYLEKDLMNVDGPSKPVDKGTIILSPPETVVGQCCDKDTGINASQIIWRSVRKSYEELRKGSHTVKTSDLTFTCPYCPKRIKRDYVYREILEHASGVGYSTSQKRSATEKANHIALVKYLKKDLMNEDGPSKPVDKGTIISHAGDTVMSHCSVMYTNTSANQISVNHVDKRYEELKKGSLNVRTSDETFTCPYCPKKRKREYVFREILEHASGVCQSSSQKRSATEKANHMALVKYLKKDLMDVGGPSKRRYEGTFVYSDDQFVWPWTGIVVNIPSKQSEDGHCVGKSSSKLRDEYRIRGFNPQRVRPLWDLQGHSGAALVEFDKKWSGLDKALKFEKAYESDHHGKMDWFSNTIQKSGLYAWIARADDYNMNNIIGEQLQKMGDLKTISELMEGEARMHDKLVSSLINTIQVKNSHLKDMEIRYNETTLRVDTVMGEIDKLAQSHNQEMKKIQSSARQYVQSIFNSHERHKLQLESQNSKLELRRIELEKREAHNEIQRKKLLEEIDENANSSLQMAVLEHHKAKENVFKLAADQKRQKEQLHAKITQLEKQLDMKQKLEMEILQLKGKLNAMKHMQDDGDLEVLNKLDALQKDLREKELSLQELDALNQTLIIKERKSNDELQEARKELINSIKDMSIRATANIGVKRMGELDTRPFVETMKKTYNEEDAEERASELCSLWEEYLKDPDWHPFKITMINGKHQEIIDDEDEKLKGLKNEMGEGVYKAVVAALREINEYNPSGRYIFSELWNYEEGRKATLQEGVQVLLKQWKVQKRER >OIW04132 pep chromosome:LupAngTanjil_v1.0:LG09:20739587:20747380:-1 gene:TanjilG_00692 transcript:OIW04132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMERLRSSILSSPKLQRKARNSWVAVKDTYFLTKDTFEKHRVVFTVGTSIASVATAWFGYTLRHLHDSKVDERLRSIESAMKNNSNLEHSEIKDIVGPGGSCSIPACVATAGTTLIIGYGLGWRGGIWYATKKFKREQMKMLGQIKPGRWQLLGKIKPRVGQLLRRPLTRSKVPDATVKASETIMKGAPTVHTPEKFHYLPTPNDLPLFMSYGGAGALSDVKDVQLLLESLKHQEGDRLVVLNSNGIVLVEFFAPWCGHCKALTPTWEKAATVLKGVATVAALDADAHQSLAQEYGIRGFPTIKVFSPGKPPVDYQGARDVKPIAEYALKQVKALLKDRLNGKASGGSSNEKKEETKASVELNSGNFDELVLKSKELWIVEFFAPWCGHCKKLAPEWKKAANNLKGKAKLGHVDCDAEKSLMSRFNVQGFPTILMFGADKDTPIPYEGARTASAIESFALEQLETNVAPPEVTELYGPDVMEEKCGSAAICFVAFLPDILDSKAAGRNIYLQQLLSVAEKFKRSPYRQVTKISRLSFYALRVSLSKQPELEKHVGVGGYGYPALVALNIKKGVYAPLKSAFELEHVIEFVKEAGRGGKGNLPLEGTPTIVKTEPWDGKDGEIIEEDEFSLEELLGEETSSKDEL >OIW04744 pep chromosome:LupAngTanjil_v1.0:LG09:13831808:13833240:-1 gene:TanjilG_08627 transcript:OIW04744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMAFFSANFMLQTPHHDEHQPPPSLNSILPTCTPQDYHGGPSFLGKRSMSFSGIELGEEVNAEEDFSDDGSQASEKKRRLNIEQVKTLEKSFELGNKLEPERKMHLARALGLQPRQIAIWFQNRRARWKTKQLEKDYDLLKRQYDAMKSDNDALQAQNQKLQTEILALKSREPTESINLNKETEGSCSNRSENSSEIKLDISRTKAIDSPLSPHLTSIPFFPSSSATRPTTTVTQLFQIASRPELQCQKIDQVTKEESLSNMFCGMDDQSGFWPWLEQQQFN >OIW04081 pep chromosome:LupAngTanjil_v1.0:LG09:20384526:20384954:-1 gene:TanjilG_00641 transcript:OIW04081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLIPSFFGTGRSTNFSDPFSHGCTKETTTAIPYSHKDWKESPQEHVMKVDLPGLKKEEVKVEIDGRVLQISVEHSREEEERNHSKHHRMERSSSGRRFLRRYRVPENANVDQVKALMENGVLTVTFPKEEIKKPVLITGWT >OIW04292 pep chromosome:LupAngTanjil_v1.0:LG09:21740941:21748756:-1 gene:TanjilG_00852 transcript:OIW04292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMFTQGLDDNAIQWIKQGSQVQVQIEDPPSIHIIRSPLTQKITSDSFPKSHSSLNSPILPPPNFHSPLLPPRTFAFNLRDHYDDDDTDESIASLHDPDTETSNFSDEQLSCNHHLDYLDRPISQCYDEEELFGCKMNKPGGRNGIVKKGLGNENLSIEVPNSVRRYTDGEVGFKRCVQNKMTPTPVSSSSSLQKQVHLHNLNYLDELGTPSAPPIIVHADFSQDTDSQDFLRNEDGTSLPSTESLDYEKRRRSDCSIEQKPNITQRVDTTFTQDMERHTPNLSYYNTSCNSQYAWQTLITYDACIRLCLQAWAGGCTDAPEFLKDECLALRSAFGLNEFLLKPQGVKPTEGRSTMNSEQTLPLKMKVVGKIRVEVKKLRIIPRRKLNSAFSQRGSVYMQAGMLYIRHVSSLVKIGINSMKSASLSVASEEPLYCLIQLKSSTEENESEPCSAIFLCPGSGDYHDFFPESQGDALLLEVQDSKKAVQGQARIPISSLSDNPSDRIRWWPIYHDDNECVGKIQLSIGSTMTSDENNHIKSALVIETQAYDLLMEGAMCAQHFNSRNLRLNGPWKWLLDAFADYYGVSDSYAKLRYLLHVMNVATPTKDCLELVRELLEPLIKARSERSLTRQERSMLLDCETQIESLLATVFENYKSLDETSPSGLTEHIGPASVSAAPALEPAIQVYCSLHDILSVDAQTILRNYLRTAARKRCRKHMMETDEFVSSTSEGYLIDTVTISTAYLKMKNLCVSIRNEIQADINIHGQHIIHGQHIFPSSIDLTNITAAVYSTELCKRLKTFLAAWPPSSPQPHVNELLVATADFERDLDSWNISPVQGGLDSRNLFHNYITGVWIQDMQLSLLDFCKAEKVPWSGVITNHSTSPFAEEIYEKIKDNLIQYEVVCNRWPQYSLYLESAVANVERAIMKSLEKQYSDILTPLKDSIPKRLHLQVQKLSRRQSATVQLVPNQLGIFLNTVKRILDVLHCRVEDILKSWASCLPVMGDNKKALFGEQMNGITVLLRTKYKTYLQAIIGNLVSNMQGNRSTRLKKILEETTEADGEGEVRERMQLLNSQLIDFISNLHEVFTSHIFIAICRGLWDRMGQIVLKFLEGRKENRIWYTGSCYALGILDDTFASQMQRLRGNALQEKDIEPPRSVIEARSILCKDTTNATDPSTYFYV >OIW04237 pep chromosome:LupAngTanjil_v1.0:LG09:21469394:21473024:1 gene:TanjilG_00797 transcript:OIW04237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTWSRPFSSDSGDLVDVVVPPLAESISDGTLAKFLKRAGDRVNIDEPIAQIETDKVTIDVSSPESGVILKFLANEGDTVEPGNKIAIISRSADATTHVAPSETTPEKAAPQPTQKISEEKKAPKAETVPVTEKPKATPAPHSSHSEPQLPPKERERRVPMTRLRKRVATRLKDSQNTFALLTTFNEVDMTNLMRLRADYKDAFVEKHGVKLGLMSGFIKAAVNALQHQPIVNAVIDGDDIIYRDYIDISVAVGTSKGLVVPVIRNADTMNFADVEKQINTFAKKANDGTLSIDEMAGGTLTISNGGVYGSLLSTPIINPPQSAILGMHSIVSRPMVVGGNVVPRPMMYIALTYDHRIIDGREAVFFLRRIKDIVEDPRRLLLDI >OIW04709 pep chromosome:LupAngTanjil_v1.0:LG09:14656041:14662890:-1 gene:TanjilG_07834 transcript:OIW04709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKQKTKMGDSKFVFLGYLLVCSVLQLVWSHGDHPLSKVSIHRASLSLLDLAHIKVSPPILGLQGQTAEWVTLEYSSPIPSIDDWIGVFSPSNFSASACPAENRRVYPPLLCSAPIKYQYANYSNPQYSATGKGILKLQLINQRSDFSFAMFSGGLSNPKVVAISNKISFANPNAPVYPRLAMGKLWNEMTVTWTSGYGINEADPLVQWGPKGGDHIHSPAGTLTFTKDSLCGAPARTVGWRDPGFIHTSYLKELWPNRIYEYKIGHRLNNGTYIWSQNYQFRAAPFPGQKSLQRVAIFGDMGKDEVDGSNEYNNFQRGSLNTTQQLIQDLENIDMVFHIGDISYANGYLSQWDQFTAQVEPIASAVPYMIASGNHERDWPGTGSFYENMDSGGECGVLAQIMFYVPASNRAKFWYPIDYGMFRFCIADTEHDWREGTEQYKFIEHCLASVDRQKQPWLIFLAHRVLGYSSCICYAEEGSFAEPMGRESLQKLWQKYKVDIAIYGHVHNYERTCPIYQNICTSEEKHHYKGTLNGTIHIVAGGAGASLSTFTSLKTKWSIFKDYDHGFVKLTAFDHSNLLFEYKKSRDGKVVEILMGGEVGNERNGEVLEGGDGFEGLQCLMSVACDEGFVKGGFKNGELVLC >OIW05454 pep chromosome:LupAngTanjil_v1.0:LG09:684321:688935:-1 gene:TanjilG_12045 transcript:OIW05454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLQLPKKRVAFVLIDGLGDVSLPRLGYKTPLEAAKLPNLDAIASAGVNGLMDPVEVGLACGSDTAHLSLLGYDPRVYYRGRGAFESMGAGLAMSPGDIAFKSNFATLDEKTGIVTSRRADRHFEEEGPILCAALDGMKLPSFPQYEVRVRYATEHRCGVVVKGPNLSGNISGTDPLKDNRLLLKAEALDDSHEASHTAAVVNELSKEITKILVSHPVNAKRVAEGKNVANIVLLRGCGIRIEVPPFINKHGLRPCMVAPTKIIAGLGLSLGIDILEAPGATGDYRTLLTSKASAIAKALSAPLQPCPRVFVPGEDEHKAGRLDGYDFGFLHIKAIDDAGHDKASILKLKGLEAVDTAIGQLARLLWEAESSGKFQFFLCVTGDHSTPVEYGDHSFEPVPFAMCRLKDFVGAVGESNVCQTSLDPFPIPSVKHGEDLTNDFEIQERRDKCCQPYSGDSVSELNEMAAARGCLGRFPGGEMMGIIKKFLSLDADTD >OIW04082 pep chromosome:LupAngTanjil_v1.0:LG09:20388363:20390798:-1 gene:TanjilG_00642 transcript:OIW04082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGKSRLFTIGLVSAWYSSNIGVLLLNKYLLSNYGFKYPIFLTMCHMTACSLLSYVAIAWLKMVPLQTIRSRVQFFKISALSLVFCVSVVFGNISLRYLPVSFNQAIGATTPFFTAVFAYLITFKREAWLTYFTLVPVVTGVVIASGSEPSFHLFGFIICVAATAARALKSVLQGILLSSEGEKLNSMNLLLYMAPMAVVFLLPATLIMEENVVGITLALARDDIKIVWYLLFNSTLAYFVNLTNFLVTKHTSALTLQVLGNAKGAVAVVVSILIFRNPVSITGMMGYALTVLGVILYSEAKKRSK >OIW04352 pep chromosome:LupAngTanjil_v1.0:LG09:19182612:19183177:1 gene:TanjilG_32544 transcript:OIW04352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLQNDIDLLNPPVELEKRKHKLKRLVQTPNSFFMDVKCQGCFNITTVFSHSQTVVVCGNCQTVLCQPTGGKARLTEGCSFRKKGD >OIW04175 pep chromosome:LupAngTanjil_v1.0:LG09:21035869:21037574:1 gene:TanjilG_00735 transcript:OIW04175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQADEDVGKIAMAVPLLVSKALELFLQDLCDRTYDITVRKGAKTMNAFHLKQCVQTFNVFDFLKDIVSKVPDLGGSAAPGDDRAVTKRRKVAEDGDNDSDEEQKKSKMPEPGHTSGRGRGRGRGRGRGRGSRTADQEMASHVKVEDDSDMLHQNDKHTRSNESLENVPDPEEVKQSFAVSKPAETCIRNFDLNMEPDENMDSLDVPTPVPSSSPVKSMSEEKHEEYPGWSLSDMEKIAIDPIQLANLNRNVDEDMEDYDEEM >OIW04854 pep chromosome:LupAngTanjil_v1.0:LG09:11148785:11148973:-1 gene:TanjilG_13694 transcript:OIW04854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHGFYTQEMYAGEDGQLTWFNAGVRVGVGIGLGMCLGIGVGVGLLMRSYQTTTRNLKRRFF >OIW04440 pep chromosome:LupAngTanjil_v1.0:LG09:19957759:19960376:-1 gene:TanjilG_32632 transcript:OIW04440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFSLTEPCKKRKLRNPKVFRFNSFPVTFSGPFRENVRLFLQECGDLDDYTVLGNPLCCTLLVHNNTNLMAPLYTLEEDVYHSSHPFCHYCRSAGWSGHFVTKRRYHFIIPMDSGWHKPLDDSAFDNQSHLLHGVIHCNGCGHLICINGYEGGSKVLSGREIMDLWDRICTNLKARRISVEDVSRKRSMDLRLLHGVAYGHSWFGRWGYRFFRGSFGVTEKNYYEAIESLGSLELDEIARDLSKAKHGKEMKQMIQCYRDMSDTPITTIRDLLRFMLTVKPRAPVTKITVTCSVPSSFNSRNSTRHALSNRTNLMKEKSVRYKKFNAIVANMDSRWPKRRLEFAAQVIVDALNEHKGMKSGSGGMTRQDVRDAARLHIGDTGLLDYVLKSLNNVIVGNHVVRRMVNPYTRILEYTIHEIGNGIKEPEMEPEVMAHVYPRVESCRRPSFDVSNDVLYVYKNVLLGYPDSEQVDMAVQRILDSRHFVKEFGLMDEMEQVLTFICKLKPNVGDTKYELREAGCSVIVMVPLHATVGDLKKAVEAALRDTYCTAERVIVTDFGELMGVSDEEVLFGLIQSGMELCVRGISIDLFTPLKYQGGADNWKVRCECGAEDDDGERMVACDICEVWQHTRCCGIDDSETVPPLFVCTGCCDSVAPACIESDIDMDCVDSYVISPQPTQLLEYGYGY >OIW04443 pep chromosome:LupAngTanjil_v1.0:LG09:19972626:19973141:-1 gene:TanjilG_32635 transcript:OIW04443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFTLLFMKERKKHKHGTDELSVVKAAAWAWYQRGSGSENKAVTVFDASRNHRAPRPSRYKLEALLRMEKEAEETSSIHTNKTLLDAYEIESISRHLDNFIELECKQNKVENGSNPDNASLGNGGGKMKKKKNRRPRHGGICGTLKDVFDINAIWCGHKWLEIGPPRIGFR >OIW05259 pep chromosome:LupAngTanjil_v1.0:LG09:3690625:3699497:1 gene:TanjilG_03648 transcript:OIW05259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKILECIRNTLDRRVQFYEDEIRKLSEQRLMPVWNFCNFFILKESLAIMFEMAHLNEDALREYDELELCYLETVNMTGKQRDFGGADHGDDQAALLNPGKKTLTQMVQEDSFRGFEFRQYLFACTSKLLFKLNRPFEVASRGYSFIISFSKSLESRERILPFCMREVWVITACLALIEATISHYDEGHVVPDMEQEFFRLLGDLYSLARVKFMRIAYLVGYGTDIERSPVNSASLSLLPWPKPAVWPSVPADASAEVLEKEKLILQTNPRTKHFGIQRKPLPLEPTVLLREANRRRASLSAGNVFEMFDSRQAALEGSPSQKLHASSMPRANSSPESINSSIDQPMRLAEIYIAAEHALRQTISNPELLKSLSSSEEFEQKYLELTKGAADNYHRSWWKRHGVVFDGEIAAVAFKHGHFDQAAKSYEKVCALYAGEGWQDLLAEVLPNLAECQKILNDQAGYLLSCVRLLSLDEGLFLTKERQAFQSEVLRLAHSEMKDPVPLDVSSLITFSGNPGPPMELCDRDHGSLPVTVWSGFPDDITLDSISLTLTPTHNADEGVKALNSSTAIVLRPGRNTITLDLPPQKPGSYVLGVLTGRIGQLRFRSHSFSKGGPEDSDDFMSYERPAKPILKVAKPRALVDLEAAVSSSLLINEHQWVGILVRPINYSLKAAVLHIDTGPGLEIEDSHVIEMESSSSVLQDDDEQVQEDGAQIGSLNSGKRFERLSLSDGKIEFPTWASDTPSTLWVLIRAIGDSLSRGSSSATTRTENIVDGMRTIALKLEFGVFHNQIFERTLPVHFTYPFYVTTRVTDKCNDGTLLLQVILHSEVKAKLTIYDAWLDLQDGFVHTGESEGRPNSSDFPLVISPTSKAGILFSICLGTANEEEATKQSESILNIRYGISGDRTIGAHPPLMNESTRVDGARQELIFRTAINLQRPVLDPCLAVGFLPLPSDGLRVGKLVKMQWRVERLKDLDKKEVSEHNASPCKHDEVLYEVKAYSGTWMIAGRKRGHVSLSREEGARIIISILCMPLVAGYVRPPILGLPDVADADISCKPAGPHLVCVLPPALCSSYCIPVDSRA >OIW04124 pep chromosome:LupAngTanjil_v1.0:LG09:20687007:20690053:1 gene:TanjilG_00684 transcript:OIW04124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDSESNITHVVAPLLPREEDHCSQHGSISGAVFNISTTMIGAGIMSIPATMKVLGIIPGLVVIVLVALVTDITVEFMLRYTSSGKSITYAGMVGESFGPFGSLAVKICVIITNLGVLIVYLIILGDVLCGNESNGITHLGILQDWFGIHWWTSRAFALLILALFIILPLVMLKRVDSLRYTSAISILLALVFVVICSSMSFYALWRGKTQSPRILPDFSQVTVLDLFTTVPIFVTGFGFHVNVHPIRAELGKPGDMNWAVRISLIISVVIYFAIGFFGYLLFGDSIMADMLVNFDHSSNTPAGRFLNDIVRLSYALHLALVFPIMSYSLRANIDELVFSNKNRPPLALDTPRFVSLTLSLLALTYLVAVAIPNIWDFYQFLGSTTIVCLSFIFPASIILRDMHGISTTKDKVVAIVMIVLAVGTSAIAIWTNLYSSIAH >OIW05327 pep chromosome:LupAngTanjil_v1.0:LG09:2546268:2549215:1 gene:TanjilG_28792 transcript:OIW05327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVNEDFMKNDEYVEHEFPLPGFRFHPTDEELVSFYLLRKVEEKPISMELIKEINIYKHDPWDLPKASGNGGEKEGYFYCQRGRKYRNSVRPNRVTGSGFWKATGIDKSVYSRGGEGNDCIGLKKTLVYYCGSAGRGSKTDWMMHEFRLPSNHSAIIKIPNTKNNADIAQEAEIWTLCRIFKRNVSQRKNMTDIRTHSASKRQNNINISIRKSTEESTNTNEEAYINFGANLGHYQNEKKHVINYPRNLFNVGQLSSSVAQQSLLTEPSSNFWINLAVTNELFTSENWDELGSVVKFSVDSPSL >OIW04575 pep chromosome:LupAngTanjil_v1.0:LG09:16934519:16935940:1 gene:TanjilG_20931 transcript:OIW04575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLIISPSSSSSLVSLPKENPSTLQQKLQFLVETQPDWWVYAIFWKAKNDDKGNLYLSWGEGHFQGTKETFPKQQQQQLLSNTCANKDQNDAEWFYIMSLTRTFSITNASSSSSSSLPGKSFALGSVLWLNNKHELQYYNCERTKEAHMHGIETLICIPTTNGVIELGSYDTIKQSWGIVQHSKSLFGSSSLSPPDLMDKDNNNNSNNHLNPSQTQFFDVQNFSFADIGIFAGVQQNEDTTKINTQEQSNNKTNIRSSYVDSENSDSDNCIILATENTSEKKKAILLPKKRGRKPLLGRETPVNHVEAERQRREKLNHRFYSLRSVVPNVSRMDKASLLSDAVAYIKELKTKINELEKEHCSNKKVKVEMADTMDNNSTTTTSTVVDQNGPNNLEVDVKMVGDDAIVRVQSDNVNHPGARLMSVLRDLDFQVHHASMSCVNDLMLLDVVIKATNMMRSEEGIKSAILMRLNH >OIW04117 pep chromosome:LupAngTanjil_v1.0:LG09:20638506:20644883:-1 gene:TanjilG_00677 transcript:OIW04117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRKRIVHQSNHPFDSYPFEAYISGSWQDVEFITIESGTLYMHLKSKPPVAMEKGPFSDIRVKSRKAVSSDCSSFLRHGIDISVLSPPKQTDNSDGLNLKPVWLDARISSIQRKPHNSECQCQFYVNFYVNQGSIGAELRTLRKEVKVFGINQISILQKLEHSPCENEFYRWASSEDCPTLPNTKLLLGKFLADISWLVVTSVVKKVQFCVRPVEDKLVYQIMESEVASSSLNTESPINVVSFTFDDKDGLLVSSVSQVVTAKPKTIEYAHGSHEDKVSPSSYNVEGLRRSKRRHVQPERYIGCDDVTEFDVGSFRTQPPMKIDTAAKEDDELSMPLSCLFGLKQKPLLEDLRDFQKVSKMNTCGELLVYNRRRRPKTKKLKSIRSDPIEQENPLAIVPLPDQVDPVSVKHCDLNDKVTGSHGRESSETPLKNYHHHLASSSKQRWKNVSLLTFDPNPNAAQADDPENIDGFSSRSHFGYSTSKILKKHSFFMDDMDLGGTKWEGISSSKGAQEKTYYPTYSRSRNHEEERRYKDRSLNATAYKDLINSYLRDINTKPTTEEPPATDQWKQFQETSGFSEKKETGTEISEGEDENDEESEIEMLWREMEVSLASTYLEDAEGSNAGNPAETMEKQNQGCPHDEEYKRMDDEIGIYCRVCGHVYVDIKDMFPPFLERTEWHHEEKQCIGEDPDLEVEADEHDDYHLFSTDSPSEDEPKTEESENVWALIPELRLKLHAHQKKAFEFLWQNIAGSMEPSVLEAHSKRTGGCVISHSPGAGKTFLIIAFLVSYLKLFPGKRPLVLAPKTTLYTWYKEFIKWKIPIPVYLIHGRRTYRVFKQKHSMVFPGIPKPTEDVKHVLDCLEKIKNWHSQPSVLIMGYTSFLTLMREDSKYAHRKYMANVLRESPGMLILDEGHNPRSTKSRLRKVLMKVHTELRILLSGTLFQNNFCEYFNTLCLARPKFVQEVLKALDPKYKKKKKKGANYESRARKLFLDTIAKKIDSNDNEERMQGLNMLRNLTHGFIDVYEGGSSDGLPGLEIYTLLMNPTETQHEMLHTLHEKMAQCNGYPLEIELLITLGSIHPWLIKTAVCAEKFFSKEQLSDLEKCKFDLKIGSKVKFVLSLIYRVVKNEKVLIFCHNIAPVKLFLEYFERYFRWQRGREVLVLTGELELFERGRVMDKFEEPGGVSKVLLASITACAEGISLTAASRVIMLDSEWNPSKTKQAIARAFRPGQQKMVYVYQLLVSGSLEEDKYKRTTWKEWVSNMIFSEDFVEDPSQWQANKLEDEILREMVEEDKSKSFHMILKNEKASTNREVMMGSKF >OIW04607 pep chromosome:LupAngTanjil_v1.0:LG09:16034996:16037790:-1 gene:TanjilG_30505 transcript:OIW04607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASREGRRRHHDLVPLSVLLSREMKNEKMEKPTVRYGYAAQSKKGEDYFLIKTDCYRVPGNNSSSFSVFAIFDGHNGDAAAIFTRENLLNHVLGALPRGLGSEEWLQALPRALVAGFVKTDKEFQRRGETSGTTATFVIVDRWTVTVACVGDSRCILDAQGGAVTNLTVDHRLEENIEERERVTASGGEVGRLSIVGGAEIGPLRCWPGGLCLSRSIGDMDVGEFIVPIPYVKQVKLSKAGGRLIIASDGIWDALSSEMAAKSCHGLPAELAAKQVVKEALRTRGLKDDTTCIVVDIIPPDNELPPPTPPKKQNIFRSLLFRKRSNDSSGKLSKKLSAINIVEELFEEGSAMLAERLGNDENSGQSTSGIFICAVCQVDLGSSEGISVHAGSIFSTSSKPWQGPFLCADCRNKKDAMEGKRPSGVKVS >OIW05033 pep chromosome:LupAngTanjil_v1.0:LG09:7898020:7902608:-1 gene:TanjilG_18632 transcript:OIW05033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGEDSDGHEGDGYIPEDPHFFPKFDFDDENDSDNFGFSNILHPDQYDEGGLVGEANPNENYFDGRHLGYLPKSPDFFIDINSDMETEINTDEFRELLRPHEHDARTLVENAANPNENHFDEHDVGYVPQEPILPQQIHIDQGIAPFVFEFSHPKEHDDADTLIEEDANLSENYSHGHEVGDFPTDYHSQEHIIEEHHVEGNMIGNFATSEQHGSENDFRGNRTEKWPGWPGQNIFRLLVPVKQVGCIIGPKGEYIKKIIEETMARIKVLSGPPGITERAVLISAREVPHCTVPPAVKGLLRVHEQVLNDTASITSGAVCSIITRLLVPDSQGRSLIGKQGFTIKSIQEYTGCIIRVLRSGSRPVFALEDDSVVEIHGESAGVHKAVELIALHLRKFLVDHSIIGMQRLDVQVNNNMIQSQTWGLPPLGVPENPVAAAGGHDFASNHQYMPHFNHYGNYYHLPQDPSPAYATDSSMEVHSSSVEPKSSVETKDTQYMQIPMSYADAVFGASGANISYIRSASGASVTIRETEYMPGLMTVEISGTSSEIQTAELLVQNFLAGATCTEHDHIEGSNSRSDSSYAPSESSGAGDHTNLPSQNDVPLDGTTFGH >OIW05114 pep chromosome:LupAngTanjil_v1.0:LG09:5671936:5672856:-1 gene:TanjilG_02587 transcript:OIW05114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGILSCYNEHAISVSDSHSSKHNNPSTPHTITCIYKLNLFSNQTQFLITITWTKKLIHQGFTININPSDSDSDSSNSHQFRKNKGIETIQHHNFEVQVLWDISDAKYYEGSEPVEGFYLILLVNSELGLRLGDKDEEWLKNKKVTGDFSLVSKSELFYNTNVYATKSKFCDTGISHDIVIKFDIVEEELSKSYSHHQNHRYSYNHNNYVLCVCMDNRTIFEVKRLRWNFRGNQTIFVDGLVIDVMWDLHDCLFNQNKGSAMFMFRTRSGLDCRLWLEKNLRIDNEFQDKEIGFSLLICSNCKNPD >OIW04282 pep chromosome:LupAngTanjil_v1.0:LG09:21694786:21697113:1 gene:TanjilG_00842 transcript:OIW04282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSDNGKLFIGGISWDTNEERLREYFSNYGEVVESVIMKDRTTGRARGFGFVVFSDPAVADVVVKEKHNIDGRMVEAKKAVPRDDQNVVSRNNGSIIHGSPGPGCTRKMFVGGLASTVTESDFKKYFDQFGTITDVVVMYDHNTQRPRGFGFITYDSENAVEKVLLNTFHELNGKMVEVKRAVPKELSPGPSRSPIGGYNYGLSRVNSFLNGFTQGYPPSTVGGYGLQVDGRFSPIASAPFGSGYGMGMNFEPGLSAAGFGGNANFSGNLSYGRGLNPYYIGSSNSFGSPVGYDSGNGGNNSFFSSVTRNLWGNGGLSYGTTSANSNAYIGSGSGSVVRGNAFGNTGVNWGSSAAISGPGGGNNVSQSSGNLGYGCGANNSYGLGNGGYERINATTITPTSYSPSNVGVDGAFAEFYNSSSVYGDPTWRSSNSERDGSGPFGYGLGSAASVVSAKSPPGYVGGYTVNQRQPNRVKSSYELDVLLSVILLVLTLPPRQSSYVLWVGAIKSLHSPHKASITIHTVG >OIW05448 pep chromosome:LupAngTanjil_v1.0:LG09:737633:739751:1 gene:TanjilG_12039 transcript:OIW05448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEDDTKAIRTAGCNNWPSRICDTCHAATCTVFCRVDSAYLCAKCDTRVHTANHMKSRHERVWVCEACQHAPAAFLCKADAALLCSSCDAYIHSANSLTSRHHRVPILPISGFLHGPPNTTIQDDGFESGHKEDEGVDVEDENEAASWLLLNPVKNNSSNNTNEQSNGFMFDEYLDQVDYNSCGDNYRQQNQHQQQGQNFQLGLDFDQSPRFSYNGSIAQSVSVSSIDVVIVPESTMRDVLISHPSPTQGTIDLLYGPSCHLTPMDREARVLRYREKKKTRKFEKTIRYASRKAYAETRPRVKGRFAKRTDVEAEVDQMFSSSLITEVEYGIVPSF >OIW04511 pep chromosome:LupAngTanjil_v1.0:LG09:17894011:17895849:-1 gene:TanjilG_13893 transcript:OIW04511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKHLILMSMFTILIAGASAASSSCPMDLSYVETFPWDTSTCRDPIDTQHCCQTLLSLFGIGLAQHLKETSMFQLSNENTSSTCLSDFKTMLSALSLQPSLVPSCFQNSTQFVTNTSSCAGIVNISDWKQKVGTLTPLDTSCNGDMKVQTRCSICTDAGFKVTSQLTSIDPNNSTKCFYFSILYAAAIVNELGPTDIGTASCILGLPLSNSATSNKKQVLKIVVGLIGALVGVVLGFVLIIMYRKWDKRRKEDNIHRSIENSVRASVLPNAGAKWFHISELERATNKFSQKNMIGQGGDGVVYKGTLQDGTLVAVKEIFGLETKGDEEFCYEVEIISKIKHRNLVALRGCCVTSDNSKGKRRFLVYDYMPNGSLSYQLSIAGANRLTWPQRKNIIIDVAKGLSYLHYEIKPPIYHRDIKATNILLDSKMKAKVADFGLAKQGSEGQSHLTTRVAGTYGYLAPEYALYGQLTDKSDVYSFGIVILEIMSGRKVLDSNMSSSLVLITDWAWTLAKSGKTDEILDESIREQGPEKIMERFVLVGILCAHAMVALRPTVAEALKMLEGDIDIPELPDRPVPLGHESFQSSLLAGMQRSGRSSPYTMSSYSTSMSTL >OIW05509 pep chromosome:LupAngTanjil_v1.0:LG09:145216:148774:-1 gene:TanjilG_27639 transcript:OIW05509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNETTDSIESEANALLKWKSSFDTKSQVLLSSWKSTTTTSVCKWEGILCDKSKSVYSLSLTNYGLKGTLHTLSFSSLPNLLSLDISNNSFYGTIPPQIGNLSRLNHMNMSYNFLNGSIPQEMWTLRSLYDLDLARCNLSGSIPISIVNLANLSHLDFGNNYLEGYIPIEIGKLSNLVYLGIAHNPTLKGSIPKEIGMLRKLEFLDLSESGLYGTIPSEIGNLTKLNFLYLFGNLLSGSIPSSIWNLVNLFELNFSINKLSGSIPSYVGNLVNLTILALSENHLSGPIPPTIGNLTQLNVLYLVTNNFSGSIPTSVGNLVNLYLLSLGENNLSGSVPSTIGNLIQLNDLQLFGNKLSGSLPHEMNNLTNLSNLQLSDNNLIGPLPPQICSSGYLETLSADNNKFSGPIPTSLKNCSSVTRIRLDENQIEGNITEDFGVYPNLDYIDLSSNRFYGQVSPNWGKCPKLTRLIIRNNNLSGGIPIELVNATKLGALHLSSNQLTGKLPKELGNLKSLVELRISNNHLSGNIPTEIGSLQNLQHLDLAGNEFSGTIPEKVMALPNLLELNLSNNKIEGSIPSNIGQSLAFLDLSYNSLSGTIPTMLGDLKHLEMLNVSHNDLSGTIPSSFDTTLILNTVNISYNQLEGPIPNTKAFLNASIESLKNNRGLCGNVTGLLLCSTDSSHKKSRNVLKFVLFYILGPLALVFCGVVLSMYIICRRKRKSEVQAQEAQTEAHFSIWSYDGKMMFETIIEATENFDDKYLIGVGGQGRVYKADLPTGQVVAVKKLHSVTGEEMSSVKAFTSEIQTLTGVKHRNIIKLYGFCLHSQFSFLVYEFLESGNLDQMLKEDTQATAFDWEKRVNVIKGVANALSYMHHDCSPPIIHRDISSKNVLLDLEYEAHLSDFGTAKFLTHGSKTWTAFAGTFGYAAPELAQTMEVNEKCDAYSFGVLALEIIMGKHPGDLISLFMSSSTVPIANNLLLIDALDQRIPQPTKNPIVGEIILIAKLALTCLSTNPHSRPTMEEVSKELDKGKSPLQYQFHTIRLGQLH >OIW05412 pep chromosome:LupAngTanjil_v1.0:LG09:1500510:1501277:1 gene:TanjilG_28877 transcript:OIW05412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSTASEEVLKSVFPLLDGVDLASVMAVCKQWRDIAKDDYFWKCLCAKRWPSICKRPNPPTETYYNLYKTFYKRQHHRTLLPPRISFDDLEFFIDIWAENILVFSDVVPGSVLQPGFKIPPSGICDMLKFHLEGSEYKMTLPVEPTFSIPLGQNQDASVSVMVVRKDSNKVARVINKSMFDYIDRSSYRALAFDYLDISPIYPFLSGIRAWISLLFMEDGNEDVMRVFGIQMDFCDVANSKEEVLWLLDMLDWK >OIW04760 pep chromosome:LupAngTanjil_v1.0:LG09:14187074:14190130:-1 gene:TanjilG_08643 transcript:OIW04760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQSDKLKHGEQEKGFRNLHGNFDLNVTAPELEEQPNVKWQLIEKHNSQFSELPGRMENHKDNDSVDPQQCEEHAHQTKNVEGCIDHLLILAQSAEIVAQSEDGVARMDTDQNKDQEYSRELLHSSPVDSPLSSQGCEFCNEVTRPCFTQILKQTGCEYLIMNQEKRGDNQCKHLHGKTIRLSKIKHQARCKSNKDLAAQKMISKPRNEQPQGRILRLSQMKHQARSKGNAIAKEDNKEKGFASRLVEEGICQGDLSLSMVEGAPAHNISRGSSQSSVAAISDAQKEFMPVKRLRLTQLRREVRLGNLRFCITRAC >OIW05447 pep chromosome:LupAngTanjil_v1.0:LG09:741203:746044:1 gene:TanjilG_12038 transcript:OIW05447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKRKHTESEAVAVLKKENDAPERPKRTLLGWKDKNEVKDNEVVVVVKDVDSPFFRNKEKVLVTCSRRINYRYRHLMLNVVSLLPHCKKDNKVESKETKGATLNELVELKNCSSCLFFECRKAKDLYLWMAKCPNGPSVKFLVSAVHTMDELKLTGNHLKGSRPIVTFSTNFEKDAHWKLLKEMLLQIFETPKDHRKAKPFHDHVFVFSIVDDHIWFRNYQISVPHNDADKLPRGGLDKMTLVEVGPRFCLNPIKIFGGSFGGPTLYENPFYVSPNQIRALQKKKNAGKYAKKVKAKTRRKMHEMSNTLEPDEFADMWKE >OIW05388 pep chromosome:LupAngTanjil_v1.0:LG09:1686494:1694007:1 gene:TanjilG_28853 transcript:OIW05388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECLEGRSVVELENASLLGPSSFQRKSLSFAEVSPIDHDCEKFSQDVVRSKVLSSIKVVVLSTKINLLMPFGPMAILVHNLFDSHGLVFLFCLLGIIPLAERLGYTTEQLALFTGPAVGGLLYATFGNATELIISIHALKSGFIHLVQQSLLGSILSNLLLVLGCALFAGGVVFYKREQSFNHADTSVDFGLLLMAVMGLIFPAVLHATHTELENEKSQLNLSRFTSCILLVAYVCYIVFQVKSQKNLPALVEEDGGLNKETTDDAEVPEISMWESMIWLAILTGGISVLSGYLVKTIEGASKELKMPVAFLSVILLPIVGNAAEHAGSVMFAVKDKLDLSLEVAVGSSIQISMFLIPFCVVVGWMIDCPMDLNFQPFETTTLFMSVIIVAFLLHNGTSNYFKGIMLILCYLIVSASFYVHTDPAPIGGKL >OIW05375 pep chromosome:LupAngTanjil_v1.0:LG09:1821547:1824590:-1 gene:TanjilG_28840 transcript:OIW05375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGALSYVFMLTILCCSFLIHNVAFAADYGSALTKSILFFEGQRSGKLPPNQRVQWRGDSALTDGQSAGVDLVGGYYDAGDNLKLGFPFAFTMTMLSWSTIEFEDKLTEKNELDNALSAIKWGTDYFIKAHTEPNVLYGEVGEPDSDHQCWQRPEDMTTPRDAYKIDEQNPGSDLAAETAAAFAAASIAFKTADPNYSSTLQLHAVQLFDFAKNHQGSYSDSISAARKVYSSSGYKDELLWAAAWLYRATELKTYLDYLGQASDTGGVRSTFSWDDKFTGAQLLVAKLLLDGKVDSSGVWAQFKSEGEDYICSLAGKGTRNVAKTPAGLFWFLPDNNSQYVTSATFLMSVYAKYLTEKGSSSLQCNGGAITPSDLQSLVKAQVDYLLGSNPKGLSYMVGYGPNYPQQIHHRAASIVSIKEDATPVTCKGGFDQWFNRNAPNPNVLEGAVVSTDGNDNYNDDKNNYQTAEPSTVVNGPLVGVLAYLA >OIW04563 pep chromosome:LupAngTanjil_v1.0:LG09:17154047:17155303:1 gene:TanjilG_20919 transcript:OIW04563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAQSSQDAQVIEVSGDILPGRSKICAEVPCGFADAGSISKESEERSTSMRKLLMAVVLCVIFMAVEVVGGIKANSLAILTDAAHLLSDVAAFAISLFSLWAAGWEATPRQSYGFFRIEILGALVSIQMIWLLAGILVYEAIARIIAGSGKVDGFLMFAVSTFGLVVNIVMACLLGHDHGHGHGHGHGHDHGHGHDHGHGHDHGHGHHDHGHDGHSHGFSVSTHHHHDVKHIVTEHHHTHDVEKHSGEAHSHAHKDVTEPLLGESKDGPKKKKQWNINVQGAYLHVLGDSIQSVGVMIGGAVIWYKPEWQIVDLICTLIFSVIVLGTTINMLRNILEVLMESTPREIDATKLERGLLEMEEVVAVHELHIWAITVGKVLLACHVKIRPEANADLVLDKVIDYIKRVYNISHVTIQIER >OIW04694 pep chromosome:LupAngTanjil_v1.0:LG09:14937077:14938681:-1 gene:TanjilG_06760 transcript:OIW04694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKPHILIFPYPAQGHLLPLLDLTHHLALKSITITIIITPKNLPTLNNLLSTHPTAINTLTLPFPSHPNIPPGAENIRDIGNTGNYPFINALSKLQNPIIHWFNSHNNPPVALISDFFLGWTQQLATQLGIPRIGFYSSNAFLTAIMIRCWRDLLPPPPPHAVVQFPGLPGTPSFKREHLPSMFLRYRELDSESEFVKKSYEANAESWGCIYNTFRELDGMYLDHLQVQFGHQRFFAVGPLCSNRVESDPDGRSEVLKWLDQWEEDGSVLYVCFGSQKVLRKEQIESLAFGLEHSGTRFIWVLKDGNGSLPNGFEDRVSGRGLIVKGWVPQVAILGHRVVGGFLSHCGWNSVLEAMVAGVAILGWPMEADQFVNAKLLVEDIGVAVRICEGADSVPDPDELGRVIAGLMGGDSLQKRRGKVMREEAFKVVGKDGNSSKELDELVKALMQLGVRE >OIW04483 pep chromosome:LupAngTanjil_v1.0:LG09:18249104:18254619:1 gene:TanjilG_24180 transcript:OIW04483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSKTHYHNGNLHSTDTTAVLLPGTSTSPTRSPPPSPPPRRNSVVSRCRRRSRQVKSLSQSTHSLRTLAAGIIGRRSVRYFFLLPLLYISGILMCVGPFSLLIGQPPLPGSRYRSHEVFQKLWHDIESDNSSSIELSSVWKYKRKLIEQKPCPNLTALHQEHFVSPGLNGFLIVEANGGLNQQRSAICNAVAVAGLLNAILVIPHFEFHNVWKDLSEFGDIYDEDHFISMLDGYVKVVKELPEALMERHNYNMSNITNIRVQAWAPVSYYLGAVYPVLQKEGVIRIAPFANRLAMSVPPHIQFLRCLTNYKALRFTSSISILAQKLVNRMIEKSSRTDGKYIAIHLRFEEDMIAFSCCIYDGGEAEKIEMDSARQKAWRGKFRRKDRIIVPSLNRVDGKCPLTPLEGFSSQLAALDYTVCLNSEVFVTTQGGNFPHFLMGHRRFLYDGHAKTINPDKRKLVVLLDDVDISWRAFKEQMEDMLSESDRKGIMVPRVRKINRKTSIYMYPLPECRCLQQSHVNRIYHNPDIPNNYSSNRKA >OIW04388 pep chromosome:LupAngTanjil_v1.0:LG09:19473810:19475384:-1 gene:TanjilG_32580 transcript:OIW04388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSHHVNTDSTIKKDLLQLDAALIISQFKACISALKKKDQIILRSVEKYVGVYNFPNNHVDKYFEVSNIHLATDDNLLIDSLSPENIDSLQKTFKKMMAAGFEKECCHMYISCRKKFLQKCLRRLQLSKFNSQESLTLATHRWMIASIVALRILFPSERRLCDRIFSDFSSAANFSFMEVCRELTNHLLSLPNNLATESRCFSYLGSSLEVFRTLGDLTPEFESVFFDQYSVSLKNEAITIQKRLGEAIIVLIMELENDIHPDRSQKVVTEDGIDPNITHMVERCFRVFLEDRGGTLQKIFEEFPMVGDREGTSSLSNPDWIKELLESNLEAKSKNYTDTAFAHVSIMNNSNYIVQNAIYPGLREFNISSNDWKQILRGHNTCIQQNLEQYQRTWDKILDLLKLDSNELVMPNVAAESMIEKLKLFNKQFKETCNVQSTWYVPKKLLREKIRISIEKILLPAYGNFIARLQNILGKHAYEYIEYGMFDIEALLNTLFQGSKKGSTKMLTVPISQNLVNDCWKEQ >OIW04291 pep chromosome:LupAngTanjil_v1.0:LG09:21739128:21740312:1 gene:TanjilG_00851 transcript:OIW04291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNKSGDGTSGVNKDDDDENYEEQEMQFLQSDVNNTNGFIDPLVHLHLHSHGACQPPPPLVIQQAHALMQNGMVEFVVHERLKSVRMTWNYPATSVAIAGSWDNWESMEALQNAGGESSFVIVKTLPIGIYHYRFIVDGYWTHAPHFPSAYVISCHAYNNILDLQVYVQETLGRLSDLEDPPSPPSSYNNIFLDEGELSKPPPDLPPQLPLTIREDPSTASNNPFSHRPPTHVELNHLYIHKTYTDQCVALQSSYRFQNNYVTMVLRREL >OIW04988 pep chromosome:LupAngTanjil_v1.0:LG09:8360645:8360968:1 gene:TanjilG_24460 transcript:OIW04988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTCAKLKSLEDGRKVNSIATSNGRKIEEALGAKLDFMYVNYGDLIEGRRIFDKTLNDKELRVSENAFAFTYVLKCFAA >OIW04474 pep chromosome:LupAngTanjil_v1.0:LG09:18412231:18414056:-1 gene:TanjilG_01647 transcript:OIW04474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHNVLKASRFLYQPRETLGLLIAFSSDICRSRFGSMKAINTKVASTLSTLASNREPGITDSMSVSENPNTSSTSVKSTPEIEKKYVHHVYDAIAPHFSSTRFAKWPKVATFLSSLPSGSLVLDAGCGNGKYLGLNRDCFFIGCDISPSLIKICADRGHEVLVADAVNLPYKTGFGDAAISIAVLHHLSTENRRRKAIEELVRIVKKGGLVLITVWAVEQEDKSLLTKWTPLSQKYGEEWIGPGSPRARTPSSLSLESIPESEESSSGEHMKDFNESATKKIQETEDLRDQDQHYSRDLKDNKNIENQQEYFVPWHLPYHRAEVSGSSAQALANGLATRDDKKGAVVYNRYYHVFSEGELERLVSGINNARVVDRFFDKSNWCIILEKVL >OIW04692 pep chromosome:LupAngTanjil_v1.0:LG09:14947226:14947919:-1 gene:TanjilG_06758 transcript:OIW04692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKNAGAEVNGSSAMKVTIATSITNKTMVKSQVAIEENLKKLSVKQVMRKLGNAKKSASNVHGNRSVKSRLPDEFDKGFMAFTADYHRPQHHPPKNN >OIW05457 pep chromosome:LupAngTanjil_v1.0:LG09:638027:651033:1 gene:TanjilG_12048 transcript:OIW05457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYGRQDSEFMKWKWKPNECELPIFNPFQFLELVRGKSLAFVGDSVGRNHMQSMICLLSRAEWPIDISYTRDDYFMRWKYESYNFTMASFWTPHLVRSKQSDSKGPGYTGLFNLYLDEPDEKWITQIEDFDYVILNGGHWFTRSMVFYEEQKIIGCHYCLLENVTDLTMYYGYRKAFRTSFRAINSLKNFKGITFLRTFAPSHFEGGVWNQGGNCVRTKPYRSNETQLEGLNLEYYMIQLEEFKIAEKEGRKKGLRYRLLDTTQATLLRPDGHPSKYGHWPSENVTLYNDCVHWCLPGPIDTWSDFLLQMLKMEGQQNCMKFGRIDKVFLKWRWKPYECEIPLFDANHFLKLVKGKSMAFVGDSVGRNQMNSLLCLLNHVAHAEDISKRYTNNTINFWRWFYPDYNFTLVALWSPFLVRANDSDLNGHSNNSLMNLYLDNVDEAWASEIENFDFVIISAGQWFFRPLIFYENDQVIGCHKCEQKGIKDFSHYYGYMKAFRTTFRAIINLKGYKGVTFLRTFSPAHFENGDWNKGGNCARTKPYTKEEIKFDGYILDTYMTQVKEFIEAEKIARKKGLKFLMINTTEIMLRRPDGHPNNYVYGYSRNKNVTHNDCVHWCLPGPIDTWNEILLHMLKMET >OIW04518 pep chromosome:LupAngTanjil_v1.0:LG09:17840699:17840983:-1 gene:TanjilG_13900 transcript:OIW04518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAKQKIVAMAEEEEQHNAVVKIYTKSETRVKNNLHNHKFHATVIPAPRKSVKRMMFEEMLQFLTRLFTNSRKLLQHAQNKVSQKDQKTHISLQ >OIW04061 pep chromosome:LupAngTanjil_v1.0:LG09:20229563:20232454:1 gene:TanjilG_00621 transcript:OIW04061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVRAMMKRLDYGFRGFLVPPTARRPRSTRRRGPSKKSAEVGQACAFELLASLAGKLLEESESSASSNASEGNNHPSFSQSVVEKERQDEVKPFMAKGIHNGSCAECTFKTEMESQKSIQKCLERAETDCVLERVSVNDNSCSDCWEKVEAEVKFKRFKWENKFEHHSNRLVEIPEDVRESCDGVIKNGFRQEQEASNSGFKASPLNNNFILTDPLDLCINSPALFDSNSNVKSPFCGGLLPSASFSRHGNDNKLGFRDDDENFLRWNRVWSKSKAFRPPQRRRRIRKLVASKRWKVAPNLKDCEHSRTDEGVKPPYRKRKTCYSFVRSRHGSLFKRRKYFGWGSVITSDGGFSSDSVSNSPVKGMDGNNLNASAKVHVSKDSHVKFSIKSFRIPELYIEVPKTATVGSLKRTVKEAVMAMLGGGLHLGVLLQGKKVRDDNRTLRQSGISCEESLDKLGFMLEPSSMQASPSVCVGDPSPCKTSQLVRSPETPVLGSGVTNALHESSSLTNNGNLVESNHDSNSFATDPIADKITTDSRAIITVPTRGTEALAVVPVGQKTRHSELVQRRTRRPFSVSEVEALVEAVEELGTGRWRDVKLRAFENADHRTYVDLKDKWKTLVHTAKISPQQRRGEPVPQVLLDRVLASHAYWSHHQAKHHAKQHQIGTMKNSVDCIQPHVMDDVERKLCC >OIW04577 pep chromosome:LupAngTanjil_v1.0:LG09:16477186:16477473:1 gene:TanjilG_18054 transcript:OIW04577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTIQPPSEVVEVRDGLWWLGGLLVATEISREFTVSSLSFEVAVIRFFSRMACGGSVGGDIITIGVISSSFPPFGGDRGSGRGGLMDSGWKNGIS >OIW04863 pep chromosome:LupAngTanjil_v1.0:LG09:10872147:10878442:-1 gene:TanjilG_13703 transcript:OIW04863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNNSKDPEHHFHSSWTFSKLMAFFFLLLSISYLFYSLRFVSFSYKCDQNQNTHISITHVSDTTTTTTTSEVVKLERGTEKEQAFEETNISHIVFGIGASAKLWKQRKEYIKLWWRPNEMRGIVWLEQKVENESNDENLLPPLKISEDTSRFKYKNPKGHRSAIRISRIVSETLRLGMENVRWFVMGDDDTVFVTENLVKVLQKYDHNQFYYIGSSSESHLQNIYFSYNMAYGGGGFAISYPLALALEKMQDRCIQRYPGLYGSDDRIQACMSELGVPLTKEKGFHQFDVHGSLFGLLAAHPLTPLVSLHHLDLVEPIFPNMTRVHALKQLKVPIKLDPAGIMQQSICYDSTHKWTISVSWGYAVQIFRGIFSAREMEMPARTFINWYKRADYTAYPFNTRPVSRHVCQKPFVYHMSTALYDKGKNETMSLYVRTQENPACNWKMADPTQIRMVELHKKPDPHLWDKSPRRNCCRVQRTKKKGTMVIDVGECRENEVIEL >OIW04580 pep chromosome:LupAngTanjil_v1.0:LG09:16516877:16524893:1 gene:TanjilG_18057 transcript:OIW04580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEHESGLLPNGLLPNEAALVVKVVDSERWMKAEERTAELIACIQPNPASEERRIAVADYVQGLIMKCFPCQVFTFGSVPLKTYLPDGDIDLTAFSKNQNLKDTWAHQVRDMLESEEKNENAEFRVKEVQYIQAEVKIIKCLVDNIVVDISLNQLGGLCTLCFLEEVDNLIKQDHLFKRSIILIKAWCYYESRLLGAHHGLISTYALETLVLYIFHVFNNSFAGPLEVLYRFLEFFSMFDWDNFCVSLWGPVPISSLPDVTAEPPRKDGGDLLLNKLFLEACGSVYSVFPGGQENQGQPFASKYFNVIDPLRVNNNLGRSVSKGNFYRIRSAFALGAKRLARLLDCPEEELVFEVNQFFMNTWKRHVSGQRPDAPTNDLSLLRLLSHDELQRPENLRNNNHKVDKASTREFHAEGEHVSLRGLPQHSNLSSENSFKSSDISTLSHTLNQKSHGNQYNSTTFNQVRRGTSSNHGAHVDKGQRNVKTDNSVSDVHGRFLFARTRSSPELTDSFGEVSSQGRRTRAPESIKGQNSFAKLEVSRRKNLEPNMATNYVRIDDSSDRHNSSHEVIDSAAESNNVSNSYHDESGMGVVGEEFASAIGAGEMQMMHEEEQDLLNMMASPTALGFTGQAHVPMNFAAGHLPFPFPPSILASMGYAQRNMGNIPFIEAPWGANMQFHPGLVPSHLTPYFPGMGLTSNPQDIIETGNENFSPVEMNPAEVDNDFWHEQETNSASLVEVDNGNFEMHPDDEQQSTSGSSNFAPPSRVGSSRSSSRIQQNFTKENQGSTREEHIDEFHYQDGRRNEVYYDDRIANSQLPSPSPSSSLRSKTSSESSWDGSSAKSSKSMREKRGKKNAPSAPSAALGKGKNVSEFSSNRLDDENREWSPVSTMDVSERSTKAPALSSMHIPRHQMSAFVVAQTSGSDSPYPIAPMLIGPGSRQRAAEDSGVAPFTFYPTGPPVPFVTMLPFYNFPTESSDTSSNFNVEEGVHNSDSGHNVDSYEGYDQPEGSSPSNSMTRAAIESPEHKSDILNSDFVSHWQNLQYGRFCQNTSHPPSMVYPSPVMVPPVYLQGHYPWDGPGRPVLANMNLLTEFMSYGPRLFPVAQPSVSNRPATYIYQRFADDMPRYRSGTGTYLPNPKVSSRGRHSTSTRRGNYNHDRGDHHGDREGNMNSKVRATGRSHNRNQTEKPSSKPERLTTSENRVERPWASHRHDSLAPNQNGPVRSNSSQNNPANVAYGVYPIPGMNSSGVSSNGPTMPSVVMFYPYDHNMGYSTPAEQLEFGSLGPMGFSGVNELSQLHEGSRSGRAFEEQRFHGGSAHRSSPDQPSSPHVSR >OIW05505 pep chromosome:LupAngTanjil_v1.0:LG09:160506:164072:-1 gene:TanjilG_27635 transcript:OIW05505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPYCTVFVGRLNHLTTEQIIHQFMSKYGHIKSLRLVRDIGILLWLILVNASNFTGASRGYAFVEYETNREMRHAYMDAHHAIIDDNEIIVDYNRQKLMAGWIPRRLGGGLGGKKESGQLRFGGREKPFRAPLKPIPYEELEKLGIPPPPEGRYLSRNQAPSPPRRENSISDREKDSRRRDSKDRDRDDGNLKNPEDNIEERHHRRNSSHRDDHSRRRSSLERSEERGGHQHDRSSSKKEHGRRSNAETERHSQKRKERDEHSHRRRHSSYED >OIW05518 pep chromosome:LupAngTanjil_v1.0:LG09:82770:86231:-1 gene:TanjilG_27648 transcript:OIW05518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAVVGGAFLSGFVNVVFDRLLSPEALNLIQGKKLDQHLVQRLKTSLLAAEALVTDAEMKQFHDENIKTWLDSLKYAVYDADDLLDLILTQAATQNKKKVSHFLSIFKDRKIVSDLEDIVARIEDIEKRTYILNLNKIPKDNFSWRIATTSLIRADMGIHGREDAKEALINLLKLETEAKIYVNVIPIVGMGGVGKTTLAQWLYNNDKLMKEFDLKVWICVSEEFDIVKITQTIIEAVTRGPCNTKDLSLLQEDLKGKLSGKKFFIVLDDVWNDDRHLWNNFQTPFQYGVKGSKILVTTRSKDVASVVQSCPPYILNELSDEYCWSVFKDNASYDESSGNPALEEIGRKIVKKCKGLPLAVETLGGLLRTKHDTKNWNAILESEVWEFSVKDSKIVPALLISYYHLPSYLKRCFVYCSLFPKDYTFTKDELILLWMAEDLLEQPKRGKTFEEIGCEYFDTLVSRLFFKQFSTRNDFFVMHDLMHDLAISIGGNFYSQFEDLGNADEMSTETRHLSYKRLTHPISKDFDAFSRIKSLRTLLHIDCSTPSPFSNENITLSNNKCLRVLSIGPDRELNVLPDSICKLIHLRYLSLCTNMKTLPDSLGDLYNLQTLKLSDCTSLNMLPNSMQNLVNLRHLDIRETPILEMPPGMSKLKKLHFLSDFVVGKHKENGIMELGELSNLHGSLCISKLENVANSSDALKARIMDKTHIDMLFLEWSLGVDMVTSSESERDILDKLKPHEGLQVLSIRNYKGTKFMDWVGHPSYRNMTHLVLQRCINCWTLPSLGQLSSLKSLEIRNFDGLVNIGDDFYKYYDDQSSLEASFPSLEDLTFLDLPCWEEWQSSEHNAFPKLKTLAIDNCPSLKGNLPSHLPALETVSICDCPQLASSLPKAHAIQHLCIYRSNKVLLQEIPLSLQSITISEREVVKYLESLSVSQSQVVALRTLQIWECDNFASFPIEGLAVPSMTYLGLYHCEKLKSLPCHMNTHLPNLERLDIYDCPEIELFPDGGLPPNLKKLIIRNSDKLLSNLSSIGVHEGLTNLHISGPCESVKSFPKDLLLPQLPALTTLKLYDFPKMKTLDCEELLHLTSLQVFIISECPELRYMTGERLPASLIQLQIKDSLCLEELCKMKDPNIWPKISHIPDIQINRRRLT >OIW05363 pep chromosome:LupAngTanjil_v1.0:LG09:1994102:2000614:-1 gene:TanjilG_28828 transcript:OIW05363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSQPSKKSLRNSGGGPGSSGSSQGGARDSSGGPSVKFARRTSSGKYVSLSREDIDTSSELSGDYMNYTVHIPPTPEHEPSDTSIAMKAEEQYVSNSLFTGGFNSVTRAHLMDKVIDSEVTHPQMAGSRGSACAMHACDGKVMKDERGNDVMPCECRFKICRDCFIDAQKENNLCPGCKEPYRIGDYDDDTPDYSSGALPLPAPNGKHDTNMSVMKRNQNGEFDHNRWLFETKGTYGVGNAYWPPDDMYGDDGDDGLRGGMLDPTDKPWKPLSRKTPIPSSIMSPYRLLIAIRLVVLAFFLQWRVVHPNEDAIWLWLMSIVCEIWFGFSWILDQIPKLCPVNRSTDLEVLHEKFDSPSPSNPTGRSDLPGMDLFVSTADPEKEPVLVTANTILSILAVDYPVEKLACYLSDDGGALLTFEAMAEAASFADLWVPFCRKHNIEPRNPDTYFSLKVDPTKNKRRIDFVKDRRRVKREYDEFKIRINGLPDSIRRRSDAFNAREEMKMMKHMKESGADPSEPIKVLKATWMADGTHWPGTWASSSREHSRSDHAGILQVMLKPPSPDPLMGSGDDKIIDFSDIDTRLPMFVYVSREKRPGYDHNKKAGAMNALVRASAILSNGPFILNLDCDHYFYNCKALREGMCFMMDRGGEDICYIQFPQRFEGIDPSDRYANHNTVFFDGNMRALDGVQGPFYVGTGCMFRRFALYGFEPPKVDIKDPKKSEGSETSTLNLSELDQDLDINLLPKRFGNSTMLSESIIVAEFQGRPLADHPAVKFGRPPGVLRVPREPLDATAVAEAVSVISCWYEDKTEWGDRVGWIYGSVTEDVVTGYRMHNRGWRSVYCVTKRDAFRGSAPINLTDRLHQVLRWATGSVEIFFSQNNAFLASRKLKFLQRISYLNVGIYPFTSIFLVVYCFLPALSLFSGYFIVQTLSVAFLVYLLTITICLVLLAILEVKWSGVELEQWWRNEQFWLISGTSAHLAAVVQGLLKVIAGIEISFTLTTKSSSEEEDDIYADLYIVKWSSLMIPPIVIAMVNIIAIVFAFIKTIYSSSAQWSKFIGGAFFSFWVLVHLYPFAKGLMGRTRKTPTIVFVWSGLIAITISLLWIAISPPKVGGGQGVAGEFQFP >OIW04470 pep chromosome:LupAngTanjil_v1.0:LG09:18462193:18468490:1 gene:TanjilG_01643 transcript:OIW04470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSMDHQGDENRETKEMDERSLSMSHGQEPNVHRVGVPPKQNIFKEFQATVKETFFSDDPLRSFKDQTKSRKLILGIEAMFPILSWGRNYTLKNFRGDLIAGLTIASLCIPQDIGYAKLANLDPQYGLYSSFVPPLIYAVMGSSRDIAIGPVAVVSLLLGTLLQNEIDPVANPRDYRRLAFTATFFAGITQATLGVFRLGFLIDFLSHAAIVGFMGGAAITIALQQLKGFLGIKKFTKKTDVISVMQSVFSSAQHGWNWQTIVIGASFLTFLLFARYIGKKNKKLFWVPAIAPLISVILSTTLVYITRADKEGVAIIKHIEKGINPSSVKEIYWSGDYLGKGFRIGIVAGMIALTEAVAIGRTFASTKDYQLDGNREMVALGAMNVVGSMTSCYVATGSFSRSAVNFMAGCETAVSNIVMSIVVFLTLQFITPLFKYTPNAILSAIIISAVINLVDYQAAILIWKIDKFDFVACMGAFFGVFFASVEIGLLIAVSISFAKILLQVTRPRTAILGKIPMTTVYRNIQQYPEATKVPGVLIIRVDSAIYFSNSNYVKERILRWLVNEEEEIKGNYQTRIQFLIVDMSPVTDIDTSGIHALEELYRSLQKRDYRRLAFTATFFAGITQATLGVFRLGFLIDFLSHAAIVGFMGGAAITIALQQLKGFLGIKKFTKKTDVISVMQSVFSSAHHGWNWQTIVIGASFLTFLLFARYIGKKNKKLFWVPAIAPLISVILSTTLVYITRADKEGVAIIKHIEKGINPSSVKEIYWSGDYLGKGFRIGIVAGMIALTEAVAIGRTFASTKDYQLDGNREMVALGAMNVVGSMTSCYVATGSFSRSAVNFMAGCETAVSNIVMSIVVFLTLQFITPLFKYTPNAILSAIIISAVINLVDYQAAILIWKIDKFDFVACMGAFFGVFFASVEIGLLIAVSISFAKILLQVTRPRTAILGKIPMTTVYRNIQQYPEATKVPGVLIIRVDSAIYFSNSNYVKERILRWLVNEEEEIKGNYQTRIQFLIVDMSPVTDIDTSGIHALEELYRSLQKRGIQLVLANPGPVVIDKLHTSNFATLLGEDKIFLTVAEAVEYCSPKLAEDP >OIW05176 pep chromosome:LupAngTanjil_v1.0:LG09:5400907:5401749:-1 gene:TanjilG_19807 transcript:OIW05176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEMRGIFLRKLKLNPTISNLKQSLVLQLNPQDVFFNSIYDDHKGINNLAELEDVVDDDVDNHFEEEEMDLEVKTSNKDKATPTIGSKETKHVEEKLLALASNNLCKTNMNLNIVPSIEDFEELCPPGGTNSVIFYTTSLRGIRKTFQDCNTIHFLLRSFKILYQERDVSLHLEYREELWKILGRKVIPPKLFVKGRYIGGADEVVGLHEMGWLGKLLEGMAIDNSECTCIGCSNIRFVICSNCCGSCKVFTSNRVTNDECFIKCAECNENGLVKCPICS >OIW05228 pep chromosome:LupAngTanjil_v1.0:LG09:4197329:4200791:1 gene:TanjilG_21213 transcript:OIW05228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQILLHGNLHATIFEVDRLKEDGGGGGNFFSKIIENIGDKVGIGKGDTKLYATIDLEKARVGRTRIIENEENNPRWYESFHIYCGHLASNIIFTVKNGNPIGATLIGRGYVPVEDVLDGEEIDRWVELLDKDKNPIHEGSKIHVKLQYFDITKDRSWARGIRSAKFPGVPYTFFSQRQGCKVTLYQDAHVPDNFVPKIPLSGGKTYEPHRCWEDIFDAITNAKHLIYITGWSVYTEISLIRDSRRPKPGGDITLGELLKKKASEGVRVLMLVWDDRTSVSLLKKDGLMATHDEETEQFFHDTDVHCVLCPRNPDDGGSIVQDLEISTMFTHHQKIVVVDSELPNGGSDRRRIVSFVGGIDLCDGRYDTAFHSLFRTLDTAHHDDFHQPNFPGADIKKGGPREPWHDIHSRLEGPIAWDVLFNFEQRWRKQGGKDILVSLRELEDIFIPPSPVTFPEDHETWNVQLFRSIDGGAAFGFPETPEDAARAGLVSGKDNIIDRSIQDGYINAIRRAKNFIYIENQYFLGSSFAWAADDIKPEDIGALHLIPKELSLKIVSKIEAGERFTVYVVVPMWPEGVPESGSVQAILDWQRRTLEMMYKDVVQALKAKGSDEDPRNYLTFFCLGNREVNKQGEYEPSEKPEEDSDYHRAQEARRFMIYVHAKMMIVDDEYIIIGSANINQRSMDGARDSEIAMGAYQPYHLAARQPARGQIHGFRMSLWYEHLGMLHESFDRPESEECISKVNQIAEKYWDLYSSESLEHDLPGHLLRYPIAVASEGDITELPGFEFFPDTKARILGGKVDYLPPILTT >OIW04572 pep chromosome:LupAngTanjil_v1.0:LG09:16996547:17000830:1 gene:TanjilG_20928 transcript:OIW04572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKKAPKPRTRVGKFELGKTIGEGSFAKVKLARNVDSRDHVAIKILDRNHLKKEISAMKLINHPNVVKIFEVMASKTKIYIVLELVNGGELFDKIATNGKLKEDEARSYFHQLINAVDYCHSRGVYHRDLKPENLLLDSNGVLKVSDFGLSTYAQQEDELLRTACGTPNYVAPEVLKDRGYVGSASDIWSCGVILFVLMAGYLPFDEPNHVALFRRISRADFSFPSWFAPKLKKLLKRILDPNPLTRIKIEELLEDEWFKKGYKPASFTEEEDVNVDDVAAAFNDSKDNLVTERKEKPVSMNAFELISRSQSFNLNSLFEKQTGVVKRETHFTSQHPANEIMSKIEEAAKPLGFNVHKRDYKMKLQGDKSGRKGHLSVATEVFEVAPSLHMVELRKTGGDTLEFHKACEHILILELLSFYKNFSSGLQDIVWHADEEK >OIW05113 pep chromosome:LupAngTanjil_v1.0:LG09:5666687:5668602:1 gene:TanjilG_02586 transcript:OIW05113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQEPNHQVINMYKVDTQRLSSMYLKISEDPKILSKAAGNISCCIFRVPQGLIDANGKAYEPYIVSIGPYHRGHNRLNMIEEHKWRYLGSLLSRTKTNGLSLENLLKAIASLESEARECYSETIHLDTHDFIEMMVLDGCFLIELFRKVSKLVPFEEDDPLVTMAWILPFFYRDFLKLENQIPFFVLERLFELSKLPEEKLTPTLSSIAMEFFNNSLFRPEEVLAQQSGLVGKHLLDLVRTSFIPSDLQTEPNRVITPTHVIHCVSKLQRAGIKITTPSNNNNDTFLHPLNNAIVVAIPNISQPM >OIW05275 pep chromosome:LupAngTanjil_v1.0:LG09:3465456:3466166:-1 gene:TanjilG_03664 transcript:OIW05275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMISKIQQSDSPMNPPKCSNIRNSFTKIPTPPFTTRTPIPYLSPRYDSIPTTFVHADTSTFKQVVQMLTGLSETTTLTTKQPPPQPPLQPPQQGHFLPSPPPLRNFNIPPKKQGFKLYERRNNSIQNSLMINTLMPSYAHNNYGFSQRKSEIMSPSFLDFPSLALSPVTPLLNGDPFEKSSPFLRKSSLEEEKAIATKGFYLHPSSRTITARDSKPKLLPLFPVTSPGVSGSSSS >OIW04448 pep chromosome:LupAngTanjil_v1.0:LG09:20001227:20002137:1 gene:TanjilG_32640 transcript:OIW04448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKEDGGAQDNPNVQPKKLITPYLKAISGSFGGIVEASCLQPIDVIKTPFTTHLTLKYALRMGSNVVLQSAFKDPETGKVSSHGRFLSGFGAGVLEALIIVTPFEVVKIRLQQQKGLSPELLKYTGPVHCAQTIIREEGFRGLWAGASPTIMRNGTNQAAMFSAKNAFDVFLWKKHEGDGKVIQPWQSMISGFLAGTAGPISRALRCSENEVGGSEQRGW >OIW05464 pep chromosome:LupAngTanjil_v1.0:LG09:544927:549296:1 gene:TanjilG_12055 transcript:OIW05464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSEIMGFKSLSSLPFSSEDIWSSTERHAGLWKSNKPVGLSADNALYGHSVDTFASHYEEDKLFDSLEELEAQTIGNLLPSDDDLLSGLTDGLEQIIEDSTSDDMDELDIFSSVGGMELGDSNQPVDSKSIRQIHPELKQKDSDLYLHQSYPPLKSSTSFPDLHGVSKSGSMHNGRMPSVESAMHEPSMETAFTHGLSSSVPNTLPSQVKVKSIDSRCGINEYSIPGPLNLDIRAASAFHPPSPPECDDNLANGVHYNPTEMRVNANLKTRGRTDNMQFCQVNSNAHSMQFNEGGNSKHALITFKSPGNGCCRIPDHRCEWSNSYQPPATMFPNSPPYFDGLCSAPTLPRLHGHPMSPSHMMNQHVLSAPAVNSPIWDRRHIYAAESPSEAYAFHPGSLGSMRFCSNTPQFVDFVSHDIFPHFGGNCVDLQIPPRNVGLQFHNQRDLMFPRRNHMIPIINQFNTHKERVRSRRNEGEPNLADKKQYELDIDRIKCGEDNRTTLMIKNIPNKYTSKMLLAAIDERHKGTYDFNKCNVGYAFINMINPSMIIPFYQVFNGKKWEKFNSEKVALLAYARIQGKEALISHFQNSSLMNEDKRCRPILFNTEGPNAGVEVPFPTGVNIRDRHGRVRNNTTDNMQGSLPNLVNCELSSADDCNSKESD >OIW04280 pep chromosome:LupAngTanjil_v1.0:LG09:21687040:21688284:1 gene:TanjilG_00840 transcript:OIW04280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGAENSSNCDREVEAFVEVDPTGRFGRYNDLLGCGAVKKVYRAFDQEEGIEVAWNQVRLRKFSNDPILLNRLHSEIELLRTLNNKYIIVCYSVWKDEEHHNINFITEVCTSGNLRDYRKKHPHVSIRALKKWSKQLLQGLEYLHTHDPCIIHRDLNCSNIFVNGNIGQVKIGDLGLAAIVGRNHAAHSILGTPEYMAPELYEEDYTEMVDIYSFGMCLLEMVTMEIPYSECDSVAKIYRKVTMGTKPQSLNKVTDPEVKAFIDKCIAQPRARPSATNLLMDPFLFELNNEQSTPIN >OIW05015 pep chromosome:LupAngTanjil_v1.0:LG09:8177132:8179628:-1 gene:TanjilG_06427 transcript:OIW05015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKPYAVKNNNDKVPMLEKPSVEPSFGNPLVENLHNKISSQLTHLNSSANGMVTLHESTSALKQVKEKKELQKKPATTTLKRYLSKVHGSSVRRSERIKSAVIPPSNFNHEIEYVKDITASENEKDAYEVESEHVDNLNGKSLEEKVAYALQKIEALDKSLKLLKSKVDEDVGLYEAPSVASTSFRSMYIDSQKKLEALAEENLQLTGKLQSSLGKIDVYEKENHVLIQVLDKMKDAVNAVVISNLARTTEAAVNASTQAMEKTCSASAAKRKRSTLES >OIW04684 pep chromosome:LupAngTanjil_v1.0:LG09:15092594:15092956:1 gene:TanjilG_00120 transcript:OIW04684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSPKATMNRYNCQIQYLNIIKKHKVKTCICSPSNHPLAFKCAKHRSATARVQKSLSPRYTSNNMILLKTAMKNSMLKMGNKRVNEFVERSFIIRNKPPSEPSCKPFRIRPSCLSNMSK >OIW04647 pep chromosome:LupAngTanjil_v1.0:LG09:15417567:15422639:1 gene:TanjilG_07782 transcript:OIW04647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASENASRSNSAADSYIGSLISLTSKSEIRYEGVLYNINTEESSIGLRNVRSFGTEGRKKDGQQIPPGDKVYEYILFRGSDIKDLQVKSSPPVQPTPQVSNDPAIIQSHYSRPVTTSTNLPNVVSGSLADLSSHATQLGIHGSNFQGPLPLYQPGGNIGSWGASPPAPNANGGGIAMPMYWQGYYGAPNGLPHLHQQSLLQPPGLSMPSSMQQPMQYPNFSLSLPTGSSNLPELPSSLLPVSTSAHSVTSAFLPPSDLSPVPSSLAAAPSSLPEAPSMLHPAPSALPPNVSLPAVTPAANLLSLTPLTNSGSEMNATVPPIFSKANATSGSSSTYQTVSPLLPSIVGSSNSIRSETPTPSLVTPGQLLQPGPTAVSTAHPSQTPHKDVEVVQVSSTSSPQPSLPVSAENQPPILPLPVTSRSSHRPGGAPTQTHNGYGYRGRGRGRGTGVLRPVTKFTEEFDFMAMNEKFKKDEVWGDLGKSNKSHSKEKDGEENSFDEDYIQDEDNDDSSNFKVDVIQFCAQQDPVYNKDDFFDSLSSNALDQASQNGRIRYSEQIKIDTETFGNFARPRSGRGGRGPFHGGHPSRGGYYGRGYGYSGRGRGRGIPSRSS >OIW04460 pep chromosome:LupAngTanjil_v1.0:LG09:18571422:18574683:1 gene:TanjilG_01633 transcript:OIW04460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYPHYRSQFGDTTFTKVFVGGLAWETPTEELRKYFEQFGDILEAVIITDKNTGKSKGYGFVTFRDPESARRACADPNPVIDGRRANCNIASLGRPRPSPPRGRGTFQGAASYSGVPAAGPPPLAPPQPLMYPQPYGYPTYTPDYGYHQATMYNPQIQQAQYYQNLYAPSSSTMASPYYYGYSVQPPRATFSTPQAHRVPAGLSYIYYPTSPIEGSSAFRPPYQPATRQIHPSTSGN >OIW04161 pep chromosome:LupAngTanjil_v1.0:LG09:20961932:20964363:-1 gene:TanjilG_00721 transcript:OIW04161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKVFPLCLLVSITLTVVTANLEGDVLYSLKRTLSDPNNMLQTWDPTLVSPCTWFHVTCNSDNRVTRLELYENNIEGVIPPELGNLTNLVSLGLYNNNISGTIPPSLGNLKSLVFLRLNNNRLTGPIPKELVNLRNLKVLDISNNNLCGTIPTSGPFEHIPLNNFENNPRLEGPELLGLVPYDTNCS >OIW04832 pep chromosome:LupAngTanjil_v1.0:LG09:11920451:11924133:1 gene:TanjilG_13680 transcript:OIW04832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGTGLNLGRICGDDRLKNPVQVRWSMQRMENDKLCRAHSDVTEKELENRVESGEPVQVQVPSCEPEKRLSNLERFLRSITPSVTAQYLSKTTMRGFPTCNVEFQPYFVLGDLWESFREWSAYGAGVPLVVNDNDSVVQYYVPYLSAIQIYGAPVKPSLKSRQVGEDSDNHFRDSSSDGSSDSEEISHSVGRLSLNNHNSAPQDGFSSDEGESVNSKGCLLFEYLEHDLPFSREPLADKILDLTFRFPELMTLRSCDIQPSSWISVAWYPIYRIPTGPTLKDLDACFLTYHSLYTPVGGSQGVQDPAVSYPIEVDGVPKMPLPVFGLATYKFKGSLWGPNGTYERQLTSTLMQAADEWLSLLHVRHPDFTFFSRR >OIW04732 pep chromosome:LupAngTanjil_v1.0:LG09:13577411:13580201:1 gene:TanjilG_08615 transcript:OIW04732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELGGGKNGLNVTKVVESWDVSKSKGRKKKKKKEDEVKVLVEEKEDTRWWVKLMLFGSCISSRSKVDSSICGTSNHYAESKSTNDTSRGQPIVPVVSSTSTSNTESNSSSSKFEEEMKVASRLRKFAFNDLKLATRNFRPESLLGEGGFGCVFKGWIEENGTAPVKPGTGLTVAVKTLNHDGLQGHKEWLAEVNFLGDLVHPNLVKLVGYCIEDDQRLLVYEFMPRGSLENHLFRRSLPLPWPIRMKIALGAAKGLAFLHEEAERLVIYRDFKTSNILLDAEYNAKLSDFGLAKDGPEGDNTHVSTRVMGTYGYAAPEYVMTGHLTSKSDVYSFGVVLLEMLTGRRSMDKSRPNGEHNLVEWARQHIGERRRFYKLIDPRLEGHFSIKGAQKAAQLAAHCLRRDPKARPLMSEVVEALKPLPNLKDMASSSYYFQTMQADRFRASPNTRNRQTQGGALLTRNGQQKRSLSIPNGTHASPYHHQYPQQSPKPDVKA >OIW04752 pep chromosome:LupAngTanjil_v1.0:LG09:14083305:14085734:1 gene:TanjilG_08635 transcript:OIW04752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLGISDELLGTFAPIVVYWIYSGIYVVLGLFSENYRLHSKQDEDEKNLVSKFSVVKGVLLQQVVQAVVATLLFMVAGNDSQDTNQNASLLVSARQFITGMLVMDTWQYFMHRYMHHNKFLYKHIHSRHHRLIVPYSFGAMYNHPVEGLLLDTIGGALSFLISGMSPRASIFFFSFATMKTVDDHCGLWLPGNLFHIFFNNNSAYHDIHHQLYGNKYNYSQPFFVMWDRILGTYMPYTLDKKAGGGFETRPCTDQKDD >OIW04547 pep chromosome:LupAngTanjil_v1.0:LG09:17587036:17587870:1 gene:TanjilG_13929 transcript:OIW04547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVNFTSSAQISIQKYGSFLKYANKKLITKRSFVPQKKVPVGPVVLRITASIKNKVYEDESQGIICYQDESGEIICEGYDDGSCCQQIPGPTYHPRDAEIMNLLLQQSWLQIVKGEEISHAVEGVHLQEDLNCNQWL >OIW04154 pep chromosome:LupAngTanjil_v1.0:LG09:20920399:20922476:-1 gene:TanjilG_00714 transcript:OIW04154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVTLVSRSGREIVKGGIQLSDSGTVADLQTEIHRRTKKYPSRQRLTLPVQPGSKERPVVLNYKKSLKEYTDGNSESLTVVLKDLGPQVSYRTLFFCEYLGPLLLYPVFYYFPVIYEYLGYKGERVIRPVQTYALYYWCFHYAKRILETFFVHRFSHATSPLSNVFRNCAYYWTFGSYIAYYVNHPLYTPVSDLQMKIGFGFGILCQVSNFYCHIILRNLRKPEGSSGYQIPRGFLFNIVTCANYTTEIYQWLGFNIATQTVAGYVFLAVATFIMSNWAIAKHRRLKKVSSSLDNIAAIPVEAKATNEVTTSSSQDSEI >OIW04899 pep chromosome:LupAngTanjil_v1.0:LG09:9740222:9743004:-1 gene:TanjilG_23902 transcript:OIW04899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKRRVDVFEVVDRVNAVAGLAPSPKTTEFKTRHMICVRRIKGALTKQEKRIRVNPLARVRVDEVKEFRLGQFRPVEANEREKRSTTRAEAEYKIALIFYDSAVKEAHFTISLGMGKIK >OIW05526 pep chromosome:LupAngTanjil_v1.0:LG09:15597:18311:-1 gene:TanjilG_27656 transcript:OIW05526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFSCFESLEDEKLNTHSEQQYQHQQQQQQQQQQTNHNLRKHQPPTPPHISRMPSDKSQSRTNRDSKREEPAPNAIHIPGVQIAAQTFTYRELAAATKNFRPESFIGEGGFGCVYKGWLESTNQIVAVKQLDRNGLQGNREFLVEVLMLSLLHHTNLVNLIGYCADGDQRLLVYEFMPLGSLEDHLHESFFMLVNELFLQDLPPDKEPLDWNTRMKIAAGAAKGLEYLHDKANPPVIYRDLKSANILLDEGFHPKLSDFGLAKLGPVGDKSHVSTRVMGTYGYCAPEYAMTGQLTVKSDVYSFGVVLLELITGRKAIDSNQPHGEQNLVSWACPLINDRRKLSKLADPKLHGRLPMRGLYQALAVASMCIQESAAARPLIGDVVTALSYLASQAYDPKGSSGDDKRNKDDKGGRILKNDEAGGSGLKWDLEGSEKDDSPRETARMIDRERAVAEAKLWGENLREKRRQSAPPGNFNDSNT >OIW05440 pep chromosome:LupAngTanjil_v1.0:LG09:1170510:1173507:-1 gene:TanjilG_12031 transcript:OIW05440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHRRSPEQESVGSGTKRSSVSSGDRYQNQKEFFYKFVESEGLTAELVDWFESLTEKCALKQQAFDVPFELIELQKFDYALEGISFQQLIRMPKAVHASTSDAVETTAYLAIEDFLHASVKGLWEAFWSQDESIPFSVACLYNSNMKFYQAEKAIANGRLGGLCATGILLNNPKHPHGRWDHVLQLALLRPHIRGLAVGSDQKPSPSVLGEALFCAVRMLLARSLSRLSFFTDSSTVFVLLVDSQYGGVVKVEGDVNKLNFDVNNVYGCAAEWVKNHSRISVSPINRIWNKLGNANWGDIGALQVLFAIFHCIMQYAGMPKHSVEDLAADHSSRLQTRRVERQLEVTRENGNGLFRYQQHSVSPEIVEVQEDSVEVELKELMRLEVGSMLWLEDSDWQKGYQIKEVINTGELTYYTASYVGDPKNNMFLYVGSHPSQLEPAWEDMNLWYQVQRQTKVLIIMKQKSLSSKYLPEVSASGRIIHPGECRRPSSGGNCDHPWCGTPILVTSPVGETVAEMVDTGQFGSDEAIRCCHDCLSALSTVSSAGIRHGDIRPENVICVKSGVRHPYFVLIGWGHAILEDRERPVMNLHFSSTYALQEGKLCSASDAESLVYMLYHSCGGVFPDLDSVEGALQWRETSWSRRLIQQKLGDISTVLKAFADYVDSLCGTPYPMDYDIWLRRLSRNIHDEDRGKEIVATG >OIW04594 pep chromosome:LupAngTanjil_v1.0:LG09:16757328:16758174:1 gene:TanjilG_18071 transcript:OIW04594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSCSRPVIEKKAPRPPQEPVNCPRCSSTNTKFCYYNNYSLTQPRYFCKTCRRYWTEGGSLRNVPVGGGSRKNKKVTTASTSASSKVMILTLLSLLWITITMACLLMLTCLMVITPNGDNTNHHHHQNSSAPSSLSALELLRSGMASRGLNPYAPNSLMPNSNSLYPPSGFPMQEVKANLGFSVDHGAGNNRSYHDQVQENGGRLLFPFGDVKQQFSHHAGSAEVEHNNKEQGNSSSTGYWSGMIGEGSW >OIW05516 pep chromosome:LupAngTanjil_v1.0:LG09:104005:106066:1 gene:TanjilG_27646 transcript:OIW05516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRVWRFSSELQFNGISLSSNPKSIPIAPFSALLKASTTSYGFAREINTLPPNKVTDIIPLFTEKPRPSPTEDLMKRVAILRNELVREASDSDRVWKILDENFVDLIQSHHSDGSAILELLKQLDSWPALALQVFNWRRRSSGTDNPMNDYEYSKGIKAAGRSKNVDLAVQLFREATNKGIKTTSTYNALMGAFMFNGLAERCQSLFCDMKKDANCTPSIATYNILISVFGRLMLVDHMEATLKEINELGLPLNINTYNHLIAGYISAWMWDDMEKVFQMLKSSLVAPDMQTYLLMLRGYALSGNLKKMEETYSLVRDYVNENDIPLIRSMICAYCKSSEADRIKKIEALLKLIPEEEYRPWLNVLLIRLYSEEDWLEKMENAINEAFEHQTPVTTTKIMRCIIDTYYRCNSIEKLEIFLRRAERAGWRICRSLYHCKLVMYASQKRFEEMENVLVEMEGVNLACTKKTLWIMYKAYLSSGQRSVVLKILGQMFKHGYDVPSEAFPS >OIW04487 pep chromosome:LupAngTanjil_v1.0:LG09:18216180:18219369:-1 gene:TanjilG_24184 transcript:OIW04487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVERVQILALDELKELPSQFIREANERPENTKAMEGINVPVISLSQPHHFLVKEITQVASEWGFFLLTDHGISPTLIQRLQEVGHEFFALPQMDKEAYANDPSMGRFEGYGTKMTKNFEEKLEWVDYYFHLMAPLSRVNYNMWPQNPACYREITEDYSKEMLRVTNKVLELLSEGLGLESKVLKSSLGNEEIELEMKINMYPPCPQPELALGVEPHTDMSALTLLIPNDVPGLQVWKDNNWVAVNYLHNALFVHIGDQLEVLSNGKYKSVLHRSLVNKERMRMSWAVFVVPPHDTVIGPLSLLLNYQNPSKFSTKTFAEYRHRKFNKLPQ >OIW05461 pep chromosome:LupAngTanjil_v1.0:LG09:589496:590741:1 gene:TanjilG_12052 transcript:OIW05461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPSTTYSSTSPYPNYFLSSSSSYYPFTFLNPENASANNNIFPHDPSYVPYNIPSTHHHFSMVETPANFEVADNYAAAMFKNDANGYNNFGFSNFLTKKPAAKKDRHSKILTSQGLRDRRVRLSINIARKFFDLQDMLGFDKASKTLEWLFNKSKKAMKDLARSNHHSSNGFANSISSSSSSDSECEVVSMIKQDATNPQRVVVDSKERKVKRAKMKESREKARARARERTSNKMCNTSVMKKKCPITDNPQMLHQLRSPFHHPEDLARSPDNKLIPSHHHHHHHHPNLEVARDDFNVIEESIVIKRKLKQSLLSSSHHHQNHVIPKEASSNNNTEHHSFPILSPNWDANNGATSRTNFCAGLQIFGKSGEECTNPHL >OIW05282 pep chromosome:LupAngTanjil_v1.0:LG09:3382596:3384160:-1 gene:TanjilG_03671 transcript:OIW05282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPSDTSKPPYVARVEKIDQDGRSNVKVRVRWYYRPEESIGGRRQFHGAKELFLSDHYDVQSAHTIEGKCVVHSFKNYTKLENVGAEDYYCRFEYKAATGAFAPDRVAVYCECEMPYNPDSLMVQCEGCKDWYHPACVGMTIEEAKKLDNFVCSECSSDDDVKKPELTFPVSPASDDKVRLPASSPE >OIW04476 pep chromosome:LupAngTanjil_v1.0:LG09:18394485:18398983:-1 gene:TanjilG_01649 transcript:OIW04476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTRSSSKISAKWVPIFSLLSFLLGMLFTIRMWEPPAFNSVLLSKHGHEQKLQMVSEDCDTKKKQPMLDKDVMDGLYKTHESIQSLDNQISVFQKELAASRSPHEPGILNHSGSTLSSGLSPRDPYRKKVFIVIGINTAFSSRMRRDSVRATWMPQGEKLLQLEREKGIVIRFMIGHSTTSNSILDQTIDLEEAQHKDFLRLEHVEGYHELSAKTKIFFSTAVAKWDADFYVKVDDDVHVNLGMCTGCNPCPSSFKTQGLHWVDVKYHEPEFWKFGEQGNKYFLHATGQIYAISKDLATYISINQPILHRYANEDVSLGAWFIGLEVEHIDDRSMCCGTPPGQVKRPNKIAGFSFSHILNLIPVLKYSKLKRLVSGKSLNTNNTATASSCGNRPRVIVKSDVIRNHRCRNENYVPISIENMDPPLPVIKRMQKSEEASKVDSLWKRRSGGAEFKILQYRRHHLQLTSVFNG >OIW05213 pep chromosome:LupAngTanjil_v1.0:LG09:5024688:5029430:1 gene:TanjilG_14766 transcript:OIW05213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWDLDPWNSFHEDVIEEDILNEESCIQVLKILITKADTEIKGLEKDLVSLQNELAWAEYEKWPQICCGALTERINLLDVAISILKKNDHANDTEVQLLLDKPAETVHEIVEALCRDHFEDIHGQNLEMVIFNPVLNDAEYALDKDCSSVDSNIIIKEEGKELCGTSENSRSSEFLLELHGKNSNDPEKEEGNKHYGTSENCRMSELLMELHGKRSNDPEKIQLYIYTMSLVFREDSSILVPDSLKLFSALKCQEKRVDNRPWCCGPDQSEGAILSGTSNNMVTGSEEVRSQFIPTGVKGRKRYITSRVSSSQHQESENYDLHRKLCDLSCKPARRVCKKGGSKVAPAEDLNSIELALEVVYPQESCVADTELCSFGGHCGNKSREMNIENTSLIDAENSPLISLSEKPTQSTLFHGSQLIDEEQQPPQGVKSKIVANKLNTSFPSKLKARGKRKPESEAFSAREAHENPTEVDPNTCIVVSTKTQRTSTGMAVFNESMNRKITKRAVQPGLDATEGRAIVPYNSEFSELQKRRLSKWSITPEIQNSTVNSDLPNVDRLSMDNGNQVDLHIVESYSLDDFHNETTASRLITLEKLNMPALKAMAKQYDVKKYYKLRKEELLQQLVERMSNC >OIW05486 pep chromosome:LupAngTanjil_v1.0:LG09:354115:359837:1 gene:TanjilG_12077 transcript:OIW05486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWFRVGTSIAKHAIKRTLSQGGSSYLVSRARVLPSSSNGRKLHTTVFKSRAQSAPVPRAVPLSRLSDSFLDGTSSVYLEELQRAWEADPSSVDESWDNFFRNFVGQSSTSPGISGQTIQESMRLLLLVRAYQVNGHTKAKLDPLSLEERVVSEDLDPGLYGFSEADLDREFFLGVWRMAGFLSENRPVQTLRSILTRLEQAYCGSIGYEYMHIADRNKCNWLRDRIETPTHTQFNRERREVIFDRLAWSTLFENFLATKWTSAKRFGLEGGETLIPGMKEMFDRASDLGVESIVIGMAHRGRLNVLGNVVRKPLKQIFCEFSGGVQPEDEAGLYTGTGDVKYHLGTSYDRPTRGGGRLHLSLVANPSHLEAVNPLVVGKTRAKQYYSNDVGRLKNMGILIHGDGSFAGQGVVYETLHLSALPNYTTGGTIHIVFNNQVAFTTDPTSGRSSQYCTDVAKALEAPIFHVNGDDVEAVVHACELAAEWRQTFHSDVIVDLVCYRRFGHNEIDEPSFTQPKMYKVIRSHPSALEIYQKKLLETGELTKEDIDKIHKKVTSILNEEFMASKEYVPKRRDWLSAYWSGFKSPEQVSRIRNTGVKPEILKSVGKAITSLPESFTPHRAVKRIYEQRAQMIETGEDIDWGCAEALAFATLLVEGNHVRLSGQDVERGTFSHRHSVVHDQTTGEKYCPLDHVVMNQNEEMFTVSNSSLSEFAVLGFELGYSMENPNSLVIWEAQFGDFANGAHVIFDNFLVSGESKWLRQTGLVVLLPHGYDGQGPEHSSARLERFLQMADDNPYVIPEMDPTLRKQIQECNLQIVNVTTPANFFHVLRRQLHREFRKPLIVMSPKNLLRSKACRSNLSEFDDVQGHPGFDKQGTRFKRLIKDQNDHSDLEEGIRRLVLCSGKVYYELDEHRTKVDAKDVAICRVEQLCPFPYDLVQRELKRYPNAEIVWCQEEPLNMGGYSYVLPRLISSMKAVGRGGYEDVKYVGRAPSAATATGFLKVHQKEQADIAEKALQRDPLDFP >OIW05499 pep chromosome:LupAngTanjil_v1.0:LG09:196216:196551:1 gene:TanjilG_27629 transcript:OIW05499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMESLLPKPSYGGISRFLRRRRHVDGGANSGGKKVKVIRLRRCPHRYWRIKPITRVKWVMSSPLKMLAKLKNGYRNIMLRLEGYSIDEFEARLIYEISKTLIASHELYPM >OIW04956 pep chromosome:LupAngTanjil_v1.0:LG09:8747135:8749872:-1 gene:TanjilG_01152 transcript:OIW04956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLQKTRSLCTNNNNIPKPTSISSTNPTKRNDIVSGERYKQLENLDMVTAAKILFNDDPPKKKKFGLDVAQKKKKRDEEEAKSREKEEEAKAKEREKEMELNPLEEEEAKEKEREKEAKSNPQLSEVKARLNKLEEAVKEIVVLSKNQVNDAEKKPSSSSVPSDTKNSSASNKVVEEDGFHKHHFPKPKPELGDERKHSIAPPNSSQDSKDQHQGGGGAS >OIW04676 pep chromosome:LupAngTanjil_v1.0:LG09:15875668:15877929:1 gene:TanjilG_07811 transcript:OIW04676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVTFHQRQENETHSPPQPSKANDVYLPSKSSHKKPYKPPLIPISGIVSPPSSLGQEQEPHSPPQPSEANDIYLPSNSSRKTPYKPPLIPILVIVSPPPSLRQEQEPHSPPQPSEANDIYLPSKSSRKKPYKPPLIPISVTVSSPPSLGQENEPFSPSQPSEANEGQEQEPHSPPQPSEANDIYLPSKSNRKKPYKPPLIPIPVIVSPPSSPGQEQEPHSPPQPSEANDVYFPSKSSHKKPYKPPVIPIPVIISPPSSPGQVNEPHSPPQSSEGSNVFLPSRSSRKKTYNPLLIPIPVIASPPSSLGQKKRAPLLTTTFDANDVYLLSKSSHKKPDKPPLITILEIISPPSSLGQEQDPHSPPQPSKDKRMSPALHHNHPKKMTFTFHQSLVARNPINHPHSYPDDHLTTFVSRASQRALLSTTTIRRKMIVTPPSSPGQVNEPHSPPQPSQGNDVYLPSKSSHKKSYKPPLIHIPVIVSPPSSLGKELKPHSPSQPSSTYKKRRHFRSPPSPKHKYKYHSPPPLSLEDKHARTITWLPHLKNHSTPQLGDKHAQSTSSPQEPFYPTIGRQAPPSLGSITKTKLEMVFFPSSSH >OIW04431 pep chromosome:LupAngTanjil_v1.0:LG09:19891448:19895020:1 gene:TanjilG_32623 transcript:OIW04431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFQKIKVANPIVEMDGDEMTRVIWKSIKDKLILPFLELDIKYYDLGLPYRDETDDKVTVESAEATLKYNVAIKCATITPDEARVKEFGLKQMWKSPNGTIRNILNGTVFREPILCKNIPRLLPGWNKPICIGRHAFGDQYRATDTVIKGPGKLKLVFVPEGQGEATELEVYNFTGEGGVSLAMYNTDESIRAFAEASMTTAYEKKWPLYLSTKNTILKKYDGRFKDIFQEVYEASWKSKFEAAGIWYEHRLIDDMVAYAVKSDGGYVWACKNYDGDVQSDFLAQGFGSLGLMTSVLVCPDGKTIEAEAAHGTVTRHFRVHQKGGETSTNSIASIFAWTRGLAHRAKLDDNAKLLDFTLKLEAACIGAVESGKMTKDLALISHGSKLSREQYLNTEEFIDAVANELKTRLSA >OIW05194 pep chromosome:LupAngTanjil_v1.0:LG09:5238415:5243175:1 gene:TanjilG_19825 transcript:OIW05194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQGVILGAIVFWILCSSYLNFTQKLRIFLQPWVNHHVEIGTDFVLQIQSYQHGFLDVFFSGLSCVVSVPFYTAFLPLLFWSGHGELARQMTLLMAFCDYIGNCVKDVLSAPRPPSPPVRRVTATKDEEDNALEYGLPSSHTLNTVCLSGYLLHYVLTHTQIQGPYVSYLGVSLACLFVALIGLVDKQIDSFVISGLNVTSFWAALSFLLLFAYPTPELPTPSFEYHTAFNGVALGIVAGVQQTYHQFHHDAVPRLFSHDLTIPVFMGRMILGIPTILLVKFCSKALAKWIIPVVANTLGIPIKSSSYIKSEKIKQGGCLQKLLPKHEVFDVDTGIRFVQYAGLAWSVVDLVPSLFTYTNL >OIW05365 pep chromosome:LupAngTanjil_v1.0:LG09:1982979:1983640:-1 gene:TanjilG_28830 transcript:OIW05365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIKNVTLSSWRQTAAYRAKREARTRRSGRTIEEISELEEEDEDERTIPNEVWYSADVMVPIPEHAITLSTEKHQAREEFVPYLPLIIIDANTEVEEDPDEPESTDSGVA >OIW05016 pep chromosome:LupAngTanjil_v1.0:LG09:8180840:8185952:-1 gene:TanjilG_06428 transcript:OIW05016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSGEGIRAVVAGERGSGKSSLICAIASESFPHLVPHVLPPTHLPPDLFFPHHLPLTVIDTSSVLEKQGNRNDELKRADVVLLTYACNDPSSFTRLHSYWLPQLHNLEVKAPVIVVGCKLDLRDENQQVSLETIMPQLLQHSKYIATCIECSAYTLYQVPEVFYFAQKAVLHPLDPLFDHESQALTDQCVRALRRIFVLCDRNMDDALDDTELNEFQVRCFGAPLQSSEIAGVKTLVQQKVPEGLNSNGLTFPGFIYIHKIFLKKGRPETLWAVLRNFGYDNDLKLQDDFLPIPSKIAPDQSVELTSEAVEFLNGVFRLVDMDKDRALRPAEVDKLFCTAPQSPWNDAPYKDAAERTDIGYMSLNGFLSLWALMTLIDPRHSLANLIYIGYSGNPAAALRVTRRRSVDRRKQATERNVFQCYVFGSKNAGKSAILESLLGRSFSNNYTPTTVEQFAANSIELLGGTRKTLVLREIPEGAVSKVLSNQDYLAACDVAVFVYDSSDEHSWKKSRGLLDKVARQGEQTGYKVPCLLIAAKDDLTPYPRAVQDSVKVTQELGIEAPIHLSMKLGDSNNVYNKIVSAAEHPHLSIPETEIGRKRKQYQQLLQNSLIFASVGTVVAVVGIAAMRAYAVKKNSSA >OIW04794 pep chromosome:LupAngTanjil_v1.0:LG09:12884748:12885104:1 gene:TanjilG_16150 transcript:OIW04794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEKFMMDMALHARFITADVKCKTPTGVKSVGFARIPVSDFIGGFVPENQVHFLSYRLWNRKTTRNEIINVSARVHSCSNSMWMMAAAKGVAVAGNGSRSSRVVTGIPAVWLNYQSNR >OIW04766 pep chromosome:LupAngTanjil_v1.0:LG09:14251326:14253403:-1 gene:TanjilG_08649 transcript:OIW04766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSDVKEVAGKAYDYIIVGGGTCGCPLAATLSRKFSVLLIERGGSPYGNPLIIDRRYYGFPLVETDRYMSVAQSFISQEGVRNVRGRVLGGSSAINGGFYSRASDEFVTKVGWDKELVKESYEWVESKVVFSPWFLTPWQSVAEFSLLEAGFLPYNGFSLEHLEGTKISGSVFDEFGKRHTAADLLHEGNPNTLTVLVNATVKSVIFHHNGKKKETRAKGIRFIQSNGTLDETHEAYIRKPKNSTSRGDVILAAGALGSPQILLLSGIGPKEQLKKFNIPLVLDMKEVGQGMQDNPCIAVLVDSKPQNRLPDPPQVVGITDDLKIIVEASILPLSFNATRVNFAAKVAMPSSKGMLELNNTDPRLNPSVKFNYLASENDMVECVKMTKLLDRIARSKSVAIFLGESRENKLTSIENDLRNFCKKNVRTIYHYHGGCRVGSVVDKHYKVFGVKGLRVLDGSTFSESPGTNPMATLLMLGRYQGIKILREREADSDSGVKEKP >OIW04430 pep chromosome:LupAngTanjil_v1.0:LG09:19888024:19888569:1 gene:TanjilG_32622 transcript:OIW04430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLDFIWAPYTTNLTNLVMDFEQTHVYPDLLVMGSGLWHILHITNALDYGDSLRLLRSSLRSLLPISSDSGTDGPVTGSVSVRSPHLFWLAMPTLVNSMLNTPEKKEKMTILMCGEYERGLHDSNMLRQFGGPLQLVDIGSLSWSCGSRCTEDGMHYDGVVYEAGVHIMLNALLIESHQKL >OIW05294 pep chromosome:LupAngTanjil_v1.0:LG09:3234246:3237771:1 gene:TanjilG_03683 transcript:OIW05294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLTKVFRSRKLSRSLNSTTPFDPSPAGDRNSGEEPPQCNDWSTLLPELLREIIRRVDIIEEHWPHRQNVVACAGVCKRWRNITREIVRSPSHKGTITFPSCLKQAGPHDLPQQCFIKRNKKTSTFYLYLSLTSSLTDKGKFLLAGRRYRRGTHTEYIISLDADDLSQGSNAYVGKLRSDFLGTNFTIYDSQPPHSGAKPSSGRSSRRFDSKQISPEVPAGNFEVGQLSYKFNLLKSRGPRRMVCTLKCPISSPSSSSGESSDIISPDGHKIHSSREHGHSILKNKAPRWHEHLQCWCLNFHGRVTVASVKNFQLVATVDQSKPGGKGDEETVLLQFGKVGDDTFTMDYRKPLSAFQAFAICLSSFGTKLACE >OIW05205 pep chromosome:LupAngTanjil_v1.0:LG09:5103868:5106054:1 gene:TanjilG_19836 transcript:OIW05205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHELDPLKQLATSPTLSPNLISSSEPNSNKMNHLTIDTDDSFASLLELAANNDVEGFKRLIECDPSSVDEVGVWYSRRKGSKQMVNEQRTPLMIAATYGSIEIMKMILSLSDADINRSCGLDKSTALHCAASGGAENAVDAMKLLLAAGADPNSVDANGHRPADVIVFPPKLQYVKNSLEQLLQTDDSIAGCNLRVITTSSFNSYSPPLSNSPENGSPSASDFQLKLKSNDVPISSASEKKEYPIDPSLPDIKNSIYSTDEFRMYSFKVRPCSRAYSHDWTECPFVHPGENARRRDPRKYHYSCVPCPDFRKGACRRGDMCEYAHGVFECWLHPAQYRTRLCKDGINCSRRVCFFAHTAEELRPLYISTGSAVPSPRSSTSSAMDFAAAMSMLPGSPSSMSVMSPSPFTPPMSPSANGMHSSVPWPQPNVPALHLPGSNLQSSRLRSSLHARDIPMDGFDSFSDYDQQQQLLNELSCLSPQHPMNSNNLNRSGRMKPLTPSNLDDLFSAESSSPRFTDGSLASTVFSPTHKSAVFNQFQQHQSMLSPVNSNFSPKNVEHPLLHSASFGVQSPGRMSPRNMEPISPMNSRMSMLAQHEKQQQFRSLSSRDLGSNSATAASANSWSRWGSPNGRAAGAEEFNKHHRRSSSFELGNNGEEPDLSWVQSLVKESPTEIKEKWTTNVPTVAAAGSSSEGSNISASQMNSVDHAVLGAWLEQMQLDHLVAQQN >OIW04763 pep chromosome:LupAngTanjil_v1.0:LG09:14224825:14226952:1 gene:TanjilG_08646 transcript:OIW04763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPNFSLLSKLLILQYLSALCLSQDFDFFYFVQQWPGAYCDTRQSCCYPKTGKPVADFGIHGLWPNYNDGSWPSNCDPDSVFDKSQISELMSSMNEKWPSLSCPSSNGFRFWSHEWEKHGTCAESELDQREYFETALKLKEKINLLQILKDADIEPDDGFYSLENISDAIKKGTGFTPGIECNKDSAHNSQLYQVYLCVDTSGSNLIECPILPSSRCGSNIQFPKF >OIW04378 pep chromosome:LupAngTanjil_v1.0:LG09:19386725:19398899:1 gene:TanjilG_32570 transcript:OIW04378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTNDDVGSVEQQVHDALLYVSLKIENLNNTNKPIHTQLFPHVYGSFPLVSSWDPHKALSMERESASTWELSFVLPPNHETLDFKFLLQPKDTDAPCFVEEGPSHLLIEGALEEDARLALFRLDNDAVLEYRVFVEARRVSPFDLAASWRAYEEHFHLPAVRGIPDVSINSGVQTGAEFVSSASLDLDLEHYVVPSPSTCVSPELVYAANLTEDPRSLSSGSGSGSYSIRDDSFPIDQPAAVEVIVSDPSQVYQSPGMVKSKSVDTISPLRKEDGQRGNFVDRGVGSPRLVKCSSSNTFTTSKNSIPEAAGAVAAAAIADQMLGPKEDRHLAIVMVSLPARGKTYTAAKLARYLRWLGHDTKHFNVGKYRRLRHGTNQSADFFRADNSQGMDARNEVAVLAFEDMISWMQEGGQVGIFDATNSSKQRRKTLMKLAEGRCKIIFLETICNDVDIIERNIRLKIQQSPDYAEVQDFESGLRDFKDRVYETVEEGSYIKMIDMASGHGGQIQANNISGYIPGRIVFFLVNTHLTPRPILLTRHGESQANVRGRIGGDTALSGNGDRYKKKLANFVEKRLSSERAASIWTSTLQRTILTAGPIVGFPKIQWRALDEINAGVCDGMTYGEIHKNMPEEYESRKKDKLRYRYPRGESYLDVIQRLEPVIIELERQRAPVVVVSHQAVLRALYAYFTDRPLKEIPTIEVPLHTIIEIHLGATGVQEKRYKLMD >OIW05168 pep chromosome:LupAngTanjil_v1.0:LG09:5470968:5471756:1 gene:TanjilG_19799 transcript:OIW05168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDASCDESRQSNWDVPPPRQPPQAAGYHHQGYQTKPVHVTAFSNKHHEALEFDYVYHAAIPEKRKINFIMKVLYVVSVGFIILFVIAAMTLNPKTPIYHVNDLRVMNFSLNPTLKGNWYTNITVYNPNPGRFGHFHDFKLDIMHNDEVIAGVSSEGFEVEKNKHQLLEVNFTTESEIVKALKNPKMKLDELKKEHESLHVMVDIKITPVPVFMSNMKTYIEGLAVAYCPGLRIVFQKNNISEGHLNIDCQPNFCRIMILKQE >OIW04073 pep chromosome:LupAngTanjil_v1.0:LG09:20325903:20327347:1 gene:TanjilG_00633 transcript:OIW04073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKALILVGGFGTRLRPLTLSFPKPLVDFANKPMILHQRMNIGRGQDEDEEQDEDEDRMRRRIGQDEDEEQNEDEEEDRMRMRIGGG >OIW05468 pep chromosome:LupAngTanjil_v1.0:LG09:498964:508995:-1 gene:TanjilG_12059 transcript:OIW05468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKTKTTMICRTRISATLSSLLILISTVSANHGVFSVKYKYSGQDRSLSVLKAHDYRRQLSLLTGVDLPLGGSGRPDSVGLYYAKIGIGTPSKDYYLQVDTGSDLMWVNCIQCKECPSRSNLGMDLTLYDIKESSSGKFVPCDQSFCKEINGGLLSGCTGNISCPYLEIYGDGSSTAGYFVKDILLYDQVSGDLETSLANGSVIFGCGARQSGDLSSSNEEALDGILGFGKANSSMISQLASSGKVKKMFAHCLNGVNGGGIFAIGHVVQPKVNVTPLLPDQPHYSVNMTAVQVGHTFLSLPTDASDQKDIKGTIIDSGTTLAYLPEGIYDPLVYKIIYQQPNLKVQTLHDEYTCFQYSGSVDDGFPVVTFYFQNGLSLKVYPHDYLFPSGDFWCIGWQNSGTQSRDNKNMTLLGDLVLSNKLVFYDLENQVIGWTDYNCSSSIKVRDEKTGTVHLVGSHYISSATTTLNTKRVLILILIALLHKLLY >OIW04083 pep chromosome:LupAngTanjil_v1.0:LG09:20394969:20395929:-1 gene:TanjilG_00643 transcript:OIW04083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKGRQGERVRLYVRGTILGYKRSKSNQYPNTSLLQIEGVNTKEEVAWYAGKRLAYIYKAKVKTNGSHYRCIWGRVTRSHGNSGIVRAKFKSNLPPKSMNARFYSPHVQSHAIVNGVICGYLERKGEG >OIW05059 pep chromosome:LupAngTanjil_v1.0:LG09:7250704:7255609:-1 gene:TanjilG_02766 transcript:OIW05059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSARSWLQKFQPRDKMRATSRKNDDSNGGNEDSSVPLDEAALSNITKQKVAAAKQYIENHYKEQMKSLQERKERRTILEKKLADADVSEEDQNNLLKFLEKKETEYMRLQRHKMGVDDFELLTMIGKGAFGEVRVCREKTTDHVYAMKKLKKSEMLRRGQVEHVRAERNLLAEVDSNCIVKLYCSFQDDEYLYLIMEYLPGGDMMTLLMRKDTLDEDEARFYVGETVLAIESIHKHNYIHRDIKPDNLLLDRYGHLRLSDFGLCKPLDCSTLEESDFSPNQNANASPQNDEHGTPKRSQQEQLDNWQKNRRTLAYSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYSDDPMSTCRKIVNWKSHLKFPEEARLSPEAKDLISKLLCNVNQRLGSNGAAEIKAHPFFEGIEWDKLYQMEAAFLPEVNDELDTQNFEKFEEADNHTQSSSRVGPWRKMLSSKDLNFVGYTYKNFEIVNDYQVPGMAELKKTSKSKARPSVKSIFGDNESETSEASEASEASTSNQSAHGS >OIW04353 pep chromosome:LupAngTanjil_v1.0:LG09:19193282:19194769:1 gene:TanjilG_32545 transcript:OIW04353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEILPPSPYTSNSNSYMEDNNKTKWTLEENKLFENALAVYDKDTSDRWHKVASMIPGKTVEDVMRQYKELEADVCDIEAGLVPVPGYDSATITPPFTLDYMVNTSGYDGFKGGISGKRSSVRTPDQERKKGVPWTEEEHKLFLLGLKKYGKGDWRNISRNFVITRTPTQVASHAQKYFIRQLSGGKDKRRASIHDITTVNLTETTGTSSDDTKNSTSPQHSMMFSQQSNTNATSPIPHFQWNVQPNAAGAIVPMNQAHDQLFMSPYDVSSFGLNMQGQNMHHHESSYLEPQTENMVFQMQQSSEHFPHS >OIW04416 pep chromosome:LupAngTanjil_v1.0:LG09:19745753:19748014:-1 gene:TanjilG_32608 transcript:OIW04416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPSSTRLSLAMERTGQWIFSQDIPSDVIVEVGEASYSLHKFMLVAKSNYIRKVIIDSEESDLTRIDLSDIPGGAEAFEKTAKFCYGVNFEITVHNVAALHCAAMFLQMTDEYCDGNLAARTEGFFSQVALSSLTGAVTVLKSCQKLLPFAGDLNVTNRCVEAVGSSASSEAYFPSKSPPNWWMEELAVLDVDSFEKVIIAMKNRGAKYLTISGALITYAERTLQELVRDHSGGKGRIELSDFSDSDSDSSLRIQQREILQSIVALFPSEKASFPINFLCCLLRSAIYLRASSSCKRELEKRISEILEHVTVDNFLVLSFTYDGERLLDLDSVRRIISTFVEKEKSTSVFNASGSDFIENCSSTAMQRVAKVVDKYIAEIAAYGELTISKFNGIAILIPKHARKVDDDLYRAVDIYLKMHQNLDEIEREKVCSVMDPLKLSYEARVHASQNKRLPVQIVLHALYFDQMQLRSGAAEDQNVVASKNQKPQTDVSLVRENEELRSELMKMKMYVSDLQKNGQATTSSSKEYVKKTNFFSSVSKKLGKLNPFKNGSKDTSNIDDETVDLTKPRRRRFSVS >OIW04701 pep chromosome:LupAngTanjil_v1.0:LG09:14854596:14856830:1 gene:TanjilG_06767 transcript:OIW04701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLVHSNIVHEPESQSFELDESDLFTPVYNSQIKKLNSVCVDLKRNEWELEDCMTTPKVEFSDRVIDFKGDYQAQVKDIVKDICVDEGVPTKDKFLFEMGVDEKAYDLFPCNEDKELKKDNIGINVLNLPATDQSSQVLLNREQSQDLMCKDEAVTQKHCDIVNNETLPGVMVLLQVLEKQEPTDSDGQNFLNHAQSNDLTCNDEAVTQKVCDNVNKATSPGVMVLSQELGKQEPGDSDGHDEQVLDEPESLSRSLESESIVDEGVLTTPTLAPAIVESNSDNVLKEKVSFTYMLNPPVLGAACGKEECHQLGGCKCKESQDTSEPADGKSNDVAVLSLIHNSLGETSFSGVGHGSSRINYSGPVPYSGSISLRSESSTTSTRSFAFPVVPSEWNSSPVRMAKADKSNYRKHRGWRQGLGLLCCRF >OIW04363 pep chromosome:LupAngTanjil_v1.0:LG09:19270618:19273338:-1 gene:TanjilG_32555 transcript:OIW04363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNLDLENNTNSISQMVRNKSDPVIFTNQMLRNFMANFQQVIFASKLSLLFLAVPLALVANFQGFARPLLFKMALEGGDSSIATSTLQLSRASSIFMLLAYVAYIYFQLKTHGKLFDAQEEDEDEEKPVIGFWSAFIWLVGMTLVISVLSEYVVGTIEDASDSWGISVGFISIILLPIVGNAAEHAGSVIFAFKNKLDMSLGIAMGSATQISMFVVPLSVVVAWIMDIQMDLDFNLLETGCLAFTIIVTAFTLQDGTSHYMKGVVLSLCYIVMAACFFVHKTPLDGKD >OIW05272 pep chromosome:LupAngTanjil_v1.0:LG09:3505096:3510491:1 gene:TanjilG_03661 transcript:OIW05272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGVLLGLRTGSNGSLQQLQNGNILQPAALLPGLVRRPSKALLYNPREKEKVCSSIYRHLGRGRVAMLLMIALGLSVFVFGCFTLYKGANIHNEIEDARSYAITRYEIFKPHGVEDKSHDIRYASTNSFTSMSELSTQPPSAPHPPTSSNSKSTKGYYHTLSHQCEHLAFPPPPPVGRRRPGPRPCPVCYIPVEQAIASMPSLPSESPLLRTLTYVHDENPMKSVPQGGSDFGGYPSLEERDAAFDIKESMVVHCGFAKGSRPGRQTGFDFDEEDLLVLDQYHDVIVASAIFGNYDVLQQPKNISSEAKENIPFYMFIDEETEMYMKNASILDSSRRVGFWRIFVVRNAPYADARRNGKIPKLLLHRIFPNVRYSIWIDGKLELVVDPYQVLERFLWRTNATFAISRHYRRFDVFVEAEANKAAGKYENASIDQQIQFYQYHDGLTRYSKAKLPITSDVPEGCVIIREHIPITNLFTCLWFNEVDRFTSRDQLSFSTVRDKIMAKVDWSINMFMDCERRNFVIQTYHRDILEHMPPPPSPPPPPPPPPPLPPVVVIHPPRLPALPLINRPQMKRNLRHSKGDRRSSSKRRRKVGNVVLNNN >OIW05210 pep chromosome:LupAngTanjil_v1.0:LG09:4995861:4996456:1 gene:TanjilG_14763 transcript:OIW05210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLLKDFELDSKNPSVEALTRWRSAVSFVVKNRRRRFRMVADLDKRSEAEQIKLGIQNTKV >OIW04668 pep chromosome:LupAngTanjil_v1.0:LG09:15711657:15715316:-1 gene:TanjilG_07803 transcript:OIW04668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERLAGSSAATTSCQGYVSWEEVFVSSEKGRREVHYFLKRRNGDLDLAVIGKEKSLRHMSYRYALRDPSLGPYWKLKSRREVIVWLDSIVSDDVSHVTDATKAGEHGCGSETENLKNNQSRKLSLLSNEFTWLGSPWTCRKRRNHYQSFKRNGFQISVYDFIYVLAEENNRLVAYLEDLYEDSRGNKMVVDLSIECIDGLASVLSPQHYKKFQNEAHHTHLEPFMCEHQFEDDDVKPYDITQIKGYWKQEILKYMYSLSDSKSNGSSGPSKSNGSSGQSDDSSELEENLQCTTGLRPKKRQRCTKVDEKEAVDAANRLENLSTSKINMKISTGDNCIKMVGPTTTLTTIKETNDDTSQYLVVGSEVEVLSQDSGIRGCWFRASVIKNHKDKVKVQYQDIQDAVDESKKLEEWVPASRIAVPDELGLRMHGRTKIRPAPESNKYKNSLIVGIGSIVDAWWHDGWWEGFVVKKESEANYHVYFPGEKVISTFGPGKLRHSQDWSGNGWVNMKERPDLVTSILSSLKTKQESSKSYDSKSTIASGDGIQSKQSETCLDSKRHKPRISEVILDLLKNDLFPHLRWKSSKKRSRSNSSCQKTPCNGSNRKKSPKVVESDSSDSSVIQASLKVDHEDYNYGGDPSIFSTSVVPSLTNLVMCR >OIW05330 pep chromosome:LupAngTanjil_v1.0:LG09:2477875:2481100:-1 gene:TanjilG_28795 transcript:OIW05330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEKQNKGAHINTLMITQMFHFSKYVTSFLLFSLFFGSLTSASPNYNGIYCPNNITYEINNNTISQTNLNVLLSSLLSNATEGSSSYTTAMGMGTSNALNGLYLCRGDVSSATCAECVAAAVTNITKLCPNKKESIIWFDECMLHYTNTYFNPLSIEPRLNLWDNKNISTLDVDNFNEMLLSFLGSLASEASNTESSMKFSTGEWNFTKEITVYGLAQCLPGVTNEQCEGCLVNGSKTLVTCCEGKQGARALLAWCNIRYDLFQFYNTTQTSASPPLFSPPPPSVGDESEALESLQYNLSTIEAATEKFSNENKIGKGGFGEVYKGVLSNGREIAVKKLSQSSGQGTIEFKNEVLLIAKLQHRNLVALVGFCLEAQEKMLIYEYVPNKSLDYFLFDPLKSKLLNWSERYKIIKGIAQGIHYLHDHSRLKIIHRDLKASNVLLDSSMNPKIADFGMARIIGLDQDRGKTNRIVGTYGYMSSEYAMYGRFSEKSDVFSFGVILLEIISAKRNAHSILSDDVEYLLSYAWRQWRDETPIQILDEDIRESCNESEVIKCIQIGLLCVQDKADDRPTMGKVISYFSNSDSEAELPFPGEPINSMHNQILQKTVAAGESSSGSIQLTELSMPR >OIW05506 pep chromosome:LupAngTanjil_v1.0:LG09:159768:160037:1 gene:TanjilG_27636 transcript:OIW05506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDENIDVKKWQLEFTPECLSTHLNLCSFHNFRGWKCELEFAKYIMKNAKVLETMKISSHRSSKPQTKLEMVKKLSMYPKSSPTCELSFE >OIW04411 pep chromosome:LupAngTanjil_v1.0:LG09:19707860:19713840:-1 gene:TanjilG_32603 transcript:OIW04411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDLHLNAVVFTEDRPCASSPPSPPLPSSHPDPSSITPHVWSVAEKATRQILCRIQPTLAADRRRREVVDYVQRLIRYGVRCEVFPYGSVPLKTYLPDGDIDLTALSYQNIEDGLVSDVHAVLRGEEANEIAEYEVKDVRFIDAEVKLVKCIVQNIVVDISFNQLGGLSTLCFLEKVDRLVGKDHLFKRSIILIKAWCYYESRILGAHHGLISTYALETLVLYIFHHFHHVSLDGPLAVLFRFLDYFSKFDWDNYCVSLKGPVGKSSLPDIEADVPENGGNTLLTEEFIRSCVDSFSVPSRGVDLNLRAFPQKHLNIIDPLKENNNLGRSVNRGNFYRIRSAFKYGARKLGWILMLPEDRIADELNGFFANTPDGHDSTQWNDMQTRSMDHSFDSSCLSDARVCSEDNMLSCSSTGSRKDQIPRNQHNFETINERERCLVKNGLYLAGLSFDSCRVGDSNNFATSGVFGIARTKSSSHCSNGIVENRISCSSDTTGNSVDDEKAMHFMVSNSPGSHIDENNIPSFDTTILDKATNAISENLFSHSDRYSTRVLVRTEDSKSLLDLAGDYDSNIRNLQFGQMCNGYIISPLMVPSPPRSSKIQNRNPWETVSQHLQINDSVHSQTNSNGVIGQQIYLVNHPTLPMATFGSDEKRKLRGTGAYFPTVTSRPYRDSKLVSGRGRNQAPGNHGQLQRHIRNNGFAPAPQQWNLSVEGSSEVALEGYPAPGSAKSRTSETYFFQPSMWGSHYANGFLHSSEKPESGSVSPQLHGSPRTEVSNHLESGISASSGSMPDTGMVAEERSNSLPVVDRKRFRVGVDAYHLKNEDDFPPLSLSASDCW >OIW05105 pep chromosome:LupAngTanjil_v1.0:LG09:5592744:5593481:-1 gene:TanjilG_02578 transcript:OIW05105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANKEFKIPPVAFPAGIPAPTATNLQHRRVPNPPFQPNSSIPFMSFDIGSAAASTSSGPIYTGPVGGGSANFEDEEPLLDELGIHPDQIWSKIRSVLNPFRVNHTVHKDSDLSGPILLYMSFCLFQLLAGKIQFGVILGWIVVSSIFLYVVFNMLAGRTGNLDLHTCTSVVGYCMLPVVIFSAVSLFLPQGGVVAVAIAAVFVLWATRASTGLIVSLTDGGDEHHGLVAYACFLIYSLFSLLVVF >OIW04417 pep chromosome:LupAngTanjil_v1.0:LG09:19753348:19753921:1 gene:TanjilG_32609 transcript:OIW04417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKEFNTQAELSVGLEILWQAMSKDLNVITQRVIPNIVKDVKVIEGDGGIGTILLFTFDSDVSPESYQREKITELDEVTHEIGLQVIEGGYLSQGLSYYKTSFQLSAIGELHTLVNVKIFYEYEHNTEEKSDHPFKTSESTLFFLRCLEKYLLNDASR >OIW04214 pep chromosome:LupAngTanjil_v1.0:LG09:21328982:21329905:1 gene:TanjilG_00774 transcript:OIW04214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADETVFNGEESTVKIEALERERKELANDNAERKDEIKKLTVEIEGLRADDVDLRDKVKELKKELQRSKEAEKAVEAIAARAADLETEVVRLQHDSVSEIGAAEEARAEAAELRKVLKVKESSVENLERELEGLRKLKAENEVKARDLEKRVEVLETKEIEERNKRIRVEEELRAEIDAKEKEILGLRKKIDELDEADDAAGKKSDSEVWNTEKSILQEALRESEEKVKNLELNFARLRDEAGEAEKVIGSLKEKAIDIVNRDLNGTHGEEKGLTGLNLQWPVVAAGSTGAVIAVAALSYACYAKRR >OIW05471 pep chromosome:LupAngTanjil_v1.0:LG09:479989:481500:-1 gene:TanjilG_12062 transcript:OIW05471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKPPLKKFYDLIWFVLLTTFVLYISMLLLNYYKPLSNYRISFLFLNNHTQVQKPSKFSINSSEIINYTSIVNAENITPPPPLIRENITEVVITKPSTENLDPCSGKYIYAYDLPSIFNEDLVKGCKSLNKWFDMCPYLSNLGLGKRVIEKTKRKVLLKNSWYVTNQFSLEVIFHQIMKDYKCLTNDSSIASAIYVPYYAGLDVGQYLWEYNVTMRDSTPKKLANWLGEQVEWKKMLGKDHFMVGGRIGFDFRRRTENSSDWGTKLMFLAETSNMSFLPLETSTYENDFPIPYPTYFHPSKDKEIHHWQERMRRMKRTNLFSFAGAPRPNSTYSIRSVLIQHCQSYNKSCKLLNCYVNNQNKCDDPVHVMKVFQSSVFCLQPPGDSYTRRSTFDSILGGCIPVFFNQNSAYKQYLWHLPKNGSTYSVFISEEDVKRNRTIIHDTLSSFSKKQVLAMREEVIRIIPRISYRKPGSRLEIIEDAFDVAVKSVLERIEGIRRKEFL >OIW04870 pep chromosome:LupAngTanjil_v1.0:LG09:10594097:10594297:1 gene:TanjilG_13710 transcript:OIW04870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVVVTPVTDRSNRAIGLSSSFYGGHPEVAVGAGAPSSGYKKVTHSWTRFGDSRGAIRGSGGIGGG >OIW04273 pep chromosome:LupAngTanjil_v1.0:LG09:21659786:21660205:-1 gene:TanjilG_00833 transcript:OIW04273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGTLNLSLSAAPLRLPKKCSHSNRSFTAMSARSLESRRPSRLYEVLQIKQNASAMEIKAAYRSLAKVYHPDSLVRRSESNERVFIEIHDAYETLSDPAARAMYDRSLTTPRGGNRELVSMNGCCGLYQTRNWETDQCW >OIW05102 pep chromosome:LupAngTanjil_v1.0:LG09:5557336:5561859:-1 gene:TanjilG_02575 transcript:OIW05102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLDTIKMATCMSTSFAPSNGRNLAGVLAVPGGRTLIENHNGRSCFMKMYENKYGNRKSGLFQVKCSANSNSIYHSKDPFLDLHPEVSMLRGEGSREVNNPRKDISGGNVAESLEPTPSSSNYNEAKIKVIGVGGGGSNAVNRMIESSMNGVEFWIVNTDVQAMRMSPVFPDNRLQIGQELTRGLGAGGNPEIGMNAAQESKESIEEAVYGADMVFVTAGMGGGTGTGGAPIIAGVAKSMGILTVGIVTTPFSFEGRRRAVQAQEGIAALRDNVDTLIVIPNDKLLTAISQSTPVTEAFNVADDILRQGVRGISDIITIPGLVNVDFADVRAIMANAGSSLMGIGSATGMYESENWNALQPLNPGLLFVLNDYSESSVLLTETRKTRARDAALNAIQSPLLDIGIERATGIVWNITGGTDLTLFEVNAAAEVIYDLVDPTANLIFGAVIDPSLSGQVSITLIATGFKRQEENEGRPAQASQLTQGEPIGINRRSSSFTDGSFVEIPEFLKKKGRSRFPRA >OIW05263 pep chromosome:LupAngTanjil_v1.0:LG09:3654896:3656749:1 gene:TanjilG_03652 transcript:OIW05263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSFLGRSDVSDLCLGKPRLRSLSESATVAEALAALKSSDESFISVWNKEGGVCVGKVCMVDVVCFLCKEESLLCPFSALKTPLSHVLSKVPGLVMHLEPSSSLLEAIDLILQGAQNLVVPILPTKKSSISRRKHHLQKSSTISSHNGREFCWLTQEDVIRFLLGSIGLFTPLPALSIDTLGIITSDVLAIDYYSPASSAVGAISKSITQQTSVAILDNDGTFIGEISPFNLACCDETVAAAIATLSAGDLMAYIDCGGPPEDLVTIVKARLKEMNLEKMLQEFTILSPFNNDTSLTSSSSSDEESSMRTPERHRRSYSYSTRMVRKAEAVVCHPKSSLVAVMIQAIAHRVNYLWVIEDDCSLVGIVTFSSILKVFREHLETI >OIW05083 pep chromosome:LupAngTanjil_v1.0:LG09:6909213:6916650:-1 gene:TanjilG_06219 transcript:OIW05083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQFNITSIKTSSNGVWQGDNPLDYAFPLLIVQTTLILVVSRFLAFLFKPLRQPKVIAEIVGGILLGPSAFGRNDTYMQRIFPSWSTPILESVASIGLLFFLFLVGLELDLNSIRRSGKRAFSIAVAGISLPFICGIGVAITLRKTVHGADKVGFAQFIVFMGVALSITAFPVLARILAELKLLTTRVGETAMAAAAFNDIAAWILLALAVALSGDSDNKTHKSPLVSIWVLLCGLAFVIFMMVVIRPAMKQVGRRCSHENDAVDEAYICLTLAGVMVSGFMTDLIGIHSIFGAFVFGLTIPKGNFAERLIERIEDFVSGLLLPLYFASSGLKTNVAKIHGGEAWGLLVLVVTTACAGKIIGTFAVAMICKIPARESLTLGVLMNTKGLVELIVLNIGKEKKVLNDEIFAILVLMALFTTFITTPTVMTIYKPARGAIAKTNRKLGDLSSSSKHDAHADPLRVLACVYGPGNVPSIISLIESTRSTKKSLLKLFIMHLVELTERSSSIILAQRARKNGFPFFNRANRGEWRDRLSGAFQTYSQLGRVSVRPTTAISSLSTMHEDICHVAEEKRVTMIVLLFHKQWRMEVDEDDSGKAHEVLENVGHGWRGVNQRVLKNAPCSVAVLVDRGYGNGSHTPGPNVSGAQRVCVMFFGGPDDREALKLGERMAEHPAVRVTVIRFVEKEGVNGINAVLLSPEASTTSEKSYSFSKAKINTKQEKELDENAMGEFHIKWDSMVGYIEKESSNVVEEVLSIGKSGDYDLIIVGKGRFPSISVAELAERQAEHAELGPIGDILTSSGNGVVSSVLMIQQHDVELADEAPLCKVHEDLSHAKGNDNAV >OIW04996 pep chromosome:LupAngTanjil_v1.0:LG09:8288876:8326281:1 gene:TanjilG_24468 transcript:OIW04996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKLKIADGGKDPYIFSTNNFVGRQTWEYDPEAGTAEEREEVEAARQHFYNNRFNVKPCGDLLWRFQVLRENKFKQTIAKVRIEEGEEITYEKATASLKRAAHHLSGLQTSDGHWPAQIAGPLFFLPPLVFCMYITGHLESVFPEEYRKEILRYIYCHQNEDGGWGLHIEGHSTMFGTALNYICMRILGVGPNEGLDNACARARKWIHDHGGVTHIPSWGKTWLSILGLFDWCGSNPMPPEFWILPSFLPMHPAKMWCYCRLVYMPMSYLYGKRFVGPITPLILKLREELFTEEYEKVNWKKARHQCAKEDLYYPHPLIQDLIWDSLYIFTEPLLTRWPFNKLVREKALQVTMMHIHYEDETSRYLTIGCVEKVLCMLACWAEDPNGDAFKKHLARVPDYLWVSEDGMTMQSFGSQEWDAGFAVQALLATNLIDEVGPTLRKGHDFIKESQVRDNPMGDFKSMHRHISKGSWTFSDQDHGWQVSDCTAEGLKSKKGGLAAWEPAGAQEWLELLNPTEFFADIVVEHEYVECTGSSIGALVLFKKLYPGHRKKEIENFIANAVRFLEDTQTQDGSWYGNWGVCFTYGTWFALVGLSAAGKTYTNCAAIRKAVKFLLTTQRDDGGWGESYLSCPKKIYVPLEGSRSNVVHTSWALMGLIHAGQADRDPTPLHRAAKLIVNSQLEDGDWPQQIQCLDVLLEDTDVVKGITEYVSYAAIENLVLGAASRHGFIRFKSSSKPSSIAKGAPDFCTVYIISKGKISSVRSASRAAPHSSPLLKHVQNLNNESNHPKITSSRRMSVGFRDHHRTSFKPHSWQDESINSDKPSTSSGRSSSVYSNYIDSGRNSRISTTSDHSLGTGFMFNDHNSPDLSFSKESKRISSSYSNKNMDEEVGMRRSGLELKQMIEMYGAARKEALTAGQKLMELNHQRTEAEQKIDETRLSQQVSLATIEKEKAISKTTLEKIDADTKVSEMEALKEAEEMRKLLNNVVQADERYKRYTIEEIEIATDFFSESRKIGEGGYGPVYKCYLDHIPAAVKVLRPDSTQGKSQFQKEVDILSCMRHPNMVLLLGACPDHNILIYEYMENGSLEDCMFRKKDENLMSWQVRFHIAAEIATGLLFLHETKPEPLVHRDLKPSNILLDHNYVSKISDVGLARILPVVAENVTQCHMTSAAGTFCYIDPEYQQTGTIGVKSDVYSLGIILLQLLTGKTPMGLAYQVGESIENDTFVEMLDKSVHEWPLQETLCLAKMAVKCVELRRKDRPDLGKQVLPELQRLRDFAEDIMSPTTTFFGEEDSSSESSPNASQASIL >OIW05053 pep chromosome:LupAngTanjil_v1.0:LG09:7284109:7287579:-1 gene:TanjilG_02760 transcript:OIW05053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATASVAFKSREDHRKQIELEEARKAGLAPAEVDEDGKEINPHIPQYMSSAPWYLNAERPSLKHQRKWKSDPNYTKSWYDRGAKIFQAEKYRKGACENCGAMTHDSKSCMDRPRKVGAKWTNKHIAPDEKIETFELDYDGKRDRWNGYDASTYARVIERYEARDDARKKYLKEQQLKKLEKNDQNGEDVASEEDDDEDDLRVDEAKVDESKQMDFAKVEKRVRTTGGGSTGTVRNLRIREDTAKYLLNLDVNSAHYDPKTRSMREDPLPDADPHEKFYLGDNQYRNSGQALEFKELNLHAWEAFDKGQDVHMQAAPSQAELLYKNFKVMKDKLKSQTKDTVLEKYGNAADEDKLPRELLLGQSERQVEYDRAGRIIKGQELAIPRSKYDEDVYINNHTTVWGSWWKDHQWGYKCCKQIIRNSYCTGAAGIEAAEAASDLMKANIARKEAAAEDSAPVEEKRLATWGTDVPDDLVLDEKLLADALKKEEQRKREEKDERKRKYNVRWNDEVTAEDMEAYRMKKVHHDDPMKDLLH >OIW04529 pep chromosome:LupAngTanjil_v1.0:LG09:17732473:17738290:-1 gene:TanjilG_13911 transcript:OIW04529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNYRLKQQQQQQALMQQALLQQQSLYHPALLAPPQIEPIPSGNLPPGFDPSTCRSVFVGNIHTQVTEPLLQEVFAGTGLVEGCKLIRKEKSSYGFIHYFDRRSAALAILSLNGRHLFGQPIKVNWAYASGQREDTSGHFNIFVGDLSPEITDATLFACFSVYPSCSDARVMWDQKTGRSRGFGFVSFRNQQDAQSAINDLTGKWLGSRQIRCNWATKGAAGGNEDNPNIDTKTVVELTNGSSEDGKETSNNDAPENNPQYTTVYVGNLASEVTQLNLHSHFHSLGAGVIEEVRVQHDKGFGFVRYSTHAEAALAIQMGNTHSILCGKPIKCSWGSKPTPPGTASNPLPPPAAATLSGFSSTDLLAYERQLAMSKMGGGHALNPLMHPLKQAAIGASQIYDGGFQNVAAAQQMMYYQ >OIW04324 pep chromosome:LupAngTanjil_v1.0:LG09:18885017:18899960:-1 gene:TanjilG_32516 transcript:OIW04324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTLRSNWPSRLRQILSTEGAIGPSIKLDSEPPPKIKAFIEKVIQCPLQDIAIPLSGFRWEYSKGNFHHWRPLLLHFDSYFKAYLACRNDLTLSDNLQDDSPLPKHAILQILRVIQIILENCPNKSSFDGLEHFKLLLASTDPEILIAALETLSAHVKINPSKIHGNAKMVGCGSVNSYLLSLAQGWGSKEEGLGLYSCVMANEKAQDDALCLFPSDVDNGCDQSNYRIGSTLYFELHGPSAQSKEQSVDTVSHSLRVIHMPDLHLLKEDDLSLMKQCIEQYGIPPDLRFSLLTRIRYARAFRSPRICRLYSRICLLSFIVLVQSSDAHDELMSFFAIEPEYTNELIRVVRSEETISGSIRTLAMLALGAQLAAYTSSHERARILNGSSISFAGGNHMILLNVLQRAILSLKSSNDPSSLAFVEALLQFYLLHVVSTSTSGSNIRGSGMVPTFLPLLEDSDPGHIHLVCFAVKTLQKLMDYSSSAVSLFKELGGIELLAQRSQKEVHRVIGLGEETDNMMLTGESSRHSTDQLYSQKRLIKVSLKALGSASYAPANATRSQHSQDSSLPSTLVLIFGNVDKFGGDIYYSAVTVMSEIIHKDPTCFSALHEMGLPDAFLSSVKSGILPSPKALTCIPNGLGAICLNAKGLEAVRESSSLRFLVDIFTRKKYALVMNEAIVPLANSVEELLRHVSSLRSTGVDIIIEIIQKIASFGDGTGTDSKGKANGGTAMETDSEVKENEGHCGLVDTLANSSSEGITDDNFIQLCVFHLMVLVHRTMENSETCRLFVEKSGIEALLKLLLQPTIAQSSDGMSIALHSTMVFKGFAQHHSTPLARAFCSSLKEHLKKALVGFGAALEPLLLNPRMTSDSGIFSSLFLVEFLLFLAASKDNRWVTALLTELGNDSKEVLEDIGHVHREALWQIAVLENTKTEDEDGGACSSDSQQANLDASETEEQRFNSFRQFLDPLLRRRTPGWSIESQFFDLINLYRDLGRSTGSQRRSSSVGHLNLRSSSSNLFDHSGSDDNSGTTNKKESDKQRTYYKSCCDMIRSLSFHITHLFQELGKVMLLPSRRRDDIVSISPASKSVASIFASIVLDHMNFGGHVNLSGTEASISIKCRYFGKVIDFMDSILMERPDSCNPVLLNCLYGHGVIQSVLTTFEATSQLLFAVNMAPASPMDTDDANAKQDVKEDADNSWIYGSLASYGKLMDHLVTSPFILSSFTKQLLAQPLTDGDTPFPRDAETFVKVLQSTVLKTVLPVWTHSQFVDCSYEFISTVISIIRHIYSGVEVKNVNGNSGSRITGPPPNETTISTIVEMGFSRSRAEEALRQVGSNSVELAMEWLFSHPEEAPEDDELARALAISLGNSESDKKDAVANDNDQQLEEVIVQLPPVDELLSTCTKLLLKEDLAFPVHDLLVMICSQDDGQYRSNVVTFIVDRIKECGLVSSNGNNVMLAALFHVLALILNEDTVAREAASKSGLINITSDLLYQWDSSLDSREKQQVPKWVTAAFLALDRLLQVDQKLNSEIAEHLKKEAMNIQKTSITIDEDRQNKLQSELGLHTKYADIYEQKRLVEVACSCMKNQLSSDTMHAVLLLCSNLTRNHSVALTFLDAGGLSLLLSLPTSSLFPGFDNVAASIVRHVLEDPQTLQQAMESEIKHSLVVASNRHPNGRVNPRNFLANLSSVISRDPAIFMQAAQAVCQVEMVGERPYVVLLKDRDKDKTKEKEKESDKSLEKDKAQSDRKAGLGNTNIAASGNGHGKMHEPNAKNVKGHRKPTQTFTNVIELLLESICTFIPPSKDDIAPNNSGISASSDMDIDVSTVKGKGKAVATMSEGNETSSKEASASLAKIVFILKLLTEILLMYSASVHVLLRRDAEMSSIRGTFQKSPAGLNMGGIFYHILHSFLPCSRNSRKDKKGDGDWRQKLSVKANQFMVAACVRSSEARKRVFNEISYIINEFVDSCAGVKSPGDEIQAFVDVLNDILASRTPAGSSISAEASATFMDAGLVKSFTCTLHVLDLDHPDSSKVATGIIKALELVSKEHVHSVDSNAGKGDSSTKPSDQAGRIDNNGEMSQSMEIASQTNHESLQVDNVGSFNAIQSYGGSEAVTDDMEHDQNLDGTFAHANEDDYMHENSEETRRGLVNEVDNMGLRYEIQPHGQETLDEDDDVDDDMSGDDGEDEDEDDDTEHNDLEDGVHHLPHPDTDQDDHEIEDDDDFDEVMEEEDEDDEEDEDGVILRLEEGINGINVFDHIEVFGRDNSFANENFHGMPIEVFGSRRPGRTTSIYSLLGRTGDTATPSRHPLLVEPSFSFPPSAGQSDNELENSSTGLDSIFRSLRSGRHGHRMNLWTDINQQSGGSNTGVVPQGLEDLLVSQLRQPTLEKLPNQNVAETGPHSKVDVSQAQDSGGARQEVPAESNANQEVSTSTPSIIENNNNANISPAGTGRLETDVSSTHTQAVAVQFELNDGATRDVEAVSQESSGSGATFGESLRSLDVEVGSADGHDDGGERQVSADRTAGDSQAARTRRSNMPFGHSSAVVGRDASLHSVTEVSENSSRDADQDGPAAEQQGNSDSGSGAIDPAFLDALPEELRAEVLSAQQAQVAQPSNTESQNTGDIDPEFLAALPADIRAEVLAQQQAQRLHQSQELEGQPVEMDTVSIIATFPSDIREEVLLTSSDTILANLTPALVAEANMLRERFAHRYSRNNLFGLRRRGEVSRRGEGIRSALDGARGVVYSRRSSGAKVVEADGAPLLDTEALHAMIRLFRIVQPLYKGQLQRLLLNLCAHSETRTSLVKILMDLLMLDVRKPAYYSSTGEPPYRLYGCQSNVMYSRPQSFDGVPPLLSRRILETLTYLARNHSYVAKILLQSRLPYPAIREPDSADARGKAVMVVEDEVNMGESNEGYISIAVLLNLLNQPLYLRSIAHLEQLLNLLDVTIDSAGSKSSSSDKSLISNSNPSSGPQVSAVEANVNIGSGVMPSGVDTSNKVDDSKPTSSGDNVESESQRVLSNLPQAELRLLSSLLAHEGLSDNAYALVAEVMKKLVAIAPTHCQLFVTELAEAVRKLTSSAIDELRVFGEAMKSLISTTSSDGDAVLRVLQALSSLVTSLTEKENDAVTPAALSEVWQINSALEPLWHELSCCINKIESYSESTSELLTLPSTSVPKPSGVIPPLPAGSQNILPYIESFFVVCEKLHPAQPGASHDSSIPIVSDVENASTSAIPQKTSGPAVKVDEKNATFVRFSERHRKLLNAFIRQNPGLLEKSFSLMLKVPRFIDFDNKRAHFRSKIKHQHDHHHNPLRISVRRAYVLEDSYNQLRMRPTQDLKGRLTVQFQGEEGIDAGGLTREWYQLLSRVIFDKGALLFTTVGNESTFQPNPNSVYQTEHLSYFKFVGRVVGKALFDGQLLDVHFTRSFYKHILGVKVTYHDIEAIDPDYFKNLKWMLENDISDVLGLTFSIDADEEKLILYERTEVTDYELIPGGRNIKVTEENKHQYVDLVAEHRLTTAIRPQINAFLEGFNELIPRELISIFNDKELELLISGLPDIDLDDLRANTEYAGYSAASPVIQWFWEVVQGLSKEDKARLLQFVTGTSKVPLEGFSALQGISGSQKFQIHKAYGSPDHLPSAHTCFNQLDLPEYPSKQHLEERLLLAIHEASEGFGFG >OIW04059 pep chromosome:LupAngTanjil_v1.0:LG09:20110369:20112246:1 gene:TanjilG_00619 transcript:OIW04059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDDCSISLDNLRANWTPSQDEYFLELMLTHVHKGNKTGKTFTRQAWADMIDQFNTKFGFKYDVDVLKNRHKRFRKQYNEIKMNDSRNDLQWDGTLNMVMADDKTWHGQTKAHAHVQPYRTRVIPNYIDLCIIFGHAVADGRYSLSCFDVDFECEEIASKQGDDQAATSKGLDDQAATSKGLDDQTSPIVINQSKIDWSPMMDRFFVQLMLDQVCKGNKVGHTFKRKAWADMSESFNDRFGCYYGKVVLKNRFNVLRKHYSSINVLLAKEGFSWDKKEQNVVADDDLWQNCIQVNHNFRLYRTKSMPFYSSMCIICRNEATVSCLSNLQNGYFEGKNSTPVTQSFPNAADKGALYIGVEWNSNADKGTMHIGGENVLTGEAQALPHADKDALHIDGEKNSTRETQPLPYADKELLLGGGENVYVHPKRRPPNTPEILNESKKARKYNEGMAVTMKHMTVAVTSLTKKIKKEDGFSIDNVITVLQAIPNMDDDLILDACDFLEDERRARMFLALDANFRKKWLLRKLRSE >OIW05160 pep chromosome:LupAngTanjil_v1.0:LG09:6207795:6212396:-1 gene:TanjilG_02633 transcript:OIW05160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNNNNNTASNGVMSPASSSAAAATVGLKTYFKTPEGRYKLQYEKTHPSALLHYAHGKTVTQVTLAHLKDKPAPSTPTASSSSSFSTSSGVRSAAARLLGGSNGSRALSFVGVNGSSSKSNGGTTRIGSLGASTSSTSMANPNFDGKGTYLIFNVGDAIFISDLNSHDKDPIKSIHFSNSNPVCHAFDQDAKDGHDLLIGLSSGDVYSVSLRQQLQDVGKKLVGAQHYNKDGSVNTSRCSCIAWVPGGDGAFAVAHADGNLYVYEKNKDSAGDSSFPVIKDQTQFSVSHARYNKSNPIARWHICQGSINSISFSNDGAYLATVGRDGSLRVFDYSKEFLVCGGKSYYGALLCCAWSMDGKYILTGGEDDLVQVWSMEDRKVVAWGEGHNSWVSGVAFDSYWTSPNSGENGETIMYRFGSVGQDTQLLLWDLEMDEIVVSLRRPPGGSPTYSTGSQSSLWDSVAPLGTLQPAPSMRDVPKISPSVAHHAYTEPLSGLIFTQESVLTACREGHIKVWVRPDIVESESINSEALLATSLKDKPSLLSKISNSSYK >OIW04897 pep chromosome:LupAngTanjil_v1.0:LG09:9719635:9721776:1 gene:TanjilG_23900 transcript:OIW04897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSSKRVLLTSNGDDVSQGIAFHLAKQGCRLVLMGNEATLRSLALKIKGTFQGAAGFDPVVVEVVGLDMEDQKESVFHDSVDKACQILGKLDAFVNCFTNEGKMQDHLELAESELKKTANINFLAPLFLLKAVGQKMRDFKTGGSIVFLSSIIGCERGIYSGAAAYGSCLAGVQQLVRVSAMEIGKYKIRVNAIARGLHLDDEFPSFVGKERAQKLVKEAAPLERWLDVKNDLASTVIYLISDGSRYMTGTTIYVDGGQSITQPRMRSYMHSYM >OIW04137 pep chromosome:LupAngTanjil_v1.0:LG09:20808339:20811088:1 gene:TanjilG_00697 transcript:OIW04137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCQKKIQIQDEKKVIVEGDDFLVPPLNFAMVDNGIYRSGFPKPDNFSFLQTLRLRSIIYLCPEPYPQTNLEFLDSNGIKLYQFGIEGHKEPFVNIPEDTIREALKVLLDVRNHPLIIHCKRGKHRTGCLVGCYRKLQKWCLSSVFDEYQRFAAAKARVSDQRFVELFDISSIKHIPIAFSCLKR >OIW04616 pep chromosome:LupAngTanjil_v1.0:LG09:16084510:16088945:1 gene:TanjilG_30514 transcript:OIW04616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNSEEGKCIKTEKPSSPDQANRANQANVHVYPDWAAMQAYYGPGFSIPPYYNPAMAAGHAPHPYMWGPPQPMMPPYGPPYAAIYPHGGVYTHPAVAIGPHSHGQGVPSSPAAGTPSSVETPTKISGNTDQGLMKKLKGFDGLAMSIGNDNAGSAGRGAENVLSQSVDTEGSSDGSDGNTSGANQSRKRSCEGTPTTGITQFFTKCHILVNNIMFLDNYTSLISNVIYIYKLQVSECINSRSLVVNIIFELVVVIFPTVQADREGKTEVEDNPVSKETAASNKMVSATPISAVGKFVGPTVSSGMTTTLELRNPSAVNAKAKSTSVPQPCGVLPPEAWLQDERDLKRERRKQSNRESARRSRLRKQAEAEELARKVDNLTAENVSLKSEINQLDESSEKLRVENATLKEKLKNAQLGQTEEVILNSIDIQRAIPRSTENLLSRVNNSSSNDITAEDENDYGENKPTSGAKLHQLLDNHRANAVAAS >OIW04469 pep chromosome:LupAngTanjil_v1.0:LG09:18471643:18472317:1 gene:TanjilG_01642 transcript:OIW04469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDIEMGYSVEAARDFFGAGTVLGTEGDSATGVVLGPCSGLGTEGDDTGLGFGTEGDDIGLGFGTEEGDVGLGFGTEEGDIGLGFGTEGDDVGLGFGTEEGDIGLDFGTEEGDKGLGFGTEEGDKGFGFGTEEVDVGLGPGFSTEGDDIGLGFGTKGDDKGLGFGTEGDDIGLGIGTEARDTGLLIGPGFGYGAGLLLEPLRGA >OIW04471 pep chromosome:LupAngTanjil_v1.0:LG09:18444352:18448032:-1 gene:TanjilG_01644 transcript:OIW04471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVHHTEVVSSMREQGVFNIEDNGHSERLQWVLDSPNPPPLWIKLFNSVKDTIFPNGNKFCFSSKNKTCQGYVVSFLQNLFPILSWLRNYKASKFKDDLLAGLTLASLSIPQSIGYANLAKLDPEYGLYTSVIPPLIYAMMGSSREIAIGPVAVVSLLLSSLVQKVEDPVANPHAYRNFVFTVTFFAGIFQTAFGVFRLGFLVDFLSHAALVGFMAGAAIIIGLQQLKGLLGITHFTTKTDAVSVFTSVYKSLHHQITSAEKGRRNKKLFWLPAISPLLSVVLSTLIVYLSKADKHGVNIIKHVKGGLNPSSAHLLEFHGQHVGQAAKIGLICAIIALTEAIAVGRSFASIKGYHLDGNKEMLSMGFMNIAGSLSSCYVATGSFSRTAVNFSAGCQTAVSNIVMAITVIVSLELFTRLLYYTPVAILASIILSALPGLIDISEACYIWKVDKLDFLACLGAFLGVLFASVEIGLIVAVTISFAKILIQAIRPGIEVLGRVPRTEAFCDVTQYPMAISTPGIIVIRISSGSLCFANANFVRERQILKWVSEDEDLKESAKGRVQAVILDMTNLMNVDTSGILALEELHKRLLSRGVELAMVNPRWLVIHKLKLAHVVDKIGKQWIFLTVGEAVDACISYKFSNA >OIW05522 pep chromosome:LupAngTanjil_v1.0:LG09:44436:47175:-1 gene:TanjilG_27652 transcript:OIW05522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYELTEIKKIGIGLIGFGIFFTFLGVVLFFDRGLLALGNIFWLAGVAILIGWRSMWNLFTNRTNYKGSASFVLGLFLIFVRWPIVGILFEIYGCVVLFGGFWSSVKVFLYHIPVVGWVIRFISPP >OIW05096 pep chromosome:LupAngTanjil_v1.0:LG09:6702333:6704840:-1 gene:TanjilG_06232 transcript:OIW05096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRYEDRYDNTRLYVGRLNSRTRSRDLERVFNRYGRVRNVDMKHDFAFVEFSDPRDADDARYHLDGRDVDGSRLIVEFAKGVPRGSQAPRGSREYLGRGPAPGSGRCFNCGLDGHWARDCKAGDWKNKCYRCGERGHIEKNCKNSPKKLRRERSYSRSPVRGRSPRRGRSRERSYSRDRSYSRSRSPVRERSPVRRDRSESPRYRSPEPKSSPLPSSARKHSPSPDRGSPPKSGDISPGNDKLAIPQDGSDYSDGPREKSGSPASPARDHEDDSPRANGASRSPSSSPRDDRSPVDNDDDNHHGSPRGSESP >OIW04731 pep chromosome:LupAngTanjil_v1.0:LG09:13554547:13572799:1 gene:TanjilG_08614 transcript:OIW04731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQELEAAQVTRWEGYVDWRNKPALRTRHGGMLAASFVLVVEVLENLAFLANASNLVLYLREYMHMSPSKSANNVTNFMGTCFLLALLGGFLSDAVFTTYYVYLMSAVVEFLGLIVLTIQARVASLKPPECNKFTTCEEVNGGKAAMLFTGLYLVALGVGGIKGSLPTHGGEQFDETTSTGRKQRSTFFNYFVFCLSCGALIAVTFVVWIEDNKGWEWGLAIATISIFVSIPVFLAGSPTYRNKVPSGSPFATILKVLVAASMNSCNGRNSRSDDVNLFNPHSGRKESEEENERGITETEKTTNTLKFLNNAIAKEPFHSSLECTAQQVEDVKVVLKVLPIFACTIILNCCLAQLVTFSVQQAATMNTKLGSLKVPPASLPIFPVIFIMILAPIYDHIIIPYARKATKSETGITHLQRIGIGLLLSIVAMAIAAIVEVKRKSVAIQSGLLDDATKPLPITFFWIAFQYLFLGSADLFTLAGLLEFFFSETPSRMRSLATSLSWASLALGYYLSSVVVSIVNTATSRSNHRPWLSGANINHYHLERQFGTMNFLMRSTASVYSERSSIPEPRVETHRRSMTVSCLETVMMSEDPFDSSTTGFDSANELPAVLNKHLDVSDEEGCIAIPYKELPENWNKMPDMQSLCSLDRSFLFPGEQVHILACLSACKQDTETITPFKVAAVMSKDGTGHSPEDESGNIENRNNSVSGEGELSTNGEEQTEDVSHGESLLKMEAHETQTALLLQKFESSHFFVRISESNEPLWSKKGSPEAFSSTIKVKDNSLSSTCAFIDRGNFDATISGGAARNSAKYCALPNGDIVVLLQVNVGVNFLRDPCIEILQFEKCQERIPSPGNQGNAVYTDQDPCAELLNWVLPLDNTVSTRPLPSPRSTSNSGISSSSQRSNISASSGSLFGNFRSYSMSSLPQGTTPPAAPVKAASSKPAFDVEDWDQIPSQKFARRKTGLNGFLSFRGISLEQDRFSVCCGLEGDYTPGRRWRRKLEIIQPVEIHSWAANCNSEDLLCVQIKNVAPAHAPDIVMFIDAITIVLEESTTGGPPPPSPISCIEAGNDHSLPNLSLRRGEDHSFILKPATSMWKNLKVQDNKSSQLSKLQSENKTSKLSPDGKKTPSINDKYVIMVSCRCNYTASRLFFKQPTNWQPVTSRDIMISVATEMSGQTPGPFERTRELPVQILTLQASNLTSEDLTLTVLAPASFTSPPSVVSLNSPTTPRSPFIGFTEFVGRVNGERGIGATQGPSFTSTGKENGERNFDGEPHEAFISDDVIPSSGLSCTHLWLQSKVPLGCIPSRSIATTKLELLPLTDGIITLDSLQIDVKEKGVTYIPECSLKINATSSISKGII >OIW04243 pep chromosome:LupAngTanjil_v1.0:LG09:21502958:21507448:-1 gene:TanjilG_00803 transcript:OIW04243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGVVNEEDKKPTDQGGAHINLKVKGQDGNEVFFRIKRSTPLKKLMNAYCDRQSVDFNSIAFLFDGRRLRAEQTPDELEMEDGDEIDAMLHQTGAIVAGLAMLNSYFVHEETQGETERNGEMKKDKVENNNNMSSLFTQCFALLSFITISLFTFCDSNSNYGKALSQSLLYFESQRSGRLPYNQRVTWRHHSGLTDGLEQGVDLVGGYYDAGDNVKFGLPMAFTITMLSWAVIEYGEQISAAGEYAHALEAIKWGTDYFIKAHTHPNVLWVQVGDGDTDHYCWQRPEDMTTSRRAYKVDANNPGSDVAGETAAALAAASILFRRTNPHYSQLLLHHALQLFEFGDKYKGKYDESVGVAKGYYGSVSGYMDELLWAAIWLYRATKKEEYLKYLVDKGFEFGGTTWAMTEFSWDVKYVGVQVIASMLLMEEKHKKHEAILKQYRSKAEHYLCACLNLNQNSSTNVQRTPGGLLYIREWNNMQYVATASFLVTLYSDQLQATHENLHCPKGNVGPNDLLAFAKSQVDYILGSNPMGMSYMVGHGLSFVLRVHHRGASIESYRENEGFIGCTQGYDNWYGRVDPNPNVLSGALVGGPDRKDKFKDERNNYIQTEACTYNTAPLVGVFARLHGSPTPPFIAST >OIW05348 pep chromosome:LupAngTanjil_v1.0:LG09:2239555:2242057:-1 gene:TanjilG_28813 transcript:OIW05348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIFISDEELSKFSGDAATVAAKADAFIRGLLHDLDTVRARADAADINAEQNCSLIEQKYISLAAEFSKLESQVSELQSSLDQRQRELAEAESQNHQVQLQLVEKDREIERLRTEVAELHKSKRQLIEFNGQKDLELSEKNATIKSYLDKIVHLTENAAKKEAHLSEVEAELGRSQAACTRFQQEKEILERQNAWLDDELTGKVNSFFELRQKHTELDADMSSRLTNELISVKDAAAANEERFSAELSTVSALTSFVMLLPPLQLSF >OIW04414 pep chromosome:LupAngTanjil_v1.0:LG09:19731275:19733421:-1 gene:TanjilG_32606 transcript:OIW04414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASGNISKKQMRRLESKKSHSWWWDSHISPKNSKWLSENLEETDQNVKRMLKLIEQDADSFAKKAEMYYQKRPELMALVEEFYRGYRALAERYDQVTIDLRKSIPSDLQSQGSGISDVGSEPSSHRPSSVKGGRRKSGSRAAGFDFFLGSGGNGSDVYQKDGGDESSTLTDSDEESDDSSVNNYSGFSGNGSDPGMTRRMLELENELREVKEKLWGQEDGHVESSFRGPRIENTEELYAKINSYEQELMNVNEKLRLSEKEITTLKIDLQKYRPSDSENLEAGVDLSPTEGYINTGEVQGSGNLVNKEFVEPNIEIDSLVKELRTTKEKLVDSEKQIASLKFEANKSSERIQQLQDQLDLAQKDAAAWKTKFNSEKRENTKLQDRLVRLKSSLSDRDHEARDLKTAVSDAERKIFPEKAQLKSEMSKMLEEQTHLKEEIREWESRGRSFEGDIRKILCEKIEREETLKGKIEMLKADIETRENIIKDLNLSLDSLKLDRDDLKIEVGSLKEEVSSRDDRIKHMDNHLNQLHMEHVQLISGMEEAQRQVEELKSNAKQLGEEIERQKSEILEGAEEKREAIRQLCISLEHYRDNYNMLLQHFTGHKRVAVLAT >OIW05274 pep chromosome:LupAngTanjil_v1.0:LG09:3476504:3481531:-1 gene:TanjilG_03663 transcript:OIW05274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFAATNTSLDSSHSNSRRFEAYNRLQAAAVAFGEKLPIPEIVVIGGQSDGKSSLLEALLGFRFNVREVEMGTRRPLILQMVHDINALQPRCSFQEENSEEYGSPVVLASAIADIIKSRTEALLKKTKASVSPKPIVMRAEYMHCPNLTIIDTPGFVLKAKKGEPENTPEEILLMVKSLASPPHRILLFLQQSSVEWCSSLWLDSIREIDPTFRRTVIVVSKFDNRLREFSDRWEVDRYLSASGYLGDNTRPFFVALPKDKGDISNDEFRRQISLVDSEVLHHLREVVKGGFDEEKFISHVGFACLRDYLESELQKKYKEAAPATLALLEQRCSDVTAEVARLDSKIQATSDVAHLRKFAMLYTASISNHVGALIDGAADPSPELWGKTTAEEQSQSGIGAWPGVIKDINPPNATLRLYGGAAFERVIHEFCCAAYSIECPQVSREKVANILLAHAGRGGGRGIAEAAAEIARAAAKSWLAPLLDSACDRLAFVLGSSFDLALERHHSHESEYGSKAGDMDGYVGFHAAIRCAYNRFIKDLAKQCKQLVRHQLDSVTSPYSQVFYVNDFQPSYGINAPSIKKFSQASASSFFLELSDTSSASYDLTRDQENIPPQKNTQDTTPGKAAEGRDTLFENHLTIPETPSLDQPGDPVYGGSRKELGIRNDMGPRKRLSRMTENGKISDHNKVQNGGLLFGNGDRTGSAYSEICFSAAQHFVRLREVLVEGGVTSTLNSGFLTPCRDRLLVALGLDLFALNDEKFMDMFVAPGAIDVLQTEQEYLQKRQKILQSCLNEFKTLTREL >OIW04622 pep chromosome:LupAngTanjil_v1.0:LG09:16145438:16146898:-1 gene:TanjilG_30520 transcript:OIW04622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTQSQQQKQKQSQYNKQELQEDDEAEENRLLHSKCEAIPLSSPHSFSHNADTEEDAEYAAKDKVHIFDLETATGGAIGSTVVPPFSWKKLWLFTGPGLLMSIAFLDPGNLEGDLQAALVQSRDIDIHNKSQVQEVINYYSIESSVALLITLVINLFVITVFARVFYGTEQAKDIGLVNAGQYLEEKYGGGLFPILYIWGIGLLAAGQSSTITGTYAGQFITEGFLKLNIKKWLRALITRSCAIVPTMIVAIVFNTSEGSLDTLNEWLNVLQAIQIPFALIPLLTLVSKEEVMGTFRIGPIVEW >OIW04888 pep chromosome:LupAngTanjil_v1.0:LG09:9963715:9970886:-1 gene:TanjilG_24004 transcript:OIW04888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMILACIFGFQGKDNVSSLKRRLVQLFETSLRKTVPGEPDLVPIVDSCSDKSGGKFGDYQCNNAMVLWSKIKGKHTGFKGPQAIGQAIMKNLPPSEMMESCSVADPGFVNVVLSKDWIAQILLRMLIDGIDTWAPLLPLKTAVVDFSSPNIAKEMHVGNLRSTIIGDTLARMLEFSHVEVLRRNHVGDWGTQFGMLIEFLFEKFPNPEEVNEAAIGDLQAFYKASKLRFDGDAEFKQRAQQAVVRLQGGEDRYHKAWKQICEISRTEFCRVYERLGIKLEEKGESFYNPYIPKVLEEVDSKGLIEESEGARVIFVDGVNIPLIVMKSDGGYNYASTDLVALWYRLNEEKAEWIIYVTDFGQQQHFDMFFKVARRVGWLPTDDSLYPKATHVGFGLVLGEDGKRFRTRNTDVVRLVDLLDEAKSRSKTAVLERDAAKDWPEEEVEKTAQAVGYGAVKYADLKNNRLSNYTFNFDQMLNDKGNTAVYLLYAHARICSIIRKSGKDIEELKKNGKIVLDHEGERALGLHLVQFSEVVEEACTNLLPNTLCDYLYNLSDIFTKKFYSNCQVIGSSEETSRLLLCEATATVMRKCFYLLGIEPVYKI >OIW05182 pep chromosome:LupAngTanjil_v1.0:LG09:5330700:5334244:1 gene:TanjilG_19813 transcript:OIW05182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKTESHMISAVAFVEGGIQESCDDACSICLEDFCESDPSTVTTCKHEFHLQCILEWCQRSSQCPMCWQPIKLKDPTGQELFEAVEHERSLRDIPSRNAAIFHHPALGDFDFQQLRIGDSDFEERIIQHLAAAAAMGRAQHRGRREGHRTRSSANRHPQFLVFSSQPSAPSGPDSSPGGGNESASIPIGSSSTIMTSDGDEPAQQIPHLQTQSSSPARGSTVMATNYSNDRGFGGHSSPVNQDRAGPSDFQSFSDSLRSKFNAVSMRYKESISKGAKGWKERLFSRNSSMSEDGPEARREANVGIASVSRLMESLETRENNRVVGTSMSNHLEGRSIRAEASNQNNVEGSGENSSHDKNTTAACSAGSHSS >OIW04230 pep chromosome:LupAngTanjil_v1.0:LG09:21416448:21418328:-1 gene:TanjilG_00790 transcript:OIW04230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIINTSPTMTKWFSTVIEAVTRCKEGFSSSVLAAISSSAVELFMMVLERVLFAAFTCTLALGGSIIGTIAGAIRGQTTEAGFLDGAGKGAVTGAIAAIELVNFAAAGEPLSEVTMLSTFLNGEVFIEWICPAAARAYELHINTAETSYREVSDIYDISGVKGMPQSSILKLPFQQFKSCKMMKLNNKLSCSICLQDYEDGELVRKLPKCGHLFHLECIDKWLIQQGSCPMCRTYVPHQIHE >OIW04228 pep chromosome:LupAngTanjil_v1.0:LG09:21406189:21409489:-1 gene:TanjilG_00788 transcript:OIW04228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGIIVRRVIPSDNSCLFNAVGYVMDHDSKKASEFRQVIAATVASDPEKYSEAFLGKPNAEYCNWILDPEKWGGAIELSILADYCGREVAAYDIQTTRCDLYGQGKNYSERVMLIYDGLHYDALAMSPFDGAPEEFDQTIFAVHKDRTIGPVEGLALNFVKEQQRKRSYTDTSNFTLRCGVCQIGVIGQKEAVEHAQATGHVNFQEYR >OIW04514 pep chromosome:LupAngTanjil_v1.0:LG09:17856908:17857549:-1 gene:TanjilG_13896 transcript:OIW04514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTEGGTGDADNLGHADQDGCLEMESANNGVAIEDGNGIQCLKNGTVNNGVPIADGNKVPWLKNETVNNRMVIADVVEGQVVHCSKNKILNDIVAIADGNCAVEGKEVGCLKNETVNNGVVIADGNGVAKGKEVWCLKNRTVSNGVEIANGKGVAEGDSGGVKYLLTYRRHKHVKSSSESKFQEGNNGCAQVASDLSDQVSSTSSAASVILYL >OIW04506 pep chromosome:LupAngTanjil_v1.0:LG09:17917778:17932432:1 gene:TanjilG_13888 transcript:OIW04506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMSKSPSSPEQRPSISSYLSQPREFNEATGMDDPESIMATVANFVEQLHTNFSSPLEKEIITARLLGIVRGRKDARELIGSHTQAMPLFINILRNGTPLAKVNVAATLSVLCKDEELRLKVLLGGCIPPLLSLLKYESTDARKAAAEAIYDVSSDGLSDDHVGMKIFVTEGVVPTLWNQLNPNNKQDKVVEGFITGALRNLCGDKDGYWKATLEAGGVDIIVGLLYSDNAVSQSNAASLLARLMLAFSDSIPKVIDSGAVKALLQLVGQENDISVRASAADALQALSSKSIKAKEAIVSEDGLPILIGAIVAPSKECMHGDGGQALQEHATRALSNICGGMSALILYLGKLSHSPRLSAPVGDIIGALAYTLMVYEEKVDADVDHLNVTKIEDTLITLLKPQDNMLIQERVLEAMASLYGNVCLSKWLNQADSKKVLIGLITMAVTDVQEYLILSLTSLCCDGVGIWEAIKKREGIQLLISLLGLSSEQHQEYSVQLLAILTHQVDDSKWAITAAGGIPPLVQLLETGSQKAREEAANILWSLCCHSEDIRACVESAGAIPAFLWLLKSGGPKGQEASSMALTKLVQLADSATINQLLALLLGDSPSSKAHIIRVLGHVLALSSANDLLQKGSAANKGLRSLVQVLNSLNEETQEYAASVLADLFIIRQDICDSLATDEVVLPCMKLLTSKTQAVATQSARALSALSRPTKNKAANKMSYILEGDVNPLIKLAKTSSVDAAETAVAALANLLFDPFIAAEALAEDVVSAITRVLAEGTLEGKQNASRALHQLLKHFALGEILKGNALCHFTVLALVDSLRVMDMDGTDTANALDVISLLARTKQGVNFTYPPWSVLAELPSSFEPLIRCLSEGPPLVQDKAIEVLSRLCRDQPVVLGDLLSSSSRSIGSLATRIMNSSSLEVKVGGVALLICAAKEKKELSVDLLDASGFLKPLICSLVDMMKHNCSCSSLEIEVHPPRGFMERNAFQEFDEFDILDPAMVLGSNVALWLLSIIASLHINTKLEIMEAGGLEALSDKLARHTSNPQAEYEDTEGIWISALLLAILFQDANIVLSPATMRIISSLTLLLRSDEMIDKYFAAQAMASLVCNGNKGIDLAISNSGAVAGLITIIGHIESDMSNLTGLSEEFSLVQNPDQVVLDHLFEIEDVRVGSTARKSIPLLVDLLRPIAERPSAPPVAVRLLISIANGSDTNKLILAEAGALEALNKYLSLSPQESTEAAISELLRILFCNSDLLKHESTTSSLNQLMAVLRLGSRNGRYNAARALHELFNAESIRDSELAKQAIQPLVDMLNTTSGSEQEAALMALIKLTSENSSKASLFTDVEGNPLESLYRILSSTASSLELKSHAAQLCFALFCNSKIRADPIAAECVEPLISLVQSATGTAIEYGVCAFERLLEDEQQVELAAAYNVVDLLVSLVSGTNYQLVEATISALIKLGKDRTPSKLDMVKAGIINNCLKLLESAPSSLCSTIAELFRILTNSNAIARGSDAAEIVEPLFHVLLRRDFNLWGQHSALQALVNILEKPQSLATLNLTPSQVIEPLISFLESPSQAIQQLGTELLSHLLAQEHFQQDITTKNAVVPLVQLSGIGILNLQQTAIKALEKISKSWPKAVADAGGIFELAKVIIQDDPHPPHALWESAALVLSNVLRSNADYYFKVPVVVLVKLLLSTSDSTITIALDALTVHERSDATSAEQMVEAGAIDTLLELLRSHHCEEASARLLEALFNNVRVREMKVSKYAIAPLSQYLLDPQTKSQPGKLLTALALGDISQHEGHARYSESVSACRALISLLEDQSTEEMKMVAICALQNFIMNSRTNRRAVAEAGGILVIQELLLSPNIEVAGQAALLLKFLFSTHTLQEYVSNELIRSLTDLAKSQAMIAAEAIPILQMLMKTCPPSFHERADALLHCLPGCLTVTIERGNNLKQTMGSTNAFCLLTIGNGPPKQTKVLNRSTSPEWKEGFTWEFDVPPKGQKLHIICKSKNTFGKSTLGRVTIQIDKVVTEGVYSGLFSLNHDTNKDGSSRTLEIEIIWSNRISNEDI >OIW04074 pep chromosome:LupAngTanjil_v1.0:LG09:20333539:20335120:1 gene:TanjilG_00634 transcript:OIW04074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKALILVGGFGTRLRPLTLSFPKPLVDFANKPMILHQIEALKAVGVTEVVLAINYQPEVMLNFLKDFEAKLGIKITCSQETEPLGTAGPLALARDKLIDDSGEPFFVLNSDVISEYPLKEMIEFHKTHGGEASIMVTKVDEPSKYGVVVMEETSGKVEKFVEKPKLFVGNKINAGIYLLNPSVLDRIELRPTSIEKEVFPKIASEKKLYAMVLPGFWMDIGQPRDYISGLRLYLDSLKKKSSSKLASGTHIVGNVIVDDTAKIGEGCLIGPDVAIGPGCIVEAGVRLSRCTVMRGARIKKHACISSSIIGWHSTVGQWARVENMTILGEDVHVCDEVYSNGGVVLPHKEIKSSILKPEIVM >OIW04365 pep chromosome:LupAngTanjil_v1.0:LG09:19282346:19286791:1 gene:TanjilG_32557 transcript:OIW04365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNYRICVCFHRRFKVGEAVPPAEIRDLFNKYSEGGSHMNGNQLGKFMCEVQGENVDGEQVVEQVIQKRHHISRFARHNLSLDDFHQYLFSSHLNPPITSQVNQDMTAPLSRYFIYTGHNSYLTGNQLSSDCSDIPIIKALNRGVRVVELDIWPNSNKDDVHVLHGRTLTTPVELIKCLKSIKEHAFTASPYPVIITLEDHLTPDLQAKVAEMLTQTFGDMLFCPNAENLREFPSPEELKYRILISTKPPKEYLEAKSISVNSKKMMKSKDFDEDDSGKEANDVAKTHNGDDTGDSDPNQQSDDESYYYCSPELCPSAASSYKCLIAIHAGKPKGSLKEALKVENDKVRRLSLSEQSLEKAAESLGTNLIRFTQKNFLRVYPKGTRFNSSNYKPLNGWMHGAQMVAFNMQGYGKYLWLMHGMFRSNGGCGYVKKPDFLMNVGPNNDVFNPKDRLSVKKTLKVKVYLGDGWRMDFKQTHFDMYSPPDFYVRIGIAGVPADEIMKKTKILEDNWIPYWEEEFTFPLTVPELALLRVEVNEYDMSETDDFAGQTCLPVSELKQGIRAVPLYSRKGEKYNSVRLLMRFEFI >OIW04120 pep chromosome:LupAngTanjil_v1.0:LG09:20664117:20666264:-1 gene:TanjilG_00680 transcript:OIW04120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNLKIGVVGFGTFGQFLAKRMIKQGHTLRATSRSDYSQLSLQLGIQFFSDVTALLQADNDVILICTSILSFSQVMESMPLARLNRSTTLFVDVLSVKEHPRHLLLSVLAEESDILCTHPMFGPVSGKDGWKDLTFMYDKVRIRDEAICSSFLHIFETEGCKMLHMSCEEHDKFAAKSQFITHTIGRTLAEMDIKSTPIDTKGFQTLVQLKDTTIRDSFDLYSGLFLHNRFARQELENLEHALHKVKEMLVERTTEEQGIEKAER >OIW04164 pep chromosome:LupAngTanjil_v1.0:LG09:20985657:20988428:-1 gene:TanjilG_00724 transcript:OIW04164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSGEDQESNAPKSAWNIPIVDADISVIMATSHESWPPLSPSPSFTVPLYVPAMVPPPHIDVPGYAFAPAPGSFLRVPAMNPTVNSSNGRPNIEQGGHLNQGWHHQRPFPSSANMPMQQGLGPWPYITAPFYDPHQGYMFGPNFPGSGPQWCAPMAPPGSIRGPRPQQFVPYAVNTVPKSLPPETIALRTRIVKQIDYYFSDENLQHDHYLISFMNDQGWVPISTVAGFKRVKRMTRDIPFILDALQSSSTVEVQGDNIRKRNDWLKWIQVSLLDSGSLVAQIQQRQLVEDATNSFEIAAENASLREHIQSNTNTLQASHMNKEQDTESRHSIDKSLATSEDNESGDVLADISNDFSITFMLDEEIELVQKMLKKTELSFTKRYCIVPNFLIDIWINLTSFSTL >OIW05312 pep chromosome:LupAngTanjil_v1.0:LG09:2948445:2950727:1 gene:TanjilG_03701 transcript:OIW05312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTASAECVVEPATNVKFQKSLSLPGNADSLVLFGTGYREKVFAIIGVKVYAAGLYLNQSIISELNAWKGQSKDKIQGNSSLFKTIYQSSLEKSLQIILVRDVDGKTFWGALNDAISPRIAKPTTVEETALSTLSRTFLSKPLKKGTFIFLTWVDPSKLLVSISSNVVPSSVDATIESANVSSAIFDVFLGDSPISPSLKASVADGLSKVLN >OIW04181 pep chromosome:LupAngTanjil_v1.0:LG09:21075657:21086318:1 gene:TanjilG_00741 transcript:OIW04181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASLAAFERPRPGASNTVFKSGPLFISSKGIGWKSWKKRWFILTRTSLVFFKNDPGALPQRGGEVNLTLGGIDLNNSGSVVVREDKKLLTVLFPDGRDGRAFTLKAETSDDLFEWKTALEQALAQAPSAALVMGHNGIFRNDTSDSVEGSFQQWRDKRLVKSLVVGRPILLALEDIDGGPSFLEKALRFLEKNGTKVEGILRQSADVEEVDRRVQEYEQGKTEFSSDEDAHVVGDCIKHVLRELPSSPVPASCCTALLEAYKIDRKEARLNAMRCAIVETFPEPNRRLLQRILKMMHTIASHSHENRMTPSAVAACMAPLLLRPLLAGECELEDEFDVNGDSSAQLLAAANAANNAQAIITTLLEEYENIFDEENIQRCSMSADSRVENSGTEDSTDDDNIDLKENGYHDAENEVDQETDDDAERVHSGKLSESSGYAGSDLYDYKAFGGDDSDVGSSTGNNAKTGNRNLNVDPSTPLSEEQNKQTKGNENSVDQKDTPNLLPSTESYRSMGEILSSMDPGNHLPVLGIESGYAKQTSKANSNSFSSKRSTFWGRSNPRKTPSVESVDSSGEEELAIQRLEIAKNDLQHRIAKEARGNAILQASLERRKQALHERRLALEQDVSRLQEQLQAERDLRAALEVGLSMSSGQFSTSRGMDSKTKVELEEIALAEADVARLKQKVAELHQQLNQQRQHHYGSLTDVGDRYQHTQNHPQQKFLQQDFDSTLAFVNHERKQRTEESLLSTDWRNIKGQVLAAGNGSRQPSRKQFMDSSPSDSKSTEVSTSMSGNELGAVDSGSVPSTSRAAEVTEYGRHPSVASSTLVELTTRLDFFKERRSQLMEQLHNLDLNYGSTTSQDFGYKPSSPSWS >OIW04227 pep chromosome:LupAngTanjil_v1.0:LG09:21402626:21404286:-1 gene:TanjilG_00787 transcript:OIW04227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLILHRLLTATSNCYTTTSNVLVFISSSLSGPAKTKLLPSLSRFSVKRSCFFAQTRPRTAINKPSMNLLNRLGFGSARTPENIDSSIPQGPDDDIPAPGQQFAQFGAGCFWGVELVFQRIPGVSKTEVGYSQGLLHNPTYEDVCSGTSSHSEVVRIQYDPKTCSYETLLDFFWAKHDPTTLNRQGGDVGTQYRSGIYYYTPEQEKEAKESLEQQQQKQLNRKIVTEILPAKKFYRAEEYHQQYLEKGGRFGFKQSAAKGCNDPIRCYG >OIW04308 pep chromosome:LupAngTanjil_v1.0:LG09:18731211:18736508:1 gene:TanjilG_32500 transcript:OIW04308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVTVDLGFSSLVFPCLVFVVVLVIGFVVRRKWMRAVARTEEVKRLLDFAKEEAARVENEASYQYGAVLVAKNNQCAVCFCPTTTRSGKCQILHWRQGHKEECHPPSTTSETDDLIDDLGKKVAEQGYHGIHDDMVQDESMEYKTSTKKLQTSDNIRVEANTKDVTDSNSELSSNSFSGFSASNSAGESSDDSSVCESMTSNEHGRSEGHVFADPTLVISDTTSNDDSKGVAIPLSPKFASLVDSVVGFSTKDKLNQVRPVFGKEESKLTFNGSSGLSMQKTVAIEPSSVTSGFWDKTHAPRGIKDDASSDSLRSHTNDYLPKSVRNNMPCAKSASSEDEGVDSTGCTEASSIHNLQSVGFKVSNHVMNNPGSPLKSAGIKSLSYAFADTKLASRTKELSHYSTKSGDNGNQSGTCTSTQVASCSPNSKNGLKTSVPKVVDQFRGSNLSNHFPQVVGSDIGGLYSDKGLFPYDLFVKLYTLNRVELQPFGLLNCGNSCYANAVLQCLAFTPPLTAYMLQGLHSKSCVNKKWCFICEFEKLILKSKYAEASLSPMGILSQLQNIGSQLGNGREEDAHEFLRLTVETMQSVCLMEAGVNASNSLKEETNLMGLTFGGYLRSKIQCVKCGGKSEHQERMMDLTVEIEGEVATLEEALRRFTSTETLDGENKYHCMRCKSYEKAKKKLTVSEAPNVLTIALKRFQSGKFGKLNKPIRFPEILDLAPFMSGTSDSSPIYKLYGVVVHLDTMNIAFSGHYVCYVKTFQNKWFKIDDSVVKVVELERVLTKGAYILLYARCSPKAPRLIRNRIVSSDSKAEVYGKAVTMKARHVSTDSGAVECMTSSISLDGSPTFKTLHSKFDHMKRILDEDSCSDNSSLISNNSDEGSCSTDSTRDSSSTEDFGDYIFGDAGPSVFSHAAGLQPPPTGSRGCVSHLHPDTRIHRRKLDTSRDSNSFREIDLFERVGSNHFNVINCGVSCRKSRERTD >OIW04761 pep chromosome:LupAngTanjil_v1.0:LG09:14196484:14198298:1 gene:TanjilG_08644 transcript:OIW04761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPILQFTSLSPTKPNNTETPRNEVSTTTFTLSLIPKCTSLKELKQIHAYTIKTHLQNDLTVLTKLINFCTSNPTKSSMDYAHHMFDQITQPDIVLFNTMARGYARFDNPLRAVTLFSQVLSCGLLPDDYTFSSLLKACARVKALQEGKQLHCLAVKLGVSVNMYVCPTLINMYTACSDIDAARRVFDKIDEPCVVSYNAIITSLARNSQPNEALALFRELQETGLEPTDVTMLVVLSSCALLGALDLGRWIHEYVKKNGFDQYVKVNTALIDMYAKCGSLDDAISVFRDMRRKDTQAWSAMIVAYATHGDGPQAILMLEEMKKAKVKPDDITFLGILYACSHNGLVEEGYEFFHSMTHEYGIVPSVKHYGCMVDLLGRAGRLDEAYKFVDELPIKPTPILWRTLLSACTSHGNIEMGKQVIQRIFELDDSHGGDYVILSNLLARSGKWDDVNFLRKMMMDKGAVKVPGCSSIEVNNVVHEFFSGDGVHSASTGLHHALDELVKELKLAGYVADTSLVFHADIQDEEKEIILRYHSEKLAITFGLLNTPPGTTIRVVKNLRVCGDCHSAAKFISLIFGRQIILRDVQRFHHFKDGKCSCGDYW >OIW04650 pep chromosome:LupAngTanjil_v1.0:LG09:15460099:15465688:1 gene:TanjilG_07785 transcript:OIW04650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCIVTSSPFKTLSFSLSHPPVLKLNHTPSPFFCFLRPSLLRRNLLISAAAVNTNTTNFAQLSWFSPPHNSPDEFGGWAVVEYPDQPRPTQKGFNIQLRSPLHDLHVIWNPFTTQHVPNKTAQFDVSNESSLISDATPEAELPAAVEKLGRVTIPVSVDSTQEEAFSLLKSLKIIEDDVEANELCTRREFARWLVKLSSSLERNSRHMIAPIVSSLSGSIVTAFDDISGEDPDFRFIQALAEAGVVPSKISCKNSSNSTESNDQENIKFFPDRFISRQDLVDWRAQLEYDFFSGVIDQISIKKAGYMDVEITSPAIYVDMLAGDRSILRKVYGQSKRFQPNKPSTKAQAAVALTSGRMKEAISAELSRTETENSARQAEAEEIRSELLSQGDILRFWDEKLSKEKSRGFDVERLYLDAVNSLEEENLNEDKIYAGYLKEKAAIDCQKQLLLSIKKDVDELSEKLESERVIHVDEKHIVQKLLRDLESKHEEILDTKSTLEAETEAIQILRSWVEDEATRSQSRAAVLGEASRRWKWEDQA >OIW05299 pep chromosome:LupAngTanjil_v1.0:LG09:3167420:3171000:-1 gene:TanjilG_03688 transcript:OIW05299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMVGGSAVGTPYSSYNPSPCASYNPSPGSSSFPSPCSSFNAANPNSADGNSLIPWLKNLSSGSSSSSKFPHLYMHSGSISAPVTPPLSSPTAKTPRLKANWDDQFNSNRPSWLGQQQYSFIPSSTPPSPGHQVLDPDWFAGIRIPQGGPASPTFSLVASNPFGFKEEVFVGSTSGSHMWTPGQSGTCSPAVAASSDHTADIPMTDAVSDEFAFGSSTAGLVKPWKGERIHEEIVSDDLELTLGNSKTRSSLHIAPPDSASCCWRSIFKACEVLRTGVDFCLGDGHSWFWYDEWSALQLHDRRELIPIGMISLHGGSGLDRATHSCHLTLLKALAMWTPGQNGVMLSGYCSWL >OIW05256 pep chromosome:LupAngTanjil_v1.0:LG09:3722369:3726165:-1 gene:TanjilG_03645 transcript:OIW05256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSLMNFTKFSYLKPNSFHDGIYHRPTFSSNQLRPKFESFHHTSFKLRSCRERWSFLRGAVFKNGVLFEEKGCKRGKRVILVKNNQGFGFNFGGGGKDNGGTARVLGNLALAIGLTYLSMTGQLGWILDAIVSIWVIAVLIPIVGIGAFLWWAGRDIMQGTCPNCGNDFQVFKSSLNDDLQLCPFCGQPFSVIGNEFVKDSVKFSNQPTTFGQAFSNSSHSRNDKDSDRAIDVEAEIKDVD >OIW04389 pep chromosome:LupAngTanjil_v1.0:LG09:19478012:19480192:-1 gene:TanjilG_32581 transcript:OIW04389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPVSTHIRRWLMQTEIWRIVGFVSAVVGLLCYALSSSFNLLFGDWNFFKIFLYCVFSFIICFITLFAKVGQFSTSTLFKTRLAVLLSLATTVYSFFYDKALNQKPDLYILISCAAFAVMCISLSKQTQWGCEKDLVNYFLGCLIILLMKINLWLFFIGAAFSYSLVIFSSSLDATPQSGFSEDHIVIQVQYSQVDSQNVNIDSQVQVDSEDVNIVSVIDSAIEVNSHHVNTDSAIKKDLLQVDVALFISSFEACLRVLEKKDLIILRSVKQYRVVYNPENYLEVSNIDLATDENLLIDSLSPENINSLQETVKKMMAAGFGKECCHTYITCRKNFLQKCLQRLQLPEFNSKESLQRVIHKWKTASIVALRILFPSERTLCDRVFVGFSSAANISFMEVCKELTNHILSLPNNLATESHFNYLCSSLKVFQTLGDLTPEFESVFFDQYSVSLKNEAITIQKRLGEAIIVLFMELENNIRPDTSQKVVTEDEIGLTTYILERCFRIVLDDRGGTLQQIFKKFPMFADREGTSSLSILDWILELLESTLEAESKNYADTAFAHVFIMNNRSYIAQMVRSSTTLNNDLKQIVRGYNRKIQQNFEQYQRTWDKILEPLKLDSNELVMPNVAAESMKEKLRLFNKQFKETCNIQSTWYVPNKSLRQEIRTSIEEILLPAYGNFIARFQNILGKHAYEYIEYGMFDIEALLNTLFQGSKKGSTKMLTSPISL >OIW05203 pep chromosome:LupAngTanjil_v1.0:LG09:5124463:5128971:-1 gene:TanjilG_19834 transcript:OIW05203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSISSSLTLHSHSFFNHQQKRSFTTSNVVVCSAKSVHPPKLSAAAAAARIESLSQVSGVLGCQWGDEGKGKLVDILAQHFEIVARCQGGANAGHTIYNSEGKKFALHLVPSGILNEDTLCVIGNGVVVHLPGLFQEIDGLESNGVSCKGRILISDRAHLLFDFHQTVDGLRESELAKSFIGTTKRGIGPCYSSKVNRNGIRVSDLRHMDTFPHKLDLLLSDAASRFKDFKYGPDVLKKEVENYKRYAERLEPFIADTVHVMNDAIAHKKKILVEGGQATMLDIDFGTYPFVTSSSPSAGGICTGLGIAPRAIGDLIGVVKAYTTRVGSGPFPTEMLGPGGDLLRFAGQEFGTTTGRPRRCGWLDIVALRFSCQINGFSSLNLTKLDVLSDLDEIQLGVAYKHVDGATVNSFPSDLRLLEQLKVEYEVLPGWKSDISKIRNYSDLPKAARQYVERIEELVGTPINYIVHLPGLFQEIDGLESNGVSCKGRILISDRAHLLFDFHQTVDGLRESELAKSFIGTTKRGIGPCYSSKVNRNGIRVSDLRHMDTFPHKLDLLLSDAASRFKDFKYGPDVLKKEVENYKRYAERLEPFIADTVHVMNDAIAHKKKILVEGGQATMLDIDFGTYPFVTSSSPSAGGICTGLGIAPRAIGDLIGVVKAYTTRVGSGPFPTEMLGPGGDLLRFAGQEFGTTTGRPRRCGWLDIVALRFSCQINGFSSLNLTKLDVLSDLDEIQLGVAYKHVDGATVNSFPSDLRLLEQLKVEYEVLPGWKSDISKIRNYSDLPKAARQYVERIEELVGTPINYIGVGPGRDALIYK >OIW04488 pep chromosome:LupAngTanjil_v1.0:LG09:18206361:18211707:-1 gene:TanjilG_24185 transcript:OIW04488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIASEVSEIHGVSLEFSEKNGIPTQTTMAAALSISPNQQGVNVTPLSEPRLSRASNIDFVSTPPMHINEQVESFVLQDAQMHSGGTGSKKVYEPTVCRGSFGTTTNRKKELVPYSQIGQHGQRTCFSPHWSTEAVEKALEEGNVFKALFHVNAHNALEAYCRIDGMPTDVLINGIPAQNRAVEGDIVAIKIDPLSMWTKMKGPNVTRNNTATPEGFNLLTKDDKVADNICKGKGKVDAGFEFAQYRSSPSQNQEDVDRKSTSSRSNHLHEKRYDYEGNASMGSTNHGLASRDTIDGMQCDSFDSLMINSCSEKDVVINAVEKMCMLANSFSSKRPTGRVVSIIERSPRREGIIGHLYMKHCTTFRDISKEDAKKNNNNVSESEYIQLIPTDPKFPNMMVLVKELPKCIKKRVTSGDVTVEMDLVAAQIDDWVEESFLPEAHILHVFGRGSEVQPQLDAILFQNAICSSEFAPEALSCLPCVPWEVPLKEIQSRIDLRNLCIFTIDPSTATDLDDALSVEKLPNGNYRVGIHIADVSYYVLPETALDSEAQLRSTSVYMLQRKLPMLPPLLSENIGSLNPGVDRLAVSMLLDINLAGDVVDRWIGRTVIQSCCKLSYEHAQDIIDMAIGSNILADDYPKVYGCFELTDIITSVKSLYEISKVLKCKRFINGALWLDNPKIGFRLDECGIPSDSMLSERKESNFLVEEFMLLANMTVAAIIFRAYPDGALLRRQPEPNMRKLRDFAAFCQKHGLKLDISSSGQIHCSLEQMREKLKGDPVLYDIVISYATRPMQLASYFCSGDLKDNEHECCHYALAVPFYTHFTSPLRRYPDIVVHRTLLAVLEAEELYSKHQKALHANKDLEVHKRCFTGIKFDENAVKSMEGREALSAAALKHRIPHAEILADIATYCNQRKLASRNVKDACDKLFMWVLLKKEIERRIYYDEVEGLTVGWLENTSTLVLSMSSTNRRACRKGGTNKWRTLDEVALLTCPYNQEVAMDESTIDGELGSRAVNSHTKIDPAFFPLTVRILSTIPVVLHAVGGDDGPLDIGVRLYMSSYFE >OIW04401 pep chromosome:LupAngTanjil_v1.0:LG09:19625370:19629003:-1 gene:TanjilG_32593 transcript:OIW04401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPSLRFPLLTLLTKTPYPRFRSRWRWFRHFSSSTEPQIQHSWQPIRKKKVVMRVGYVGTNYRGLQMQLDEHSLSTVEKELEIAIFKAGGIRDSNFGDLQKIGWARSSRTDKGVHSLATMISFKMEIPENSWSEDPNGTVLAECINSYLPSDIKVFSILPSQRSFDPRKECIMRKYLYVLPAEIIGIQSHSSNDEIDYHISEFNNILKEFEGGHPFHNYTARSKYRKHSLRRHSPSKSGTDIGKSMSAYESDCEDNDGGENFIIDEAFTENIMCQSQKFSETGDYGEPVVETSNKNGNGLSDQDSRLVVRAKWLHEPDEADRLNASHFRKVLQCSCGKLERSLGYNYVELTIQGESFMLHQIRKMVGTAVAVKRKLLPKDILMLSLLKFSRIILPIAPSEVLILRANSFSIRTLPGKVTRPEMLTIVESDEINKAADDFYTSVMLPQVSKILDPSKPPWEEWIKKLDAHTSIPNDQLDEVRKAWKSWKGNLESSTSIHPS >OIW04833 pep chromosome:LupAngTanjil_v1.0:LG09:11855237:11856655:1 gene:TanjilG_13681 transcript:OIW04833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLLLEAINRRFIVKRMKRRNKKRNPKKKYYTGWSSLPSFQLEAIHRRIIAKRRRTRHKKREPENKYYPDWSSLPQGILELIADKMTLIDCLSISKVCRSWNIVLGEELPCWQRHGFPWLLVSGQQNKEVRTCISIFENRVWELELPEAQGKYCWGSFRDWLIMVKDLDSFHLEVNLLNLFSRSQIVLPSIWNFYHKMVLSGLPSDNNFVCMLLHSQYRELAFWIPGAQSWHKHKLPGEPFQDAVFFNGSFYLLGEGFNVWQIDVENIYSSINDDEYDFGTLSKLEIQFHELKRPEILQLQEGMILQNHVGYQILRYLVESCGEILLIFRYFSAKQDVLLETQKFEVYSLDCSKSSWKKVENLGDQMIFLGKSCSTSFSAKEIGVGIRNSIYFSNDQPSPWWNEWDSDHLKGISARLGFNKTEGRDWGIFNLDNEDQKPFCFHGDIDNWTYTWFTAPSWWCFRNIPMIGRS >OIW04415 pep chromosome:LupAngTanjil_v1.0:LG09:19737011:19740509:-1 gene:TanjilG_32607 transcript:OIW04415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPSDSPYTGGVFLVYIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRTKYEATARSWTQKYAMG >OIW04152 pep chromosome:LupAngTanjil_v1.0:LG09:20911394:20911789:1 gene:TanjilG_00712 transcript:OIW04152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTATPENSLLSPTSSRSVTHTVNGSHKFVIQGYSLAKGMGVGKHIASDVFAVGGYQWAIYFYPDGKNPDDNSAYVSVFIALASDGTDVRALFELTLVDQTGQGNHKVHSHFDRSLESGPYTLKYKGSMW >OIW05171 pep chromosome:LupAngTanjil_v1.0:LG09:5461664:5462356:1 gene:TanjilG_19802 transcript:OIW05171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDGGGATFSVVYFDGETETNVGDITVDSSFNFNNFLSFLSHKIGISPHQFSVYLASFGSNRKIPITAKVNFAAIVRNTAGATASSFFFVKRSKRPKRNKIRSNKASTKHDNINNLHPPSNVVLLRRNSAVPFAMSPLLSRAEYENRMMDLHMQTERYLTTMRIGNLRFERETPYAAAAAASGEGRVVTCEECSTATDGGFHQCVFDTVTFNFRSPAGPVARPVKGTGYE >OIW05134 pep chromosome:LupAngTanjil_v1.0:LG09:5880669:5889807:-1 gene:TanjilG_02607 transcript:OIW05134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKAYLRYEPAASFGVIASVESNITYDSSGKHLLSPALEKIGVWHVRQGLCTKTLTPSPFSRGPSLAVTSIVSSPSSLIAAGYADGSIRIWDSDAGTCETTLNGHKGAVTALRYNENGSLLASGSKDNDVILWDVVGETGLFRLRGHRDQVTDVVFVNSGKKLVSSSKDKFLRVWDLDTQHCMQIVGGHHTEIWSIDVDPNERYLVTGSADKELRFYSIKHESSDGQSVNGNNAVVSGDSSVKDKWEVLRQFGEIQRQAKERVATVQFNKSGNLLACQVAGKTAEVYRVLDDADAKRKAKRRVHRRKEKKHGKDVLEGTENGDKNSENKGDNSSVAHGPAETSNPAITVPDVFKLLHTIRASKKICSISFCPITPKNSLATLALSLNNNLLEFYSIESGETSKTLAVELQGHRSDVRSVTLSSDNTLLMSTSHNAVKIWNPSTGSCLRTVDSGYGLCSLILPSNKYGLVGTKDGTLEIIDIGSGTCVEVVEAHGGSVRSIAALPDRNGFVTGSADHDVKFWEYQIKQKPGEATKQLSVSNVGTMKMNDDVLVVAISPDAKYIAVALLDSTVKVHFVDTFKFFLTLYGHKLPVLCMDISSDGDLIVTGSADKNIKIWGLDFGDCHKSIFAHADSVMGVQFVPKTHYVFSVGKDRLVKYWDADKFEHLLTLEGHHADIWCLTISNRGDFIVTGSHDRSIRRWDRTEEQFFIEEEKEKRLEEMFEADLDNAFENKHVPKEEIPEEGAVALAGKKTQETLTATDLVIERLDIAEAEKQRIAENQEEKSNRSVAAFQANPLMNGLSPSDYVLSAFSDVHSNDLEQTLLALPFSDALKLLSYLKEWTSYSDKIELVCRIGTLLLQTHYNQLLSTPAARPVLTAFSDIFYERVKGWKDIFGFNLAAMDHIQQMMASRSDALFSDARSKLLQIRAQQSKRLEERSDLGEAKQKKKPKTTTV >OIW04735 pep chromosome:LupAngTanjil_v1.0:LG09:13627497:13630400:1 gene:TanjilG_08618 transcript:OIW04735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLFSFLFFCFMAIVPSFDANVKESETYWQEYMKTLDAYWREKAIIAEKVNKEAFCPDPYALSGNLTSSVSEIIFGSKGRRNLKGQKKGPCMATNPIDRCWRCDPNWEKTRQKLADCVQGFGRNTVGGKGGPFYVVTDSSDNDMQNPKPGTIRHAVTRNGPLWIIFARSMVIRLNQELIMTSDKTIDGRGADVYIAAGAGITIQYIKNVIIHGIKIYNIVVGSGGMIRNSEDHFGLRTMSDGDGISIFGSSHVWIDHVSMRKCQDGLIDAVMGSTAITISNSHFTDHNEAMLFGASDGYSGDQIMQITLAFNHFGKRLIQRMPRCRWGFIHVVNNDYTHWEMYAIGGSQHPTIISEGNRFVAPNNINAKEITKRDYASEEVWKNWQWRSIKDEYINGAFFRQAGPVLTNRPFTRKDMMSARPGSYVGRLTRYAGTLRCRVGMPC >OIW04634 pep chromosome:LupAngTanjil_v1.0:LG09:16420168:16420455:1 gene:TanjilG_30532 transcript:OIW04634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTIQPPSEVVEVRDGLWWLGGLLVATEISREFTVSSLSFEVAVIRFFSRMACGGSVGGDIITIGVISSSFPPFGGDRGSGRGGLMDSGWKNGIS >OIW04296 pep chromosome:LupAngTanjil_v1.0:LG09:18612466:18613614:1 gene:TanjilG_32488 transcript:OIW04296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSNHRKLFPSGTSTNQTQDCYGLCDPACPYNCYTYPEYSFPQPPPPPFIDHSDQVNHIPSYLISLVSLFTVIFVVAVFYVIKLKCYNDLCGWRSNRSLPSQSENNGEFLNENQVDHPVWLITTVGLQQSIINSITVCKYKKDEGLIEGTECSVCLNEFREGETLRLLPKCNHAFHILCIDTWLRSHTNCPLCRAGIVSNNNVSVIPEVAVSNLASMDQENTNLGRNQATQMENSQNEGIGGVNNNIVNINNNQALENRYGTEEASEEIQFNDESNSKGHVSQVFNDANENHKVLNDEMQANSYSIDHESHPVDKIQDEIELQMVNVLKEESENSKTCKIMRRSSIEECLHISPVSMKRSFSCSGRNLSTRGYMSLNKTLPY >OIW04734 pep chromosome:LupAngTanjil_v1.0:LG09:13622538:13622810:1 gene:TanjilG_08617 transcript:OIW04734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KCSGEGDLKSRVMSLFDLIWNYRWDAKVVLVFAAFATKYDEFRNLTQLYPCDTLVASIMRLKQLPYKLRALKPQIKALSLLVKTMMDVAM >OIW04462 pep chromosome:LupAngTanjil_v1.0:LG09:18532573:18533802:1 gene:TanjilG_01635 transcript:OIW04462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSGNSGSVSSSGDEEYDSRVDHTTLLPSNILNHPLTQFAKSSSFVSSHQHQIHHNNPSLFDLSSSYLHSLSQPHPNTNPNSFLNLDTATNSISQGKRSEPNCTHPSNLQGFNQGSFPPPHGFGHDDNNNARLVSSAPTNNAAARNSKKRTRASRRTPTTVLTTDTSNFRAMVQEFTGIPAPPFSGTSSYSRTRRLDLLTGSSSSLRATSSHHLDTIPSFYPLRPSHQKLHHQQNPFPSTLLHTNNNNMVDAIASSITNNNNNSINYQLPPDLGLPYHHHNQSHNVMLSMQQNHPISTFHQTPALHPLGSHGFSVFGAKSQASLSVQSLDELGMSNNNADLVGAYGVLSGPQGHGHVSSGHGNGDRRELNLSTPSAASRILDHEKNLENNSSTINRGEGNVDSWICSSD >OIW05078 pep chromosome:LupAngTanjil_v1.0:LG09:6966989:6968568:-1 gene:TanjilG_06214 transcript:OIW05078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSPPHAAARDSILTASAASSSVATALESRDEYFVIMAWIQNLQGGLLGVKCFEFLSMKTFSCLQVDATSLPYTKHQNWKQTDFAFVDGAIHWLATSDNGQSIKSVIAFDFIESNVSDIPLPQDDFVKDPRVNSLRLEVFGGCLCLLNGPEKQPSFAEIWKLKEYKVKSSWTKYNYVPSYVIPQCSFTPIYFTKGGEIVGLNQKLNLVKLNQKGERLYGYDNHFFRKHDFHIYTESLLSLSSESRLSV >OIW04578 pep chromosome:LupAngTanjil_v1.0:LG09:16480644:16484582:-1 gene:TanjilG_18055 transcript:OIW04578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALNTASLNPLSVFSDRREEPRKLFTPNSFCMQMPLVLSQVLNKPKPWGVESDENAYVKLGANENAQLLDIRAPIEIRQVGTPDVKGLKKKSESIAYNGDDKPGFLKKLSLKFNEPEDITLFILDKFDGNSELVAELVTANGFKSAYAIKDEPRKGLSLDFGSLTEAISDSIGDTSDGLAVVLGIAAATGLGLLAFTEIETILEVVGSAALVQFASKKLLFAEDRKQTLQQVDEFLNTKVAPKELVDEIKQIGKALLPASSNGKALPVPTEKSPDPATADSTVQKVEATTDFVSESKVDAVAEPAPETEKSPDPITADSIVQKAEANPDTVSAPEINSVPKTEVKADSLPAQPKPLPPYPYVMS >OIW04274 pep chromosome:LupAngTanjil_v1.0:LG09:21661242:21663451:1 gene:TanjilG_00834 transcript:OIW04274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQNTPLLNEDHISGGVKRTGTVWSAVAHIVTGVIGSGVLSLAWSIAQLGWLAGSFSILIIASTTLFSSFLLSNTFLSSLPHTTPSYLHLVHFNLGVRNGRLCGVLVSISLYGFGIAFIITSAISIRAIVNSDCNNIKGGAACESVEASFYIYMLLFGVIQIVLSQIPDFHNIKWLSVLAAIMSFAYSFIAIGLSILQVLENGHVKGSIEGIIATSATEKLWLVAQGLGDISFSYPFSTILIEIQDTLKSPPPENQTMNKASTISVAVTTFFYLGCACAGYAAFGNDTPGNLLTGFGSSRFYWLVDFANACIVVHMVGAYQVYSQPLFANVENWFHFKFPHSEFMNHTYTLKLPLVPAFELNFMRLSFRTTYVASTTVIAMVFPYFNQILGVLGSITFWPLTIYFPVEMYLNQSSTQAWTAKWIMLRTFSIFGSMLGLLTLIGSIKGIVTKKIS >OIW05371 pep chromosome:LupAngTanjil_v1.0:LG09:1891782:1892574:1 gene:TanjilG_28836 transcript:OIW05371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMKGEQPTTVSLCITPSDDTLLSARVCALSCGFGYCTGQSKMPLLCWAGGIPGKVMMIIGRRINVRYQL >OIW04141 pep chromosome:LupAngTanjil_v1.0:LG09:20839375:20843200:1 gene:TanjilG_00701 transcript:OIW04141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEMRKPHNKHHHGASNIPILLQHCCLFLFIFTIFTTTQAFDYGDALSKSLLYFEAQRSGRIPYNQRVTWRDHSGLTDGLEQGVDLVGGYYDAGDHVKFGLPMAFTVTMLSWGAIEYSQEIEEAGELEHTMEAIKWGTDYFIKAHTSPNVLWAEVGDGETDHYCWQRAEDMTTSRQAFKIDEKNPGSDLAGETAAAMAAASIVFKKTNPHYSHLLLHHAQQLFEFGDKHRGKYDASVGVVKSYYASVSGYMDELLWGAMWLYKATDNEEYFEYLISKAHSFGGIGWSISEFSWDVKYAAIQVIASKFLNEEKHKKHGDILEQYRSKAEFYICSCLNKNNGSNVERTPAGLLYVRQWNNMQYVSNAAFLLTLYSDFLQNTNQKLKCDNGIIDHEEILTFAKSQVDYILGSNPMNMSYLVGYGHSYPKRVHHRGASIVSFKENKGFIGCTQGYDNWYGSHAPNPNVLVGALVGGPDWQDKFEDQRHNYMQTEACTYNTAPLVAVFAKLLHIENQNLLHDCNSLLVASF >OIW05178 pep chromosome:LupAngTanjil_v1.0:LG09:5360901:5367074:-1 gene:TanjilG_19809 transcript:OIW05178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSPYNLRFNDPDFGLDRVYLLPHRWWSESQCDGDRVEGVLYTVLCNSDSEFEILLHLKKEEDCEKIKRLELGFSGRQYALVSEGTWLRALKRCNDLNNATQDFGSLLHSESEDCLPDLFPLQLGIFVSWETNSLVAKISQKENGAEFYKKACYIFNSDSNPMQIWDFSGQTTQLFMNDKVNLPENSTIRPENEVLLELQVHGLSDSVRENGCSEMIADRSQMECSSHSGSVMMNGCTESVICNVKQLNSFRGNICKAIRSLGLTGLQNLGNTCFMNSAIQCLAHTPKLVDFFLGEYSKEINYENPLGMNGELALSFGDLLRRLWVPGANPVSPRTFKMKLGNFAPRFSGYSQHDTQELLAFLLDGLHEDLNRVKRKPYHEVKDADGRPDDEVAEEYWRNYLARNDSVVVDLFQGQFRSTLVCPMCKKVSVTFDPFMYLSLPLPSTTMRTMTLTVMSTDGITMPSVITITVPERGTLKDLVGALSASCSLGDDETLLVAEIYKNRVYRFFEEPSDSLADIRDIEKLAAYRLQKNSEASPLVVFVHELAEKYHFSGKERFDYRSFGIPVMARLSNISDGDDVRREFLKLINPFMISNEDILDECDKEEKAIKKLSEDDEMGETSSSAAVGSDEDSITGTEDDFEFYLPGVQGIGNVKIKLNEPLPFTVLPGKLEVLVIWSDKMLKKYDTYRLNMLPEVCKPQQFTKRTQESISIYKCLEAFLKEEPLGPEDMWHCPTCKEPQQATKKLDLWRLPEILVVHLKRFSYSRFFKNKLQTFVDFPINDLDFSSYIARGNNQSSNGYMLYAISCHYGGLGGGHYTAFVRYGHDTWYEFDDSRVIPVSEEMIKTDAAYVLFYKKVQIKT >OIW04879 pep chromosome:LupAngTanjil_v1.0:LG09:10085746:10087855:1 gene:TanjilG_23995 transcript:OIW04879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKQGGKAKPLKKPKSDKKDYDEIDMANIQKKKEEEKALKELKAKASQKGSFGGSGLKKSGKK >OIW04965 pep chromosome:LupAngTanjil_v1.0:LG09:8684753:8686738:1 gene:TanjilG_01161 transcript:OIW04965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIVVALGVISPFPFYYWLWNWPQSWVHLCGKERDPSKVMAHVAHFLKLLQFISLFSVSQFYWPPPLYFWPIFAFGQFLNFRVYQLLGEAGTYYGVRFGKTIPWVTEFPFGVIQDPQYVGSIMSLLACLCWVPYQYILLWVLGYMFMIHVESKEDQSTRAKPLH >OIW04711 pep chromosome:LupAngTanjil_v1.0:LG09:14675752:14676309:1 gene:TanjilG_07836 transcript:OIW04711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFKSLFNNNDASTSSFRSTSLSVRSRAHLAAELEQVFKKFDVNGDGKISASELGSIMGSLGQNPTEQELDKLILEVDGDGDGCINLQEFIELNTKGVDSDEVLENLKGAFSVFDIDGNGSITADELNTVMRSLGEECSVAECRRMIAGVDSDGDGMIDFEEFKVMMMMGSRHDTTDRVKPQPEM >OIW04508 pep chromosome:LupAngTanjil_v1.0:LG09:17910197:17910706:1 gene:TanjilG_13890 transcript:OIW04508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSDDYSFKRPGAVPFKWEIKPGVPKPQHHHQHNHDDDQHNLSPPSPKLTPPPSWSHVFSPEDPCSRSAPRTPSARFDRSNSLPLSCFLSPSLRRLSSGKMNRKHVVEPNYGSDLQRSLSARSLRSLFYDSMSSSSSLSNNQSLNRTVSKGGWAGFGPLLLNLVTRGLD >OIW04903 pep chromosome:LupAngTanjil_v1.0:LG09:9761948:9766827:-1 gene:TanjilG_23906 transcript:OIW04903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSRRAVESYWRSRLIDSATSDEDKVTPVYKLEEICELLRSSHVSIVKEVSEFVLKRLEHKSPIVKQKALRLIKYVVGKSGVEFRREMQRHSVAVRQLLHYKGQLDPLKGDALNKAVRDTAQEAIAVMFSEDNKPAPAEDVNRRIQGFGNTNFEPSSGDKKSFISEVVGIGSASIKQGINSLTQGHSLMKNDSGNYRGPNLQRSLTIETEHGDKYEPVAFRNETQSSFGLPKNQPSGPWNPDSRVTTTEVSNGDSSASYSGGKTREDTLLETIVTSGGLRLQPTRDAIQVRMKAVCVLESILRKKDDDNFSRVASYFTENKDVVLRCSESPQASLREKAIKVLNLLGGDQPKNSTINSEKTVKVDRTNVAELPDLIDTGDSNDYHNTTKLTDDQNLGNSTSSTPLVDDLFGDFSGSVGASHELKNDNDPFADVSFLTSENKEHADDLFSGMTVGDVKQDNPQPFDIFASNSEQGNHKESVSDLMSGLSMDENTSSMKQKATTPSVQSESLFSGLNNHAPDNTLGGMLGSQTVGFNVNPMFPTSHLPYNIQPGIMLNHPYSSQPLNYGAMGLLAQQQFLATMANFQHLSNANMQNDGVAQSAGTGGRTTLPDIFQPNFSTQTPSSMINTSKKEDTKAFDFISDHISSARDPKRVI >OIW04931 pep chromosome:LupAngTanjil_v1.0:LG09:9398378:9405332:1 gene:TanjilG_15676 transcript:OIW04931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRYDTRSGDPTSYRDRRSDSGLGAPSGYGGSVQASSSRKDEDGGSPRKINLDGLPHFEKNFYSEAPTVKAMTEDEVNEYRQQREITVEGRDVPKPVKTFHDVGFPEYVIQEIEKAGFTEPTPIQSQGWPMALKGRDLIGIAETGSGKTLAYLLPAIVHVNAQPILDLGDGPIVLVLAPTRELAVQIQQEATKFGASSRIKSTCIYGGVPKGPQVRDLQKGVEIIIATPGRLIDMLESNHTNLRRVTYLVLDEADRMLDMGFDPQIRKIVSQIRPDRQTLYWSATWPKEVEQLSRKFLYNPYKTTIGGEPDLDSEVDGNNICRWICPHMLEEQQLEAYLCLNAAVVLRRGWSENGKHDKESPNVDTVFVRLVKLLEDIMDGSRILIFMDTKKGCDQITRQLRMDGWPALSIHGDKSQAERDWVLSEFKSGKSPIMTATDVAARGLDVKDVMYVVNYDFPGSLEDYVHRIGRTGRAGAKGTAYTFFTAANARFAKELITILEEAGQKVSPDLASMGRGAPPPPSGYAIIMPSGHGVTEASMIAGGVMAVAVHGADRQKSRET >OIW05253 pep chromosome:LupAngTanjil_v1.0:LG09:3765501:3772875:1 gene:TanjilG_03642 transcript:OIW05253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPNTIFHQPNSHSSLTYYYTTTSDDDEDTNIIDSDMDENEENHKNENTQHTKNTNTNNISTRWCLPVATTTVRKFLSDPKWVEEWNRVFLLVCAAGLFVDPLFFYALSISDTGMCLFVDGWFAVTVTVLKCMTDTLHVWNMWLQMKMKKRWFSLVGDGESGGYYSSTHGNVAVSYLKRGFFFDLFVILPLPQIVLWIVIPSLLEKGSITLVMTVFLIMFLFQYLPKIYHSICVMRRIQNLTGYIFGTIWWGFALNMIAYFVASHAAGACWYLLGIQRAVECLEDQCGKTNGCGLKTLSCKQPIYYGTTTMVRDKTRLLWAKNREARSTCLDDPNNYDYGFYEWVVQLVTNDSRMEKILFPIFWGLMTLSTFGNLQSTTEWLEVVFNIIVLISGLLLVTMLIGNIKVFLNATTSKKQAMKSRMRNIEWWMRKRHLPQGFRHRVHNFEWQRWAAMRGVDESQLIQDLPEGLRRDIKYHLCLDLVRQVPLFQHMDDLVLENICDRVKSLVFTKGEIISREGDPVQRMLFVVRGHLQSSQVLRDGVKSCCMLGPGNFSGDELLSWCLRRPFIEKLPPSSSTLVTLETTEAFGLEANDVKYVTQHFRYIFMKEKVKRSARYYSPGWRTWASVAIQLAWRRYKHRLTLNSLSFIRLRRPISRSSSMEEDRLRLYTALLTSAKPNQDDFELCD >OIW04253 pep chromosome:LupAngTanjil_v1.0:LG09:21563959:21565324:-1 gene:TanjilG_00813 transcript:OIW04253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQDIIKTHDINFSYRPFNILATVIAYNGASIAFSPYGHYWRQLRKICTIELLSVKRVQSFRCIREEEVSSMIKTICAREEGSVVNLSEMISSLIYGIVARAAFGKKYKFQQVFISAMEEILHLAGGPSVTDLYPSIKVLQILSSKKGKYERLHRKTDGILQDILDDHRNRKGSDYCEVEEDLIDVLLKFQQDNDLQHPFTDENIKAVIQDMFTAGGETSSTVLEWCLSEMIKNPKVMEEAQAEVRRVYGNKGYVDELGLHQLIYLKSIIKETLRLHPPVPLSVPRENKERCKINGYEIPAKSTVLFNLWAMGRDSKYWNESESFKPERFLNSSIDYKGKFFEYIPFGAGRRICPGITFAIPNMELPLANLLYHFDWKLPNQIKHEDLDMSESFGLTVRRKNDLCLVPITHINPSMCV >OIW04106 pep chromosome:LupAngTanjil_v1.0:LG09:20570765:20572773:1 gene:TanjilG_00666 transcript:OIW04106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKNSPLEWPEPIVRVQSLSKICIDSIPKRFIKPLSDRASIITSSDYDANVPIIDLGDLNGDPDTIASTLGQISEACHEWGFFQVVNHGVNHDLMDMARETWRKFFHLPMEVKEQYANSPNTYEGYGSRLGIKKGAILDWSDYYYLHYLPLSLKDYNKWPHVPPSCREVIDEYGRELMKLCRKLMKVLSINLGLEEENLQKAFGGEDIGACLRVNFYPKCPKPDLTLGLSSHSDPGGMTLLLPDEQVAGLQVRKNNNWITVKPAPHAFIVNIGDQIQVLSNAIYKSVEHRVIVNSSKERVSLAFFYNPKSDIPIEPAKELVKPDRPALYTAMTFDEYRLFIRMKGPCGKSHMESLKSPT >OIW04063 pep chromosome:LupAngTanjil_v1.0:LG09:20255279:20255551:1 gene:TanjilG_00623 transcript:OIW04063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSNSNMESATPYFDEKWKLSKKEGSSRNRYSRSSSSTNTHFMKNSSATQRKCAFARKCARLVKEQRARFYIMRRCVTMLICWRDYSDS >OIW04343 pep chromosome:LupAngTanjil_v1.0:LG09:19131960:19134007:1 gene:TanjilG_32535 transcript:OIW04343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEAKQVSEEAKQEQPKAEAKPENKAEEKKEEKPSEEKKEEKSVEEKPVEEKKEEPKPPSPCVLFVDLHCVGCAKKIERSIMKVRGVEGVVIDMVKNEVTIKGIVEPQAICNTITKKTKRRTNVISPLPAAEGEPIPEVVNSQVSGPVTVELNVNMHCEACAQQLKRKILQMRGVQTAMTELSTGKVIVTGTMDANKLVEYVYRRTKKQAKIVPQPEPEPEKKEENKEAEEKPAAEEAKPEEKKEEEKPQEEAKKEEGNDEGGENEDKKNEEKGSEEKAEEETKKEENWFVVNNIDDEGMKRMMYYYPYQPLYMIERIPPPQLFSDENPNACCIS >OIW04380 pep chromosome:LupAngTanjil_v1.0:LG09:19409707:19412846:1 gene:TanjilG_32572 transcript:OIW04380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDLAEKRTGCELKEEQSWPKKPTLIKPLPIRASINIPFGASGSSFKPWKRPSSNYIDALDVAKENSIPTKPNSPPAENENKEIMENSNPISDEMVNNGDGAPSGDVDKTDEAQINQLSLECSFKSETDARLKRILSNRLSAQKSRLKKNAHVADLEGKAKYFQDHIGFLYRQMTSQKNRNQLLQIEQHQLKLRMAACVIEKNIAEMERLKELHRRKLAAEAQAGPSRVLNMGASVQLKSNSNLNQPPLGNFL >OIW04306 pep chromosome:LupAngTanjil_v1.0:LG09:18709150:18719025:1 gene:TanjilG_32498 transcript:OIW04306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTQGLDKNALRWVKEKEVPHSNTGFRSINDPINGLKNGSGRGFGLPPPSKFRSGHLPANAIPVSTVLPANTRDSVSNSDSADSEEEVYGGRYSLDSSPQDHRAPNGAARRYQNLAQRGARYGSDYTYSEVSSSRETLVGRPGVIRDPLMRTANVRQSGFTEDESSDSAASSEFSTTQVGSINGTVPRSRTYVSEDYDSSVPSRMNVQSATEKNGRLSDDDVPSAPPFFGSSQEIRQTHEKIPASGENAESSALKSMSEDKVEDHIEKENHDQFVRTAADSEAGTSSTSYPARLPTFHASTLGPWHGVIAYDACVRLCLHAWAMQCMEAPMFLENECSLLRDAFGLRQVLLQSEDELMVKCNSEPSTEGVPPKPKKLIGKMKVQVRKVKMGSDPPTGCSMSSLMTHKIKMESVRQRLSSLQSSLSSGWHALRRIRFVPVLPANGSLTRQSLAYVHASTRYIRQVSGLLKVGVTTLRSSSSPEVVQERYTCFLRLKSTVEEDTIRLQPGSSEAHVFFPDSLGDDLLVEIQDSKGKHFGRILVQVATIADDPTDKLRWWPIYHEPDHELVGRLQLYIHYSTSADDNSHLKCGSVAETVAYDLVLEVAMKVQGFGQRSLLLHGPWKWLLTEFASYYGVSEIYTKLRYLSYVMDVATPTADCLNLVYNLLAPVIMKSNSKTSLSHQENRILGETKDQIEQILSLAFTNYKSLDESSFSGVTEVFKPASGHAAPALEPAVKLYKLLHDILSPEAQTAFCHYFQVAAKKRSRRHLSETDEYLTNNNEGSLMDNMTMSIAYQKMKTLCINLRNEIYTDIQIHNQNILPSFLDLPNLSASIYSTELCSRLRAFLISCPPTGPSYPVAELVIATSDFQRDLISWNISPIKGGVDAKELFHLYILVWIQDKRLSLLESCKLDKVKWSGVRTQHSTTPFVDDMYERLKEMLTDYEVIICRWPEYTFVLENAIADIEKAIVEALDKQYADVLSPLKESMTPKKFGLKYVQKLAKRSTCAYAVPDELGIFLNSLKRMLDILRPRIESQFKSWGSCLPNNGNTAPGERLSEVTVMLRTKFRNYLQAIVEKLVENTKLQNATKLKKILRDSKETVVESDLKGRLQPLKDQLASTISQLHSVFETHVFIAICRGYWDHMGQEILSFLVTRKENRSWYKGSTVAVSVLDDIFASQMQQHLGNALQEKDLERPRSIMEVRSMLCKDAPNHKDNTFYF >OIW04683 pep chromosome:LupAngTanjil_v1.0:LG09:15104887:15106422:1 gene:TanjilG_00119 transcript:OIW04683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDVLGPDFISDLPQSIIESILTQLPIRDAVRTSILSSKWRYKWASITQLVFDEKCVPLSNDRDGVDKSIVKFITSLLFLHQGPIHKFRITNSYLQSCPEIDQWILFLSRNDIKELVLELEEGEFFRIPSCLFNCKKLTRLELFRCELEPPLTFKGFMCLKSLNLHQVLISPDAIESIISSCPLLESLSLSYFDSLALTIRAPNLRYLNLEGEFKDICLEDTPLLVELSVAMYMTDDIAEHFEQSSNCNFIKFLGGVPNLEKLVGHIYFTKYLSIGNDPGNLPIIYNNLESIELYQVGFEDMNEMLVVLRLITSSPNLKELQISGSSNMSPATDAPNLDFWAKKCPSDSTLGHLKVVKLTDMCGVPQEMEFIKFLLGCSPMLEIMSIAPCVHDMENEMRMLIELVKFRRASTKAEIVFTRE >OIW04855 pep chromosome:LupAngTanjil_v1.0:LG09:11127775:11144182:1 gene:TanjilG_13695 transcript:OIW04855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLENEDQNLLDQPTNHELHKNRKLKFSYSREFLLSLSELDTCKELPTGFDRSLLSEFEDALVDRHRSTGGLSTTSFRRNEYSSSPPARGDTNSFLRGTHGKWDTRSSGRSDKYSDSQSERESDSGKHFSNQTSRSWQGPGHDGLLGSGSLPRPPGYEPGPSAPKFRANANYQLNRANDPYQRSGPYKAPHSRRDTHDNYNDETFGSSAECADEDKAEEERKRRASFELMRKEQQKAFQEKQKLNPHKNKDIFDITSLLDDNDKRLGKRSNESVEPPVVLSALSDDSEKSSLAHIASTSRPLVPPGFASTLLERNLGVKTSTKNCAMEVGQSEPVITSGNRIVSEYNEGNLSTKQADDDQLHHRSKSLNFPIKNEKGNISNISSAVDILDISIENSDQLRKRSTLSETLETSHASEFIQPNAAVKRTEAIGAFNQDDSHSILNKLFVNALSLKSGNTGVVVEHNDKADETWNPHAFQSSKFAHWFVEEEKNPLDDFTHRPNDLLSLIVGGEKGGLQVSDMEKTQHIALASPFQNAEPAYEHLTSNVVHTPIDRSEQLYKSDKAELAPTVLTCEDLEQSILSQVNENGVSLEQPMQDKDSSAKTLQSNSNSDNHASQHLLSLLHKGTCHKNIEQFSIVDVDSSDKVCNTQGAATASIHDDPVDANADFSNSSKQLTLETLFGTAFMKELQTVGEPLSVQRSSVESEGSDVSDSIMSLFPASDIGLSHAGGHALNRHGSGILPSEKTHPPQPNRFGEQWLEYGDPQGDVNSLHLKSKFSKASGFDGRNDIHFPEEDSFIAVGDPLQNFLSAGNSAKSGLSYDIAVDNIGKLAALNPALRVEQPIMGNQGLPHSRGPYDMRESGIPYQNLNVQRSSRLQPPQLNQMGPMFNQLDSNPPHISPYIKLMTSEGMVHRESPSNHQFPGNMHLPPFDQPSNAINGFGPPAHHSILQQMHMQGNHPPPNLLSGFPGRVPLPAHPSNPMTGFIQEPNQMQGFPFNGHRQPPFGGPGMPLQASDVAGGRNHPEILQRLFEMEHSEFEDALVDRHRSTGGLLTHSFRRNEYSSSPPTRGDTSSSLRGTHGKWDTRSSGRSDKDTDSQSERESDSGKHLSNQSRRTWQVPEHDGLLGSGSFPRPSGYAPGLSAPKLRANGNNQQKNANEPYQRSGPYKAPHSRRDTHDNYNDETFGSSAECADEDRAEEEKKRRASFELMRKEQQKAFHEKQKLNPDKNKHVFDITSLLDNNDKRLVKRSNESAESPVVLSVLSDDSEKSSLAHIASTSRPLIPPGFASTLLERNTGVKTSTNTGGNPIFSENNEGKLSTNQAHDGQMHHQITSLNVPITNEKENILNISSVVDIQDINIGNGDQLRKRSALSETLEASDTSEFIQLNAELKGTEAIGVSSQDDSHSILNKLFDNALSVKSGSTRGVVEPVDKADERWSPHAFQSSKFAHWFVEDEKKPLDDFTHGPNDLLSLIVAGEKGRLQFSDLEKTRNVAPASPFQSVEPAYEHLTSNVAHTATDNSEELYKSDKPELVPAVLTCEDLEQSILSQINEISLSLPDKDSYANTEQSNSNSDNHASQQLLSMLQKGTCHKDIEQSSILDVDFGYKVCNTDRAATANIHDDPVEANADVSNSSKQLTLETLFGTAFMKELQSVGAPLSVRRDSVESAGPDVSDSIPSLFPASENGLPHASEHGLNRHGSGILPLERTHQPNPNRFEEPWLGYGDPQGEDNSLQRQSKFVKASGFNGPNDICFPEEDSLSAVGDPLQNFLANANSAKMDLSQDIPVDFTRKLVTLNPEFRVEQPIMGNLEGLAYPHGPYDTREPSIPYQNLNVQRFSRLQPPQLNHMGPMFNQLDSQSHPPHVSPLMKLMTPEGMVHHESPPNHQFPGNMHPPHFDQPSNARTGFDPPAQHSMLQRMHMQGNLPPPQLFRGFPGGVPPPDHPSNPMTGFVQEPNPMQGFPFSGHRQSPFGRPGMPLQASDVASGRHHPETLQRLFEMELRLRARPGF >OIW05027 pep chromosome:LupAngTanjil_v1.0:LG09:7587977:7590125:1 gene:TanjilG_18626 transcript:OIW05027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKNEFTLVSPATDNEGGEKLPRYCTQQGLGAKWDISPPLEWHNVPSKTKSLALVVQDVDAVDPTGRKVPFTHWVVVNIPVTVKRLPEGLSGKVEEEGGEYVGIAEGINDWKVNVWRGPKIDNYCDRFEFRLYAISEDMHFDNQVTKEKLLDTITGHVVGEAVLTATF >OIW05277 pep chromosome:LupAngTanjil_v1.0:LG09:3446663:3447752:-1 gene:TanjilG_03666 transcript:OIW05277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGSNTALFSNASVHTHTQAQGGDSYVRRGAKGRDDNDSGLTGFVTEAAKSGTEVLESVGHAAKETVDTAWDATKNTTQTVLETTTAEADTNVVDTVEYRSAEDLRGQLGDGCDKKEVHS >OIW04868 pep chromosome:LupAngTanjil_v1.0:LG09:10655768:10656352:1 gene:TanjilG_13708 transcript:OIW04868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVGFHLRAFFLTTEGGLSMANYMMPHSRILQFGFHYLYFRFGGGFGQFRAGLAALDSEPKGGWLGRGARALDNPRTATGEESMDKLYRLHTDLALLWRVRSQSFWDLKGKFCDGRICMPDRRHRPHFTSTFQF >OIW05076 pep chromosome:LupAngTanjil_v1.0:LG09:6985006:6986170:-1 gene:TanjilG_06212 transcript:OIW05076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQDLATQLTALVVDDNMMNRKIHQKILKNAGVKNHGVGNGKEAVDIHSYGQSFDLIFMDMDMPIMNGIEATKKLRSMGICSIIVGVSSRYMEEEIQEFMEAGLDDYQVKPLTIAKLSSILHKINLKV >OIW05473 pep chromosome:LupAngTanjil_v1.0:LG09:465491:472271:1 gene:TanjilG_12064 transcript:OIW05473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSLGKLTILLGAGIVGSVIAKEGRLPDVSSLLSGAFKVVLKPITSNDSAPAVKKPNNDALLAQVDSLRQQLQLLHRDRSITIVNTTGSGPRKYVTVIIIVAAGYGYIWWKGWKLPDLMFATKRSLSDASTSIGNQLGKLHESIADAKKKLSARMNGLDTSLDECAALSQHTREEVSVIQQEADTISGDFKSVRIAVHVLESKIKEIEGKQVATTEGVNKLCQFTLSMEKRRTPEYIQASSSSSSKPALELPPVSPSSRPTPSSFSRLSIEPPSLTLSSKTGSLTPTLSTDLPSPSYSAGSHKETSPDSDERNFSSSNADSIKILAAENKSNGSSSGLFGKFAGVYAPFLTRTRSATDALVQQTRSTQ >OIW03488 pep chromosome:LupAngTanjil_v1.0:LG10:4850067:4850399:-1 gene:TanjilG_14713 transcript:OIW03488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKELSVAIAEASSTIDETLQQQQQSQPLQAPRKRGRPRKIIVRMENHEEKKAQGIDSSMEKVEANEKVQHEHEESSCIRVTEQEEIQLPKVEPSRSKARRKSKPRRAVDK >OIW03688 pep chromosome:LupAngTanjil_v1.0:LG10:2594238:2596865:-1 gene:TanjilG_30752 transcript:OIW03688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRAFNHQISMQKSRSFHLKKMFEIPGKHIQGLFDRDHEEGGDPDKVYSKSFESKHNSDYTPEVQQIGYSLNNEIPRIPPKPPSEIDMMKERFAKLLLGEDMSGAGNGVSSALALSNAITNLAASVFGEQSKLEPMTSERKGRWRKEIEWLLSVTDHIVEFAPSQQIGKDGTTMEIMTTRQRSDLLMNIPALRKLDAMLIDTLDNFRDQNEFWYVSKNEDSEGNSDTQRKSDKWWLPTVKVPPTGLSDVAGKWIQFQKDSVNQVLKAAMAINAQVLSEMEIPENYIESLPKNGRESLGESIYKNITVEYFDPGQFLLTMDMSTEHKVLDLKNRIEASIVIWKRKMNNKDIKSTWGSAVSLEKRELFEERAETILLLLKQQFPGLPQSSLDISKIQYNKDVGQAILESYSRIIESLAYTVMSRIEDVLYADTMTKNPSLAVSSRRFSLDSLPVAAAEESSPNSSGEEKENSCSPDAPPSMTLSDFMGWSATTTTTTTTKGGGDLKKTNSTGDIEVYLKEKDEKSITKSPKFSTPKKAYYLDKLEYLNASKTPIARHNMS >OIW02971 pep chromosome:LupAngTanjil_v1.0:LG10:16043912:16051388:1 gene:TanjilG_13608 transcript:OIW02971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTLRFLQLCAIVALNVFGVCLIIAESTLMVNFISVPLSQSKSSNAVFRFQVERLDGSNACKRSSCSFSCQLDGKVYHCGANGIVLRNLTQNQEHNFLLNVTTNKGERNSSLYSWFIDTIAPTAAITSEQMYTNAKRVTIDITFSEPCTGLGGFKCVNSSICDVMVAGPAKVDVSSLLVTTPGVKYSLEVILSSKSIHGRAVITLEDNTCSDQAGNKFLRTNDSTLIIHFDRRPVMVDFWTSVPSSMMKINSIPRTIVATSKPEDLIIFLDFSIPLRNSTEQIRNALNVNSGALTRFHGRSNETRRFAFKLKNISRTEIITVKLQATSILGKTGTHVSPVDPITFLYDLTKPSVVLRTSSPNETRDSNIHIIAEFTKPVFGFEASMVVVLGGRLIRHVYIEVKGTIKSTIFIDYPSSITEDSIPAISIALYSFVSAGTIATSLITAIVCLSSANLEAISMLAMGGTTSHASNPSMNLHGMVGHLQVFALTSWFSTNQPIKYSETTRGLWWLIPHHKLPWEDYDHSSTTLENEKLTTRTNGLSVGEYSYNSDHQQTGLMSSLYIEHKVSFPTEITSKYGWFHDQRSTKNVFYGLPLSSIEYFTYFLRGEPISASNVIKAMENYKGWKEMEMNLFWLGIGGGFFILVHVFMILFLRWRTGKLPQGTLSMPRFEFFLLILILPCISQSSTFVIKGGTTRGIITGVLLLAIPAAFILSVFLFLTIAISSGSFAQYKEFKQVTNEEWRMKLWFFLVGRPTPVMIASENGDAEKDASENVSLAGDGFDTVIKAKQAVEAVCPGIVSCADILALATRDVVALLGGPSFNVELGRRDGLVSKASNVEGNLPKASFNLNQLNNMFSKHNLSQIDMISLSGAHTIGFSHCDQFSNRLYSFSSSSSSSHVDPTLDSNYAQQLESECPQNPDPSVAVALDLQTPSTFDNLYYLNLVAGKGLLSSDQVLFTDTASKSTVVDFANNPNEFNGAFVTAMRKLGRVGVKIGNQGEIRKDCTKFNS >OIW03278 pep chromosome:LupAngTanjil_v1.0:LG10:10299841:10304077:1 gene:TanjilG_09925 transcript:OIW03278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLHRHVLTKPSSFLLLRHLSNSAAAVLHHHELPDLPPLTYLPGFPHPNPKHDETILAIPRAISGKNISEKERKVGRVPSIVFEQEDGQHGGNKRLISVRTNQIKKLVKHLGESFFLSRLFELEVRNDFESEEIVEKVRVLPRKLHIKASTDIPLNVTFIRAPSNALLKVDVPLVFIGEDVSPGLKKGASLNTIKRTVKYLCPADIIPPYIEVDLSELDVGQKLVMGDLIVHPALKLIQSREEPVCKIMGQRVSETQPKKSK >OIW03322 pep chromosome:LupAngTanjil_v1.0:LG10:9388287:9392312:-1 gene:TanjilG_16471 transcript:OIW03322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNALAATNRNFRLATRLLGLDSKLEKSLLIPFREIKVECTIPKDDGSLVSYVGFRVQHDNARGPMKGGIRYHPEVEPDEVNALAQLMTWKTAVANIPYGGAKGGIGCNPAELSISELERLTRVFTQKIHDLIGTHVDVPAPDMGTGPQTMAWILDEYSKFHGYSPAVVTGKPIDLGGSLGRDAATGRGVLFATEALLNEYGKSVSGQSFVIQGFGNVGSWAAQLISEKGGKVVAVSDVTGAIKNSEGIDISSLLKHSSEHKGVKGFHGADPIDPKSILVEDCDVLIPAALGGVINKENANEIKAKFIIEAANHPTDPEADEILKKKGVVILPDIYANSGGVTVSYFEWVQNIQGFMWDEEKVNNELKNYMTRGFKDVKDMCKTHECDLRMGAFTLAVNRVARATVLRGWEA >OIW03824 pep chromosome:LupAngTanjil_v1.0:LG10:1525468:1529722:-1 gene:TanjilG_30100 transcript:OIW03824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVVGFDFGNESCIVAVARQRGIDVVLNDESKRETPAVVCFGDKQRFIGTAGAASIMMNPKNSISQMKRLIGKQFSDPELQQDLKSLPFVVTEGPDGYPLIHSRYLGEAKTFTPTQVLGMMFSNLKEIAQKNLNAAVVDCCIGIPVYFTDLQRRAVLDAATIAGLHPLHLLHETTATALAYGIYKTDLPENDQLNVAFVDIGHASMQVCIAGFKKGQLKVLAHSYDRSLGGRDFDEVLFHHFAAKFKEEYKIDVFQNARACLRLRAACEKLKKVLSANPEAPLNIECLMDEKDVRGFIKRDEFEQLSLPILERVKGPLEKALAEAGLTVDNIHMVELVGSGSRVLAINKILTEFFKKEPRRTMNASECVSRGCALQCAILSPTFKVREFQVNESFPFSISLSWKGSGPNAQDSGADTKQSALVFPKGNPIPSVKALTFYRPGTFSVDVQYDDVSGLQAPAKISTYTIGPFQSTKGEKAKVKVKVRLNLHGIVSVESATLLEEEEVEILVSKESSVENTKMETDEALPDAAAPPTSSDGDVNMQDDKATADDPVAENGIPETGDKPVQMDTDAKVEAPKKKVKKTNIPVTEVVYGAMGHVDVQKAVEKEFEMALQDRVMEETKDKKNAVEAYVYDMRNKLNEKYQEFVIAQEREEFIAKLQEVEDWLYEDGEDETKGVYVAKLEELKKQGDPIEQRYKETTERGSVVDQLAYCINSYREAVMSNDPKFDHIDISEKEKVLNECVEAENWLREKKQQQESLPKYATPVLLTADIRNKAEAVDRFCKPIMTKPKPKPKPTKPPATPPPQSDEEQQQPQENANGSTDENAGNNGNQVPTESTEPMETDNKAENTGSS >OIW03192 pep chromosome:LupAngTanjil_v1.0:LG10:12580700:12585393:1 gene:TanjilG_11829 transcript:OIW03192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAILKITKKHHKRFNNPFPSSASTTIPYVQGSLFINSKTLPFDQTFSIGNDFQLYWTSNNGGYLSISHLSNANRAIWSSIPGHAFVSAAMVDTEIEESRGSFLIKDKDIHLVCNNQTIDDIRVINHLEHENAETLSIDQKMVTEEENQLPTLLITGRLFNMTKKNRRFQKHGIQGNIHFEAKGPSVYARYWVLFNQKTEHQVGFQVKVEKPNFISKKQVSPKASGRVYLGFKRRVSNRKKRLGWCWYLSRPRGFVLVSSVEEEIEDLDVPKSEEFNRVWLTYSSDENERFYGFGEQFSHMNFKGKRVPIFVQEQGIGRGDQPITLAANLISYRAGGDWSTTYAPSPFYMTSKMRSLYLEGYDYTIFDLTRLDRVQIQIHGNSVEGRILHGNSPCELIEHFTETIGRLPELPEWIISGAIVGMQGGTDAVRRIWNELRTYDVPVSAFWLQDWVGQRETLIGSQLWWNWEVDEERYWGWKELIKDLSNQHIKVMTYCNPCLAPADEKPNKRRNLYEEAKQLDILVKDSEGNPYMVPNTAFDVGMLDLTHPKTATWFKQILLEMVDDGVRGWMADFGEGLPVDAVLYSGEDPIAAHNRYPELWAKINRELVEEWKSKSSDKEKEDSLVFFMRAGFRDSPKWGMLFWEGDQMVSWQTNDGIKSSVVGLLSSGISGYAFNHSDIGGYCTVNLPIVKYRRTQELLLRWMELNSFTLVFRTHEGNKPSCNSQFYSNKETLSHLARTAKIYAAWKFYRIQLVKEAAQKGLPVCRHLFLHYPNDEHVHHLSYEQFLVGSEFLVVPVLDKGKKKVKAYFPLGESSSWLHIWTGKQFSKKGIEEWVDAPIGYPAVFVKVGSLIGETFLNNLINFGIL >OIW03768 pep chromosome:LupAngTanjil_v1.0:LG10:1931276:1935773:-1 gene:TanjilG_30044 transcript:OIW03768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPHKERIKSGCHKILDGINSMSLVNLDDQQVHSLVMMREIARGVVNDRDRKVSKNDVIAALRFSIEKMVSASRDIIQLLSRMSYESLDDQQMHLVLRMKELAHGIVDKQPRVAATGPDLLVDQVGCVDEGMRDTPMSVSRKMCNRDSLINGAQSMTNEEDILDVEHVLRFENGIHEVQESLLPSCFQQYLLWPPDDCITLEWVQGMLFALEQATQRMLPSKFCHVMPTILVDKLIDAACSILCKEPNCVEIDCQGKYSRVVVVGDIHGQFHDLLYLFKHEGVPSEKQFYVFNGNYVDKGAWGIEVFLVLLAWKVLMPHRVYLLRGNHETRYCTARHGFKKEVWTKYGDQGLFRSLNAAPSRKPKRKKTQRIDLGSLTELSEVERACVDSPCEGPNILLSDILWSKPSTRDGLRDNAGRKLGLWWGPDCTEAFLKQHNLKLIIRSHEGPDARAIRDGFGDMLSGYSMDHEGESGKLYTLFSAPDYPQFGNRRYYNEGAYAILESPDFASPSFHSFKSAERPMVDPYVDFDGIEMDLSQLDSSRMASTSAFSDPRMFYPSGIRPGFDFGELGIYNAPSWGVELPDGSGGTQMMQVPRAPVVEGLPLPPNILEPHKSAYEYLFELVASLKHMIVARENENRTHVSALKSRARKRKGKDKC >OIW03958 pep chromosome:LupAngTanjil_v1.0:LG10:609075:610067:-1 gene:TanjilG_30234 transcript:OIW03958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKFDPWPVFFKREWNRTWPFLVGFAVTGTIITKLSLGLTEEDAKNSKFVQEHKR >OIW03829 pep chromosome:LupAngTanjil_v1.0:LG10:1508672:1511813:-1 gene:TanjilG_30105 transcript:OIW03829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIAFFPFPPFQPSLATPKESEVKKTPQDNQKVEETSPEMDRPSNSFPSLLDGIGILSSGVVGAFYAFSQKEKSAALATIETMSSKLKEKKELMVSLKRNYESKLLNEQEERAKLLGKAREEQQALTKQLNSANSTIARFGEELKSEKSLIEEMKLQIDSLEIELSETGADKKGLENKLKDSIVSIGILQKRIVVLSSDIKDKEDVVQNINSALDEKELELRNLNSAYEQTKDDLSNVHLQIQGLTDELLKSQEEIKAKDSLVEELNSRVSYLNLENNDSRIKYDVLKKEYNDLELTSEKKAALDAKVLMEREEELCELKDQLVLVLNEASRNQSITADLAQEREILMESLENETNKANNLMYELQITEENLGISRNESAELEKQLNESNKLQKELELEVSKLSSELTEVRESLQSSLDDAKHSAEMLTTDLATAKENLKEAEAELRSMSNELTAACENRDSLQRELIVIYKKAEVTAEDLKKEKELVASLTKDVQTLETQLSEDNEAKRSLEIDLEEATKSLDEMNRNAFILSGELESSNSIISSLENEKLMLYNSLIEQRNACKVAQENMEDAHNLITRIGRERGNLENRGKKLEEELASAKGEILRLRSRIKSSKVAINNEQVQKDEGERKVTVSARKSSKKRNANPQ >OIW03508 pep chromosome:LupAngTanjil_v1.0:LG10:4595979:4597908:-1 gene:TanjilG_31021 transcript:OIW03508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAACCVPAKDTSLPHRPGGESLCRDVVCSPSWSFRWDNHGRVAGEMENTPFQVSRVVSRNISMELKGSISSERGNLSDEGSTLENSVTPISLKSPADEAVVAYLMTPSSDLSISSNFSTVVKNPSESSIPNISFSIPSVLSTPASDSLPNRSYHHLPNSMPSRWAHRSPGHPLLRQVSDSRILGLKSPENSISEGRPSSVLSVCSNEMAAGSQCGSSDGWSMLTFSELVASSQRERWSFDSEHFDSGRRKISGSSSRFSYSPSMDLQSCGTCLKLLTERSTWNTQKLIANNDLSVVAVLICGHAYHAECLETMTLEADKYDPPCPLCLVGDKHLSKLSRKALRAESEIKAKNHRISRNRVVDSYIDGDFDVFDHRKEIERGGKVSKMEPSSSTRSSSRKPFLKRHFSLGSKWNRSLSDNASARKKGFWARYQKY >OIW03093 pep chromosome:LupAngTanjil_v1.0:LG10:13987710:13995886:-1 gene:TanjilG_07245 transcript:OIW03093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPEEDKGLDQKLLEATNNLLHPPSSIHDLLPLLQQVESCLELVDQSPTGSVQAAMAPLAVALIGENILRHVDVDLKVVVASCISEITRISAPEAPLDDDQMKEAFQLIVSSFENLHDISSRSYRKRTGILETVSKVRSCVLMLDLECDDLILEMFQHFLKEIREHHPEPVFSAMQNIMTLVLEESEDISLNLLSPILDSIKKENEEVLPIAKKLVENVLASCTPKIKPYLVQAVSTLGFSLDDYSNVLTSICHDGSGSLEQNDIHAISEHVEDESKSVEESLEESALVIREDAEEAAPPQQDNPVGDHSHKSVMSSDNPQAAEDDTLVESKSPEKQENTDCTVQSKGISFSANVDPTNLDTEKVDNSDQKQDQDTEKRARKTIPSSKSTEPSEGSYPANVKEDEKVADSESHSKEDETVFDSESHSKEDEKVIASESHSKEDEIVVDSESHSKDDGEVVASESHINEDDNSDQKQGEARKSGGKRPVSSTKSAELSKGSSPDNVKEGEKVIDSECHSKEDGNSNQKQGEATKKIGSQPTSLTKSAEPPKVSYPANVKEVEKVIDSESHSKENERVIDSESPSNNDDINDQKKGHVTKKRGKRKPISSTKSAERSKGLYPAKVKEDEKVIDSEIQNKEDDDCDQKQGLPSNKRGRKPTFSKNSAANVKEDEKVIDSESHSKEVSSSLHEDDSVEAAVLSENDNDIDAKISSLKAGDGESDLVASPSPRGSHHVENPSKKLARPKKTDSSAKEVALKDVSKKVYQETNDSEAKLNKRCVKKALGRSSDVEKSTLVNSVKKGNETANEPGAIKHSAKKTEGGNKCGVGSDKKRQGRGKANSETVAAKSSVKEVVKEIVSLSKSSTKSINDEDSEELPKTNLKRKHTPGKENESGVKKYGENLVGKRVKVWWPDDDMFYKGIIKCFIPSKKMHQVTYDDGDIEILNLKIETWEIITDGADSDGEEEADHPIPDAPSDIPPKKKSKTSTGESRKGGNKDASISGGGATSSKSRGKSMKSSHRSKDGSKSDRKTKAPKIAVSESDDELIIKNKNHTPKSGGTAARKTSSSKSKDTDKDVDVAASTPKLSGKAKKETSKTGKLKQETTSKTSAASSKGAKSTKSGGGGKSNANGTSKVKFKLLEDSDDDNISEDSTKEVVVEDTKAKKSSSLKAGGGSEVKIGKKRARN >OIW03802 pep chromosome:LupAngTanjil_v1.0:LG10:1654660:1656963:-1 gene:TanjilG_30078 transcript:OIW03802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTVQKTPRSGRQSLFFKDLASPVSTRRGKFSSPGQAAPASTPWRENFGGSDLPPPPFYTLEDRSDFSPESGIPDYLVSPETKSDPRSPIQSSNTEFSTPLKSKSEASTSYALRGVQQNHQDSPGLNWWSPVPAKSGSEQDDKGTSSPVEGVVQPGALITLPPPLEVARPEVQRNSFPAGNLNEEEWVTVYGFSPYDTNLVLREFEKCGEILKHVPGPRDANWIHILYQNRSDAQKALNKNGMQINGVLIVGVKPLDPMQRQSLNERQNNQEFMPLPLFSARISETNTLSASSRPYYLQNGNSAVRQAGGTIASPTKSLVSKVMDLMFGV >OIW03296 pep chromosome:LupAngTanjil_v1.0:LG10:9698822:9699250:-1 gene:TanjilG_09943 transcript:OIW03296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSGFEAGDIIVVGELSTLPSDEHATIESFMGLSLREISSREPYLAFSILFLCLRVLLFVFPKIMLRLQAFWFSYVPQLSLQIFGETSQVIERVLNLIDVRRIWTKLRLCKTQNFHERARSARVWASSLASVSLGKSPSTS >OIW03869 pep chromosome:LupAngTanjil_v1.0:LG10:1211218:1212684:-1 gene:TanjilG_30145 transcript:OIW03869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHRNDTNYSAIPISSYLELQPSKNDSPKSLHKQKVSFEESGFLGSKDGDHDDDDDFEIDIDNYPLVVVGGNNQGSGVPGAVFNLTTTIIGAGIMALPATMKVLGVVLGIVLIILMGILSEVSVELLVRFSVMLKATSYGEVVQHAMGKPARILSEICIIVNNAGVMVVYLIIMGDVMSGSMHHLGVFDQLMGNGFWDHRKIVVLVVMVVFLAPLCSLDKIDSLSLTSAASVALAVVFVVVAFTIAFIKLVEGRIDTPRMFPDFSSKKAILDLLVVIPIMTNAYVCHFNVQPIYNELQGRSPQKMNRVGRITTILCILVYASTALSGYLLFGNDTESDVLTNFDQDLGIRFSSILNYIVRVGYILHLILVFPVIHFSLRQTVDALVFEGSPPLSESRKRSLGLTAVLLVLIYIGSTMIPNIWTAFKFTGATTAVSLGFIFPSLIALRLSHHGGLSHGEWFLSWLMLVLAVSVSIVGVIGNVYSMQSNS >OIW02974 pep chromosome:LupAngTanjil_v1.0:LG10:16010648:16022710:-1 gene:TanjilG_13611 transcript:OIW02974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTCASPPSSMASITFSSLCFFHKPNSLTATFTGSDKLTKNRRSSGISICRAATSVAFRNLDADDFRHPLDKQNTLILRAIPGLNELGKALLGTVAEQVMLLENIGTSVLVSKNQLPDLHHLMAEAAEILNVDVPDLYVRQSPVPNAYTLAISGKQPFVVIHTSLVELLTRAELQAVLAHELGHLKCDHGVWLTYANILTLGAYTVPGVGGMIARTLEEQLFRWLRAAELTCDRAALLVAQDPKVVISVLMKLAGGCPSMADQLNVDAFLEQARSYEKAASSPVGWYLRNAQTRQLSHPLPVLRASEIDECYSKVQDGEQGSLLLKSNLIVPQEPFNAEPPRSALISSYLTLSDFFYKRNHGPVPIVDDIERYCVSISGLVDNPKKLFMDDIRKLPKYNVTATLQCAGNRRTAMSKTKTVKGVGWDVSAIGNAVWGGAKLADVLELLGISKLTAISKLNGKHVEFVSVDKCKEENGGPYKASIPLIQATNPEADVVLAYEMNGEPLTRDHGYPLRVVVPGVIGARSVKWLEAINITAQECQGFFMQKDYKMFPPSVNWDNINWSTRRPQMDFPVQCVICSLDDVTTIKPGKVKISGYAASGGGRGIERVDVSIDGGKSWLEASRFQKSGIPYISDHDNSDKWAWVLFEVTAHILHTTEVIAKAVDSAANIQPEKVEDIWNLRGILNTSWHRIQVQVAH >OIW03666 pep chromosome:LupAngTanjil_v1.0:LG10:2777697:2782871:1 gene:TanjilG_30730 transcript:OIW03666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVGTSPPPEVKHGVNFSTQRGFSKKLKSGFKETLFPDDPFRQFKNEDKPSRRFIKGVQYFIPIFEWLPSYTWKLFSSDLIAGITITSLAIPQGISYAKLANLPPLVGLYSSFVPPLVYAIMGSSRHMAVGTIAAASLLVGQSISSVCDPEKEPTLYLHLIFTTTFVTGIFQAALGVFRLGILVDFFSHSTITGFMGGTAIILILQQLKGIFGMKHFSAKTNVVDVIKGIIDNKQEIRWETTVLGVIFILFLQFTVFLRNKRPKLFWVSAIAPMCVVVVAAVFVYLVKGQNHGIQIVGHLDKGLNPLSIKYLNFDSKYLSAVIQAGLITGILSLAEGIAIGRSFSVTENTPHDGNKEMIAFGLMNTIGSFTSCYLTSGPFSKTAVNYNAGCKTAMTNIVQSILMALTLLFLAPLFGHTPLVALSAIIISAMLGLIKYEEAIHLFKVDKFDFVICMAAFFGVAFLSMDYGLLMSVGLGLIRALLYVARPAPCKLGKISDLGLYRDVEQYDTTKFPGVLIVQLGSPIYFANAIYVKERIMRYIQSEQDGSGDVVEYVILDLSGVTSIDMTGIEGLLETNRTLEKNGIQMSLVNPRLEVMEKLITSKFVDKIGKESFYLTLDDAVAASQYKLNIVKTNGVYDESVDESNHHD >OIW03616 pep chromosome:LupAngTanjil_v1.0:LG10:3435284:3437973:-1 gene:TanjilG_06125 transcript:OIW03616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKSNEKNYERQHKDEELEQEQEGEQENNEILKRRISSHPLYGLLVETHLECLKVGDISNLDSVLKIDHEMQSMKKQNLGMFSQTELDLFMEAYCMALGQLKEAIEEPQKKSMAFINNMHSQLRELTMVTMHAPADATSSSECKFRRNPTI >OIW03560 pep chromosome:LupAngTanjil_v1.0:LG10:3911190:3914170:-1 gene:TanjilG_30980 transcript:OIW03560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRENISETWLVMITVILATAIFAKVIQVLLRKEYRSKSRLPPGRRGLPLIGDSINWYNAVASSHPPQFVEKMVQSLFGKWAVVSADPIFNRFVMQNENKLFKSSYPKSFRDLVGKDGVITVQGEQQRKLHGIASNMMRLEKLKFHFMNDIQKVMLQTLSNFNNNQVILLQDVCRKVAINLMVNQLLGVSSESQINEMVQLFSDFVDGCLSIPINIQGFAYHTAMKAREKIIGKINKTIEVYRKNGAPMVDNGVLGRLLEEESLPDDAIADFIINLLFAGNETTTKTMLFAVYFLTQCPRAMKQLLDEQDSLKNDSGEEGLTWQDYKAMPFTQCVIDETLRLGGIAIWLMREAKQDIQYQAVHLDENVYNAALSFNPWRWMEPENEEKRNWRTSPFYAPFGGGARFCPGAELARLQIALFLHYFVTTYRWTQIKEDNMSFFPSARLVNGFEIRLTRREEHETN >OIW02996 pep chromosome:LupAngTanjil_v1.0:LG10:15827761:15832617:1 gene:TanjilG_13633 transcript:OIW02996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVFSAKQVFPVDYESHVSQLLLQASHSGDLTSVFHCISDPSVDVNFSGAVTLKTRNTDLVLFNETATQVCVQFQEFVTDVTPLFLAVHAGNANLVRKLLSIGADVNQKLFRGFATTVAVREGHLNILEILLKAGASQPACEEALLEASCHGQAGCAELLMSSDLIRPHITVHALMTACCRGFVDVIQTLIKCGVDANATDRVLLQSLKPSLHTNVDCTPLVAAVIHRQVPVVGLLLQSSARIDSEVRLGAWSWDISTGEELRVGAGLGEPYGITWCAVEYFERSGAILRLLLQHASSNTPHCGRTLLHHAILCGNVEAVRTLLECGADPESPVKTTSKTEFLPIHMASRLGLPLIIQCLIDFGCELNSITNSGDTSVMICAKYKQEECLKVLAMAGADFGLVNIAGQSASSIAESNKWSLGFQQAVLDTIREGKIPKSSNTSAFLPLMFIAQAGDTEALKTVIESGEFDLDYQDDSGFSAVMLTALKGHVESFRLLVYAGADVNLYNKSGETAVTLSELNQNSDMFEKVMLEFALERDNRNASGFYALHCAARRGDLGAVKLLTSKGYDVNVPDGEGYTPLMLAAREGHGSICEHLISYGAHCNVKNARGETALLLARKSAAGNNNAEAVILDELARKLAFRGACVQKHTKGGKGKPHKKQLRMLDPSGVLCWGKSSRRNVMCCEAELGPSSTLRRNRYKKGDADEPGMFRVLTNKNKEVHFVCDGGLEVAELWVRGIKLVTKVAIFGKQKINLGKH >OIW03530 pep chromosome:LupAngTanjil_v1.0:LG10:4272482:4277599:-1 gene:TanjilG_30950 transcript:OIW03530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRRWPWKKKSSSDKGVLDKVAAELDSATVSSTQSNHQETYKKPNYVKISVETYTHLAGLEDQVKTYEEKVQTYEEKVQTYEENVQTYEEKVQTYEEKVQELEDEITELNEKLSAANLEINTKETLVKQHAKVAEEAVSGWEKAEAEALSLKNHLESVTLSKLTAEDHAAQLDGALKECMRQIRNLKEEHEHKIQEVALAKTKQLDKIKGELELRIGNFEQELLRSAAENAALSRSLQERSNMLMRVNEEKAHAESEIEHLKSNIESCEREINSLKYELHLISKELEIRNEEKNMSMRSAEAANKQHVEGVKKIAKLEAECQRLRGLVRKKLPGPAALAQMKLEVENLGREYGDTRFRKSPVKPASPHLSPFPEFSLENVQKFQKDNEFLTERLYAVEEETKMLKEALAKRNSELQASRSMCAKTLSKLQILEAQVSSNQQKGSPKAMHITHESIHSQNASYVPSIMSMPEDGNDDAVSCAESWSTAVMSDLSKFPKEKSVEEPSKSEATKKLELMDDFLEVEKLARLSNDSDGDASVSVSSNNKTTEIETGDVSEVSTGKDDPSEKKSDLNPLPSQVSSGLELSATYHQSDVDGSSVSVLRSKILAVFESMAKDADIGMILEDVKHVLEDAHNSSMKHSVAVIPQDIKSSDTTCDKQDNLDGTDLNAEDELISSQQSAEHVQLTSDLEVAVSQIHNFVCFLGREAMAVHDVTSSGDGISQKIEEFSVTFDKVISNEASLLQFVLDLSIVLANANEFRFNILGYKGTEAENNSPDCIDKIALPENKLVHDSLPGERYQNGHSHIINPCSDPEIPDDGNLISGYESNATSRKIRVEEFEELKLEKEKAVEDLLKCVENLEMTKSELQVTEQLLAEVKSQLASAQRSNSLAETQLKCMAESYRSLETRAQEFEAELNHLRVKTETLENELTNEKKAHEATLTKYMELEDQMQRLDTHPISYGLYESSAADNDHKTKQERDVAAAAEKLAECQETIYLLGKQLKALHPQTEPTGSLYSEKDPKVEGFTEHEPTANSPNFQEFGQVEMDNAASAFVQRPGAESPLHFTNALYSPDNEPNFPAISPVQHPHHRSTISISSSASSTPTPEKHSRGFTRFFSSKAKAGN >OIW02979 pep chromosome:LupAngTanjil_v1.0:LG10:15973722:15975752:-1 gene:TanjilG_13616 transcript:OIW02979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTLVLFLWLFVPSLGQDLLSCETTSEEVFGYNCNNGNSSQNQCETFALIFTNSYYSSLSNLTTNLGLNRFVIAEANGFSAETEFLSQGQPLLIPIDCKCKGDIFRAELSKISIKGESFYGIAKSLEGLTTCKAIRENNPSVSPWNLVDKIKLVIPLRCACPFSSQVIPQPRFMLSYPVSEGDTISNLASKFNITKEAIVSANNISSSEGFRPESLIPFTSILIPLNGNPILGPLAKPKEPNSGFSATRIPVIGPHKKSKMSKTDLYIGLGGVALGVFIASAVVFFVIRLKHKRLEESSIKEADLELEHLNLSVRTTTTNDKKVSFEGSQDTLDGKIVDSTTPRKMLLEIYTTEDMKKATEDFNSSNQIEGSVFRGRLIGKDMAIKRTKTDIASKIDLSLFHDAIHHHPNILRLLGTSLSEGPESFLVYEFAKNGSLKDWLHGGLAIKNQFISSCYCFLTWSQRLKICLDVAAALQYMHEVMNPSYVHMNVKSRNIFLDEEFGAKLGNFGMAGCVENETEDPNFYSTNPASWSIGYLAPEYVHQGIISPSIDIFAYGIVLLEVLSAQTPISRPNDKADGSVWLTDKIKSISMSKNVNELREWIDNALGENYSVDAVVSVANIARACVEEDASLRPSAREIVEKLSTLVEELPEGEQNMLMSESSSSPLVNVVGKIM >OIW03659 pep chromosome:LupAngTanjil_v1.0:LG10:2853758:2856421:1 gene:TanjilG_30723 transcript:OIW03659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPENSLLTALNAKTKGLGTETIVFAHGYGTDQSIWDKITPFFAENYRVVLFDWSFSGAVKDKSLYDPMKYSSFEAFADDLITLLAQMELKAVTFVGHSMSGMIGCLASIKRPHLFKRLILIGASPRYINTDDYEGGFTNSDIEQLLMNIKSNYENWVSAFSLLVVDPNDGLSVNKFEECLNRMGVEVAVSLAKTVFCSDYRDILDKVETPCIIIQTSNDIVVPNNVALYMEKNIAGRVTSKVLDAYGHFPQLTAHHKLVEMLTSALGL >OIW03828 pep chromosome:LupAngTanjil_v1.0:LG10:1513362:1514891:-1 gene:TanjilG_30104 transcript:OIW03828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSSSNFSLPCNTLSPRQRRFSFFQTSGSRIGVGAKVLQDSESVWSPTSPLDCKIFSNLSNPFSVKSPRSRFQTVHKKQWDCSKVGLGIISSFVNETKNNDENLGKFHGKNVIFRPQVKTGIHNFSTNNHETLAPYLKSCSLPKNYVVSLPSATKNHKSEVVSIDNVSRKKELIVESEAFMSSMTSLPDSCRPSSSLINSNQTSNLEIRDLCVENSQNTSTITSLPPVTGTSLQLDYSMKTRSSSLPISIDFSKGYIGSLSARDIELSEDYTCIISHGPNPKRTHIFGDCILECQKSDFSEFLKKEEPAFGSSKVSTISEESALYPSDQVLSSCYSCNKKLEKEEDICIIRGEKLFCGFNRRSEEIFADEELDKTYANSAESSPDSSYHDPFLTGLHVS >OIW03773 pep chromosome:LupAngTanjil_v1.0:LG10:1901436:1903060:1 gene:TanjilG_30049 transcript:OIW03773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMELLQVQAEGEDGSKFAFTLRSDNGEEGKSFFGRDHGFNTNDRTVSRRHVLFQFNSESESRVSFQVIGKNPIWVLSTNNDGTLRIFRKFEKGQLQFGDRFCLSGKVPIWFNLKKIELQEGTENDDEIDVSGIDVVKEFGFLLMGQEFDRYPKSMIRNVKNWQWFLEEPLKDSDNEEDYGKERREMKRKRKNGKDNVEDDEWTGESEDDKDIVAKVRKGKRPGYSTRSKDCKGPNRGTKGSINSKPKKATSVNETVEEEEEDDDDETLGGFIVDDADDQEEEKFDEEEEFEDDDDVDGVED >OIW03421 pep chromosome:LupAngTanjil_v1.0:LG10:5655932:5658784:1 gene:TanjilG_12018 transcript:OIW03421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWWKKKDSTHKVVVGGGGGTPVVVKMENPNFSMLELQSLSDEDEDFLISTSERNNNNNNKRRRNKNAKQLTWVLLLKAHRAAGCLTSLPPALFKLVSAIKRRVALGKTDTHSNNKTRFYSCIKLFLFLSLLLLCFEVAAYYDYKGLNFSALNLKGMFDWVYSIWVFVRVEYLAPPLQFLANVCIVLFIVQSLDRLVLCLGCFWIKFKKIRPVAKVSDADLESGDKGFFPMVLVQIPMCNEREVYQQSIAAVCNLDWPKSKLLIQVLDDSDDITTQSLINEEVQKWQQEGANILYRHRVIRDGYKAGNLKSAMNCGYVKDYEFVAIFDADFQPTPDFLKRTVPHFKDNDELGLVQARWSFVNKDENLLTRLQNINLAFHFEVEQQVNGVFLNFFGFNGTAGVWRIKTLEDAGGWLERTTVEDMDIAVRAHLHGWKFIFLNDVECQCELPESYEAYRKQQHRWHSGPMQLFRLCLPDIIRAKISIWKKFNMIFLFFLLRKLILPFYSFTLFCIILPMTMFVPEAELPAWVVCYIPATTSFLNILPALKSFPFIVPYLLFENTMSVTKFNAMISGLFQLGSAYEWVVTKKSGRSSEGDLVSLINEKSPKHQRGVSAPDLEEMKDEIQRQEKKASKKKKHNRIYMKELALAFLLLTASARSLLSAQGIHFYFLLFQGISFLLVGLDLIGEQLD >OIW03176 pep chromosome:LupAngTanjil_v1.0:LG10:12858225:12860042:1 gene:TanjilG_11813 transcript:OIW03176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPRFLKSLDSNSVSFFFTNFPDSHGKVDMWKFFSNWGLVGDLFIPQKCDKRGRRFGFVRFKMVEDWYKLKLQLSNILIGLHKIVVNTPRFQRNGSKSGVNNDPSSVIPGTVKRVAILGRGGFIPFGNASNWKQALLNGAQSIPSSSRDLSEGSLGSFVKLDVGTRAMARGCCHSRFLSRKNQMVEVPRMSDLESLQDRNSCWGVHNLMEVVDDDVVESFVNETNWVGDSVPNHTPNLKGFIDSVPLPGRGGTIASLPVAKSVGVGQKSCVQSVRKSKPKSKGLNVQLEGGSPLGLPWCIPASMEGNNSNLFGKSLFEVGSTSKVNVIDRSKEGVLGCREGVSVSNAAVSHMGKLKPLFDAHRPLRRQILAKKKISSSLRVESIS >OIW03587 pep chromosome:LupAngTanjil_v1.0:LG10:3601236:3613517:1 gene:TanjilG_05131 transcript:OIW03587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFKGRFFSSKKSDSSNSSPDASSTNNSPRSFTSNSPPAKTLPTAAAGAASSRRTLLKDGAWNKDVIRGKETQNQVMESRSKKLTAATAEGKESSPSLSFSPILASSLGLNKIKTRSGPLPREGFFGFRSDDKLGASNLSRNDGKKKENRVGFQESGASVGDCDHMPTGSVHFREQSPIVSPPQGSELSAEAEAGKQASSMAQNGGLGSADVCTPELLHSVYSFIMEEILFFPQTTYDYENPKESESPRFQAILRVTSAPRKRFPADIKSFSHELNSKGVRPFPFWKPRGLNNLQEILVVIKAKFDKAKEEVNSDLAVFAADLVGILEKNADILPDWQVTIEDLLILARRCSMTSSGEFWLQCEGIVQDLDDMPREGFFGFRSDDKLGASNLSRNDGKKKENRVGFQESGASVGDCDHMPTGSVHFREQSPIVSPPQGSELSAEAEAGKQASSMAQNGGLGSADVCTPETTYDYENPKESESPRFQAILRVTSAPRKRFPADIKSFSHELNSKGVRPFPFWKPRGLNNLQEILVVIKAKFDKAKEEVNSDLAVFAADLVGILEKNADILPDWQVTIEDLLILARRCSMTSSGEFWLQCEGIVQDLDDRRQEHPPGMLKQLHTRMLFILTRCTRLLQFHKESGLAEDEHVFNLHQSRVLHSAERGIPPGLGRDAKSSSAARALKTPTKKAYSQEQSSALGWKKDVVQPKKLSLPAADETSKHSKSPSGRNRMASWKKFPSPSGKSPKEAVQLTDQNNRRLEPSKTPNNNRCTSDVDLSAAKPSEVLPIKDFQDHGPKHQRKVSWGYWGDQQKNNEESSIICRICEEEVPTSHLEDHSRTCAVADRCDRKGLSVNERLVRIAETLEKIMELCTQKDTQQIVGSPDVAKVSNSSMTEESEILSPKLSDWSHRGSEDMVDCFPESDNLVCMDGLSLISCKTRLGPKSDQGMTTSSGGSMTPRSPLMTPRTSQFDLLLAGKGAYSEHDDLPQMNDLADIARCVASTHLDDDRSTSYLLTCLDDLRAVVERRKFNALTVETFGTRIEKLIREKYLQLTEMVDVEKVDIESTVIDDDVLMEDDVVRSLRTTPVHSSRDRTSIDDFEIIKPISRGAFGRVFLAKKRTTGDLFAIKVLRKADMVRKNAVESILAERDILITVRNPFVVRFFYSFTCRENLYLVMEYLNGGDLYSLLRNLGCLDEEVVRVYIAEVVLALEYLHSLRVVHRDLKPDNLLIAHDGHIKLTDFGLSKVGLINSTDDLSGPAVRGTSLLGEDEPHFPTSEHKRELRKKRSAVGTPDYLAPEILLGTGHGYTADWWSVGVILFELLVGLPPFNAEHPQSIFDNILNRKIPWPAVPDEMSPEAQDLIDRLLTEDPDLRLGARGASEVKQHVFFKDINWDTLARQKAAFVPASESALDTSYFTSRYSWNTSEGLVYPTSDAEDSSDADSSSGSSGCLSNRHDEVGDECGGLAEFDSGPSVNYSFSNFSFKVLTLQLKTTPCARRTLQNLLTHSPCTTSLGSPFEESEVES >OIW03915 pep chromosome:LupAngTanjil_v1.0:LG10:920119:923183:-1 gene:TanjilG_30191 transcript:OIW03915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGGLKVYYVPWRPFIMQNTFPTIYGLLPIMRTILIRERITIVHGHQAFSTLCHEALMHARTMGYKVVFTDHSLYGFADAGSIHMNKVLQFTLADVSQAICVSHTSKENTVLRSGLPPQKVFVIPNAVDTSMFKPAVERPSRSEIVIVVISRLVYRKGTDLLVEVIPEVCRLNPNVRFIIGGDGPKRVRLEEMREKHSLQDRVEMLGAVPHAQVRPVLVSGHIFLNSSLTEAFCIAILEAASCGLLTVSTRVGGVPEVLPDDMIVLAEPDPSDMVHAVQKAISILPSIDPQVMHNRMKELYNWQDVAKRTEIVYDHALKCSNQSTLERLSRYLSCGPWAGKVFCFVMMVGFLLWQLLELWQPADDIEEVPDVSFSHNCDEEMLQKNQWNEEE >OIW03598 pep chromosome:LupAngTanjil_v1.0:LG10:3221283:3221699:-1 gene:TanjilG_06107 transcript:OIW03598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVQQPHTPASNTNPVALEDATAFIYHRLLTKGPDSTSKVVGKAQGFIIPVEQFEHSSFNVIYLTFDTAEHSGSLSVQAKEVEENDRDELRVVGGTGSFAFARGRAMFTQIDKKQSEVAVSYHVKFQLEFPNHSRKLP >OIW03619 pep chromosome:LupAngTanjil_v1.0:LG10:3469638:3470823:1 gene:TanjilG_06128 transcript:OIW03619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLFLSRNLASHFLYPFLFSKKFPEDLFPKQLENMMCLVSSRTGRHLQRYDKGHRLVVGCIPYRYKSNGSQDKEIEVLVISAQKGQGMQFPKGGWESDESMEQAALRETVEEAGVVGKVESKLGKWFYKSKSQAIMHEGYMFPLLVKKQLDNWPEMNFRKRRWMTVPEAKEICPHTWMKEALDVLVSRQIQPQPKL >OIW03398 pep chromosome:LupAngTanjil_v1.0:LG10:6693696:6694892:-1 gene:TanjilG_31845 transcript:OIW03398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQLKYQLDQYIVTSYADQELSPITDGRTLQLLSNVQTNEAELLASNDVLVYLKTSSDPAQLVLDIIRNPISPWREKGCNGLTIASVQIILLEQLMRLSPQIKPRVRDEAMKLARDLEADIRSSTDNSLLVLSFLLPLSIYGLASDFNEDKVLKLFEIAAQHKQALELFRTLGFADKVSDFVQNLIKKQKHIEAVGFICAYNLVEKNRPVDLLRQYLWNSRMVCERSCKEAKSLELKVKAIDQENASLDAVLQCILDNNIEPQDLKKEIQDRIIKLHAEANRSVYTVTRACLQKRSNLH >OIW03813 pep chromosome:LupAngTanjil_v1.0:LG10:1586030:1587348:-1 gene:TanjilG_30089 transcript:OIW03813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESIGVLMTCPIYGYLEEELAKRFRLFNPSNISEENVNSIRAVVCNTKISVDSVLIDSLPKLEIVSTFSVGYDKIDIAKCREKNIRVTNTPDVLSEDVADTAIGLALAVLRKICVSDQYVRNGHWIKSDFPLTTKFSGKAVGIVGLGRIGSAIAKRAAAFGCPISYYSRSEKTESGYKYYPNILDLASNSEVLFVACTLTKETYHIVNRQVIDALGPKGVIINIGRGQHIDQPELVSALSEGRLGGAGLDVFENEPEVPEQLLGLENVVLSPHAASDTVETCNAMADLVLSNLEAHFLNKPLLTPVV >OIW03669 pep chromosome:LupAngTanjil_v1.0:LG10:2747579:2754789:1 gene:TanjilG_30733 transcript:OIW03669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGEDDDIHQNKDTTKSKRKQLGLSCMLNTEVGAVLAVIRRGPEFNPVYNISASEESYDSSLMSSLRSLRSLIFNPQQEWRTIDPSIYLSPFLDVIQSDDVPASATAGAKDAMESIVSGITSCRLEKTDPVSEDAVMMKILQVLAGIMNHKASTLLNDQAVCTLVNTCFQVVQQSVSRGDLLQRSARYTMHELIQVVFSRLPEIEARDGGSEGDSESDTDDDDGGSLENGYGVRCAIDIFHFLCSLLNVVSVVETDGATSHTADEDVQIFALLLINSAIELSGDEIGKHPKLLRMVQDDLFHHLIYYGSWSSSFVLSMICSTVLNAYHFLRRFIRFQLAAFFGYVLIRIATSGSTVHLQEVAVEGIINFCRQPTFIVEVYVNYDCDPCCRDVFEEVGRVLCKHSFAGNGPLTSLQMQAFEGLLITIHNIADNIDKDYSSLLGPCSVQITEYSPFWEEKDKEDDLEGWVEHVRVSKMQKKKLNIAANHFNRDNKKGLEYLKHAKLISDPPDPKSYAYFFRFTPGLDKKTIGEYLGDPDDFYLQVLKEFTETFHFNGMVLDTALRFYLESFWLPGESQKIQRILEAFAERFFDQQSSDMFASKDTVLILCYSLIMLNTDQHNPQVKKKMNEEDFIRNNRAINAGQDLPREYLSELFQSISNYAIAIEHKSTTVSLDMNPSRWIQLINRSKVTQSFIQCDFDRRICRDMFACIAGPSMAALSSFFEHAEEEELLHECIEGLFSIARIAQYGLEDTLDELITSFCKFTTLLNPYASTEETMFTFSHDLKPRMATVAVFTIANYFRDSIRGGWKNIIDCLLKLKRLKLLPQSVIDFDASMDDPTTPESGAISPSDGNKFGSHRMSIIMDRFTHLSSDNMEDGITLGSEFERNLKMIKLCKIGSIFSGSSNIPKDSLQNLGRSLIFSAAGKGQKFSTPVEEEETVGFCWDLITAIALANLHRFHIFWPNFHEYLLAVSQFPMFSPIPFAEKAILALLKICSRLFSTPRDDKLAEELIFKSITLMWKLDKEILDMCHEIISQTIRKIVIEYPANLQTQFAWKSILNLLSVAWRHPETYDLGIEALVALFSDGTHVSRTNYPYCIDCAFGCFLAKNSPVEKKKKILDLLADSTNLLIQWHRTQYSDPGSNVSTTSNTSSSSMEDNSRGPASANYIMNLFVKLGEAFRRTSLSRQEEIRNHAVYSLHKSFNLAEEMLYISSNCINYFNLVIFAMVDELHEKMLEYSRRENAEREMRSMEGTLKLAMELLTDMYLQSLRQISESPGFRSFWLGILRRMDTCMKADLGHYGPSSVNEIIPDLLKKIITQMKDEEILVNLYGANTPGANTPGANTPGANTPGANTPGANTPGANTPGANTPGANTPGANTPGANTPGANTPGANTPGANTPGANTPGANTPGANTPGANTPGANFPISRNLFSNCEY >OIW03667 pep chromosome:LupAngTanjil_v1.0:LG10:2758875:2759933:-1 gene:TanjilG_30731 transcript:OIW03667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDMEDDARYPPKSFSLNRQNPSHRQKHPIRGTPYHHHRPIPTRYVEEPDEDEEPEEFDNYDAGEDEFDQEENGYGGNFENDDGFGRNSNKKRKVRGGPSVSNYELAPHMKTSYNRSSEDDWTEHATFVLLEVWGDKFLQLGRNSLRSDDWNDVAEKVSEELKVERNVFQCRNMLDKLKRRYKKEKARIDEMCLNTSKWAYFKKMDMLMASSARQEYGLACGVDSGEYVFMNTRVYLNKSNGFDEMRDSPGESETDEDNDDSKTAVKVGGDEDDETSYRVLADSIQKFGKIYEKIESSKREQMMELEKMRLDFNRELELQKKQILERAQAEIAKIQEVDEDDTETSTENLSE >OIW03967 pep chromosome:LupAngTanjil_v1.0:LG10:555473:558238:-1 gene:TanjilG_30243 transcript:OIW03967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNTPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDALMKILTERGYSFTTTAEREIVRDVKEKLAYIALDYEQELETSKTSSAVEKSYELPDGQVITIGAERFRCPEVLFQPSMIGMEAVGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPSIVHRKCF >OIW03730 pep chromosome:LupAngTanjil_v1.0:LG10:2235564:2237923:-1 gene:TanjilG_30006 transcript:OIW03730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESDLEQQQHQVNNSSSGLTRYKSAPSSYFTNIIDKDFYEHIFNHPSSPETEQIFSRFMNSIGGSDVIEEVSLTQSFSPVKEEIIINQKVNDEPVVWKEQKQHSDIKSNNYSPAAPTHGFYQSSAKPPLPNQNLNSAHAGIRGMRNLGAAGSNTMEEAKFSISRRLKKQPNPSSGLMSAIAEIEGKGNRENNPDNEGFAESNDNDYITGFCVDPWDDSAIMLNNVTCLKRHREDDSKLFSSLNAAETKNEKGQTHLVHQLSMPNTSSEMAAIEKFLQFSDSVPCKTRAKRGCATHPRSIAERVRRTKISERMRKLQDLVPNMDKQTNTADMLDLAVHYIKDLQKEVQNVSLSVPVHKSRINNMKEGKIRLV >OIW03720 pep chromosome:LupAngTanjil_v1.0:LG10:2324304:2326757:-1 gene:TanjilG_29755 transcript:OIW03720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVDLQHNRDTSQFQYHDVLSHSQHHNNNNSNKGSYLGALLRSTEVQKKDSEEDLIAELSLHMDRLMLQEDDKFDFSTNDSHNLELSWDLIGSPQSTVWSTLGSNQGSSEGSTSQEPSPPVTPSWKSTHEMMKLEENGNSKYHQIQTLKTNKSNIDLSSHKSLVQEQIRAIELSKVKQDQHVVPLMQKQSAHGESEIQISIKKSEKKEKVVGNGRNSYRPPRPAPLALKHILNQQQNGSGMRAIFIGGSGSTSVGTGVFFPRSGTTNLPSQSITKKQGKGCATVLIPSRVVQALQLHFNQMSTTSKSKSGAFPPLHDVLVNDMGGIYSFQKQQSEEMPENIMQNETILPQEWTY >OIW03497 pep chromosome:LupAngTanjil_v1.0:LG10:4743646:4745916:1 gene:TanjilG_31010 transcript:OIW03497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPFRTIVYELKDIGEGIGNMYRKGAESKHMHWHGKSHIAPECSLPPPPSPSSFSTVVSEQSRWANLPPELLLDIVQRVEASETSWPARRVVVACASVCRSWREITYSVVKTPEQCSWLTFPISLKQPGPRDAPIQCFIKRERVTSTYRLYLGLSPALTCDMSKLLLAAKKIRRATCTEFLLSLVPDDFSRDSNTYIGKLRSNFLGTKFTIMDGEPPHDSAVPLNCKLQQRDHLKPLLPRVAAANYNVGTISYELNVLRTRGPRRMCCTMHLIPISAIQEGGTAPTPSKFTNCYNEHASTTPISKGKKPRVEFGSAGTDDSPGSTPSAREPLILKNKAPRWHEQLQCWCLNFKGRVTVASVKNFQLVAAAEPSQNVAVAEQEKVILQFGKIGKDIFTMDYRYPLSAFQAFAICLSSFDTKPACE >OIW03332 pep chromosome:LupAngTanjil_v1.0:LG10:8739562:8741281:1 gene:TanjilG_03441 transcript:OIW03332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVFAKTTFASSSSWCGNRQHNSQKVISMVPSTCSFSSDARSFPSLKLKSQVLRSSSFSSEFGGKKLVFCVNSAIPNKLNSQFQTSIVSQMTLRIGKSQKWWEKGLQSNMREVTSAQDLVDSLVTAGDKLVIVDFFSPGCGGCKALHPKICQLAEMNPDVQFLQVNYEEHKSMCYSLNVHVLPFFRFYRGAYGRLCSFSCTNATIKKFKDALAKHTPDRCSLGPTKGLEEKELLALSANKDLSFTYNPKPVEPALAPHSSESLPFPALTADSENTRERIMITAWR >OIW03350 pep chromosome:LupAngTanjil_v1.0:LG10:7701515:7703689:-1 gene:TanjilG_29335 transcript:OIW03350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTSSDPTQDTSDEQQKRSEIYTYEAPWHIYAMNWSVRRDKKYRLAISSLLEQYPNHVEIVQLDDSTGEIKSDPNLSFEHPYPPTKAIFIPDRECSHPDLLATSSDFLRIWRLPDPDSASPVQKDRVELKSVLNGNKNSDYCGPITSFDWNEAEPKRIGTSSIDTTCTIWDIEKETVDTQLIAHDKEVYDIAWGGVGVFASVSADGSVRVFDLRDKEHSTIIYESSDPDTPLVRLGWNKQDPRYMATIIMDSAKVVVLDIRFPTLPVVELKRHQANVNAVAWAPHSSCHICTAGDDSQALIWDLSSMSEPVEGGLDPILAYTAGAEIEQLQWSSSQPDWVAIAFSTKLQILRV >OIW03486 pep chromosome:LupAngTanjil_v1.0:LG10:4866977:4869255:-1 gene:TanjilG_14711 transcript:OIW03486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFHLWTNSQQDQESKELYAESKIKELKGAIGPLSGHSLKYCTDDCLRRYLEARNWNVDKSKKMLEDTLKWRSNYKPEQIRWDEVAMEGETGKLYRANFHDRQGRTVLILRPGMQNTSSMENQMRHLVYLLENAMLNLSQGQEQMAWLIDFTGWSITNTPIKSARETINILQNHYPERLGIAFLYNPPRVFEAFWKIVKYFLDNKTFQKVKFVYPKNKDSVELMKSYFDEENLPKELGGKSILTYNHEDFSRLMAQDDLKCAAFWESDNNVSNHII >OIW03400 pep chromosome:LupAngTanjil_v1.0:LG10:6168848:6181818:-1 gene:TanjilG_11997 transcript:OIW03400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSSNSACDFHLVLASILFLLFHVLLLLPHSSLAIRPAESDLVQLVNATESTNVSKSNSFADMIDRALENEFPENDQNEVPEAGGFNNSVAEQQAVLETVARVKSKKNDTKDDKSFQLHDVFNSDNGAEETPKLIDRKDNVFIISNFKSKYPVLQLDAQLISDLVVAIVSATCGGVAFAFAGQPVITGYLLAGSIVGPGGFNFISEMVQVETVAQFGVIFLLFALGLEFSATKLRVVRSVAVLGGLLQIFLFMCMCGLTVSLCGGNASEGVFVGAFLSMSSTAVVLKYLMEKNTTNALHGQVTIGTLILQDCAVGLLFALLPVLGGTSGVFQGVLSMTKLLVTLIAFLSVLSILSRTCLPWLLKLMISLSSQTNELYQLASVAFCLIVAWCSDKLGLSLELGSFAAGVMIATTDLAQHTLEQIEPIRNLFAALFLASIGMLIHVHFLWNHVDILVASVILVIVIKTIIIASVVKGFGYNNKTSILVGMSLAQIGEFAFVLLSRASNLKLVEGKLYLLLLGTTALSLVTTPLLFKLIPAVVHLGVLLRWFSPDSLVEVLKVQLHFNRKGRRKKNLTPLVKYPKDAEKSLLSVQSGLTRSQVSNWFINARVRLWKPMIEEMYAEMDRRKACRVRGNEEQP >OIW03101 pep chromosome:LupAngTanjil_v1.0:LG10:14088313:14091263:1 gene:TanjilG_07253 transcript:OIW03101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLGNLFLTGLITFSVALITYNIIISSNATLKQDFPKPYSLQTKTSSSSNNNNNKRKLLFHTAVTSADSVYNTWQCRVMYYWFKKFKEMGNHDHDDADAESGMGGFTRILHSGKPDQFMDEIPTFVADPLPSGMDQGYVVLNRPWAFVQWLQQADIKEDYILMSEPDHIIVKPIPNLATKEHGAAFPFFYIEPKKYENVLRKYFSEDKGPITNIDPIGNSPVIVSKESLKRIAPTWMNVSLAMKKDPKTDEAFGWVLEMYAYAVASALEGVPNTLYKDFMIQPPWDEEIGKTYIIHYTYGCDYTMKGESTYGKIGEWRFDKRSYEDVVPPKNLTLPPPGVPESVVTLVKMVNEATANIPNW >OIW03014 pep chromosome:LupAngTanjil_v1.0:LG10:15687953:15688345:1 gene:TanjilG_13651 transcript:OIW03014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSIHRYQTGKTRYAHYRQSDVLLSDAGWHCSFCFRYINDFIFKMKAYSHNDRVRFTHYLNPDRIQNVICKGDDLFDMLPEEYTFKEIIGKMGSIPHSYSAVHLPAYLLNNADRYRFLLPGNCKRESSG >OIW03844 pep chromosome:LupAngTanjil_v1.0:LG10:1384711:1387976:-1 gene:TanjilG_30120 transcript:OIW03844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLKTPLKGVVNDIRGRAVHYKEDWTSAFFSGIGILAPTTYIFFASALPVIAFGAQLSRETDGSLSTVETLASTAICGIIHSILGGQPLLIVGVAEPTIIMYTYLYNFAKDKDGLGRELFLAWAGWVCVWTALLLFLLSIFNVGNIINRFTRIAGELFGMLITVLFIQQAIKGMVSEFKVPKEEDTTLEKYQFHWLYANGLLGIIFTFGLLYTALRSRRARSWLYVTGWFRSFIADYGVPLMVVVWTLLSFATSSKVPSGVPRRLIAPLAWESTSLRHWTDMVKVSPAYIFAAFIPAVMVAGLYFFDHSVASQMAQQKEFNLKKPSAYHYDILLLGFMTLLCGLIGLPPSNGVLPQSPMHTKSLAVLKKQLISRRMVKSAKESIKRNASNSEIYGNMQAVFIEMDSSTDSNSVVKELENLKEVILNAEEKGDNNKSTFDPEKHIDAHLPVRVNEQRVSNLLQSLLVGASVFAMPAIKKIPTSVLWGYFAYMAIDSLPGNQFWERILLLLVAPSRWYKLLEGDHASFVELVPFKYIVTFTLFQCVYFLICFGITWIPIAGILFPLPFFLLITLRQHILPKFFKPHHLRELDAAEYEEIVGAPRLSLSLSFRDVESAGVGSREMGNGEILDELTTNRGEFKVRTVSFSEERKNQVYPHENGR >OIW03645 pep chromosome:LupAngTanjil_v1.0:LG10:3015574:3016368:1 gene:TanjilG_22302 transcript:OIW03645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKIRIIYSDPYATDDSSEDDDNFVSNGSKRFVTEILIPFTSTKLCAHNSSKQCLSTENIKASSKPFASRRNKRSSSIYKGVHRRKWGKYVAEIKDPIQGLRVWLGTFDTEEAAAMAYKRKKIEFETTLSKRDALTLEDNNKELLCHFHHSPSSVLDVTATKASIDSDINGSVKDMSNVETNVVETVYGEDHSIQHLLEEPTMPMLLGNDFDSLLDNDGPMWDVGNGEGRIVPSIEGDFEDSELAWIDETLNNNYDDMEFSILS >OIW03662 pep chromosome:LupAngTanjil_v1.0:LG10:2829434:2829910:1 gene:TanjilG_30726 transcript:OIW03662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPQILFIFVLLLNLISISISLQDPNAKTPKSTLSLAHVELTKYGFPVGLLPATTVLGYAVNQTSGEFTVRLGGACKITLPPDNYVATYSKNINGKIVNGRMAELDGIRVRALFKWWSITGIRSSGDDIVFEVGMVTAKYPSKNFDKSPVCEGQHSSS >OIW03977 pep chromosome:LupAngTanjil_v1.0:LG10:502856:506680:1 gene:TanjilG_30253 transcript:OIW03977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNKMSNNMSSVSSSDLIDAKLEEHQLCGSKQCPGCGHNFESKQDWVGLPAGVKFDPTDQELIEHLEAKVESKNMKSHPLIDEFIPTIEGEDGICYTHPEKLPGVTRDGLSRHFFHRPSKAYTTGTRKRRKIQNECDLQGGETRWHKTGKTRPVMVNGKQKGCKKILVLYTNFGKNRKPEKTNWVMHQYHLGQNEEEKEGELVVSKIFYQTQPRQCNWSDKSATTTGEGSGEVHNKNNIGRRDSGSGSCSSSRDIVTHRDEMSAIVSVPLPITTFTHALDIQHLKSDHYSFMPFRKSFDEVGIGEASTPREVQASRSCEEIHEHQRHQLIAHNHHNQQQQQQHHQHVHHQIATSAFHINTPSHPISTLISPPLHHTSIILDNNSYHVSRIMLQQNENFQQQHHKLGARSESSLEELIMGCTSTDIKEESSITIQQEAEWLKYSSYWPDHDNPDHQS >OIW03599 pep chromosome:LupAngTanjil_v1.0:LG10:3235225:3240763:-1 gene:TanjilG_06108 transcript:OIW03599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNPGLRNFNTGHQIFGLSEPISLAGPTKNDVIKTRELEKYLRDAGLYESKQDAVCREEVLGRLDQIVKIWVKSVSRAKGYEQLVESANAMIFTSGSYRLGVHGPGADIDTLCVGPRYTSRDEDFFGALHKILAEIPEVTELHPVPDAYVPVMKFKFNGIPIDLLYARLFLWVIPEDLDLSKESILHNVDEQTVRSLNGCRVTDQVLHLVPNIQNFRTTLRCMRFWAKRRGVYSNVAGFLGGINLALLVARICQLFPNALPNMLVSRFFRVYTQWKWPNPIMLCAIEEGSLRLPVWDPRRNPKDRFHLMPIITPAYPCMNSSYNVSSSTLHIMSDEFQRGSEICMAMEACKADWDTLFEPYPFFEAYNNYLQIDIMAKNAEDLRQWKGWVGSRLRHLILRIEKHTNGVLLCHPHPGEVSDKSRPLHNCYFMGLHRNRGVPVNEGEQFDIRRTIEEFKHYVNTYNVLKPGMDICLTHVKRRNVPSFVFPDGVRPSRPSKVTWGSKRGSGLRGSASDHDQAEKSQVGEAVVFGADSGRKRKQLEDSMDNNPRNSKSSPLSREVHISASSMKFDDSEINCMDGQKSKKPCLESTGNIPCGDKKTNGSVRSNQEANHISVAADILLSRTPENLAARKIMSCPNDVQVPDELQDNIEYRNQVKDYGGDMKGSSLDSSNSKPAVAEEQVICKGTTCSNGGLEELEPAELTAQFLSGTPAPVPKQKPLIRMNFTTLGKAAEKCS >OIW03555 pep chromosome:LupAngTanjil_v1.0:LG10:3952427:3957951:1 gene:TanjilG_30975 transcript:OIW03555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTGIAFLLVILDNSSTSSARLLLNRTMVMSKEHVRRNLLDNGIGHTPPMGWNSWNHFGCNINEEIIRETADAMVSTGLAALGYQYINIDDCWGELNRDSEGNMVAKASTFPSGMKALADYVHSKGLKLGIYSDAGNLTCSQQMPGSLGHEDQDAKTFASWGIDYLKYDNCANNGISPKERYPPMSEALLNTGRPIFFSLCEWGQEDPATWGKSVGNSWRTTGDIEDNWDSMTSRADINDQWASYAGPGGWNDPDMLEVGNGGMTTEEYRAHFSIWALAKAPLLIGCDIRTLDVTTQELLNNSEVIAVNQDNLGVQGKKVKSMDGLEVWAGPLSDNKVAVVIWNRNSYTATVTASFSDIGLESGTSVDARDLWDHSTQSSVSGEISAQLDSHACKMYVLTRN >OIW03203 pep chromosome:LupAngTanjil_v1.0:LG10:12125664:12128958:1 gene:TanjilG_21835 transcript:OIW03203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSPFTTSLFTIPTSSYSTHFSSKLSHFRSFKASASDQVPATLSQNHTPRRELLKGLIALQILPLVVLRETLPSHAREVDVGSFLPPSPSDPSFVLFKASTKDTPALRAGNVQPYQFILPPTWKQLRIANILSGNYCQPKCAEPWVEVKFEDEKQGKVQIVASPLIRLTNKPNATIEDIGSPERVIASLGPFVTGNTYDPEELLETSVEKLGDQTYYKYVLETPYALTGSHNLAKATAKGNTVVLFVASANDKQWQTSQKTLKAMLDSFQV >OIW03078 pep chromosome:LupAngTanjil_v1.0:LG10:14675073:14675534:1 gene:TanjilG_19358 transcript:OIW03078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFPGAMAVRKQEPHKLRHKKTTSQETISAWQPRHSVPLRRRKVQAIRLGEKKLPRRGMVRRLVRIFGRMRLKWLKLQYVRMLKKLKDHYQNMLKELVEAGNTLETILFTESTNLIPAGGIVISSCPSRYGSNRPRIEKSLHDSNVSKSLIVT >OIW03557 pep chromosome:LupAngTanjil_v1.0:LG10:3935699:3937528:1 gene:TanjilG_30977 transcript:OIW03557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRRYGFGRVDEATHPDSMRATLAEFVSTFIFVFAGEGSGLALDKIYLDSALSAGKLLAIALAHAFALFAAVSASMHVSGGHVNPAVTFGALIGGRISVLRAIYYWIAQLLGAIVAALVLRLVTNNMRPNSFHVSPGVGAGHGLLLEIIMTFGLMYTVYATAIDPKRGTSGALAPLAIGLIVGANILVGGPFDGACMNPALAFGPSLVGWRWHYHWIYWVGPFIGAALAAIIYEYGVIPTEPPHTHQPLAPDDY >OIW03586 pep chromosome:LupAngTanjil_v1.0:LG10:3616743:3617138:-1 gene:TanjilG_05130 transcript:OIW03586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQIDQDRMHQVHTGKVHQLVHHPRSGFPHQLDMSRSTMLHQVHTGKAHQLDLSQSVMMHQMHTGKVRQLDLSRSEEAMVHQTNHNHAHLSQIHHHDESHGYKVTWANYGITHHKNLKTCDVLLYDYLKGQ >OIW03683 pep chromosome:LupAngTanjil_v1.0:LG10:2629322:2632524:1 gene:TanjilG_30747 transcript:OIW03683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRSHDKWLRSPKPPTIHGYAHSGDLIGFQRLLRDIPSLLNETNPVMAQTPLHVSAGHNRAEIVQFLLDWQGPGRVELEAKNMYGETPLHMAAKNGCSEAARLLLTHSAFVEARAYNGMTPLHLAVWHSLRADEFLTVKVLLEYNADCSAKDNEGMTPLNHLSQGPGNEKLRELLNWHLEEQRKRRAIEACGETKAKMDELEKELGYIVGLNDLKMQLRKWAKGMLLDERRRALGLHVGTRRPPHMAFLGNPGTGKTMVARILGKLLHMVGILPTDKVTEVQRTDLVGEFVGHTGPKTRKKIQEAEGGILFVDEAYRLIPMQKSDDKDYGLEALEEIMSVMDHGKIVVIFAGYHEPMNRVITSNEGFSRRVTKFFHFNDFNSKELAEILHTKMNNLSKDSLLYGFKLHPSCNIEALAALIERETTEKQRKETNGGLIDTMLVNARENLDLRLSFECIDTEELLTITLVDLEAGLQLVSQ >OIW03938 pep chromosome:LupAngTanjil_v1.0:LG10:764912:767416:1 gene:TanjilG_30214 transcript:OIW03938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANRTTLLVLVLVLCHGVAMTMGFWKKEMEKEQHWHPKPDKLFLMQHLKRMVKTDAGEMSVLESFGGRIMDRRLNIGFITMEPRSLFIPQYLDSTFIIFVRSGEAKVGFVYKSKLVEKHLKMGDVYRIPSGSAFYLVNTMEDQTLHIISSIDPAESMGISVFQSFFIGGGAQPASILSGFEPKIIETAFNVSGAELKKIFTRQHEGPIVHLDSSRPSSIWTKFLQLNEEDKLQHLKKMVEDWEEHDDDDDVDDDEEEEEQQQQTRLSWRKLLESVFRNEKKETKEKVAHKSHHSCNLYDRKPDFKNDYGWSVALDGSDYHPLKKSGIGIYHVTLSAGSMMAPHVNPRATEYGIVLKGSGRIQIIFPNGSNAMDTHIKEGDVFFVPRYFPFCQIASRNGPLEFFGFTTSARKNRPQFLVGATSLMRTMMGPELATAFGVSEDTMKRVVNAQHESVILPTPWAEQHAHNAKQKKKEGETRLPKLIRNGVIMGF >OIW02952 pep chromosome:LupAngTanjil_v1.0:LG10:16253774:16256370:1 gene:TanjilG_13589 transcript:OIW02952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSYENGFQLSLKLSEPILVTPAEETKKGKYFLSNLDQNIAVNIRTVYCFKDEDKGNEEAGEVMKNALRKVLVHYYPLAGRLNISSEGKLIVDCTGEGAMFVEAEANCSMEDIGDITKPDPGTLGKLVYHIPHAKNILQMPPLIAQVTKFKCGGFALGLCMNHCMFDGIGAMEFVNSWGELARGLPLSTPPYLDRTILKARIPPKIESLHQEFADIEDKSNTNGLYEDKMVYRSFFFDPEKLKQLKEKAMEDGSLETCTTFEVLSAFVWIARTKALKMLPDQETKLLFAVDGRAKFNPNLPKGYFGNGIVLTNSICKAGELTSKPFSFCVRLMQNAIKMVTDNYMRSAIDYFEVTRARPSLACTLLITTWSRLSFHTTDFGWGEPVLSGPVSLPEKEVILFLSHGKERRSINVLLGLPEPVMKVFQDLMQI >OIW03699 pep chromosome:LupAngTanjil_v1.0:LG10:2497309:2497935:-1 gene:TanjilG_29734 transcript:OIW03699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIEQSTHVGAKKLWKILRIMFMMLRKGIAKSKIMVDFNLLLKRGKLAAGKVIANTSILHHHYFAAFTCRRSNLSFISPRDYEFSCSNSPALSLHKHNRRHNRSLGWFSTPCQQNEVSTMDAVQKVFEMLNNENTVEASPLVALPGFGKSPIGRQLRITDSPFPLKDEGDHGQVDMEAEEFIKRFYKELNLQQKMSAIESPYHNLWNR >OIW03074 pep chromosome:LupAngTanjil_v1.0:LG10:14762114:14772380:1 gene:TanjilG_19354 transcript:OIW03074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNTNWEADKMLDVYIYDYLLKRDLKAAAQAFQAEAKVSSDPVAIDAPGGFLFEWWSVFWDIFIARSNKKHSDVAASYAETQLIKAREQQQQQQRPQPQPQQSQQQQQQNMQTQQLLLQRHAQQQQQQQHQQQLQTQQQQPPPQQQSRDRPYLLNGAMNGLDGNPSAANALATKMYEERLNLPLQRDSSDDATMKQRFGDQLMDPNHSSISGATGQPSGQVLHGVAGAMPPQVQTTGQQLPGSTLDIKSEINPVLNSRAVGPEGSSITIPGSNQGNNNNLTLKGRPITGLEQRRSGIIQQQKPFMQAPQSFHQLPMLSPQHQQQLMLAQQNMASSSPGDDSRRLRMLLNNRNMGQGRDGLSNPVGDVVSNVGSPLSGGGPPFCRGDTDMLMKLKLAQLQQHQQQQNANSQQHQQQQHGLSNPQSQTSNHNMLQDKVGGGGGSVTVDGSLSNTFRVNDQVSKTHTGRKRKQAVSSSGPANSSGTANTAGPSPGSPPSTPSIHTPGDVMSVPALNHNSVTTSKPIMMFGTDGTGTLTSPSNQLWDDKDPDLQADMDRFMDDGCLDENVESFLSHDDTGLRDTVGRGMDVSKGFTFSELNSVLASTSKVGCCHFSSDGKLLASGGHDKKVVLWHTDSLKQKTILEEHSSLITDVRFGPSMPRLATSSFDKTVRVWDVDNPGYSLRTFSGHSASVMSLDFHPNKDDLICSCDGDGEIRYWSINNGSCTRVTKGGTAQVRFQPRLGRCLAAAAENIVSILDVETQACRYSLKGHTKPIHSLCWDPSGEFLASVSEDSVRVWTFGSGSAGECVHELSCNGNKFYSCVFHPTYSSLLSMELWNMSENKTMTLSGHDGLIASLAVSTVNGLVASASHDKIVKLWK >OIW03711 pep chromosome:LupAngTanjil_v1.0:LG10:2371665:2380539:1 gene:TanjilG_29746 transcript:OIW03711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNKIARTTQVSASEYYLHELPSTYNLVLKEVLGRGRFFKSIQCKHDEGLVLVKVYFKRGDFIDLSEYERRLSLIKHIFQSIDHPHLWPFQFWQETDKAAYLLRQYFFHNLHDRLSTRPFLSFVEKKWLAFQLLLAVKQCHDKGVCHGDIKCENVLITSSNWLYLADFASFKPTYIPYDDPSDFSFFFDTGGRRLCYLAPERFYEHGGEMLVAQDSPLKPSMDIFAVGCVIAELFHEGQPLFELSQLLAYRRGQYDPSQHLEKIPDLGIRKMIQHMIQLEPESRFSAETYLREYAEVVFPTYFSPFLHDFYRCWSPLHSDMRVLLCQSAFQEILKQMMKEKSTDDVSVTSSELLEEVVNKESVSFMKDSMMKREGIGKGLVHDHYELLGDISSLLRDAKKSNVPSDPRHVTGNSHNSTFPENLNYMQSPDELLQTISNAFRGNDHPFLKNITLNDLNSFMSEYDSQSDTFGMPFLPLPKDSMRCEGMVLITSLLCSCIRNVKLPHLRRAAILLLKASAIYIDDEDRLQRVIPYVIAMLLDPAAIVRCAALETLCDILPLVRDFPPSDAKIFPEYIFPMLSMLPDDPEESVRICYASNIAKLSLTAYGFLIHSISLSEAGVLDELSSPQKPLISGSQSSGRMKRINSDVQLVQLRKSIAEVVQELVMGPKQTPNIRRALLQGIGKLCFFFGVRQSNDSLLPILPAFLNDRDEQLRTVFYEKIVYVCFFVGQRSVEEYLLPYIEQALSDTTEAVIVRAFECLAILCKSRFFRKRILLQLIESAFPLLCYPSEWVRRSAVSFIAATSESLDAVDSYVFLAPVIRPFLRRQPVSLASERALLSCLKPPVSRQVFYEVFGNSKGSDMLERQRKIWYSSSQSKLWEMDLLKKGIEELDSLKNWTDKQQDPRVQQAVGTASQQSGLTDSDKAEAKLRGMGAFMHNDTSMAGHRDPQNSEKLQFSGFMSPHFCGVNSLTYEKPSEGIPLYSFSADRRGMGVPPAASDYPLQMNSLSVNSSSMPWVNPLSKSFNLASSVPSPKLFSGSFSINNGSKQFHRVVHEPDGKEKESAYVNSTFQDMGLSANIKGTSITMEDATAQTDQSEFPSFSRTSIPDSGWRPRGVLVAHLQEHRSAVNDIAVSADHSFFVSASDDSTLKIWDSRKLEKDISFRSRLTYHLEGSRALCAAMLPGPAQVLVGASDGLIHMFSVDHISRGLGNVVEKYSGIANITKKDVKEGAILSLLNCPMDNYTIMYSTRNCGIHLWDTRSNSDSWTLKATPEEGYASSLVSGHCGNWFVTGSSRGVITLWDMRFLIPVNSWQYSLACPIEKMCLFLFPANASLSSTARPLVYVAAGCNEVSLWNAENGSCHQVLRVANYDSDAEMSDLPWALARPSSKPTSASDLRRNVNRKYGVDEINEPPSRIPGIRSLLPLPGGDLLTGGTDLKIRRWDHSSPDRSYCICGPNLKGVGNNDFYESKSSFGVQVVQSAKNSAFYYCKQETKRRPLTAKLTGKAILAAAATDSAGCHRDSIVSLASVKLNQRLLLSSGRDGAIKVWK >OIW03790 pep chromosome:LupAngTanjil_v1.0:LG10:1770584:1771777:-1 gene:TanjilG_30066 transcript:OIW03790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDLSWDASQCISNIPILWNTHEQRQDLEGGSYSMHNLSSGVFNQMQEMHKAQTTDITTSFDIIMSMIMPNTNVSATTIPVESAAGTSMELEPFTFQSCHQSLSVNVMNNYVPDFSMAHQQQQQLDHCNLWNNNNFSYGNNATAVSSGESESNASSSRDKNMQQDETVSQRSSDQYVSQAKIIDSYKVNNSTKMSNDIQYDPYFSIVQNSPANSTEVGTFKLISENQPKCKKPRWDHKHPCLSTISFQHQNSSSLSSLNEEPDPEAIAQMKEMIYRAAAFRPVNFLGMEVVEKPKRKNVRISCDPQTVAARQRRERISERIRTLQKIVPGGSKMDTASMLDEAANYLKFLRSQVKALETLGNKVDVMNSCPSTSIAFSFNPSFPMQNPTHNTQHSLR >OIW03561 pep chromosome:LupAngTanjil_v1.0:LG10:3907090:3909458:-1 gene:TanjilG_30981 transcript:OIW03561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGREVREYTNLSDPKDKKLSKGKNKIDDEDITFQRMVAKMQEVSGERGGYLHGRGALDSDDLLYLKEQMEAEEDAERLLRRTEKRAFAAFKRATSLADSSPASVPLPFRVEPRPKSGIRQQDLLKKVVEIKPKRPRTDGNQSTPVSSSIPVILSKPKQDHLKEKEQSSSGPKKVEEQSLSSSKKIEERPSSAAQETEVKPKIENTGVGLLGLAYTSSDDEE >OIW03942 pep chromosome:LupAngTanjil_v1.0:LG10:742328:743179:1 gene:TanjilG_30218 transcript:OIW03942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSQSLENMLLSSNSHEERKPRPEPEEALKCPRCDSTNTKFCYYNNYSLSQPRYFCKSCRRYWTKGGTLRNVPVGGGCRKAKRYSSSPSSLSSKRVQDQTFTHNDPNPLNSFPHLTYDSSDHLLLALTRLQKQSCGGQLGFDDHDLSILGNPTNSLHNILGNQCMNSSTTNHGLLGALRSGFLGAQNNNGHNMYYVYGNGNMGEVDNGIVGERILHYDQEMSNVAPQAVNDSIMKQEQSESRVLRGFPWQINGDTNMVEVDSGRASWNGFTPSWHGLLHSPLM >OIW03548 pep chromosome:LupAngTanjil_v1.0:LG10:4060748:4062921:-1 gene:TanjilG_30968 transcript:OIW03548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKDIEKKNILVAQSLRNLIMGSTLMATTSILLSAGLAAVISSTYSVKKPLDDLVYGAHSEFMVALKYVTLLTTFLFSFFCHTLSIRFLNQLAILTCTPQDAMSLVTPEYLVEIFERGITLNTVGNRIFYSALPLLLWIVGPVLVFLCYIVMLPMFYNLDFVSGSGKTKIEVNGKKDYV >OIW03050 pep chromosome:LupAngTanjil_v1.0:LG10:15210787:15211191:1 gene:TanjilG_20978 transcript:OIW03050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVGGNNNVKVEKEIEDISKEVVGRTLKRALRLLSTLQSKDGFWPSDYGGPLFLLPALVIGLYVTGALNTILNIDHQREIKYYLFTHQNIDGGWRLHIEGCNTMFCTAISYVSLRLLGEDIYDRCHAKCKKIDS >OIW03007 pep chromosome:LupAngTanjil_v1.0:LG10:15739744:15742736:1 gene:TanjilG_13644 transcript:OIW03007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQQQQQEHEQEQEQEQPQLNLFPLHPETTVVDEKLDMHEETNDVALFFTSDCSATLNGLLEDESTITKNTTSSEEEEGSLSAFDGGGGGGCGGGGESGSWLVKKAMRRCSKEDDGCEERWVCYSEVTSATVSAAVKNNEAVVGVVNKEDLLYGTTSFGVLSLKLDHEGIMNAWSDKGSLYVEGEGPQTVPNFHHLHNPNVPWDGWGSDAGNTWTVPESCGANKMKEKEEMGWKLGQREASVLRYKEKRQSRLFSKRIRYEVRKLNAEKRPRMKLRRDSMEENVEIEELN >OIW03779 pep chromosome:LupAngTanjil_v1.0:LG10:1858330:1863861:1 gene:TanjilG_30055 transcript:OIW03779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWNVRVVLLLLASSLLGFATASVSYDSKAITINGQRRILISGSIHYPRSTPEMWPDLIQKAKEGGLDVIQTYVFWNGHEPEPGKYYFEGNYDLVKFIKLVQQAGLYVNLRIGPYVCAEWNFGGFPVWLKYIPGISFRTDNGPFKFQMQKFTEKIVNIMKAERLYESQGGPIILSQIENEYGPMEYEIGAPGQSYTKWAANMAQGLGTGVPWIMCKQDDAPDPIINTCNGFYCDYFSPNSANKPKMWTEAWTGWFTEFGGPVPYRPAEDLAFSVARFIQKGGSFVNYYMYHGGTNFGRTAGGPFIATSYDYDAPLDEYGLLRQPKWGHLKDLHRAVKLCEPALISADPIVTQIGNYQEAHVFKSKSGACAAFLANYNPKSYAKVAFGNMHYNLPPWSISILPDCNHTVYNTARIGSQSAQMKMTRVPIHGGLSWEEFSEETASTDDSTFTMVGLLEQLNTTRDLSDYLWYSTDVVIDPNEGFLWKGNNPVLTVLSAGHALHVFVNGQLSGTIYGSLEFPKLTFSEGVKLRAGVNKISLLSVAVGLPNVGPHFETWNAGVLGPITLNGLNEGRRDLTWQKWSYKVGLKGETLSLHSLSGSSTVEWIQGSLISQKQPLTWYKTTFDAPAGVAPFALDMGSMGKGQVWLNGQSLGRYWPAYKASGTCDYCSYAGTYTETKCRSNCGESSQKWYHVPHSWLKPTGNLLVVFEELGGDPNGIFLVRRDIDSVCADIYEWQPNLISYHMQVSGKVSKPVRPKAHLSCGPGQKISSIKFASFGTPLGSCGNFLQGGCHAHKSYDAFERNCVGQNWCTVTLSPENFGGDPCPNVMKKLAVEAVCT >OIW03819 pep chromosome:LupAngTanjil_v1.0:LG10:1557847:1562734:1 gene:TanjilG_30095 transcript:OIW03819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGGGRQGQRSGAASVHHHRQYSDNFLDGSSNARWLQSAGLQHLQSSSATLQDFNLQGGSTFRNQHRSFNGGSGNQYYMEPSTPPGNNNRLMQKSNDEDSPGDFSPGLLDLHSFDTELIPEMPVSNVYGGNSLYLPGRTRSFEDSEPGMLSKQTGRARVPAPENMLKSFPSDKEKFSSVAKIKVVVRKRPLNKKELAKNEEDIIDTYSNSLTVHETKLKVDLTQYVEKHEFVFDAVLNEEVTNDEVYRETVEPIVPIIFERTKATCFAYGQTGSGKTYTMKPLPLRASRDILRLMHHTYRNQGFHLFVSFFEIYGGKLYDLLNDRKKLCMREDGKQQVCIVGLQEFRVSDVENIKELIERGSATRSTGTTGANEESSRSHAILQLAIKRSVDGNEAKPPRLVGKLSFIDLAGSERGADTTDNDKQTRIEGAEINKSLLALKECIRALDNDQGHIPFRGSKLTEVLRDSFVGNSRTVMISCISPSSGSCEHTLNTLRYADRVKSLSKGNNSKKDVLSLNISVKESTTISLSSVTASGYEDRTVDTLHDENDGDDFSPPEDYYEPVKPSWKKNVKTDPYGTTDDKLKKPSGQVKWKDLPKVEHTTAHSEDDLNALLQEEEDLVNAHRTQVEETMNIVREEMNLLVEADQPGNQLDDYITRLNAILSQKAAGINDLQTRLAHFQKRLKEHNVLVSSSGY >OIW03096 pep chromosome:LupAngTanjil_v1.0:LG10:14029664:14032649:-1 gene:TanjilG_07248 transcript:OIW03096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDTRDQFPIGMRVLAVDDDPICLKLLERLLTSCQYDVTVTKNAISALKLLRENKNKFDLVISDVVMPNMDGLKLLELVELEMDLPVIMLSGNDDPKMVMKGITRGACDYLLKPIRIEELKNIWQHVIRKKKKKIDSKEQNKTNNQDIPDSGLGNAVESATTGDSDQNEKQTRKRKDHDEDEYDDGDEYESNGDDNEDPSNEKKARVVWSVELHRKFVSAVNQLGIDKAVPKKILDLMNVEKLTRENVASHLQKYRLYLKRISCVANKQANMVAALGSADSSYLRTGSLNGVGGFQTLSGYGQFPHNNAAFKSFPTSGMIGRLNTPSSLFGTHQLGQNLNNSANDQHKFQSAIGCDYLNGVHGIPASVSLVQNLTNVDDTKIPFPILNNKLADQMPKVTMGSASTPIFGISNNALMLESNPQDTQENSEFPFSLVNNSSCNDIWSSAVHSFRTSSFPPSQCFRQTPAVNLTSLSNQCPDSFTDMHSQNNDVSFQWWDDANVIGSSINSPTPVNGVVDPQGHNSTNSTFGRNSDFNFSNPLQMKHDRVSELTGDYSLKPHQVYTMNHIAGSLGHYNDE >OIW03237 pep chromosome:LupAngTanjil_v1.0:LG10:11162853:11181633:-1 gene:TanjilG_29985 transcript:OIW03237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRVDLWTGSRRSTSDRGTLPAPIRFPPDNFKHSLTLFSKSFSSFPRGTCSLSVSRQYLALDGIYRPIGAAFPNNPTRRQRLVVQQGPGPTGLSPSPAPPSRGLGPGPPLRTLLQTTIRTPRATDSHGGLFPVRSPLLRESLRPTDPHGSKSRKAGGGDTHDRSRALAQPPSITAPSTADSVFNQPRALGLLASGATCIQRLDGSRDSAIHTKYRISLRSSSMQEPRYPLPRVFRISVSQRRPHEHRLRADGGELNDFNFLGAFRAGVLLLGQEDTAEGSPTETLLRLLLPLNDKVQWTSHNVAGSEPPTSPQSEHFTGPFNRQIAPPTKNGHAPPPIESRKSSQSVNPYYVWTCLHPATYDRDSAWKMTRSNCPQRHGKTHQHAWDRLLGRWGGAPWHAILTHQVLSRLFDARGRGPEGPVPNPSPDRHAATRSRRESSSSSPPTADGFGTGTPVPSPQSQSFSRGYGSILPTSLAYIVPSTRGCSPWRPDAVMSTTGRGRHSVLRIFKGHRGRTGHHPTYGALPAVGPYLRLSRFQGGQAICTDDRSARAHAPGFAATAAPSYSSGPGPCPDGRVSAQLGTVTQLPVHPASPVLLTKNGPLGAFDSVAWLNKAATLSYLFKAPAILRETSSRSGIVHHLSGPDRYALTRTLHRRSGSVGGATHKGIPPISFLAPYGFTCPLTRTHVRLLGPCFKTGRMGSPQAVGPNGEPAGRRQEHAVAEAHQTTRASNHNRDDDVSTSISTARAWATIRIRVVLFIFPSRYLFAIGLSPVFSLGRNLPPDWGCIPKQPDSPTAPRGATGGLGPGPPLRTLLQTTIRTPRATDSHGGLFPVRSPLLRESLRPTDPHGSKSRKAGGGDTHDRSRALAQPPSITAPSTADSVFNQPRALGLMASGATCVQRLDGSRDSAIHTKYRISLRSSSMQEPRYPLPRVFRISVSQRRPHEHRLRADGGELNDFNFLGAFRAGVLLLGQEDTAEGSPTETLLRLLLPLNDKVQWTSHNVASGEPPTSPQSSGSLSGIEPRFKASREARPPRGGHQRHVPLGGRGPLLLVGKRATGTRIASSPDSDLEAFSHNPTHVLSRLFDARGRGPEGPVPNPSPDRHAATRSRRESSSSSPPTADGFGTGTPVPSPQSQSFSRGYGSILPTSLAYIVPSTRGCSPWRPDAVMSTTGRGRHSVLRIFKGRRGRTGHHATCGALPAAGPYLRLSRFQGGQAICTNDRSSRAHALGFAATAAPSYSSGPGPYPDGRVSAQLGTVTQLPVHPASPVLLTKNGPLGALDSVAWLNKAATPSYLFKVCSHSNPSQKIRVGRRCNPQGDPTNQLPCALRVYLPADSHTCQTPWSVFQDGPNGEPAGRRPEHAGAEAHQTARASNHNRDDDVSTSMSTNHHNSRRSMPRVDWRTGSRRSTSDRGTSPAPIRFPPDNFKHSLTLFSKSFSSFPRGTCSLSVSRQYLALDGIYRPIGAAFPNNPTRRQRLVVRQGPGTTGLSPSPAPPSRGLGPGPPLRTLLQTTIRTPRATDSHGGLFPVRSPLLRESLLVSFPPLIDMLAVEDASPDYNSDTEGDQFSWWAFPSSLAVTKRPTDPHGSKSRKAGGGDTHDRSRALAQPPSITAPSTADSVFNQPRALGLMASGATCVQRLDGSRDSAIHTKYRISLRSSSMQEPRYPLPRVFRISVSQRRPHEHRLRADGGELNDFNFLGAFRAGVLLLGQEDTAEGVAPPDTRGTEVPNTSSHP >OIW03440 pep chromosome:LupAngTanjil_v1.0:LG10:5426833:5429291:1 gene:TanjilG_14665 transcript:OIW03440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYDTGPGCSSLGYGAMEELGPFRVNSDGRTLFRNEYAWNNVANVLFLESPAGVGFSYSNTSSDYSETGDNSTAIDSYTFLLNWLERFPQYKSRDFFITGESYAGHYVPQLADIILSNNKLLTNHTAINLKGIAIGNGWIDDNTCSKGMYHYFWTHALNSDETYEGIGKHCDFDNGNFSSECYKYQSISDDEIGDLDIYNVYAPPCNSAATKTATYSGSDFDPCSDDYTNSYLNLPEVQKALHVKPTKWFPCSAVGWADSPASLLPTINRIVSKGISTLIYSGDTDGRVPITSARYSVNALKLPIETPWRPWYSSNEVGGYVVGYKGLTLVTVRGAGHMVPSYQPQRALTMISSFLSGKLPPKN >OIW03363 pep chromosome:LupAngTanjil_v1.0:LG10:7023663:7035055:-1 gene:TanjilG_29348 transcript:OIW03363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSKVLQPSSLVVVFETFLSSAATTVDEEKGNPLWQPCADFFITCILSCLPWGGADLIEQVPEEIERVMVGVEAYLSIRRHTSDAGLSFFENDDEIKRGPSDKDFLEDLWDKIQVLSSNGWKVESVPRPHLSFEAQLVAGKSHEFGPISCPILPSPPSVPSGISNGKQKHEAELKYPQRIHRLNIFPPGKTEDLQPIDRFVVEEYLLDVLMFFNGSRKECASFMVGLPVPFRYEFLMAETIFSQLLMLPEPPCKPIYYTLVIIDLCKALPGAFPAVVAGAVRALFEKIADLDMECRERLILWFSHHLSNFQFIWPWEEWAFVLDLPKWAPQRVFVQEVLEREVRLSYWDKVKQSIENAPSLEELLPPKGGPKLNFGAEDGNENNEHVLSGELNNLIKGKAPVREIISWIDENVFPDNGLEVTLRVVVQTLLNIGSKSFTHLITVLERYGQVIAKVCPDEDKQVMLLAEVSSFWKSNTQMTAIAIDRMMGYRLVSNLAILRWVFSAENIEQFHTSDRPWEILRNAISKTHNRISDLRKEILSLQKNISSAEEAAKGAKSELDAAELKLALVDGEPVLGENPIRLNRLKLRAEKAKEEVISLQESLEAKKALIARAIDENEALFILLYKSFSNVLTERLPRGSEARTLRELKTAQVDVMAVDTEEDEPSSMELDNENQRPENRQPNGGRRSSAYNVGEKEQWCITTLGYVKAFSRQYAAEIWPHIEKLDAEVLTEDAPPLLRSAVYSGLRRPINDV >OIW03641 pep chromosome:LupAngTanjil_v1.0:LG10:3048164:3050432:1 gene:TanjilG_22298 transcript:OIW03641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVENQPPGFRFHPTDEELITYYLTRKVTDTSFTCKAITAVDLNKSEPWDLPGKASMGEKEWYFFNMRDKKYPTGLRTNRATESGYWKTTGKDREIFRAGVLVGMKKTLVFYKGRAPRGEKSNWVMHEYRLENKHLFAPSKDEWVVCRVFQKSISAKKPQQTMISSSQPESPCDTTSMVNEFGDVDLPNNLNNIVNPSSAFTNINLGQPYNTDLTNVNTNMNLTMNWPSEVPSIPSLSWPSGFLNSNNVSVDSLLLKALQLRSYQQQREAAAVAAATDHFASYNNMAQLGVSQVGTHDLSSSNLNASSSSKVLECMPQQQQQEQPFNLDSIW >OIW03263 pep chromosome:LupAngTanjil_v1.0:LG10:10568810:10571579:-1 gene:TanjilG_20567 transcript:OIW03263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTIAMEVKPEITVPQSVNPIRLLLPVAPPSAILLTASPPHAPLPFASCCQIGVVSALVGASMELFMIKTGFYDKVTVLESEKRAWENSPEAQAVREALNPWRRNNAEGTEKS >OIW03881 pep chromosome:LupAngTanjil_v1.0:LG10:1144292:1146773:1 gene:TanjilG_30157 transcript:OIW03881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVHSSWDSLRKQARQLETQLDEQMSSFRKLVTTKVSTKADDAKSDPESCIEQLLKQLQQVNSQMQAWVSSVGSDMVSHTLNRHQEILQDITQEFYRLRSSLKAKQEHASLLDYFKEFDRTRLDLEEGGGSEQQTLLKEYASISRNTGQMDTVISQAQATLGALVLQRSTFSGINSKLSNMSSRLPTVNNILSAIKRKKSMDTIILSLVGSVCIFLIFIYWITK >OIW02968 pep chromosome:LupAngTanjil_v1.0:LG10:16074226:16079910:1 gene:TanjilG_13605 transcript:OIW02968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTLRFLQLCAIVALNVFGVCLIIAESTLMVNFISVPLSQSKSSNAVFRFQVERLDGSNACKRSSCSFSCQLDGKVYHCGANGIVLRNLTQNQEHNFLLNVTTNKGERNSSLYSWFIDTIAPTAAITSEQMYTNAKRVTIDITFSEPCTGLGGFKCVNSSICDVMVAGPAKVDVSSLLVTTPGVKYSLEVILSSKSIHGRAVITLEDNTCSDQAGNKFLRTNDSTLIIHFDRRPVMVDFWTSVPSSMMKINSIPRTIVATSKPEDLIIFLDFSIPLRNSTEQIRNALNVNSGALTRFHGRSNETRRFAFKLKNISRTEIITVKLQATSILGKTGTHVSPVDPITFLYDLTKPSVVLRTSSPNETRDSNIHIIAEFTKPVFGFEASMVVVLGGRLIRHVYIEVKGTIKSTIFIDYPSSITEDSIPAISIALYSFVSAGTIATSLITAIVCLSSANLEAISMLAMGGTTSHASNPSMNLHGMVGHLQVFALTSWFSTNQPIKYSETTRGLWWLIPHHKLPWEDYDHSSTTLENEKLTTRTNGLSVGEYSYNSDHQQTGLMSSLYIEHKVSFPTEITSKYGWFHDQRSTKNVFYGLPLSSIEYFTYFLRGEPISASNVIKAMENYKGWKEMEMNLFWLGIGGGFFILVHVFMILFLRWRTGKLPQGTLSMPRFEFFLLILILPCISQSSTFVIKGGTTRGIITGVLLLAIPAAFILSVFLFLTIAISSGSFAQYKEFKQVTNEEWRMKLWFFLVGRPTTGNWFYRERLPSSFLSRFGILFDNWRGSPVHVSCDQNEPNTITKWTESGQSRVGRMKAINSEDSIEENKIPKLKRVFGCMRVLYIILDLLRRVSLGIISVACSSEKSSQSIFALIITLTQFIYLFTIKPYISRGVQVVESVSLLCEAGVFGIFIIRSGSNLVESKTCESVMLVLLLLTFIAQLINQWYAIVHSLIKLSQPQNNSLWQGLKFASKGLILPFLPSKHWSSVITAFSQPKTDLLSVNPMCSGTEFDRRNRAGYMGPISAMSATVVPVLSPGTPSPNVVERKDPVTPETPANVNIEVEGKWLKGQKSGLKNELKILRELAKASFSRDATAGEASTSYTGKPLSDEVYWGNPKRRY >OIW03634 pep chromosome:LupAngTanjil_v1.0:LG10:3096760:3097455:1 gene:TanjilG_22291 transcript:OIW03634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSEASPRRLSFSNDFSELQVLPLKRDVQCKDTMLHDSNSDFEFNTSRSNEFEPSSADELFSNGVILPIQMIQERKSHYTKLPPRPCSSSADKIKKETIKELLLDVSSVHEKKPHSKSFWGFSRSKSLNCDTKQSLICSLPLLSRSNSTGSVPNPKRKSKSSPSLHSSSSTLNLYPVQKSSSGKSYGGSYGNSLRISHVLNVPTPYVSKGGTNLFGLGSFLRSGKVKKNKK >OIW03080 pep chromosome:LupAngTanjil_v1.0:LG10:14631608:14634264:-1 gene:TanjilG_19360 transcript:OIW03080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDENMDSPFQNHDWNLINSHSSNEILEPEDFLGVRSSENQSDILALNEIQSNDSDYLFTNNNFSVTPIQNSLVAATNLGNYEYQENNMQPLTLSTGNVGNNDSTNQRSGDNNTNNAVQVARRNSLQYSRQRKSIYRGVSRHISTGKYEAHIWDSSIKREGKSKSGFQGGYDTEEKAARAHDLAALKYWGESTITNFPIGNYEKELEEMKNMTKKDFVAAIRRKSTGFRRGASPYRGVTKHSKNGKWQAKIGRVDGHKDLYLGTYSTEEEAAEAYDIAAIKFRGPNAVTNFDINRYDVKSIVESNTLPLKGGAIKILQDTDVVESSREREEMTSLLGSSSTSNVLGHGYPLQNRAMPCYNNTNEYTQVYNLSYVQTQLELYQKQQNGSYNGRLNNNQIQNHPTLLQGYMNIGSSSSSAVMHNNGGGSSDWSYNGVGFIGNNNNGLVGMTSKSSSSLMVNDGIVGMASNSSSSNAKGLSFMVDYDLASEGYSGWLMDSFDAPNA >OIW03320 pep chromosome:LupAngTanjil_v1.0:LG10:9367308:9372874:1 gene:TanjilG_16469 transcript:OIW03320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPELRSGARRSKRLGDLQPGPLPVDQGENWVQPPAQNRTRRRGGSGRGRGRGGNATAVGKGPSPVVPPRRTAAGRGRGARVVDLDPEPCDVLPEPVALGAPEPVNNNVEVVANNNIVMEGGSGDKAVAAEEEASTTPVPDRVQVGGSPVYKIERKLGKGGFGQVYVGRRISGGSDRTGPDAIEVALKLEHRNSKGCNYGPPYEWQVYNTLNGCYGIPGVHYKGRQGDFYILVMDILGPSLWDVWNSLGQSMSPNMVACIAVEAISILEKLHLKGFVHGDVKPENFLLGQPGTADDKKLYLIDLGLASRWKDASSGQHVDYDQRPDIFRGTIRYASVHAHLGRTGSRRDDLESLAYTLIFLIKGRLPWQGYQGDNKSFLVCKKKMGTSAELMCCFCPAPFKQFLEAVTNMRFDEEPNYSKLISLFDSLIEPCTPLRPIRIDGALKVGQKRGRMLINLEEDEQPKKKVRLGSPAMQWISVYNARRPMKQRYHYNVADTRLRQHVDKGIEDGLYISCVASSGNLWALIMDAGTGFSSQVYELSAAFLHKDWIMEQWEKNFYISSIAGAVNGSSLVVMSKGTPYTQQSYKVSESFPFKWINKKWKEGFHVTSMTTAGNRWGVVMSRNAGYSDQVVELDFLYPSEGIHRRWESGYRITSMAATSDQAAFILSIPKRKLLDETQETLRTSAFPSTHVKEKWSKNLYIASICYGRTVC >OIW03593 pep chromosome:LupAngTanjil_v1.0:LG10:3186019:3186391:1 gene:TanjilG_06102 transcript:OIW03593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWTLISDRQKGLVEVFKDINVDHRFCVRHMHNNFKNVGFKGKTLKDLMWNAARAYRESEHIHYMEEIKKVDAKTYEWLKREEQKHWYMYAKFTS >OIW03515 pep chromosome:LupAngTanjil_v1.0:LG10:4509888:4513920:-1 gene:TanjilG_31028 transcript:OIW03515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLISPSSSFCNIHLRESRFFSTPLVPTHNNSIIKFQNKCNSQNHRFKTVRCKVITTNPSLTAPPSSITKEPHKYFDQVIITVRAGDGGHGALLNTPNEATTTTTASKNEKKDKMKTKKRSSLKRDFDGSLILPSGGHGGDVVIYADEFKDTLLEFHNKNRFSAKRGGNVDSKGSVLNSMLRDGLAAPTLRIPVPVGTVVKSKRGKMLADLAQPGDEFLVARGGQGGISLLQTPQHKKKKLMALTSNVMRDDSDKVLVHGQPGEEAKLELILRVVADVGLVGLPNAGKSTLLAAITLAKPDIADYPFTTLMPNLGRLGGDPSLGAGMYSSEATLADLPGLIEGAHLGKGLGRNFLRHLRRTRVLVHVVDAATENPVNDYRTVREELRMYNPEYLERPYVVVLNKIDLPGAKDRLQSLTEEILRIGNDGASSELKPSSEDQPRLLPDDGDKKERKLEDYPRPLSVVGVSVLKGIRINEMLKGIRAALRECSDSNSLSVAP >OIW03581 pep chromosome:LupAngTanjil_v1.0:LG10:3711449:3713268:1 gene:TanjilG_31001 transcript:OIW03581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKESATAGGVENGGSKPLSSGGSGSSRHLLVKRVVKVTAFFVGITVLWMVLYKTASPFGFASISQHFIGVFANDADIMWFRDPFKEFYKDSDFQIACDFFNGNSYDLDNMPNGGLTYVKSNFRTIWFYRYWFASKDAYPKMHDQDVFNKIKKNNLIAGMKLKIRFLSTEYFGGFCQPSKDLNKVSTMHANCCVGLDNKIIDLKILLEDWRKYMAWPDKSKKQLKASWSVPLSCR >OIW03635 pep chromosome:LupAngTanjil_v1.0:LG10:3090844:3093446:-1 gene:TanjilG_22292 transcript:OIW03635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFLSRFCNCLEKGAATSDRDGDDHDGDSFDLIFDLHTLQVATNFFSHLNQLGHGGFGPVFKGLMPNGQEVAVKKLSLKSRQGVREFTNEVKLLLRIQHKNLVMLLGCCAEGPEKMLVYEYLPNKSLDHFLFDKTKSPSLDWTTRFRIIIGVARGLLYLHEEAPERIIHRDIKASNILLDEKLNPKISDFGLARLFPGEDTHVQTFRISGTHGYMAPEYAMRGYLSVKTDVFSYGILLLEIVSGRKNHDAQLGTEKADLLNYAWMLYQGRKIMDLVDSNLGKCNGDEAAMCIHLGLLCCQASIADRPDMNSVHLMISSDSFTLPRPGKPGIHGRVGHWTTTTNSAFTNTNGSSATKTSGGSSFAEDYSRNSISTSSFDEGR >OIW03637 pep chromosome:LupAngTanjil_v1.0:LG10:3079459:3080950:-1 gene:TanjilG_22294 transcript:OIW03637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEFTEDFQSFIDPNMELINQFIGMNPHALDNSHVNMQNLMMPFSSDSFFGSQEHEFQGNFEGNSQGLVNNALQVSLPNFPAENEIHEVKKRKIMDTHTTPETSSANSTPAACESGGKIRNNFERVKRAKRYVTEEEKPKEVVHVRARRGQATDSHSIAERVRRGKINDKLRCLQNIVPGCYKTMSMSVMLDEIINYVQSLQNQVEFLSLKLTAASTFHGFNSETDTLETMQRAKASEAKELGNYEREGYGRVTCFQPSWPL >OIW03716 pep chromosome:LupAngTanjil_v1.0:LG10:2341753:2346609:1 gene:TanjilG_29751 transcript:OIW03716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSFSASNNLTATLSTTLTRQRCPTRPSSIKCLSSNDSHHHSPHLTFFKTLSKTIAISSTAALLFHSTPLREFPTDFNLGGGNNGATGGGGGGGGSGGWFGGGDGGNGGFWSRLFAPASAIADEPQSQDWDSHGLPANIVVQLNKLSGFKKYKLTEIMFFDRNRRSKVSAEDSFFEMVSLRPGGVYTKAQLQKELETLATCGMFEKVDLEGKTNADGTIGVTINFTESTWQQADTFRCINVGLMQQTKPVEMDSDMTDKEMLEYYRTQERDYKKRIERARPCHLPESVHSEIMQMLNEQGAVSARLLQRIRDRVQKWYHDAGYACAQVVNFGNLNTKEVVCEVVEGDITQLVIQFQDKLGNVVEGNTQVPVVKRELPRQLRPGYTFNIEAGKQALRNINSLALFSNIEVNPRPDEKNEGGIIVEIKLKELDQKTAEVSTEWSIVPGRGGRPTLASIQPGGTVTFEHRNLHGLNRSVNGSITTSNFLNPQDDLAFKLEYVHPYLDGVTDPSNRTLRVSCFNNRKLSPVFTGGPGLDEVPPIWVDRAGVKANITENFTRQSKFTYGLVMEEITTRDESSHICTNGQRILPSGGISADGPPTTLSGTGIDRVAFLQANITRDNTRFVNGAVVGERNVFQVDQGLGIGSQFPFFNRHQLTLTRFLQLARVEEGASKSPPPVLVLHGHYGGCVGDLPSYDAFTLGGPYSVRGYNMGEIGAARSILELAAELRIPIKGTHVYGFAEHGNDLGSSKSLKGNPTEVYRRLGQGSSYGVGLKLGLVRAEYAIDHNSGTGALFFRFGERF >OIW03693 pep chromosome:LupAngTanjil_v1.0:LG10:2548712:2551253:-1 gene:TanjilG_30757 transcript:OIW03693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLTEDETNRLYRITRTVLKMLKDRGYLVHNKEIEMSKHEFKNKFGENMKREDLTFMKSMRDNDSDRIYVFFPDEAKVGVKTMKTYTNRMKSENVFKAILVCQQNLTPFAKTCVSEISSTFHLEVFQESELLVNIVEHVLVPEHQILTDEEKKTLLERYTVKETQLPRIQVTDPVSRYYGLKRGQVVKIIRPSETAGRYVTYRFVV >OIW03617 pep chromosome:LupAngTanjil_v1.0:LG10:3442203:3442397:-1 gene:TanjilG_06126 transcript:OIW03617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIKKEGSFIQRGVLIHNQVRKIKQESEKNLDWSHGQPEIKSVLRRISRSPLGISGRSISVGES >OIW03432 pep chromosome:LupAngTanjil_v1.0:LG10:5480468:5486472:-1 gene:TanjilG_14657 transcript:OIW03432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIKKEKRKEMLGGEWICSYKKITLIICFFNIVVSLYCIRSLSSSLYIYSGSISRNFVYKPDQIKKMEESIQIRKAYKPVELMKWAKELEGEFSSENVAVELPQHLKQKILDEILVRLRSLNSNSTGMAKEREAVKNWRKERLKEVKLALVRGTSNSTIPHEEAGMLARALEFGWDVFSEEIGLWIPVNVTNEEHDDKPPLVEEFEDEILPGRPLQPECNAELHTDYGGDAVRWGLTHHKDSAADCCQACLDQAKLAKEGEKKCNIWVYCPSEFGCHSPDIYQHKHMECWLKYAEKPKPTFKDSVMDSGFGEGKLRWSVYDGVKIIAATPEALMSEIDSAISNLEYSRATALLDSTTASSSSSAAANTSESDQYDARMADEAYKAGCAALASGKLDEALRSLNLSLSKCPPDKISAVSKLNSLISLTSIQLQRSAN >OIW04011 pep chromosome:LupAngTanjil_v1.0:LG10:298768:300464:1 gene:TanjilG_30287 transcript:OIW04011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKICSVLGFFVTFLLVDLASSAKFDELFQPSWANDHFSHEGELLKLKLDNYSGAGFGSKSKYMFGKVSIQLKLVEGDSAGTVTAVYMSSEGPNHNEFDFEFLGNTSGEPYSVQTNVYVNGLGNREQRLNLWFDPTKEFHNYSIFWNQRQVVFLVDETPIRVHTNLEHKGIPFPKDQAMGVYSSIWNADDWATQGGRVKTDWSHAPFIVTFKDFEINACECPMPVTAIDNAKKCTSNDDKKYWWDEPTLSELNLHQSHQLIWVRANHMVYDYCFDTARFPVTPAECAHHRH >OIW03793 pep chromosome:LupAngTanjil_v1.0:LG10:1755776:1759911:-1 gene:TanjilG_30069 transcript:OIW03793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSPKGLGTSSPPSPAKEYAVTKPISVAGPTPVDIQRNSELMKYMLHSGLYESIEESVKRQEVLQRLGQIVKGWVKQITGSRGYTNQMVEDANALIFTFGSYRLGVHGPGSDIDTLCIGPRYVNREEDFFFTLHDILANTEEVTELQPVPDAHVPVMKFKFDGISIDLLYASISYLVVPEDLDISDLSVLHNVDEPTVRILNGCRVADQILRLVPNAERFRTTLCCLKHWAKRRGVYSNVTGFLGGVNWALLVAHICQLYPNAVPSMLVSRFFRVYTQWRWPNPVMLCPIEENEIGFSIWNPRKNPRDRTHLMPIITPAYPCMNSSYNVSTSTLRVMVEQFQYGNKICGEIELNNVQWSALFEPNPFFETYKNYLQIDLIAADIDDLRAWKGWVESRLRQLTLMIERDTLGKLQCHPHPNEYIDKSKQCAHCAFFMGLQRKQGEIVQEGQQFDIRSTVEQFRHSVNMYMFWKPGMDIYVSHVRRRQIPSYVSADDYRQSRPSRPTAQLQNPCKPFHEDAVFTSEHGERNHKRKYDDRLDMKHSARSQRKNSISPDIISKSLGNMARNSSCQIEVSQVQHPGCSGFSGVCDSKELRNVESVDLTNRGQDELNTGKQSPECASNSSVITCVSSGSSSPEDIGSGCKAGCSEDNISFIEGMNDRFQNDTRSTDSATLEKEMPSRNEVLRAELLEQVKFWLSVSLKLLIAP >OIW03277 pep chromosome:LupAngTanjil_v1.0:LG10:10343953:10349551:1 gene:TanjilG_09924 transcript:OIW03277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYHNSSGSGRRNNNNAIAGCGTSSRSVTETVNGSHNFVIKGYSLAKGIGVGKHIASETFTVGGYQWAIYFYPDGKNPEDNSAYVSVFIALASDGTDVRALFELTLIDQSPNAKHKVHSHFDRSLESGPYTLKYRGSMWGYKRFFKRAQLEASSFLKDDCLKINCTVGVVVSSIDCSKLNTIHVPESDIGAHFGMLLENEEGSDVTFSVNGERFHAHKLVLAARSTAFETEFFNGMEEDDHDVVVTDMEPKVFKALLHFIYRDALIEDEELYMSRSSFLDSVSETFAAKLLAAAEKYGLPRLKLMCESVLCKDISIDSVAYILALADRYHATQLKSICLQFSAENLIAVMQSDGFEYLKENCPLLQSELLKTVAGCEEEFSGEGKCRSVWAQFSDGGDTNERSVRQQTWENGAERSQSLWVQLSDGVNNNDDRSPGQEA >OIW03544 pep chromosome:LupAngTanjil_v1.0:LG10:4131666:4136822:-1 gene:TanjilG_30964 transcript:OIW03544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFVCLAGKAEKNKNVEFGVKTKGCAGNLKKIKSFINRKVDCYSNSRTIDHGKNRKKQVSDFRSEYNLATTSATRGKQVSRTSFLLGVAGEKALEILDTLGSSMPKLSTSNGFVSGLAPRGNKISILAFEVANTINKGAILFQSLSEENIECLKNEILQTEGMKQLVSTDTKELISFIEADKREEVNAFSREVARFGNMCKHPQWHNLDRYFSELDSGVLDIKQPRIEAEKTMQELITLAKNTAELYHELNSFDRFEQNYQQKVKEMESLNLPLKGESLTVFISELKNQRKRVKSMKKKSLWSRRLEEIVEKLLDVVTYIHQAISEFLGNYVQLQPENSKGSHRLGEYGLALHYANIINQINMIASRPTGLPPNMRDTLYHGLPRNIKSALPSRLKNNDATKELSFPQVKAKMDKTLQWLAQFATNTTKAHQGFGWVGEWANTSNNSGCNTTKERNPIRLQTLHYADKQKVDFYILELLAQLHHLVSFVRYKHNNNYPMKPMPSSSSSHKGLDSLDFKSKMLQLISLDNRVTQISQEDRTLLEEVVSRRRTLGLSKSEDLAVTKKKEARVSCFSKSVGSSPVTRMGLENQNSNVLDIMDGLGY >OIW03897 pep chromosome:LupAngTanjil_v1.0:LG10:1062546:1064619:1 gene:TanjilG_30173 transcript:OIW03897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEMSLDYHFKVEHEVGSATHAFFGFNGTAGVWRVAAINEAGGWKDRTTVEDMDLAVRATLRGWKFLYLGDLQAKSEPPSTLRAFRFQQHRWSCGPANLFRKMLMEIVRNKKVRFWKKVYVIYSFFFVRKIIAHMVTFIFYCVVLPLTILVPEVHVPIWGAVYIPSIITILNSVGTPRSLHLFYWILFENVMSLHRTKATLIGLFEAGRVNEWVVTEKLGDSVNNNNNKNKPGDAATKNKTNVTKANKKSRSKFFERLNLLELGFAGFLFICGCYDYVHGKNNYFVYLFLQTITFLIVGFGYVGIIV >OIW03190 pep chromosome:LupAngTanjil_v1.0:LG10:12660545:12662671:-1 gene:TanjilG_11827 transcript:OIW03190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFDVEYARWLKGVAAKADIFHILSGMWKTPAERCFLWIGGFRSSELLKLLVNELEPLTEQQVMGIYNLQQSSQEAEDALSQGMDALQQSLAETLANGSPNSSASSGNVANYMGQMAVAMGKLGSLEGFLRQADNLRQQTLQQMLRILTTRQSARALLAISDYFSRLRALSSLWLARPRE >OIW03930 pep chromosome:LupAngTanjil_v1.0:LG10:825966:826466:-1 gene:TanjilG_30206 transcript:OIW03930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNVTFSIFLLLLFLSVPPHRLTVSADSPPTPSPSPSDSPSPDSAPDSAPSLPPSPPAPSPKSPSPSPGKSPEDSPSPVPSPEDSPSPSPAPAPADRGSINHAAAADENVVEKSSGGGSNSGKKAGIAIGVIVVAGVIVIGGVVYKKRQQNIRRSQFGYTARTEIL >OIW03610 pep chromosome:LupAngTanjil_v1.0:LG10:3312848:3317189:-1 gene:TanjilG_06119 transcript:OIW03610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRDWMQTGRKPNGLCGAAIYIAALAHGFKFSKTDILRIVHVCESTLTKRLVEFENTDSAKLTIEELNEMAKEEEKNPIKIPDGQLNNGTSKDLLCEHKDSDVPYFALGLCETCYRDFDKLSGGLGGGLDPPAFQRAERERTEKSHSEESADKSDDFLNPNLHKMLSGATVNVSTKYDQHDESHEDEDMNTNESETFSDIDDQEVDGYIADEEAKQQKKILWENEFSEYLKEQADRVAIGAKTRKGACDNKKKVRFDLPSDDHDKIESKVEDNIKDDELGSMDEIEDDNVDGEYENSLYDENADQKYDYEYDDDYSNYDG >OIW03319 pep chromosome:LupAngTanjil_v1.0:LG10:9324766:9328784:-1 gene:TanjilG_16468 transcript:OIW03319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSSDKAADLLHNLSLDSEPEIIGVPDPSKKNGHVFSNGTSKGMSKPFNPNPSYTLNGYPSTAYYYGGYDEQGDWNAYNRYMNHEGAMAPGVYGDSSPYMYHQGYGFTPYGAYPPPNSSSPPIQHDGQLYGLQQYQYPGSYHQSPDSDVSFVPNKISAPQGEISAAVNANHDPSSSVMNKRNTSSMTNGDYTNKNGLKSYLTSSQSSSLNSNDSYPGASLPAYVPLSEYQGPRMSSYGAQSAVASNVSLVSDRQSKHVQKVGLSSPALPVKDFTPQRNERLPQPLPQFTNLHGSRHPYGLDLVSGFINGMYPSNYSQYGNTLRNSRFGSAAYGSGTRFVDNKFKSTNNGYGVGRFKKNLDGFSELNKGPRAVKSSDTKTMNNPGPVTLLLKGQDISLKSDNKEAPAFVNNEQYNGKTFSENYSNAKIFVIKSYSEDDIHKSIKYSVWASTPIGNKKLDAAYQEAKEKPGGCPIFLLFSVNTSGQFVGLAEMVGPVDFNKTVEYWQQDRWTGCFSVKWHVIKDIPNSVLRHITLENNENKPVTNSRDTQEVKFEKGTQIVKIFKEHSSQTCILDDFEFYEGREKVRHERKSQEQQLPKQAIKPSDLIFGTVILPKSVDRTLMKESANKDASEGNGSTTALEGSSKSC >OIW03226 pep chromosome:LupAngTanjil_v1.0:LG10:11685954:11694690:1 gene:TanjilG_13020 transcript:OIW03226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRPGLFSGSKPTNSVKISFRLPYFTKWGESLLVCGSVPVLGSWNVKKGVLLSPFHQGDELIWGGSITVPSGFQCQYTYYVVDDNRNVLRAEMGRKRGLVLPEGIQSDQEIEFRDLWQTGSDAIPFTSAFKDVIFRQSWDSSKEATIGVNHIKLVPEAESIVVQFKVSCPNIEKDTSIYVIGSNTKLGNWKVENGLKLSYSGESETPWRGAGVAVPMFSVRSESDLGVGEFLDLKLLVDWAVASGFHLVQLLPINDTSVHQMWWDSYPYSSLSVFALHPLYLRVQALSEKIPEEIKQEIEKAKQQLDGKDVDYEATLTTKLSIAKKIFTREKDLILYSSSFKEFFSENEGWLKPYAAFCFLRDFFGTSDRTQWGNFAHYSEIKLEKLVSKESLHYEIISFHYYVQYHLHLQLSEAAEYARKKGVILKGDLPIGVDRNSVDTWVYPNLFRMNTSTGAPPDYFDKNGQNWGFPTYNWEEMSKDNYAWWRARLTQMAKYFTAYRIDHILGFFRIWELPDHAATGLVGKFRPSIPLSQEELEREGIWDFNRLSRPYIRKELLQEKFGAAWTFVATNFLNEYDKNCYEFKEDSNTEKKIASKLKTSAESSLLLESEDELRRNLFHLSQNVVLIRDPEDPRKFYPRFNLEDTTSFQDLDDHSKNVLKRLYYDYYFHRQENLWRQNALKTLPVLLNSSDMLACGEDLGLIPSCVHPVMQELGLVGLRIQRMPNEAGQEFGIPSQYSYMTVCAPSCHDCSTMRAWWEEDEERRRRFFKNVVESDALPPDQCVPEVAHFIIRQHVEAPSMWAIFPLQDLMALKEEYTTRPAAEETINDPTNPKHYWRYRVHVTLETLNKDNELKNIIKDLVGQSGRSVPSEKSEVEASLVSVLSTAGAVFETLQLAGAGEKIRLASESNGVPGKDALAAVS >OIW03602 pep chromosome:LupAngTanjil_v1.0:LG10:3256382:3260232:1 gene:TanjilG_06111 transcript:OIW03602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQKIETECVSVERETHKREAESESEEHLVNAKKSRTESSNSGKAEVGIDNGAKEVTFNIEADVAEDKGSRHTMEDAWVVLLDASLHYPGKLRCAHFAIYDGHGGRLAAEYALKHLHGKVMSAGLPRELLDVKAAKRAILDGFRKTDESLLQESAEGGWQDGATAVCVWVLGQKVFVANVGDAKAVLARSTIADGSQDHSNGVNVLKAIVLTREHKPIFPQERARIQKAGGVVSSNGRLQGRLEVSRGFGDRQFKKVGFIATPDIHSFDLSGREHFIILGCDGLWGVFGPSDAVDFVQKLLKEGLPVTAVSRRLVREAVRERRCKDNCTAIIIVFKHMSNS >OIW03899 pep chromosome:LupAngTanjil_v1.0:LG10:1035210:1047694:1 gene:TanjilG_30175 transcript:OIW03899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGMRGLSVFISDIRNCQNKEQERLRVDKELGNIRNRFKNEKALTPYKKKKYVWKMLYIFMLGYDVDFGHMEAVSLISAPKYPEKQVGYIVTSSLLNENHEFLRLAINTVRNDIIGRNETFQCLALTLVGNIGGREFAESLAPDVQKLMISNSSRPLVRKKAALSLLRLYRKNPDVVNVDGWADRMAQLLDERDLGVLTASMSLLVALVSNHHEAYWSCLPKCVKILERLARNQDIPQEYTYYGIPSPWLQVKAMRALQYFPIIEDPNIRRALFEVLQRILMGTDVVKNVNKNNASHAVLFEALALVMHLDAEKEMMSQCVALLGKFIAVREPNIRYLGLENMTRMLMVTDVQDIIKRHQAQIITSLKDPDISIRRRALDLLYGMCDISNAKDIVEELLQYLSTAEFAMREELSLKAAILAEKFAPDLSWYVDVILQLIDKAGDFVSDDIWFRVVQFVTNNEDLQTYAAAKARDYLDKPAIHETMVKVSTYILGEFGHLLARQPGCSPKEIFNIIHEKLPTVSASTISILLSTFAKILMHTQPPDPELQNQIWAIFRKYESSIEVEIQQRAVEYFTLSRKGVDLMDILAEMPKFPERQSALVRKAEDTEVDTAEKSAIKLRAQQQSQTSNALVLTDQSYANGTPPASQLSLVKIPSTNSKDDSSADQRLSQENMTSNRENSAPPSADLLSDLLGPLAIQGPPSSSVHPQPSTNSGLEDTVIEATAIVPAGEQANAVQPIGNIAERFHALCVKDSGILYEDPYIQIGTKAEWRAHHGHLVLFLGNKNTSPLDSVQAVILPPTHLKMELSLVPETIPPRAQVQCPLEVINLHPSRDVAVVDFSYKFGNNMVNVRLRLPAVLNKFLQPVSVSAEEFFPQWRSLTGPPLKLQEVVRGVRPLPVLEMANLFNSFHLTVSPGLDPNPNNFVASTTFFSQSTNAMLCLMRIETDPADRTQLRMTVASGDPTLTFELKEFIKEQLIDIPTAARAPTQAPSGAASVAQPASNPAALTDPGAMLAALL >OIW03741 pep chromosome:LupAngTanjil_v1.0:LG10:2156494:2159809:-1 gene:TanjilG_30017 transcript:OIW03741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNIEWKKHWPFGVHESEKRPSFPPLDVPKFRWWACDICQQTTAPENNDNKDDQTDFRCCSTLHGSDSNCTNSGIQQSPKPESTVVMRDIDLNIPIDLSSNSDFSPIDHEIGLENNINHEVSSISSLEVCPGLAQETHTRKRVHEGDEVSDVEPLTSNVNIEHPTRHLPLELVACSDAVPMGNTSNIFKNDIHDHHLTNSTSKKPPKRRLSTDLLSENMVTRSEQITGLGSASHLPSNVYADSQNLSILPDKVDVQEGMTLIKNGHVRKRKFLPDEESKDPADMCFQRTKNAVQNPDGDARTCDIVPDNRYKDVLVEMSLQNGVKGHQNKPELERSSTMSKKDVVEKEGASIEKGMNVFGLHASRNENEHNISKGKGKMLQADEDLDSLFYWKNEKQVEDAFSHKREKVLSNMPASIPIPSAQGAWNGEGLKERLHLSLNCNSSVEACSKKGICQTKNQMPFSLSEGSSKSHLIREDSEPNISRASRHISNAISRKGKGVHLEEIDGARNKAKTVQFYDLTVEAAEEGANNNVRMEVVDMMARNQYERPIPHVDNRNSLLDKSIQMAKHQTCERTNGIVTRDENMLYGKGNSTNFFYPYSGNQFGLTDLRKTQSPFGLEVLRSKNKPSSGLYFSPIDTRNFGTSRFNRSIAERGSSGAALQDKGGSNVWKSILKNDFNVSRPWPTLIRNNTSMRFDAASRKGFSQPTSRNNFDKFSFQSASWNTIPNMNLLNLTGAGRQSTSSFNASVGARMLQSAFYPGGCSNNLKIGSSISDRNSMKSGKGESSMSAMMSGVSKQFSRHNLGRDLKELVCGSNVLGNQGTSGPSNTVSGNLCMINRNPADFTVSGEGNAYMINGEDLQLEKSVHEQRSDLPSHGRKLTKKQKGKMKEHEKD >OIW03396 pep chromosome:LupAngTanjil_v1.0:LG10:6666317:6668606:-1 gene:TanjilG_31843 transcript:OIW03396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMASSKERETFVYIAKLAEQAERYEEMVDSMKNVANLDVELTVEEGNLLSVGYKNVIGARRASWRIMSSIEQKEETKGNEINAKRIKEYRNKVESELANICNDVMKVIDEHLIPSATAGESTVFYYKMKGDYYRYLAEFKSGNEKKEASNQSMKAYEILNSPERACHLAKQAFDEAISELDTLNEDSYKDSTLIMQLLRDNLTLWTSDIPEDGEDAHKVNGIAKLGGGEDAEVVMAGRTSLRI >OIW03478 pep chromosome:LupAngTanjil_v1.0:LG10:4974897:4976903:1 gene:TanjilG_14703 transcript:OIW03478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRRDALLNREKGQSLFGSRIVGAVAIGVLLGCVFAFLLPHGLFSSSDSQTIHNLRDRISNQMVSSECESLEQVNALKSDVQTAKEKNYELKKEVRSLMEKLRQAEQGKGHAQEQVVALGDKHKVGPFGTVKGLRANPTVIPDESINPRLAKILEEVAIYKEVIVVLANSNVKEMLEVWFTNIKRVGIPNHLVVALDNTIEEFCKSNDVPVYRRDPDEGVDTIAKTGGNHAVSGLKFRVLREFLQLGYSVLLSDVDIVYLQNPFDHLYRDSDVESMSDGHNNYTAYGFNDVFDEPKMGWARYAHTMRIWVYNSGFFYIRPTLPSIELLDRVASRLSSDPKSWDQAVFNEELFFPSHPGYDGLHAAKRTMDMYLFMNSKVLFKTVRKDDKLKKLKPVIVHLNYHPDKFARMKAVVDFYVNGKQDALDRFPDGSE >OIW03573 pep chromosome:LupAngTanjil_v1.0:LG10:3799184:3805149:-1 gene:TanjilG_30993 transcript:OIW03573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLDLFTKTLKLKTKQQELLIRVTILTLVYILAFITRLFSVLRYESMIHEFDPYFNYRTTLYLTRNGFYDFWNWFDSDSWYPLGRIIGGTLYPGLMVTAALIYRILHFLRFVVHIREVCVLTAPFFASNTTIVAYFFGKEIWDSGAGLVAAALIAICPGYISRSVAGSYDNEGVAIFALLLTFYLFVKAVNTGSLAWSLASAFGYFYMVSAWGGYVFIINLLPLYVLVLLVTGRYSMRLYIAYNSMYVLGMLLAMQIRFVGFQHVQSGEHMAAMGVFFLLQVFFFLDWVKQLLSDTKLFQAFLRITVTGAVSVGAIALGVGTATGFISPWTGRFYSLLDPTYAKDHIPIIASVSEHQPTAWSSFMFDFHILMFLFPAGLYFCFKRLSDATIFIVMYGLTSMYFAGVMVRLILVATPAVCLISAIAVSATVKNLSRLVRAKSQAVQSGSSRGTSTGKASSKGLVDNSQPIQRNGAIVLLVGAFFLLSRYAIHCTWVTSEAYSSPSIVLAARGAHGNRVIFDDYREAYFWLRQNTPTDAKVMSWWDYGYQITAMGNRTVIVDNNTWNNTHIATVGRAMSSYEDEAYDIMRSLDVDYVLVVFGGVTGYSSDDINKFLWMVRIGGGVFPVIKEPDYLVNGEYRVDKGAAPKMLNCLMYKLSYYRFGEMTTEYGKPPGYDRARGVEIGNKDIKLEYLEEAFTTQNWIVRIYKVKPPKNRW >OIW03921 pep chromosome:LupAngTanjil_v1.0:LG10:888954:891388:-1 gene:TanjilG_30197 transcript:OIW03921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEMSSFQMGVVGALFLSVASSVSIVICNKALMSNLGFPFATTLTSWHLIVTFCTLHVAQRLNLFVSKSIDMKTVMLFGILNGISIGFLNLSLGFNSIGFYQMTKLAIIPFTVLLETIFLKKQFSQKIKFSLFLLLVGVGIASITDLQLNLVGSILSLLAIITTCVGQILTNTIQKKLNVSSTQLLYQSAPFQAAILFVSGPVVDQLLTKQSVFAHKYSPVVLAFIILSCLIAVSVNFSTFLVIGKTSPVTYQVLGHLKTCLVLGFGYTLLHDPFTGRNILGILVAVFGMGLYSYFCAEENKKKHSGDLPLSSQVKEKDSSALLAGNQDEENHEPRKSSKESNI >OIW03104 pep chromosome:LupAngTanjil_v1.0:LG10:14120930:14127441:1 gene:TanjilG_07256 transcript:OIW03104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESPTFFFSALFSFLLLSFILFIFHPTPLSEFITLATSNNADYSVHLHPIRTKFSTHNPQLLAVNEDSTAIHRNKSRNRIPMREVGKVEEELAGARASIRSNRSLGTTAHGGGDEGYVPAGAVYRNPRLFYRSYLEMEKILKVYVYPDGDHPIVHDGPCKDIYSIEGRFLHEIEHGHGRFRTNDPNLAHVFFLPLSVAWMVKYLYTPLSYDHSPLRQFVSDYVRVISTKYPFWNRTHGADHFMLACHDWGPSTSKGNPFLYNTSIRVLCNANTSEGFKPEKDVSLPEIHLLGGEVSPKLLSPQKDNNTPRRHLAFFAGGPHGPIRPKLFQYWKNRDDDDNDNDNDIRVYEYLPKDLDYYSFMLNSKFCLCPSGYEVASPRIVESIYAECVPVILSNNYVLPFSDVLQWEAFSLKVDVSDIPRLREILSAIPEDEYLRLKEGVKIVRRHFTLNQPVKRFDVFHMILHSIWLRRLNIPVR >OIW03429 pep chromosome:LupAngTanjil_v1.0:LG10:5505290:5509456:1 gene:TanjilG_14654 transcript:OIW03429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAVRRYLSYGAYRVSGPFFPFGGAVDIVVVQQKDGSFKSSPWYVRFGKLHSVLKPNEKVQVSISVNGVEPDFHLYVNHKGEAFFVSEEEEESMLCSSSSGDDIDDGQPQGSRVHFRSKSYNFDSDKSKSAALVDINNDKIVERTGSRRSRILGFVFGRRSLKRESEDGVELMERAEIVADLLELKWSTNLTYDQLPHRESKRKCGDNLDNDVYQSKMEEGYSCNGQDGLNSKSSFQVPEKHGKEKGGINPSSFSIPDNVVENLVVNENQVSRRRINFADDSERGTNVREVTRPELQEVQCLSSRELGQVQVHADDVLRTVTVVVPEVRDCSHSDVGMVYDIAAVGNPQKFQKSRTVNLGQRRYPAKKLRAKTPTSEQLASLNLKEGRNIVTFSFSTTTFGTRQVDARIYLWKWNTRIVISDVDGTITRSDVLGQFMPLVGVDWSQTGVAHLFSAIQDNGYQLLFLSARAISQAYRTRQFLFNLNQDGKVLPDGPVVISPDGVFPSLYREVIRRTPHEFKIACLEDIRALFPPDCNPFYAGFGNRDTDELSYLKVGIPKGKIFIINPRGEIVVNHYLDTKSYTSLHAIVNGMFPPTYSSEQV >OIW03860 pep chromosome:LupAngTanjil_v1.0:LG10:1289031:1290880:-1 gene:TanjilG_30136 transcript:OIW03860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNESNQFTNMICINLRGKKQRPWRVFSLKELLSATNNFNYDNKLGEVAFGNVYWGQLSDGSEIQVKRMKAWSEKSDNKFAVEVEILARVQHKNVLGLRGYCAEGQEQLIVYDYMPNWSLHSHIHGQNSVESLLDWNRRMSIAIGSAEGIAYLHHQATPRIIHGDIKASSVLLDSDFNPRVADFGLAKSIHDGVAHVGTRVKNSLGYLAPEYAALREANESSDVYSFGIILLQLATGRKVLVKISPSKEQLINHWALPLACEKKFSELADPRLNGNYVEEELKRVILVALLCAQNQPEKRPTMLEVIELLRGESKDKLSQVENSELFKKFTSVGQNDGTSIAEGIKT >OIW03059 pep chromosome:LupAngTanjil_v1.0:LG10:14947021:14950673:-1 gene:TanjilG_19339 transcript:OIW03059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSGSQFDATTAFSGGGFVSSQSTELNDSSPAHSRSRESHGLVPVTVKQISEASHSGDEKSSFVINGVDLNNVTLVGMVFDKVERNTDVNFVLDDGTGRIKCRRWVNETFDSKEMEEIQDGIYVRVYGHLKSFQGIGFLLEKPLWEFLPVTNFDEISFHFIDCIHNHLRTKLKVEGITQAHPDSSFNTPVRNASNVSQAPSSIPAYAQYSVDGLKDCDKLVIEYMQQHSDMSDERGIHVDELSRELKLPLDKIMLSLRTLGDDGLVYSTIDDFHYKQA >OIW03815 pep chromosome:LupAngTanjil_v1.0:LG10:1577394:1579469:-1 gene:TanjilG_30091 transcript:OIW03815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQSAKSESDITSLAPSSPSRSPKRPVYYVQSPSRDSHDGDKLSSMHATPISNSPMESPSHPSFGRHSRNSSASRFSGIFRSSSGRKGGKKRNEKGWPECDVILEEGSYGEYDKGYTRRFQALIAVFTFVVLFTVFCFIIWGASRPYKTEVTVKSLTVQNLYVGEGSDFSGVITKMMTVNVSLRMNIYNPATFFGIHVHSTPINLVFSDISVATGELKKYHQPRKSHRIVSVNVEGNKVPLYGAGSSITLSQTGDVEVPLTLKFQIRSRGNVVGKLVRTKHSKEITCPLIMNSSESKPIKFKKNSCTYD >OIW03264 pep chromosome:LupAngTanjil_v1.0:LG10:10574017:10577530:-1 gene:TanjilG_20568 transcript:OIW03264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESQQFFAGLCPNSSLPSIPIGKKPFSIKLPPFSSYPYNQPQNLQIHSVAYNPINIAHKACYSSRNIVCCSRNISLQTKEEGFEVRRKKLAVFVSGGGSNFRSIHEASLKGSIHGDIIVLVTNKTDCGGAEYARNNGIPVILFPKTKDESDGLSSSDLVTTLRRLEVDFILLAGYLKLIPVELIRAYRRSIFNIHPSLLPAFGGKGYYGMKVHKAVISSGARFSGPTIHFVDENYDTGRILAQRVVPVLANDTAKELAARVLREEHQLYVEVVEAICEERVVWREDGVPLIRSKENPNDFT >OIW03436 pep chromosome:LupAngTanjil_v1.0:LG10:5461333:5462809:-1 gene:TanjilG_14661 transcript:OIW03436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRSGSGWFETFLNSHINISSNGEIFSVPKRRENVSTILNTMDKVYNLDWFTSASKNECNAAVGFKWMLNQGLMENHKEIVEYFQHRRVSVIFLFRRNLLRRMVSVLANSFDKDTKPLNGTHKSHTHSSLEAEILAKYRPRINTTLLIPELRRAEETSAKAIEYFKNTHHIVLYYEDLDKNRTKLKEVQEFLRVPYRDLYSHQVKIHTAPLSEQIENWNEVQKALEGTPYQSFLLSD >OIW03493 pep chromosome:LupAngTanjil_v1.0:LG10:4777405:4782759:1 gene:TanjilG_31006 transcript:OIW03493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLREENEEGRDLKKPFLHTGSWYRLSNNFRYSGLLGSAQALRDSSISVFACVLIVALGPIQFGFTAGYTSPTQSAIINDLGLSVSEFSLFGSLSNVGAMVGAIASGQIAEYIGRKGSLMIASIPNIIGWLFISFAKDTSFLYMGRLLEGFGVGIISYTVPVYIAEISPQNLRGRLVSVNQLSVTIGIMVAYLLGIFVEWRILAVLGVLPCTLLIPALFFIPESPRWLAKMGMTNEFETSLQVLRGFDTDISIEVNEIKRSLAPTNTGTTIRFAELGQRRYWLPLMIGIGLLILQQLSGINGVLFYSSTIFQFAGITSSDVATFGVGAVQVLATCVTLWLADKAGRRLLLIVSSSAMTFSLLVVAISFYMKDYTPEDSSLSGILSLLSVVGVVAMVIAFSLGLGAMPWIIMSEILPINIKGLAGSVATLANWLFSWLVTLTANMLLHWSSGGTFTLYTVICALTVWFVAKWVPETKGKTLEEIQLFFR >OIW03874 pep chromosome:LupAngTanjil_v1.0:LG10:1180343:1181752:-1 gene:TanjilG_30150 transcript:OIW03874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIDNIPDHILWDILSRVEKTIDRNSLSLTCKRLYQLDNSQRNSLRVGCGMDPADEALTCLCSRFRNLSKIEITYSGWMSKLGKQLDDKGLLLLANQCPCLCDLALSYCTFITDVGLRYLASCSKLSSLRLNFTPRITGCGILSLVVGCKNLSRLHLIRCLNVSSVEWLEYLGKLGTLEDLSIKNCRAIGEGDLIKLGPGWQKLKRLQFEVDPNYRYMKVYDRLSVDRWQKQHVACENMLELSLVNCIISSGRGLACVLGKCRNLEKVHLDMCVGVRDFDIICLSQRSSNLKSVSFRVPSDFSLPSLVNNPLRLTDESLDALAENCSKLESVRISFSDGEFPSSSSFTLSGILCLIQKCPVRQLALDHVYSFNDVGMEALCSAEYLESLELVRCQEISDEGLQLVSQFPRLRVLHISKCLGVSDDGLKPLVGSFKLDSLAVEDCPQISERGVQGAAKSVSFRLDLSWMY >OIW03477 pep chromosome:LupAngTanjil_v1.0:LG10:4983378:4985091:1 gene:TanjilG_14702 transcript:OIW03477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLKSKKGWKSIVSLHLKGKSATRFSLFRKVNSASYGPAKTPVYLNVYDLTPMNGYVHWAGLGIYHSGVEVHGVEYAFGAHDYPTSGVFEVEPRQCPGFKFRKSILIGSTSLDAVQVREFMECQAESYNGDTYHLIVKNCNHFCKDICYKLTGKSIPTWVNRLAKLGSICNCVLPKALKVSAVRHDPNYQPHDSVKRRLRSSFNCLSSISMRQKHLSASSLFLQSPLRGCLSSSWPSSELRKSINRSLKES >OIW03505 pep chromosome:LupAngTanjil_v1.0:LG10:4633584:4635879:1 gene:TanjilG_31018 transcript:OIW03505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLQGLAVCPSVHAKLASFCSLSMIGPMNVRFIRSEFWGIKEPCGGKAKPSSPSCHANMRKCKTVQCIFNSPSNGNGSTAENFNENNEDYVNSSVIEAVDVKSGADGFIINMRDGRHLRCIHNNPQGGHLPDYAPHPAIVLKMEDETGLLLPIIVSEMPSVLLMAALNNVPIARPTLYQVVQDMVDKMGYEVRLVRVTTRVHEAYFAQLYLTKAFTLYSFSSKCVSFDLRPSDAINIAVRCKVPIQVNKCLAYSDGMRVIETGKLSTQLPSLDGRLFTEMDRPSDQPCTETVEFNLLHNMLKAVVEERYQDAGSYFFLVFP >OIW04034 pep chromosome:LupAngTanjil_v1.0:LG10:58479:61363:1 gene:TanjilG_24145 transcript:OIW04034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIGSPTNVRHVAHVTFDNFNGFLGLPAEFEPDMPRRPPSASATVFGVSTESMQLSFDSRGNSVPTILLLMQSRLYAQGGLQAEGIFRINPDNSQEEHVRDQLNLGLVPDGIDIHCLAGQIKAWFRELPTGVLDPLSQEQVMQCQTEEECAELVKHLPHTEAALLDWAINLMADVVEHEHLNKMSARNIAMVFAPNMTHMVDPLSALVYAVQVMNFLRTLIARTLQERKDSVVESSPTCYLEPLDENARHRILESCMEDTAAENKPLKKPSPTAENEEALENLDAKETGLHNCRIEGECSSLISSSDNPVCDRDLYCEFPPKGNMRKSKTVQSTSSNARKGPKKTRNHQPVEKKGIRTLDSEEAWR >OIW03343 pep chromosome:LupAngTanjil_v1.0:LG10:7898464:7900720:1 gene:TanjilG_00614 transcript:OIW03343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSLFYGLWKHLFSKMELHVLILGIDKAGKTTLLEKMKSVYSNIEGLPHDRIVPTVGLNIGRVEVANSKLVLWDLGGQAGLRSIWEKYYEEAHAVVFVVDASCPSRFDDVKSALEKVLRHEDLRGAPLLILANKQDLPEAVSSEELARYLDLKKLDERVYMFEAVSAYDGMGIRESAEWLVGMMERSKRTEMLRVRAGAPGPV >OIW03957 pep chromosome:LupAngTanjil_v1.0:LG10:611054:612676:-1 gene:TanjilG_30233 transcript:OIW03957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPKVDKKTDPKAQALKTAKAVKSGPTFKKKAKKIRTSVTFHRPKTLTKDRNPKYPRISATPRNKLDHYQILKFPLTTESAMKKIEDNNTLVFIVDIRADKKKIKDAVKKMYDIQAKKVNTLIRPDGTKKAYVRLTPDYDALDVANKIGII >OIW03480 pep chromosome:LupAngTanjil_v1.0:LG10:4962982:4966125:1 gene:TanjilG_14705 transcript:OIW03480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHFLLSLIFTLLLVLAARVAYSIILLPWLIARHFHKQGIRGPSYHLIKGNTQEIQSMYLEVQSKPMALCHDILHRVTPFYYRWGHTYGKTILYWHGSKPRLVLSNPYIIKEALLKTGVWFERVDPNPSMKLFYGEGIIMAKGEKWVAHRIIANQAFKMERVKSWIPDIIDSTKRMFCEWEDKNKSVDEFEIEVNKYFHGLSADIISKVAFGSSYKEGKEIFELQEQQYHLASLAIRSVYIPGFRFLPTKKNIERKRLAKKTSELIQVLIQDTNRAETNSENLLYLLMSSDKYINNERQRLKLDEIIDECKNFYFAGKETAANSLSWALLLLGLNQEWQSKAREEVLQILGPNTPPTSGTLSDLKLVSLIIQETLRLYPITGALVRQASKRVKVGNIDIPTGTVLYMSITSVHHNTDLWGEDALEFNPMRFAEPQNHAAPYFPFGLGPNFCVGQNLAMVEMKLVLALILQRYSFFVSPTYAHGPILVMSVSPQYGMQIVFRRLRK >OIW03702 pep chromosome:LupAngTanjil_v1.0:LG10:2464275:2468063:1 gene:TanjilG_29737 transcript:OIW03702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKQNIQTSFLNCIDLSNPDINQSVNLLKQEFMDEVFAQSKKFFSLPHKEKMKLLRNEKHRGYTPILDELLDPENQVHGDYKEGYYIGVEVAEDDPESQKPFYGPNRWPASDILPGWRETMEKYHHQALEVGKAVGRILALALDLNASFFDGPEMLGEPIATLRLLHYEGQTSDPTKGLYGAGAHTDYGLITLLATDSVSGLQICKDRDAKPQIWEDVAPLKGAFIVNLGDMLERWSNCVFKSTLHRVLGNGQERYSIAYFIEPSHDCLVECLPTCKSDSNPPKFPPILCADYLSQRYNDTHLDLNVYKKQQV >OIW03249 pep chromosome:LupAngTanjil_v1.0:LG10:11000768:11003259:1 gene:TanjilG_21778 transcript:OIW03249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYHAKKNSTSAVVPHESQCSSELANVATLGGSAVKSGAPAGGSGKQRLRWTSDLHDHFVDAITQLGGPDRATPKGVLRVMGVPGLTIYHVKSHLQKYRLAKYLPESSADSKDSKDEKRNSGDSISGADSSPGFQINDALRMQMEVQKRLHEQLEVQQQLQMRIEAQGKYLQKIIEEQQKLGSTLTTTETPPLSHDAQNNTRSKPSRYSDALASTLSQLKKQKTDDDSKDGFTASQVPTNSTQKTDCSAGKMDPNLHEGDDGFGFDLETENGENKESEE >OIW03489 pep chromosome:LupAngTanjil_v1.0:LG10:4839330:4844054:1 gene:TanjilG_14714 transcript:OIW03489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSISFSSLPARLTLLALFSATTFYCFYKSRRLRNLKFSLSNRFSNPNPKKPKIVFLSQTGTSKTLAQRLHELLSSNDVAFDLVDSKSYEPEDLAKETLVLVVASTWEDGKPPSGSNFLATWLSETATDFRVGSLLLSRCRFAVFGVGSRAYGETFNAVARDFAAQMKALGAAEIVPMWEGDVDGGNLDEDFEIWSGKVVGVLKGGGVLENGGVLENGVVDGDGGEFGNDSSFEDSDEESEPESEIVDLEDIAGKAPSRKNVAVVESNGKLNGKKEMVTPVIRANLTKQGYKIIGSHSGVKICRWTKSQLRGRGGCYKHSFYGIESHRCMEATPSLACANKCVFCWRHHTNPVAKSWQWEMDDPVEIVNSAIDLHTNMIKQMKGVPGVTLERLNEGLSPRHCALSLVGEPIMYPEINTLVDELHKRRISTFLVTNAQFPDKIKSLRPITQLYVSVDAATKDSLKAIDRPLFGDFWERFIDSLTALKEKHQRTVYRLTLVKGWNTEDIDAYFKLFSIGEPDFIEIKGVTYCGSSATSKLTMENVPWHADVKAFSETLSLKSQGEYEVACEHAHSCCVLLAKTKKFKIDGQWYTWIDYEKFHDLVASGSTFDSTDYMAATPSWAVYGAEESGFDPAQLRFKKERHHKSTRVQSS >OIW03353 pep chromosome:LupAngTanjil_v1.0:LG10:7561328:7563996:1 gene:TanjilG_29338 transcript:OIW03353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKMDSYSTLTRCLIILASLASVALCTNPPRPVDVPFSRNYVPTWAYDHIKYFNGGSEVQLHLDNNTGTGFQSKGSYLFGHFSMNIKMVPGDSAGTVTAFYLSSQNSEHDEIDFEFLGNRTGQPYILQTNVFTGGKGDKEQRIYLWFDPTLKYHRYSILWNLYQIVLLVDNIPIRVFKNSKDLGVKFPFNQPMKMYNSLWNADDWATRGGLEKTDWSKAPFIAQYKGFHIDGCEASVEAKFCATQGKRWWDQPQFQDLDASQWRWLRWVRRKYTIYNYCTDKTRYPQLPSECKRNRDI >OIW03476 pep chromosome:LupAngTanjil_v1.0:LG10:4986446:4988357:-1 gene:TanjilG_14701 transcript:OIW03476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSDGATSAASRRKPSWRERENNRRRERRRRAIAAKIYAGLRAQGNYRLPKHCDNNEVLKALCEEAGWRVEEDGTTYRKDCKPPLANAAGTSTRNTPFTSQNPSPMSSYFPSPIPSYQVSPSSSSFPSPFRFDGDNTPSFFPCMHNDISASLTPLRISNSAPVTPPISSPTSRNPKPIPTWESIAKQSMASFNYPYFAASAPASPTHRHLYTPATIPECDESDTSTTASGQWLKFHAFAPSASAMPTSPTFNLIKAVTQHSVPDNSIQDMRSSEREFGVQVKPWVGEKIHEVGLDDLELTLGSRKTQS >OIW03681 pep chromosome:LupAngTanjil_v1.0:LG10:2645949:2648338:1 gene:TanjilG_30745 transcript:OIW03681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDHNYYPLPGSDSSSDAKAALNNNEKEGTWAQEASNKYYNTQEDCVSNQGKVRLNKYALAGAILASTNSILLGYDIGVMSGAVIYITEDLNITQIQVELLVGCLNVFSLIGSIASGKTSDMIGRRYTIILAAATFLIGALLMGLAPSFPFLISGRVVAGIGVGYSLTIAPVYVAELSPTHTRGFLTSLPELFINVGILLGYISNYALSGLPSDLNWRLMLGLAAIPALAVALGVLAMPESPRWLVVKGRFEEARKVLIKTSENKGEAELRLKEISIAAAASSPSSSGQGVWKELLVTPSKAVLRILIAAIGVNFFMQASGNDAVIYYSPEVFREAGIQSEKQLFGVTIIMGVVKTTFVFVSALFLDRFGRRPMLLLGSSGMAVSMFLLGLGCTFLNYSDEKPAWAITLCVVAVCAALSFFSIGLGPITWVYSSEIFPMRLRAQGSSVAISVNRLMSGIVSMTFLSISEAITFGGTFVVLAGVSVIATIFFYFFLPETKGKSLEEIEALFEDEVN >OIW03838 pep chromosome:LupAngTanjil_v1.0:LG10:1440915:1442134:1 gene:TanjilG_30114 transcript:OIW03838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPESSTYSSESDAVDFNLKETELTLGPPGIKTGNKRSFFDTVDLHLATPTHNNSANSSNNFLCSTTKSQTPKMFVESCKRIRLMISSETIGLGTMSGSKCKGAQVKRINGTMISC >OIW03639 pep chromosome:LupAngTanjil_v1.0:LG10:3057991:3064840:-1 gene:TanjilG_22296 transcript:OIW03639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRKRVLSVSNIEEATVKFFNHILQEKPQIPFFIPLILIAWAIERWVFPFSTWVPLVIAVWATIQYGRYQRKQLVEDLNKKWQRTMLNTSTITPLEHCEWLNKLLTEIWPNYFNPKLSLRLSAIVEKRLKLRKPRLLERVELQEFSLGSCPPSLGLQGMRWSTVGDQRVMQVGFDWDTNEMSILLLAKLAKPLIGTARIVVNSLHIKGDLLITPVLDGKALLYSFASTPEVRIGIAFGSGGSQSLPATEWPGVSSWLEKLFADTMVKTMVEPRRRCFSLPAVDLRKKAVGGIIYIKVISANKLSRSCFKAPRRQQSGTTNVFSEDNFNDKDLQTFVEAEVEELTRRTDVRVGSTPRWDAPFNMVLHDNAGNLRFNLYESCPSSVKCDYLASCEIKLRHVEDDSTIMWAIGPDSGVIAKQALFCGDEIEMVVPFEGANSAELKVSIVVKEWQFSDGSHSLNNIRANSQQSLNGSSNLQLKTGRKLIITVVEGKDLATKDKSGKFDPYFKLQYGKAIQKTRTAHTPIPVWNQTFEFDEIGDGEYLKVKGFSEDIFGDENIGSAHVNLEGLTDGSVRDVWVPLEGVRCGELRLKIEAIKFEDQEGSRGSAVGSGNGWIELVLIEARDLVAADLRGTSDPYVRVHYGNLKKRTKVIYKTLNPRWNQTLEFPDDGSPLELHVKDHNALLPTSSIGDCVVEYQRLPLNQMADKWIPLQGVRKGEIHIQITRKVPEIQRRNSLDSEPSLSKLHEIPNQIKQMMIKCRSMIDDGNLEGLSTTLSELETLEDTQGGYIVQLETEQMLLLSKIKELGQEILSSSHSLSRRFSSDSGN >OIW03596 pep chromosome:LupAngTanjil_v1.0:LG10:3209617:3209952:1 gene:TanjilG_06105 transcript:OIW03596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKKPNRNGTYHRLGSIKEVSDCSQSSNVGTLISPNVKMVEVTPQVQVQVQVQVQQKIRLSTNILKRFRDVYVEGMVCFAENVAHMNSTSNICFDKKIHDEDVQYLTVHES >OIW03121 pep chromosome:LupAngTanjil_v1.0:LG10:14461632:14465285:-1 gene:TanjilG_07273 transcript:OIW03121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEEPVDQKKYFEESCKPKCVKPLLEYQACVKRIQGDESGHKHCTGQYFDYWSCVDKCVAAKLFAKLK >OIW03654 pep chromosome:LupAngTanjil_v1.0:LG10:2919288:2920754:1 gene:TanjilG_22311 transcript:OIW03654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNLNSPFLLFITLSLSLFHFPSTTSSRISPSHTTTLDISSSLHQTHQVLTFNPDLLQPSKNQETKTNTTFFSLHLHPRESIHTTHHKDYKALILSRLARDSARVDSLNTKLQLALYNLSKSDLHPTQQTMIRPEDFSTPISSGSNQGSGEYFTRVGVGQPSKPFYMVLDTGSDVNWLQCKPCDDCYQQSDPFYDPTQSTSFYPLPCDSQQCQVLDTSACRNNKCLYQVSYGDGSFTVGEFMTETMTFGNSGSVNRVAIGCGHDNEGLFVGAAGLLGLGGGPLSLTKQIKATSFSYCLVDRDSGKSSTLEFNSPRPGDSVTAPLLKNQKVDTFYYVELQGISVGGEVVSIPPETFAAGNSGGGVILDCGTAITRLQTQAYNSVRDTFKRLTQNLRSADGFALFDTCYDFSSLSSVRVPTVSFHFNGGKSWALPAKNYLIPVDSAGTFCFAFAPTRSSMAIIGNVQQQGTRVSFDLANSLVGFSPNKC >OIW03843 pep chromosome:LupAngTanjil_v1.0:LG10:1396080:1400087:-1 gene:TanjilG_30119 transcript:OIW03843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHLLETNGGAVKQFKWGIKKGVGMNDKNLQFYESFVYEGVEYFLYDCVYFYHTDHVETSVGKLVKIYERPNHEKVVKVVWFFRPCEIRNFLGDYQPNWNELLLASGVGTGVSNVNNLGSIIGKCNVICTSKDKRNPEPSKTELKTADFFFNHAFDVQRRAVVDKFPNEIDGVKVERFFNRKEDKKTTSPPHVGTNLGRKIVIKCRTDPSHTLQCQVEDKAEVRTSESVLPKSSLPPCPPRKRKIIEENSTINRSSESPKEEEFYDNAQKLNPDKRIKTCREIIEVTERPDSERRKWFKKLPWDERLRNARDSGTLVLLSNLDPSYTSFEVEDLLWHVLKEKVEARIIEWSPTFNTDYGRALVIFKTKDAAESALYESNRRCLVLGEMRVVYAEKGIITEPEKQRKFTGHLVLDRFQKQQRDMVELT >OIW03579 pep chromosome:LupAngTanjil_v1.0:LG10:3742436:3744463:1 gene:TanjilG_30999 transcript:OIW03579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECYKFQLVAIVSLVLLLPSTQGWGKDGHAIVCKIAQSRLSDAAADAVKQLLPAYAQNDLSSVCSWADTVRFYVRWSGPLHFADSPDKVCNYDFKRDCKDQNGVKGRCVVGAISNFTDQLLTYNTKTKTEYNLTQALLYFSHFMGDVHQPLHVGFTSDKGGNTIAVRWFKRKENLHHIWDVNIIETAEERFYNSNIDDFTADLQKNITKGWANQIKGWETCDNNKISCADVYASEGVKAACDWAYKDVTEDETLSDDYFESRLPIVQLRLAQGGVRLAATLNRIFG >OIW03450 pep chromosome:LupAngTanjil_v1.0:LG10:5271268:5272641:1 gene:TanjilG_14675 transcript:OIW03450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METNTIPRPQFMNSEPPRMSTTEAFSDEEYRNSSAQSPMSPYFYDPTRMSSEGSPMMMSPWNQTTNSPFSKPQWSQNYDEAARQNALIGSLVREEGHIYSLAATGDLLYTGSDSKNIRVWKNLHEFCGFKANSGLVKAIIISGQKIFTGHQDGKIRVWKVSPKNPSVHKRAGTLPTLKDIFKSSIKPSNYVEVRKHRTALWIKHSDAVSCLSLSNDKAYLYSASWDRTIKVWRISDSKCLESIAAHDDAVNSVVCGIEGFVFSGSADGTVKVWKREQRGKGTKHEAVQTLLKQECAVTALAVNTTGSIVYCGSSDGLVNFWELEKQFAHGGVLKGHKLAVLCLAAAGTLVFSGSADKTICVWKRDGVIHTCMSVLTGHDGPVKCLAVEEDREAAARGDPRWVVYSGSLDKSVKVWSVSEANNQMPQHHMVSDGDSFPPSESDGSYSSSGRPNHERRN >OIW03150 pep chromosome:LupAngTanjil_v1.0:LG10:13363197:13365237:1 gene:TanjilG_11787 transcript:OIW03150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGTAAQAVSAKPDLELDSCDGIFLTYTLVSREKEYPHVKNVAKQAWAFKSMATLMNVGDEELKDWKMYIGFQHKEILVSADGAVPIDAEEDFPTSVGNGTTLAGNPVTDLKTAIETASDFNQMSVKVQMSGTQFGLGKGGKPMPKSIKLVNDGFKCPAATRKGSRMSVCCKKDPKAKAKLLKKTKFPPRRYGDLTIAYDVLQAFSNNYYAQVTIENNHPLGRLDHWNLTWEWQHGEFIYSTKGAYARIKDPSECLYGPAGKYYGSMDFSQVANCQKKPILSDLPSERKDDEKVGKLPWCCRNGTVLPPIMDKSKSKSLFQLQVFKMPTDENRTAITPPMKWHIDGVINPQYKCGPPIRVDPQEFPDPTGLKAIETAVASWQVVCNITKPKPQTNKCCVSFSAFYNESAIPCNTCACGCNDVRKCNNKASPMLIPPDALLVPFANRSQKAIAWAKLKHLKVPNNLPCGDNCPVSINWHVNTDHKAGWTARITIFNWADYTFDDWFTALKFDRYFEDFEDVYSFNGTRIPGIKTIFFQGVKGLNYLAGETNGTHEYDPRVPGKQQSVVSFNKKNVEHFDITRDGFPSKVYFNGEECALPPIRPMKSSGHHQSSISLLAIIFSAFVTFLLMA >OIW04058 pep chromosome:LupAngTanjil_v1.0:LG10:194554:197628:-1 gene:TanjilG_24169 transcript:OIW04058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDLLTDSFVGEVNNGQTSRQGDIEMGSQVQRSNSDMGMEAFNKQIHEADKQIDKLSVLLQKLKEANEESKAVTKAAAMKAIKKRMEKDIDEVGKIANGVKTRIEAINKDNLNNRQKPGCEKGTGIDRARMNMTNASTKKFKDLMTEFQTLRQRIQDEYREVVERRVITVTGTRPDDETIDHLIETGNSEQIFQQAILEAGRGQVVSTVEEIQERHDAVKEIEKKLLDLHQIYLDMAVLVDAQGEILDNIESQVNNAVDHVQRGTSALQSAKKLQKNSRKWMCIAIIILLIIVAVIVVGVLKPWKSSNGA >OIW03585 pep chromosome:LupAngTanjil_v1.0:LG10:3635362:3641303:1 gene:TanjilG_00555 transcript:OIW03585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNITHQIGNHAATPISSDSTSGDSTAMAISAAVWKSPSEFDSADLSVACQAFATQAPAAKAGSGVPVYVLMPLDSVTMENKVNRKKATSAALTALKSAEVEGVMINVWWGLVEREGPREYNWSSYMELMEMAKKHELKVQAMMSFHRCGGNVGDSCTIPLPRWVGEEIDNDPDLAYTDQWGRRNYEYVSLGCDTLPVLKGRTPVQCYADFMNAFRDNFKHLLGETIVEIQVGMGPAGELRYPSYPEQSGTWRFPGIGAFQCYDEYMLSSLKAYAEAQGKHEWGSTGPTDAGEYNNWPEDTTFFRSGGWDSEYGQLFLTWYSQMLLDHGDRILTSANSIFDNTGVKISVKIAGIHWHYGTESHAPELTAGYYNTRFRDGYLPISEMLARHGAIFNLTCIEMRDHEQRQDAQCAPEKLVKQVALATQKAQIPLAGENALPLYDEYAYEQVIKASQLDVDGNSDDRKMCAFTYRRMNLQLFQPDNWKKFVAFVKKIKEGKGT >OIW03807 pep chromosome:LupAngTanjil_v1.0:LG10:1638427:1638867:1 gene:TanjilG_30083 transcript:OIW03807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGNSNNISSLNFKETELRLGLPGFISPERKSGTVVGVSLFGIDLQNKHKNNVSSVVTPLKNHVAGAKRGFSDVIDGSEVDMGKGTVLFSPRGGNVGKSLVGLDANNITPNQTIKEVGNVIVSQSVMEKNNQVSGTNDHAIAPAAK >OIW03000 pep chromosome:LupAngTanjil_v1.0:LG10:15787430:15789998:1 gene:TanjilG_13637 transcript:OIW03000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNEEQKRCSPKTQKNPLTESNVQNSNTLFSPRFKNAAALAGWDEEALLLASLVVEDTPDRDSRTKKRFVLNSKSPHTNSRRKRRVQRSPHSIPVAVLNLDEEDTPKKESGKKKKEKKTTTNEGSKIEGSELKENVSNVSSSNSALPCIDKLRDELSCAICLEICFEPSTTPCGHSNGRSCTVNTVLWNTIQLLFPQEVEARKAASASKVRHTSTTSNIPEAAFYSNLRNQSTERASGASSRGMSTRRNNVIIEDEDAAASVRRFRREIDGQSRGSRVVHVHNNSRNGTSSSVRTRTRRGITTSQDEDAALAQRLQREEFMQAFRGTSQEQQTSGASSLSLARANVRAMVLRMRDRRT >OIW03032 pep chromosome:LupAngTanjil_v1.0:LG10:15428115:15430280:-1 gene:TanjilG_20960 transcript:OIW03032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMASSLFTSSMFSTNISLPFLKHKNPLSSLTPSIQSSPKPRRFNVVAMAPPKPGGKAKKVVGVIKLALEAGKATPAPPVGPALGSKGVNIMAFCKDYNARTADKPGYVIPVEITVYDDKSFTFILKTPPASVLLLKAAGVEKGSKDPQQQKVGKITIDQLRTVAAEKLPDLNCTTIESAMRIIAGTAANMGIDIDPPILEPKKKELV >OIW03960 pep chromosome:LupAngTanjil_v1.0:LG10:594223:604779:1 gene:TanjilG_30236 transcript:OIW03960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQRMGTKLFISRLSFFTTTEQLKDLFSPFGVITEANLVLDPRTRRPKGFGFVSYESEIQAEKAIKAMNGRLYPTRRNRSIIVDCVGKSCILLRFSDGSFTTSFITTIGIDFKIRTIEMDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKILVGNKADMDESKRAVPTSKGQALADEYGIKFFETSAKTNLNVEEVFFSIAKDIKQRLADTDTKAEPAGIKINQQDQAAGAGQAAQKSACCG >OIW03127 pep chromosome:LupAngTanjil_v1.0:LG10:13821253:13824270:-1 gene:TanjilG_11764 transcript:OIW03127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSMRKPWFWLSLFILSFSFQIDPSRATLAFISTNQTLSGDQVLLSKDGMFELGFFKSGNSSNYYIGMWYKRVSQRTIVWVANRDQPVSDKNTAELTILEGNLVLLDESQSQVWSTNFSSPTSGSVVATLLDNGNLILRDSDASDFLWQSFDHPTDTFLPGGKLKLDNKTKQPQYLTSWKNSEDPATGLFSLELDPKGSTEFLILRNKSVEYWTSGTWNGQIFSLVPEMRLNYIFNFSFVSNENESYFTYSLYNTSILSRLVMDVSGQIRQLTWLENTQQWNLFWSQPRQQCVVDDFCGAFGSCSESSLPYCSCLPGFVPKSPSEWNLQDYSGGCQRSTRLQCESFNPSNGEDEFLEIFNMALPKNAESVRTRNAEDCESICLNNCSCSAYAYNSNGCSIWIGDLLNLRQPSSDDSSGGTLYLKLAASDFPNAGKGKSKRLPLILGIPLASVVVILTCAAVYVYIRKRKIARKQEREMIQRNRGRFYDSEREVKDLIDEGHLEEKDNEGIEVPYFDFDSIIVATDNFSLANKLGRGGYGPVYKGKLQDGQVIAVKRLSSASKQGLREFKNEVILIAKLQHRNLVRLRGYCIKGEEKILLYEYMPNRSLDSFIFDREQGVLLDWQMRFDIIRGIARGMLYLHQDSRLRVIHRDLKTSNILLDKKMQPKISDFGLAKIFSGKETEGNSEMLAGTYGYMAPESATKGFFSAKSDVFSFGVVLLEIISGKKNMGFYESEQISSLMGYAWRLWTEKRLLDLMDLSLCETCNVNQFTRSVHIGLLCVQDDPNDRPSMSNVVTMLGIETLPNPTQPTFYERKVPTNHASYSKLATSLQLDSIYESYQDGR >OIW03375 pep chromosome:LupAngTanjil_v1.0:LG10:6253770:6263102:1 gene:TanjilG_31822 transcript:OIW03375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETNSVDVILDFLRKNRLTRAEAALRSEINNCPDLNGFFQKLTLEEKASRDMPQNDKGKPGLDFQGADSCESVEVSKELIVKEIEYRTSRNATETKWKSSAPSTSDQNKLKNELVGASDKHFNFSKSSEDRILDLFSLKSNPSNGPVEPCQNGAGSRAANTSKVSVPHHSKYQTNEDVAAVAIKSNAKAGEESAVLAANKSLWVGSSSRVSVEPMYDLVLQSKEPSEHDRQLKFSSSSLKGNFSDNPGSRTDENAISSSDPWNCSVKTVFPFPRGDISTSFDADKNVEKRSIEISDISASIKEQVDEVGRPIFLGKSHRSSELKTIGSLSFPFVSENQREVFPRLPPVKLKSEDKPLAVKWEEQFERDGPTSKFSVADSTLFIGSYLDVPIGQEINPSGMKRAAGGSWLSVSQGIAEDTSDLVSGFATIGDGLSESIDYRNEYWDSDEYDDDDDVGYMRQPIEDEAWFLAHEIDYPSDNEKGTGHGSVPDPHEGGPAKDEDDDQSFAEEDSYFSGERLLEVSNVEPVKASDDHVGLTVTEMYGRANDNDLMAQYDGQLMDEEELNLMRAEPLWQGFAAQTNELIMVGDGKVLDDSGRSRLEDICMVDDQHGSVRSIGVGVNSDASDIGSGVHGSLVGGSSEGHLKYFRDCDVRVGGFRHSNHDLDKNSISKSNKNKKKNDMSESNKYDGNFSFPVSSIDGHILEAASKQSPWSNNCNVDETDDRLNAFVGSDEMLASWMRESRDSSPIKSSRDENNANQIRSRNSSPATVSNYGYYEREHIKPEEDEKVDVSREDDLGASLEDEEAAAVQEQVRQIKAQEEEFETFDLKIVHRKNRTGFEEDKNFHVALNSVIAGRYHVTEYLGSAAFSKAIQAHDLHTDMDVCVKIIKNNKDFFDQSLDEIKLLKYINKHDPGDKYHLLRLYDYFYYREHLLIVCELLKANLYEFHKFNRESGGEVYFTMPRLQSITIQCLESLQFLHSLGLIHCDLKPENILVKSYSRCEVKVIDLGSSCFETDHLSSYVQSRSYRAPEVILGLPYDKKIDIWSLGCILAELCTGNVLFQNDSPATLLARVIGIIGPIDQSMLAKGRDTYKYLTKNHMLYERNQETNRLEYLISKKTSLRHRLPMGDQGFIDFISHLLEINPKKRPSASDALKHPWLSYPYEPISS >OIW03317 pep chromosome:LupAngTanjil_v1.0:LG10:9316488:9319116:1 gene:TanjilG_16466 transcript:OIW03317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINQTGQQTEYCISSHGSKKGNHGGHNYNNYNYVNEEDDATIEKMQKEIKANQDSALSLCTEKVLLARQAYELIDSHIKRLDEDLNNFAEDLKQEGKIPRDEPAILPPLPIVPKPEKRRPSYGTPQSKRFDYRDRDWDRERDRDFELMPPPGSHKKEYATPMDVDQPIDPNEPRYCVCHEVSFGDMIACDNETV >OIW03916 pep chromosome:LupAngTanjil_v1.0:LG10:912749:914656:-1 gene:TanjilG_30192 transcript:OIW03916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCAICLTTMKPGHGHAIFTAECSHTFHFHCITANVKHGNRICPVCRAKWKEIPFQNPSFSASTDIPRINQVSSQRDDAVATMLRRIPSQPANNVGGRRSSSLPNVPEPVVFDDDESLDQLAGTTQINNDAQTDHNVINTMEIKTYPEVSAVSKSASHDNFGLLVHLKAPKRNITESSSPTSVQNSRAPIDLVTVLDVSGSMAGTKLALLKRAMSFVIQNLSSSDRLSVVAFSSTACRIFPLQRMTDSGRQHALQAVNSLSPNGGTNIAEGLRKGAKVFVDRKFKNPVGSIMLLSDGQDTYTISSRPHIGTDYRSLVPNSIQRNNGSGLHIPVHAFGFGIDHDATTMHSISEISGGTFSFIEAEDVIQDAFAQCIGGLLSVVVQELQVEVVCVHPKLQLSSVKAGSYQTNLTANARTASIKVGDLYAEEERDFLVTLNVPVDKSSNEMSLLVVRGFYRDPMTKEMMGLVETSEVKIQRPSVARGQVVSIEVDRQRNRLRAAEAMDEARVKAERCDLSGAVSVLDNCHKALAETVSAKAGDRLCVALAAELKEMQERMTNQRVYEQSGRAYVLSGLSSHSWQRATARGDSTESTSLMQAYQTPSMVDMVTRSQTLILGSPQLGLRPAKSFPDRKKEK >OIW03256 pep chromosome:LupAngTanjil_v1.0:LG10:11103385:11107871:1 gene:TanjilG_21785 transcript:OIW03256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRLCASLSPSRRITSLSPYSNHSHRNHEPQETTSFSDTTQPPKLFVVQPRLRPEKYLQAKLNEAMCLANSLEEQRDGEFYTDFFDKELPPHILVQNPSVKGHKPRADTYFGHGTVDNIKCHLNARAWNIPVLDRVGLIIEIFNAHAFTKEAKLQAELAALSYKKSRLVRVRGPGGRYSFGTAGEAEVVSARGRGGGGQGFTSGAGETELQLQRRRILERRNYLLSQIEEVRRTRAVQRAGRKRHGGSFGQGLATVAVVGYTNAGKSTLVSELSNSELYSDCRLFATVDPRLRSAVLPSGRKVLLSDTVGFISDLPIQLVEAFHATLEEVVEADLLVHVVDSSAPNLDEHRSTVLQVLQQIGVSEEKLQNMIEVWNKIDIEEECLDVNEDEETGETNSFTEEDDVKCETIAETEEDDAVSISDAENEGNNDNDNHNVNYEAMEEKEDYSDGWLYEDTLVDEDDFRSPLTAAVQQNDSSNENSIAKNDSLIGPSGPHVKTSAIMGVGLQELLGLIDNKLSVQDKKLNPAQMVESSIFNRKWRPSQTQDSSIVAEQ >OIW03306 pep chromosome:LupAngTanjil_v1.0:LG10:8875706:8876968:1 gene:TanjilG_16455 transcript:OIW03306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMREGSGGAVMEMRVILEDDTEVDDCVELVVIEDTVELEDLASYSNDEVVALVRAGTRAVAMANKDDTEEDLTYSDALSAGYFGYNIILCIFDRATPCCAKTKECRLGTGSSMGNTTMPLIWRSIRKGINEAALASGGINEAYGLPNSGQQTWNECMHEVGLSLDVGGGLLGEMQPMHGKSSSPSNSPMHTGMDKCDRQEVCVGISNTSNLFILPSKANREIVYLINNVQDNRYMEGVDGNSTVVSYLGYMVDLGKTKDVHDNTMDCF >OIW03092 pep chromosome:LupAngTanjil_v1.0:LG10:13966219:13977830:1 gene:TanjilG_07244 transcript:OIW03092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFRKFFYKKPPDGLLEISERVYVFDYCFTADMMEEDEYKVYIGGIIAQLHGHFPDASYMVFNMHEGESPSHISNILSDYDMMVMDYPRQYEGCSLLTMEMIHHFLKSGENWVQLGQQNLILMHCERGGWPVLAFMLAAFLIYRKQFTGEQKTLDMIYKQAPRELLQLMSPLNPLPSQLRYLQYISRRNVGSEWPPLDRALTLDCVILRLIPKMDREGGCRPIFRIYGQDPFMAADRTPKVLFSTPKRSKLVRYYKQADCELVKIDINCHVQGDVVLECISLDSDLERENMMFRVMFNTAFIRSNILMLNRDEIDILWNAKDYFPKNFRVEVLFSDMDASSSVIPIDLPCIEEKEGLPVEAFAKVKEMFNNVDWLDSNTEVANVLQQITASNILLERLDSSASSPTNKLLNESLSGRLSRRSTSSFESSGYAHMEQKIEAIESKALPENNMKIEAIESKEIESPASKKSLENDTNFRTSTVQGKQSIPLIEPSMDTSSMAKKIGPLESKDMDIESLESLDNGTKFLTSVAQGKQSIPSIEPSTDANSMKEKIELLESKEKEIESLDVKALSEKEIERRTQSEIEGGLMEKKIKPSESKALPENNMKTFAPVDQVKQTIPLTGISTDSNSTEMQNESLESKELLEDVTRFPVFVAQGKKSAPQVEPSPGANSMEEKIGLLETKKKEVESFEGTPVKKKIETLESKAPPENNKTVAPFNQEKQPIPSSGLSTHSNSTDMQIEPLESNALLENITNFPVSVAQGKKSTTPLVELSTDAKSVKKIGALESKEKDTESLQSKTLLENDDKYSTSTDANSVKRKIGPLESKEKDIESLQSKALLENDDKYSTSIAQGKQSIPLVEPSMDAKSMKKESEQLQAEVLSENDIKSLTSTVQRKQDSHSFNPILVDAANLIEKKIEPQKLKVSLELPTQSKIISPWVRQATRSASVSYSNFLQASPVSISRYHSAPSALGLTTVLQDHAPMDDIKEVTQQVTVSDSKVQKSVEPGFTSVPPASSSPALLPSKVDALSTTEKTSRPLVPASPPPPPPPPHHPQLEPSSKLMQPISENAMHDKGKKSLDTPPPPPPPPPPLLPGASLSTVKDSFKGPPPPPPPPVSSTGNTASSIPPPPPPPLSTNKLAASSGPPPPPPPPRHSSTTPAPNLTSSAPPPPPPPSSKNSAVPSPPIPPPPSPTASSQPHAPPVPGPPGVPFGAKGRGLFRANPKGPSQTKRSNLKPYHWLKLTRAVHGSLWAETQKLDEACRAPEFDMSELESLFSAAAPNSDHGKEGNSNRRTSRQKVDKVQLIELRRAYNCEIMLTKVKIPLPDLMSAVLALDDSVLDVDQVENLIKFSPTKEEMEMLKGYTGEKENLGKCEQFFLEMMKVPRVENKLRVFSFKMQFCTQVKDLRRDLSIVNSASEEIRNSVKLKRIMQTILSLGNALNHGTARGSAIGFRLDSLLKLTDTRARNNKMTLMHYLCKVLAEKLPELLDFPKDLVHLEGSTKIQLKYLAEEMQAISKGLEKVVQELTASENDGPVSESFCQILKEFLSDAEAEVRSLAQLYANVGRNADALALYFGEDPARVPFEQVVSTLLNFVKMFIRAHDENCKQLEYEKKKAEKEAEKVGGKKGSEHTIRSNIKSSNSK >OIW03804 pep chromosome:LupAngTanjil_v1.0:LG10:1650113:1651649:-1 gene:TanjilG_30080 transcript:OIW03804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKAVTIRTRKFMTNRLLSRKQFIIDVLHPGRANVSKAELKEKLARIYDVKDVNSVFVFKFRTHFGGGKSTGFGLIYDSVENAKKYEPKYRLIRNGLDTKIEKSRKQLKERKNRSKKIRGVKKTKAADAAKAGKKK >OIW03906 pep chromosome:LupAngTanjil_v1.0:LG10:991865:994541:1 gene:TanjilG_30182 transcript:OIW03906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPMNLQQNNVVHVNDFINLIRGENEDPIYNFGSDLLNDCFIDKQFVSLAGNSIFDQNNNVVNAYDPNSTLSSFSYFGGKVKGEGEGEDENDGIYSSSKTTTTTIIAGESTKAKSRMKTDRSKTLITERRRRGQMKEKLYSLRSLVPNITKMDKASIIGDAVEYVHRLQAQANKLKAEIAGLETSTLVYESYQGSSSIIDDPLNILVTNNSHPISKMIMQIKMYQVEEKGYHGKIACNKGQGVAASLYKALESLAGFKVQNSNLVTVCDSLILTFTLNAEIAGLETSTLVYESYQGSSSIIDDPLNILVTNNSHPISKMIMQIKMYQVEEKGYHGKIACNKGQGVAASLYKALESLAGFKVQNSNLVTVCDSLILTFTLNVKGCDPEINLPNLKLWVTGALLNQGFEFMAFAHDA >OIW03386 pep chromosome:LupAngTanjil_v1.0:LG10:6410944:6411993:-1 gene:TanjilG_31833 transcript:OIW03386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMKSTGGEIVQVQGGHIVRSTGRKDRHSKVYTAKGPRDRRVRLSAHTAIQFYDVQDRLGYDRPSKAVDWLIKKAKSAIDKLDQLPPWHPTSLNHNTNEDHGAEQNAGSSEMNGTIGEHSESSGYNFQLQRQLGEDSDNHFIPSPIDTDAIAFFPTTTAPSSINFQSYPPDIISRNHHNNSTEDLGLSLHSFQDHGLFHGQSSQAGANQTPCSNEQTLFSGSTPVGFDSNYHRIVNWNNEANNVDMNRTSFMLNNNSAAFLGQGCSAYPQRGTLQSSFTPSLRSWNDIPMASSEHHRSQPIHHASIFGSRFLSDALPGFCIPARIQGEEDENHRVGSDRPSSSSPNSHH >OIW03348 pep chromosome:LupAngTanjil_v1.0:LG10:7732381:7741056:1 gene:TanjilG_10276 transcript:OIW03348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLFSTPHISTPLTPKFTSSPRTWTLFFIHTNPFHFKTCQTQNKNKGLTLLPTNAIYAPSSSSSSPTKTEKTELDNDPISVLNERIRREFSKREVLRPVMDSDEADKYIQMVRAQQQRGLHKLKGHKEGKDGGGSFSYKVDPYTLVSGDYVVHKKVGIGRFVGIKIDVPKNSSEPTEYVFIEYADGMAKLPVTQASKMLYRYSLPNENKRPKTLSKLNDTSAWEKRKIKGKVAIQRMVVDLMELYLHRLKQKRPPYPKIPAMAEFAAQFPYEPTPDQKQAFIDVERDLTERETPMDRLICGDVGFGKTEVALRAIHCVVSTKKQVMVLAPTIVLAKQHYDVISERFSAYPDVKVGLLSRFQTRAEKEGHLDMIKSGGLDIIVGTHALLGNRVVYNNLGLLVVDEEQRFGVNQKEKIASFKTSVDVLTLSATPIPRTLYLALTGFRDASLITTPPPERVPIKTQLSSFSKDQVISAIKFELDRGGQVFYVLPRIKGISQLILLYLDPSSLSIRMCYDCYLSLI >OIW02988 pep chromosome:LupAngTanjil_v1.0:LG10:15884443:15885138:1 gene:TanjilG_13625 transcript:OIW02988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFIIYLFGYFILFRNWSPKIRPESASCLISLFHGTPAAILGSAAIIADQNRGFVAANTGFQKLVLDYSTAYFLMDLIHYLVFFRGDVLFIAHHLATLFVIVTCRHTVSHGAFSVIVLLVLAEVTSACQNAWTLAAARRSEDRFAAKVFDALSLPFYALYSVVRGFVGPYYMYRMIVFYAGGGADGLVPRWVWVSWVVVVVMAIGVSIMWISNLWLELYRKRTRKLDEKIR >OIW03671 pep chromosome:LupAngTanjil_v1.0:LG10:2742522:2742998:-1 gene:TanjilG_30735 transcript:OIW03671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVSQDHSKLNSSFILDLIVNLVSANPSISVKAVVKEVVSHFDYTVTYRKAWTAKQMAYNELYNELPRWMNALQYFSPGTIVKYEARHRVVDGIEDPSRIILDRILWAFKPCIEGFVYCKPILQVGETFFDWEIYWNIANSKFTIWKQTCISRCICHC >OIW03451 pep chromosome:LupAngTanjil_v1.0:LG10:5260228:5261799:1 gene:TanjilG_14676 transcript:OIW03451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTLSSSFLQLEFPSTKKPSSNINRLSFIPSQIKVKASVSEKPFPPISEPKQLTKLPFRKIPGDYGIPIITPFQNRLDYFYNQGRDEYFKSRIRKYKSTVFRTNVPPGPPIASNPNVVVLLDSKSFPILFDVTKVEKRDVFTGTFMPSTDLTGGYRVLSYLDPSEPKHGLLKRLIFFQLKSRREHVIPEFRSSYDELFQTLENELASKGKSSFNDANDQAGFNFLARSLFGSNPGDSSLGRDGPSIVQKWVLFQLSPILKLGLPQFLEDTIIHTFRLPPSLIKKDYQRLYDFFYQSSGFVLDEAERLGISREEACHNLLFATCFNSFGGMKLFFPNLMKWIGRGGMKLHTQLAMEIRTAVRSAGGVVTMAAMENMPLMKSVVYEAFRIDPPVPLQFGKAKRNLVIESHEHAYEVKEGEMLFGYQPFATKDPKVFDRAEEFVGDRFVGEGEKLLKHVVWSNGPETENSSVGNKQCAGKDFVMLFSRLLVVELFMRYDSFEIQVGTTPLGPSITITSLKRSSF >OIW03357 pep chromosome:LupAngTanjil_v1.0:LG10:7251612:7251966:1 gene:TanjilG_29342 transcript:OIW03357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIKLGTSVVVTRNQQRPGKLVDVVIVDVVLLLLWLIRIVKVVFVVLVVRNEIG >OIW04053 pep chromosome:LupAngTanjil_v1.0:LG10:155386:156137:-1 gene:TanjilG_24164 transcript:OIW04053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDVPERRQAEAARIREKYPDRIPVIVERAEKSDVPEIDKKKYLVPADLTVGQFVYVVRKRIKLSPEKAIFIFVKNILPPTAALMSAIYEENKDEDGFLYMTYSGENTFGVMI >OIW03684 pep chromosome:LupAngTanjil_v1.0:LG10:2618002:2620536:-1 gene:TanjilG_30748 transcript:OIW03684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKPSPMPPLASTGQSSQIYVPAQPPPPPPFVSSSVESGSNYSAGEPHPPPSPALSLGFIKSTFLYEELLSATDGFSNANLLGSGGFGYVHRGILPNGKEVAVKQLKAGSGQGEREFQAEVEIISRVHHKHLVSLVGYCINGTRRLLVYEFVPNNTLEFHLHGKGRPTMDWSTRLRIALGSAKGLAYLHEDCHPKIIHRDIKAANILLDFKFEAKVADFGLAKIASEVNTHVSTRVMGTFGYLAPEYASTGKLTDKSDVFSYGVMLLELITGRRPVDIHHNYAEDSLVDWARPVLTRSLEEDDFDSLIDPRLQNEFNRDDLARMVACAAACIRYSAKRRPRMSQVVRALEGVVSLEDLNDGTRPGHSTAYSSYGSSDYDTSQYKEDMKKFRKAALEGTQEYGASSGYSAPTSEYGLYPSGSSNEGQSRQTTREMEMNMVKNAQVFSSGKP >OIW03439 pep chromosome:LupAngTanjil_v1.0:LG10:5430719:5434029:-1 gene:TanjilG_14664 transcript:OIW03439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQSNASDSPSQQQQQQQPQSEQQNHQQRQPQPPPPVAVAPQQWVPMQYPAMVMPHHMLPPQPYAPPPPYVPYHHQYQHVPHVTTHQNHHGSNGGENKTIWVGDLYHWMDESYLHRCFASTGEISSIKVIRNKHTCLSEGYGFVEFYSHATAEKVLQTYGGILMPNTEQPFRLNWATFSTGDKGSDNVPDLSIFVGDLASDVTDSVLHETFSTKYPSVKAAKVVFDVNTGRSKGYGFVRFGDDNERSQAMTEMNGVYCSSRPMRIGAATPRKSSGYQQGGQSNGASNQSEADSTNTTIFVGGLDPNVTDEDLRHSFSQYGEIVSVKIPVGKGCGFVQFANRYVEFIRTPPNCGAELNFGFLS >OIW03326 pep chromosome:LupAngTanjil_v1.0:LG10:8399388:8399915:1 gene:TanjilG_03435 transcript:OIW03326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFGVDTQTTRTEVVTTPLVIKFPSTYYYLSLESVSINGNLVKPFQNVGNIVIDSGATLTSLKSDLYDQVEAAIIDAIGPEGVVERDQPKPYTLCYRDGSVKNFPLISFNFFDADYGLHFTQSNVFGKVYESICLLIIPTDEHSILGNFQQVSFNIEYDLDQKTVSFAPADCTKE >OIW03270 pep chromosome:LupAngTanjil_v1.0:LG10:10719351:10720712:-1 gene:TanjilG_20574 transcript:OIW03270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSILESIGVEIIGVMSPVSICMFLVVLLVYSLSSDNPFSSPDSTIRTAANLVYVENPSDSVSQKLEGALLNAVVFVILIAIVTFLLVLLYYYHCTNFLKYYMRFSAFFVFASMGGSIFLSLIQKLSIPVDSVTCLLLLFNFTIVGVLSVFAGGIPILMRQGYMVCLGIIVAAWFTKLPEWTTWVLLVALALYDLVAVLAPGGPLKLLVELASSRDEELPALVYEARPAVAPRTGGGGGSALGLLVTGAMGSEPEASSSIELQAVPRRNVNRIDNEIESRGGNVDNYVVTMGNFRDEENQSVGDGEEERSPLVGNLRGGQFSSADNGRRFDGREGEIVEEMSPLVEMGNNEGHVMSRDGVEIADRGIKLGLGDFVFYSVLVGRAAMYDLMTVYACYLAIISGLGCTLILLSVCRQALPALPISIMLGVLFYFLTRLLMEPFIVGTATNLMMF >OIW03272 pep chromosome:LupAngTanjil_v1.0:LG10:10779876:10782003:-1 gene:TanjilG_20576 transcript:OIW03272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAGSWSSSIKRRPLHKKNAENCDRFIPNRSAMDLGYAHYMLTERTKGKENSTAATLTSPGREAYQKKLAEACNMDRTRILAFKNKPPVPIEAIPNNILSLPSHPPSKSVKKSRRSIPQSSERTLDAPDFLDDFYLNLLDWGSSNVVSIALSNTVYLWDASDSSTSELVTVDEDDGPVTSVNWAPDGRHLAIGLNNSHVQLWDSMTSKLVRTLRGGHQGRVSSLAWNNHILTTGGMDGKIINNDVRVRSHIIETYRGHQQEVCGLKWSSTGQQLASGGNDNFVHIWDRSMSSTTLPTRWLHRFEEHRGAVKALAWCPFQANLLASGGGGDDQCIKFWNTHTGACLNSVNTGSQVCALLWNKNERELLSSHGFAENQLALWKYPSMVKMAELNGHTSRVLCMAQSPDGCTVASAAGDETLRFWNVFGTPEVAKSASKAKKVEPFAYVHRIR >OIW03233 pep chromosome:LupAngTanjil_v1.0:LG10:11896144:11898876:-1 gene:TanjilG_13027 transcript:OIW03233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERDACCGSFFQKCKPYIAMISLQFGYAGMNIITKVSLNRGMSHYVLVVYRHAFATAAIAPFALVLERKVRPKITFLMFMQMFVLGLLGPVIDQNLYYMGLKFTSPTYSCALSNMLPAMTFVMAVIFRMEKLDMRKVRCQAKVIGTIVTVAGAMLMTLYKGQVINFFWSHYMHHPKNYVPENTTSSGEKDWVKGSILLIIATLAWASFFILQAVALRRYSAQLSLTAIVCFLGTLQSIGVTFVMEQKPSAWSIGWDMNLLAAAYAGIVSSGIAYYVQGMVMQKRGPVFVTAFSPLMMIIVAIMGSFILAEKIYLGGIIGAIVIVMGLYSVLWGKYKEYKEKESEIVTETIKCCTEENGKMETVIEADEANDIEMQKGEAGKDSKIESDYVLKA >OIW03935 pep chromosome:LupAngTanjil_v1.0:LG10:778073:779973:1 gene:TanjilG_30211 transcript:OIW03935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVIDILFRVDSICHKYDKYDIDKQRELNAYGDDAFARLYAAVESTIQQALHKSEIALTENNRAAAAALNAEVRRSKGRLMDEVPKLRKLANKKVKGLSNEEMAIRRDLVLALPERIQAIPDGITGAAANQTGGWAATSSNPQIKFDSGLDIISEGLDTLKNLAHDMNEVDRATADLKNTNVRLKKTLTELRSSRNFCIDIILLCVLLGIVMYIYNPAIDLLSRTVESCNHLPSITRILT >OIW03082 pep chromosome:LupAngTanjil_v1.0:LG10:13892172:13895335:-1 gene:TanjilG_07234 transcript:OIW03082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLATFHHIGSYLPKMVATIVKRLRDPDSMVRDVCVQTTGVLASKVNDDDKAFVVLMRPFFEGLGEQNKHVQSASALCLAAIIDNTHRPSLHILHKILTTRVLKLLNNPHFMAKPALLHLTRAILLGGGAPTENILCNAVGGIQQALKHSDWTTRKAASLALADIAFSGASSFASLKHSCIHSLESCRFDKVKPVRDAVLQALKYWKILPAPDTPDPSEAGSSLKENLCRGDAADISSTTDSGHRDDKHQKVNTKSNTGRIPLSARKACQNYVGNSHYLKPDDWHVEIAVPGTHSSVEFQNEDSESNSVSKPLETISVDVTSMQDVGCEYLPMDEKQESSSVSNLAADNFETKFLTASHDCFIDSGVQKPSARRHQYNGEEISCNERMYSIRTQHPGCSDSTITDSSPQTSHKCCDRMANEIICVQNQLSDIEIKQTNMMHQLQMFTTGIMDALSTIQSRMAGLENALNIFTEEPVQGGRNSFSESSKFVRHSQNVASPRFSIYTPRPSVDTDNKQTDYLPVKSSETFENKTYSRIQPMNSSGVSVDMWKSCKATTNAKIFKEQDNLNSSGKNTRSMGSAQMRKNDGNFSSSTSANGRNGCSYESNTNNWNCVKRLVCEGDLNNAYMEALRSRDERILVELLNKTGPVIESLSVKTVNVLLNTLASYLLEGRFFDTIIPWLQQAISLTLLD >OIW03089 pep chromosome:LupAngTanjil_v1.0:LG10:13942016:13946286:1 gene:TanjilG_07241 transcript:OIW03089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTNMSFPKTEKVSEDGENDHLRYGLSSMQGWRGTMEDAHAAHLDLAPSSASFFGVYDGHGGKVVAKFCAKYLHQQVLKSEEYIAGDVGTSLQKAFFRMDEMMRGQRGWRELAILGDKINKFNGIWSPRSSDGNDQGDDDWAFEEGPHSDYAGPNSGSTACVALIRNNQLFVANAGDSRCVISRNGQAYNMSRDHKPDLEIEKERIIKAGGFIYAGRINGILNLARAIGDMEFKQNKFLSSEKQIVTANPDINTVDLCDEHEFMVLACDGIWDCLSSQQVVDFVHQELLSVTKLSAVCERVLDRCLAPSITVGEGCDNMTMVLVQFKKQQQKNNLLQLTKTEMQ >OIW04050 pep chromosome:LupAngTanjil_v1.0:LG10:132340:134778:1 gene:TanjilG_24161 transcript:OIW04050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDATDSNNSIQPFQVNLSCSSPSPSQDNNNNNNNERPFIDEMDFFSLHHNNINNIASSSTSAPPPHIHHHHHHHVPSTTTPIFDFKVNTGLNLRTTSSDQSMVDDDISLNSEEKRTKNKLVVLQAELRRMKVENLRLRSLVDDAKSNYNDLHVHLLNLMQEKKGEDTEEQHQQVFDGKLKDKKQSGNGGALVPRQFMDLGLATNAADIGEVASKELENHMKNGDASDEGLVVFDQDKKEIHRGIEREDSPPDQVLSTNRVPRFSPTNDQTEATMRKARVSVRARSEAPMITDGCQWRKYGQKMAKGNPCPRAYYRCTMASGCPVRKQVQRCAEDKTILITTYEGNHNHPLPPTAMAMAQTTSSAARMLLSGSMSSADGLMNANFLTRTLLPCSSSMATISASAPFPTVTLDLTQPPNTSHLPKPQTQFQIPFQQGVNSTPSLLPQIIGQALYNQSLFSGLQMSQDGNQSQQQQPQIADTVGASIAADPNLTAALAAAITSIIGGAQPNNNNNNNNTSTSNNSNGNITSSNN >OIW03266 pep chromosome:LupAngTanjil_v1.0:LG10:10639051:10643502:1 gene:TanjilG_20570 transcript:OIW03266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFSNIPDIQIFVGVAVALVAVGVGAFYLFSSKKPKASLDTENFKGFKLVKRDQLSHNVAKFKFELPTPTSVLGLPIGQHISCRGKDGQGEDVIKPYTPTTLDSDVGYFELVIKMYPQGRMSHHFREMRVGDYLAVRGPKGRFKYQPGEVRAFGMLAGGSGITPMFQVARAILENPNDKTKVHLIYANVTYEDILLKEELDGLTANYPDQFKIFYVLNQPPEVWDGGVGFVSKEMIETHFPAPAHDVKILRCGPPPMNKAMAAHLEAIGYAPEMQFQF >OIW03613 pep chromosome:LupAngTanjil_v1.0:LG10:3373881:3379932:1 gene:TanjilG_06122 transcript:OIW03613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGGTLVDGIRRFFHRRNSLHSPNNLDHHQQYVKNNVNDDDNNNVNNNNLVFLNDLHQNYHHQHCDISPLKLKLLKVPTHFHSQHKASSMDHQKKGGPEAEFFTEYGEANRYQILEIIGKGSYGVVGSSIDNQTGEKVAIKKINDVFDHVSDATRILREIKLLRLLKHPDIVEIKHIMLPPSRREFKDVFVVFELMESDLHQVIKANDDLTPEHHQFFLYQLLRGLKFLHTANVFHRDLKPKNILANADCKLKICDFGLARVSFNDAPSAIFWTDYVATRWYRAPELCGSFFSKYTPGIDIWSIGCIFAEMLTGKPLFPGKNVVHQLDLMTDLLGTPPDESISRIRNEKARRYLSSMRKKQPVPLSKKFPKADPLALNLLQRLIAFDPKDRPTAEEALADPYFLGLSNVDREPSTQPISKLEFEFEKRKLTKDDVRELIYREILEYHPQMLEEYLRGGDLTTSFMYPSGVDRFKRQFAHLEEHNAKGERNTPLQRQHVSLPRERVATPKDGNNQNNGSNLQIPPGSDLTNSGSSDQRNLSKSASITSKCIGVKQSKEAEEEPITEVSDETVDELTEKVAVLNAYQP >OIW03107 pep chromosome:LupAngTanjil_v1.0:LG10:14164473:14165602:1 gene:TanjilG_07259 transcript:OIW03107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTGKLSTEIPIQAPPSKWFELFAKQLHDVQHHAERVHHTKLHEGEDWHHNDTIKHWTYEIDGKVVTCKERIESHDEENKRITYVLFDGDISPSYKNFKFIIHIIENDDGSAAVNWTIEYEKNDDSVEPPYGHLEYLTKCSRDIDANLLKA >OIW03399 pep chromosome:LupAngTanjil_v1.0:LG10:6701199:6707304:-1 gene:TanjilG_31846 transcript:OIW03399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSNIEKMTSIDAQLRLLAPRKVSDDDKLVEYDALLLDRFLDILHDLHGQDIRKTVQDCYELSAEYEGKHKPEKLEELGNMLIGLDAGDSIVIAKSFSHMLSLANLAEEVQIAYRRRIKLLKKGDFADENSAITESDIEETFKRLVAELKKSPEEVFDALKNQTVDLVLTAHPTQSVRRSLLQKHGRIRNCLTQLYAKDITPDDKQELDEALQREVQAAFRTDEIRRTPPTPQDEMRAGMSYFHETIWKGVPKFLRRVDTALKNIGINERVPYNAPLIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMAANLYFSQIEDLMFELSMWRCNDELRVRADELHRSSKRDAKHYIEFWKKIPPNEPYRVILGEVRDKLYNTRERSRQLLANGTSEIPEETTFTNVEQFLEPLELCYRSLCACGDRPIADGSLLDFLRQVSTFGLSLVRLDIRQESDRHTNVMDAITKHLEIGSYREWSEEARQEWLLSELSGKRPLFGPDLPTTEEIADVLETFNVIAELPSDNFGAYIISMATSPSDVLAVELLQRECHVKQPLRVVPLFEKLADLEAAPAAVARLFSIDWYRNRIDGKQEVMIGYSDSGKDAGRLSAAWALYKAQEELVKVAKEFGVKLTMFHGRGGTVGRGGGPTHLAILSQPPDTINGSLRVTVQGEVIEKSFGEEHLCFRTLQRFTAATLEHGMHPPVSPKPEWRALLDEMAVIATEEYRSIVFQEPRFVEYFRCATPELEYGRMNIGSRPSKRKPSGGIESLRAIPWIFAWTQTRFHLPVWLGFGTAFKHAIEKDPKNLRILQDMYNQWPFFRVSLDLVEMVFAKGDPGIAALYDKLLVSEELLPFGEHLRATYEETKSFLLKVAGHKDLLEGDPYLKQRLCLRDSYITTLNVLQAYTLKRIRDPDYHVKLRPHLSKDYMESTKPAAELVKLNPKSEYAPGLEDTLILTMKGIAAGMQNTG >OIW03961 pep chromosome:LupAngTanjil_v1.0:LG10:591139:593635:-1 gene:TanjilG_30237 transcript:OIW03961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAALDMTLDDIIKNNKKSGSSNTRGRSRGSGSGPGPTRRFNNRAVNRSTPYSTAKAPETAWQHDLYADPAVNLAAAFPGHGGRASSIETGTKIYISNLDYGVSNDDIKELFSEVGDVKRHTVHYDRSGRSKGTAEVVFSRRGDAVAAVKRYNNVQLDGKPMKVEIVGTNITTHAVVAPAANVAFGNLNGIPRSGQGRSGALGRPRGGGSRARGGARRGRGRGSGSGRGRGEKVSAEDLDADLAKYHEEAMQLN >OIW03584 pep chromosome:LupAngTanjil_v1.0:LG10:3664919:3668453:-1 gene:TanjilG_31004 transcript:OIW03584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPVGLPPGFRFHPTDEELVNYYLKRKINGLEIELDIIPQVDLYKCEPWELAEKSFLPSRDPEWYFFGPRDRKYPNGFRTNRATRAGYWKSTGKDRKVSCQSRHIGMKKTLVYYRGRAPQGIRTDWVMHEYRLDDKECEDNSGLQDTYALCRVFKKNGICSDIEEQGQCSMSSLIESSQTIINECETMSPDIVGASSSCLEEEDKDDSWMQFITEDAWYSSNAAKVGGEEVSHVTFTH >OIW03116 pep chromosome:LupAngTanjil_v1.0:LG10:14345508:14346817:-1 gene:TanjilG_07268 transcript:OIW03116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKGATCGFSSENLVGKSDFVHIYKGVLRDGTEVKIEMYWDNLSRETYKKFVEECKILCELDHKNLVRVLGWCKSRKFRAIITEWTEEENVEMWLLGSAPTWKHRLRVLIGVVECMCYLQDEWPEVDYDLNTNSVLLSNNMEPLISRFKVGDQEDNNSKMSKFGVFLLEMILSKKVQAEFDEGESGFIKYMRTLHSEDLLQMIDERMEETETTNHHVKKVISLGLLCIDQSSSEKPSLSVIFNTIATAYKASIVLPFAKHRMLHGDRSK >OIW02951 pep chromosome:LupAngTanjil_v1.0:LG10:16267483:16267863:-1 gene:TanjilG_13588 transcript:OIW02951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHHQTASWGSYMAQARSTMVEEPLERIERLASESAVVIFSISTCCMCHAMKRLFCGMGVNPMVHELDEDTRGNELERALMRLLGASSVVPVVFIGGKLIGTMDRVMACHINGTLVPLLKDAGALWL >OIW03168 pep chromosome:LupAngTanjil_v1.0:LG10:13059508:13061019:1 gene:TanjilG_11805 transcript:OIW03168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSKNPIEVQTDEQSPHKWCVSLGEEVFKIFFSSQVNPTVHKVYGDGSLFSPMLFGKFFDPSDAFPLWEFESDILLSHLRSTNQKSTVDWCQTDEGYILKAEIPGSAKNNNIEVHVDKGKKIIEISGQWKQQRDSKENEWRCGHWWEYGYVRRLEMNEDADWKHIQAQIYNDIFLEIQIPKCKKGCDVA >OIW03630 pep chromosome:LupAngTanjil_v1.0:LG10:3119619:3123104:1 gene:TanjilG_22287 transcript:OIW03630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKRIFDDSDQGPDKPSDKRMRPTRPSFASVIGEVLMMKNMENFLSNLEPLLKRVVSEEVERVMRQCCQPPPPPMTRPHSSRIQSIENQSTSLQLTFNKNLSLPIFTGSKILDPNGDHINIILVDKTNGQITSLSHPIKLEIVVLDGDFQSDNSEANWTSDQFNNHTVKERNGKRPLLAGELNLTMRDGIAPIEDLEFTDNSSWIRSRKFRVAVRVAAGVNHGVRIREGMTEAFVVKDHRGELYKKHHPPMLHDEVWRLEKIRKDGAFHKKLCSEGIKTVQDFLKLSVIHHHKLRKILGIGMSEKMWDITMKHARTCNMGSKIYIYSGSHFTIFLNPICQLIRADINGQTFTAREMSNMNRSYIEKLVREAYASWHSLKIVDRVLNDNIDLFTQGNQTVEQIPNNHATAVLTYDQNDYYGDKSAVVNASMYQEITMHKCDVATGH >OIW03673 pep chromosome:LupAngTanjil_v1.0:LG10:2723920:2724147:-1 gene:TanjilG_30737 transcript:OIW03673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCHPGVPFLSRGGLVVQRRWLMFQLVRVDGQVLNGGDDGGDNDNSSEGVSVKAVAASGGIPVLPASWGIPVPPAS >OIW03016 pep chromosome:LupAngTanjil_v1.0:LG10:15646780:15677010:-1 gene:TanjilG_13653 transcript:OIW03016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIQIPRLTNSLRDPFDVDQAYLHRKTILHNQPPPRNSGNSLDESELARKIVCGWEEASSQVRQAYKQFIGAVVELTDGEMRSEEFHEVALTVYSLFGRPMEEEDYIDKIISDQKLKLQKLIGHAIADAKLRQVASLAQRLLNLQPSNKNSAFSSERNLNAEEDLEFGADFVFKAPARFLVDVSLDDGDVMDFKSTVPFASQEEQHSHAFPTNHSIVEGEKFNLTWLRDACDTIVRTCNSQLSRDELAMTICRVLDSAKPGEDVLLEIAGDLLDLAGDSAFETVQNLLLHRKVIVDSIHHGLSVLKSDKNASNAQFRKPSYGTQVTVQTESEKQIDKLRRKEEKRNRRGVEHAGDSNLSALDFSSLLRASERKNLIDEIVGSGDRPTAPMKPGERLIEIRELDDFAQAAFRGYKSLNRIQSRIFQTVYGTNENILVCAPTGAGKTNIAMISILHEIGQHFKDGYLHKDEFKIVYVAPMKALAAEVTSTFSQRLSPLNMTVRELTGDMQLSKNELEETQMIVTTPEKWDVITRKSSDMSLSMLVKLLIIDEVHLLNDDRGPVIEALVARTLRQVESSQTMIRIVGLSATLPNYLEVAQFLRVNPDAGLFFFDSTYRPVPLAQQYIGISEPNFAAPANLLALPRLSQVVDSIRQGYQAMVFVHSRKDTAKTAQKLVELARRNEDLELFLNNTHPQFSLIKKDVIKSRNKDLVELFEFGTGIHHAGMLRSDRGLTERLFSEGLLKVLVCTATLAWGVNLPAHTVVIKGTQLYDPKAGGWRDLGMLDVMQIFGRAGRPQFDKSGEGIIITSHDKLAYYLRLLTSQLPIESQFISSLKDNLNAEVALGTVTNVKEACAWLGYTYLFIRMRMNPLAYGIGWDEVMADPALSSKQRSLVIEAARALDKAKMMRFDEKSGNFYCTELGRIASHFYIQYSSVETYNEMLRRHMNDSEVINMVAHSSEFENIVVREEEQNELENLARRSCPLQIKGGPSNKHGKISILIQLYISRGSIDSFSLVSDAAYISASLARIMRALFEICLRRGWCEMTLFMLEYCKAVDRQVWPHQHPLRQFDKDLSAEILRKLEDHGVDLDHLFEMEEKDIGALIRYAPGGKLVKQYLGYFPSLQLAATVSPITRTVLKVDLVITAVFVWKDRFHGTAQRWWILVEDSENDHIYHSELFTLTKRMAKGEPYKLSFTVPIFEPHPPQYYIHAISDSWLHSEAFYTITFHNLQLPEARTAHTELLDLKPLPLSSLGHSSYEALYKFSHFNPIQTQTFHVLYHSDNNVLLGAPTGSGKTISAELAMLRLFNTQPDMKVIYIAPLKAIVRERMSDWKKRLVSQLGKKMVEMTGDYTPDLMALLSANIIISTPEKWDGISRNWHSRSYVTKVGLVILDEIHLLGADRGPILEVIVSRMRYISSQTERSVRFVGLSTALANAGDLADWLGVEEIGLFNFKPSVRPVPLEVHIQASGYDVSHRLWFYANFLVWCLGYPGKFYCPRMNSMNKPAYAAICTHSPAKPVLIFVSSRRQTRLTALDLIQYAASDEHPRQFLNIPEESLDMVLSQVTDQNLRHTLQFGIGLHHAGLNEKDRSLVEELFANNKILVLVCTSTLAWGVNLPAHLVIIKGTEFYDGKAKRYVDFPITDILQMMGRAGRPQFDQHGKAVILVHEPKKSFYKKFLYEPFPVESSLREHLHDHINAEIISGTISHKQDAVHYLTWTYLFRRLMVNPAYYGLENAEPEFISSYLSSLVQSTFEDLEDSGCVKMIEDSVEPMMLGSIASQYYLSYMTVSMFGSNIGPDTSLEVFLHILSAASEFNELPVRHNEEKYNEALSEKVKYPVDKNCLDDPHVKANLLFQAHFSQLELPISDYVTDLKSVLDQSIRILQAMIDICANSGWLSSSITCMHLLQMVMQGLWFDKDSSLWMLPCMTSGLTSSLSRSGIFSLQELLDTPKEALHTVTGSFPAPRLFQDLQHFPRVKLRLNLQRRDNDGDRSHTLQIRLQKTNSRRHSSRAFVPRFPKIKDEQWWLILGNTSTSELYGLKRVSFSDNLVTSMALPPNPANNLKGMKLILVSDCYIGFEQEHSIEDIVGR >OIW03298 pep chromosome:LupAngTanjil_v1.0:LG10:9638379:9639842:-1 gene:TanjilG_09945 transcript:OIW03298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METEDMNQRGIKASESEFFLQWGNRKRLRCVRVKNTQISSRFNGVAPSGLEKEGSHLQQQQQPNRISRNFFDNRKSASPEMEDRYYTTRGSTVVVDENNGKVTGDDRAFVWPKLYITLSSKEKEKDFLAMKGCKLPQRPKKRAKIIQRSLLLVSPGAWLTDMCQERYEVREKKSNKKTPRGLKGMGSMESDYE >OIW04004 pep chromosome:LupAngTanjil_v1.0:LG10:332492:336640:1 gene:TanjilG_30280 transcript:OIW04004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFRGDESIMLPVNITMVAVDSDKNSGYAFHWATHHIENSIIIAVHVKHKNIPHQGTNVCRPDEDDEANVFSQIRPLCNPNIVKLKEAVVDDSDITRGILEFAQRNHIHCIVVGAPSTKNKNSLTSVGKLTARKHKEHQNPQKVAEAIIKEAPDYISVYVISKRKLVAVRPATSPLVNVVAPPVQPLQPNDYESENGVSYNSNMTQTPRVRSTRERTERKHARERPRISTSTQSMGSFDLTINREQRLSSSSDENNSSGSLKYGSTDVIKHDMDLSIASDSQSSGDVEVEMKKLRLKLKQTMDMYSSACKDAISAQNKAEEINLWKMERRQRFKDVRLSEETALAMAEKEKAKVKAALEAAEKAMKMAEKEARRRLQAEKMAKRDAKEKDWALTALASKEFRCREYTIEDIEKATEKFSPSLKIGEGGYGPVFKGQLDHTSVAIKLLNPEASQGRKQFQQEVEVLSTIRHPNMVLLLGACPEYGCLVYEYMNKGSLEDRLLMKNNSPPIPWPKRFEIASEIATALLFLHQTKPEPLVHRDLKPANILLDRNFVSKISDVGLARLVPPSVADSVTQYYMTAAAGTFCYIDPEYQQTGKLTTKSDIYSFGIVLLQIITAKPPMGIAHHVKRAIDNGSFSDMLDPVVTDWPVEEALAFAKLALKCAELSKKDRPNLALVVVPELNRLRDFGYTSQNNQIKNRSHSPRPPTPRRNSHSIT >OIW03801 pep chromosome:LupAngTanjil_v1.0:LG10:1664311:1664889:1 gene:TanjilG_30077 transcript:OIW03801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRGESLPTSLSIKSNNNGNMSKPPKLPSEHNIQRTISDISFELSKEVIDLSTLPPITEVEDAKCECCGMCEECTPEYIEHIRDKFQGKFVCGLCSEAVKEELEKNGGNKEDALNAHMSACVRFNKFGRAFPVLFQAEAMKEILKKSKIDGRKAKSFNPREKSGENKGGIARSSSCIAAITREMNNLTTAK >OIW03402 pep chromosome:LupAngTanjil_v1.0:LG10:6150858:6156966:-1 gene:TanjilG_11999 transcript:OIW03402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADESQYSDTTAPSLKRKYDDQTAADSELNAGIELAKQKAQQVASRLFNSAPPPPTLDPSKRSKPESGFDSIDVKAQYPIPTITPAVSYGHQGAASKKIDIPNGRVGVIIGKGGETIKYLQLQSGAKIQITRDSDADPNSPTRMVEITGTPDAIAVAEKLIDEVLAEAEAGGSGVVTRRLTGQAGSDEYVSKIPNNKVGLVIGKGGETIKSMQASSGARIQVIPLHLPPGDASTERTLKIEGTPEQIESAKELVNQVISGENRFRNPAMSGGYPQQGYQARSNTSWAPPGAPVQQPGYGYVQPGAYSGPSPQYNMPQQQYAGYPPQPAGGYSTGWDQSAAPPSQQSTQVAGYDYYSQQPPQQPQNPGGAAPPADGAAYNYSQSTASGYTQPGQGYAQDGYGGYPAQPQSGYGQQPSYDQQQGYGSAPSYGSGNNSAQEGQAPNYGSQADSTQVPPVQPSATQQGYATSQQPSPHAANYPPQGTGQPSYGIPPTSQAAYGSQPQAPSGYAQPQSQKPSATPPVYGQSQSPKTVAAGYGQSGYPPSQPPPSGYGAAYGPTSYGAAPGGQPGYGQAPPSYGNSSYGAGYAQPPAYGSDGNAGSRGSYDGAPAQTAQQGSVAKTSPPQS >OIW03902 pep chromosome:LupAngTanjil_v1.0:LG10:1021054:1023871:1 gene:TanjilG_30178 transcript:OIW03902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDQNEGSDYSSEEEGTEDYRRGGYHAVQIGDTYKNGCYVVQSKLGWGHFSTVWLAWDTQNSRYVALKIQKSAQHYTEAAMDEIKILKQIAEGDLDDKKCVVKLLDHFKHSGPNGQHVCMVFEFLGDNLLTLIKYSDYRGVPLPMVKEICFHILAGLDYLHRELSIIHTDLKPENVLLLSPIDPCKDPRKTGAPLVLPNTKDKIVTTKDDKSLNGDLTENPKKKIQKKAKKAAQGCVVKESPEEAEENSEEPEQDGCSNDVDPSVESGEGKPSSPVTVSEDERTKVSEAKGIPQGSRGKVSSSTRKNLLAAVDFKCKLVDFGNACWTYKQFTNDIQTRQYRCPEVILGSKYSTPADLWSFACICFELVTGDVLFDPHSGDNYDRDEDHLALMMELLGMMPRKIALGGRYSREFFSRSGDLRHIRQLHFWPVNKVLMEKYDFTEQEANNMADFLVPLLDFVPEKRPTAAQCLSHPWFSAGPRTLQPSLTAVQSDAMDVEMSENIKREKGEHEAVEVGVGNIAIIGSPKSLKESQPIKSSK >OIW02955 pep chromosome:LupAngTanjil_v1.0:LG10:16232039:16234602:1 gene:TanjilG_13592 transcript:OIW02955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGVAVSGDLAQRISHALISASNPTRSWTSTLEHTLHNLNSRHFLTPSLVATVIDPYLLTHHSLALGFFNWASQQPNFTHTPTTFHSILKSLSNPRYHSINAIDSILKQAKAHNFALHPSLLSSVIGSYIAVGNTQKAFLVYNGFGDSRSEIGSHTCNSLLSALASDGYLDSACKVFDEMLVRGVALSTLGFGVFIWRLCREGDLERVLGVLDAVRECGSGINGSVVAVLIVHGLCRASKVSEALWMLGELRNRGWKPDFVAYRVVAVVFQEMRNVADEVKVLKMKRKLGVAPRSGDYRELILGLVSERRINEAKELGEIIVEGKFPVEDDVLNALIASVSSIDPAAAIMFFNFMVQKERYPSFSTISSMCRNLCRHGRVDALLEVFHVLDSHDYFKDVEGYNVMVSFLCKAGRVKDGYAVLQEMRKKGFSPNVESYNYVMEACCKEDLLRPAKKLWDEMFSCSCCGNLKTYNILIRKFSEVGQTEKAQVLFHHMLDKGVAPDITSYTSLLEGLCQEDKVEAAFKLYSRSIEQDINLARDISSSFVLSLCRKGHYLDASKLLCDLNLHIGHAECHVILLKYLADAKEIPIAIQHLKCVKEKSYLMLQDIYNGLLASLSSATCQEPMLHFLQRIQHVFDFTYKGTLEGMCHS >OIW03965 pep chromosome:LupAngTanjil_v1.0:LG10:568637:569195:-1 gene:TanjilG_30241 transcript:OIW03965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQGLSCRANHNEHGLFTAVQHGDLQTVATLLQADPSLLHHTTVYDRHSPLHIAAANGQIQILSRLLHGSVNPDVLNRQKQTPLMLAAMHGKIDCVEKLLEAGANVRFHYRA >OIW03574 pep chromosome:LupAngTanjil_v1.0:LG10:3795189:3797684:1 gene:TanjilG_30994 transcript:OIW03574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPSNGVQSNGGGGNGLNYIEHQVSKFDTLAGVAIKYGVEVADIKRMNVLASDLQMFALKTLRIPLPGRHPPSPIPVLPNGHAKQGDDSFERKLPPRGKGGMKEPLQSLRLKAPGEEISPAMTILRKYYGLKSSKSRDTFEGTEMNAYTSASSDHSKSTNLVYDLLTGNDAVPEYMSLSEIGDARGEKYDDKSVRRRQKADADSGGGTPERILKEGNSSGGSNGFSSTGKPLSGRTKSASRAVLFPESESGWLDSLAMGLGESILTDAFSGVRKSSSASSLREQERNNSAATVWPPRWSLTPDLQAAIGKPIFDGLPMPITGRRGKTALD >OIW03567 pep chromosome:LupAngTanjil_v1.0:LG10:3865266:3865895:-1 gene:TanjilG_30987 transcript:OIW03567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTSLVAFSFLCFSLSINLLIGITAATPEPVLDISGHKLETGIKYYIVPVIRGRGGGLTLKGSGNNTCPLYVVQEKSEVFNGLPVTFTPYNAKKGVILTSTDLNIKSSVNPKTTACVQSPVWRLLKELTGVWFLSTGGVEGKPGIDTIVNWFKIEKAGKDYVVSFCPSQVCDKCTTLCNSLGLFTHDDGNKHLALSDTVKPFKVMFKRT >OIW03253 pep chromosome:LupAngTanjil_v1.0:LG10:11056631:11060613:1 gene:TanjilG_21782 transcript:OIW03253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEKEAALAASPSDSPTIFDKIINKEIPSTVVYEDDKVLAFRDISPQAPTHILIIPKVRDGLTGLSKAEERHTEILGRLLYTAKLVAKQEGLDDGYRIVINDGPSGCQSVYHIHVHLLGGRQMNWPPG >OIW03079 pep chromosome:LupAngTanjil_v1.0:LG10:14653181:14658553:-1 gene:TanjilG_19359 transcript:OIW03079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKEAPLVFMDVSIDGSPVERMVFELFYDIAPKTAENFRALCTGEKGVSPNTGKPLHYKGSFFHHIVKGSIVQGGDFVNRNGAGGESIYGSKFPDESPRLKHDATGLLSMPVANRDILGSHFIITLKADHNLDRKNVVFGKLVQGLNLLKKIEDVGDEGGHPTVTVKIINCGEYTEYGKRVYKSKIGKHGSSGANSLEARRKGKQKKSRDRRKKRKYYSSESESSSDSDTESSESDSDSDSDTSSSTYTSSSGADKRRKRKRSRKDKNRHGKRRDKRRDKRRRKHDKKSKRISKRESGSLIDSDGGSKGDNSSDSKSLNAQLKDQKNKDQSPKNAEFQFPLVVEKEVRPMHDKKREEVSMLEMEELPKENGGRRSNGVAANYRSDRSEERQHDTMDDHPDKFRSRSTSPKRPMSKSKSNSPRRESKSTSVSPKRMLSQSPSIGGRSPHAPSRRSMSRSPVRSIGRSPVRSIGRSPVRSISRSPVRSIGRSPVRSRKRISVSRSPVISHDRRSVRSPVRTRNRTSLSRSPVKSRDDRSGSRSLVRSLSQSRQNRSSPRASSRRMVSRSPVRESRNSVSRSPVRPSARSFSRSISRSPARAPGRSNRRSYSRSRSPVRRVRTPSRSVSPNASPKRIRRGRGFSERYSYARRYKTPSRSPVRSYRFNGRGDRDRYSAYRRYSPRRYRSPPPRRRTSPRYQSRKSRTPSVSPSPRYRAQRYSRSRSPVRSRSPVEPRRPLSKSRSLSRSRSRSKSSQEAQSPHKVIRDNRSRSSSGSPNGRKGLVSYGDGSPDSG >OIW03853 pep chromosome:LupAngTanjil_v1.0:LG10:1330603:1330893:-1 gene:TanjilG_30129 transcript:OIW03853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRSYIQQPSRHNHQAFSDMQRKEGSTNIREEVRNSSAPSHVVDKKEAPETKKLERKTTEDINASADAFIKNFKKQLLIQRLNSIENYEQMLQRGL >OIW03595 pep chromosome:LupAngTanjil_v1.0:LG10:3195235:3197346:-1 gene:TanjilG_06104 transcript:OIW03595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSLPPALPPSALDSAAEPSPWNDEVLPPVPGGKLRLMCSYGGHIMPRPHDKSLCYIGGETRIVVVDRLSSLKELYTRLSHTILHGRPFSLKYQLPNEDLDNLITVTNDEDLDNMIEEYDRIAALSASALKPSTSRLRVFLFFSKPEATVSMGSLLDDSKSETWFVDALNNSGILSRVVSESAVVDSFVNLDGVSVTVTPSASSNNLETQAQVDNNNKVKNLHDVENILSNGSSPSMAKTLPPIRVRVDENGFTTSRIQQDEGYVVVSSAVAMPTIPAAMVTTSENMNRGVSDDERSDQGAHVGFRKPPLPLQLVQPRTNGGLSFPSPDSVASDGSVASINSFSNAVYYQEQVQVAQIDNKAKSEIPDHIPILQREQVQDSGYTLPPQMDQNQQQQQQQQQQQQQQQQFVHASSHYIQHPTATGQMPMSSYYQVYAQPSQQQLHHPIGQQQYPVYVMPVGPTQPYNMALQSNIGDPNVVASGRSLIPHQSVAATTAYKDGTPPIYPTKSVTTTAYKDGSNPAFVQMSSNQFQQQYVAMPQVHHHTQQPIAVAPSVATNYGYEYGGNVQDQAYYTQQQTTAPLPPQYQSMMPAAAAATLSDASKQFPADIIQQQPNRTSQQV >OIW03308 pep chromosome:LupAngTanjil_v1.0:LG10:8902287:8902445:1 gene:TanjilG_16457 transcript:OIW03308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDLGMLTYPYVSPACEISGRGCGAQGIPNIPKCENHSMGEEGDHWNRRSCG >OIW03707 pep chromosome:LupAngTanjil_v1.0:LG10:2414923:2418473:-1 gene:TanjilG_29742 transcript:OIW03707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKILIQPCLKLPFYYLLTFFIILSHAKSQSQLYDQEHGVLLKIKQYLQNPSFLSHWNSSNSSHCSWPEITCNNDSITGLALVNTNINQTIPPFLCDLKNLTHVDFNLNYIPGEFPTYLYNCSKLEYLDLSMNNFVGVIPDDIDSLSNLQYLNLSYTNFTGDIPASIGRLKELRYLPLQYCLFNGTYPDEIGNLSNLETLDLSSNYEFPPSKLPLTWTKLNKLKVFYMYGCNLIDEIPETIGEMVALEKLDISQNSLTGHIPSGLFMLKNLSILFLYQNSLSGEIPDVVEALNLTIIDLTSNELTGKIPDDFGKLQKLTGLSLTLNKLSGEIPESLGLLPSLVDFRVFSNNLSGTIPPDFGRSSKLGSFHIASNNLSGRLPENLCYHGELLNLTTYDNDLSGELPESLGNCSSLLEIKIYNNQFSGNIPSGLWASFNLLTFMVSHNKFTGVLPEILSSNVSLFEISSNQFSGRIPTGVSSWTNVVVFEASKNYLNGSIPEELTTLPRLTTLLLDQNQLIGPLPSKIISWESLVTLNLSQNQLSGQIPDAIGQLPVLSQLDLSENQFHGQIPSRLPRLTNLNLSSNNLTGTIPSEFENSAFASSFLDNPGLCSDTAALNLTLCNSGTTERSSKDSSRSLPLIISLAVVTFLLACLMSFLIIRRCRKRKQGLDNSWILISFQRLNFTESNIVSSMTEHNIIGSGGYGTVYRIPINDLGYVAVKKIWNNRKLDKKLESSFHAEVKVLSNIRHNNIVKLLCCISNENSMLLVYEYLENSSLDRWLHKKRKSSVVSGSVHHFVLDWPKRLKIAVGIAQGLSYMHHDCSPPIVHRDVKTSNIILDSQLNAKVADFGLARMLIKQGELETMSSVVGSFGYIAPEYVQTTRVSEKIDVFSFGVILLELTTGKEANKGDEHSSLAEWAWRRVQLGSNIEELLDNEIMENSYLGEMCNVFKLGVMCTSTLPDSRPSMKEALQVLLMCGESLAHGERNVCHYDVIPLLGNSKGECRLDVDNDS >OIW03384 pep chromosome:LupAngTanjil_v1.0:LG10:6389632:6392115:1 gene:TanjilG_31831 transcript:OIW03384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQLPPKIPNMSPSWPDFSSYQKMPPHARFGTNNTNHQHHQSSNNNNNNPSWVDEFLDFSSGRRSAHRRSMSDSITFFEALPITIRPEINDNEFDKFDDEQLMSMFSDEIYGVNVPPTLSSSNPSSPSDQNFNNDEKEVMEEQKKQEEEIQKHQLKNEPDEVESECKQEFPTPPNNTNITRTTSNDRITDPKRVKRILANRQSAQRSRVKKLQYISELERSVTSLQTEVSALSPRVAFLDHQRLLLTVDNNALKQRIAALGQDKIFKDAHQEALKREIERLRQVYQQQNLQQMENVAGCDAQTEKEQQQILNV >OIW03288 pep chromosome:LupAngTanjil_v1.0:LG10:10005969:10009755:-1 gene:TanjilG_09935 transcript:OIW03288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHIVGYPRVGPKRELKFALESFWDGKNSAEDLKKVSAELRASIWKQQAGAGIKYIPSNTFSYYDHVLDATATLGAVPPRYGWTGGEIGFDTYFSMARGNASVPAMEMTKWFDTNYHFIVPELGPDVNFTYSSHKAVEEYKEAKALGVDTIPVLVGPVSYLLLSKPAKGVDKSFSLLSLLPKVLAVYKEVVADLKAAGASWIQFDEPTLVLDLESHKLQAFTDAYSELASTLSGLNVLIETYFADIPAEAYKTLTSLSSVSAYGFDLVRGTKTLDLIKSGFPSGKYLFAGVVDGRNIWANDLAASISTLQALEGIVGKDKLVVSTSSSLLHTAVDLVNETKLDDEIKSWLAFAAQKVIEVNALAAALSGNKDEAFFSSNAAALASRKSSPRVTNEAVQKAAAALKGSEHRRATNVSARLDAQQKKLNLPILPTTTIGSFPQTVELRRVRREYKAKKISEEEYVKAITEEIRKVVELQEELDIDVLVHGEPERNDMVEYFGEQLSGFAFTVNGWVQSYGSRCVKPPIIYGDVSRPKAMTVFWSSKAQSLTKRPMKGMLTGPVTILNWSFVRNDQPRSETCYQIALAIKDEVEDLEKAGINVIQIDEAALREGLPLRKSEHADYLDWSVHSFRITNVGVQDTTQIHTHMCYSNFNDIIHSIIDMDADVITIENSRSDEKLLSVFREGVKYGAGIGPGVYDIHSPRIPPTEEIADRINKMLAVLEKNILWVNPDCGLKTRKYSEVKPALTNVVAAAKLIRNELAK >OIW03280 pep chromosome:LupAngTanjil_v1.0:LG10:10278547:10288692:-1 gene:TanjilG_09927 transcript:OIW03280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLTSYSLMPVNSEFRSNPARHAFRERIQFPNFSSSSSSCLFSTQKLKLGKRVNFYRFRCFNGNGKNDDVDGIEGENGANVSKPNESSNTATTTTTTLPEAEQKRESGFNSEKSTPTSTSTRSSLSSLGPAYNNFQVDSFKLMELLGPEKIDPADVKLIKDKLFGYSTFWVTKEEPFGDLGEGILFLGNLRGKREDVFSRLQNLLVEISGDKYNLFMVEEPNSDSPDPRGGPRISFGLLRKEVSEPGPTTLWQYVISLLLFLLTIGSSVELGIASQINRLPPEVVKYFTDPDSVDAPDMELLFPFVESALPLAYGVLGVLLFHEVGHFLAAFPKQVKLSIPFFIPNITLGSFGAITQFKSILPDRSTKVDISLAGPFAGAVLSFSMFAVGLLLSSNPDAAGDLVQVPSLLFQGSLLLGLISRATLGYAALHAATVSIHPLVIAGWCGLTIQAFNMLPVGCLDGGRAVQGAFGKNALVGFGLTTYTMLGLGVLGGPLSLPWGLYVILCQRTPEKPCLNDVSEVGTWRKTFVVIATFLVVLTLLPVWDELAEELGIETWCNLLSSLVYFLIGGRTKKTEKDEEALCHKRAVSIARSKPRLKLLMFTRYVRVMLGYPSVYFSHVENIICFSLLFETILNDRGAFGKNALVGFGLTTYTMLGLGVLGGPLSLPWGLYVILCQRTPEKPCLNDVSEVGTWRKTFVVIATFLVVLTLLPVWDELAEELGIGLVNAF >OIW03539 pep chromosome:LupAngTanjil_v1.0:LG10:4176060:4176308:1 gene:TanjilG_30959 transcript:OIW03539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILQNFWSFTWDNKPTPCTNKKNKYHGIEMNKCSLWMPCERVRQIRNDIDHSPCAYYITKTNPYLDIKRRKKMCLCVCVSNH >OIW03492 pep chromosome:LupAngTanjil_v1.0:LG10:4783700:4785238:-1 gene:TanjilG_31005 transcript:OIW03492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEQGEATTTVTTTTTTTKVQIHHTRIMLPDFLQTINLKHVKLGYHYLISNLFTLCLLPLIIITLIQVSKTDFHYLWLHLQYNLLTILTCSAFLVFGLTVFAFTRPRVIYLLDYSCFRPADNLKAPFRSFMEHSRLTGDFDESSLEFQRKILMRAGLGEETYVPEAMHSVPPRPSMTAARAEAKEVMFGALDNLFQNTKLKPKDIGILVVNCSLFNPTPSLSAMIVNKYKLRGNILSFNLGGMGCSAGVIAVDLAKDLLQVHRNTYAVVVSTENITQNWYFGKKKSMLIPNCLFRVGCSAVLLSNKSSDRKRAKYRLVHVVRTHCGADDKAFRCVYQEQDDAGKTGVSLSKDLMAIAGGALKTNITTLGPLVLPISEQLLFFTTLLMKLFKADVKPYIPDFKLALDHFCIHAGGRAVIDELEKNLQLLPVHVEASRMTLHRFGNTSSSSIWYELAYMEAKWRIRKGNMVWQIAFGSGFKCNSAVWEALCNVNPSPNGPWHDCIDKYPVDLAI >OIW03137 pep chromosome:LupAngTanjil_v1.0:LG10:13609074:13611288:-1 gene:TanjilG_11774 transcript:OIW03137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVAPEGSQFDTRQFDSKMNELLAADGQDFFTSYDEVHDSFDAMGLQENLLRGIYAYGFEKPSAIQQRGIVPFCQGLDVIQQAQSGTGKTATFCSGVLQQLDYSLVECQGLVLAPTRELAQQIEKVMRALGDYLGVKVHACVGGTSVREDQRILSSGVHVVVGTPGRVFDMLRRNSLRADHIKMFVLDEADEMLSRGFKDQIYDIFQLLPPKIQVGVFSATMPPEALEITRKFMNKPVRILVKRDELTLEGIKQFHVNVEKEEWKLETLCDLYETLAITQSVIFVNTRRKVDWLTDKMRSRDHTVSATHGDMDQNTRDIIMREFRSGSSRVLITTDLLARGIDVQQVSLVINYDLPTQPENYLHRIGRSGRFGRKGVAINFVTKDDDRMLVDIQKFYNVVIEELPANVADLL >OIW03359 pep chromosome:LupAngTanjil_v1.0:LG10:7196859:7199541:1 gene:TanjilG_29344 transcript:OIW03359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFGLQVPCVIVVRINLYSTSEVIRYQMSISLAAILGGAAGAVALVGIVIILIWYCLSHRRSASRTSETGSSDPSQVERQGVIELPIRDTRRFDMEELSLATNNFSVKNMIGEGKFGEVYKGLLQDGMLVAIKKRRGLDSQEFVDEVRYLSSIQHRNLVTLIGYCQENNLQFLVYEYVPNGSVSSHLYGAGQQLQGKLEFKHRLSIAQGAAKDKISSNIMDPTYSVCLAHLHSLSPRLVHKDFKTSNVLVDENFIAKVADAGLRNFFGRVDLAASSSLVEADEIFLAPEVKEFRGFSEKSDIYSFGVFLLELLSGKEATESASLYSSQNLVEWVQNNQDHGVISNIIDQRLGSFTAEGMEDFILLIVRCLDPSSERRPAMSYVVTELDRTRDKEMNLTTIMGEEGTPTVTLGSQLFKATA >OIW03983 pep chromosome:LupAngTanjil_v1.0:LG10:456276:457781:1 gene:TanjilG_30259 transcript:OIW03983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVESKGRKEEVVTREYTINLHKRLHGCTFKKKAPKAIKEIRKFAQKAMGTNDVRVDVKLNKFVWSQGIRSVPRRIRVRIARKRNDDEDAKEELYSLVTVVEIPKDELKGLGTKVIDDED >OIW03379 pep chromosome:LupAngTanjil_v1.0:LG10:6314366:6318558:1 gene:TanjilG_31826 transcript:OIW03379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYASSGGGGGSHSPREHHREDHEDGSDSQRRNKRYHRHTSNQIQRLESVFKECPHPDEKQRMQLSRELGLAPRQIKFWFQNRRTQLKAQHERQDNSTLRAENDKIRCENIAFREAMKNCICPNCGPTPLNEDSYFDDQKMRLENLHLKEELDRVSSIAAKYIGRPISQLPSIPPFHISPLDLSMGTSTFGTQQGLFNVGGCSGVGSSLDLDLLQGISSNSMPNFPYQPGSLSEMDKSLMSTIATNALEEFLRILHTNEPLWIKSTIDGKDFLNLETYERMFPKAITRVKNPNVRVEASRDSTVVIMNSSNLAEMFIDANKWKELFSTIVSSARTVEVLSSGIMGGNNGSLQLMYEELQVLSPHVSAREFYFLRYSQQIEQGTWAIVDVSYDFPQDNQFSPQLRSHRFPSGCLIQDFTNGQSKVTWIEHVEVEDKTLPHRFFKNLIFNGLAFGAERWLATLQRMCERNACLMVTTNPTHDFGGAITSPEGKRSMMKLAQRMVTNFCASISTSNGQRWTILSGLDEVGVRVNVHKSTDPGQPNGVVLSAATTIWLPIPPHIVFNFFKDERKRPQWDVLSNGNPVQEVAHIANGSHPGNCISVLRAFSNTQNNMLILQESCIDSSGALVVYCPIDLPSINIAMNGEDPSYIPLLPSGFSISPDGQQDQGGGEDGASTSSSTSKNIGRCSGSLVTVAFQILVSNLPSAKLNMDSVTTVNNLISTTIHQIKASLNCPTSS >OIW04039 pep chromosome:LupAngTanjil_v1.0:LG10:82377:84722:1 gene:TanjilG_24150 transcript:OIW04039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLTPQNAKPFSLASTWLRVLPKKSCANVTNLAKNAKKLGQDDPRRITHSFKVGLALTLVSMLFYVQPLYDSFGSSTMWAIMTVVVVMEFSVGATLGKGINRMLATLLGGSLGFGVQQLATLTEKEDHSIVIAFSLFIIGAIMTFVRFFPGVKAKYDYGFSIFILTFSLVSISSYRDDYIQILACKRLLTIIIGSFLAMIVSICIWPVWIGEDLHNLVASNIEKLGDFLEGLGEDYFDESDGTKTVTNKSFADKYETVLNSKTREETMANLAGWEACHGRFRFRHPWKQYLKVGNLTRKCAYKIEALDCYISNDPGNKIKDSCQYAGIESGKALKELSSAIKSMTWFSTTTKAHIANAKGAIKSLNSLVKENTREGIDVLEIVPILAVASLLTDVIISIQRISEAVDELASLAHFKMTTINVTKPLPLQREGTIQPIMFQDELLYNITSSTSPKQYEISSIALMIHSV >OIW03738 pep chromosome:LupAngTanjil_v1.0:LG10:2185312:2190472:1 gene:TanjilG_30014 transcript:OIW03738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDERDSSESPIQGKKSKSKTARKPKETVLKQKSPAEFFAENKNIAGFDNPGKSLYTTVRELVENALDSAESISKLPVVEVTIEEIKKSKFNSMIGLVDRERVDAALYDDYENEKAREKRLAKEARTQEIQAKNATLGKKVKETPASKGIKGRGEASFYRVTCKDNGKGMPHDDIPNMFGRVLSGTKYGLKQTRGKFGLGAKMALIWSKMSTGLPIEISSSMKNQTYITFCRLDIDIHKNIPHVHLHEKRENKEFWHGAEIQVVIEGNWTTYRSKILHYMRQMAVITPYAQFQFKFVSDAPDKNVTIRFARRTDVMPPIPLETKHHPSSVDLLLIKRLITETSKQNLLQFLQHEFVNISKPHAERLIGEMGPDFSSKMAVKSLTSQQVVRIHQLFRQAKFDDPSGHCLSPAGEYNLRLGIIKELNPDMVATYSGSAQVFEGHPFIVEAGVSIGGKDVKQGLNIFRFANRIPLLFEQGADVVTRTALKRINWSNYKINQIQDKIGVFVSIVSTKIPFKGTGKEYIGDDITEIASAVKYAIQQCCIQLKSKIVKRIQAREQQERKRNLSRYIPDAAGAVYNVLKDMTQLHASKKSRNGNDDDAELLRKVSEKLITKETLIEKLAKHVEQVDYEMALEYATHSGSLTVD >OIW03186 pep chromosome:LupAngTanjil_v1.0:LG10:12706350:12707612:1 gene:TanjilG_11823 transcript:OIW03186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCSASRTTTIVAKKNQEEPSPCVSLACSSTSSFSSSATHFTNSSPKVPRAFSLPMPLVHHLPTKKGDTHHLVSLTSTTYGSLLLIDQKEPNFTKTSSNIAKQNQTAEPEQQSLSPDSVINTWELMDGLDGEEDSNSIFESVSNKNPSSCRYTTTTTTRTFDGSARKTLLDSFESLKASKTVIEDENSSSSLLTKKPLWQHLSEEALLAKLDPSVAWSYRRALSSRNLGSNTNNNTNLCKDVRSMGSSPFSYSSPSCSSHAKSLCLLPGTEDKVVFYCTSLRGIRKTYEDCCSVRLMLRGFRVAVDERDISMDSSYRKELQNALGGIKAVTLPQVFIRGKCVGNAEKMKQLNESGELAKLLNGFPIQDPGFVCDNCGDARFVPCTNCSGSKKVFKEEDGELRRCPDCNENGLIRCTCCCS >OIW03917 pep chromosome:LupAngTanjil_v1.0:LG10:908088:908836:-1 gene:TanjilG_30193 transcript:OIW03917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKEIKMKQSKFKRICVFCGSSPGNKTSYKDAAIELGCELVSRNIDLVYGGGSIGLMGLISHAVYDGGRHVIGVIPKTLNAREVMPISL >OIW03236 pep chromosome:LupAngTanjil_v1.0:LG10:11232033:11241392:1 gene:TanjilG_08398 transcript:OIW03236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSKASCSVSLLQLFIVVFLVVQCSAKKKGAELNTTLIVDASDASGRLIPETLFGIFFEEINHAGAGGLWAELVNNRGFEAGGPNTPSDITPWTIIGNESYVNVETDRTSLFDRNKVALRLKVLCDSEGDNICPAGGVGVYNPGFWGMNIEQGKKYKVVFYVRSTGSINLTVSLTGSNGVGNLASTVITASASDVSNWTKVETLLEAKATNHNSRLQLTTTTKGVIWLDQVSAMPVDTYKGHGFRTDLAEMVAAMKPRFIRFPGGCFVEGERLRNAFRWKASVGPWEERPGHFGDVWMYWTDDGLGYYEFLQLAEDLNALPIWVFNNGISHTDEVVTSAILPFVQEALDGLEFARGDPTSKWGSLRAAMGHPEPFDLRYVAVGNEDCTKKNYRGNYLKFYGAIKQAYPDIQIISNCDASSQPLDHPADMYDYHIYTGANDLFSRANTFNHASRSGPKVAFVSEYAVTGNDAGTGSLLAALAEAGFLIGLENNSDVVSMISYAPLFVNANDRRWNPDAIVFNSYQLYGTPSYWVQLFFAESSGATLLNSSLHPIASNSLLASAITWQNSVDGRSYIRIKVVNFGANTVNLKISFDGLDPKSVHQTNMTVLTSANVMDENSFSQLKKVVPIQSTFQAVGKDINVSVPPHSFTSLDLLKDSSNLRMPGSDYSTRSSI >OIW03077 pep chromosome:LupAngTanjil_v1.0:LG10:14685299:14694384:-1 gene:TanjilG_19357 transcript:OIW03077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRTPPPPIHFLTTAATDNSAASATSSIMSSYPRQRGRGGRFSGRSFSSGRGQFVTGDSHFRSVRDDNLGYRRGEKGNFVNQTQPYYPNQTFYPRPQPPLPPPPYQQNSQFRHPYPPHRPPPAIGQQFHPRQKAPDHREWEAAKTPPPPPHCERFKVLSYNILADYLAWDHRRTLYFHIPQHMLDWQWRKRSILFELGWWSADIMCFQEVDRFHDLAEELKLKGYTGIWKMRTGNPVDGCAIFWQISRFKLLYEESVEFNKLGLRDNVAQICVLEFINQNGSVPSSLTGYSKIVVCNIHVLYNPKRGEIKLGQVRVLLNRANAVSKLWNNAPVVICGDFNCTPKSPLYNFISEQKLDLSGIERDKLSGQASAKIRSPPRLERFSGGSAQAVSIEGDKEVNVEQNNSLSDMQNPGTKCNSSENQYARTVLDVSKLSLTNVQHGKENVAYAGKDTQEPAIEHLKEEQDPSYGEARLPVDSINAEIHDITVTTSSDTVAALNQESLSKESNLHVPEGSKHMEINFPPTSLQEDNQSSKVKIGLESTDLLNLEISITKPSSETSVSDVNDQRNSPSTSDLIGKSHQSTNIDFPLDEKLEKSFLDEVDKAIIGSENTGEDDNAFISSLHNAEEGVTLDPGPSIKSDIGNTFQFDESDSASNKLLLAEESNEVEDGLSPSPSSKSIDAENTPYNPSLWTPMEIETATGNVDCTFLEHQLPLRSTYTEAMDCSGTRDPHGEPLVTSYNRCFLGTVDYIWRSEGLQTTRVLAPIPKHAMDWTPGFPTKKWGSDHIALVSELALLKDGIDINKDVQ >OIW03444 pep chromosome:LupAngTanjil_v1.0:LG10:5379567:5380460:-1 gene:TanjilG_14669 transcript:OIW03444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVVVQSPSTVDNFDLSSVMISPYLSAPSSPKRFGEYYAEFYQEFDFISSSSSSSNIPFEAPTKNMDGDDDGFAFYVSDELDKWSLSAEELFDGGKIKPFKPKDNEIISSHITTIEGKKSDSRSNDNLPLGIAQRGRGRDKTPASVLSTSSSGRRVSRSHSPYRISQYTWEQQKQQQQPQEQEQPHNKKEKSTLKSTAPFSNTIASSKGSSRRWRLKDFILFRSASEGRESSKESFKKESIGIKKGEVGNGSMRRKGPISAHEMHYAMKRAESEDLKKKTFLPYKQGILSRLAGFGL >OIW03045 pep chromosome:LupAngTanjil_v1.0:LG10:15291161:15295495:-1 gene:TanjilG_20973 transcript:OIW03045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSSIFGGITTDPAAMVIPMDDHMVHRGHGVFDTAAIIDGYLYELDQHLDRFLRSASLSKIDPPFDRGSIRKILIQTAIERLKTFRESIKGKQQYLAMYSSIFGGITTDPAAMVIPMDDHMVHRGHGVFDTAAIIDGYLYELDQHLDRFLRSASLSKIDPPFDRGSIRKILIQTVSASKCRKGSLRYWLSAGPGDFQLSPSGCHQSSLYAIVIQDLLPAPLNSRGVKVVTSSIPIKPPQFATAKSVNYLPNVLSKVEAEEVGAFAGIWLDSDGFVAEGPNMNVAFVTKQKELIMPHFDKILSGCTAKRVLTLAECLVKEGKLQGIRVRNVTVDEGKKADEMMLIGSGILIYPVVQWDEQVIGDGKEGPVTEALFNLIVEDMKSGPSTVRIPVSY >OIW03170 pep chromosome:LupAngTanjil_v1.0:LG10:13037422:13038071:1 gene:TanjilG_11807 transcript:OIW03170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVKVGDTVKVISGHEKGKVGEISQLFKHNSTVIVKELNLKTKHVKSKEEGEPGQIIKIEGPIHSSNVMLYSKEQNVASRVGHKVLDNGKRVRYLIKTGEIIDSAENWKKLTEDDKKTEEVAVST >OIW03496 pep chromosome:LupAngTanjil_v1.0:LG10:4746360:4746842:-1 gene:TanjilG_31009 transcript:OIW03496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVKQLSIITLVGDNRGATMHVGSKSVKKEGLIQIHRGYKTNPEESIEMTTDAEENSNTKKDSHSSTNHDEVGKTYVNRKIQSMNNSLMFHGSVSERDPAVQVTLPQKPEEPIKSDDKLPMQIHKTKFNISRAEKWTYQPVVRRRCLRGLLVEPSDSVKG >OIW03240 pep chromosome:LupAngTanjil_v1.0:LG10:10862790:10865727:1 gene:TanjilG_21769 transcript:OIW03240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAELSFVKPISKFSYVTPKFTTPTMFSYSKFSTIKMSATTTTSTSTKPSKKGAIKESLLTPRFYTTDFDEMETLFNTEINKNLNNDEFEALLQEFKTDYNQTHFVRNKEFKEAADKLDGPLRQIFVEFLERSCTAEFSGFLLYKELGRRLKKTNPIVAEIFSLMSRDEARHAGFLNKGLSDFNLALDLGFLTKARKYTFFKPKFIFYATYLSEKIGYWRYITIYRHLQTNPEYQCYPIFKYFENWCQDENRHGDFFSALMKAQPQFLNDWKAKLWARFFCLSVYVTMYLNDCQRTDFYEGIGLNTKEFDMHVIIETNRTTARIFPAVLDVENPEFKRRLDRMVGINEKILAVGESDDIPVIKNLKRIPLIASLVSELVATYLMPPIESGSVDLAEFEQQLVY >OIW03796 pep chromosome:LupAngTanjil_v1.0:LG10:1725012:1727989:1 gene:TanjilG_30072 transcript:OIW03796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRRCSHCSNNGHNSRTCPYRGGGNGGGGGGGGGGGLGVKLFGVRLTDGLIIKKSASMGNLSAHYHSLNSNSNSNSPTLVANPGSPCFDPTHEHGGYLSDDPAHASSFANRRGERKKGVPWTEEEHRMFLVGLQKLGKGDWRGIARNFVVTRTPTQVASHAQKYFIRQSNATRRKRRASLFDMVPDMASDPPSVPEEQLLLTPHENSQLSHTKSNPSLDLSLKSEFEPMETTTTQENEEGPNKTMTASNGMTPMSLAFFPAYVPVPFSLWPSITPPFDELNGETYCPQVVKPIAVKEPVNVDELVGMSHLSIGERERQVFKREPSPLSLRLSGEPSRQSAFHANAPVGGTRKNSVIQAV >OIW03473 pep chromosome:LupAngTanjil_v1.0:LG10:5010868:5012514:1 gene:TanjilG_14698 transcript:OIW03473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDNVSASQIAFGDGGEASSFKSYGFAKGGSNINGSQSSASNVVREKDYKEPWVRLLQLLSYNSSCEEVRRPYAGNPETLDEEEFGEAAESRTYDENSSNPAMELGLLEENPEKSMFLIQLPASLPIFKGSAGGEDANENSKPSKGSKNATKPCKLNELPSGIMGKMLVYKSGKIKMKLGDTLYDVSPGMNCMFSQEAVAVNTAQKHCCTIGEIGNHVTVTPDIDAVLDQLTDL >OIW03784 pep chromosome:LupAngTanjil_v1.0:LG10:1804563:1811057:-1 gene:TanjilG_30060 transcript:OIW03784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGADEVVAAPAGPPNPLDWKFSQVFGERTAGEEVQEVDIISAIEFYKSGDYLATGDRGGRVVLFERTDTKNHGDSRRDLERMDYSITRHPEFRYKTEFQSHEPEFDYLKSLEIEEKINKIRWCQTANGALFLLSTNDKTIKFWKVQEKKVKKISEMNVDPSKGTGNGSVASSSSSTIHLANGGSPERSNNYLSNDFSFPPGGIPSLRLPTVSSHETSLVARCRRVYAHAHDYHINSISNNSDGETFISADDLRINLWNLEISNQSFNIVDVKPANMEDLTEVITSAEFHPTHCNTLAYSSSKGSIRLVDLRQSALCDSHAKLFEEQEAPGSRSFFTEIIASISDIKFGKDGRYILSRDYMTLKLWDINMDSGPVATFQVHEYLRPKLCDLYENDSIFDKFECCLSGDGLHVATGSYSNLFRVFGCAPGSAEASTLEASKNPMRRQVPTPSRPSRSLGNSITRVVRRGAETPGIDANGNSFDFTTKLLHLAWHPTENAIACAAANSLYMYYA >OIW03550 pep chromosome:LupAngTanjil_v1.0:LG10:4021846:4024732:-1 gene:TanjilG_30970 transcript:OIW03550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHEKPIRFGILGCAHIAIKLCKAITTAPNATLHAIGSRSLEKAAAFMVEHGLPEAVRVYGSYEDVVNDDEVDAIYIPLPTGLHVTWAVNAAERGKHVLLEKPVAMNVTELDRILEACEAHGVQFMDGTMWVHHPRTSKMKEALSDQQRFGQLKWIHTCLTYNPGPEFMKHSIRMKPELDGLGALGDVGWYCIRAILWAMDYELPKSVLAFPGAILNEAGVIISCGSSLHWEDGKSATFHCSFLTYITFDITALGTKGCLRLNDFTLPYDENLGFGTFHESSELDYGKIEQGRWCPKANEHVVETEFSQDVWMVKEFASLVQKVKYLEVKPEKEWSVMSRKTQLVLDAVKDSIERGYKVVEIGS >OIW03509 pep chromosome:LupAngTanjil_v1.0:LG10:4589534:4591799:-1 gene:TanjilG_31022 transcript:OIW03509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDYVTKTIIVGIISWTTAFLLARRIFSKCSFDFCNRIVSTIHATLAVTLASLSVEDWRCPICPMTSKSSHKQCITELVAALWVSEMSSPFLHLREHLKELGYRDSPLNLVVDFLFAAIFTIARMLAGPYVTYVTLSASNPLLIKAMALGLQLVSAFWFFKIVRMVKYKVTKISTYEKDIKHNIRRKTT >OIW03135 pep chromosome:LupAngTanjil_v1.0:LG10:13663102:13667995:1 gene:TanjilG_11772 transcript:OIW03135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNDWLSSMDSDPDILFPFDSFIFGLHYHNSRKFFVPGSLAIQEAFGRVTKFAGALLFWLSSSSSSNLVQDIASSMNNYHRFGNSTMGPVQVKPIVSNNVARFGFPFRLKRKSSSSSVTLGKISSFILRVIWREAKRIQSFPVLSLAAALVPPIQNLSSNILAGPLQNPDVQMNGSIDQIHMDVESQGCPRLSVSELNMTKSAVETKTGIEFPVVLDNVLPGVQNSSFNSEVLVGTGSKNMTIVKIKSLKVYAFGFYVHPCSLCEKLGPKYASISVDELNSHHDFYQDLLREDINMTVRLVVNCKGMKINSVKVAFEKSLRARLVKTNPSTDFHCLTAFGSYFTEDIPLPLGTVIKFRRTVDGCLITEIGGNQIGSVHSKDLCRAFFDMYIGDAPVSEQTKEEIGRNVANIIRSC >OIW03169 pep chromosome:LupAngTanjil_v1.0:LG10:13048758:13049659:1 gene:TanjilG_11806 transcript:OIW03169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRGKTLGSSAAKKATSRSSKAGLQFPVGRIARFLKAGKYAERVGAGAPVYLAAVLEYLAAEVLELAGNAARDNKKTRIVPRHIQLAVRNDEELSKLLGDVTIANGGVMPNIHNLLLPKKAGTSKAAAADDE >OIW03134 pep chromosome:LupAngTanjil_v1.0:LG10:13685030:13686582:1 gene:TanjilG_11771 transcript:OIW03134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLEMAGFTRAVSSREKDDDVVVKKVLEEEEQCSTSSFSSIGKNSEEVSMGEDSEENEVESCYKYNGSLNAMETLEEVLPIRRSISNFYNGKSKSFTSLADAALSTQVRDIAKPENAYTRRRRNLMAFNHGWDKNRNSFPFRSSNGGITKRTVSTSRSSLALALAMNNSDSSSSFTSEDSTSSLNSLSPLLPPLHPRNKVLSFVTSPSSPLQQNFSAWRSFSVADLQQHCAIAAATIKIPNSSLGNETARSS >OIW03068 pep chromosome:LupAngTanjil_v1.0:LG10:14838199:14841473:-1 gene:TanjilG_19348 transcript:OIW03068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSSSNEEIQICIFDVRRGQNEGEEMDKILFFFPNSSPFSKQLSLIGLTEALITFTSIFSPHSPCDSIHAQFHSHIFHQPEPDIWIILVLNKINDSEPLWTDHALRNFLKEIHSLFVMFHGTVRSILEKEPSGGLIRRYLYSFIMDYLRDFIVGKKLHLPSFRDCLKEHGTVQMLTISREAAIEVQSLVKELEPSAGNTPCHSLVLYQDLLVSTTLSPDDTINLFTYAVLRLTPHALSSGASSWSYLRKGNNASNIGIEHSSSVSESFYGSSDASSGEDNHYHIVRPLQPDKWSKGKDGYLVTDLWGAEVGTWVFATPTVWLQQSGERMYLCAYQHRSLTLILLIPVSSIPNGEQGVSAVKQQVLENASLKILKVEEKLSKGWGGENAYHVSGYRYLLLDGDRNVSRASPATKVTTLSKESLLAMNKLRQEVELEKSRAKLDSDGCEKDLEVCIRAKNNAWVIARTTRGKELYMVLEKANETLLYASDAVEKFSNRYCDGTFSLD >OIW03682 pep chromosome:LupAngTanjil_v1.0:LG10:2634642:2637430:1 gene:TanjilG_30746 transcript:OIW03682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLTSISLISSSYSSSSFPSISNFIADYSLPSTSNPFRTRTTITLLPFPIRTRPFSFSSPKNAIFTASNSENGKPEQFLSNNSIADFMRFKRGGDGGSGDLQTAVVSYKKKFPWSLLNPFLQVDLVSTIHIADEEYFLALQKELESYDCVLYEMVASREILENRRNPTATKRFKGSRSRGFNILGCIQRQMARTLRLDFQLDCLNYQAANWYHADLDFETFKLLQQEKGESLFSFARDMTLRSTKAILQPSIPEDLDPLRSKLLWASRVLPMPLVGLFIIGCVCEDMGSQASEYPEIKALSRLDFGAAMKVFLAKRLTSEFTLVTAEVEEKSVIIGERNRVAAEALQKALDEGHNKIAILYGGGHMPDLSRRLREEFDLVPSSVQWITAWSIRKKNINTSSFPFLKKMARASGWPLNRYETLAFLIFSSVLALDLWFWELFFGTAVNWSSELGSQLLHYVHNSQVI >OIW04000 pep chromosome:LupAngTanjil_v1.0:LG10:349691:350407:-1 gene:TanjilG_30276 transcript:OIW04000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTHDSSSSMQNQSCNNDLACSNNISKLYRGVRKRKWGKWVSEIRLPNSRDRIWLGSYDTQLKAARAFDAALYILRGTNACFNFPDTPLNMNINNVVVPRGRSLSPQEIQEVAAKFANYTHTQLETQEEEEEEEEPLESQSGVDSFGLFESKTTSSGSGYDNYDGKMDWTFLNMLDDDSNAVAGFDCRPDYCELDKTYSSGELLYYTQPPPLEDNNGDETEGNDAFYNHSILWSWDF >OIW03403 pep chromosome:LupAngTanjil_v1.0:LG10:6132941:6136010:-1 gene:TanjilG_12000 transcript:OIW03403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGICISIASSEIHGPSEEVHDENVIIFEGNKVPNVNQKLFSVYSRQGAKGLNQDAASLHKSYGMEDGSFCGVFDGHGRNGHIVSKMVNKHLASLILSQNNAIAKIDTTENSDVSDDNTSSHVDTPESDSTLKFQKWKQAIFSAFKVMDKEVKLQENLDFSCSGTTAVVVIRQGEDLVIANLGDSRAVLGRIHDEELIAIQLTTDLKPGLPCEAERIRSCNGCVYALEEEPNIQRVWLPNEKAPGLAMSRAFGDFLLKDHGVIAIPDISYHTLTPTDQFILLATDGVWDVLSNNEVASIVWTAATEDAAARAVVEGATAAWKKKYPTSKVDDITVVCLFMQKKSQNLSLCL >OIW03506 pep chromosome:LupAngTanjil_v1.0:LG10:4623421:4624835:-1 gene:TanjilG_31019 transcript:OIW03506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPIEPPNGIRNEGKHYYSMWQSLFEIDTKYVPIKPIGRGAYGIVCSSVNRETNEKVAIKKIQNAFQNRIDALRTLRELKLLRHLQHVNVIALKDIMMPVHRKSFKDVYLVYELMDTDLHQIIKSSQALSNDHCQYFLFQLLRGLKYLHSANILHRDLKPGNLLINANCDLKICDFGLARTHASKNQFMTEYVVTRWYRAPELLLCCDNYGTSIDVWSVGCIFAELLGRKPIFPGSECLNQLKLIINILGSQREEDLEFIDNPKAKRYIKSLPYSPGIPFPLLYPNAHSLAIDLLVKMLVFDPSKRISVTEALQHPYMAPLYDPNSDPPAIIPIDLDIDEDLGEETIREMMWREMLHYHPESAVINAELCS >OIW03008 pep chromosome:LupAngTanjil_v1.0:LG10:15735363:15735752:1 gene:TanjilG_13645 transcript:OIW03008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARQLFVVALIFVAIVGVAIASEAPTSSPSSSPSSSAPSASIPKASVDAPTPTQSTSETPASSPSEEEGISSPPAPASEAIETDAPAPGPVGAEDVDDVPAPAPHKSAALGLQASVVVAIGVVVGFLAF >OIW03487 pep chromosome:LupAngTanjil_v1.0:LG10:4864034:4866361:1 gene:TanjilG_14712 transcript:OIW03487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGHYESSSCNGEAANRSLQITKYSPYNKPSMKLSSPWFDLRVFYVRVKGFQVDESIPEFLSLNHIPLSPDTLLEVNRVRSGMYSGGASSVLRRDRLDKKSEEAIFVSMDSLRFTGSMKFVIYDKEHCILSGVLEMSNGNGFVGESRTNAKKWIMSCRTEISASSGFFKGKHVSSLELPCPEIEVYVAGSFSRTPIILTKTLQLNCRKKHNRKPTLDAIPEHETNEYQKDVSDHGLDFQVPDYRSFKAEQEEDYNHMYWQRTGYIDDEDGELSWFNSGVRVGVGIGLGICVGVGIGVSLLARSYQATTRNFKRRFI >OIW03021 pep chromosome:LupAngTanjil_v1.0:LG10:15606662:15618252:1 gene:TanjilG_13658 transcript:OIW03021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLNANLHHFPHSKLLHSQTLKPNTFFTFTYHNAASIKENHHIGFHNILGKRVGSVSSILPARSWWALPEHREDDAVAAEPTAVVVAVRQMWQLVADQQFVAFVAIGSLVVAALSEISIPSILAASIFSAQGGEATVFSKNALLLVLLWFTSGICSPHNGFIAIVEQASPPLQISAYFKFVDVVQRFRGHVKRLRENLYTAILFQDISYFDKEKVGDLTSRLAADCQKLSHVIGNDLHLILRSTLQGIGAMVNLLALSWPLALSALVICSILSAIFLVYGQYQRKAAKLTQDFEACASEAAQESLSLIRTVRAYGTEKKEFDRYNQWLQRLAFINSRESVANGFWSLSFSTLYRSTQIFAVLLGGMSVLSCSITTEQLTKYVLYCEWLIYATWRVTNSLSSLMQSIGASEKLFQLMSLLPSDQFLAKGVKLQRLMGKIQFVDVSFHYPSRITMPVLENVNFSVEPNEVIAIVGLSGSGKSTLLNLLLRLYEPSSGQICIDGFPLEELDIRWLRQNIGYVAQEPHLFHMDIKSNITYGCPMDKKQEDIERAAKQAYAHDFISSLPDGYETLVDGNVLSGGQKQRIAIARALLRDPVILILDEPTSALDSESEHYIKEILCPLKDESKSRTTIILTHRLSTIEAADRIFAMKGGRIIEMGNHEELLLKDGLYAKLNRFQSDILT >OIW03516 pep chromosome:LupAngTanjil_v1.0:LG10:4507292:4508674:-1 gene:TanjilG_31029 transcript:OIW03516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVTGKNKKKKRCLLPLSKFNDKEIEDGDEVNVPNTQQPQQQNQSEFEEAKEFHAQGDNLALVLVPRPCWCGHLCAPRLICLGQFSTPRPRWFGHLCASSLISVGQFSAPRPCCFGYSCAPGVVCLGQSSASWSCCFGHVCAPRAFCLGQFHKSVLGLLGHVVTMCYQFVRC >OIW03157 pep chromosome:LupAngTanjil_v1.0:LG10:13255210:13255869:-1 gene:TanjilG_11794 transcript:OIW03157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAESESCWSCCFSFILSMGFTAFFIWLSLRVTEPKCYLESIYVPALNKSFNSPHNSTLLFNLKLVNPNKDKGVKYDAVNLHFRIFNDSNTTRPLANATVDRFYQGHQKTAHKSGNIIVTGGGGGGNVTAVVNGKVYFRVEFDTTVKYKILVFYTKHHSLWGGANVEINNSSGLKVYGKPLRLGNSLPRIVSGASKLRRGYRALWGFLVNVLVVLHVLT >OIW03020 pep chromosome:LupAngTanjil_v1.0:LG10:15623064:15625495:1 gene:TanjilG_13657 transcript:OIW03020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLVDRVESISEVPNSVSGVDHYPPSTSGMKPIIYNQSSYVVNGTSDSVSLNKTVQSCLRQNISAMETKLRIDQPFEDSNNCEVESDDGILGSSKGVVGREKSRYQSEITSSPSPPNSFCSATVYSEAKQSFTNTVASECVSSVDKSVESGEVTNSCYFNESRKSSICRGSTGSDVSDESSTSSLSNAMYKPHKANDIRWEAIQAIRVRDGMLEMRHFRLLKKLGCGDIGSVYLAELNGTRTCFAMKVMNKTELASRKKLVRAQTEREILQSLDHPFLPTLYTYFETDTFSCLVMEVCPGGDLHALRQRQPGKHFSEHAARFYVAEVLLALEYLHMLGIIYRDLKPENVLVREDGHIMLSDFDLSLRCVVSPTLVKSSHAFMETKNSGAYCTQPACIKPTCVIQPDCIQPSCFTPRFLSSKSKKKEKKSKPKNDMHNQVIPLPELLAEPTNARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGRTPFKGSANRATLFNVVGQPLRFPESPTVSYAARDLIRGLLVKEPQHRLAYRRGATEIKQHPFFQNVNWALIRCANPPEIPKQEMKAAAFIAEKVPAVNPSGNYLDIDFF >OIW03623 pep chromosome:LupAngTanjil_v1.0:LG10:3175475:3175630:1 gene:TanjilG_22280 transcript:OIW03623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGLPIVYEQYEEEINNLVGHIILDFRKKYRRFDKRYLNKIPRGPMKEKKT >OIW03553 pep chromosome:LupAngTanjil_v1.0:LG10:3977489:3980238:-1 gene:TanjilG_30973 transcript:OIW03553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKEELETIWLRICYVALEVIIIGHGQKFASENIMIMKSSFNDLNSLWHPPSTGSIKFFSPKDLWDCYYEWSAYGVGAPVMLENGVRVVQYYVPYLSAIQIYTNKSVAASRNRKEDSDGVEFESDLWSDESGSDKLSRSLSDTSSKACIPELAKSYPTLMTFKSVDLSPASWMAVSWYPIYTIPSRKNDKDLEVGFLTYHTLSSSFEGNVVFPK >OIW03200 pep chromosome:LupAngTanjil_v1.0:LG10:12464659:12466836:1 gene:TanjilG_11837 transcript:OIW03200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQQQQIGMENSELFKLLAGTNSSFFHSTTTMHQSLCSSSSNDYNNHPLELSEITDALSQQQDRALAALKNHKEAEKRRRERINSHLNQLRTLLHCNSKTDKASLLAKVVKRVKELKKQTSDITELETLPSETDEITILSISDEDYSDLVGDGRLIFKASLCCEDRSDLIPDIIEILNSLHLKTLKAEMVTLGGRTRNILVVAADKYHSIESIPFLQNSFKSLLERSNSSDRSKRRRRLN >OIW03009 pep chromosome:LupAngTanjil_v1.0:LG10:15730863:15732336:1 gene:TanjilG_13646 transcript:OIW03009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKEKVHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTRYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIIKEVSSYLKKVGYNPDKIPFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQINEPKRPTDKPLRLPLQDVYKIGGIGTVPVGRVETGVVKPGMVVTFGPTGLTTEVKSVEMHHEALQEALPGDNVGFNVKNVAVKDLKRGFVASNSKDDPAKEAANFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFGELLTKIDRRSGKELEKEPKFLKNGDAGLVKMLPTKPMVVETFSEYPPLGRFAVRDMRQTVAVGVIKSVEKKDPTGAKVTKAAAKKK >OIW03316 pep chromosome:LupAngTanjil_v1.0:LG10:9286076:9286407:-1 gene:TanjilG_16465 transcript:OIW03316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGASTQTFGTTQGAVTGCPGRRADFTSSSPLEEAFGYPHIQLDWFNSAPSWLCGKTWQSYAEESATTVATPTAMDDSACL >OIW03945 pep chromosome:LupAngTanjil_v1.0:LG10:707710:711483:1 gene:TanjilG_30221 transcript:OIW03945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNFNLNADSTENDDSVEVLERFPEASSGTSNSSIVNADGSSNGGGDVDSCSTRAGGGSGVFTFDFGIMTVKGMNNVVTPTKELFPMSSGNWKMQQPSTSSLLPARKTGVNLSLDDGENSGTMKMVQAQKQTPQQPVKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTADAAARAYDRAAIKFRGVDADINFNLIDYDDDLKQMKNLSKEEFVHILRRQSTGFSRGISKYRGVTLHKCGRWEARMGQFLGKKYIYLGLFDNEVEAARAYDKAAIKCNGREAVTNFELSTYESDMKPEAINEGVSHNLDLNLGIATPGHGPKENKGHLQFQPFPYNMHPGRSSRMETNVNSVIGDPSLKRLVVTEERPFVWNATYSSFFPNEERAERIVPHPSQGLPNWSWQTQGQVTTSPMTQFSTAASSGFSLSTTFPPTAIFPTKSMNPIPQSLCFTSSNAPVSNAPQYYYHVKSPEAPP >OIW03778 pep chromosome:LupAngTanjil_v1.0:LG10:1868916:1869683:1 gene:TanjilG_30054 transcript:OIW03778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDRVYPAARPAVNATANGGANPSFPATKAQLYGASRPTYRPQPYPRRRRRRSIFCTICCWLILIIFVIILLIGAAGAVVYLLYRPHRPSFTVTSLKLSQFSLTSSTLNSKFDVNVTAINPNDKVSFSYNPTTVSIFSDGVDVGDGTFPGFFHGEKNTTVLKASILRSGVALGSDDAAKLMSSMKSKSGLALKVELATKVKVKMGQLTTPKVGIRVVCDGIIVTLPTEKKPAVGSTSNAKCNFDVRVKIWKWTIG >OIW03142 pep chromosome:LupAngTanjil_v1.0:LG10:13515196:13518403:-1 gene:TanjilG_11779 transcript:OIW03142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKGLVVDENMSNLTSASSEISASSGARSNTGSLYPQYSSTSTHQEPVLKKKRNLPGHPDPEAEVIALSPKSLIATNRFVCEICNKGFQRDQNLQLHKRGHNLPWKLKQRNSKEPLRKKAYVCPEPTCVHHDPSRALGDLTGIKKHFFRKHGEKNWKCEKCSKRYAVQSDWKAHSKICGTREYKCDCGTIFSRRDSFITHRAFCDALAQESAIANVTTMNPLMSSHTQLYNHSFQELSLVKREQEYFNNLRPEIPPWLRGEGFHVQTLRPLDFSSTPLLSTHYENPNPTTFLPPFQSNIESFSSSPHMSATALLQKASQMGATVSKTAPSTATLRPHLLQQQGHVPECTTITGYNSSSSMPSSSPLSGSMVIMPSREEIRTVFSYGNKAAITSANNTIGTDQESSFFHEMMGGGGGNSGTVHNASGFESSSFEEAMRGMFNNPPRDDKNFQELVSKSAQSQFGNKSNKGANDEITRDFLGLGAFSQRGFFNISGLEHLDSSYGKQNQNQAPWQG >OIW03618 pep chromosome:LupAngTanjil_v1.0:LG10:3460049:3460309:1 gene:TanjilG_06127 transcript:OIW03618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEEDPSLEFCCSNEGENGWNEEGTDNLDSKDGEWWPEEDTDGEDDPISVGEDDDINNNLGVMHPSQKKEVGDCAIQRIDVGGSDI >OIW03578 pep chromosome:LupAngTanjil_v1.0:LG10:3760426:3761412:1 gene:TanjilG_30998 transcript:OIW03578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVMDLFQNPSSLKSNNFQTSIWAAKLVLMFIGVISILVLLKVAIIPYTFHLVLSTLPQLWASARSWLSLPFIYIIVNFIIIIIAASSNFQHKNTPFFDPPKHTYTSTTTSEISTHHTEPEVQNNEPKEESKEIEHEEQEKEVEDFGLPFNKFIIDSSLIKKQSNEPMEEEAKEIEQEVKDLGLSFNKFITHSSLLEKHTNDYFSVDSDDNKGDDDDSMEATWRAIMEGQGKIMKPQLKKSDTWSARITKAEPFSENGDVDDHVAWAKKELTKSETFNDRVSLRREKSMSPDELNRRAENFIKKFNNQMKLQRLESHQRFLDMVNHRV >OIW03997 pep chromosome:LupAngTanjil_v1.0:LG10:363212:369779:-1 gene:TanjilG_30273 transcript:OIW03997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVGGGISWDLNWFLKLILSVLVVIIGLHTLVRKTALKYFEAHATFEGDNSVNSTSMPGVLATVEDASCAVCGKKGSKKCSRCKAVRYCSQECQHLDWRSGHQTSCKVSDQNEADKCEALAVGDKKPPIVLIPSKGSGTSRPIKQLKDVLFPYDEFMKFFNWDKPGPPPCGLLNCGNSCFANVVLQCLSFTRPLVAYLLEKGHRRECSCNDWCFLCEFEIHVERTRLSYHSFSPMNILSRLSNIGGTLGHGRQEDAHEFMRFSIDTMQSVCLDEFGGEKSVPSTLQETTLIQHIFGGCLQSEVICTKCDQTSSQYESMMDLTVEIHGDAASLEECLDQFTAKEWLHGENMYKCDGCKDYVKAWKRLTVKRAPNILTIALKRFQSGRFGKLNKRVAFPETLNLSPYMSDAGDGSDIYKLYAVVVHIDMLNASFFGHYICYIKDFQGNWYMVDDWKVTSVKLEEVLSEGAYMLLYSRCTPRLSSLHIQTIQPSRIAEMQTVKVEVKLDPTKQECVSNVESVTCSREGGVLQSDVSPELKVSGHEYQPSSTVIDFDAKCEDSDDVDMIDDKLTNAANEISCSAVESSSIPISQAVKDFMDVDMSMSPKETSGGMEEQHDIAISAPSPGFPNDNSCFDKHSSVSADCPKKGDAEHVDVSKCKLLTSKDSTYYGNGYVSANKSAV >OIW04043 pep chromosome:LupAngTanjil_v1.0:LG10:101471:103643:-1 gene:TanjilG_24154 transcript:OIW04043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPLIRKFRRHLLSWLHRSRSDSVLFVRRVSYKDVRSATDGFRRIIYTNSEVSAYAANFEDNGVCLVKEVKHFDDEANSDTFFRQVQLLGRLHHRHLLSLKGFSLGPKHNRLLIFDNIENGSLKEHLNDPLKTPLNWRTRLKIANDVVAALEYLFLFSEPSVCHVSISSSNIMLDENFTAKLSDFGFFTPGGNSVVTPSSKDCMGQIGFQLGVLILELVTGQSSEMEGSDLIEWIQESRFYSSIDKMIDPDLGNNYDCTVLKSLLAVAKLCIKSKDKASSFTMPQLFRYLQMRTDI >OIW03027 pep chromosome:LupAngTanjil_v1.0:LG10:15476736:15481203:-1 gene:TanjilG_20955 transcript:OIW03027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSENLRNASGSSSSSLGSSFQDTEDDHTIASILAEDGDYRASDNRLGKRLSHLDSFPHTPRINGEIPDVNDATVDHERLSERLATYDLTELQMEGDGNCQFRALADQLFRNPDHHKYVRRQVTKQLKHNKALYEGYVPMKYRSYLKQMKKSGEWGDHITLQAAADRFDAKICLVTSFRDNGYIEILPTDKTHTKELWLSFWSEVHYNSLYTNGDVPCRVTRKKYWLF >OIW02964 pep chromosome:LupAngTanjil_v1.0:LG10:16110546:16112099:1 gene:TanjilG_13601 transcript:OIW02964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTKLSITTLTVFLFLASYVRAQTKGFDVTKYGARPNRDITEALTNAWKDACGSTTPSRVVVPKGSYMLKQIDLKGPCKAPINVQVDGKILAPKNPKLLNGVDQWVKFGYINFFTLSGEGTFDGQGEMAWKHNDCGKNKNCDRLSMNFGFAFLNNSIIQDITSKDSKNFHVNVLGCNNLTFINMNINAPATSLNTDGIHIGRSTQVHIYNSNIATGDDCISLGDGSKQILVLNVTCGPGHGISVGSLGKYPNEEPVEGLTVKNCTLKNTDNGVRIKTWPGTPIISSVSKMHFEDIVMVNVSNPVLIDQQYCPWNQCTKQYPSKIKITEVSFKNIRGTSATQEAIVLDCSSSVPCESVELDDIDLTFNGAITTSKFSNTKPTIKGKVPKLIA >OIW03499 pep chromosome:LupAngTanjil_v1.0:LG10:4682712:4685983:1 gene:TanjilG_31012 transcript:OIW03499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAISSFSVSLSIPSEKSSFSISPSILSSSPQFLSKFLHSPRTSVSPFSLKISCNASSTVSAQPTPLTAKTELKDFLHISDFDKDTILRILDRAIEVKALIKSGDRTFQPFKGKTMAMIFAKPSMRTRVSFETGFTLLGGHAIYLGPDDIQMGKREETRDVARVLCRYNDIIMARVFAHQDILDLAKYATVPVINGLTDYNHPCQIMADALTMIEHIGQLEGTKVVYVGDGNNIVHSWLLLASVIPFHFVCACPTGFEPDEKTVEKARKAGISKIEITNDPKEAVRGADVVYSDVWASMGQKEEAAYRRQVFKGFQVDKSLMDIAGSKAFFMHCLPAERGVEVTDEVVEASNSIVFQQAENRLHAQNAIMLHVLGK >OIW03734 pep chromosome:LupAngTanjil_v1.0:LG10:2215245:2217871:-1 gene:TanjilG_30010 transcript:OIW03734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTTTTTTTTQNFQNHNNKSNNGNPEISRQEIQTAIAKAVELRALHAALTQGSSPVNARFSSPSPVSRSVSQFSAQDYPVFTPVTINFNHGQSYEDDPVPYNQSPTTKTRIISECWDENGVETGNNIETTIPDYKEKTSSRKGLHFGFSNQDSPHICSVDDAKSATSSCANNVTVLQTSPTNDYFKSRRRNSLDDFKPISSCNRCNPAVITSEFDNIRINKSSNIVVPLTDSHVSFRTQPKSKGVISWLFPRFKKKHNKNESSPNRTEYEEVPHVLKDMGIISVESLKRELMEANESRDAALMEASEMRSSLGGLKQKLEYLESYCEELKKALRQAMQSKESPLCENLCANGRENSMPVNEEVMVEGFLQIVSESRLSVKQFCKTLICQIEENDHTLMDNLNMILQPYRLSLNSKYSKAVLYHFEAFINQCLYQDFENCVFQKNGCTKLLDPQQDRQARFSSFVALRNLSWNEVVRKGTKYYSEEFSKFCDQKMNSIITTLNWTRPWSEQLLQVFFIAAKCIWLLHLLAFSFNAPLGVLRVEENRNFDPHYMEDVVPDRQRSQGQNKVKIMVMPGFYVQDRVLRCKVICRNKFAT >OIW03517 pep chromosome:LupAngTanjil_v1.0:LG10:4489493:4494932:1 gene:TanjilG_31030 transcript:OIW03517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDSSRRMWCFVPERFQLHVAMLLTQFCFAGFHVLSRNALNMGVNKFVFPVYRNLIALVLLLPFAYFLEKKERPAITLNFLGQFFLLALVGIEQVKLKRKDGVAKVAGTIFCVAGATVITFYKGPTIYSPTKPLLNSIITTITTPQVFDFGSVSVGDAKEKNWILGCFYLIGHCLSWAGWLVFQTPLLKKFPARLSVTSYTFFFGLLQFLLIALIFERDAKAWVFHSAQEALTILYGGVVISGIAFTVQIWCIDRSGPVFVAMFQPVQTFVVALFASLALGEEFHLGGLIGAVLVVVGLYLVLRGRSEEKKFAMKQLAIAERSILRPASHDKSSLTQPLLPSSTQNV >OIW03251 pep chromosome:LupAngTanjil_v1.0:LG10:11021840:11022076:-1 gene:TanjilG_21780 transcript:OIW03251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWHHSTHRCQHLPPPSPPVFVMDQFPQPLSAATDPSSSNTNVLCKKRRATVVDNCRSSHGRTVTTHEFSAPWLTLKIH >OIW02985 pep chromosome:LupAngTanjil_v1.0:LG10:15901724:15903693:-1 gene:TanjilG_13622 transcript:OIW02985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEMHEMVPGRRFDRYHELGQYAFGEKLGLYIVVPQQLVVEVGVNIVYMVTGGKSLKKFHDTVCEDCKNIKLTYFIMIFSSVHFVLSHLPNLDSISGVSLAAAVMSLSYSTIAWAASAHKGVQENVQYGYRAKTVAGTVLGFFSALGDVAFAYAGHNVVLEIQAIIPSTPEKPSKGPMWKGVVVAYIIVALCYFPVALIGYWMFGNEVEDNILISLNNPKWLIALANMFVVIHVIGSYQIYAMPVFDMIESLMVKKLNFPPSIILRFVVRNVYVAFTMFVAIAFPFFGGLLGFFGGFAFAPTTYFVCIVFGVCLMILSPIGGLRQIIIDAKTYKFYS >OIW02992 pep chromosome:LupAngTanjil_v1.0:LG10:15855425:15857200:-1 gene:TanjilG_13629 transcript:OIW02992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSNFKPYGRDFFDGNPTGRFSNGRIPPDFISEAFGLKQIVPAYLDPTYNISDFASGVCFASAGTGFDNATSNVADVIPLWKEVEYYKEYQIKLRAHVGVEKANEILREALYLVSIGTNDFLENYYTLPQRRCQFNSVEEYEDFLIVLAENFFREIYELGARKVSVTALPPMGCLPLERAINVLDYHGCVEGYNDVALEFNGKVGRLVTKLNNELYGFQLVDANAYHILLQIVTQPSSFGKFNICLFYYLCFSIKEYSSFFRIHTCYLTRSCHSMCLDI >OIW04001 pep chromosome:LupAngTanjil_v1.0:LG10:344603:347930:1 gene:TanjilG_30277 transcript:OIW04001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLFKTGSQTDTKLNSTSKATTLNPNAAEFVPFALRSSPSGSTGLVDVASSLTTSESLGKAVLDRSGSSVPDNSDDEAHHYWQCQLPDDITPDFKVMGEDESQGLTNLSLVGLSIHDDDNESSRFPSKGSRFILNEQHLNGNTIADDKFLFSNSTHMEEPSSASLLSPLAKPWDKQIGNTNHHVSGSQEALIYDDNSRHGFLNDILSDNGIVNDASLNPLEFLASLFPGFASESLAEVYFANGCDLHLTIDMLTQLELQVDGSFNQNLNSKALSAPNLTPMDFPALTSPNAQATSAKYATGNAQQSGSPYLSSSKDMLMFRTSSSNPSRGAIDFASAVRKLASQDSGIWKYDRNGSSDASTGSSRSLNVLASAYNGGQGSANFGDRSQNRVSARTVPIWLETGDAVANMYSELREEARDHARLRNAYFEQARQAYLIGNKALAKELSAKGQVHNMHMKAAHGKAQESIYRQRNPVGPVMQVNGRGHERIIDLHGLHVSEALHALKHELSVLRSTARAAEQRLQVYICVGTGHHTRGSRTPARLPIAVQHYLLEEEGLDFTEPQPGLLRVVIH >OIW03470 pep chromosome:LupAngTanjil_v1.0:LG10:5032064:5033959:1 gene:TanjilG_14695 transcript:OIW03470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNKWEAQKWWSENCDKVMELYNVQRLNHHAFPLPTPPRSEDKNSKLESAEASPITPPLTKEQLPRTMSHPGTGMGYSSLDSFDHQSMQSQHFIDSATGMSSTPKVSIISMAKTELSSVDASIISSSSRDVDRSSDLSMSNASDLETKWVEKDGPGDYITIRALQGGKRELRRVSREKFGEVHARLWWKENRARIHEQYL >OIW03816 pep chromosome:LupAngTanjil_v1.0:LG10:1571196:1575995:-1 gene:TanjilG_30092 transcript:OIW03816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPTKPTLKFTYLTLTLLLLFTFNYVSYGSEVVSDNDDLDGIEELLAVDDELELEPKVSGGDVKLSEAEVLTKAQRIVIELNNDNTERVINGNEFVLVLGYAPWCARSAELMPQFAEAASLLKELGTPLLMAKIDADRYPKSASVLGIKGFPTLLLFVNGTSQQYSGGFTAHDLVLWARKKTGTPVIRISSVTEADEFLKKHHTFVIGLFDKFEGQEYEEFVRAAKSDNEIQFVEVSKFEVAQVLYPDIKITDRFLGLVKGEPERYTTYDGAFVTNEILEFLGYNKFPLVTELTEMNAIKVYSSPVKLQVLVFANVDDLKNLLDTLQDVARTFKSKIMFIHVDINDENLAKPFLSLLGLEESKNTVVAAFDNGMSSKYLLESQPTRSNIEEFCNNLVQGSLSPYFKSQPIPDNTEASVHVIVGKTFDDAILSSKENVLLEVFAPWCINCEATSKQVEKLAKHYKGSNNLIFARIDASVNEHPKLQDVNDYPTLLLYREGEKANPIKLSTKSSLKELAASINKHLEVQNHVAKDEL >OIW03218 pep chromosome:LupAngTanjil_v1.0:LG10:11452646:11454742:-1 gene:TanjilG_13012 transcript:OIW03218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFGHQDSVPAPGSFDKSRVLNVKPIRTLVPVFPSSNPSSSANPQGGAPFVCVSPSGPYPSGVAPLYPFFVSPESQRLSEQNAQPQVGQRVPTGPISTAVPINSFRTPTGATNGDFGSSQRKNKGGEEDDYADMNEFDVEDGAGDGGRKRKSGKKGRKPKGAVGTPSNVNPEAVANDIFKSINPLVFDVLSQPEGSRDSVVYTLLVYEVLRRKLGQAEETAKDIAGAKRPDLKAGALMLTKGIRANSKKNIGPVRGVEIGDIFYFRIELCLVGLHAPSMAGIDYIGTKISQEEEPLAVSIVSSGGYEDNVEDGDVLIYTGQGGASKEKGASDQKLERGNLALEKSMHRGNDVRVIRGLTDLAHPTGKVYVYDGLYKIQNTWVEKAKNGFNVFKYKLVRCPGQPAAYMIWKSIQQWTEKNVPRTGVILPDLTSSAEKLPVCLVNDVDNEKGPAYFTYSPTLKNLKPIAPIESSAGCSCVGGCQPGSYNCPCMQINGGFLPYSAMGILWDLKSVVYECGSSCQCPPTCRSRVSQGGLKIRLEVFRTKDKGWGLRSWDSIRAGTFICEYAGEVIDNARVEELVGENEDDYIFDSTRIYQQLDVFPSDTEAPKIPYPLYITAKNEGNVARFMNHSCSPNVLWRPVVRGNKNESDLHVAFYAIRHIPPLVELTYDYGIVLSLKPGQKKKKCLCGSVKCRGYFC >OIW03987 pep chromosome:LupAngTanjil_v1.0:LG10:429264:435381:1 gene:TanjilG_30263 transcript:OIW03987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCFEGLIFCPEAASLLLHNFCIYHISPPGHELGAAAICSNDPVPSAEDLADQIIEVLNYFGLGAVMCMGVTAGAYILSLFAIKYRERVLGLILVSPLCKAPSWKEWIFNKVMSNLLYFYGVCGLLKECLLQRYFSKEVRGNAEVPESKIVQACRKLLDERKGVNVSRFLHAINQRPDITEGLKRLKCRTLIFVGDSSPFHSEALYMTSKLDRRYSALVEVQACGSMVTEEQPHAMVIPIEYFLMGYGLYRACYLSHSPRSTLSPSCISPELLSPESMGLKLKPIKTLVSLVVSLSHCSKKPVGIARKEDVPYIKCQVCQKLSKELHHQVHKKQTQISPKKITEYEIIEIAENICNLKKAEADWILRIDIVEKEDRLELVGQDSEGQCNSECKTIERACQEVIGYSDTDVAEYLYKSKPDVDSLLNYLCKDLTKACSTKPPPVPKDRTPGEPFVAKSDKEAEMEKLLKSMEGMPGAPGMKMYSRDDLMNMNNFGNEDVDEEDEDDDEADVPSKLGRVLREKESGKGDWKQVIKKGISDTSATLKKHADKVSNRIRKWWRGKKTTTSKKGSKASKSEL >OIW03920 pep chromosome:LupAngTanjil_v1.0:LG10:894422:899494:-1 gene:TanjilG_30196 transcript:OIW03920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LKRSNGGTKIIITTIMGIAFGFFIGISISSTHLNKISLLSSVGNSFDVPTAEIERFSAEVDRSPAVIDESSGTKNLEALGSIRIPKTNVQTNPRGAESLPPGIVVSESDLYLRRLWGEPSEDLKKKPKYLVTFTVGYDQRQNINAAVKKFSDDFAILLFHYDGRTSEWDQFEWSKNAIHVSARKQTKWWYAKRFLHPDIVSAYEYIFIWDEDLGVEHFNADKFVEIMAPVFSREAWRCVWHMIQSMDGDWILLSEDVYRYINLVKKHGLEISQPGLEPNNGLTWEMTKRRGDREVHKVTEERPGWCSDQHLPPCAAFVEIMAPVFSREAWRCVWHMIQNDLVHGWGLDFALRRCVQQPAHEKIGVVDSQWIVHQVIPSLGRQGEADNGKDPGDAVKTRCRSEWAMFQARITDADKVYLEGLKRGKG >OIW03800 pep chromosome:LupAngTanjil_v1.0:LG10:1666279:1666878:1 gene:TanjilG_30076 transcript:OIW03800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESNLPIIAKKVWSMVRVVLFMLRKGFSKGKLMLDLNMVLKRRGKLAGKAIANLMFHHHHNGGSTSTRRDSNLQFSAQREYEFSCSNTPNYFFPIGRKHHRNHNNNHLFTCAHAPPPVDEEMNAVKAVLEMLNMNNTETMVESTYSSPALPGFGKSPMVRKLRVTDSPFPLDTDDKDNMVDKKADEFIKRFYKQLRKQD >OIW04036 pep chromosome:LupAngTanjil_v1.0:LG10:68119:68904:-1 gene:TanjilG_24147 transcript:OIW04036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQPTHSVPVTGYPAPAYGGGGGQQQYQNGGGGGGGQQQYPYVAYPQQAPLYYNNNNNNNNNNNNQGPFATRSFFRAFVGTMICLVIIFAIIILITFLVLRPSFPSFQLNSLSISDFNTSSQLLSATWHISFLTVNQNKKISLTYQALRSSIFYRNYFLTDSQLPPFRAETKSENNINVTLSLTQTYVDPYVIRGLNTDTNQRASITFDIQLLASTSFHSGSWRFRSLLLKVLCEDVTIAISSTATSGNFIGGPKKCQVWN >OIW03013 pep chromosome:LupAngTanjil_v1.0:LG10:15694702:15696908:-1 gene:TanjilG_13650 transcript:OIW03013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYRSWIIDIGGFAKKVKSTTLSSAEQIKDCGAYRECPNCHYRIDNSDVSTEWPGFPLGVKFDPSDVELIEHLAAKCGIGNTEPHMFINEYIRTLEEDQGICYTHPENLPGSKKDGRTVHFFHRTTNAYSTGQRKRRKILHEHGLTEEHVRWHKTGKTKAVVENGVHKGFKKIMVLYTRPEKGSKPYKSNWVMHQYHLGTEEDEKDGEYVVSKIFYQQQKHTDKIDENPMAVDSIAPSRTSPMTPKPNPPHPPRKGKCIDCDDHIDEAQDSKTIHVESYAPLSDVPAQDYVGEPAWLAGESQAVENDEFDGLDDILLCKEILDSSALLNDSGFNSATLNGFATNANEMNGNGEVSYGISVLDTLDLDTPPDFDLSNLAFCSEDSILDWMDRL >OIW03430 pep chromosome:LupAngTanjil_v1.0:LG10:5503199:5503795:1 gene:TanjilG_14655 transcript:OIW03430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIHQHQENIENNVKKQIEGGEKLKQENQQEFSFTISLNSSSTTFIDDKSKAPPSSLAVDLSPADDIFFHGHLLPLHILSDLPSLPPSSANSTDSFTLPIRELLKDESLTKNNNVSCSTSNRSNITIDTNKNNNIESNITIDTNKNNNIESNNIGTKEESNKKSKPAFSLFGLAKWQKGCQDRDKGYKRITKGSSSLI >OIW03717 pep chromosome:LupAngTanjil_v1.0:LG10:2338439:2338786:-1 gene:TanjilG_29752 transcript:OIW03717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYKANMIKKGSCPTRLQKHAPASLEIDKVFNGNNPFGEASKAIPLLSPLIISPQPFGSSNNIQAPTLENNNGNHGSSPSTTSNGWEHPAMAPFPETSSLCSVFQKQCVFVNHAQ >OIW03065 pep chromosome:LupAngTanjil_v1.0:LG10:14871306:14873138:-1 gene:TanjilG_19345 transcript:OIW03065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTIKQMALIISFFGFVSFILGILAENKKPVAGTPIPNGNGVTCKYPTDPSVTLGYLSTMFLIGSTVVGYLSLFYPYKGKSISQGVLFKHTTFVVFFNVALFTTGLATTMLLWPTITEQIHLSHNVHSDINYECPTAKTGLLGGGAFLSLDSSLFWLITLMLVDNVREDFFDGEDGDKGEFGKASSNAYNVDIGVNGSL >OIW02960 pep chromosome:LupAngTanjil_v1.0:LG10:16156912:16158757:-1 gene:TanjilG_13597 transcript:OIW02960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWDWKEFTWYPSGLEVDAASVGLRLGEASDLMENPELGTPKEFKDLKTVLSTPGSSKRSRSSNGSQNLCCLVDGCNSDLSDCREYHKRHRVCEKHSKTPVVLVGGKQQRFCQQCSRFHSLGEFDDVKRSCRKRLDGHNRRRRKPQPPSLFMAAEEFLYNYKGPRILQFDSSQTYANPIMRNVWPATAKTGAESGYDHHRLLYRIDKHKQEKEVLLWQENAPKASIGNEAMLGTPICQPTSGAIAASASGKGSRKLSSDSKLGSFDSSCALYLLSTLQTQSSKLSLVQSGTTCPIQSPTGSVNFDAVDEYSCSGRKIDKPNGAVFVLDSNATNLHCNGMLQMGPDGLVKNGDSLTLPSFWE >OIW04045 pep chromosome:LupAngTanjil_v1.0:LG10:112497:112985:-1 gene:TanjilG_24156 transcript:OIW04045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRKQLLPPPASDPALRRRPTGEKRRVREVAGGATAECAAVCCCIPCTVMEMVVLATYKVPAGLVKKAIEKRKQRRVQSKKSEALLLQQKARDDNDNMVVVGPTLEEQMAKEEKSEVVELEKEMWAQFNSAGFWRSSSQNQHHNEHHQHHQHQKQEEEEEEE >OIW03732 pep chromosome:LupAngTanjil_v1.0:LG10:2224893:2225303:-1 gene:TanjilG_30008 transcript:OIW03732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVSALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >OIW03867 pep chromosome:LupAngTanjil_v1.0:LG10:1235600:1242164:1 gene:TanjilG_30143 transcript:OIW03867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYFEDEVHNGNWDEVERYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDKHDRSKAVEILVKDLKVFATFNEELFKEITQLLTLENFRENEQLSKYGDTKSARAIMLVELKKLIEANPLFRDKLQFPNLKNSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFVDHSCGQPNGARAPSPANNPLLGSLPKAGGFPPLGAHGPFQPTPAPLPMPLAGWMSNPTTVAHPAVSGGGAIGLGAPSMPSNAMPGALKHPRTPPTNPSVDYPSGDSDHVSKRTRPMGLSDEVNLPINVLSGTFPGHGHGHSQAFNAPDDFPKTVMRTLNQGSSPMSMDFHPVQQTLLLDPGVSVNRVIWSPDGALFGVAYSRHIVQIYSYHGADEVRQHLEIDAHVGGVNDLAFSHPNKQLCVITCGDDKTIKFIFSTALDGKIKAWLYDNLGSRVDYDAPGRWCTTMAYSADGTRLFSCGTSKEGESSIVEWNESEGAVKRTYQGFRKRSLGVVQFDTTKNRYLAAGDDFSIKFWDMDNIQLLTTVDADGGLPASPRIRFNKDGALLAVSANENGIKILANGDGIRLLRSLENSLYDGSRTSEAMAKNGDARTLADVKPRISEESNDKSKIWKLTEINEPSQCRSLKLPENVRVTKISRLIYTNSGNAILALASNAIHLLWKWQRNDRNSSGKANATMSPQLWQPSSGILMTNDINDNNSEDAVPCFALSKNDSYVMSASGGKISLFNMMTFKTMTTFMPPPPAATFLAFHPQDNNIIAIGMDDSSVQIYNVRVDEVKSKLKGHTKRITGLAFSHVLNVLVSSGADAQLCVWNTDGWEKQKTRFLQLPAGRTPPAQADTRVQFHQDQIRFLVVHETQLAIYEATKLECLKQWFPRDSSAPISHATFSCDSQLIYASFLDATICVFSASNLRLRCRINPSAYLSASVSNSNVQPLVIAAHPQEPNQCAVGLSDGAVHVFEPLESEGKWGIPPPIENGSASNVAPTPVGDSSDEAHR >OIW03994 pep chromosome:LupAngTanjil_v1.0:LG10:395860:396347:1 gene:TanjilG_30270 transcript:OIW03994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVKGNVFKNKRVLMESIHKSKAEKAREKTLSDQFEAKRAKNKASRERKHARREDRLAQGPGEKQSALPPSATPTGASQPAKAPKKSK >OIW03777 pep chromosome:LupAngTanjil_v1.0:LG10:1875873:1878380:-1 gene:TanjilG_30053 transcript:OIW03777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDGLMFQQQLLVEENMSNLTSASGEASASSGTRTEIGNSSNYSQQQPYLAPPQSSQAQPQAKRKRNLPGNPDPDSEVIALSPKTLMATNRFICEICNKGFQRDQNLQLHRRGHNLPWKLKQRTNKDIIRKKVYVCPEPSCVHHDPSRALGDLTGIKKHFSRKHGEKKWKCDKCSKKYAVQSDWKAHSKTCGTREYRCDCGTLFSRRDSFITHRAFCDALAEESAREGIPNQHHSSLLQPSHHINLQTHDQDNNIHATNNIFPLKKEQQSFSLISPQIMPQWLGPQSHNNNNNPLHLSSTSSIFSHDQQHHHQDLSNLHETQNPNPSSLGPTHLLPAVPVSSPNMSATALLQKAAQMGATMSKLCSSQSQPIMRPHMQPHVTSSTNGNNNNNNNNDNISNSGSNNNFGLNLSSHQDHVTTTTTNTASVSQSSFLHHVMFEGTSFEDAFGGILNSNKDDAIIPKTNNGDDGGGNEAMTRDFLSLRPLSHSDFFTIAGIGNCMNSSTSHDQQNQSQKPWQG >OIW03120 pep chromosome:LupAngTanjil_v1.0:LG10:14445593:14446768:-1 gene:TanjilG_07272 transcript:OIW03120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSTDNQRPSSSMSSFGRSFFGVRQEQVHSVEPSHEADSCNLELGSFQKRVTDRFNALSGVGDDQLLSIEWMKKLLDAFICCHEEFRAILLNNKEQVSKFPLDRLISEFFERSVKALDICNASRDGIEKIRMWQKHLEIVLCALDSSKRALSEGQFRRARKALVDLALTMLDEKDSGSVFSQRNRSFGRHNSSKDHHSSGHSRSHSWSVSRSWSAAKQLQSIASNLIPPRGTEIAATSGFAVPVYTMNCILLFVLWTLVAAIPCQDRGLNIHFSVPRQFSWGTSVTSLHERITEESKKRERRNSNGLLKEIYQVEICTRRLTDLADSVQFPLTDEQKMEVEQDLKTLMVVCEAFRDGLDPLERQLREVFRKIMTCRTEGLEYLGASSYTEQ >OIW03649 pep chromosome:LupAngTanjil_v1.0:LG10:2955700:2958013:-1 gene:TanjilG_22306 transcript:OIW03649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFTLGTGSARIPCGNTTITPYTSLSHHRPHLTLLPSTTTRTNLQLVSAKKFSPRSGRNRRGTTTIQDQDEFQRTAEIEDSSADVDDGYFLPDLPGLEKDLWEGPQWDGLGFFVEYLWAFGVVFSLVACGIAVATYNEGATDFKQTPAYQESVQSREFLEEPDSSDSDVFESNPTEVAPSLE >OIW02989 pep chromosome:LupAngTanjil_v1.0:LG10:15875444:15880610:1 gene:TanjilG_13626 transcript:OIW02989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAKSRLSGGYYGNAIGTGGESEGSGTSGREDTEMTVSEEESCIPVRKKSISLNSSRCDDVFNVPMQVIPLSNLPSFKRKDLVRRLRSELERVRLVQKKAELQKTSGVTLSSCSDILSCSNGQNGARIENSRKPPISGSVPGDKLKPLDQKPLDQKPRVLNRGSSGKFQSAPQTSSLSTANTMLMKDCDSLLKRLMGHQYAWVFNTPVDVVKLNLPDYFSVIKHPMDLGTVKSKLARGAYSRPLDFASDVRLTFSNAKTYNPPGNDVHLMADTLDKYFEVRWKTIEKKLPKSDALPLPPKPTNCGNVKTARPMPPSKKRKVTSLPPQPEVLPPAKKVMSDEDKLKLGRELESLLGEVPIHIIEFLKEHSSNGRECGEDEIEIDIDDLSDDTLFTLRKLLDDYLQDKQKNKGKVEACEIELVNDSGPSNSSLQAFKGNDPADEEVDIGGNEPPVSSYPPVEIEKDKTDRRNNCPSPDSSCDTDSTSSSDSDSDDVKGSPANVAKVPENLGFGVQLDEKTRAPNTLERNQTISVLDQHEGNSQQMPSSVDQDGDSGTIERQVSPDKLYRAALLKSRFADTILKAREKTLTQGEKGDPEKLRRERAKLEMEQKKERARLQAEIQAAEDARKRAAEEAALEARRKRELEREAARQALLQIEKTVEINENSRFLEDLEKLRAVPAEQLPSSVYETSPDHSQDGLGNFKFGGSNPLEQLGLYIKADDEEEEGEPPCVPNPVNDVEEGEID >OIW03788 pep chromosome:LupAngTanjil_v1.0:LG10:1784614:1785231:-1 gene:TanjilG_30064 transcript:OIW03788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNTEKLLNQIMELKFTSKSLQRQSRKCEKDEKSEKLKVKKAIEKGNMDGARIYAENAIRKRTEQMNYLRLASRLDAVVARLDTQAKMSTISKSMSNIVKSLESSLSTGNLQKMSETMDSFEKQFVDMEVQAGFMESAMAGSTSLSTPEVEVNSLMQQVADDYGLEVSVGLPQAAAHAVPTKEADADKVDEDDLSRRLAELKARG >OIW03903 pep chromosome:LupAngTanjil_v1.0:LG10:1015223:1017031:-1 gene:TanjilG_30179 transcript:OIW03903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESPHSVNSPRRTLSLSKQRRATVSFLDPDDKNSGFGLSGEHGPKPSEVYGFVGSITTVAASVIFLVWAYVPESWLQSIGISYYPSRYWALALPTYLLVTIVLGVVFYIGLNFTATPPPTSKYSVFGEYEANFFRDTDEFTRDPLSPDCSLDPDDKPIEPISDIGIDRINDIMFNNAT >OIW03687 pep chromosome:LupAngTanjil_v1.0:LG10:2602680:2605593:1 gene:TanjilG_30751 transcript:OIW03687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKPFMTCDDPKGVVECGTIRRYRTSSKKMKDKTKGRRPAENLETQTSMTSKPDKEEGLSKGSTEKSFDPSSLQLMEVSRGAQRLNNVIGSWSRGRSYDGGSEDIAKDLLKGALDLQESLVMLHKVQEASQNLARLKRRQNEKSERGRIDKRMIDRTQRPSSSADGSSSSCTEELKKVIKESLVRQNVFPRTASTQALDSASEFPSTNSSQSFGVQTERLSDFSFSPSTSTKECRPSLVARLMGLEEAPARSCPAVMLKQLESQKIQNHKRPVFEIDLPKVRNNNSIAGKVNPEGYKTVREILGTTHYSGLLKKSPVREPKFQVHHNFSDLHSRQFDDLPPIVLMKPRYAAYREFVPIREPVPSEELSHRKLKAKAVPSKTFKPREGSINTGKEMEEYASNRLTKEERTKRVKEVVDLDEKEIKPVENVRDSRGKLKLHSHASHKLQPLASEKDDKKAKLRTISGKLPEKAILKPKIMTKSQDQGETSSTKSRKPQSRSRIYKNDIPSRKSTASNTTSKPKNQKIIISKEQKKIQMKKQRPLAEPEAAKPVDEQIREVGEEKIIDVSCEDDCTEIRIITTIADDLTIEHEVDAFANNVVEDNKQVHSCSGDNNLMLKSGHEDDAIPAEESHDSINRYESETDHKPDKEGSEVKYLLLTNQSFIGHAEELLNLDLDCPRNLQKNETNGIANARLYLDCANELTERKSFQESQLVCPISLTYAGNSSRILTSLSNLVEEIHNAIKNLTSYSGKNSRNKRAASDSIYAMMERDMKCNIGMINGIWNSGWRHGYSANEAEQVVNEVENLVFSALIEEVIVNL >OIW03540 pep chromosome:LupAngTanjil_v1.0:LG10:4165830:4166422:1 gene:TanjilG_30960 transcript:OIW03540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISKTWFSPFLICLVTLHLVESDTTMVINNMVENPSPSPLPPAIDCHAACNGRCQLSSRPNLCNRACGSCCERCNCVPSGTYGNYEECLCYANITTHGGRHKCP >OIW03070 pep chromosome:LupAngTanjil_v1.0:LG10:14821373:14825146:1 gene:TanjilG_19350 transcript:OIW03070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEVAVMHSEPLQLECYGMNHMTENDVHTPKSNEPMPEEESNQSTDDININDLQEKGYMEHGCQHYRRRCLIRAPCCDEIFDCHHCHNEAKNDTNIDQKHRHNIPRHQVKQVICSLCGTEQEVQKNCINCGVCMGKYFCETCKLFDDDISKQQYHCSDCGICRTGGRENNFHCDKCGCCYSILLKNSHPCVERAMHHDCPICCEYLFETTKDILVLPCGHTIHKCCLEEMRKHLQYACPLCSKSIYDMSKVWEKLDLEIAATPMPPPYRNKMVSILCNDCGKYSHNVQFHFVGHKCLHCNSYNTRQTSG >OIW03017 pep chromosome:LupAngTanjil_v1.0:LG10:15642682:15645640:1 gene:TanjilG_13654 transcript:OIW03017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKYRGLEESNVESFIEDVLVKGIPWAAGVPEVLTGSHVYVCAHGSRDVRCGVCGPELIKKLNEEIELRDLKNQISVTACSHVGGHKYAGNVIVYSPGPDGKIMGHWYGYVTPNDVPELLDQHIAKGEVIQKLWRGQMGPSVEKVKGTDDKKEVNGDVTSNGKKDHVESDNLSNNENVADSGCCQGVNGGISCCRVASFEQNNGNGETLEAQKKQGGKKAWNWPALKEGDVLTAVGVVSAVAAVVVAYKLYRRSS >OIW03167 pep chromosome:LupAngTanjil_v1.0:LG10:13084192:13090447:1 gene:TanjilG_11804 transcript:OIW03167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGTPVTPIGGRTRSTFSVVNGGHYLSPSSAPPSSAGSDYGGIIEFTREDVEALLNEKSKRKDRFNYKERCEHMIDYIKRLKVCIRWFQDLELSYSLEQERLKNSLELAQQKCMEMELLLKIKEEELNSIIVEMRRNCTSLQEKLIKEETQKTVAVEYLVKERDARLNYERSQSTLEEDFGRAQRELHSANEKISSLNDMYKRLQDYVTGLQQYNGKLHSELSTVEDELKLIEKEKANVVESLAMLKGQLTLSMASQVEATKMKDALTSEVASLRVELQQVRDDRALQMSQVQTLTSELVKFKDATEKSSSEVDNLTTKTNELEAKCTLQDNQIKALQEQLENAEKKLEVSDISVIETRTEFEGQQKFVKDLERRLAAAEYKVIEGEKLRKELHNTILELKGNIRVFCRVRPLLPDEGCNTDGKLISYPTSMEAAGRGIELTHNGQKHSFTFDKVFAPDASQEDVVIEISQLVQSALDGYKVCIFAYGQTGSGKTYTMMGRPGYPEEKGLIPRSLEQIFHIRQSQHPQGWKYEMQVSMLEIYNETIRDLLSTNKSPSDATRQENGTPGKQYTIKHDASGNTHVTDLTVVDVQSVEEVAFLLNRAANSRSVGKTQMNEQSSRSHFVFTLRIYGVNESTDQQVEGILNLIDLAGSERLSKSGSTGDRLKETQAINKSLSSLSDVIFALAKKEDHVPFRNSKLTYLLQPCLGGDSKTLMFVNISPDQSSAAESLCSLRFASRVNACEIGTARRNTYGRSTESPSRLSYF >OIW03299 pep chromosome:LupAngTanjil_v1.0:LG10:9630724:9635657:1 gene:TanjilG_09946 transcript:OIW03299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSQSTPSTVSAGDVNINKDDVFQLIQAHQEKAARLPPVEEIRTTLDRSVRGTLSTFSKAHEGYPSGSLVDFACDADGYPILAVSDLAVHTKDLTANPKCSLLVAKDPEDRTDLVITLHGDAISVSEKDKEAVRTAYLARHPNAFWVDFGDFQFLRIEPKVVRFVSGVATALLGSGEFTGDEFKAVKVDPIAQFSKPVASHMNNDHAEDTKVIVQHWTSVPVDFAHILDLDSLGFNVKAGYQGSTFKLRVPFPRRAADRKDVKTLIVEMLQAATPQVN >OIW03279 pep chromosome:LupAngTanjil_v1.0:LG10:10292845:10298043:1 gene:TanjilG_09926 transcript:OIW03279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPFFFFFSRTTITVLLLLQLFFSSSNADNNDTPLLLKGIDIERPVLDVSPSLLSGHSATHGVKDTSRCERVQVSGISRLKLGSYSSSFHITLAPSAAIPERLHNKIQVCFHRNNSLGWCRCEKDEWRSLQKGVWSAVMSPYENRYVDVKINGDISNSVTIALEEDFQKWRLICLAMGSVFLLLAPIISSWVPFYYSSSMAIGIFLVIIILLFQGMKLLPTGRKSIFYITIYGSVLGVGSVILHQFSAFVNSILQSFGLSEEMHNPVAIFVLVGIILAGAALGYWIVRKFVISEEDGSVDTGVAQFVKWAMRIVGATFILQSTLDPLLAIGALVSCGAVISLVKWLHGWYETSGHDDYSLQLVRRTHGRAEFLGKSTPKGKMWNSPKQSAWSESPVRGVVSPSFGFTPVTRPSSGFTPVMQPSSGSGFTPVMRPSSGTQSGQDYYSTFHKTRNRKKFTKKEWDDFTRESTKQALAEWAASPEFSDWIIENAERIELLPVESSDETMGSESDSTDVGSENGLWPFNLWRW >OIW03944 pep chromosome:LupAngTanjil_v1.0:LG10:713113:720712:-1 gene:TanjilG_30220 transcript:OIW03944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDEGERTCPLCAEEMDLTDQHLKPCKCGYQICVWCWHHIMDMAEKDDTEGRCPACRSPYDKNKIVGMAANCERLVAEVHMEKRLKNQKAKSKSYEGRKQLSNVRVIQRNLVYIVGLPLNLGDEDVKILPALLSTLLQRREYFGQYGKVLKVSMSRTAAGVVQQFPNNTCSVYITYSKEEEAVRCIQNVNGFVLEDRPLRACFGTTKYCHAWLRNMPCSNPDCLYLHEIGSQEDSFSKDEIRGRVQQITGAANNMQQRSGTVLPPPLDDCMNNSPGKPIMKNGSSQSSASLVRGSPPNGSSGRPMALPAAAWGSRATNCQPAAGVTLGSNGPSKPRSDTISSALSCSLAVADTNLASLHIDVTTRPLSSDESRSMTSSVKNESLKPVIQYNSVDSSDGEKTLPSDVSLASLNLNNQLSSLSLAGDSDKGSCTSTNTTNSIDITGQPCSFGLEEAIITTTEHFHNLSSELSSINNDRSARNEHYSLTKPSTPPSDSVLVKYSQSQGLQINSDKFGDSMITNAAVSAATSDNGVCNSKEWCALRFDSQPLVLSGNAEVEDDVASFDNQRLKDPEVVCRSYLPKSSSFLHASNCYNPQLPQHGERVVANAGSISMADKVGNDSVLHAPDILCNGYPKKLASISSYSLSHDEGNKQCNGRLVTEAVNTGSGAAVDKGESTIISNILSMEFDGWADSLTSPKNLAKLLGDNTDNQNGSLKNSSTRKVLSNNQSRFSFARQEESNIHAFNVHPSYNSSQQFPNSCSLMQEFSERDVSMDKFGIANGFHANSLEESEILRNGHFLASPNRPSVVSRSQISAPPGFSVPSRLPPPGFSSYERMGQAVDSFSGNRLLDPSSLRSNSYQTPSTVNNGGAGDIEFMDPAILAVGKGRLHGAALINPVSDMRSNYPPQLNYFENEARLQIPMQRSFSPQQNLRFSEFENNFSLVGDSYAISSRLDQSQISNQASYPQLSMQQSRNAALSNGQWDAWNEVESGNTLNMAELLRNERLGLNKFYKGYDDSKYRMPNSADLYKRTFGI >OIW03905 pep chromosome:LupAngTanjil_v1.0:LG10:999790:1000956:1 gene:TanjilG_30181 transcript:OIW03905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISRATSSFNHQGFQMFPLTEDLVSPNVEVDNSDGGSGSSSSHSSSLLFYLSVLKDKLSQVQTLVGVILSPDQSLPESTSMAISSMNSTIHEIIVTATSMMLTSQQMMDPPGTTTTTNDCTNDLHHQQIAQSNFGNNDKGVLSNIAPNNENIRCQGFFPSTESEALDWFGQSYNNNSMNNINNCNLKNEVAISENNNVSQGDHGNDESYNINRGPNNSSTKNYDVIELDADDLLAKYTHHCQVCGKGFKRDANLRMHMRAHGDEYKTSDALKNSLKNSGTSLGNVKPIKYSCPQEGCRWNQKHVKFQPLKSMICAKNHYKRTHCPKMYVCKRCNQKQFSVLSDLRTHEKHCGDLKWQCSCGTTFSRKDKLMGHVTLFVGHQPATNIGL >OIW03835 pep chromosome:LupAngTanjil_v1.0:LG10:1467786:1468886:1 gene:TanjilG_30111 transcript:OIW03835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELISDLPEDVARDCLVRVSYQQFAAVASVCKKWKTEIQSPEFCRRRRAIGKTQKIVVTVQSRMEPDKCGAGLLVKSTMNPVYRLSVLEPETGIWSELPVPPGFDGGLPVFCQLVGVGYDVVVMGGWDPDSLKPSNSVLIYNFMSGTWRSGTDIPGGARTFFACATDSARTVYVAGGHDEEKNALRSAYAYDVLSDLWIPMPDMAMERDECKAMLYGSGGGSDNSLCIIGGYCTEMQGQFERSAEVFDFATWKWSPMMDEFLDDAMCPRTCVNGGGDGDKRLYMCSGSDVVALMDSTWQRVAKVPSEIRNVACVGAWEGAVLLIGSSGLGKPYMGFVLDVKSGVWTKIVSPDNYTGHVQSGCFLEI >OIW03522 pep chromosome:LupAngTanjil_v1.0:LG10:4394011:4395345:-1 gene:TanjilG_31035 transcript:OIW03522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTSQALQFFLFLSLLSFSVALKYITPHSFFFPIQKDPSTRQYSTSIDMGTPPVSLDLVIDIRERFLWFECGNNYNSSTYKPVHCGTSQCKISKGTDCITCTNHPLKTGCTNNTCGVQPYNPFDRFFVSGDVGADILSSVCSTNDSKSLSEIRVPNFISSCVYPNKFGIDGFLGGLARGKKGVLGLARTAISLPTQLAARYKIDRKFALCLPSTSQEGGHGDLIIGGGPYYLPPDDDSKFLNYTPLVINRHSTGPIYNNDPSSEYFIRVISIKVDDKVVNFNSTLLYNNKKGHGGTKLSTVIPYTKLHTSIYQSLVNDFVNKAELRKIKRVKEVAPFGACFDANTIGKTITGPDVPTIGLLLKGGVKWTIYGANSMVEVADKNVLCLAFVDGGLEPTSPISTAIVIGGHQLEDNLLEFDLVSSKLGFTSSLLLHNATCSNFKAF >OIW03692 pep chromosome:LupAngTanjil_v1.0:LG10:2554956:2557450:1 gene:TanjilG_30756 transcript:OIW03692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSASKFIKCVTVGDGAVGKTCMLICYTSNKFPTDYIPTVFDNFSANVAVDGSIVNLGLWDTAGQEDYSRLRPLSYRGADIFVLAFSLISRASYENVLKKWMPELRRFAPNVPIVLVGTKLDLRDDHRYFADHMGSNVITSAEGEELRKQIGAAAYIECSSKTQQNVKAVFDTAIKVVLQPPRRKEMARKKRRRSGCSLV >OIW03387 pep chromosome:LupAngTanjil_v1.0:LG10:6447024:6447209:1 gene:TanjilG_31834 transcript:OIW03387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESRSFEALVERKKTHAKSYPRELIQKSQLLKASFAKEGLNFPSTYYSERLSPQGPDPKHH >OIW03385 pep chromosome:LupAngTanjil_v1.0:LG10:6405025:6405750:-1 gene:TanjilG_31832 transcript:OIW03385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFFDELQGHDSTSNLNGKGHNNGFNKKILLAAIIFLSIVLVLVFALHLYARFVLRRQARRRAAIHHLSLTVAHVHAQTSEPHNTGLDPLVIKALPMFIFKRKGEDQDYDECAVCLSALENEEMVRLLPNCKHTFHIDCIDTWLASHSTCPICRTKAEPRLEPQPREGPNGLVLHGAPTAPLLVEPIEGTSDGTTVCGSPKINGSNSRFSSFRRILSRERSSRRIQPSSHDDIDRDLERQG >OIW03880 pep chromosome:LupAngTanjil_v1.0:LG10:1150239:1151143:1 gene:TanjilG_30156 transcript:OIW03880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPQQRYRGVRQRHWGSWVSEIRHPLLKTRIWLGTFETAEDAARAYDEASNLMCGPKARTNFPYNPNEAHSSSSKLLSATLTAKLHKCHIASLSLQKTKQKPQQKEPQRAQNRSNTFASDNVIDSGFRWPDMMMRHEDLQWLQGNWVGEESQVEVKEQEFQQVLEDDHIDQMIQELLHYGSIELCSNGSA >OIW04014 pep chromosome:LupAngTanjil_v1.0:LG10:272493:273193:-1 gene:TanjilG_30290 transcript:OIW04014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKALGIFLCILIVIMDIIAGILGIEAEMAQNKVKHLRLWIFECREPSHKAFMLGLGAAVLLALAHVIVNLIGGCNCFCSQQHIHKASSNRQLSMACLILTWIVLGVGLSMLVIGTHSNDKSRGSCGFTHHHFFSIGGIMCFVHALFSVSYYLSATN >OIW03998 pep chromosome:LupAngTanjil_v1.0:LG10:360004:361227:-1 gene:TanjilG_30274 transcript:OIW03998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHSLKRESPENGDRELHNSFSLDDLNEDLFERVLSWLPTSTFFCLTSVCKRWKSAAASASFKRACSHIPSRDPWFLMVAPSLNLSFIFDYAENRWKRLNHPRLLHQDSNRGCMPVAASGGLVCYRNWSGNFIVCNPVTGSCNELPPLHFTLENLSIYAIVMSTTSVDQISYKIVLVFGEHPNLFFKVYNSSSGRWEHDTALQSKDDDNSMDCDSTDNDDVVYFLSKAGNVVASNMQRSPSKQYSSVITNKDGQEIVYFLSSSGTVVACNLTSKCFLEFPRLLPVFSEYSIDVAECDGEMVVVLLSEFLESASLRVWKYDEVNRCWQQIAAMPAAKSHEWYGKKADVNCVGAGDRIFICLNSPELCSYILCDLVTNKWTELPKCFVSGEVVEFMSAFSFEPRIEASV >OIW03125 pep chromosome:LupAngTanjil_v1.0:LG10:14592146:14595814:1 gene:TanjilG_07277 transcript:OIW03125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEPPPMEPSKMDPYEFLKIKLNPDGTLTRNYVIPSVPPSPTPSTTSPTHALSKDIPLNPKTNTSLRLFLPHPPPPPSTKLPVIVYFHGGGFILYHPSSLIFHESCNALAAQLPAIIVSVDYRLSPEHRLPAAYDDADDALKWVRDQALELNNSDPWIRDHADFSNCFLMGSSAGGNIVYFAGLRALDLELNPIKIKGLIMNVPYFSGAERSESELRLINDHICPLPANDLMWSLCLPEGADRDHEYCNPTVSNVVYGDKIGKLPQCFINGYGGDPLSDKQKELGKIIESHGVHVEQHFDDDGFHAVELFDKAKAMAFGENVKKFVQETIAAKATL >OIW03404 pep chromosome:LupAngTanjil_v1.0:LG10:6124400:6128462:-1 gene:TanjilG_12001 transcript:OIW03404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSFSFLILFFIISTLLAFASGSRDLAGDVLRLPSFEASKFLHDDNIQGTRWAILFAGSNGYWNYRHQADVCHAYQILRKGGLKEENIVVFMFDDIAFNEENPRPGVIINKPDGDDVYAGVPKDYTGSDVTAHNFYAALLGNKSALTGGSGKVVNSGPNDHIFIYYTDHGGPGILGTPVGPYIYADELNEVLKKKHASGTYESLVFYLEACESGSIFEGILPEGLNIYATTASNAEESSWGTYCPGEYPSPPPEYSTCLGDLYSIAWMEDSDIHNLRMETLHQQYKSVKERTISGNAYYGSHVMQYGDVQLSKDFLYLYLGTNPANDNLTYVDENCVRPPSKVVNQRDADLIHFWEKFRRAPEGSPRKIAAQKQILEAMSHRTNVDNAVKLIGKLLFGIEKGEEVLNNVRPAGQPLVDDWICLKTMVRTYETHCGPLSQYGMKHMRSFANICNLGIQNEKMALASSQACISIPGSPSWSSLHKGFSA >OIW04022 pep chromosome:LupAngTanjil_v1.0:LG10:225493:231919:-1 gene:TanjilG_30298 transcript:OIW04022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDLLTDSFVSEANNGQHSRQSDIEMGLQVPRSNSDMGMEAFNKQIHEADKQIDKLSLLLQKLKEANEESKAVTKASAMKAIKKRMEKDIDEVGKIAYGVKTRIEAISKDNLNNRQKPGYEKGTGIDRARMNMTNALTKKFKDLMTEFQTLRQRIQDEYREVVERRVITVTGTRPDDETIDHLIETGNGEQIFQQAILEAGRGQVVSTVEEIQERHDAVKEIEKKLLDLHQIYLDMAVLVDAQGEILDNIESQVNNAVDHVQRGTSALQSAKKLQKNSRKWMCIAIIILLIIVAVIVVGVLKPWKSSKVTGTRPDDETIDHLIETGNGEQIFQQAILEAGRGQVVSTVEEIQERHDAVKEIEKKLLDLHQIYLDMAVLVDAQGEILDNIESQVNNAVDHVQRGTSALQSAKKLQKNSRKWMCIAIIILLIIVAVIVVGVLKPWKSSKGA >OIW03572 pep chromosome:LupAngTanjil_v1.0:LG10:3806459:3810327:-1 gene:TanjilG_30992 transcript:OIW03572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLNSNAICETIGLKHKKNFITLLEKRIFEENGYSFAVSNNQRGIDSHSHLNPMSRQAVTKLISSIASRRHSIRHFTAAPSSPAVFVDKTTRVICQGITGKNGTFHTEQAIEYGTNMVGGVTPKKGGTEHLGLPVYNSVAEAKSETKANASVIYVPPPFAAAAILEALEAELDLIVCITEGIPQHDMVRVKAAINSQSKTRLIGPNCPGIIKPGECKIGIMPGYIHKPGRIGIVSRSGTLTYEAVFQTTAVGLGQSTCVGIGGDPFNGTNFVDCLEKFLVDPQTEGIILIGEIGGTAEEDAAQLIKESGTEKPVVAFIAGLTAPPGRRMGHAGAIVSGGKGTAQDKIKTLREAGVTVVESPAKIGAAMLDVFKQRGLVE >OIW03465 pep chromosome:LupAngTanjil_v1.0:LG10:5106464:5113643:1 gene:TanjilG_14690 transcript:OIW03465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELMVRFTLSGFRFKLRFAVFVFEVVFILALLEAYNAKPQEHHLQWGGLEGRIENVASHSCIHDQILEQRKRPGHKVYSVTPQVYEPGRSKPLRHKGRALLGMKTSLEPQKDAKQPIRIFLNYDAVGHSPDRDCRKVGDIVKLGEPSVTALHGCPSCNSHADPPILGDCWYNCTLDDISREDKKHRLRKALGQTANWFRRALAVEPVNGNLRLSGYSACGQDGGVQLPREYVEEGVSDADLVLLVTTRPTTGNTLAWAVACERDQWGRAIAGHVNVAPRHLTAEAETLLSATLIHEVMHVLGFDPHAFAHFRDDRKRRRNQVTEQVMDEKIGRMVTRVVLPRVVMHSRYHYAAFSGNFSGLELEDGGGRGTSGSHWEKRLLMNEIMTGSVDTRSVVSKMTLALLEDSGWYKANYSMADSLDWGRNQGTEFVTSPCNLWKGAYHCNTTQYSGCTYNREAEGYCPILTYSGDLPRWAQYFPQANKGGQSSLADYCTYFVAYSDGSCTDTNGARPPDRMLGEVRGGNSRCMASSLVRTGFLRGSLAQGNGCYQHRCVNNSLEVAVDGIWKACPWAGGSIQFPGFNGELICPAYYELCNADPVAVSGQCPSGCNFNGDCVEGKCYCFLGFHGHDCSRRSCPSNCNGKGRCLSNGICECKVGYTGIDCSTAVCDEQCSLHGGVCDNGVCEFRCSDYAGYTCQNSSMLLSSLSVCKNVLGNDISGWHCAPSEPSILQQLEEVVVMPNYHRLFPGGARKIFNIFGSSYCDEAAKRLACWISIQKCDKDGDNRLRVCHSACQSYNIACGASLDCGDQTLFSSEGEGEGQCTGSGYLIGFQEEVIVEKNGRQWKGGSK >OIW03453 pep chromosome:LupAngTanjil_v1.0:LG10:5225099:5228623:1 gene:TanjilG_14678 transcript:OIW03453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSSADDIELRRACVSAIDDPTQKIIISIRVSMSRGIFTKSSKLGHQMAKPRVLALFTQSKGHSTTAFLRVLKYSTGGVLEPAKLYKLKHLSKVEVITNDPSGCMFTLGFDNLRSQSVAPPQWTMRSIDDRNRLLLCILNICRDVLGHLPKVVGIDVVEMALWAKENRPSVSTPRNVQDGGPVAFAVPETELKVNFEKELVSQAEEEEMEALLGR >OIW03241 pep chromosome:LupAngTanjil_v1.0:LG10:10866585:10869433:-1 gene:TanjilG_21770 transcript:OIW03241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRRLLVSDFVLSFMWVWSSVIVRIFVFKYLNLGHGHVGEIVKAVFSVINMFLFAFLVNFTRGGAYNPLTVFSSAISGDFANFIYCVGARIPTQVLGSIVGVKLIIDTIPEVGRGPRLNIDIHQGALTEGLLTFAIVIISLGLTAKISGSFFMKTWISSLSKLTLHILGSDLTGGCMNPASVMGWAYARGDHITKEHILVYWLAPIEATILAVWTFKWLVKPAKEDKTDSKSKSD >OIW03171 pep chromosome:LupAngTanjil_v1.0:LG10:13025313:13028173:1 gene:TanjilG_11808 transcript:OIW03171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEESTGLIIGISIGVVIGVVLAITALFCLRYHRKLSQIGNSSSRRAATIPIRANGADSCTILSDSTLGPESPVKSGRNGMSFWLDGFKKSNVVSASGIPEYSYKDLQKATCNFTTLIGQGAFGPVYKAQMSTGEIVAVKVLATNSKQGEKEFQTEVMLLGRLHHRNLVNLVGYCAEKGQHMLIYVYMSKGSLASHLYSEENGALGWDLRVHIALDVARGLEYLHDGAVPPVIHRDIKSSNILLDESMRARVADFGLSREEMVDKHVAIRGTFGYLDPEYISSGTFTKKSDVYSYGVLLFELIAGRNPQQGLMEYVELAAMNTEGKVGWEEIVDSRLEGKCEFQELNEVAVLAYKCINRAPRKRPSMRDIVQVLTRILKSRHHRNNHNKSLSATADEVSIDVDHTENKNYVTEHRRDESMDSAADMDDL >OIW03864 pep chromosome:LupAngTanjil_v1.0:LG10:1262680:1265270:-1 gene:TanjilG_30140 transcript:OIW03864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAVCGSKRSLFEDLPPSPPLSSKRLRCSSSSPIRVSLHTTLLDHLRSLFPHMDDQILEKALQECGNDLDAAIKSLHGLCLDNNNNDNSENVHQADPNVIAGLSGSGVGALEDNGDVSAPVNHSASNNLPANGAEWIDLFVTEMTCATSVDDARARAARLLEVLEKSITAHASSEATNALHKENLMLKEQIEVLTKEKNCFKSAFRIQLERLSDYESKNQELQQLKQLVSQYQEQITNLEVNNYALRMHLNQAQQFNPFPGRFPPDAF >OIW03362 pep chromosome:LupAngTanjil_v1.0:LG10:7086190:7089845:1 gene:TanjilG_29347 transcript:OIW03362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRTLVREFRLKAFDNSLLKKNSRFYATVGGRRLEGKVALITGSANGLGKATAHEFVQNGAQVIIADNDTKLGPQVAKVLGPSAQYIECDVTVESQIAEAVNVAITKYGKLDIMYNNAGITGPSIPPSITELDLDEFDKVMRINVWGMLAGIKHAARVMVHAGSGSILCTSSISGLMGGLGPHPYTISKFTIPGMVKSAASELCRAGIRVNCISPAPIPTAMSLGQIEKFYPDLTQQQVIDIVNGLGELKGAKCEDIDVARAALFLASDEAKYISGHNLIVDGGFTCYKNLSFPSLDQIA >OIW03750 pep chromosome:LupAngTanjil_v1.0:LG10:2075828:2076790:1 gene:TanjilG_30026 transcript:OIW03750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYQQDKPAFIFCVEDRALFCQDCDEPIHSAGTISANHQRFLATGIRVASSSNCTKDNEKSHSEPSNRNAQLVSVEVPSQQVPTFTSSWAVDDLLELTDFESNDKKESLQFGELEWLEEGLFGEQFPHEALAAAEVPQLPPTHISSNVSSYRTYKSYLSHKKPRIEVQYNDDDDEDEFFTVPDLG >OIW03932 pep chromosome:LupAngTanjil_v1.0:LG10:812868:814034:1 gene:TanjilG_30208 transcript:OIW03932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKACLDFSSSEDDEERMNNFLEMNRREGVNDGFSRHRPNDSLLPGLVDDVALNCLAWVSRSDYASLSCINKRYNKLINSGYLFGLRKQLGALEQFVYLVCDPRGWEAFDPKLNRWITLPKMPCDECFNHADKESLAVGCELLVFGRELMEFAIWKYNLIRRGWVKCQGMNRSRCLFGSSSLGSIAIVAGGSDGFGKVLKSAELYDSSSGSWELLPNMHTPRRLCSGFFMDGKFYVIGGMSSPTVSLTCGEEYDLSTRTWQKIEGMYPYVNGASQAPPLVAVVDNQLYAVEHLTNMVRKYDKEKNTWSELGRLPVRADSSNGWGLAFKACGEKLLVVGGQRAPEGEAIVLNSWCPKSGVNNGTIDWQVLGVKEHVGVFVYNCAVMCC >OIW03341 pep chromosome:LupAngTanjil_v1.0:LG10:8247095:8248849:1 gene:TanjilG_15271 transcript:OIW03341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEGESANVVSNTDSNGNCLPGKTLNGVSEKKDLEGDQGKASGDNGVKDLKEDVIKEMEEDQKAGGEVKHNNEVDDEEEVKEDKEIGSVEGKKEDNKDDNVFESEKLNEEAGVKETVYSKEEKENVEAKKPDLDVMEAMDAHKGKNESSEKETIREEEKSEDKVDKPKDEEKVEDSKIEIGLIKFEKGKNIGEKVISKRQETVDSKGEKESAEAKKPEVVVMEEVAVPEDKDERRKKNKVQEEEDEDKINKLKEEEKVDDSKVEKELRKHSKGKINGEKVKRKRKETVHSEKEKESAEAKTPELDAMDEEGVSKDKDESSEKEKFQEEGKEEDEEKGSKKYRKGKINREKVEGKREVLKETESRTPAISRPVRERKTVERLVTSIEKDANKELHIAKGRGTPLKDIPNDCYLMV >OIW03371 pep chromosome:LupAngTanjil_v1.0:LG10:6765390:6768111:-1 gene:TanjilG_29356 transcript:OIW03371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSDSSSNRRNSMIPTFLYSSSSKTLPLHQILSSSAAASDAAASPRRGPMIPAPSEPGKIEMYSPAFYAACTAGGILSCGLTHMTVTPLDLVKCNMQIDPAKYKSISSGFGVLLKEQGVKGFFRGWVPTLLGYSAQGACKFGFYEFFKKYYSDIAGPEYAAKYKTLIYLAGSASAEVIADIALCPFEAVKVRVQTQPGFARGLSDGLPKFVKSEGTLGLYKGLVPLWGRQIPYTMMKFASFETIVELIYKHAIPRPKNECSKGLQLGVSFAGGYIAGVLCAIVSHPADNLVSFLNNAKGATVGDAVKKIGLLGLFTRGLPLRIVMIGTLTGAQWGIYDAFKVSVGLPTTGGPAPAAAPAAKA >OIW03694 pep chromosome:LupAngTanjil_v1.0:LG10:2536674:2539753:-1 gene:TanjilG_30758 transcript:OIW03694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLPLEQGYAALHSELPTMEKTSENFTHTTKATGSSGLNLKATELRLGLPGSESPDRNENNVVLYPLSMHNKCLVSGAKRGFSDAIDGGSGKWVLSGNGGSEMGLGKDGNLFSPRGVTAAKALVGAECSSQQKVSVVKDIVHSSKPLNDKKPQISATPAKEQVVGWPPIRSFRKNSMATHPQKNDDDDTESKSGCLYVKISMDGAPYLRKVDLKIFSTYRELSSALEKMFSCFTISQCGSHKFSESRLMDLLHGSEYVLTYEDKDGDWMLVGDVPWEMFTDSCKRLRIMKSSEAIGLAPRAMEKSKSRN >OIW03672 pep chromosome:LupAngTanjil_v1.0:LG10:2732371:2732672:1 gene:TanjilG_30736 transcript:OIW03672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAVKFFALPILTLVLMAITHFGEAHDLANSPTPPPTSDGIALDQGVAYLLMLVALAITYTFH >OIW03709 pep chromosome:LupAngTanjil_v1.0:LG10:2399191:2400510:1 gene:TanjilG_29744 transcript:OIW03709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFLGHLGELELAAGSIAIAFANITGYSVLSGLSLGMEPLCSQAFGANRPKLLSLTLQRCIIFLLTCSLPITLLFINMSKILLLLHQPHQITTLAQTYLYFLLPDLLTNSFLHPIRIYLRAQNVTHPVTLSSLAGTLLHLPFNFFLIKHGVAGIAAASAASNFSILVLVVTYLWISGVHSDTWQNPSRECFSGWKPLIKLSAPSCVSVCLEWWWYEIMIVMCGIMVDPTATVAAMGVLIQTTSLIYVFPSSLGFAVSTRVGNELGANRPSRARLSAVVAVFLAGAMGFMAVVFAMTMRNRWGRMFTGDKEILQLTAAALPILGLCELGNCPQTVGCGVVRGTARPNVAANVNVAAFYFVGMPVAVGLGFWMEVGFRGLWMGLLMAQVCCAGLMLYVVGTTDWEYQASRAQLLTSLDDAVNGSDGQKQPLISIVNEQTCS >OIW03188 pep chromosome:LupAngTanjil_v1.0:LG10:12691676:12695471:-1 gene:TanjilG_11825 transcript:OIW03188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANNKNKEKESEFFMDQNNDDDQDIEAIKYESESGSDNDNDNDEGNRRTHPQSFSSQIWPQSYKEATDSYTIAAAPNFESAMEDRSQTVLKLAGMVTRLSGSCIETMANNKNKEKESEFFMDQNNDDDQDIEAIKYESESGSDNDNDNDEGNRRTHPQSFSSQIWPQSYKEATDSYTIAAAPNFESIIKAPSVIYSSFVNPSKSYLDQDGRMSFLSGQSSQIQEGISTRQSTWWEKASIQMQVPEEYPLNYGCNLTQTIFNGINVMAGVGLLSTPFTVKQAGWASMVVMVLFAAVCCYTADLMRHCFESREGIVSYPDIGQAAFGRYGRLIVSIILYTELYSYCVEFIILEGDNLSSLFPGTSLHWGSLQLDSNHLFSILTALIILPTVWLKDLRLISYLSAGGVVTTALIVICVFSVGTTEAVGFHQTGPFVHWSGIPFAFGIYGFCFAGHSVFPNIYHSMADKREFTKAIITCFILCILVFGVVAIMGFLMFGEATLSQITLNLPPNVFASRIALWTIVISPLTRYPFYYNLFMKVKRRLMRDPIDETF >OIW03892 pep chromosome:LupAngTanjil_v1.0:LG10:1094441:1097600:1 gene:TanjilG_30168 transcript:OIW03892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDHRNYRHTRRNRNSPNQTHRPGSRMLQPVRARSRDFSPVRLTQRDDQRNRYNEGDRSNNVAARDDRRDRYSEVDRSMAQYSESMAAARGGESESASMKFRWNNLLEAKRQVENTKNRVGGYGYENSRFSDSGVVPIVDHGNFSHVDRKDEMEFQIPKNWEQIHQQQHLGYEGVSVSRPNASLSSSYFEENVHLHSQMMPKSNSVAEFPNNTYDHVKQCSVPVMNREFNYSEMQGGIMPHDHEFHYRNTMPKGDFNDQEFRRPSIVDSIVDRIDVGNSHGDTFRKGVTWEQKLSSQHWSPKYPDLSPKYPDLSPKYHDLTPKYHDFSPKYNDLTAKYHDLSPKYHNLTPKYRDLSPEYHDLTHCHSSKHCVDDILGCGNMHPEYAYTSNCQYCCCKGSSVLNRDQYINKQEEGNASKTEEQAMHDLNVDMYEAKCSGTTNENQVWTDLNDRQCASDSFDDDGISFDQDIIDNVHFDQRLPSPYSSLPSNNRNPRKHNMIIGKSMKKSRPGRIITFPNTFVSSSRNVRARLGPRVVSPENAYAGKNIKSKKLKKNLLDVSKTATCYDLSDVKLKVEHSKTDPPEDSKEFKQLVQNAFFKFVKLLNENPAQRRKYTDQGVADTLRCTLCGSESMEFPSIQSLAMHAFNSSKAQRRTDHLGFHKALCLLLGWSDTAGSEGLWVKKLLPEVELSNLKNDLIIWPPVVLVHNKSIAHHDLDKRMTVSIEGLQAILRDMGFGGGKTKVSRGKPGNFSILIVTFKATFSGLQEAKKLHKFYDDNKRGRTELQQINDGRGLLKDKNETQYIPGNGESALYGYLGNAQDLDKLDFESKKHSVVKSNKEIQAIADANLRAD >OIW03866 pep chromosome:LupAngTanjil_v1.0:LG10:1243062:1245637:-1 gene:TanjilG_30142 transcript:OIW03866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSASSVLTQYDIEEVQEHCNNLFSQQEIVSLYQRFCQLDRNAKGFISADEFLSVPEFAMNPLSQRLLKMVDGLNFKDFVAFLSAFSVKAKAHQKIELIFKLYDSDRNGKVSFKDIVEVLKDLSGPFMSDEQREKVLSEVLKEAGYTKDSCLTLDDFIKVLGQSDLKMDVEIPVD >OIW03081 pep chromosome:LupAngTanjil_v1.0:LG10:14622442:14628369:1 gene:TanjilG_19361 transcript:OIW03081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEPVQGDKDCDENVLASNASTEDISEGGYEASLKHHVVLRRCLQFGEPTIGSHNSHANLNVNSSKTKMFKLSEPVASLFPEQYSGAANASGIELQLNSIINTMPPGCAATNGMRLSDGLQGTKSTSLISLHKMDNMKRSLIASNMDGQPSVDTGNESHEVDASKAADSFISEPLSLAEPTSLNPASVHGKRKLSATDAGNSEECNQSSRSKKRKKTSTTNDENGCKRCNCKKTKCLKLYCDCFAAGIYCSDPCSCQSCLNRQEYQDAVLEARRQIESRNPLAFLPKVVQHTPDIPSTNMEDTNLTTPSSARHRRGCNCKRSLCLKKYCECYQANVGCSSGCRCEGCKNAYGRKEDFVPIEHALSKERVVEKGLASTFDDKLDTVVSKIELYDLHGLSPITPSLQCSDQGKEAAKSRFLSAKHLPSLDSADVNMIPSHPNYTNETGSYERQMDQLSPKCNSVANVPQLTPVSLSCSAKTKEWTDILQLLLSYGLISHLSGSSLHRCSSPNTPRTGIGETKCVDQSLQSNSRRLFDIVEDATPDILKEVSTPTNPVKANSPNQNRVSPPHGYSHLRALGSGLRSGRRFIPKALPSFTPYTPCTDSKGNDNENLGNSSSNLLYLKKANVGCSSGCRCEGCKNAYGRKEDFVPIEHALSKERVVEKGLASTFDDKLDTVVSKIELYDLHGLSPITPSLQCSDQGKEAAKSRFLSAKHLPSLDSADVNMIPSHPNYTNETGSYERQMDQLSPKCNSVANVPQLTPVSLSCSAKTKEWTDILQLLLSYGLISHLSGSSLHRCSSPNTPRTGIGETKCVDQSLQSNSRRLFDIVEDATPDILKEVSTPTNPVKANSPNQNRVSPPHGYSHLRALGSGLRSGRRFIPKALPSFTPYTPCTDSKGNDNENLGNSSSK >OIW03696 pep chromosome:LupAngTanjil_v1.0:LG10:2508168:2514452:1 gene:TanjilG_29731 transcript:OIW03696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASDAHINSTSIRNAFGNVLAFFILILIGVLAFSIRLFSVIKYESVIHEFDPYFNYRVTQLLNVSRFILYYPSISRYPLGRVIGGTVYPGLTLTAGTLWWILNSINIPLSVETVCVFTAPIFSAFASWATYLLTKEVKGTGAGLTAAVLLAMVPSYISRSVAGSYDNEAVAIFALIITFYLYVKTLNTGSLFYATLNSIAYFYMVCSWGGYTFIINLIPMHALLCIVTGRYSSRLYIAYAPFVVLGTLLASLVPVVGFNAVMTSEHFASFLVFIVIHVVALVYYIKGILSPKMFKVAVALVVSVGLAVCCAMIAVLIALVASSPTKGWSGRSLSLLDPTYASKYIPIIASVSEHQPPTWPSYFMDINVLAFLVPAGIIACFLPLSDASSFVILYIVTSVYFSGVMVRLMLVLAPAACILSGIALSQAFDVFTRSIKFQLPSVFGHSHVDAGDASSESVVPNDVVKTDKSVDTSKEPTSRKSKKREKEPVEKPISKSKIKKRLLVLPLETSIIAIILLVFLGAFYVVHSVWAAAEAYSAPSIVLTSHSHDGLHVFDDFREAYAWLSHNTDVDDKVSFITFPYF >OIW03979 pep chromosome:LupAngTanjil_v1.0:LG10:488075:490217:-1 gene:TanjilG_30255 transcript:OIW03979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIRFVLMVNKQGQTRLAQYYEYLTLEERRALEGEIVRKCLARNENQCSFVEHRNYKIVYRRYASLFFLVGVDDDENELAILEFIHLLVETMDRHFGNVCELDIMFHLEKAHFMLEEMVMNGCIVETSKSNILAPIQLMDKTS >OIW03962 pep chromosome:LupAngTanjil_v1.0:LG10:585196:588558:1 gene:TanjilG_30238 transcript:OIW03962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPSKTTQSEGGFFNAIMSFPSNYPNSPPTIKFTSELWHPNVYPDGRVCISILHPPGDDPNGYELASERWTPVHTVESIVLSIISMLSSPNDESPANVEAAKEWRETRDEFKKKVSRCVRKSQETW >OIW03179 pep chromosome:LupAngTanjil_v1.0:LG10:12786809:12787388:-1 gene:TanjilG_11816 transcript:OIW03179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKQETQVNKPPPGYPTEDPPTKRKFSSSTKKKGERGFIEGCLFALCCCWLCEECC >OIW03325 pep chromosome:LupAngTanjil_v1.0:LG10:8361642:8367656:-1 gene:TanjilG_03434 transcript:OIW03325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQPIKQNGFISEPNNLHSSTSLPKDSPSLGMEDALVSYLFGKKRATDIAHMVWKGIVQKGDTVIDATCGNGFDTLAMLNLVSDDSHKGYVYALDIQKDALDKTSLLLEESLDSNEKQLVKLFNICHSKMEEVVPTNAAVRLVAFNLGYLPGGDKEIITRSETTLLALEAAKRIIIPGGLISIVVYVGHPGGREELETVETFAARMSVEDWICCKLGMLNRPNSPIPIFLFRR >OIW02959 pep chromosome:LupAngTanjil_v1.0:LG10:16170840:16173100:-1 gene:TanjilG_13596 transcript:OIW02959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLFQWVHRKLRQNDTDPFKDFPLVKPTLDNQYSYTKTSFSSINQLSFLSETSYSGLDNNRDQYKQQEETPAAISELFEGFLTIGTLDVETFTNEPATPTFLMPSVNEKVEVSENDLKLVSYELEKFLVAEKDESFLEPSRRNSHVSTISLSEKQIDGSEGEDYGTSLCPLQGYLLGSSIELPETTEVRKERASLAELFNKTKTTTTTAYGTETGLIAETQMKQTHNSAIQIMKKMLKKVQCSSKSCNKSGNDAVSASTNKKLQKILRMFQRKVYPDNSENTEDLVKSQKDKMKSVPNELLNEYDNGEATEKSTRFDSDKNSRKWSQQCNSNGNNEHWIKTDADYLVLEL >OIW03760 pep chromosome:LupAngTanjil_v1.0:LG10:1994537:1999115:-1 gene:TanjilG_30036 transcript:OIW03760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LLKGRSIPGKILSQRVDLPDSSNLYSPTYQRSLSYNDAGTNDHTSETGEEEVHSTSKPVGSSSESKLKISTAPVENSSEFVRKSSMGGRATDSARVTKFTKVLSGTMVILDKLRELAWSGVPDYMRPAVWRLLLGCAPPNSDRKEGVLRRKRLEYLECVSQCYDIPDTERSDDEINMLRQIAVDCPRTVPDVSFFQQQQVQKSLERILYTWAIRHPASGYVQGINDLVTPFLVVFLSEHLEGDIDSWSMSELTSEKISNVEADCYWCLSKLLDGMQDHYTFAQPGIQRLVFKLKELVRRIDATPEPVSTHMEQQGLEFLQFAFRWFNCLLIREIPFNLVQRLWDTYLAEGDALPDFLVYIFASFLLTWSDEILKLDFQELVMFLQHLPTENWTDQELEMVLSRAFMWHTMFNSSPSHLST >OIW03394 pep chromosome:LupAngTanjil_v1.0:LG10:6616447:6620610:1 gene:TanjilG_31841 transcript:OIW03394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASISFSPAISAASETLAKPVTRSFATASLAPQPLSSKLSFKSLSLRRCIAGGSAIGARMVSAPAVSKTPALLDFETSVFKKEKISLAGNDEYIVRGGRDLFHLLPDAFKGIKQIGVIGWGSQGPAQAQNLRDSLAEAKSDIVVKIGLRKGSRSFAEARSAGFSEENGTLGDIWETISGSDLVLLLISDAAQADNYEKIFSHMKPNSILGLSHGFLLGHLQSLKLDFPKNISVIAVCPKGMGPSVRRLYVQGKEINGAGINSSFAVHQDVNGRATDVALGWSVALGSPFTFATTLEQEYKSDIFGERGILLGAVHGIVESLFRRYTENGMGEDLAYKNTVESITGIISKTISTKGMLSVYNALSDEGKKEFQKAYSASYYPLMEILYECYEDVASGNEIRSVVLAGRRFYEKEGLPAFPMGNIDQTRMWKVGERVRSTRPAGDLGPLYPFTAGVFVALMMAQIEVLRKKGHSYSEIINESLIESVDSLNPFMHARGVSFMVDNCSTTARLGSRKWAPRFDYNLTQQSLVAVDQDEPINQDLISNFLSDPIHGAIKVCAELRPTVDISVPADADFVRPELRQSSN >OIW03339 pep chromosome:LupAngTanjil_v1.0:LG10:8240624:8241631:-1 gene:TanjilG_15269 transcript:OIW03339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAPIYASSCPLSFNPNTSQTHYHHSCGYSTFTNHVPSSPFSKYHLNSLHTHTVVDEVDHDVGGHNLWLKMQDEARFDVTVEPILSSYYHSSILSHKSLESALANHLAIRLSNASLPSSTLFDLFKGILDGDDEHNIMDAVKDDLRAVKERDPACISYVHCFLNFKGFVACQAHRVAHKLWLQGRKVLAVMIQNRVSEVLAVDIHPGAKIGSGILLDHATGIVVGETAVIGNDVSILHSVTLGGTGKATGDRHPKIGDGVLIGAGTCILGNIKIGDGAKIGAGSVVIKDVPPRTTVVGNPAKLVGGKNNPIKLDKIPSFTMDHTSNISEFYDYCV >OIW03513 pep chromosome:LupAngTanjil_v1.0:LG10:4545120:4550078:-1 gene:TanjilG_31026 transcript:OIW03513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METISVSKLLTLYFFTVLFLCSNLIQCSVTYDRKAIVINGQRRILISGSIHYPRSTPEMWEDLIEKAKHGGLDVIDTYVFWNVHEPSPGNYNFEGRYNLVRFIKTVQKVGLYVHLRIGPYVCAEWNFGGFPVWLKYVPDISFRTDNGPFKAAMQGFTQKIVQMMKNEKLFQSQGGPIILSQIENEFGPVSKALGAAGLSYTNWAAKMAVGLGTGVPWVMCKEDDAPDPVINACNGFYCDYFTPNKPYKPTLWTEAWSGWFTEFGGPIVQRPVEDLAFAVARFLQKGGSYFNYYMYHGGTNFGRSAGGPFITTSYDYDAPIDEYGLLRQPKYTHLKDLHRAIKQCEHALVSSDPTVISLGTYEQAHVFSSGTGKCAAFLANYHSNSSASVTFNNRHYDLPAWSISILPDCVTDVFNTARVRVGTSQIRMVPSNSKVLSWETYNEDISSLEESSKITASGLIEQLNATRDSSDYLWYITSVDISPSESFLRGGLNKLSISVNSAGDAVHVFINGQFLGSAFGTNEQRSFTFNGPANLRAGTNKIALLSVAVGLPNVGFHFETWKTGIIGPVLLHGLDHGQKDLTWQKWSYQVGLKGEAMNLVSPNGVSSVDWFIESLATQGQPQLKWHKAYFNAPDGVEPLALDLGSMGKGQVWINGQSIGRYWMVYAKGACNSCNYAGTYRPVKCQLGCGNPSQRWYHVPRSWLKPTNNLMVVFEELGGNPWKISLVKRTIHTQISSEPNTKN >OIW03258 pep chromosome:LupAngTanjil_v1.0:LG10:10446490:10448503:1 gene:TanjilG_20562 transcript:OIW03258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDQGQHDPTTNGSEKSEPVRSRWTPKPEQIHILESIFNSGMVNPPKDETIRIRKLLEKFGTVGDANVFYWFQNRRSRSRRRQRQLQASLEHRNNQMMQPSQVDVGAIPYHKDVTSPSLSATATTTAIVSASSIRDSSSSCGEGGVFGGQESIDSFFSISSQMSFPEIDYSSAATSVFYPPVVQNFSYQSGSITVFINGIATEVPCGPIDMKTVFGEDVILVHSSGLPLPTNEFGFLMQSLQLGESYFLVSKPTPSMN >OIW03986 pep chromosome:LupAngTanjil_v1.0:LG10:435789:438633:-1 gene:TanjilG_30262 transcript:OIW03986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRKNNNNQSSNKDMNNNSNNLRFQDADEVIEDVAESSNLDHSMCDPVADAEVQPSSLDVLSDDKTSADYYFDSYSHFGIHEEMLKDSVRTKTYQSVIYQNKFLFKDKVVLDVGAGTGILSLFCAKAGAKHVYAVECSHMADMAKEIVEVNGYSNVVTVLKGKIEELELPVAKVDIIISEWMGYFLLFENMLNTVLYARDKWLVDGGVVLPDKASLYLTAIEDADYKEDKIEFWNNVYGFDMSCIKKQAIVEPLVDTVDQKQIVTNSQLLKTMDISKMAPGDASFTAPFKLVAARDDYIHALVAYFDVSFTRCHKLMGFSTGPRSRTTHWKQTVLYLEDVLTVCEGEAIVGSMTVAQNKKNPRDVDIALKYTLNGRRCNASRVQHYKMR >OIW03380 pep chromosome:LupAngTanjil_v1.0:LG10:6324257:6326836:1 gene:TanjilG_31827 transcript:OIW03380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLRSTYLILKNAIHSVSHNFNSYSHTPLDYATHLEACIQSKTLSQGKIIHQLIIKTNTHVKDFTILHKLARFYVTCNQTELARHVFDQIPNPSVVLWNMMIRAYAWNGPFHQAIDLYHHMLQKDVKPNNFTFPFVLKACSAIQEIQAGIEIHEHAKKLGLDMDVYVSTALIDMYAKCGDLVKAQKVFNSMSYRDIVAWNAMIAGFSLHQLNDETIHLVVQMQQAGIAPNSSTIVSALPMVGQVNALREGKAMHGYLVRRIFSDNVVVGTGLLDMYAKCHQVTYARKIFNMINQKNDICWSAMIGGYVICDSMRDALALYDEMVCIYKLKPTPVTLASILRACAALTDLNKGKNLHCYMIKSGLDLDTTAGNSVISMYAKCGIMEDAIGYFDEMISKDTISYSAIISGCVQNGFADNALLIFRQMQSSGTDPDVATMIGLLPACSHLAALQHGACCHGYSVVRGFTTETSICNAIIDMYSKCGKIHISRDIFDRMHKRDIVSWNTMIIGYGIHGLCKEALSLFHELQASGLKPDDVTFIAVLSACSHSGFVTEGKYWFNAMNQDFNIKPRVAHYICMVDLLARAGNLDETYIFIQKMPFEPDVRVWSALLAACRTHRNIEMGEQVSKKIQLLGPEGTGNFVLMSNMYSSVGRWDDAANIRTIQKHQGYKKSPGCSWVEISGVSLESRIDKNFSACATRDVKHVLCQNRSIENVVQGSCNAIEY >OIW03084 pep chromosome:LupAngTanjil_v1.0:LG10:13918513:13920695:-1 gene:TanjilG_07236 transcript:OIW03084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRGKFSLTPKNEVKNPTGLTLPSSSTPDPIYISSSSDDESGLSNDNMSRQLVIYDQAANGNNSIQLSLTPLRCEPPPLPGSRAPSSSRRVLPSVGAFTVQCASCFKWRLIPTKKIYEEIRECIIEQPFVCQKAREWRPDVSCDDPEDISQDGDRIWAIDKPNIVKPPKGWERLTRIRSEGSSKFADIYYVAPSGKKLRSMVEINNYLLEHPEYTSHGVDLTKFSFQIPKPLQENYVRKRPAKPASSYECSGLEQVHPLAWANPELHERRLELPCIGSDVCDPTGRPAKKQATHKCPSEKLHKECEKAHFDSHSSSVSIDFYVAFLASCL >OIW03751 pep chromosome:LupAngTanjil_v1.0:LG10:2062367:2070162:-1 gene:TanjilG_30027 transcript:OIW03751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLKVASIFSKSASASAYYLSRRIYPNSKSHFSTASPFANSRVPNSHHFCTAPEQRESIHYDVVIVGAGPAGLSAAIRLKQMCIEKGADLSVCVVEKGGEVGAHILSGNVFEPRALDELIPQWKQQQAPISTPVSSDKFWFLTKNRAISLPSPFDNKGNYVISLSQLVRWMGEKAEELGVEIYPGFAASEILYDANNKVIGIGTNDMGISKDGSEKENFQRGVEVKGRVTLLAEGCRGSLSEQIMKKYNLREKGGAEHQTYALGIKEVWEVDEQKHQPGTVLHTLGWPLDHKTYGGSFLYHMKDKQISIGLVVALNYQNPFMNPYEEFQKLKHHPAIKPLLEGGTVIQYGARTLNEGGIQSVPYPVFPGGAIIGCSAGFLNVPKIKGTHTAMKSGMLAAEATFGVLHEGLGMDTYWDALRNSWIWQELYKARNYRPAFEYGLIPGLALSGLEHYIFRGRHPITLKHGKPDHEATNAAQLHSPIDYPKADGVLSFDVPTSLHRSNTNHDHDQPPHLRLRDPKIPELTNLPVYAAPETRYCPARVYEYVGEQNQLKLQINAQNCLHCKACDIKDPKQNIEWTVPEGGGGPGYSVM >OIW03206 pep chromosome:LupAngTanjil_v1.0:LG10:12194480:12197636:1 gene:TanjilG_21838 transcript:OIW03206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTLSPSNDAVSLLKEESMVDPFLVEALQNPRHRLTILRMELDIQRFLNNADQQHFEFQHFPSSYLRLAAHRVAQHYGMQTMVQDNGLDGQGSKILVIKLAESKYPMVRLSEIPVKQLENDKSEQKKIVLKPRPNKNSFNEANGAGKKGNHWRSVEERMEEYDRARARIFSGSRSSDSGEVQSLVPVDGKISFMSKDENETSKNPVADSERSLSVRDTNSTRVAIFRDREKDRTDPDYDRSYGRYVRSIPAPAVNLVPMNFQNAQPSFAQYDTTFNQLAQMPQSQPSFGYGPPASPIMSPFCATGLNQTPREGAYLQWPSPAMMYAHSYDQFRHAVIRAPFGQQPLSCDYSQNY >OIW04054 pep chromosome:LupAngTanjil_v1.0:LG10:160554:167673:1 gene:TanjilG_24165 transcript:OIW04054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSSVSSSASSLLIRASIRSRLFSSLSRTTTSLSSRSFISSFSRSTNPLYFRSPLSLRAQIRTLPPLIQRFHRTIATNATENPFKGNLTNLPKPGGGDFGKFYSLPSLNDPRVDKLPYSIRILLESAIRNCDNFQVTKEDVEKIIDWEITSGKQVEIPFKPARVLLQDFTGVPAVVDLACMRDAMNKLGSDSNKINPLVPVDLVIDHSVQVDVARSENAVQANMELEFQRNKERFAFLKWGSTAFRNMLVVPPGSGIVNLEYLGRVVFNSEGLLYPDSVVGTDSHTTMIDGLGVAGWGVGGIEAEAAMLGQPMSMVLPGVVGFNLSGNLRNGVTATDLVLTVTQMLRKHGVVGKFVEFYGDGMGKLSLADRATIANMSPEYGATMGFFPVDHVTLQYLKLTGRSDETVTMIEAYLRANNLFVDYNEPQQDRVYSSYLELNLDEVEPCISGPKRPHDRVPLKEMKADWIACLDNKVGFKGFGIPKEAQGKVARFDFHGQPAELKHGSVVIAAITSCTNTSNPSVMLGAGLVAKKAHELGLQVKPWVKTSLAPGSGVVTKYLLQSGLQKYLNEQGFNIVGYGCTTCIGNSGDVDESVASAISENDIVAAAVLSGNRNFEGRVHPLTRANYLASPPLVVAYALAGTVNIDFEKEPIGTGKDGKNVYLRDIWPSTNEIAEAVQSSVLPDMFRSTYEAITKGNPMWNQLQVPTDTSYSWDPKSTYIHEPPYFKGITMDPPGAHGVKDAYCILNFGDSITTDHISPAGSINKDSPAAKYLLERGVERKDFNSYGSRRGNDEVMARGTFANIRLVNKLLNGEVGPKTVHIPTGEKLFVFDAAMRYKASGQDTIVLAGAEYGSGSSRDWAAKGPMLLGVKAVIAKSFERIHRSNLVGMGIIPLCFKAGEDADSLGLTGHERYTIDLPSKISEIRPGQDVTVTTDTGKSFTCTARFDTEVELAYFNHGGILPYVIRNLIKQ >OIW03725 pep chromosome:LupAngTanjil_v1.0:LG10:2272605:2279455:-1 gene:TanjilG_30001 transcript:OIW03725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQNDDVRFISSSIKIPIPPKSPTFTSHFTNATKTVTQLIDSFKTHSNEFTRSVFGKPSLMCSTSLSLINPFRKRSSPILCSASLSLSESTQSQGTRQSEERVLISEVLVRNKEGEELGRKDLEAEALQALKACRPNSALTVSEVQDDVHRIINSGYFCSCMPVAVDTRDGIRLVFQVEPNQEFQGLVCEGANVLPAKYLEDSFRDGYGKVINLRRLDEAISSINGWYMERGLFAMVSDVEILSGGVLKLNVSEAEVNNISIRFLDRKTGEPTIGKTKPETILRQITTKKGQVYSMLQGKRDVETVLTMGIMEDVSIIPQPADTGKVDLVMNVVERPSGGFSAGGGISTAITSGPLRGLIGSFAYSHRNVFGRNQKLNISLERGQIDSIFRINYTDPWIQGDDKRTSGTIMIQNSRTPGTIVHSNEDGDSNLTIGRMTGGIELSRPIRPKWSGTAGLVFQHAGVRDDKGAPIIKDCYRRPLTASGNNHDETLLAKFETVYTGSGDHGSSMFVLNLEQGLPLLSEWLSFTRVNARARKGFELGPAHLHLSLFGGRVVGNFSPFEAFAIGGTNSVRGYEEGAVGSGRSYVVGSGEISFPMLGPVEGVLFSDYGTDLGTGPTVPGDPAGARLKPGSGYGYGFGIRVDSPLGPLRLEYAFNDKKYQRFHFGVGHRN >OIW03861 pep chromosome:LupAngTanjil_v1.0:LG10:1287062:1288114:-1 gene:TanjilG_30137 transcript:OIW03861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSGVPSALVDGPQLGFSMKQDGARTDPKEQEAVYDIMRATGNDWATQIPDVCRGRWHGIECMPDKDNVYHVVSLSFGTLSDDTAFPTCDPTRSFISPSVTSLPHLKTLFFYRCFVDNPQKIPPFLGRLGPTLQTLVLRENGHVGPIPNELGNLTHLRVLDLHKNNLNDSIPVSLGRIIGLKSLDLSGNKLTDSIPDLSLTGLNILDLSQNLLMGPIPSAFWAHKSLIKLDFSRNRLVGPIPEKIMGLKDLMLMDLSYNRIQGPFPMSLRSLSSLQALILKGNPMGSTMIPSDGFDGMKGLMILVLSNMNLHGPIPESLGTLPNLRVLHLDGNHLNGSIPKTFKDLKNL >OIW03185 pep chromosome:LupAngTanjil_v1.0:LG10:12711158:12713227:1 gene:TanjilG_11822 transcript:OIW03185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPTEAEDNAFDGTNVVSQRSDGRSLKHVSEEEGVHQTITEGGENVEDDKDKDKDKILGLKPDYGGEIDKHKNASISQRCSLVAANENKMQASHESPASDAGWVCKSPNSTSSTASIWPSFNTKSSKTYPLSEEERATVAVLDMQLKALELCKEFLVGNAGSDCDEDEIDDEDEDATDDEDEDELVDSYGSEESKEYKFFERLFAEDGDLRRYYENNHKEGAFYCLVCGPVWKKVWKRFKDCVSLVHHSTTVLRTKRMRAHRAYARIICKIIGWDFDQLPVIVLKDLDNSVAGLKMLLDEAKNPAMNYIGDSNIEPDKPADVHNDDSDVQANVNSS >OIW03963 pep chromosome:LupAngTanjil_v1.0:LG10:578279:579720:-1 gene:TanjilG_30239 transcript:OIW03963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMSNNSNGSTTGSRWNPTKEQISMLENLYEQGIRTPSEEQIKQITSSLKAYGHIEGKNVFYWFQNHKARQRQKQKQESIAYYNRYIHASQPNIFSSPQQYQNVMCQPYCLPKNEVTFYSQHPNLIGPGCISPRTEKFVPMAMSRFSNDQQIYEQFQFQQRNLGYYNVSNNNNHEETLTLFPLHPTGILESKSKERVSYLASTSAERYTDTYASVIGENAHPGNKPFFDFLTCGLGSQVSEDK >OIW03676 pep chromosome:LupAngTanjil_v1.0:LG10:2709747:2710688:-1 gene:TanjilG_30740 transcript:OIW03676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGTSKVIMGATLVMVVSLVVILGLILVLLAELYCSFLLHRRQMRNSNPQTTTTATTTTTIANAFSPSHSPTLHPPHQQQQHSPPPPPPPPPPPFTSICSQGVLQAPRSILFPPFSSIHDLHEPKKQHQQLSELHQIIPIQTQDLNANANENSYCIGLVSVPSSLESFMSRAPSKPSQQDSFQGTTTAIDKTCSGGEHLVYISNPIYENEEGKESEPNTPFETPDTSPSRLEINGSSSSDDDDDDEVEVSHCGVQTFPCTPPLTPMKKLHGPEKDSSVSLRDARSLGTSGSDSHSKNVLFSSSSASPYTSPSW >OIW03378 pep chromosome:LupAngTanjil_v1.0:LG10:6297761:6301723:-1 gene:TanjilG_31825 transcript:OIW03378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRSDFAQKLLDDLRLRKEQMGSSQRSNQSHQLPIDAYAYTKQTYRGSRNTKANEIVSSRTGEILMLNKSSRSQRSPNSRQVSNQIVPFGKGQNSDMSVSLAFAFENGAKLKTGDSIMGFLNQIKKGTMEFNIRERPSNLDRELTSTTHYPTLSPLQINEISKGALKLNQILRACSNGLNMDTYSLQFAKELLQGAIDLEDSLKMVVDLHNGSEFMITPHKKNRIVLLEDDNDDEDRSIIISEQKQLARPIFSFDKPSKHSQNTQQVGKAIYMQRPVTVTYSKEGRNSNAKTEVPNKRSSDRNAVSERKSHTMPVQSNTERGRIPNVIAKLMGLDNLPEKVDSGYNYKQKIEGNHTAKGSTKKTEELKNKQTENSVPIKNQKDIEALKMPATRDEKLMFGADKAFEKASIKNENHNYSSSQKNLIRESQKNGRKQDYTNKKEEKGGTVKGITNDLVLNNMLEQVHERSQVKYLFQEEKEINKNTIRPEKTDANKHIMNNEKKSRNNLGVQKPYKLSKNGSQEEKNHREQPRGESMFLETRPQGRSEMAFKNQLINPQKKQLPIKQATPFKKKCGENIAPMKLESSHYDNEDVVRDDASNSANEKVKEIINRKPGQISYPRDREFDRVKGIQGIKTLMNENPVHQLASNKIKNTRKQKVDMHGKIDQVLTRRNGITKDGKKQFPSLQEGRHKEPDKFNVLKEERVTMSKDADAHIISSSNDSVAEPVDVRSQKQKKSELAPMLYSSGGRELQRLQDSVALVSNVSHYEDVQSLGMAIDEGFKSGEVADHRVHGIHEDRMGINKHSQLQNCTISEISIQKPLTDGENCLKWILVMSQLFINTAEALFRLKIPLSVLQNGGSDNQDEGRKLILDCGYEVMKRKGIRQELKAHTYSNISISTMNVRSFDDLVRQLNKDMEKLKFYGRNRSLQVDVEDCLPKMLENDVYNKDPEIGCMWDLGWNDETFAFIEKYDLIRDTEKHILSVLLDEITGELLHIEGGLNITTMH >OIW03809 pep chromosome:LupAngTanjil_v1.0:LG10:1614990:1623622:1 gene:TanjilG_30085 transcript:OIW03809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWFGGNNSWGNFPDLAGAVNKLQESVKSIEKNFDTALGFEEKSESSGEASGSWPIPADTKTLFNPVMSFLGNKSEESNEEISEKVEPSQQESETVKSLEKSESPDHIPVADGKEGTVADNVVHAETEETIVQEENEVLKVEEDSEHTESADGTTAESLDPGKAEHPLTEMPVELLESPIQKFENSDSVDNPQENKIVNAGTSGSPVLMQSEPSNVMDELVGGTSEPGESHGISDVRANSQVDIKEESKEEKVEAEESLERVSSVQPEASGDSENRDDTNDSVATEEAISNDQSYNEHLSSVTPPKESSEVISELVLHENDTTVKENERDHLANDVETDMKEQHLGSARNMSESDSMLELERLKKEMKFMEAALQGAAKQAQAKADEIAKFMNENEQLKAVIEDLKRKSNEAEVETLREEYHQRVATLERKLYALTKERDTLRREQNKKSDAAALLKEKDEIITQVMAEGEELSKKQAAQETTIRKLRAQIREIEEEKKGLTTKLQVEENKVESIKRDKTATEKLLQETIEKHQTELAAQKEYYTNALVAAKEAEALAEARANNEARNELESRLREVEERESMLVQTLEELRQTLSRKEQQAVFKEDMHRREIEDLQKQYQESERRCEELITQVPESTRPLLRQIEAMQETNARKAEAWAAVERTLNSRLQEAEARAATAEERERSVNERLSQTLSRINVLEAQISCLRAEQTQLSRTLEKERQRASESRQEYLAAKEEADTQEGRVRQLEEEMRDIRQKHKQELQDALMHRELLQQEIEKERAARSELERTARVHSASLSEQTQTTKLTPTFENGLSRKLSSASSLGSMEESYYLQASLDSSDSFSERRNPGELSMSPYYMKSMTHSSFEAALRQKEGELASYMSRLASLESIRDSLAEELVQMTEQCEKLRAEATLLPGLRSELESLRRRHSAALELMGERDEELEELRADIVDLKEMYREQVNLLVNKIQIMGSSTGSA >OIW03722 pep chromosome:LupAngTanjil_v1.0:LG10:2307731:2315681:1 gene:TanjilG_29757 transcript:OIW03722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKESLNSSEDWRRLPICTFFKEARLVFKLDSLGREILSIALPAAMALTADPIASLVDTAFIGQIGPVELAAVGVSIAIFNQVSRIAIFPLVSVTTSFVAEEDTLNGASPKIDEGVCLEEGLTKDSETKEFLPQKGGNVQKSDSIRESFNIVKEEYKRRQIPSASSAIFLGGILGIIQAILLISAAKPLLSFMGVTSDSPMLYSAERYLKLRSLGAPAVLLSLAMQGVFRGFKDTKTPLYATVAGDATNIALDPIFIFVFRLGVSGAAIAHVISQYLISLILVWRLAQLVDLIPPSIKHLQFDRFLKNGFLLLMRVIAVTFCVTLSASLAARLGPTSMAAFQVCLQIWLAVSLLADGLAVAGQAILAGAFANKDYDKATATAIRILQMGLVLGLALAFILGTGLHFGAKLFTQNVNVLHLIRIGVPFVAVTQPLNALAFVFDGINFGASDFAYSAISMVLVAVVSIICLLILSSTGGFIGIWVALSIYMGLRAFAGFLRVESLRNSSSLFRTFSFNYFIRTLSTSSPSSSAPQAQNPNKSKRKKKKNLFEVAHFLPNWGIGYHMAKNHWNEVSYEITKINLYKDGKHGKAWGRAHKNGLPIADAPKKISGVHKRCWKYLPNVVKASESSPTSTSSTESGLNVEAQAT >OIW03434 pep chromosome:LupAngTanjil_v1.0:LG10:5475039:5476477:1 gene:TanjilG_14659 transcript:OIW03434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFYSEDVSHKPDINDPQLLNAFKDLIAESWDEIPDSVVYDVKEALSKNTEDKAGNEIVANVFRAAEAVEEFSGIITTLRMTIDDSVGMSGEDVKPLPDHIKNAIHTIFDRYSTYLNSFGPDETYLRKKVETELGTKLIHLKMRCSGLGAEWGKVTVLGTSGLAGSYVEQRA >OIW03257 pep chromosome:LupAngTanjil_v1.0:LG10:11123334:11141367:1 gene:TanjilG_21786 transcript:OIW03257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNVIRKKGESSKKRELKQNGRIVRLVDLKDQNLEVIGRNQVIDIYSRRPMIALGGGELYVDLTISSEDLNANDCNMGIRDSGKDIQSQSGSSVGQGDPNLTPPSRVSSNMCGQKKGKPKYKRQGSRLRRNSNVEKNVGKHTVLCWMIDMGTIQPNDRVYYIEENEDLNDNTCIVCGDYGNLLCYDSCLSTFHESCLEMDIYEKLKRLIGVKHDIEEGFSWTLIRQSNVDFDDLDMKSHMVEWNSKLALALSLMNDYFQPCIDGRTNINVLNNVLYNCWSNFNRLSFEKFVTAIVEKDDKIICTASIRIHGNQIGEMSYIATSSLYRRQGMCRRLMNAIDSVVPLGD >OIW03294 pep chromosome:LupAngTanjil_v1.0:LG10:9746181:9752949:-1 gene:TanjilG_09941 transcript:OIW03294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLNVDDPNNFTISQNDNGTELVCFKDSISSGSSLWLDNSLRTNVPIFALHLAFILALNRLFIALSKSSHVPRIVVNVFAGMIMGPSFLGKWAAYRKTIFPFHIMMPVETVAGLTLVYYVFLIGLEADLKTLRRCRKKSIILAISGTIFTVPIGFGLYYLLLTNCGSNPMPPNHGTSHFHGAMIWGFTLSCSEFREIAYILSDLKLLLTENGQLALTASLINDLINWIFLPVAINDFCYDSVVTLVVTVLIGFACWYIFHPGAEWILNKIGTRDKEFIETEVVFLLHLVLVFGLISDGLGAHSITGAYFLGLIIPKGMLSDTIQDKVLDFVADFMMPLFFAVIGQRMRIDDWPWLTVIVVVMIAFIAKILSTSLVFFINRMPLVEGLTVGLLMNTKGIVSIIILNSARNKLELNSTAFGVMLLTCWLMSVPVGPVLAATKTFARSNFLDRSQRRTMQGTRPDSPLRVLACVHTKRDANVIINLLKESCPSVKSPIQVIAVELIKMTKGWSHHAASSLVIKDAHKPTFSTKSSRSGSFKDDSNDNNDTLGSFDNLTQAIFVEKMRILSSYKSMHKDIFNLAKQRGVTLILTTIYKEPTYDGFGAGAATARAANIVNRDRASKDKKKVVVENLVEATPCCLAIFVDRGFGQKRAKVQRLAMLYVAGLDDREALSYAWRMSRNPEAQLTVVRLVWDNPNDQFDETDEQCLTSFVRQTIDTPWVKYMEKTVKNEKETVTLLNKVANKGFDLFIIGRGNGRKMSLAQTEDPVLEEPALGPLGDTLSDLNSAAKTSILILQ >OIW03978 pep chromosome:LupAngTanjil_v1.0:LG10:492225:496097:-1 gene:TanjilG_30254 transcript:OIW03978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPINTIPSSLTTTTTTNGNFDHPSEARGMGSNVNSKPKLVSGTAGYVLQDVPHLTDYIPNLSTYPNPLQNNPAYSVVKQYFVNVDDSVPERITVHSDSPRGIHFRRAGPRQRVYFDADEVRAAIVTCGGLCPGLNTVIRELVCSLYHMYGVKKVLGINGGYKGFYARNTINLTPKSVNDIHKRGGTVLGSSRGGHVTKKIVDSIQDRGINQVYIIGGDGTQRGASAIFEEVRKRGLKVAIVGIPKTIDNDIPVIDKSFGFDTAVEEAQRAINAAHVEAESVENGIGVVKLMGRYSGFIAMHATLASRDVDCCLIPESPFYLEGHGGLYDYIEKTLKENGHMVIVIAEGAGQELFSESIQSANKEDESGNKLLQDVGLWISEKIKNHFAKQKTMAITLKYIDPTYMIRAVPSNASDNVYCTLLAQSAVHGAMAGYTGYTSALVHGRQTYIPFYRIIERQNHVVITDRMWARLLSSTNQPSFVDSKCVIEETKEDH >OIW03260 pep chromosome:LupAngTanjil_v1.0:LG10:10459812:10460207:-1 gene:TanjilG_20564 transcript:OIW03260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEESPLALEILQFFNSTDTIVNQVSDAQSFDEGEQWVNDHSDWGEGYVIGDGGDDVDGESERKKQSKDNCSEADCDTSVPESLSVLEGLEVVANSFLGLERSQNDFSKSVKETSSKKDKRERVVVFQRCK >OIW03719 pep chromosome:LupAngTanjil_v1.0:LG10:2329524:2331045:1 gene:TanjilG_29754 transcript:OIW03719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLENQCYSPYQVTQQNPNQPIHLLSYPFAWNNLIFSTNPPQKFLKIALFVKKWPQKSHAGGLERHALTLHLALAKRGHELHIFTSNSSFQKYSFTNLHFHFSKPTPAGYLDQALVWEQFQAQNSSIKPFDIVHTESVGLRHTRSKYVTNNLVVTWHGIAYETIHSDIIQELLRSPQEPKTNALSERVMKVVEEVKFFQNYAHHVATSDHAGDILKRVYMIPEERVHTILNGVDQEVFKPNISKGEEFKKKHGLLDSKSLVIGLAGRLVKDKGHPLMFEALKQIHAENITFQEGCIFLIAGDGPWAARYRDLGSNVKVLGPLEQVELASFYNAIDIFLNPTMRAQGLDHTLLEAMLSGKPVMATRLASIVGSVIVGTEIGYTFSPTVTSLKKAIYELWIGGREVLERQGHISRQRGLQLFTATKMVAAYERLFLCISSANYGDHFCQYQPSGD >OIW03112 pep chromosome:LupAngTanjil_v1.0:LG10:14303358:14307322:1 gene:TanjilG_07264 transcript:OIW03112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAENYIVDLNKPLVFQVGHLGEAYDEWVHQPIFFTRTVWWVIPIVWVPVASWFISNSVWMGLTCPQVALFVVIGIFVWTFAEYMLHRFLFHVKTKSYWGNTLHYLLHGCHHKHPVDGLRLVFPPAAAAILATPIWNLVKLVCAPSTAPAMFGGVLLGYVMYDCTHYYLHHGQPKTDMPKSLKKYHLNHHYRLWNYGFGITSPLWDFVFGTVPPPPSKADSRSR >OIW03929 pep chromosome:LupAngTanjil_v1.0:LG10:827987:832333:-1 gene:TanjilG_30205 transcript:OIW03929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTFLLLSLTTLLLFSSFSLISSTVTVDDDGEDLSFLEDSDETTTSDHHHHYDYPDPENFQDYESDLGDFDHDEYNLPEIDDKDVVVLKNNNFSDFVNSNRFVLVEFYAPWCGHCQELAPQYAEAATQLKGENVILAKVDATEESELGNQYEIEGFPTLYFFVDGVHKPYKGLRTKDAIVSWIKKKTGPGIYNITSLDDAERILTSESKVVLGFINSLVGPESDELAAASRLEDDVNFYQTVNPDVAKLFHIDPNVKRPALVLIKKEDEKLNHFDGQFAKSEIENFVTTNKLPLVTVFTMEGAPEIFENPTKYQLLLFATSNDSEKLIPVFREAAKSFKGKLIFVYVESDNEEVGKPVSEYFGIGGDAPKVLAYTGNEDGKKFVLDDEVTFDNIKVFGENFLEGKLKPYLKSDPVPESDDGDVKIVVGSNFDEIVLDESKDVLLEIYAPWCGHCQALEPIYNKLAKHLSSIESIVIAKMDGTTNEHPRAKADGFPTLLFFPAGDKSFGPITVDTDRTVVALYKFLKKNASIPFKLQKPTSTSKPQSSDAKESGDSKERQSSSEDVKDEL >OIW03011 pep chromosome:LupAngTanjil_v1.0:LG10:15711406:15714507:-1 gene:TanjilG_13648 transcript:OIW03011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLRILFMCSLVSSVVVSVDVKESVEACNFAAIYNFGDSNSDTGGISASFVPIPAPYGQGFFHKPSGRDSDGRLIIDFIAEKVNLPYLSAYLNSLGTDYRHGANFATGGSTIRKQNETIFQYGISPFSLDIQIIQFDQFKTRTEQLYKEAKTALERSKLPVPEEFSKALYTFDIGQNDLSVGFRKMSFDEMHAAMPDIVNQLASAVKNIYERGGRTFWIHNTSPVGCMPVNLFYKHNTPPGYLDKAGCVKDQNEMALFFNNQLKDRVIKLRTELPEAAITYVDVYAAKYGLISNAKNEGFDDPLKICCGYHVNDTHIWCGNLGSENGKDVFGAACEKPSAAISWDGVHYAEAANHWVANHILNGSFTDPPTPISHSCYRH >OIW04032 pep chromosome:LupAngTanjil_v1.0:LG10:53990:54406:-1 gene:TanjilG_24143 transcript:OIW04032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNMMNYTREPTSQNHSSLSLEPNNNLIMMNITQQACKSPTTIENSDKGINASIHTSGETRRVEESGRERLKRHREEVMGKVKIPKKWGKEKLLKDWVDYTTFDAVFPPHTSIITARDALIADGRKPSSQRLRIHSTC >OIW03695 pep chromosome:LupAngTanjil_v1.0:LG10:2530454:2535447:1 gene:TanjilG_30759 transcript:OIW03695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPVIPCSVSNVSLIPGIAFPTRVNNSLTTFSLSKSSVKSVSSTRRCLLPSFVASGVFPKNKRIFSFYNKSRTSISATGTELAVEESGSTVSGEVSAETPSEEVGISDGSSPKPDVKPAPAKDNRSRPASARRSDMPPVKNEDLIPGATYTGKVKSIQPFGAFIDFGAFTDGLVHVSMLSDDYVKDVSSVVSVGQEVKVKLIEVNTETRRISLSMRENADTGKQRKDGPVNAEKGGPGKRNTSKPGPRKDGGRKSSKFAIGQELQGTVKNMARSGAFISLPDGEEGFLPISEEPDEGFGNVMGNTSLEVGQEVSVRVLRISRGQATLTMKKAEDATKVDTVFGEGIVHVATNPFLLAFRKNKDIAKFLDEREEIQNTVEKSSTTKTLEDVKGTVKEVEAVVKDEPESIETLLDDVPSAVKHTDADDISEEDVGASAFDGSSIAIVNDETDSVANLSSPAPSADDAAEKETTEVSGSLAPEGDLSTLNPIIEEATQTDVTTSTVETESPLETANENIIESEFDPIIVEDEQSQTSNAKEGFAEAALTDSDVVEPSPDNIDTIAPAPEETADEAEENDQVPPPETPATEVIEGSIEEPKEEQNQSPNTENENLLTSQVEDKEVTIASEENNGSSNSNGQTSTTPSDEGSLQATISPALVKHLREETGAGMMDCKKALVETGGDIIKAQEFLRKKGLSSAEKKASRVTAEGRIGSYIHDSRIGVLIEVNCETDFVSRGDIFKELVDDIAMQVAACPQVEYLATEDVPDEFVNKEKEIEMQKEDLSSKPEQFRSKIVEGRIRKRLEELALLEQPYIKNDKVAVKDFVKQTVATIGENIKVKRFVRFNLGEGLEKRSQDFAAEVAAQTAAKTAPTTPAIEQPAVTEAKEPEKKQATVTISAALVKQLREETGAGMMDCKKALAETGGDLEKAQEYLRKKGLSSADKKSSRLAAEGRIGSYIHDSRIGVLIEVNCETDFVGRSGKFKELVDDLAMQVVACPQVKYVSIEDIPETIRNKEKDLEMQREDLASKPENIREKIVEGRVSKRLGELALLEQPYVKDDSLLVKDLVKQSVAAIGENIKVRRFVKFTLGENAESATEVAA >OIW03569 pep chromosome:LupAngTanjil_v1.0:LG10:3848999:3854186:-1 gene:TanjilG_30989 transcript:OIW03569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHCAPQEIDNDSPKVSEAGKDSTSTIYQQQNKRTSLENCEESSLSRINSGSRCAVISFLNFEPDGTWRVIAVPVRVLNHINVSSGVNMDSLQLISPPPLNRLKMGQCKGPRGPPFPYAYSAKRFTRSITGSNVHRRYQNKIANKASKSNELPRNSCSGSSSVCSYGSFPDCSDVVSSSDKFTSNSKADKSLKKNSRRKIRKKVKQGKKQSLDNGPTEREALNEEYVCGSLTSESCSSNDVEPESSSSDDRLIKNDCERNEMYDVVNIMEAPKSSNSFIDEIEMLKATAPNIQNSAGEYATFESKSQLQGRNPGLLVLDGETKDIPQADLNCFNDIQDISVLDSLSIGSKSDESMKAGDIGKRSNKARRKITSNSGDGYFLGQNVTNGNPNNGAHNEGVRQGGQDCFGNDNQAKQKRAVSKSSSFSKFGGVGISHGQAGKENSHSVWQKVQKNNSVECGDSHLKKVNTASSQFGSPVKRDPSVIRKSGPASENFLSKMEDKKQFKNKAGQKSKGKMDSVSKKEQCSYSRKGYHFNRSMLNDDVKVTVQQNDNNQQGLSNVSGFNSDINCMMYGFQTNRVEQITSEVVHSAEFHLEESDPQTSPFHTIANMKNENTDIQHSPLSIPGAKITPSNMCEEQHQVSYNHLGDEVVQTEKGVSSADSNAHHHSSISTLWKWIPIVKKDTALAEAKSKSSFPEFSDAPSCKNSNLESSVEPRVASSSQNRDSSLNVSRTFVGRMYSKMSCLDESENQNLEKQGACTLTEHRDKRAVANHVIHACHNQDTLGNDSCTISQAVNNTHRTQLACEAVHMATGGPIAEFERLLHFCSPVICQPAASVSCLTCSHDNADGASLCRHEMPNLSLRYLWLWYEKHGSYGLEVRAQDYEYSKGVGGADQFPFRAYFVPSLSAVQLFKNSKNQCVNSSEMIGISEHSPTASRHPIFSVLFPKPRNQDASIETSTTISSINNASNSSVNSKCSGDLELLFEYFELEQPQQRQPLYEKIKELVSGAIPIHSKTYGDPTKLDSINLQDLHPSSWYSVAWYPIYRIPDGNFRASFLTYHSLGHLVRRSTNSNCPTLGSSIVSPAVGLQSYNAQGECWFRLKHSARKTETLGLNPSLLLKERLRTLEETASIMARAVVNKGNQICTNRHPDYEFFLSRRRY >OIW03858 pep chromosome:LupAngTanjil_v1.0:LG10:1298735:1301161:1 gene:TanjilG_30134 transcript:OIW03858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLRCGTMFIYVLLLLEICMANDQHIHQIYPGFAASRLDWNDHGGFFLLSNSSAFAFGFFGTLDVSLFVLVVIHLSSYKVVWTANRALLVRNSDKFVFDHSGNAYLESGNGVVWATNTTGQKVRAMELEDSGNLVFLGENRKSIWQSFDHPTDTLLPGQAFVEGMRLKSFPNRNNLFHYLDYISGDLVLYAGFDPPQQYWSLSEVAQKYDSGKFHLASLVSNSWNFYDKSGTLIWKYVFSDHSDPKSLWVAILDPNGAISFYDLNKGKSAIPEVFKIPQDPCGIPEPCDPYNVCFFENWCECPSLLKSRFNCKPPIPNNSTCSRTRNSTELVYVGEELDYFALKYAAPVSKSSLNACKEACLGNCSCLVLFFENSTSRCFHFDQTGSFQRSKGSSGGYVSYMKVSVDSDRSGRKNVMTERLLIAAIVIFTLLVIVGLITGFWYYNKKRNFGEYPQETLEEDDFLDSLSGMPTRFTYLALSRATKDFSTKIGEGGFGSVYLGVLEDGTQLAVKKLEGVGQGTKEFKAEVSMIGSIHHVHLVKLKGFCAEGPHRLLVYEYMARSSLDKWIFKNSDNTLLLNWDTRYNIAIGTAKGLAYLHEECEVRIIHCDIKPQNVLLDDNFTAKVSDFGLAKLMSREQSHVFTTLRGTRGYLAPEWITNYAISEKSDVFSYGMVLLEIIGGRKNYDQWEGSEKAHFPSYVFRMMEEGKLEEVLDQKIDIDDKDERVVTALKVALWCIQDDGSLRPSMTKVAQMLEGQCPVPDPPSLSQSGTYSAFLKMTSSGEATSSGQGSFYSNVPLSCVQLSGPR >OIW03952 pep chromosome:LupAngTanjil_v1.0:LG10:639848:642388:1 gene:TanjilG_30228 transcript:OIW03952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQTMDWRKVAIFACALSILPFVCFCATSFIPVDNYLIDCGSNATTLVGNRNFTADNLSKNFLSTPQDVLASTSLKSTNYFGDDSPLYQTARVFTRSSKYTFPINHQGRHWIRLYFFPFTYQQYNLSSATFSVTTQNNVLISNNNFSVQKNPVMKEYSLNVTSNTLVITFTPFNNSIAFVNAIEVVSMPDDLISDDALSLDPSVQFSGLLIQALETVFRVNMGGPTVSSRNDTLQRTWVPDQSFLVQSNFASSFSNIGAVQYIDGGPTVNTAPPSVYGTLSEMNTTNDPRSIFNVTWQFDVEPGFQYLVRLHFCDIVSKGLNELYFDVYVDSFQAAKDLDLSIMSNNVLGAPYYKDLVTPLTADNKLHVSIGPSGVNSNYNNAILNGLEIMKMNNSMGSLSAGTAAAANNSGSSSKNVGMIVGVVLGALGAVVLAGVLLFVVCRKRRRLSQEQSKTWIPLSINDGTTSHTMGSKYSNGTTISATSNYEYRVPFLAVQEATNNFDESWVIGVGGFGKVYKGELSDGTKVAVKRGNPRSQQGLAEFRTEIEMLSQFRHRHLVSLIGYCDEKNEMILIYEYMEKGTVKGHLYGLGFPSLSWKERLEICIGSARGLHYLHTGYAKAVIHRDVKSANILLDENFMAKVADFGLSKTGPEIDQTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLFEVLCARPVIDPSLPREMVNLAEWAMKWQKKGQLEQIIDPTLAGKIRPDSLRKFGETAEKCLADYGVDRPSMGDVLWNLEYALQLQEAVVQGDPEENSTNMIGELSPQVNNFNDHDPSASGVVQLDLPSTVDDLSGVSMSRVFSQLVKSEGR >OIW03575 pep chromosome:LupAngTanjil_v1.0:LG10:3785047:3786722:-1 gene:TanjilG_30995 transcript:OIW03575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METIAAAVTGHRLYEDFEPFCKWITQEGQETLEIDLKGFKKEELKVQTNNKGFLTIYGEKPLDGASSKWNRFQKEIKLSKDIRANEISAMFSHGILSIIMPKMVKAAAKENIKEAGFEATQHAKDEAIEKHSILGVKTRKRRAIEVAFGVVAVMAVVVAIGTYYVTQFGNRYGDVSKGQILVKRHRMLYDLLADELSSGLYALSIVAKTSLETTASV >OIW03481 pep chromosome:LupAngTanjil_v1.0:LG10:4959048:4960783:1 gene:TanjilG_14706 transcript:OIW03481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGALKGKYDTDNNGGVAATVAFNAGDVKLRASITDATFINGPSLTGLALSFDKPGSFIVDYNVPKKDVRFHFMNTVKVADKPLNLTYIHSWRDNRTILDGTLVFDSANKISGNYALDSGNGKLKYTYVHNGLTTFEPTYDVSKNTWDFGVSRRVSGDDVLKALYQTSSKVLGLEWSRNSKNTGAFKVLASVNLAEEKKLPKLTAETTWNFEI >OIW03445 pep chromosome:LupAngTanjil_v1.0:LG10:5365342:5370657:1 gene:TanjilG_14670 transcript:OIW03445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGETDHNHQAPPPVQAPPVGPPPPNLGLSRGPTWTPAEQLLHLHYCIHSNPSWPQAILLAFQHYIVMLGTTVMIANTLVPSMGGSHGDKARVIQTLLFMSGLNTLLQTWFGSRLPTVMGASFAFILPVLSTINDYTDETFSSEHERFIYTIRTVQGSLIVSSFINIFLGYSKVWGNLTRLFSPIIIVPVVCLAGLGLFARGFPMVASCIQIGLPMLILLVITQQYLKRLVPVAHHVLEKFALLICIAVVWAFAAILTVAGAYNTAKVPTQMSCRTDRSYLLSTAPWIKVPYPFQWGTPIFKASHVFGMMGAALVSSAESTGTFFAAARLSGATPPPAHVLSRSIGLQGISMLVEGIFGSLVGTTASVENVGLLGLTHIGSRRVVQISCAFMIFFSIFGKFGAFFASIPIPIFAAIYCVLFGIVAAVGISMIQFANNNSMRNMYVLGLALFLGISIPQYFVMNTAPDGHGPVRTNAGWFNDILNTIFSSPTTVAIIVGTVVDNTLEAKDNATDRGLPWWVPFQVRKGDVRNGDFYRFPLRHEYWAKSCSSNNDVIPSHTH >OIW03563 pep chromosome:LupAngTanjil_v1.0:LG10:3888227:3889722:-1 gene:TanjilG_30983 transcript:OIW03563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRVQTSEGSKVLCKHCGKLLQDNCGTSHLKRHLMICPKRPKSEDSINQYSMSSACLRGKESGSNTVLMVHPLKVEPQSQITCFSETPNIRSPTVIASTLLLPSIESPRNEGELTLDDVEMKEFYASLDAETSVASPPQDTGVATESSNTTPCEETKKALKTLQRLLSKDFSILLHPGQTGTMKSTMEALSKLSADDGLSSDIRLLILEVSKEFIRCSCDYNGASRKIESCRTNILKADKLEEGLEANKNQFKEILSLENESSNQLASLERKKKELEEQINAIKAHIYVSQSAKKNAAKRKREVFEEAKILKAQRDELREQLPHMRDECEVANKIQANIKAEWSKLGEKFNKSLDRTTCQYLDKPQNI >OIW03358 pep chromosome:LupAngTanjil_v1.0:LG10:7217919:7219958:-1 gene:TanjilG_29343 transcript:OIW03358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWLLVEWSVGLAAGNVAAAPAENSAAAPAGITAAAPAGNTAAAPTRNTDAAPTENIDAAPARNTVAAPAGSFCITNALRKPRPQGLEVGLVKPSGPRVGNEKLGVRFVGQPMELEQASRKALQQKWTSLMNVELDGKIQWWECKLCPYWSKPACQVVRWTV >OIW03064 pep chromosome:LupAngTanjil_v1.0:LG10:14884780:14895867:-1 gene:TanjilG_19344 transcript:OIW03064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEGAAYEIQSSDSRDQKILERLRAERKAKIDELKEKTNYYTTQQLIQRYDTDPAAKAAAASVLASKLGADSGLKVYVDDESKPGAPTGKSNDAELRNRKQVQSRSPRPGMTTRNHSDQQLVGSGGIDQTQTSEHNQPVVVDRRQSQSTTTNGGGWIARLAALLVGEDPSQSYALICGNCHMHNGLARKEDFTFISYYCPHCHALNKPKQFGEHSISALNSPKTDIDEVVKNATASVVESIIKSNNPINVNPEIEEVSEKDNLVDKAS >OIW03392 pep chromosome:LupAngTanjil_v1.0:LG10:6591459:6593974:-1 gene:TanjilG_31839 transcript:OIW03392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVKGITWVGNIYQKFENMCLEEVEDKMFEDAVKYVENQMQSVGESVKKIYSDVMHDLLPPSSCDLDEKITSELPIDQYTDDGFCKKEFQGSENITVKDDTKQTSEDSRINRDNDITLVASCDTDALFTAASGNCIKGDKFISHAKLVGNTKVAKSLGGDESQKDTKVPASIVVSEITLLETGACRTSQSCEHSNDNQNPAVTVSKPDFAEVTRLASVAASDEDIPNVMVLVKLTEAKEMDKCYSSCALFGDLDETWILDAAKTDTALDHGHKQDNEQKLEETCVMVTRDELRLFPKTAASLKTNKKNTRQSFSLSKKSSRKQEYKELAILHGNNAKGKGDCMENLCPTLMKDQETLLLPDISEAEWELL >OIW03759 pep chromosome:LupAngTanjil_v1.0:LG10:2003964:2008708:1 gene:TanjilG_30035 transcript:OIW03759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCVEEEDKQWSCGKPGTVNLQKVSSIMRDIGDPCVSQSPVKVVITVNRMLKPDKWQAMFDSEGRVFGFRKALKLIVLGGVDPSIRSEVWEFLLGCYAPSSTAEYRRRLRTARREHYRDLIKQCQSMHSSVGTGSLAYVVGSKVMDVRTSSKNDQEMQNKIERSTSNDNNSEVGKCYERSITCTEAGNVGEQESSNDWFDIVSLRTSTDNATQDPFVQQNCSSPKYGRESDGSHYVNDSSFDFPPLPVTNLFEKSGKDKNSGIENGDKHSAQHKQRFEDDRMHSFHIDNNADLVIESNDQHPLATFHGMDSEIEIASPDEDEPGFLPNNNPVYDVKMVNQLKISDVSHPAMISSSISQGLPASEDRVSEWLWTLHRIVVDVVRTDSHLEFYEDTRNLARMSDILAVYAWVDPATGYCQGMSDLLSPFVVIFEDDADAFWCFEMLLRRMRENFQMAGPIRVMKQLQELWHILEVSDGEMFAHLSKIGAESLHFAFRMLLVLFRRELSFNEALSMWEMMWASDFDESLAYDLEDKCLEALALHLSRDSSNDMREEIANTDEGSVKSGSQSNQKENDSTKASLQSNHANTNHSIYDVKLKSLSSHAFCGLARNIFQKIDKVQMRTISSLTSKGNDELSIFCVAAILVLNRQKIIQETKSFDDMIKAGALIFSKYFYQAIN >OIW03882 pep chromosome:LupAngTanjil_v1.0:LG10:1141661:1141990:-1 gene:TanjilG_30158 transcript:OIW03882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEDPWLGADKLYHFIFCFSLTILFSTLASFTPFPFLRRHSLLFGSISSLLAGAAKEAADHLGYFHSAGASTRDALADLLGVLLAFSALSLFRFRRTIPPPKLGIELL >OIW03146 pep chromosome:LupAngTanjil_v1.0:LG10:13449971:13450528:-1 gene:TanjilG_11783 transcript:OIW03146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYFSIRPCLIFSLVILSLLLATPSFASKVVDVNVICAQTRDPSFCSEVLNSKPGGAKGADLISLAQHSIGVARVKATKTVNLINTLIATNANDPRAKAHYSTCLTYFDKYRGALKLLYYVNQVLRMQDYFGLLKAATLVISNVEGCITGDDPTYFDSSDLPRSADNFEKVIDVILTIAKFLINK >OIW03163 pep chromosome:LupAngTanjil_v1.0:LG10:13133835:13134446:-1 gene:TanjilG_11800 transcript:OIW03163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSASSPNITQALAPSTQSVRAKSDPTWDYCQLLQDAEGKRTIKYLYCSKCYKGGGIHRIKQHLAGEKGDVLPCLSVPFDVKHRLREHLNQVSGSRKRGTNQNQGEEDPYEENVVQREGNMITPIPTKGLQPRVKEQKHQIKDQIIVSTISMPQELLQELNPQLEWALQVKMLFIRLIWQLLDSFMIVAFHLIVQIHFTINL >OIW03648 pep chromosome:LupAngTanjil_v1.0:LG10:2960774:2961415:-1 gene:TanjilG_22305 transcript:OIW03648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEECNKRKRVPSDSADSEPESKVHRVGLGSDVNSFESELTRVDSCVNSCESELTRVDSGESCLNTEIQDDIFDILDSENVVERDSAIQELDSVIKSFEEEIFAPGSGLDPVDSNSVPDCVELQTSLNLGYLFEASDDELGLPPTAPESDEPGRVNSEELDLTGFVGFEDIIPNYDIFGFENKLVAECDGEDDGSRTFTAADWLFDYTESLRAI >OIW03232 pep chromosome:LupAngTanjil_v1.0:LG10:11862996:11864591:-1 gene:TanjilG_13026 transcript:OIW03232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVMWRIFFTFLLLSSVFSIASSRRAPYSNSNIDWWCNLTPDPEPCKYYLSQINHHGEIKHRSEFRIILVELALKQAVIMQTEAREFEQNMVTEKHKAVHNDCEKLYENTIFHLNRTLEGLHGKRSCSPVDVQTWLSTALTNIQTCGTGVVELNVEDFMESKLSSNTTKMIRNSLAINMEFLKLNAEKHKVETKEAFPSWFSTHHRKLLQSNLTTKAHLVVARDGSGHFRNVQDALNVAARRKIKTRFIIHVKKGVYAENIEVEKNNDNIMLVGDGMANTIITSSRSVQDGFTTYSSATAGIDGLHFIARDITFQNTAGPHKGQAVALRSASDLSVFYRCAIAGYQDTLMVHAQRQFYRQCYIYGTVDFIFGNAAVVFQNCNIFARKPLDGQANMITAQGRGDPFQNSGISIHNCQIRAAPDLKPVVDKYNTFLGRPWQQYSRVVVMKTFMDTLVNPLGWSPWGDTDFAQDTLYYGEYENSGPGSSTSNRVKWPGFHVITSPDEASKFTVNALLAGGTWLPTTSVPFSSGI >OIW03140 pep chromosome:LupAngTanjil_v1.0:LG10:13574627:13575052:-1 gene:TanjilG_11777 transcript:OIW03140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGLRCCSNDVVQFDITPNTNTTTNTNTTTSSSLSIDVDESTEARIQRLISEHPVIIFTRSSCCMCHVMKKLLATIGVNPTVIELDDNEISALPSATTTLRSPTPAVFIGGSCIGGLESLVALHVSGNLVPKLVQVGALWA >OIW03559 pep chromosome:LupAngTanjil_v1.0:LG10:3920187:3921906:-1 gene:TanjilG_30979 transcript:OIW03559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAGKFPTAYATQKQVGGGYYFLWEIIQDLEYKSKMNSSNIRDENLVKKEPFDQSKLLTTEAVKISSVNTETAIDRPSQDDFQSVVSDVRVTVNTDYDHLEEKRGPQTSSCEERGLSKEIEITTTPDNHCIASDINLSEKCSEESYPSSLHMSNDVKTEEAFSSHSDSVAPESHLLQEEKEHASTPFSETHGTDYGKAQGHDTEFNDAGRPQIIEEKNIEKAGYERMEQAALEGQFEEPSLSSLQVPNDVKTGEDLSSHCSHSVASERHQLKEETDHDSASFIEKSCSGHSETHSPVSKFVDTENHPMGEEKSFNKGHERKKQDGSEDLPGMDGPKHKMEQSLGSSELDESKM >OIW03742 pep chromosome:LupAngTanjil_v1.0:LG10:2138516:2155240:1 gene:TanjilG_30018 transcript:OIW03742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFTTFFLLSLFAFATTIPLVTFTEAVSSILDVSSLNRTSFPPGFIFGSAASAYQEDVGIMKNMSFDAYRLSISWSRILPSIQPFVTLFHWDLPQALEDEYGGFLSPQIVNDYRDYAEVCFKEFGDRVKHWITLNEPSTYSTGGYSIGMFPPGRCSDWQNLNCTGGDSATEPYLVAHNLLLAHAAAVQLYKTKYQVPLLLKRSKNTFEEGKIGITLISHWFVPATDSKLDQRATQRAFDSMLGWFMEPLTKGEYPKSMRSLVGSRLPKFSKEQARLLTGSFDFLGLNYYTAMYVSNAPNQTNAQPTYLTDSLANMTYVWNGKPIGPKAASFWLYVYPRGFQNLLLYIKEKYNNPIIYITENGIDEHNDPTISLDQALVDVDRIKYHYEHLFYLREAIRNGSNVKGYFLWSLLDNFEWEEGYTVRFGLYFVDYNNNFRRYQKLSAQWFQRFLKNLTVFFLIKGTQILNHISSYQMEFKAFLLVGLFALVTISASVSFAEEVVAPILDVSSLNRTSFPKGFIFGTASAAYQEDVGIMKHMNLDAYRLSISWSRILPRIQPFVTLFHWDLPQTLEEEYGGFLSPDIVKHFGEYVDVCFKEFGDRVKHWITLNEPFTYSFAGYALGQFVPARCSKWVNPNCIGGDSGTEPYVVAHHLLLAHAAAVQIYKNKYQASQKGVIGITLVSSWFEPRSNSNEDKEAAKRAIDFMFGWFMAPLATGDYPKSMRSLVGNRLPKFNTQQATEVNGSFDFIGLNYYTAKYASPAPKLNDGKLNYITDSLANLTDERNGIPIGPRAASDWLYVYPKGIKEVLLHIKNDYNNPLIYITENGMDEYDDPTLSLKEALMDTFRIDYHYRHLFYLQSAIW >OIW03291 pep chromosome:LupAngTanjil_v1.0:LG10:9926117:9926596:1 gene:TanjilG_09938 transcript:OIW03291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVISGEVPMGGTRRRRFNKELELPTHCNVDAVHANFSPSILSVVMPKKVPPNNYQDVQKTQIPETENETEEEKNTNQNIEFIGRGVQEDVDTTTKDALKEYTYASENKFVKNDVGLTPEMTRDVALKFMVMIIVILVIVSYVEDMSKSFMAQAQFYFQD >OIW03215 pep chromosome:LupAngTanjil_v1.0:LG10:11256463:11257194:1 gene:TanjilG_13009 transcript:OIW03215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGKEDENASKTIIIDSRKMRTPDVKYVSAHFNTVGENNVVVIPKRKSNVNSTENSNINAKKMRNNDVRYVSAYFNTVAEENVIIIPKRSRMKNENKVENDTNIIYVSAYFDFSKIRTKNVVVIPPRAKKNEIEMIDMHKDVKPLKSLPSELCSDAYRRKTHNNTWKPPKSLFRFEPLLQEYYVYDPWRVLVTSVLLNLTTGAQN >OIW03012 pep chromosome:LupAngTanjil_v1.0:LG10:15701160:15706655:-1 gene:TanjilG_13649 transcript:OIW03012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLTFALFSGVIFGIFLGLSFPTLPLTKIWVPTNPRGAERLAPGIINSESDFYLHRLWGLPNQDLSIKPKYLVTFTVGYDMKDNINAAVKKFSENFTLVLFHYDGRVSEWEEFEWSKRAIHISAKKQTKWWYAKRFLHPDIVAAYDYIFIWDEDLGTEHFNGEEYLKLVRKYGLEISQPGVDPESSYTWGMTVKRNDVEVHKDVKEKDGQCADDSLYPPCAAFVEIMAPVFSRDAWRCVWHLIQNDLVHGWGLDFALGKCVETPHDKIGVVDAQWIVHQKVPSLGNQGQSDGKKAPWVGVRERCINEWNIFRDRVAKAEEDFHKQNLVVF >OIW03315 pep chromosome:LupAngTanjil_v1.0:LG10:9269472:9272379:-1 gene:TanjilG_16464 transcript:OIW03315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSEAQPQSLPLQDRVAIVTGSSRGIGRDIALHLSSLGARIVVNYTSNSVQADSVVTQINSDADRHVNLPRAIAVRGDVSDPVHVKTLFDEAERVFNSPVHILVNSAGVLISTYPSIANTSLEDFDYTFGVNARGAFLCCKEAANRLVRGGGGRIIMLSSSLVASLRPNFGAYTASKAAVEAMIHILAKELKGTGITANCVAPGPIATDMFYAGKTEEQVKASIEQCPLGRLGETKDVAPLVGFLATDSGEWVNGQVIRVNGGYV >OIW03590 pep chromosome:LupAngTanjil_v1.0:LG10:3560401:3579161:-1 gene:TanjilG_05134 transcript:OIW03590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGKVVGFVGLDQLSLNMASSLISHGYALQAFEISDPTIEELLKLGGTRCSSPCEAGRDVAALVVLISHVDQIKDLIFGDEGVLKALKSDTVLILRSTILPSALHKLEKDLAEIHEIAYIVDAYVSQGSSDAMNGKVIIASSGRPDAIARARPLLSAMCEKLFTFDGEIGGASKVKMVTELLEAIHFIASVEALSLGTRAGIHPWIIYDIISNAAGNSWVFKNNVPLLLKGEVKHHILKSLVKELEIILDMAKLLTFPLPLLAATHQQLIQGVSVSTVCCEDDDDGTALIKVWESIYGVKFSDAANADAYSPEKLASEITADSKSVRRVGFIGLGAMGFGMATHLLSSNFSVVGYDVYKPTLIRFANAGGLIGNSPEEVGKDVDVLIIMVTNEAQAESALYGEYGAVSVLPPGASVILSSTVSPAYVSQLERRLHNEGKNLKLVDAPVSGGVKRASLGTLTIMASGSNAALKDAGLVLAALSEKLYVIKDGCGSGSGIKMVNQLLAGVHIASAAEAMAFAARLGLNTRTLFDYITISGGTSWMFENRVPHMLDNDYTPYSALDIFVKDLGIVTRESSSWKVPLHLSATAHQLYLSGSAAGWGRQDDAGVVKVYETLTGVRVEGKPQALKKDVVLHSLPPEWPQDPLPDIKRLNEISSKVLIVLDDDPTGTQTVHDIEVLTEWSIESLNEQFRKSPKCFFILTNSRSLSSEKEADAAVSVLGEMDAWIICPFFLQGGRYTIEDIHYVADSDILLPAGDTEFAKDAAFGYKSSNLRNWVEEKTDGRIPASAVESISIQLLRKGGPDAVCQQLCNLKKAELKKKRFLSRTAASFVSSRIGIISKPPILPKDLGIARERNGGLIVVGSYVPKTTKQVEELKLHCGQFLRSIEVSVEKLVMQPIEEREEEISKTAQLADVYLKAHKDTLILTSRNLITGKTASESLDINFKVSSALVEIVKRITTKPRYILAKGGITSSDLATKALGVKCAKIVGQALAGIPLWQLGTESRHPGVPYIVFPGNVGDSRALAEVVRSWTRPTRLSSTKDILNNAEKGGYAVGAFNVYNLEGVEAVVSAAEEEQSPAILQIHPGALKQGGIPLVACCISAAEQASVPITVHFDHGTSKQDLVEALELGFSSVMVDGSHLSFNENASYTKFISSVAHSKDILVEAELGRLSGTEDDLTVEEYEAKLTDANLAQKFIDETGIDALAVCIGNVHGKYPASGPKLRLDLLKELHALSLKKGVFLVLHGASGLSKELIKECINLGVRKFNVNTEVRKAYMDSLITPKSDLVHVMASAKDAMKAVVAEKIHLFGSAGRA >OIW03129 pep chromosome:LupAngTanjil_v1.0:LG10:13776550:13778994:-1 gene:TanjilG_11766 transcript:OIW03129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAYIEHFVHGNDTESKPDDDVSGLAANFDLGATGIASKDFGGMKSFKPLALIMPTTTVDVSQAVKAAARTSHLTVAARGNGHSINGQAMADKGLVLGMRAMEDHYFELIWVDGTPYVDVSGGALWEDVLKRCVLQFGLAPRSWTDYLSLTVGGTLSNAGVSGQAFRYGPQTSNVTELEVVNGKGDTLICNETQNSELFFATLGGLGQFGIITRARVMLQQAPDMVRWIRVVYSEFEDYIRDAEWLVALGEGDGFDYVEGFVFVNSDDPCNGWSTVLMDPQNQRFDPVHVPSTSGPVLYCLELVRHYSHVDHPSYVDMVVDRLVGELGFVEGLKFEVDVTYMEFLLRVNRAEEEARSNGTWDAPHPWLNMFVSKSCILDFDREVFKKILKQGVGGPILVYPLLRNK >OIW03767 pep chromosome:LupAngTanjil_v1.0:LG10:1949002:1955552:1 gene:TanjilG_30043 transcript:OIW03767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRGKIRAKLRRSHLYTFGCVRPTTTDELPNPLHGPGFSRTVYCNQPLIHEKNSLFYCRNNVSTTKYNVITFFPKALFEQFRRVANIYFLLAACLSVSSISPFSPMSMIAPLVFVVGLSMAKEGLEDFGRFLQDLKVNGRKASVHKGDGVFGPRSWQNIMVGDIVKVEKDHFFPSDLLLLSSSYEDGICYVETMNLDGETNLKVKRCLETTLSLDNDKAFEGFSGTIHCEDPNPNLYTFVGNFEYENQVYALDPSQILLRDSKLRNTDYVYGVVIFPGHDSKVMQNSTKSPSKRSTIEKKMDYIIYILFTVLILISFISSIGFVAKTKYQVTKWWYLRPDNIEDQYNPRKILLAGMSHLITALILYGYLIPISLYVSIEIVKVLQATFINKDIHMYDEVTGTPANARTSNLNEELGQVDTILSDKTGTLTCNQMDFLKCSIAGTAYGVCASEVELAAAKQMASDIEDQDSDLSSFPMSKSNVPSKKVTAGEEIVLETIVTSKVDENQKPPIKGFGFEDNRLMNGNWLNEPYANVLLMYFRILAVCHTAIPELNEEIDSIIYEAESPDEGAFLVAAREFGFEFYKRTQSTVVVRERFAASGQVVEREYKILNLLDFTSKRKRMSVIVRDEEGSIILFCKGADSIIFDRLSKNGKQYLEATTKHLNEYGEAGLRTLALAYRKLDEQEFSDWNNEFQKAKTAVGPDREVTLERLSDIIERELILVGATAVEDKLQKGVPQCIDKLAQAGLKIWVLTGDKMETAINIGFACSLLRQGMKQICITLPNSDSLTNDSKEVIKSYILNQITNASQMIRLEKDRHAAFALIIEGKTLTYALEDDVKHHFLGLAVDCASVICCRVSPKQKALVTRLVKQGTGKTTLAIGDGANDVGMIQEADIGVGISGVEGMQAVMASDFSIAQFRFLERLLVVHGHWCYKRIAQMICYFFYKNIAFGLTIFYFETYAGFSGQSVYEDWYMLLFNVVLTSLPVISLGVFEQDVSSEVCLQFPALYQQGPKNLFFDWYRILGWMGNGLYTSLITFFLVIIIFYDQAFRWDGQTADMDAVGTIMFTCIICAVNCQIALTMSHFTWIQHVFVWGSIATWYLFLVIFGMLPVAYSKNAYQILVEVLAPAPIYWTATLIVTITCILPYLVHISLQRCFNPMDHHIIQEIKHYRKDIEDQGMWKRERSKARQETQIGFTARVEAKMRQLRGKLQKKTTSVGFFSPSFKIK >OIW03708 pep chromosome:LupAngTanjil_v1.0:LG10:2404627:2405197:1 gene:TanjilG_29743 transcript:OIW03708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYFDLHSIAYRILVSSNTKNGIATASSNGPSVSATLQQAKKYFAATEAQKDGCTGNYRIFDSAFGNFLVPVVPTRAELTKMSAAVLKAANCATCASQRI >OIW03875 pep chromosome:LupAngTanjil_v1.0:LG10:1175845:1176468:-1 gene:TanjilG_30151 transcript:OIW03875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLHHQAPESLNFINFLSPPPLPPPPCHLQPQTSEMNLEGIAAIVGQQVLFGGKNDNLKTTTKNNNEVKTNLSVSKRYRGVRKRPWGRWSAEIRDRIGRCRHWLGTFDTAEEAARAYDAAARRLRGSKARTNFEIPSVFPPSTSSSSSTEEKTVTRKPKGTRGITERKCCVVTSVSQLFSNGVPQVARDNGIVMSNSEICILGDAQS >OIW03715 pep chromosome:LupAngTanjil_v1.0:LG10:2350094:2350963:-1 gene:TanjilG_29750 transcript:OIW03715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEAKITDYSRDSERVTEWEIGLPTDGDITPLSQPLIPPELASAFSISPETYRTLLEVNRASNDTISAIRGGSGGSQAFSTVVEPDEEEEEEADRENGSGTESRKLRRLDSAEDADSVMLNNDDSSARALKRPRLVWTPQLHKRFVDVVAHLGIQNAVPKTIMQLMNVEGLTRENVASHLQKYRLYLKRMQGFSDNVPSSTDQLFASTPVLQSLQDSSAGGCGGGSAGRSSHAQIPMPYPQPPPPHMMAMFGMPHHGFNNGGFQQRDWSSGFTYPQAHTHGLTPSGDK >OIW03285 pep chromosome:LupAngTanjil_v1.0:LG10:10179269:10180306:1 gene:TanjilG_09932 transcript:OIW03285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVFPGGGDNALSVVGPRPMEWSTVPYSGPHVPGPNGKQRTSSLESPIMLLAGHQSAIYTMKFNPEGSVIASGSHDREIFLWNVHGDCKNFMVLKGHKNAVLDLHWTTDGTQIVSSSPDKTLRAWDVETGKQIKKMVEHLSYVNSCCPSRRGPPLVVSGSDDGTAKLWDMRQRGSIQTFPDKYQITAVSFSDASDKIYTGGIDNDVKVWDLRKGEVIMTLQGHQDMITGMQLSPDGSYLLTNGMDCKLCIWDMRPYAPQNRCVKVLEGHQHNFEKNLLKCNWSADGSKVTAGSSDRMVYIWDTTTRRILYKLPGHNGSVNECDFHPKEPIIGSCSSDKQIYLGEI >OIW03455 pep chromosome:LupAngTanjil_v1.0:LG10:5187967:5188344:-1 gene:TanjilG_14680 transcript:OIW03455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAPLQVSTIVVRVLSQLWNKPIVAVNQCVAHIEMGRIVTGVDDPVVLSVSGGNTQVIAYSVDDRYCIDNGAMIAYTGLLEFAHGASSTPLEDSTFTQLFRTDEVKAIWRETSLEKLNRRTEKSF >OIW03293 pep chromosome:LupAngTanjil_v1.0:LG10:9836404:9843966:-1 gene:TanjilG_09940 transcript:OIW03293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIAVSPQAQKHNFHTPGHKVSSAGPQKDLWLAVREGSLNDLELGLALLKKSGDNVNLRNTFGLTLLHIATWRNHIPIVRRLLAAGADPDARDGESGWSSLHRALHFGHFAVAGILLKHGASITLEDPKSRIPVDLISGPVFQVFGNERNSVATEVFSWGSGANYQLGTGNAHIQKLPCKVDSLNGSIVKIISAAKFHSVALTARGEVYTWGFGRGGRLGHPDFDIHSGQAAVITPRQVTSGLGSRRVVAIAAAKHHTVIATEGGDVFTWGSNREGQLGYTSVDSQPTPRRVSSLRSKIIAVAAANKHTAVVSELGEVFTWGCNREGQLGYGSSNSASNYTPHLVESLKGKALTRVSAAKYHTVVLGSDGEVYTWGHRLVTPKRVIVTRNLKKSGSTPLKFHRMERLHVVSIAAGMVHSMALTDDGALFYWVSSDPDLKCQQLYAMCGRNMVSISAGKYWTAAATATGDVYLWDGKKGKDKPLVATRLHGVKKATSVSVGETHLLIVASLYHPVYSLNVTENSQNLKLNSRDDTDELNEDILFEDIESNNMISSVQNDTSEQRSVPSLKSLCEKVAADSLVEPRNTLQLLEIADSLDANNLKKYCEDIVIRNLDYIFTVSPHAVASASLDILANLERLLDQRSSEPWSHRRFPTPTAAFPAIINSEEDDSEIEFQRTYDKPMKMHALKLEKDRRVDSFLQPKDDPNQETSKLVRAIRKKLQQIEMLEAKQSKGHLLDDQQIAKLQSKSSLESSLADLGVPFETSWNKESPSAQLEGKGTKKGKLLKKQRRKNCEPSTVKTEVEAVYSKNEAIPEPVKDLLETDALTVPNSKVEEDAMCEQSTTDKGAKDLSFVVEKKDPMESPKDRGQSPKVSKKKSKKGGLSMFLSGALDDTPKVVAPPPLTPKNEGPAWGGAKFIKGSNSLREILNQQSKIKETKLVSRKDKIEDHSDFDSDGKIKLSSFLPSSPIPVVSNRSPMASDGEISTLTWAASGTPPQPSKQSFRDIQMQQVKKHQSLSHSPKTKTAGFTIATGQGSPSEANSVSRWFKPEVDTPSSIRSIQIEEKAMKDLKRFYSSVKIVRKQF >OIW03204 pep chromosome:LupAngTanjil_v1.0:LG10:12131833:12134877:-1 gene:TanjilG_21836 transcript:OIW03204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDPILAAQPQPPPEPPSNSSDLCFDDFAAQFSNFPFPSMDSLFDDNALPTDTFASDLDFAMDFCDTDDFEITFDDVAEDFLFPDVCSPNGDSISPIIDNQGDIVKPNAHNSNYDVVNTELQEFGNSAISDHYTYDVSRLLNSQASDSVSFKKELSPADNSTGNQGSLNSVDVKVSSMQSTDSEEEYSNGPVSSQGSGNIGSGYEAMNSPTSDSDRYIDISSHANADKGIKVEENGKGCDLKRKKEQSEESAETRITKSRKSSFVHVENKTQKIDSGDNVNGIDDEEDKRKARLMRNRESAHLSRQRKKHYVEELEEKVKAMNSTIADLSSKISFVMAENATLRQQLGAGAGMYPHPPMAPMPYPWMPCAPYVVKPQGSQVPLIPIPRLRPQQPAAAPKSKKSVGKKSEVKTKKVASISLLGLFFFIMLFGGLVPMVDVKFGGLVNNLTGRSSYVSDRWVYGQGGGKVWPVNGGHRNESERDEDVGFSDGRFSISDKRNYERRRKLEESNERHDLHSDESVRPGGNASEPLVASLYVPRNDKLVKIDGNLIIHSIMASEKTMASQMESQVKKDKRETGLAIPNSALAIPEAGRNSGQHPHMYRVSHEQRKALGSGSTKTLKDHMKSSATDGKMQQWFREGVAGPMLSSGMCTEVFQFDASPTPGAIVPATAVANISSENRQNDTTLNKSRSRRILHGLPDPLPGSKLNLSEDHVRNSQNDPLHGNNSSMVVSVLVDPKEAADVNVDGVMTPKSLSRIFVVVLIDSVKYVTYSCGLPGASPHLVAA >OIW03670 pep chromosome:LupAngTanjil_v1.0:LG10:2744588:2746818:1 gene:TanjilG_30734 transcript:OIW03670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKWADIIDENIEELAALDTIDAGKLYNRGKAFDIPGVANTIRYYAGAADKIHGEVLKPSREFHAYTLMEPIGVVGHIIPWNFPSTMFAVKVAPTLAAGCTLVLKPAEQTPLSALFYAHLAKQAGIPDGVLNVVPGFGPTAGAAISSHMDIDKVSFTGSTEVGREVMQAAARSNLKPVSLELGGKSPLVIFDDVEVDKAAELALLGILYNKGEICVASSRVFVQEGIYDEFEKKLVEKAKAWVVGDPFDPKSRQGPQVDKKQFEKILSYIEYGKREGATLLTGGKRVGGKGYYIEPTIFSNVEEDMLIVQDEIFGPVMSLKKFKTIEEGIKSANNTKYGLAAGIVTKNLDTANTVSRSIRAGIVWINCYFAFGNDIPYGGYKMSGFGRDFGLDALHKYLQVKSVVTPIFNSPWL >OIW03321 pep chromosome:LupAngTanjil_v1.0:LG10:9382764:9384879:1 gene:TanjilG_16470 transcript:OIW03321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPPRGGGRGGGFRGGRDGGFRGGRDGGFRGRGGGRFGGGGRGGGFRDEGPPSEVVEVSSFLHACEGDAVTKLTNEKIPFFNAPIYLQNMTQIGKVDEIFGPINESFFSVKMMEGIVANSYSAGDKFYIDPRKLLPLARFLPQPKGQAQAGGGRGGRGGGRGGFRGGGGFRGRGAPRGGRGGFPRGGGRGGGFRGRGRF >OIW04019 pep chromosome:LupAngTanjil_v1.0:LG10:238732:241246:-1 gene:TanjilG_30295 transcript:OIW04019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLHRNWHKKDIRSIGAVAGLAVAIVFTWRLLRSPAGGPQQRRPKRQGSSSSNPGLSSHSDASVVPSEVRSPSDDSRAQNVVDELFQPVKPTLAQIVMQRLSEGRKVTCRLLGVILEESSPEELQKQATVKSSVLEVLLEITKFCDLYLMERVLDDESEKRVLVALEEAGVFTSGGLVKDKVLFCSTENGRTSFVRQLEPNWHIDTNPEIVTQLARFIKYQLHVSPFRSERSAANVFSAVSLEQFFGSI >OIW02969 pep chromosome:LupAngTanjil_v1.0:LG10:16066272:16071502:1 gene:TanjilG_13606 transcript:OIW02969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFKRSPKRLKYTAPRFIKEDGLVYIEADPSGSDSWKLEPVINLLKEGAVGVIPTDTLYAIACDLRNQSAIERLRRIKNIEVSKPLSILCHSFRDIDKYTAGFPRGDGQGHANLFKVVKQCIPGPYTFILMASKELPKQCIRFGTNAAKYASRKNVGVRMPDDAICRAILKEMDAPLICTSIKFLKEDEWMIDPVMIADTYGPEKVVNQVLKSSLSFKRASLNVMVRLLLSDQEVIGSNLRNNFSACGGLDFVVAGGVRVAEPSTVVDMTKMPPRVLRQGKGPILPWMVWEEDQKTDVEEDQIPAAI >OIW03601 pep chromosome:LupAngTanjil_v1.0:LG10:3248362:3254843:-1 gene:TanjilG_06110 transcript:OIW03601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLQGSNNDRPEAPSPVTVANGAVAAGNKGNGTVLSSLSLRLNPNQEHKPDDYEDMQLEFSAVLLSSLEKYLPPTMLNDPRDQKAKFMQDILLKYLPPTERNRAIKHREYRQKIISNYQPRNRELYTLNPTAVFVPSFLKAIHDNSEQSFRNILSEPSPGIFVFEMLQKDFCESLLEEIENFENWVTEAKFRIMRPNTMNRYGAVLEDFGFETMLDMLMEGFIRPLSRVFFAEVGGSTLDSHHGFVVEYGQDRDVDLGFHVDDSEVTLNVCLGKQFSGGELFFRGTRCENHVNTDTQSEGQRLKQQESLLPSGFVKALTSVPFCIFHYTSYMSMYGAVLEDFGFETMLDMLMEGFIRPLSRVFFAEVGGSTLDSHHGFVVEYGQDRDVDLGFHVDDSEVTLNVCLGKQFSGGELFFRGTRCENHVNTDTQSEEIFDYSHAPGQAVLHRGRHRHGARATTSGHRVNLLLWCRSSVFREMKRYQKDFPSWCDECNREKKERQRSSLATRMSVVVVVVFVVVALME >OIW03896 pep chromosome:LupAngTanjil_v1.0:LG10:1065943:1068445:-1 gene:TanjilG_30172 transcript:OIW03896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFWGTEVKVGEVVKVDPEEIEAYVHLSQAALGESKKDKANEPVVLHLKVGEQKIVLGTLSRDKIPQTTLEVVLDKDTSDEDDFDSDEDIPLPVKENGKSVIKAEDAKVSEPKKANAKGGAPAKQVKIADPKKEDDEEDDDSDDDDDFGSSDDEVDDVDSDSDDEGDSEDDEETPTKKVVPGKKRANDSASKTPVSNKKAKNATPEKTDGKKGGHTDTPHPAKKAGKTPKSDGKAQTPKSAGQFSCGSCQKAFNSEGGLQQHNKAKHDK >OIW03460 pep chromosome:LupAngTanjil_v1.0:LG10:5150170:5155409:-1 gene:TanjilG_14685 transcript:OIW03460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWLSKIFKTSDHKISEGHYHNSHANYKDDADSYTPSTSGDVWPKSEDEDIDRAIALSLVEENQKGSGVNDYKSQLEEDEQLARAIEQSLIVESPPRPRYGNENMHQPVPLYFPMGYRICAGCNTEIGYGRYLNCLNTFWHPECFRCRACNLPISDYEFSTSGNYPYHKSCYKESYHPKCDVCKHFIPTNHAGLIEYRAHPFWIQKYCPTHEHDNTPRCCSCERMEPREAGYIGLNDGRKLCLECLDSAIMDTNECQPLYTDIQKFYESLNMKLDQQVPLLLVERQALNEAREGEKNGHYHMPETRGLCLSEEQTISSISRRPRLGTGNRAMDMRTQPYKLTRRCDVTAILILFGLPRLLTGSILAHEMMHAWLRLRGYQTLSQDVEEGICQVLAHMWLESELSSASGSNLLSASSSSASYASRKGKSPAFERKLGEFFMHQIESDISPVYGDGFRAGQIAVRKYGLQKTLNHIWMTGTFPF >OIW03243 pep chromosome:LupAngTanjil_v1.0:LG10:10907988:10913652:1 gene:TanjilG_21772 transcript:OIW03243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDYGFEYSDEEQEEQDVDIENQYYNSKGLVESDPEGALSGFAEVVNMEQEKAEWGFKALKQTVKLYYRLGRYKEMMEAYREMLTYIKSAVTRNYSEKCINSIMDYVSGSASQNFALLQEFYQTTLRALEEAKNERLWFKTNLKLCKIFFDIGEYGRMNKILKELHKSCQREDGTDDHKKGTQLLEVYAIEIQMYTETKNNKKLKQLYQKALTIKSAIPHPRIMGIIHECGGKMHMAERQWAEAATDFFEAFKNYDEAGNHRRIQCLKYLVLANMLMESEVNPFDGQEAKPYKNDPEILAMTNLIAAYQRNEILEFEKILKSNRRTIMDDPFIRNYIEDLLKNIRTQVLLKLIKPYTRIRIPFISKELNVPEHDVEQLLVSLILDNRIQGYIDQVNRLLERSDRSKGMKKYTAVDKWNTQLKSLHQTITNRVG >OIW03514 pep chromosome:LupAngTanjil_v1.0:LG10:4541645:4543678:1 gene:TanjilG_31027 transcript:OIW03514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEGQVIGVHTVQAWEEHIQKGNETKKLIVVDFTATWCGPCRFIAPIFAEIAKKTPEVTFLKVDVDELKTVAEEWGVEAMPTFLFVKEGKVVDKVVGANKEDLHLKIAKHSTAASASA >OIW03414 pep chromosome:LupAngTanjil_v1.0:LG10:5836188:5836394:1 gene:TanjilG_12011 transcript:OIW03414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSLKKIIFIVVHLVVIMFTIFVASPQSGVACRPLLLNNQLSVAHELHLQARSNNPAPPSGGSKDHP >OIW03284 pep chromosome:LupAngTanjil_v1.0:LG10:10212600:10216109:1 gene:TanjilG_09931 transcript:OIW03284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFDPASAKSSQILNTIKEISSSSRTIPSDKDFKLCYTSDEFKRPIDEIARKSNSMLQKIGAAKHIWGTEEADFPVGIDDAYEWLVDVNQEVFGRVDESIKELQKIMKFEDDEMELENGKKKKMKKVRVETKDKEKVSFHISAIEKPQNRYNFLVNNANQPFQHVWLERSDDGQRFIHPLEKLSVLDFVDKDVEDLVPIEPPSLESTPFKLVEEVKGLKELAAKLHSVNEFAVDLEHNHYRSFQGLTCLMQISTRTEDFIVDTLKLRVHVGPYLRELFKDPTKKKVMHGADSDIVWLQRDFGIYVCNLFDTGQVCLFAAVLEKMLEMCPVMRSMWLCF >OIW03433 pep chromosome:LupAngTanjil_v1.0:LG10:5477762:5478615:-1 gene:TanjilG_14658 transcript:OIW03433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVFYHEEPSNHPKKCKYFAAILKEVFSHCQTFSRQLSSASLEEEWSISDLDEEQEVVIVSTVRSRIMEKQKHKPNLLTDNFSLLSSPAARELYFTQTMAPKERKEGDEEHDEREFLSVKSCFSCCSSNAMSMDAFYSVKTNLSRCSSMNDLELSKNWRRSIIQEFSHCEGWPFGLCRKAVLLPPLPKSPSDSWLSSKIQRRTKDA >OIW03162 pep chromosome:LupAngTanjil_v1.0:LG10:13182580:13191559:1 gene:TanjilG_11799 transcript:OIW03162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESILGRALEYTLKYWLKSFSRDQFKLQGRTLHLSNLDINGDVLHSSVGLPPALNVATAKVGKLEIMLPSVSNVQTEPIVVKIDRLDLVLEEKSDFDAPSPSPNSNTPSAASSKGSGYRFADKIADGMTIQVDTVNLLLETRGGTHRKGTTTWAPPMASITMRNLLLHTTNEKWQVVNLKEVREFSDNKKYIYVFKKLKWESLCIDLLPHPDMFTDAAFDCSQEGSNPREDDGAKRVFFGGERLIEGISGEAYITVQRTEFNSPLGLEVQLHINEAVCPALSEPGLRALLRFMTGLYVCLNRGGVDFKAQQRSIEAAGRSLVSIVVDHIFLCIKDTEFQLELLMQSLFFSRASLSEGDNDNNLTKITIGGLFLRDTFSNPPCTLVQPSMQPVLGDVFHVPEFARSFFPPIYPLGELQWQLMNGTPLICLHTLQIMPSPLPPSFASETVIYCQPLMIHLLEESCLRISSLLADGIVVSPGDILPDFSVKSLIFTLMGLDLTVPFDNVKMDISKSSMDNTAQTSFAGARLHVESLSFLNSPSLRLRMLNLEKDPACFCLWEGQPIDASQNKWTARASQLTLSLEACTGTAGHQNSAGRTAELLRCVDLKEACIEVAMATADGSPLLKVPPPGGIVRVGVACEQYISNTSVEQLFFVLDLYDYFGRVSERIAMAGKRKQLESIRDKSFSGKIMDKVPSDTAVSLAVKDLQLRFLESSAASVVGMPLVLFVGDDLFISVSHKTLGGAIVVSSTLRWESVEIDCVDAEGHLACEKGSFLSFSENVPSPSDNGYPQLRAVLWVHKNEKHLLNGTAHSVPFLDISTVHVIPLHEQDTDSYSLNVSASVSGVRLGGGMNYAEALLHKFGILGPDGRPGTDLCKGLENLQKGPLSKLFKTTPLIVDNSNDVESTREENETGFPHLKKPDDVGITIEFRDWLFALEGAQDTAERWWFSSHEDVGREERCWQATFNGLRVNAKTGPKNVTDRKAQVHRMQKNKVELVTVGVQGLRILKPNTQKDTPLSMLIANGVKEFTDTVGGIGLEAHLILCEDSVDDEMANWEVENLKFSVEQPIEAVVTKDELQRLTFLCKSEIDSIGRIAAGIICLLKLEDSIGQSVIDQLGNLGSEGIDKIFSSEKVGRNGSVESRGLSPLPNLITEGPHKTKEQTLTLLEGAVMDLQAKLNDLNTDIGTSESSSFQRLTVVELGQKVDTMQNLLMQLRNQL >OIW03485 pep chromosome:LupAngTanjil_v1.0:LG10:4887725:4888039:-1 gene:TanjilG_14710 transcript:OIW03485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGASVPRDAFEQGGVAPPMAPSTSNEGHCHMAMVQLHGSSVLMDDSLLDGIMMDII >OIW03887 pep chromosome:LupAngTanjil_v1.0:LG10:1116038:1118599:-1 gene:TanjilG_30163 transcript:OIW03887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSESLQFCLSKFTFNSNGTPTRRRRVIATPKYKHVRPFQCMVRETGEDKHHIVVKNGKDSLDICRVVNGMWQTSGGWGRIEKNNAIDAMLKYADAGFTTFDMADIYGPAEDLYGIFINRVRRERPPEFLEKVRGLTKWVPQPVKMTSSYVRDSINVSRKRMDVESLDMLQFHWWDYSNSGYLDALKHLTDLKEEGKIKTVALTNFDTERLQIILENEIPIVSNQVQHSIVDMRPQQKMAELCQLTGVKLITYGTVMGGLLSEKFVDTNISIPFAGPAINTPSLQKYKRMIDAWGGWSLFQGLLRTLKQVASKHGVSIATVAVKYILDQPAVAGSMVGVRLGLSEHIQDSNAIFSLVLDEEDLNSIRESTAKGKDLLKVIGDCGDEYRGA >OIW03928 pep chromosome:LupAngTanjil_v1.0:LG10:833552:833965:-1 gene:TanjilG_30204 transcript:OIW03928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPISFSFFFLLSIALVSAVIGQERAPHGLAYENPEAFPPSAYNFFHPNAKKPETNDPCAASKCSPLPLAAQVEATQIHESKGSTSEKGGKQLGPGVIACIIFGVAFVVFLAMIVHHVRVTRRNNIIKANNSVQTCV >OIW03166 pep chromosome:LupAngTanjil_v1.0:LG10:13096183:13098410:1 gene:TanjilG_11803 transcript:OIW03166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSPENTNWLFDYGLIDDSTFNVPPSVFTWPPNPSPNLGVELDGSLGGSDGLKEPGSKKRGRSDACAGSSSKACREKLRRDRLNDKFVELSSILEPGRPPKTDKSAILIDAVRMVTQLRGEAQKLKDSNMSLQEKITELKAEKNELRDEKQRLKAEKEKLEQQAKSMNAQPGFMHHTPPVIPAAFAPQSQAPGNKLVPFMGYPGVAMWQFMPPAAVDTSQDHVLRPPVA >OIW03128 pep chromosome:LupAngTanjil_v1.0:LG10:13817639:13818010:-1 gene:TanjilG_11765 transcript:OIW03128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGFGKGFIDFEDLLPMIANKVGVDGLINELCNGFQLLMDKDKGVITLDSLRNNSILLGLHDFEEDELVSMMREGDIDGDGVLTHMEFCVLMFRLSPQLMEESWFWIEYAIHQQLNDHSDASV >OIW03854 pep chromosome:LupAngTanjil_v1.0:LG10:1327895:1328900:1 gene:TanjilG_30130 transcript:OIW03854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTSVGNPLQLKSVNHISLICASIEQSINFYQHVLGFFPIRRPGSLNFDGAWLFGYGIGLHLLRAEEPENIPRKTKINPKDTHISFQCESMGTVEKKLKEMDIDYVRAMVVEGGIQVDQLFFHDPDGFMIEICNCDSLPVIPLADEMVRSCSRVNLQIMQQLQQVVHQL >OIW03885 pep chromosome:LupAngTanjil_v1.0:LG10:1124590:1130191:1 gene:TanjilG_30161 transcript:OIW03885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVITGSGQPQFITSTGTQNSSNAPLIENSDAGQIVVPDKSSWKNFFRYLGPGFLVSIAYIDPGNFETDLQAGAQYKYGLLWVILVASCAALVIQTMAANLGVVTGKHLAEHCRAEYPKVTNIILWIIAEIAIVACDIPEVIGTAFALNMLFHIKIWIGVLLTGLSTLILLALQQYGIRKLEFLIAFLVFTIAACFFAELGYAKPDAKEVLDGLFVPQLKGSGSTGLAISLLGAMVMPHNLFLHSALVLSRKVPQSVRGINEACRFYMMESAIALTVAFLINVSVISVSGSVCNSSNLNAEDQKSCQNLDLNQASFLLKIDISDMCHTQNVLGKWSSKLFAVALLASGQSSTITGTYAGQYVMQGFLDLRLKPWIRNMLTRSLAIVPSLIVAAIGGPNAAGELIIIASMILSFELPFALVPLLKFTSSKTKMGTHVNSIMLSAITWIIGSLIMAINIYYLVSGFINLLVHSHLSLVAKVFLGMLGFSGIAVYLAGIAYLVLRKNKKLSHLLSLTASENQQMANEQGNNGSLYSLPREDIVSMQLPQRTTPVDLD >OIW03859 pep chromosome:LupAngTanjil_v1.0:LG10:1292881:1294865:1 gene:TanjilG_30135 transcript:OIW03859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQQTKVQHNESMSMKEKKVRDLMVEKKRLVEVPYTATLAHTMNTLVANKVAAVPVAAPPGQWIGAGGSMIVECDKQSGTARKHYIGMVTMLDIVAHIAGDDHFSNGDNDDVIKDLDQRMSVPVSSIIGHSFEGLSLWTLNPNTSLLDCMEVFSKGIHRAMVPVDGEMENVTCGVELVEAASSYQMLTQMDVLRFLKDNAGGELQSILARSVQDLGAETEKIYAITENTKLVDAIKCLKSAMLNAVPIVRASDLREDDHRQLINGRCRKLIGTFSASDLRGCYLATLKSWLGMKALTFTEEVATSPLFTASETERSETCRRELVTCNAESPIYDVIEKAVTKHVHRVWVVDQQGLLVGVVSLTDVVRVIRHSLLSDSDH >OIW04018 pep chromosome:LupAngTanjil_v1.0:LG10:250180:255925:1 gene:TanjilG_30294 transcript:OIW04018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLMATTKFEDVKSSVQDVSTATSVEHLVFGLGSGLSVWPKRKDYVRLYWKPNKMRGCVFVGNLPKSKDNDTSIPPLCLSSNTSHFLYTWGRKGHLSANRMTRIIKDIVAMNYSNVRWYVFGDDDTIFFPENLVKTLSKYDHRNWHYVGAPSESFMANQYFSFEMAFGGGGFAISSSLANVLAKVIDSCLERYHYLYGSDARTYSCITELGAGLTHEPGFHQVDMLGNTFGLLATHPITPLLSLHHVNHTKPIFPNMTNIKAMQHLFKAANVDSQRILQQTVCYEKKLAWTVSVSWGYAIQIFPYNMPLPEVVKVPKTFKPWRGGSDLDNAYNFNTKPIHRHKCDRPIIFYLDNISSGKDGIITSYKKSARNCSRHMPSLKKLERINVFSKKLDLDINQVLEVHSSLQHNVFEPLTLDHLVFGIASTNASWPTRKQYTKLWWKPNKLRGCVFVDTLPQEKYHASNDSSLPPLCISEDTSRFRYTYRGGLRSAIRVARVVKETVALNHSNVRWYVFGDDDTIFFPENIVKILSKYDDGLWYYVGSNSESYKQSWFFGFGMAFGGGGFAISSSLAKVLSKVFDSCIQRYPHLYGSDARVYSCITELGVALTYEPGFHQVDLRGNIFGLLAAHPITPLLSLHHPEDTDPIFPNMTNTKAMQHLFEAANVDSQRMLQQTVCYDKRNSWTISVSWGYAVQVFRSNWRLPDVIGVQQTFSQWMKGDALSGAFTLSTRELHRDPCKRPIIFYLDNVFSAKDGITKTSYTKSLQNCSNDIASQNKVEVIKVFTHKLDLNIKQLQSPRRHCCDVLPSSAYYGMEIAIRECKDEELIFMH >OIW04027 pep chromosome:LupAngTanjil_v1.0:LG10:8772:11693:-1 gene:TanjilG_24138 transcript:OIW04027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRFPSHQLSNGLYVSGRPETPKEKTPTMTSVAMPYTGGDIKKSGELGKMFDIPMDGSKSRKSGQLNSAPSRTGSFTGGPTSHSGPVNTTARSVYTTSGNIPLGGISASVSMKKTTSGPLNKHGEPIKKSSGPQSGGVTRQNSGPIPPVLPTTGLITSGPLNSSGAPKKVSGPLESTGSMKSHTSSVAHNPAMTTLSPDDDYSFRKNFPKPILWSVILIFVMGFIAGAFILGAVHNAILLIVVVVLFGVVAALFTWNSCCGRTAVVGFVSHYPDAELRTAKNGQFVKVSGVVTCGNVPLESSFQKVPRCVYTSTSLFEYRGWDSKAANPKHRCFTWGLRAAERHVVDFYISDFQSGLRALVKTGCGARVTPYVDDSIVINVNPANKDMSPEFLRWLGERKLSSDDRIMRLKEGYIKEGGTVSVMGVVQRNDNVLMIVPPPEPLTSGCQWAKCIFPASLEGIVLRCEDISRNDVIPV >OIW04016 pep chromosome:LupAngTanjil_v1.0:LG10:261290:262681:-1 gene:TanjilG_30292 transcript:OIW04016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMDMDCTGSSTGWLYDYNIDVVEHDDFIMATDSVAFTWLPQTHTFNHPANNISLDMEYSLDSTLLENGPSKRLKSESYASGARACREKLRRDKLNERFLELSSVLEPGRPPKADKVAILSDAVHMVTNLRNEAQKMKEMNDELLLKVRELKAEKKELRDEKNMLKADKEKLEQQVKLTNVQPRFLPHPAVAKGADAANHKLMPFIGYPGIAMWQFMPPAIVDTSKDHLLRPPVA >OIW03865 pep chromosome:LupAngTanjil_v1.0:LG10:1253012:1257599:-1 gene:TanjilG_30141 transcript:OIW03865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGETTLLSELATEIFVPVCAVIGIVFSLVQWLLVSKVKVTPERHGPSSSPRINNNKNGTGDYLIEEEEGINDHNVVVKCAEIQNAISEGATSFLFTEYQYVGIFMVAFAILIFLFLGSVESFSTKSQPCTYDKLKLCKPAVATALFSTVSFLLGAITSVVSGFLGMKIATYANARTTLEARKGVGKAFIVAFRSGAVMGFLLAANGLLVLYITINLFKLYYGDDWEGLFEAITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALVVASISSFGINHDFTAMLYPLLVSSVGIIVCLITTLFATDFYEIKAVKEIEPALKNQLIISTVLMTVGIAVISWIALPYSFTIFNFGVQKEVKSWQLFLCVGVGLWAGLIIGFVTEYYTSNAYSPVQDVADSCRTGAATNVIFGLALGYKSVIIPIFAIAISIFVSFSFAAMYGVAVAALGMLSTIATGLAIDAYGPISDNAGGIAEMAGMSHRIRERTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAFVSRAGVSSVDVLTPKVFIGLIVGAMLPYWFSAMTMKSVGSAALKMVEEVRRQFNTIPGLMEGTAKPDYSTCVKISTDASIKEMIPPGALVMLTPLIVGTLFGVETLSGVLAGSLVSGVQIAISASNTGGAWDNAKKYIEAGASEHARSLGPKGSDPHKAAVIGDTIGDPLKDTSGPSLNILIKLMAVESLVFAPFFATHGGLLFKIF >OIW02978 pep chromosome:LupAngTanjil_v1.0:LG10:15981796:15984031:-1 gene:TanjilG_13615 transcript:OIW02978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVTTKIAPSMLSSDFANLASESHRMLTFGADWLHMDIMDGHFVPNLTLGAPIIESLRKHTKAYLDCHLMVTNPLDYVEPLGKAGASGFTFHIETSKDNWEELIQRIKAQGMRPGVALKPGTPVGEVYSLVEAENPVELVLVMTVEPGFGGQKFMPEMMDKVRTLRKKYPSLDIEVDGGLGPSTIDAAASAGANCIVAGSSVFGAPEPAQVISLLRNSVEKAQQTH >OIW03580 pep chromosome:LupAngTanjil_v1.0:LG10:3715533:3721368:-1 gene:TanjilG_31000 transcript:OIW03580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTSSSNGDAEASKVKKQCLGWIEWFRGWFSLIYEMLFQRIMASHLHNPMPLPPINDITCIVTGSTSGIGLEIARQLAQAGAHVVMAVRNTKAAQELIQKWSVDSAGLGIALNVEVMGVDLLSLDSVARFAEAWNARSAPLHVLINNAGIFSIGEPQKFSKDGYEEHLQVNHLAPALLSVLLLPSLIRGSPSRIVNVNSVMHHVGFVDAEDMNLTSGKKKFSSMIGYSNSKLAQVMFSSVLHKRLPAESGINVLCVSPGIVQTNVARDLPRIVQSAYRLIPYFIFNAQEGSRSTLFAATDPQISEYCELLKADEWPVCAYISQECRPANPSEEAHSIQTAYEVWEKTLEMIGLPSDAMEKLLEGEDVKCRYGYEQQ >OIW03731 pep chromosome:LupAngTanjil_v1.0:LG10:2227012:2230320:-1 gene:TanjilG_30007 transcript:OIW03731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMDKASQTSSDSPTRDTKVVSIECLKGSSKADEWTGDMLQTGDIVQELRLGSSINSIIRFKSPFKNGKSGVQKILNDAFKKKETSIVVRVRRGIDEFSEMQACIVPNDGKKNFVLRSIIDPNYVVGFLDRNEAECFDLQASRTTRMVNALTRTRLQDGYVSYPWERRMQEMLPVPNSSNFLSILLLPKASDRVASRYNDLEDTLARANAWLNAGQASGVPIVFMNIQTESLLTKISGETASSTVNAGSLSDLSNLANASLYGFEDYHGIDIGVVRAVRLWYAPIGGEFSIEIKLKEDDAKLGFAISRTEEGFIFISSVINQENVPATRSGLCNLYKLATDTSRLLVVSRVSNQKVLPWMVSSTGAIRCYDTVSLSQKLSLHRHTKVPILLHVFLWESALASSSGGSNRFRTVSSPVIQLPSEVQMAHHPNESHVLPLPPDASDSSDQITSDLSQSRLQRDTAGEASFRFHDFSLSSNWV >OIW03006 pep chromosome:LupAngTanjil_v1.0:LG10:15747259:15751271:1 gene:TanjilG_13643 transcript:OIW03006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGIFLCPLICLLMTIGNYAIILGLWPAHVVWTYYCVVSARQLGPFLKVLICICVLPLLLISLLVVGIVGSIVGGAAFGFLSPIFATFEAIKEGKENKLFHCFIDGMWSTIEKSCMVVNDVKDVSFHSYFSVIDDLRQKGPLNGKYYEIRLLYLPGAVLAAVIGIIVDVPVISFVALCKGPYMLYKGWCRLFHDLIGREGPFLDTICVPFAGLAILLWPLAVVGAVLASLVASFFLGAYAGVVAYQESSLLSGFRYTVAALSLYDEYSSDILDMPEGSCFPRPQYRKKVDSSPIIEHSNSFSRPSFQKAPSHSYSMKNNITELKSLEAFFCSILWPMMHASNLFDCLFKECKNVGEKMVSEGLITQKDIEEARSGKGNRIISIGLPAYCLLQGLLRSAKVNSVGLLISNDTELTTSNRPREVFFEWFLNPLLIMKEQIKAENLSASEEDYLSKLVLLNGDAERLKDLSVDRILNGDAERLKDLSVDRTPASDIKRAELDAFARRLQGITKSMTRFPTYKRRFDNIVKSLSDELSDKYGSERMTRSKSAFARLISFKSLKGTRGNGSSNQGSEHV >OIW03228 pep chromosome:LupAngTanjil_v1.0:LG10:11720672:11721322:1 gene:TanjilG_13022 transcript:OIW03228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSISISQPSNLSPNEYEVCICSNMVLATVTNSPKVVDKWISEIKPFSRDRLIVGLATETVCQGNPALATLQLCVWNRCLIFELNHCSKIPMSLILFLYDPNKLFVGVGVADNIRKLKDKYSLYVSNTVDLASVANSEPPLKPKKGMMNMTFLRFLAKMVLNWDINEKPTNIILSRWDNQSLSHEQIKYACADAFLSSEIARVWVKIIESYVRSKQN >OIW03827 pep chromosome:LupAngTanjil_v1.0:LG10:1517573:1518829:1 gene:TanjilG_30103 transcript:OIW03827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDIEDDDTRYPSNPYRVSHHHQGYDYMSRQKLLMNVSPYSKPVKNGYGEDNSNNEGEAYEFAPHVPSPSAVAPSALKPSFGGRNPLTDWSEHETFVLLAVWGDRFLQHGRKSLRSEEWQEVAEKVSKVSSVERTDTQCRNRLDTLKKKYKKEKIKFPETDGGSSNWVYFKRMDKLMSSPPQVDPSCGLDSGEYVSTNSRIYSNRANGLDEMRDSLGNTESTEEASDGPHAKKRRRGRGSGEASSYRLLADSLHRFSNIYEKIENDRRQQMVELEKMRVDIQKEIETQRREILERLQSEISKLEQTDEENDGSSENGM >OIW03418 pep chromosome:LupAngTanjil_v1.0:LG10:5710974:5715107:1 gene:TanjilG_12015 transcript:OIW03418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRPGNPSRRFGENGGGLFSSSKYKSSPILSIALIVVGALFLIAYMYKGPGGFGRLDSVSRVEEIKRQCKFLSFMQINRMFISYDYFLLGGLHLNDCWVQSSDLFKNPCSCSAPKGDYLCSGEVQRAIPILQQAYGDSMHKVLHVGPDTCYVVSKLLKEDEAEAWGLEPYDIEDADSNCKALIRRGNVRVADIKFPLPYRPKSFSLVIVSDALDYLSPRYLNKTLPDLARVSADGIVIFTGFPSNNQKAKVADVSKFGRAAKMRSSSWWTRFFAQNNLEENEAASKKFGQASTKSSYVPSCQIYHLKSLH >OIW03360 pep chromosome:LupAngTanjil_v1.0:LG10:7107095:7110505:-1 gene:TanjilG_29345 transcript:OIW03360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLKPKKNDDESRSKCCNPVKKQGPVTMDHVLMASQETKEAREIRIQILFEFFDKDNCGYLDYAHIEAGLLALQIPSEYKYAKDLFNACDTNKDGHVDYQEFKRYMDNKELELYRIFQAIDVEHNGCILPEELWEALPHSIVGIQIDDEELAHFVERVDKDNDGVITFGEWRDFLLLYPHEATIENIYHYLERMCMVDIGEHSVIPAGISKHLHASRYLIAGGVAGAASRTATAPLDRLKVVLQVQTKRAHVMPVVKDIWKEGGFLGFFRGNGLNVLKVAPESAIRFYTYEMMKTFIANAKGEEAKADVGAIGRLFSGGIAGAVAQTAIYPMDLVKTRLQTYACESGRIPSLGSLSKDILVQEGPRAFYRGLVPSILGIIPYAGIDLAAYETFKDMSKKYILHDGEPGPLIQLGCGTVSGALGATCVYPLQVVRTRLNPLIREWVMYSGKPSNMKVFGDSTKEYFLTCSK >OIW03566 pep chromosome:LupAngTanjil_v1.0:LG10:3880387:3884468:1 gene:TanjilG_30986 transcript:OIW03566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGRGPSIWDTFTHNYPGKIKDRSNGDNSIDEYHRYKEDIDIMKNMNTDAFRFSISWPRILPKGKVSGGVNKEGIKYYNNLINELLAKGLEPFVTIFHWDLPQALEDEYGGFLSPNIVNDFQDYAEICFKEFGDRVKHWITLNEPWVFAKLGYAEGETAPGRCSAWQNLNCTGGDSAIEPYIVSHHQLLAHAAAVNVYKTKYQVYQKGWIGISLASHWIVPLNDTILDHQASQRALDFMFGCLMYYFIVIAERNGRLIGPKSASDWCVYPKGIRELLLYTKNKYNNPLIYITENGVDEFNDPTLSLEDALRDTPRIDYYYHHLYFLQSAIKDGVNVKGYFAWTLLDNFEWHSGYTVRFGTYFIDYNNDLKRHPKLSAIWFRKFLQHNILTYDA >OIW03879 pep chromosome:LupAngTanjil_v1.0:LG10:1156620:1162273:1 gene:TanjilG_30155 transcript:OIW03879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWNPLSWVMELGAIMAIALANGGGKPPDWQDFVGITVLLVINSTISFIEENNAGNAAAALMAGLAPKTKVLRDGRWTEQEAAILVPGDIISIKLGDIVPADARLLEGDPLKIDQSALTGESLPVSKNPGDEVFSGSTVKQGEIEAIVIATGVHTFFGKAAHLVDSTNQVGHFQKVLTAIGNFCICTIAIGMVIEIIVMYPIQHRKYRQGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHKLSEQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKNLVEVFVKGADKDTVLLLGARASRVENQDAIDACIVGMLGDPKEARDGITEVHFLPFNPVDKRTAITYIDTAGNWHRASKGAPEQIIELCNLKEEVKRKAISIIDKFAERGLRSLAVAKQEVPEKSKESAGAPWQFVGLLPLFDPPRHDSAETIRRALHLGVNVKMITGDQLAIGKETGRRLGMGSNMYPSSSLLGQNKDESVASLPIDELIEKADGFAGVFPEHKYEIVKRLQDRKHICGMTGDGVNDAPALKRADIGIAVADATDAARGASDIVLTEPGLSVIVSAVLTSRAIFQRMKNYTIYAVSITIRIVLGFLLIALIWKFDFSPFMVLIIAILNDGTIMTISKDRVKPSPSPDSWKLREIFTTGVVLGAYLAVMTVVFFWAAHASNFFSDKFGVRSIRDNEHELTAAVYLQVSIVSQALIFVTRSRSWSFVERPGLLLVVAFLIAQLIATIIAVYANWGFARIKGIGWGWAGVIWLYSIVFYIPLDVLKFIIRYALSGKAWNNVTENRIAFTSKKDYGKGEREAQWATAQRTLHGLNPPETEEMLNEKNNYRELSELAEQAKKRAEVARLRELHTLKGHVESVVKLKGLDIDTIQQHYTV >OIW03062 pep chromosome:LupAngTanjil_v1.0:LG10:14908141:14914416:1 gene:TanjilG_19342 transcript:OIW03062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVGSRNRSKLRRPTWIIVLLFIVCIFLIAAYMYPPESTSTCSRFSPHGCGTSTSTKYDLPTPEFSRALNEDEIQSRIVINEILKFHPVQTKIPKIAFLFLTPGSLPFEKLWHMFFQGHEGKFSVYVHASKEKPVHVSHYFAGRDIHSEPVEWGRISMVEAERRLMASALLDPDNEHFVLLSESCIPVRRFDFVYNYLLLTNVSFIDSYVDPGPHGNGRYIEHMLPEVEKKDFRKGSQWFTLKRQHAVIILADSLYFRKFKYYCRPNMEGNRNCYADEHYLPTIFTMLDPRGIANWSVTYVDWSERKWHPKSFRARDITYKLMKNIAYIDESPHFISDAKRSVVITPCVLNGFKRSCYLFARKFYPDTQDKLMKLFSNSTIF >OIW03679 pep chromosome:LupAngTanjil_v1.0:LG10:2657925:2658952:-1 gene:TanjilG_30743 transcript:OIW03679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NCSYCFVINLFSVMYSRFQVKKKQFFVNFMTIMLFGAIGISQVLKRMNIGPLEIGDYLAIGVIFAATDSVCTLQVLNQDETPLLYSLVFGEGVVNDATSVVLFNAIQSFDLEQIDLSIALQFLGNFLYLFMTSTLLGVLSGLLSAYIIKKLYIGRYHLDRVVIIFIVSIYCDGIPLR >OIW03848 pep chromosome:LupAngTanjil_v1.0:LG10:1363340:1365506:1 gene:TanjilG_30124 transcript:OIW03848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQLTSSCYYGMRYLNTVSNGSDTHDGFKPAPKHESSGISLSDVVEQDVKDNPVMIYMKGVPEFPQCGFSSLAVRVLNHYDVPLSARNILEDPELKSAVKAFSHWPTFPQIFIKGEFVGGSDIILNMHQNGELKEKLKDIKPKQ >OIW03110 pep chromosome:LupAngTanjil_v1.0:LG10:14251946:14253111:1 gene:TanjilG_07262 transcript:OIW03110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIHDEHDSSSDEVSTRDLMTSLATLSKTWMLEKQLRLRRYWSWLRRSRVMREEGSSPLDVARPTRLKLYDIGFTSTTTLVAPAIDTIDGAGVCP >OIW03040 pep chromosome:LupAngTanjil_v1.0:LG10:15348476:15351410:1 gene:TanjilG_20968 transcript:OIW03040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMELETRCDGGLSDSDTAAIWEEIDVAEKYLVCSMYQESASTASSILNRLSQSSSHHLQLDSIFESTSMILLQSFHLLSRTNEILSNLKRYFISIKAIPPQLILTLACFQIEQGSALDDVQQYLEDFLNEWSPADPQYSTLIAEPNVDCETRYGKRHFVLEIDQYLQLVELYAVTLLATVLKHVDLAISWVENNASLPEENRQALLRRLHSMHSLKSTTLKSPTDNMEAYSLKELNVCEGSPKALSGKHANNEKYASKEAVLKLSERIEPYFWCFRSINVKFGSTKFVISSGKIMLGCLMLFTYYLFRKKQSTLKRIVRRQLVGMKRAVVDLWQLAFSHQVNPLAAVQPLSTATRQAR >OIW03622 pep chromosome:LupAngTanjil_v1.0:LG10:3503497:3505642:1 gene:TanjilG_06131 transcript:OIW03622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRRRQERGNQERRRSARIMAMEAQKEKEKMEKIRKEEEEEEEEEEKAEEAEEEEKAEEAEEEDKAEEAEEAEEEEEAAKQQENDMKNDVGDNHFTYDSEAENSRAMKKGRKSKPFEEIITLSSPQPMQEGLYLGDGSSSRTAGNATLEMNMRDTYMLPNDNPLFTSVLDDQSNFQLQYNANAGGGYRESLLRFVKGMGPVAQRVAMEKLGNMDYMQPVKDELTDDHEQHEPPTQQGDFKIKVEADAGADEVVSSPKGP >OIW03031 pep chromosome:LupAngTanjil_v1.0:LG10:15433626:15434927:-1 gene:TanjilG_20959 transcript:OIW03031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLIFLLFFTLSLFSSISIAEIRSSTVRNDDRPIIPFDQFGFTHTGRLQLTVSSISLSNSNLDLSKLGFFLVTLDSWLHVLQQLEDGEIRCALQSDLVKSVFTFNSLNGKNQFSILYNETDSDQYNLVFANCHPQQLKVSMDVKSEMYNLDGKSGVRDYLSAGRTILPSVYFVLSLIYFALAVIWICFLYKKRLTAFRIHFFMLAVLALKGLNLLCEAEDKSYIKKTGSAHGWDVVFYIFSFLKGISLFTLIVLIGTGWSFLKPFLQDKEKKVLMIVIPLQVVANIAQVIIDESGPYGHDWVTWKQVFLLVDVVCCCAVLFPIVWSIKNLREAARTDGKAAVNLMKLTLFRHYYVVVICYIYFTRVVVYALETITSYRYSWTSVVAAELGTLAFYVFTGYKFKPEAHNPYFVIDDEEEEAAVEALKLEDEFEL >OIW03737 pep chromosome:LupAngTanjil_v1.0:LG10:2193366:2195829:1 gene:TanjilG_30013 transcript:OIW03737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEIEEIGPDVCSDIEMDDIRVQNIAEKDVSDEEIEAEDLERRMWKDRIKLKRLKEKQKLEAQKAAEKQKSSSTTDQARRKKMSRAQDGILKYMLKLMEVCKARGFVYGIIPEKGKPVSGSSDNIRAWWKEKVKFDKNGPAAIAKYEAECLAMSEADNNRNGSSQNILQDLQDATLGSLLSSLMQHCDPPQRKYPLEKGVPPPWWPTGNEDWWVQLNLPHGQSPPYKKPHDLKKMWKVGVLTAVIKHMSPNIAKIRRHVRQSKCLQDKMTAKESAIWLGVLSREEALISQPSSDNGASGVTETPPGGQVKNKRPATSSDSNYDVDGADDGVGSVSSNENTRNQFVEVEPSDNSQRNCVLNKDQVKKQPRKKRPRFRSSSTDKQPAAQSDNEILHVEPTDNTINMNQTEAQVVELQIHGNEQRNETDSALRPMEKGFEVPTEQPAPDLDHFSYLHSNNLIPTESLYMNGRPPLHYPEVQNPALHHDTTYNLYNPVTGYGPSHGGLQLPPGNSEPIKPENGTVGPVSIPPDEVTGGDLQYFGRDTFPNELDRPIDHSFFGSPLNSLPLDFALNSPPFNIDDFLGEDEMMQYFGA >OIW03728 pep chromosome:LupAngTanjil_v1.0:LG10:2254723:2260097:1 gene:TanjilG_30004 transcript:OIW03728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDSNNWLSSIPFSPIHPSLPLQFQESQSHNPFSLGTSVDENMESLFQNYDWTQVNSPSNNEIPKVAEVLDVRSTENESDLMPMQNSVVAANSSNHECQENNANNNMQSLTSSMENGGNKDSTNETNGDNSTRNNVEATHKRTSHNSGQRKPIFRGIGNYEKELEEMKNTTTKDYVATIKRKSSGFSRGASIYRGVTRPKILSVNEAAEPDLVHDRVCLAEAAELELLVHDRSPWPMHYQKGKWQAKMGRVGGGKDLYLGTFSTEEDAARAYDIAAIKFKGPNAMTNFDISHYDVKAITESRTLPIKGGVSKRLHEHMNIRSSSSVMDNNHGDSNNWNYNGSDFIGNYNGLIEMTSNSSSSLIDNNCGHSNHRNYNGAGFIGNNNGLVEMTSNYSSSLVDNNGGNFNHWNYNGAGFIGNNNDLVEMTSNYSSSLMDNNGGDSNHWSYNGAGFIGNHNVLVETTSNSSSSLIDNHGGHSNHWKYNGAGFIGNNNGLVEMTSNSSSSLIDNNGGNHWNYNGAGLIRNNNGLFDMTSNSYSSLVDNNGGHSNHWNYNGAGFIRNNNGLFDMTSNSYSSLVDNNGGHSNHWNYNGAGLIGNNNGLVEMTSNSFSPLIDNNGGSGSDNGLSGMALNPSLNDEAELSHMVDHDIATKGYSGWLMDSINASNVDFTKLQ >OIW03503 pep chromosome:LupAngTanjil_v1.0:LG10:4650365:4652434:-1 gene:TanjilG_31016 transcript:OIW03503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWTPTAETLASTKTALSVAASLAATAVLLRSTVNDLIPDTVYNYFNSNFRKFSNRLSSHLTIIIEEQDGLTANQMFDAANVYVGSKQQSSAQRIKVHKPLKEEHLQVNIDKNQEFYDSYKGIKLKWVLVSMQNNNISLHNKRDSHNNASFHRVEVRHFELTFHKKHRDTVLGSYLHHVLHEAEATRQGKKTLKLHTIDYNGTDYWNSIVLNHPATFDTVAMEPEMKVQLLEDLCMFLDRKDYYKRVGKAWKRGYLLYGPPGTGKSSLIAAMANYLNFDIYDMDFREVQCNSDLRRLLIGMGSRSILVIEDIDCSIKLQSREVDNNGEDEDKVTLSGLLNFIDGLWSSCGDERIIIFTTNYKDRLDQALLRPGRMDMHIHMSYCSFSGFKTLAANYLRIQNHPLFEDIEGLLNKVNATPAEVAGELMKSDNIDSAIKGLISFLQCKQTDITTP >OIW03981 pep chromosome:LupAngTanjil_v1.0:LG10:464019:465119:-1 gene:TanjilG_30257 transcript:OIW03981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLGEHHRSNPTIHVPPWQDPTEDMYSPYLYNGVTDGNANAGDYSPYYMQEALTALQRYLPSNEEDGDSESEILGRESDAPVDAYSCDQFRIYEFKIRKCSRGRSHDWTECPYAHPGEKARRRDPRKFHYSGTACPDFRKGNCKKGDACEYAHGVFECWLHPARYRTQPCKDGTSCRRRVCFFAHTPEQLRIVPNHSPRSVDSYDGSPVINGTMAFLSSPVSISPPVSSPVESPPMSPMERSSISEMMASLRNLQLGKLKSMPCNRTVIPLGLGSPGFGSPRGSVLRPGFISLPSTPTQVPGRGGVSHFDLWDQSCEEEPVMERVESGRGIRAKMFEKLSKENSLDGSGHVSGGAPDVEWVSKLID >OIW03757 pep chromosome:LupAngTanjil_v1.0:LG10:2021313:2025806:1 gene:TanjilG_30033 transcript:OIW03757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPSGNNNQEQTHHVSAFNGNSALDTSIPMKHNPGISLDWTTEEQAILEEGLAEYASASNIVRYAKIALQLQNKTVRDVALRVRWMNKKENSKRRKDDHNLSRKSKDKKERISDPAAKPSHFAARSNVPPYAPLPMIPMDNDDGISYKAIGGPTGELLEQNTQALNQISANLSAFQIQENINLFCQTRDNILKIMNDFNDSPEVMKQMPSIPAKLNEELANSILPRTILPPPLS >OIW02999 pep chromosome:LupAngTanjil_v1.0:LG10:15791553:15797492:-1 gene:TanjilG_13636 transcript:OIW02999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSNEWHIERRFSFETESPLSREEGNSPEIGSLSIVVLGASGDLAKKKTFPALFHLYCQGFLPQDQVCIFGYARTKISDDELRNRLHGYLVPEKGVSPKQLEDVTEFLNLIKYVSGSYDSEDGFHLLDKEISNHESSINSVEGSSRRLFYLALPPSVYPSVCKMIKTCCMNKSDLGGWTRVVVEKPFGKDLGSAEELSNQIGELFEEPQIYRIDHYLGKELVQNLLVLRFANRLFLPLWNRDNIDNVQIVFREDFGTEGRGGYFDQYGIIRDIIQNHLLQVLCLVAMEKPVSLKPEHIRDEKVKVLESVIPIKDDEVVLGQYQGYKDDPTVPDQSNTPTFATVVLRIHNERWEGVPFILKAGKALNSRKAEIRIQFKDVPGDIFKCKTQGRNEFVIRLQPLEAIYMKLTVKQPGLEMSTVQSELDLSYRQRYQGLAIPEAYERLILDTIRGDQQHFVRRDELKASWKIFTPLLHRIDKGEFKPIPYQPGSRGPAEADELLEKVGYVQTHGYIWVPPSL >OIW03344 pep chromosome:LupAngTanjil_v1.0:LG10:7903903:7910342:-1 gene:TanjilG_00615 transcript:OIW03344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFSLPSALRITLFLFLLVAVVVACFTLPIEKMMKDFLIWVDRDLGPWGPLVLAVAYIPLTVLAVPASVLTLGGGYLFGLPVGFVADSIGATIGAGAAFLLGRTIGRSFVVSKLKDYPQFRSVAIAISRSGFKIVFLLRLVPLLPFNMLNYLLSVTPVSIGEYMLASWLGMMPITLALVYAGTTLKDLSDVTHGWGEFSKTRWAFIISGLVISVVLMICVTKVAKSALDKALAENEDIDGITSSALPIVAESSSVDLNQSLIIKIDSAEDNHEK >OIW03154 pep chromosome:LupAngTanjil_v1.0:LG10:13282620:13283448:-1 gene:TanjilG_11791 transcript:OIW03154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFIVALALLFGLLLALLLIPRHHKSGQKGNAHSTLNNKDKESKSFSKSEVSLHHKRTDCWIIIKNKVYDVTPYVEEHPGGDAILAHAGDDSTEGFFGYASVPFYPLFVCDEDTPSGFMFVFSL >OIW02993 pep chromosome:LupAngTanjil_v1.0:LG10:15847707:15851049:-1 gene:TanjilG_13630 transcript:OIW02993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFLRYGRQGVRQIFKFRNDTYDSSVVNPLLYASQGLRYNRKLQVILTTDIDKLGKAGYTVKVAPGFFRNHLMPKLLAVPNIDKFAHLITEQHKVYQPIEKEEAKEDVKVVKESKEDMMKEYEKAALRLDKAKLVLRRLIDVQKAKSRATKDDPLELRFPITKEVIVAEVARQLCVNIAPENLHLPSPLSTLGEYEVPLRLPRSISLPEGKVNWSMKVKIRSK >OIW03459 pep chromosome:LupAngTanjil_v1.0:LG10:5160883:5164473:-1 gene:TanjilG_14684 transcript:OIW03459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNQLPKGSKSRLKRMPMSFIHHQTSPSHTGIRTVDVDNVTNFTTNSDLCISIVTWNMNGQVSFEDIVEMVDNNRKFDLLVIGLQEAPCGGKKVATLLKAALDGNHTLIGKVTMKSLHLYLFGPKNAAPFIKELKVDKESVGGYGGIIGRKKGAVAIRINYNGIQMLFISCHLSAHARNVEERNSQWRHISHSLFSKIWNPYARPSHITVWLGDLNYRLQGIDSYPARNLIHKDLHQRLHGKDQLLQEAERGQIFNGFCEGTLTFKPTYKYDKGSSDYDTSYKVN >OIW03449 pep chromosome:LupAngTanjil_v1.0:LG10:5278741:5282136:-1 gene:TanjilG_14674 transcript:OIW03449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVRVKIKVVTGTPSGAVAAEARWSGSQWVILDKKLKQEVKHCMDELQCSIVVMNGSHPKILRLNLECSDELQTPFYSAASSPGIEIGKLKDYRLKHSTPVSSPEEAGTSVTRTIGVNSVSSSDSVTSLFLVYKQNPLYEGQGPQKRTHKPIYDLKDSFNVQPPLYFDLERDTSPTSWAHPTPSSVSSDNKNVFWTPQNHIMNEKLKKPENKTTIQRTKSPNSKTLLENFIHCDQERRTNELGFNFNHDQSRSYVNNSCSRDNTIPLGRTCSIPPPLCSRCQNKAPLFGKPPRRFLYDELEEATDMFSDVNFIAEGGFGVVHKGMLRDGQVIAVKQLKFSGSQADLDFCREVRLLSCAQHRNIVLLIGFCTEGNLRILVYEYVCNGSLDLYLQGESMLLDCNSRLRIAIGVARGLRYLHEDCRVGSIVHRDLRPKNILLTHDFEPLLALHKPRYLAPEYLEAGNLTYKVDVYAFGIVLLELITGCRICDLERFNGHCFLSEWFHPLRMLEPNHILENVRSLNTCFDSKTSLEFNLPLQAMARAASLCLRLDPDARPPMSKILIVLEGGDPIRPMGLDFNSVGNTSGHLRGLTSHAPPKGTISHSRGLSH >OIW03484 pep chromosome:LupAngTanjil_v1.0:LG10:4909233:4917635:1 gene:TanjilG_14709 transcript:OIW03484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAILVFPSAILLSFFHLFLTFNLANSEPFLGVNYGQVADNLPPPSATAKLLQSTAIEKVRLYGSDPTIIKALANTGKGIVIGTANGDVPSLASDPNFAKIWVATNVVPYYPASNIILVTVGNEVITSTDQNLMNQLVPAVQNVQNALDAVSLGGKVKVSTVHSMAVLSNSEPPSAGKFHAEYNTVLQGLLSFNNATGSPFAINPYPYFAFRDDPGRAENLEYCLFQPNSGRVDSNSNLKYMNMFDAQVDAVRSALDSSGFKNVEIVVAETGWPYKGDNNEIGPSLENAKGYNSGLISHLRSMVGTPLMPGKSVDTYLFALYDEDLKPGPASERAFGLYNPDQSMIYDAGLSQQQQINTTSPVMAPAFSKVIRSWASKKFMSGCVILLPIAITFYVTWGFVRFVDGFFSPIYNHLGINIFGLGFVTSLTFIFLVGIFMSSWLGASVLTLGEWFIKKMPFVSYIYAASKQISTAISPDQSSNAFKEVAIIRHPRVGEYALGFITSSVVLQRNRDEEELYCVYVPTNHLYLGDILLISPNDVLRPNLSVREGIEIVISGGMSVPQILTTVDAQAKLATRKPSFETSQVK >OIW03452 pep chromosome:LupAngTanjil_v1.0:LG10:5232089:5244205:1 gene:TanjilG_14677 transcript:OIW03452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSSADDIELRRACVSAIDDPTQKIIISIRVSMSRGIFTKSSKLGHQMAKPRVLALFTQSKGHSTTAFLRVLKYSTGGVLEPAKLYKLKHLSKVEVITNDPSGCMFTLGFDNLRSQSVAPPQWTMRSIDDRNRLLLCILNICRDVLGHLPKVVGIDVVEMALWAKENRPSVSTPRNVQDGGPVAFAVPETELKVNFEKELVSQAEEEEMEALLGSYVMGIGDAEAFSERLKRELQALEAANVHAILENEPLMDEVMQGLESATICVEDMDEWLATFNVKLRHMREDIESIETRNNMLVMQSVNNKCVIEELDKLLERLCVPSEYAASLTGGSFDEARMLQNVEACEWLTSALHGIEAPNLDPAYANMRAVKEKRAELEKLKSTFVRRASEFLRNYFSSLVDFMISDKSYFSQRGQLKRPDHADLRYKCRTYARLLQHLKSLDKNSLGPLRKAYCSSLNLLLRREAREFANELRASTKASRNPTVWLEGSTGSGQNANATDTSTVSDAYAKMLTIFIPLLVDESSFFAHFMCFEVPTLVPPGGVADGNKAGYNDDLGIMDINENDSKSGKTSAELAALNESLQDLLNGIQEDFYAVVDWAYKIDPLRCISMHGITERYLSGQKADAAGFVRLLLGNLKSRISVQFSRFVDEACHELERNERTIRQMGVLSYIPRFATLATQMEQYISGQSRDLVDQSYTTFVGIMFVTLEKIAQTDPKYADMFLLENYAAFQNSLYELANIVPILAKFYHQASEAYEQACTRHISMIIYYQFERLFQFARRIEDLMFTVPPEEIPFQLGLSKMDLRKMLKSSLSGVDQSIALMYKKLQKNLVSEELLPSLWDKCKKEFLDMYEGFAQLVAKIYPTENIPSVAEMRELLASL >OIW03933 pep chromosome:LupAngTanjil_v1.0:LG10:790653:803514:1 gene:TanjilG_30209 transcript:OIW03933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGTRILFFVFWFLGIYAPTLFCANVQYDHRALVIDGKRRVFISGSIHYPRSTPEIWPDLIQKSKDGGLDIIETYVFWNSHEPVRGQYNFEGRNDLVKFVKAVAAAGLYVHLRIGPYACAEWNYGGFPLWLHFIPGIQFRTDNEPFKAEMQRFTAKIVDLMKQESLYASQGGPIILSQIENEYGNIEVHYGGAGKSYVKWAATMATSLDTGVPWVMCQQADAPDPVINTCNGFYCDQFTPNSNAKPKMWTENWTGWFLSFGGSVPFRPVEDLAFSVARFFQRGGTFQNYYMYHGGTNFGRTSGGPFIATSYDYDAPIDEDLFGLNSNIVEMQTILKHGNQQGGNFNNMVVSSLNDTNNCSSFGNLDHIVMPVDEEQLGLTRDFLGVGDETLRTPFLHQDLDKFNPIESVLNLQSQFGKCVENKKDMDMRGTRILFFVFWFLGIYAPTLFCANVQYDHRALVIDGKRRVFISGSIHYPRSTPEIWPDLIQKSKDGGLDIIETYVFWNSHEPVRGQYNFEGRNDLVKFVKAVAAAGLYVHLRIGPYACAEWNYGGFPLWLHFIPGIQFRTDNEPFKAEMQRFTAKIVDLMKQESLYASQGGPIILSQIENEYGNIEVHYGGAGKSYVKWAATMATSLDTGVPWVMCQQADAPDPVINTCNGFYCDQFTPNSNAKPKMWTENWTGWFLSFGGSVPFRPVEDLAFSVARFFQRGGTFQNYYMYHGGTNFGRTSGGPFIATSYDYDAPIDEYGIIRQPKWGHLKDLHKAIKLCEEALIATDPTITSPGPNLEVAVYKTEAVCAAFLANVGTASDATVNFNGNSYHLPAWSVSILPDCKNVALNTAKINSASMISSFTTESLKEDIGSLEASTSEWSWISEPVGISKADSFSRVGLLEQINTTADRSDYLWYSISIDNDDTGAQTVLHIESLGHALHAFINGKLAGSHAGNSEKAKLNVDIPITLVAGTNTIDLLSVTVGLQNYGEFFDTWGAGITGPVILKGLKNGSTLDLSSQKWTYQVGLKGEELGPSSGSSGQWNTESTLPKNQPLTWYKTNFDAPSGSNPVAIDFTGMGKGEAWVNGQSIGRYWPTYVSSNAGCTDSCNYRGQYSSSKCHKNCGKPSQTLYHVPRSWLKPNGNTLVLFEERGGDPTQISFATKHIESLCAHVSESHPPPLDLWNSDTEYGRKVGPVLSLKCNDHKQVISTIKFASYGTPLGTCGNFNHGHCSSNKAMSIVQKACIGSSSCNLGVSTDTFGDPCKGVKKSLAVEVTCT >OIW03927 pep chromosome:LupAngTanjil_v1.0:LG10:836027:836194:-1 gene:TanjilG_30203 transcript:OIW03927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARELRQTMMKKPWMEVAPSLLDFTQKPSIIPKLETILEDIDEDFDAEEDGIANL >OIW02998 pep chromosome:LupAngTanjil_v1.0:LG10:15799984:15804493:-1 gene:TanjilG_13635 transcript:OIW02998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVGGSLTSKRRKVTNFYSMDSQSSKAKLADLKERFGREIHVFETSVLSPSSNAASSNGEETDDFYEFTSEDYYRILATKKEDKFLKTRKLREADAKSRRSRITKAVIRVRFPDNHTLEATFHPSETIQSLIDLLTKVIAQPEQPYYIYTTPPKKVVNDLSQDFYTAGFCPGAIVYFSYNVPKGDSTVVDHIGPYLRDEILSLKGLDASNDQGQQSEPEQPALEPAEPTQRPPIEERKPAEKKLVKPKWLKM >OIW03333 pep chromosome:LupAngTanjil_v1.0:LG10:8775024:8786655:1 gene:TanjilG_03442 transcript:OIW03333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASRKLQGEIDRVLKKVQEGVEVFDSIWNKVYDTDNANQKEKFEADLKKEIKKLQRYRDQIKTWIQSSEIKDKKVSASYEQDLVDARKLIEREMERFKICEKETKTKAFSKEGLGQQPKTDPKEKAKSETRDWLNNVVGELESQIDSFEAELEGLYVKKGKNRPPRLTHLETSITRHKAHIKKCEFILRLLDNDELSPEEVNDVKDFLDDYVERNQDDFDDFTDVDELYSSLPLDRVETLEDLVTIPPGIVKVTPGLLSKNTVATSASASASQTSEQADDTASQDSNSDIVPRTPPSKNSGISSPASTPTGNHATPVSVNVYAHNLSSAPAVASVLPGSNSVRNPLENTNAANSSSSINQSTSVKEEINSFPVRRPSPSLSDAALGKDTVRNNLSNQVTASIPLGSGNMVSSNGPLGSVPSASEITKRNMLGANDRIGSSGIVQPLGSPLSNNRYILPQAVRANDGTASADSITVNEAPAVSATGRIFSSPVVPGIQWRPGSPFPNQNEVGQLRARTEIAPDQREKFLQKFQQVQQQGHSTLLNMPSLIGGNQKQFPAQQHNPLLQQFNSQGSSVSSESAMGLVVQSPGLSGISSASLQQPPNSVHSPSSQQPLISGVSKDADVGNSKVEEQQQHQNFPDESATESTVATEIGKNLMNEDDLKSAYAVDSPAGVSASLTEPHQTSRDVDLGPGQPLQSNQPTGNLGVIGRRNGADLGAIGDNFSGSSVNSGGVREQLYNLEMLEAAYHRLPLPKDSERPRTYTPRHPTITPPSYPQVQAPVVNNPAFWERVGLEPFGTDTLFFAFYYQQNTYQQYLAAKELKKQSWRYHRKYNTWFQRHEEPKVATDEYEQGTYVYFDFHIANDDLQHGWCQRIKTEFTFEYNYLEDELFA >OIW03383 pep chromosome:LupAngTanjil_v1.0:LG10:6341578:6342321:-1 gene:TanjilG_31830 transcript:OIW03383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLQPTTATLQSKYTQIPRNLLTQKPILNLSLSTPTFSSSSLKLTTTRRSNGGALGARMSSTAANSYATALADIAKSNNTLDATTSDVEKINEIFSEKQVLEFFINPTIAVEKKIQVIDDIATSSSLQPHTRDFLKILIDSKRIDIVKDIVKEFELVYNTLTNTELAVVSSVVKLESQHLAQIAKQVQKLTGSKNVRIKTTLDPSLVAGFTVRYGNSGSKLIDMSVKKQLEEIAAQLDLGDIKLAV >OIW03085 pep chromosome:LupAngTanjil_v1.0:LG10:13921079:13921789:-1 gene:TanjilG_07237 transcript:OIW03085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPFKALYGFDPPTLINGCSVPSKVEEVNQLVQQRDEVLGELWQNLLKAQDQMKAQLDKHRRMVEFSVGDWVYLKLQPYKLKSLANRPCAKLAARFYGPYQVLSRVGMVAYKLDLPVQAKVHPVFHVSLLKKALKPNQQPQPLPPMLNKEYELEVEPEDIVGWREDNQGQMEVLVKWVQLPTCDNTWKSTAVVQDKFLLFPLEDKMALLEGIDKYQGVRDVIRPLIKHVYVRRNKG >OIW02949 pep chromosome:LupAngTanjil_v1.0:LG10:16294889:16300229:1 gene:TanjilG_13586 transcript:OIW02949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRYGGVRRRRMLLQPFLLLCALVTGLGLLMLALRPLDPPITVDFPRDFEYDDFNSPAIHGVHAVGNVVEKPPCATVEQMGKDFVTDDVMETLRVRKIIEDHFVLNGASRVRDFPPEQFCSHGFVLGKTAEAGFGNEMYKVLTAAALSVMLNRGKYPFGDYISYSNFTFTMKELKHLWRQNGCESKYGRKLVMRTDDFEKPSETNVLCSNWKEWKQPIIWFQGTTDAVGAQFFLKNIHSPMRIAAFDLFGDPKVLGSRPNVFGEIMRVLIAPSKEVEAAVNWVIGNGENPDISLHMRMLMNRSVRAVQAALHCIKKAIESKNLRSRPKVVLVSDTPLVKSIIPNISEFAEVLHFDYENYKGSIFEGLAKSDFRVKDWGPAPRWVAFVDFFLASRARYAAVSGAHRRVGTTYAQLIAALAASRSLGHNSSGSKFSFFSSFQSNLLTDGLKNQIGWGHVWNRYAGPLSCHNQAIQCAFTPILPPAWWDGLWQSPIPRDINRLASYGIQLSGLGTVDDDSLQSYCNASKNVVRTITFNL >OIW03047 pep chromosome:LupAngTanjil_v1.0:LG10:15278281:15283322:1 gene:TanjilG_20975 transcript:OIW03047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDDILRKAVQQLKGKNWKKIAECFKDRTDVQCLHRWQKVLNPELIKGPWSKEEDEVIIELVNKYGPKKWSTIAQHLPGRIGKQCRERWHNHLNPAINKEAWTQEEELALIHAHQIYGNKWAELTKFLPGRTDNAIKNHWHSSVKKKLDSYFASGLLNQFQSVLHVGNSNQSMVLASSSLQCGDDNGSKQTEGEEVPECSESANAGHFPLAIEMSDAGLQTREDYRRNEDCSHGKDHGSCQACCSDNVRQDTHTSQFIDRKFSLEVGNPISEDCQINFHSFTNISSEGLMQESSQLQRDCNAPHEICDYNPFQTSVSLGVSTSTGHTSTDSVRPELISDDTCGRVFFSEAANDACFCSGDNKGVDFVNFSGYTSFLCQSCDIRMPETSGTSTSQQSCPPCFCTFNTSSSQSVPPVLSASGHCLVYTARADQLFGPEDQQIVSGAPDNFVYANDMSSSPRVYDIDKEVMQESPDIVKDTSKLVPVKSFGFASDSMQTCYPTDENPTARIKKEDAGALCYEPPRFPSLDIPFFSCDLVQSGADMQQEFSPFGIRQFMMSSMNCLTPFRLWNSPSRDDSPDALLKSAAKTFTGTPSILKKRRRSLLSPLSNKRISKKLETDMTATLTKTFPSFEGIFNGNETSEAVLLSPTALQNRNSSVSVDDGKENYGPAFKGERVEEKMKSAILDKMKSENDTVGCNSQNEIKKRPLDIDAKMKIVVGAATVAEIVHQPSGVLVEHDINDRLLYSPDQAGFKSDIVLDSSARTPKKLDNNSSEKAPNQSIPLKLSSENPCSNVDSPCVRAKEHDRLSVAVTCVQSVCSSAPGENSGDQTRNDGPFETSNIFGSTPFRKSLESPSAWKSPWLFNTFLSSPRFATEITIEDFGYFISPGDRSYDAIGLMKQVSEQTAATYANAQEILGNETPKALKKDATGNKDGNHENNDPRKQAGNNSQLAINSLMERRVLDFSECGTPGKGDNSKSSSALSFSGPTSYLLKGCR >OIW03340 pep chromosome:LupAngTanjil_v1.0:LG10:8242845:8244819:1 gene:TanjilG_15270 transcript:OIW03340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAGRTTSAVAAIFTSLLFGYFICNYYSKKKFVNKKKNNNGLVDAIGNTPLIRINSLSDATGCQILGKCEFLNPGGSVKDRVALQIIQEALESGQLRRGGIITEGSAGSTAISIATVAPAFGCKCHVVIPDDAAIEKSQIIEALGATVERVRPVSITHKDHFVNIARRRASEANEFALKNRSQLNGKDTKQANGHESDGYRHSSLFSTDCQGGFFADQFENLANFRAHYEGTGPEIWEQTSGKLDAFVAAAGTGGTVAGVSRFLQEKNPNVKCFLVDPPGSGLFNKVTRGVMYTKEEAEGHRLKNPFDTITEGIGINRVTKNFAEGKLDGAFRGTDMEAVEMARFLLKNDGLFLGSSSAMNCVGAVRVAQSLGPGHTIVTILCDSGMRHLSKFYNSEYLSQLGLTPKATALEFLGIK >OIW03314 pep chromosome:LupAngTanjil_v1.0:LG10:9224796:9226613:-1 gene:TanjilG_16463 transcript:OIW03314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNYCTWSGITCDGLPQRVVQLELGSRKLKGKVCESIAGLDQLRVLNLSQNFFNGFLPDKLFHLQNLEVIDLSNNYFEGTINTEICTIWNQVRVFKMSGNFFSGEIPENLGNCSSLQHVSINGNGLSGSIPDSIFKLQNLSVLNLQDNKLYGSLNKRVGNLSNLVELDISNNEFFGTLPDIFGSLSRLKVFSGDSNGFTGQLPATLVNSPSIEILILNNNSLSGSINLNCSAMKNLTSLGLSSNQFHGPIPGNLSNCLGLESINLARTRLNCSIPESFKNLQSLSHLSLSNASLYNLSATLEVLTHCRNLSTLVLTLNFENEEMPQGQNLEFSNLETFVLANSQIKGSIPKWLSRCKNLQMLDLSWNHLSGSIPSWFGKFDNLYYLDLSNNSFTGNIPQSLTMLLSLQNMNFSSEGTVPGFPFYKKGGNVLKGMKYKRVSSFRPSLVISNNKLEGPIWPGFGNLKGLHVLDLKENSISGPIPQQLSGMTMLETLDFSHNKLAGEIPQSLVKLSFLSIFDVSYNQLQGKIPTGGQFDTFPPTSFEENKGLYLYEDGGSIPSQPEQNPARPDHEKLKIFNWPFGFGAIAGFLITIAICFTSRWAFS >OIW03152 pep chromosome:LupAngTanjil_v1.0:LG10:13340245:13340535:1 gene:TanjilG_11789 transcript:OIW03152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLTILIAFVAALVLVVHTSAFRDEQSCKKQLQHSEKHQEDCFPRIKNVIGRSGSSGKKSEKLGQCCEILSDLSEGCQCRALQPVMEKYCYSEAK >OIW04023 pep chromosome:LupAngTanjil_v1.0:LG10:218699:220440:-1 gene:TanjilG_30299 transcript:OIW04023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALIKTLLCLRRFYGTKVDLRKLRPMILDRIEKRAHAYPVRSMVPVAKEVLLARNAHINGVSTLLNYIPIMACKFCPEICIGEQGHLIQTCWGYRRRAKNRVHEWVKGGLNDILVPVETYHLHNMFQHVITHNQRFDCDRISAVVELCWQAGADPHDENLNSSSTNLEAADNSVHGTESLSPKDLAFIANKTFNAWEALRSGVQKLLLVYPVKVCKYCSEVHVGPSGHKAKLCGMFKHESWKGAHFWMKANVDDLVPPKIVWRRRPQDPPVLVNEMRDFYGRVPAVLDLCTKAGAIVPVKYNCMMKVQGLSTPVNNKIWQEH >OIW03413 pep chromosome:LupAngTanjil_v1.0:LG10:5921599:5924421:1 gene:TanjilG_12010 transcript:OIW03413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFNDISNTGLQFGLGLSLGLHDHHNQRDQIQKKREDDPSKFNKAYYLSLTLGPSSDDHGNETNNQPGSKIEASSRSTVSSSLISNSSSITRVRDHCLGQEFEVEVEKVPSKVRNFDGEDITPKKKLRLTKEQSTVLEKNFEEHSTLNPKQKQEIARKLNLRARQVEVWFQNRRARIKLKQTESDFESLSKYCKTLREENKRLQKELEELKSMLTSPGPFHAQIPATTLTKCPSCERICGGESNGSSPPTTLLIGSKAHNHFYTDKYPFSHSSSASC >OIW03941 pep chromosome:LupAngTanjil_v1.0:LG10:745871:748613:-1 gene:TanjilG_30217 transcript:OIW03941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNPKARTGSLEIPKKFLRTAAFGTAPVTPLNQANKTSKDRTPKITECRSYRSQVPERKRPSRISELESQISQLKVDLKNLRDQLCLSESCKKQHQQDAEDYKEQLLALSVKLEDSQHQLLKLTVTEEACVTETKKIAEENDGSWQWQTVNSAINEIQQLKVHLEFVANFEKAQAQLAESLDLELLELRQNLSEHLSLLENMKNQLMDSSESSQSNNSVNDTLKQLEASKRTLEILRDDAAKSVHGYNSTALKLDQSRARVNSLEAMVRKLFASITSNKCRHCANLAADDFNFEKECERMEKGEDPNEIEAEIHSLKSAIEVAKTKHQEQQILSTVNISNAYEFIDLIKSESSQRDSELEDNLKSKKGDIEELKANLMDKETELQHIMEENKKLNLKLEKNMLLSSQREYELRMELKRLYECVAELKGDLMDKEKTLQNISEDNEMLKLEINNKLREEVAAENEARIKLGIMVEEANRNNQKAMMGIEKLEVAEAAKSELEAELRRVKIQCDQWRKAAEAAAAIVSDGNNGKITERSLSLDNNYNLPLIDKYSTYNEEVEDDIQRRKNGNMLKKFAVLWKKPQK >OIW03959 pep chromosome:LupAngTanjil_v1.0:LG10:606002:606499:-1 gene:TanjilG_30235 transcript:OIW03959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRVREIEEGDIEMNNCLMLITKVGEISNINQKKDEKELLTKDGDFKCKTCNRRFSSFQALGGHRASHKKQKLMVNNLSCNHNHESIKSTMKPKMHPCPICGVKFGIGQALGGHMRKHRGAINHGLVIHKHDNLWFKKSKEMSLNLCLDLNLGPPENDIKLRTHV >OIW03582 pep chromosome:LupAngTanjil_v1.0:LG10:3691756:3697774:1 gene:TanjilG_31002 transcript:OIW03582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNTNSVLKRSDSIADSMPDALRQSRFHMKKCFARFIASGKRLMKHEHIMDDVEKSVQDKVERNKLLEGLFGYILSCTQEAAVVPPYVAFAVRPNPGFWEFVRVNGDDLQVEGIEASEYLKYKEMVIDENWASDENALEIDFGAIDFTTPNMALSSSIGNGLNFTSKILTSRLSESSSSTNPLIDYLLSLNHQGENLMIKDTLNTIPKLQKALQVAETYVSAFHKDTPYQNFEDRFREWGFDKGWGNTAERVKETMRLLSEVLEAGDPVKLESLFSRLPNMFNIVIFSIHGYFGQADVLGLPDTGGQVVYILDQVRALEEELLHKIELQGLNVKPQILVATRLIPDAKGTKCNQQLEPIINTKHSHILRIPFWTESGVLRQWDASTKILELMEGKPDLIIGNYTDGNLVSSLMASKLGVTQATIAHALEKTKYEDSDAKWKEFDEKYHFSSQFTADMISMNSADFIITSTFQEIAGSMDRPGQYESHTAFTMPGLCRVVSGINVLDPKFNIAAPGADQSVYFPITEQKRRLASFHPAIEELLYSKDDNDEHIGFLEDKKKPIIFSMARLDKVKNLSSLVEWYGKNKRLRSLVNLVIVGGFFNPSKSKDREETEEIKKMHSLMEEHKLKGQFRWIAAQTDRYRNGELYRYIADTKGAFVQPAKYEAFGLTVIEAMNCGLPTFATNQGGPAEIIVDGVSGFHINPYNGDESSNKIAEFFEKCKTDSEYWNTMSKAGLQRINECYTWKIYANKVLNMGSIYGFWRSLNKEQKLAKERYIQIFYNLQFRNLARKVPIPIEAALEPTSMSMAPTKTTVPNAKPAPQVLRPIAAPQTHLTAPPKIESQKTPRAEDSSKGLVVPKESSIGGYGLNWWVSIIACVFIMHYFFKNLERLFTWE >OIW03048 pep chromosome:LupAngTanjil_v1.0:LG10:15265557:15273507:1 gene:TanjilG_20976 transcript:OIW03048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIMIDKGKPEEANVIFENLIEGGHQPSLVTYTTVLNAFTIQKCFKPIQSIVSQMKEKEIQPDSVFFNALINAFAESGNMEDAMKAFQNMKESGLRPSTSTYNTLIKGYGIAGKPDESMKLLELMLTDGNVKPNLKTYNLLIRAWCKLQKMFKAWNILYKMSASGMKPDAVTFNTIATAYAQNGETIQAEALILEMQRNGLEPNERTCTIIMCGYCREGKMKEAMRFVHRMKDLGLQPNLVDFNSLVNGFVDVMDIDGIDEVLKLMGEFQIQPDLISYSTIMNAWSQAGYLEKCREVFNDMLKSGVEPDAHVYGILAKGYVRTQETEKAEELLTAMVKPGVRPTVVTFTTVISGWCSAGRMEDAMRVFDKMCESGISPNLNTFETLIWGYAEARQPSKAEGILKIMEDFRVVPKKSTMLLVAEAWCLAGLAEEANRVLSSVKTKQMSNSIEEEENIQSEEGLKNIKEKSYTSAPLDLVKDFPLCAKNSFNVSMDMVFGWQIVFGTIIGFCGAAFGSVGGVGGGGIFVPTLSLVIGFDPKSATALSKCMIMGAALSTVYYNLKLRHPTLNMPIIDYDLALLIQPMLMLGISIGVIFNLVFPDWMVTILLIVLFFGTSTKAFFKGVETWQKETIMKKEAARRLESNDSSGEVEYRPIPSGPNNGIANEKEKHEVTVLENVYWKEFGLLVFVWVAFLALQVSKIPISVGVSTYEAVSLYNGRRMISSIENGGGNYSVLQLVIYCLLGVLAGIVGGLLGLGGGFIMGPLFLELGVPPQVSSATATFAMTFSSSMSVVEYYLLKRFPVPYAIYLTLVATIAALVGQHIVRKLIILFGRASLIIFILAFTIFISAITLGGVGIVAMVKKIENNEYMGFDNLCKYG >OIW03250 pep chromosome:LupAngTanjil_v1.0:LG10:11004607:11007473:-1 gene:TanjilG_21779 transcript:OIW03250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSQGMEIQEPNNGGGSLARHESSLYNLTLDEVQNKLGNLGKPLGSMNLDELLKSVWTAETSPVQVQVHPSGLGSSNSQHGPTRVSCLNPQGSLTMSRDLSKKTVDEVWRDMQHRKSSTSQDKKTQEGQSTLGEMTLEDFLVKAGVATEDNAIDTFGVEVDSNVASQQSASQHAHWNQYQLPSAKPWQAPLQKSQNQENTMMTCFIAGPVVQQSFHAAVNPVMDAGYTETMVTMSPTSLMGTLSDPQTQGRKRVASGIVVEKTVERRQKRMIKNRESAARSRARKQAYTQELEIKVSLLEEENERLRRRHEIERALPSLPSPDPKHQLRRTSSAPL >OIW03052 pep chromosome:LupAngTanjil_v1.0:LG10:15182821:15186309:1 gene:TanjilG_20980 transcript:OIW03052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHIEKWVTSCEGVELDNKTKQRQKGGLRTLPFIFANEVCDKFANAGFHCNLISYLTQELNMPLVTASNTLTIYDGTASFTPLIGAHIAESFAGRFWTITIASLIYNLGLFSITLSAILPHLRPPPCPTQEDCQEATPSQLYILYISLFLISLGTGGIRPCVMPFSADQIDMTKNGVAGRKWNIFNWYYLAMGLASVSALTIVVYIQDNMGWGWGLGIPTIAMFVSIAVFVWGSPFYKHVKPGGSPLLRLVQVIMVAVKNRKEVLPDDPKLLYQNKELDAALSLEGRLLHSNQYKWLDKAAIVTEDNDPNEPPNLWKLATVHRVEELKAIIRMLPIWGSVILLKAASSHIHNFVIQQARTMDRHLNHSFQISPASMSIFSVLSMMIGVILYERVFVQLARRFTGNPSGITSLQRMGVGYTINIVAAIISALVEIKRKKVAFEYHLLDDPKAIIPISVFWLVPQFCLEGVADVFESVGLLEFLFHQSPESMRSTAAALYCITKAIGSYLGTLMVSLVHKCTDKEGNWLPNRNINRGRLEYYYFLVSGIQVINLVYFLICAWFYTYKPLEEIGERKKEEDLEQAKEKIFSTNSKEG >OIW03698 pep chromosome:LupAngTanjil_v1.0:LG10:2501120:2502937:-1 gene:TanjilG_29733 transcript:OIW03698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALKELLPPAKSSSTTYYDHSNDPWFKQRFTSSEEEITAAAIKQKIVPPYLKRAGFVPRKVEDFGDGGAFPEIHVAQYPLDMGREKSLKPGSKILPVTVDAHGNVAYDAIVRQNENAKKIVYTQQKDLIPKILKNDEDDEMVDDEDVQEEIEQTMQETKAALEKIVNVRLSAAQPKNVPKQSNDTKYIKYKPSQQSAAFNSGAKERVISMVEMPVDPLEPPKFKHKRVPKASGSPPVPVMHSPPRPVTVKDQQDWKIPPCISNWKNPKGYTIPLDKRLAADGRGLQEVQINDNFAKLSEALYVAEQKAREAVAMRSKVQKEMLLKEKERKEQELRALAQKARSERTGVAPPTASVPIPSERNSMDDADMRDDYERPRERERERNLPKESREEREERLQREKIREERRKERERERRLEAKDAAMGKKSKITRDRDRDISEKVALGLASAKTGTEVMYDERLFNQDKGMSSGFATDDQYNVYDKGLFTAQPTLSTLYRPKKDLDNEAYGGADEQLEKIINTERFKPDKGFAGTSERAGPRDRPVEFENEEADPFGLDQFLTEVKKGKKAMEKVGGGGTMRASAGSSMRDSHDGGSGRTRIGFERGH >OIW03148 pep chromosome:LupAngTanjil_v1.0:LG10:13391707:13399094:-1 gene:TanjilG_11785 transcript:OIW03148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSSSKIKSVDFYRKIPRDLTEASLSGAGLSIVAALSMMFLFGMELNTYMSVNTSTSVIVDKSSDGEFLRIDFNISFPALSCEFASVDVSDVLGTNRLNLTKTVRKFSIDSNLRPNGAEFHSGSAANIVKHDNEVDEESIEGGALVLTAHNFDKYAHQFPLTVVNFYAPWCYWSQRLRPSWDKAAKTIKERYDPEIDGRIIMGKVDCTEDGDLCRRHHIQGYPSIRIFRKGSDVRSDHGHHEHESYYGDRDTDTLVKTMENLVASLPSESQKLALEDKSNVTANTKRPAPSAGGCRIEGHVRVKKVPGNLIISARSDAHSFDVSQMNMSHVIHHLSFGRKVSPRVMSDAKRLIPYIGSSHDRLNGRSFINTRDVGANVTLEHYLQIVKTEVITGNDYQLVEEYEYTAHSNVVQSLHIPVAKFRLELSPMQVLITENQKSFSHFITNVCAIIGGVFTVAGILDSILHNTVRLIKKVELGKNF >OIW03847 pep chromosome:LupAngTanjil_v1.0:LG10:1366906:1370168:-1 gene:TanjilG_30123 transcript:OIW03847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYAHSHLGSGSRTARRTFEFGKTHVVRPKGKHQATLVWLHGLGDNGLGSSQLLESLPLTNIKWICPTAPTRPVALLGGFPCTAWFDVGELSEDGPDDWEGLDASASHIANLLSTEPADVKVGIGGFSMGAAIAQYSATCFAMGRYGNGIPYPVNLRAIIGLSGWLPGSRSLRNKIEVSHEARRRAASLPILLCHGISDDVVLCKYGEKSAQSLSSAGFRYVAYKSYDGLGHYTVPREMDEVCTWLSSRLGLEGSS >OIW03029 pep chromosome:LupAngTanjil_v1.0:LG10:15463543:15464693:-1 gene:TanjilG_20957 transcript:OIW03029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLPASELLKDPFLANENTKGSNHDIRHLPNPLPKLVNPPISEPHPMEIDSNLRHTSSGSSVRRVEDTSSTFDLVRMTENNEFRLRGDKDGESAISLMLRIADTDGRARNIHFPFFIDSDTAISIAAEMVEHLELASEDVAVIAELIHNMIVKLVPNWQPSSQDLQFRSDHLCRSPDVQNGDIGSCHWPPLSSDFDTKAVDGDFVISKHIDREDQEKQESLMSDISAEYGVQVASDSKGLELDFSVLDECWKGSDVFSCNSDFMFCGQDDGHKNKANQSESHSPSSLMNSCSSASEIFDMSRICSLTLSDKDLSSDDLQLELDAIDMQYQQCFRQIEKMREEAIENAKRKWIARKIMSKGNV >OIW03823 pep chromosome:LupAngTanjil_v1.0:LG10:1533710:1534636:-1 gene:TanjilG_30099 transcript:OIW03823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKKIPKFLRGYLSRIKAHHPPQIQLSLNSFSSSKSWILSGRKNLKTSSFHFEDTNETHGCSNNNNKINHKDDTAATLADVDRFLFENFKSLYLKDDDDDGDGGEGNEEENGNKYKNTKRVLLEKQNHDEEEPKLGPILYDSPRLVEIPHDLCGSTRFFVKPGFSRSLVDDAMTKMFDPEESGSNKSAKTQYDKNTVVLPDNCITLLKYSLSPYEEFRRSMKEIVEARGKSHEGDEIDWEFMEELLFCYLELNEKKSHKFILSAFVDLITVMRENSETTMVKVKPQNVQTLMIDRKVSNKKKIGDEIW >OIW03247 pep chromosome:LupAngTanjil_v1.0:LG10:10969529:10971002:-1 gene:TanjilG_21776 transcript:OIW03247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRQSSAISPSSSRRENSPAEKKIHAKTIGCMSGILHLLSNSHSRRQRRFLTFGKKQTKNHSPSPSSGTLQGENVKEEKIADVGVERNSRSSCEVLRSPTLPAEIRRLSSSAPIMADEKRTSSPALVARLMGLEKVPATPPESVEGKRLRLLGALQRCDEDLKALKKIIESMRSEDYAPAPEAVVKLNAVVDKGRMVPVFNGEQQQPSPVSVLDEFTRSPLSPSCCSGRHSFGLPLKVYPCPILVASCKSNNDD >OIW03035 pep chromosome:LupAngTanjil_v1.0:LG10:15390873:15409453:-1 gene:TanjilG_20963 transcript:OIW03035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPPTPLIRFWRTSFLTLRDESLTTPLPTSSSISHIFHNHIFSQSYSLLSAAPQLPSHEIHDICRRVTFNLNSSSFTNVLDCFRKMLDLFLGKVTTSDELTGSRSTATMIPAVECLQAIRCVINSCHRRWLQSEDALLVKFLLDVIVSSHAVSCGMPRSICKEKSIEINTGLSTESSSSELQTIAFEMLGETISRAGSSFPVDIWRSIIEVVRKTMDVLALKSPVAEDNIMCRFYESFLGCLHLILIDPKCSVSDHVSVFVSVLRTFLTYGVSSRSSSTSLLIGHEEKGLNIKSPQARLEQVTRSDRVAYRPPHLRKKESSNVKHNIVWHSQNILDHESSTVNATSSDSDFSDGDGSTKESGRGQNSRIRVAAIICIQARMASASTLVAMLDGPSSIFLQVAEYKESNKFGSFTALSSSLGQILLELHRASNCISDVGILYLIQHEAHGKLLALLFKILRLVISSTPYSRMPPNLLPNVVTSLRTRIEDGFRLRNDHSTLLVTVMEIEKQFQFSDFMFAIVGFCAVTEKKTGALFMLFEYSMQWSCPTICLEALQALKAVCHNYPNIVNACWEQVSATVHGFLSIVFREVPSGQAGEHVGSPTAFMSEKVLTSAIKVLDECLRAVSGFQGTEDLSDDKLVEIPFASDCIRVKKVSSAPSYDLEGKDDDLISFEACNSGNQQWCEVIEKHMPLILCHSSAMVRAASVTCFAGMTSAVFISFTKEKQNFILSSLIHPSITKNLNNLCSAEVLDRFIHAVEINSRDALISVRITASWALANICDAICHYVSILPLGHMGSSPNPKLLASLTDCALHLTKDGDKVKSNAVRALGYIARIFECSKSRFEDISVNCQGGGTEVHPSAENLMLKLMDIGSLWQRLKAVMISSFYAFAVMNIVDMMVQAVRAPVVFGILLQLLRDSSNFKIRIQAAAALAVPASVHDYGASFSGIVRCVEDILENIGQDQISGPSNFKYMVSLQKQLTLTMLHVLRFTSSTNDDQLKDFLVKKALVLEDWLKGLCSSIEGKLDVPVVDQKKVMLSSAIQSLIEVYKGKHQDVIAQKFEELKNTI >OIW03103 pep chromosome:LupAngTanjil_v1.0:LG10:14113621:14114222:1 gene:TanjilG_07255 transcript:OIW03103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESPTFFFSALFSFLLLSFILFIFHPTPLSEFITLATSNNADYSVHLHPIRTKFSTHNPQLLAVNEDSTAIHRNKSRNRIPMREVGKVEEELAGARASIRSNRSLGTTAHGGGDEGYVPAGAVYRNPRLFYR >OIW03850 pep chromosome:LupAngTanjil_v1.0:LG10:1352236:1352628:-1 gene:TanjilG_30126 transcript:OIW03850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRPGDWNCKSCQHLNFQRRDSCQRCGDSKYGERDDFGMFGGRGGSSFGFSTITGSDVRPGDWYCAAGNCGAHNFASRSSCFKCGAFKDEFSGGCNNNSSAFNLQVGGFGGGGGGGGRPGWKSGDWICNR >OIW03926 pep chromosome:LupAngTanjil_v1.0:LG10:837669:838169:-1 gene:TanjilG_30202 transcript:OIW03926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPKFDPSQVVDVYVRVTGGEVGAASSLAPKIGPLGLSPKKIGEDIAKETAKDWKGLRVTVKLTVQNRQAKVSVVPSAAALVIKALKEPERDRKKTKNIKHNGNISLDDVIEIARVMRPRSMAKELSGTVKEILGTCVSVGCTVDGKDPKDLQTEIDDGDVEVPQD >OIW03368 pep chromosome:LupAngTanjil_v1.0:LG10:6920180:6920761:1 gene:TanjilG_29353 transcript:OIW03368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKKLQFIEPVVAGDKKIGKIIIEDVHSELALCWGLTPPFLVMQGFVKKIWARLGVEKVRMMKHRMYLVKFSNEIWFEMKRVLSLSSKSFVYGNPFNVQLNMDMETQLKIAKKVLNKARKEGTKRIISHCTRRKNVKTVDDEQGKEQQLKSTTERKRDQVSPGEVQISCKQRQQASNLIYMLRTRHNKVMLLQ >OIW03947 pep chromosome:LupAngTanjil_v1.0:LG10:682236:685050:1 gene:TanjilG_30223 transcript:OIW03947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTTTNTSSSASKVEEKEDPIIKDKLQRKEDEDGDDDDEEKTHAEEENKSPVVVHLTPQQMSQRKETELRHIVFGIAASSNLWNTRKEYIKIWWRPKETRGVVWLDQKVPTQSNEQLPEIRISSDTSKFNYTNRQGQRSALRISRVVSETLKLGMEDVRWFVMGDDDTVFMVDNVVNVLNKYDHRHFYYVGSSSESHVQNIHFSYAMAYGGGGFAISYPLAKELAKMQDRCIQRYPALYGSDDRMQACMAELGVPLTKEPGFHQYDVYGDLLGLLGAHPVTPLVSLHHLDVVEPIFPGMTRVKSLGHLMESVNQDSASIMQQSICYDKNRYWSISISWGYVVQILRGVMSPRELEMPSRTFLNWYKRADYTAYAFNTRPVYKHPCEKPFVYYMSRTHYDSTKKQTIGVYLRHKYSKSPFCRWRMESPDKITSIVVMKSPEPLRWKRSPRRDCCRIMPSHKSSSTMYLMVGNCGDGEVTEL >OIW03626 pep chromosome:LupAngTanjil_v1.0:LG10:3159440:3160371:-1 gene:TanjilG_22283 transcript:OIW03626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCYANNSNDTSPTSCPSHDCGNGVPIRYPFWRRSITTTTTTTACGYPDFGLECSNDGQPIFKLPTDTYYVKDINYETNSITLVDMDIIVVTVLKNDHEIQSGGLITGFGAAMNNGFVLDWMKAGECAECEGSGGFCGYNQTNKHSMCICKDDSIVVKSCKKGMCTKISAS >OIW03504 pep chromosome:LupAngTanjil_v1.0:LG10:4644202:4648639:1 gene:TanjilG_31017 transcript:OIW03504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEPLDRFERPCLEGLSGNEEKRERKSDFENSEDDRKTRIGTLKKRAINASSKFRHSLKKSSRRKSASNSVSIEDIRDVKELQAVDAFRQALMLDNLLSARHDDYHMLLRFLKARKLDIEKAKQMWANMIQWRKEYGTDTIMEDFEFSELDEVLEYYPHGYHGVDKEGKPIYIERLGKVDPNKLMQVTTMDRYLRYHVQGFEKTFAIKFPACSIAAKRHIDSSTTVLDVQGVGFKNFTKSARELIMRLQKIDGDYYPETLCRMFIINAGPGFKLLWNTVRTFLDPKTTSKINVLGNKFQSKLLEIIDVSELPEFLGGTCTCIDQGGCLRSDKGPWQDKNILKMVLSGEAKCSRQIVTVSNEEGTVVECDKTSCSMIKSSDTSTAESGSEVEDITSPKANGNYIIPRLTPVHEETRLVHKASQAGGFSDYVPMVDKPVDLAWKENQLASLKSSGSTEKILLTNGRSAGNRCANICAIIVGYFFAIFTFVISVASPATKRIRDSEPDAAQNVPSISADSTYKDSFPPSGATKVELASSDLKRIGELEEKIDMLHSKPNVMPSEKEELLNAAVYRVDALEAELIGTKKALYEALIRQEELLAYVDRWEINNSKVSRKYWRHV >OIW03416 pep chromosome:LupAngTanjil_v1.0:LG10:5733775:5734440:-1 gene:TanjilG_12013 transcript:OIW03416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDHNEPDKVKMQIGIVKEKLRDALPVPVQEFPWRKAEHLLLQRLLSLAQEALKWSLILFFIFSSLSDIVYTFSINRELLIPIGLFVGCLMSDFLKEISQELFHRSEEKHSKWHLLGMYGFFVVLKFISTWFTIQPQVFLMHVANGGLMQVLWYWRNFIEDAENKQEMSTPSSLEAS >OIW03888 pep chromosome:LupAngTanjil_v1.0:LG10:1113537:1114462:-1 gene:TanjilG_30164 transcript:OIW03888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVIAAYLLAILGGNEAPSAHHLTSILASVGAEADNEKIELLLNEVKGKSVVELIASGREKLASVPSGGGAVAVAAAPAASGGAAPAAEAKEEKKVEEKEESDDDMGFSLFD >OIW03614 pep chromosome:LupAngTanjil_v1.0:LG10:3392997:3395448:-1 gene:TanjilG_06123 transcript:OIW03614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDHSRRDQFNVVPQVVVSSRWNPTPEQLRALEELYSRGTRTPSAEQIQHITAQLRKFGKIEGKNVFYWFQNHKARERQKRRRQIASSVADHGDHHHHDSDTPQKKELAASRTVFEVEQTKNHAPSTNCSSTLAEEPVSIQRAENAVCKTYGWLQFDEGELRQRRNFMEKNATRHVKQFSCPTPAPATYIINTTPPYNNNNSNNSPSTTVTRTMDPTKLMKTHHDLSFFISPQRESGVIHLSSFNNTSIEGDDNCVESNQTLLLFPLRSGDGSSDNINDKDTEISASALNANLTPSKFFEFLPLKN >OIW03482 pep chromosome:LupAngTanjil_v1.0:LG10:4949346:4950549:-1 gene:TanjilG_14707 transcript:OIW03482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKENVSTVTILTKRDRCKGHYKLLWVLSAIIILAVWSMLTGSLSLKWCAENLTRFSHDFVSSTTFDDLDILEMDEREKVVRHMWDVYTHSRSTKLPHFWSEAFHAAYEHLVSDVPGVRDAAFSEIAKMSLQPLYFPHDTFLLKSHSMLVDE >OIW03629 pep chromosome:LupAngTanjil_v1.0:LG10:3125131:3144497:1 gene:TanjilG_22286 transcript:OIW03629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCYANNSNDTSCPSHDCGNGVPIRYPFWRRSITTTTTTTACGYPDFGLECSNDGQPIFKLPTSTYYVKDINYETNSITLVDMDIVNQSCPRAKNNISLETFPLVYSPLDLNISFYFNCSSSYTSSADPISCMKNSERKQSFVYFSGNETDDYDWHAYCQEKIVVTVLKNDHEIQSGGLITGFGAAMNNGFVLDWMKAGECAECAGSGGFCGYDQTNNQFMCICKYDSILAKSCKKGYQWNWKRKVTIGVIAGLSGFIVCIIIICCSRSKSSTWKINFWMTTKGDQDVEAFLKKHGDLNLKRYKFSAVKKMTNTFKEKLGQGGFGAVYKGKLLTGCPVAVKILNASKKNGADFINEVASISRTSHVNVVALLGFSFEGQKKALIYEFMANGSLEKFVYKNVGETTPSLSWEILNDIAKGIARGLEYLHRGCNTRILHFDIKPHNILLDENFCPKISDFGLAKLCPRNESIISMSDARGTIGYVAPEVWNRHFGGVSHKSDVYSYGMMLLEMVGGRKNINAEASHSSEIYFPHWIYKKLEVNGDLGLNGAVATTEDEIAKRLTLVGLWCIQTFPNDRPTISKVIEMLEGNMNSLEVPPKPVLSSPTRSVPESSTT >OIW03388 pep chromosome:LupAngTanjil_v1.0:LG10:6528178:6530301:1 gene:TanjilG_31835 transcript:OIW03388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGPVEKQRYTESKVYTRKAFNGPKKKANALNAVVPTTTVTTTNNQEDNNTAPVTAITTTVNLVENRNAYEVENNVNNVSARRMVLEDGNSAQLQVNSRLDVVSNELPVKPGLDNGVKISLGLGSKQEKRELRRKLERELDLVRRWVNRFEDGNSTVLVASTGAKGALSEVASTVALREPTRSVGVPREPNRAFNKLSVSVLENNHGVSANVEKEKRTPKANQFYLNSEFLLAKDRFPPAESNKKSKLSGKKRGGGEIGHCFGTDSKYFKSCSSLLEKLMKHKHGWVFNTPVDVEGLGLHDYFTIIAHPMDLGTVNSRLNNNWYKSPKEFAEDVRLTFRNAMTYNPIGQDVHVMAELLLKLFEDKWAIVESNYDREMTCGRDYGAPIPTSSPLSRKAHSTFQPPPFDMRRILGRSESMTHPPKITSVTPSARTPAPKKPKARDPHKRDMTYEEKQKLSANLQNLPSEKLDAVVQIIKRRNSALSHNDDEIEVDIDSVDAETLWELDRFVTNYKKSLSKQKRKAELDLQLITQSDQNSPHKSQTPAVVELMKETQADERNVPPSLPSQVEIQVNNGSKSSSSSSSSSGSGPSSSDSDSDSSSAPGSDAG >OIW03956 pep chromosome:LupAngTanjil_v1.0:LG10:613517:616737:-1 gene:TanjilG_30232 transcript:OIW03956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTTASFLHPLISHVITSSSLHCSYATPNSARFGLWNNRVLYLSACCAIGFDEQVFDDNNNLNKNENGSLLGALNMNSSEAPFEALETNDFFVSDIEGDPDCPSKGYSSIEHALNALRQGKFVIVVDDENGDIEGNLIMAASLSSSKDIAFMIKHGSGIVSVGMKEEHLQRLDLPLMSPHYEDSSAPTFTITVDAKFGTSTGVSAADRAKTVVALASPESKSEDFRKPGHVFPLKYKNGGVLRRSGHTEASVDLVALAGLPPFSVLSALVDENDGSMASLPNLRKFTLNYNIPIVSITDLIRYRRKREKLVERICVSGLPTKWGVFQAYCYSSKLDGTEHLALVKGKIGDGEDVLVRVHSECLTGDIFGSGRCDCGSQLDLAMQLIEEAGRGVLVYLRGHEGRGIGLGHKLQAYNLQDQGHDTVQANIELGLAVDARVRTMRLMTNNPAKFIGLKGYGLGVLARVPVLTPITEENKRYLETKRTKMGHIYGSDIQGSLPGFNDSTTNNQNISPEKK >OIW03043 pep chromosome:LupAngTanjil_v1.0:LG10:15312376:15315052:1 gene:TanjilG_20971 transcript:OIW03043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQKHWSLFHLEMKTLLWLIGITFAVFLACQYLELPYRNALFSLLSTWKVPTSGSSAFQAIAENFNNVKILSQPNSAVEHALEVANKTKRSCGIDTLPRASFVSKPGSQQNESLRFECDKSSVVESIKELGNISATQQAGGENFTSRAEDGSRSPYANSPSPTAEKAPTYLTPPLSTITKASSNITNSVLSHQHNKTNSMKEDSFRPPQNDGSTPYKNRKRKKKKVTTVPEMNELLLQSHATYRSEGPRWSSAVDQELLQARSEIENAPIVKKDPNLYAPIYQNVSMFKRSYELMEETLKVYIYKEGDKPILHSPFFTGIYSSEGWFMKLMEANTRFVTHDPKKANLFYLPYSSRNLVDALYVEGSHSHDKLIQFLHDYVNMIAAKHPFWNRTRGADHFLVACHDWAPVETQMHMGKCIRALCNADVKDGFVFGKDVSLPETFVRDDLNPTKGLGGSSASKRKYLAFFAGRMHGYVRPILLQHWKNKDPDMKIFGELPNTKGDRNYIHYMKNSRYCICAKGYEVNSPRVVEAIFYQCIPVIISDNFVPPFLEVLNWESFSVIVLEKDIPNLKSILLSIPEKRYLQLRTRVKRVRQHFLWHHNPVKYDLFHMILHSVWYNRVFSATTVK >OIW03461 pep chromosome:LupAngTanjil_v1.0:LG10:5147309:5148945:1 gene:TanjilG_14686 transcript:OIW03461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQCSRGLLGKGNEENKVVDLCCDHMGSAATTENEGLLGADFVSHNLALHQNGDSQLPPPSMAKYIMKYIFKVVPCAVLSLFIHPKLSKRDYSINSILWAVCIYLESVSVLPQLRLMQNAKIVEPFAAHYVFVLGIERFLSCAIWILQVINSHGRLLTALSY >OIW02963 pep chromosome:LupAngTanjil_v1.0:LG10:16127868:16128077:1 gene:TanjilG_13600 transcript:OIW02963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDWAPVLVGVVLFLLLQPGLLFSFPGKGKQIEFSRMKTNGKAIFIHTLIFFALFSILILALHLHIYTA >OIW03124 pep chromosome:LupAngTanjil_v1.0:LG10:14497377:14499314:-1 gene:TanjilG_07276 transcript:OIW03124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLNMNAVLSPHDAEFRKYDNFGDTMLCLNGIGLGQSNKTSYRCTENNLGMKFSNVPDDGCKLVLGLGPTPMAIDDDHNNFGFNKKSSLSNFFPLHMQSECDSILQLGLSGGTNEASTMMDCSGSIETDVNMSCFSSQEYVENNFPRIPIVDEGSTSAKKSGGYMPSLLLAPRMDKAKISVQTKELILGTETQLSLEPSDATNYSLGTASGPQTTCIISENRISNPKRCRFFGCTKGGRGATGLCIGHGGGQRCQKPGCNKGAESRTAYCKTHGGGKRCQELGCTKSAEGKTDHCIAHGGGRRCGYPGGCTKAARGKSGLCIRHGGGKRCSIEGCTRSAEGQAGLCISHGGGRRCQYQGCSKGAQGSTMFCKAHGGGKRCSFAGCTKGAEGSTPLCKAHGGGKRCLHNGGGICPKSVHGGTNFCVAHGGGKRCAVSGCTKSARGRTDCCVRHGGGKRCKFEGCEKSAQGSTDFCKAHGGGKRCSWGDGKCEKFARGKSGLCAAHSSLVQDRESNKRSLIAPGIFCGLVPTASAACSSFENTNSSSGISVVSDFYDSMEAPLAKRPHLIPKEVLVPLSMKSRSSYPYFLTAKKPTEQDRIVGHGTAEGPSSSVQKGLDFNLPEGRVHGGDLMLYLGRNLKNALDGI >OIW03374 pep chromosome:LupAngTanjil_v1.0:LG10:6221429:6223995:-1 gene:TanjilG_31821 transcript:OIW03374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVNNNLSSHPSSDPFSCSDNGTASSNKRRRRPAGTPDPDAEVVSLSPKTLLESDRYVCEICNQGFQRDQNLQMHRRRHKVPWKLLKRETPVVKKRVFVCPEPSCLHHDPCHALGDLVGIKKHFRRKHSNHKQWVCERCSKGYAVQSDYKAHLKTCGTRGHSCDCGRVFSRVESFIEHQDACKMGSIRQEPQPLQGACFSRTASSPSPSSETNFSTAPWPPPSLVIPKNPLVVIATQNRQTFLNPTTTTTTTIVASNAETSSKNNNNKLIYPNLDLQLSTATPTSINHVVAAPISLSSPKNIDGNGLQLSIGSSEISDKSTESNNSTEGAHAREQAHEELRIAMAEKAYAEEARKQAKAQIELAELEFTNAKRIRQQAQAELNKAYSLKQHAIKQINAAMLQITCHSCKQQFQALSNEGGQEEKNGNGKYLTKTSNS >OIW03026 pep chromosome:LupAngTanjil_v1.0:LG10:15528767:15531845:-1 gene:TanjilG_13663 transcript:OIW03026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETLDFSSSLFEGGFGSDEDANSESVEEKEELQCPPGLRQYETMAVLRPDLTEDHRLQLTQKYEELLVAGGAMYVEVFNRGVIPLAYSIKKKNKAGETNNYLDGIYLLFTYFTKPDSINILEETLRADDDVIRSMTSKIRKRKY >OIW02995 pep chromosome:LupAngTanjil_v1.0:LG10:15833662:15841276:-1 gene:TanjilG_13632 transcript:OIW02995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDILFAQIQADLRSNDALRQSGAILQALQQSAAGRDISVIAKSAVEEIVAAPASAVCKKLAFDLIRSTRLTPDLWDTVCSGIRNDFHFPDPDVTAAAVSILAAIPSYRLSKLITDCNKEISDCFDSPSDNLRFSITETLGCVLARDDLVTLCENNVSLLDRVSTWWARIGVNMLDRSDAVAKVAFESVGRLFQEFNSKRMSRLAGDKLVDSENSLAIRSNWVSSMVDFVWKKRSALMARSLILPVENFRATVFPVVYSVKAVASGSVEVIRKLSKSSGGSVSNVQVDSNAEKLVGVSDVVTHLAPFLVSSLEPALIYEVGINMLYLADVPGGKPEWASQSIIAVLTLWDRQEFASARESIVRAVVTNLHLLDLHMQVSLFKRLLSMVRNLRAESDRMYALACICRTALCVDLFAKESVRRGQKPLPGTDIASLFEDARVNDDLNSITSKSLFREELVASLVESCFQLSLPLPEQINTGMESRVIGALAYGTGYGALNWTEPALEVVEVCRPCVKWDCDGRTYAIDCYLKLLVRLCYIYDTRGGVKRVKDGASQDQILNETRLQNLQRDLVKDLHEVNTPRILARLIWAITEHIDIEGLDPLLADDPEDPLNVIISNIHKVLFNVDSTAETTNRVQDVQAVLISAQRLGSRHPRAGQLLMKELEDFRTNALADSVSKHQCRLILQRIKYASSHQESRWAGVTAARGDYPFSHHKLTVQFYEASAAQDRKLEGLVHKAILELWRPDPSELTLLLTQGVNSTSLKVPPTAITLTGSSDPCYVEGYHLADSSDGRITLHLKVLNLTELELNRVDVRVGLSGALYYMDGSSQAVRQLRNLVSQDPVPCSVTVGVSHFERCALWVQVLYYPFYGSGAVGDYEGDYAEEDPQIMRQKRSLRPELGEPVILRCQPYKIPLTELLLPHQISPVEFFRLWPSLPAIVEYTGTYTYEGSGFKATAAQQYGASPFLSGLKSLSSKPFHKVCSHIIRTVAGFQLCYAAKTWHGGFLGLMIFGASEVSRNVDLGDETTTMMCKFVVRASDASITKEIGSDPQGWLDDLTDGGVEYMPEDEVKVAAAERLRISMERIALLKAAQPRPKTPKSDDEEEEDEEDNKKKEKDVDGADKKKGPSTLSKLTAEEAEHQALQAAVLQEWHMVCKDRSIEIK >OIW03287 pep chromosome:LupAngTanjil_v1.0:LG10:10130593:10130952:-1 gene:TanjilG_09934 transcript:OIW03287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGIINKIGDALHVGGHKKEDEHKVESHGDYKGEHHGDYKGEHQGEYKGEHHGEYKGEHHGDYKGEHHGEEHKEGFVDKIKDKIHGDSESGEKKKKKEKKKKHGDGHEHGHDSSSSDSD >OIW03691 pep chromosome:LupAngTanjil_v1.0:LG10:2559255:2565434:-1 gene:TanjilG_30755 transcript:OIW03691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGHHCETSSIYKRTPSRDYSSMIDVEENSDLLDNSLDKETSNPSWKLSLPHVLTATISSFLFGYHLGVVNEPLERISLDLGFNGNTLAEGLVVSICLGGALIGCLLSGWIADGVGRRRAFQLCALPMIIGASMSAATNNLFGMLVGRLFVGTGLGLGPPVASLYVAEVSPAFVRGTYGAFIQIATCLGLMGALLIGIPVHKIPGWWRVCFWLSTIPAAILALAMVFCAESPHWLYKQGRIAEAEAEFERLLGAPEAKYAMSELSKADRGDDNDTVKLSELLRGRHFKVVFIGSSLFALQQLSGINAVFYFSSTVFKSAGVPSDLANVSIGIVNLSGSIISMILMDKLGRKVLLFWSFFGMAIAMVVQATGASLLVSGLGAMYFSVGGILLFVLTFALGAGPVPGLLLPEIFPSRIRAKAMAVCMSVHWVINFFVGLLFLRLLEKLGAQLLYSIFASFCMIAVVFVKRNVVETKGKSLQEIEIALLPQD >OIW03678 pep chromosome:LupAngTanjil_v1.0:LG10:2661820:2664354:-1 gene:TanjilG_30742 transcript:OIW03678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYLKELEAKSVHDCYHHKIKVMDKRVSNSPIWVPGPVIVGAGPSGLASAACLKQKGIQSLILEKSDCLASMWKLKTYDRLRLHLPKQFCQLPLMPFPKNFPSYPTKQQFLAYLKNYADYFDVKPAFSTTVVSAEFDHRCGFWRVKTKGMKNKEEVEYVSQWLIVATGENAEEVVPQIEGMDKFEGTMLHTSFYKSGSMFSGKNVLVVGCGNSGMEVCLDLCNHNARPSLVVRDTVHILPQQMFGKSTFGLSMCLLKCFPIRFVDQFLLIMSHLMLGDTSQFGLNRPKLGPLELKNLNGKTPVLDVGTLAQIRSGNIKVCRGIKRLAKHTVEFVDGKVENFDAIILATGYKSNVPSWLKGSDMFSSKDGLPKKAFPNGWKGENGLYAVGFTKRGLLGASIDAKRIAEDIVHI >OIW03427 pep chromosome:LupAngTanjil_v1.0:LG10:5521659:5525605:1 gene:TanjilG_14652 transcript:OIW03427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTTPSKAFIIRFNLVCLAIFLSVYATLLLRPSSPVYFNNAASLVRCSLRECHNKVEKSIKMKAVLEEPRVKPESPKKHQTKIEMPSFFSKIGKGLKIGMVNMDEYDVSEWSTKGEATPIYFESVSHFFNWTDLFPEWIDEEEENDVASCPEIPMPEFAQYESMDVIVAKLPCMYPKEGWGRNVFRLQVHLIAANMAVKKGKKDWKWKTKVVLWSKCRPMMELFRCNDLVKHEGDWWYYEVDAKRLEQKVSLPVGSCTLALPLWEQGIDKVYDLSKIKRSVTSMSRAKREAYATVLHSSNSYVCGAITLAQSLLQTGTKRDLILLIDTSISTAKRRALATAGWKIRFISRIRNPKAKNGTYNEYNYTKFRLWQLTDYNKIIFIDADIIVLRNLDILFHFPQMSAVGNDQSIFNSGVMVIEPSNCTFQVLMDLRDDVVSYNGGDQGFLNEIFVWWHRLPRRVNFLKNFWANTTLEARVKNGLFGADPPKLYAIHYLGLKPWNCYRDYDCNWDIEDQRVYASDVAHQRWWKLHDGMHERLKSMCRLTTKRRIELNWDRMKARKLGLPDEHWKINITDPRKSESLLMD >OIW03177 pep chromosome:LupAngTanjil_v1.0:LG10:12817755:12820408:-1 gene:TanjilG_11814 transcript:OIW03177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLEDSLRSLSLDYLNLLINGQAFSDVTFSVEGRLVHAHRCILAARSLFFRKFFCGGSDPSASSGLIDQTGIRVNPSGSPRSSNGVLVIPVNSVGYEVFLLLLQFLYSGQVSIVPQKHEARPNCGERGCWHTHCSSAVDLALDTLAAARYFGVEQLALLTQKQLASMVEKASIEDVMKVLLASRKQDMQQLWTTCSHLVAKSGLPPEVLAKHLPIEIVAKIEELRLKSSIARRSMMPHHHHHHHQHDLNAAADLEDQKIRRMRRALDSSDVELVKLMVMGEGLNLDEALALHYAVENCSREVVKALLELGAADVNYPAGPAGKTPLHIAAEMVSPDMVAVLLDHHADPNVRTVDNVTPLDILRTLTSDFLFKGAIPGLTHIEPNKLRLCLELVQSAALVLSREEGNANNNPPSSTTTTLPMYHHPMNDDHNSSSSSGNNHNIANLNLDSRLVYLNLGATVGSGQMSDDHGGRHGDPAMYHHSHHDY >OIW03704 pep chromosome:LupAngTanjil_v1.0:LG10:2433407:2435304:-1 gene:TanjilG_29739 transcript:OIW03704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFIVALALLFGLLLALLLIPRHHKSGQKGNAHSTLNNKDKESKSFSKSEVSLHHKRTDCWIIIKNKVYDVTPYVEEHPGGDAILAHAGDDSTEGFFGPQHATRVFDMIDDFYIGDLEQ >OIW03697 pep chromosome:LupAngTanjil_v1.0:LG10:2505258:2505933:-1 gene:TanjilG_29732 transcript:OIW03697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KRAGFVPRKVEDFGDGGAFPEIHVAQYPFDMGREKSLKPGSKILPVSVDAHGNVAYDAIVRQNENSKKIVYTQQKDLIPKILKNDEDDEMVDDEDVQEEIEQTMQETKAALEKIVNFLTEVKKGKKAMEKVGGGGTMRASAGSSMRDSHDGGSGRTRIGFERGH >OIW03535 pep chromosome:LupAngTanjil_v1.0:LG10:4206793:4207209:-1 gene:TanjilG_30955 transcript:OIW03535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNTEREHPRLGSDSFVIQIKSITSGDNQDETLDQSPQPQTLHTNISSIKFNFSAMKLFHRFRKVLMRLMFTIPSPKSSSSDHSRQRNSHDRFEPPKTSCSSYYSSYSHYNEAISDCIEFFNKSAQDGFSDGRKSDVV >OIW03356 pep chromosome:LupAngTanjil_v1.0:LG10:7255566:7257615:1 gene:TanjilG_29341 transcript:OIW03356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLSPHSHPLCSSLPFHADSEARTFTTLNPCPGWHQHPPRHKKLVLHVSLHDPLSLSSSSSTLVDYIAHQNHHSLVLLSESVGYSLASYYTSLGLFVISVPGLWSLIKRSVKSKIVQKTLIGDGEKKAPNQVAGEILSFFTRNNFTIADRGETITFEGMMVPSRGQAALLTFCTCISLASVALVLTITFPDVGNNWFWITILSPLAGAYYWTRASRKEQIKVKMTVADDGTLTEIVVQGDDQQVEQMRKELKFSEKGMVYVKGVFER >OIW03733 pep chromosome:LupAngTanjil_v1.0:LG10:2222770:2223180:1 gene:TanjilG_30009 transcript:OIW03733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVSALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >OIW03088 pep chromosome:LupAngTanjil_v1.0:LG10:13937041:13939780:-1 gene:TanjilG_07240 transcript:OIW03088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNNMSTIEKKKDELVSIIKMNQAIEWIRMLSTKLNPTFILGIFIIYGLGQGFSGSLFRVVVDYYWKDVQLLQPSSLQFYLSLFFIPWILKPLWGILTDAFPIRGFHRRPYFIISGLIGTISAFVLAFSPHLRVAPALVCFVGVSASLAIADVTIDACIARSSIVVREVASDLQTLCGLCSSVGALVGFLLSGFFVHHLGPQRSLSLLALSPAFTTVLGFVIYEHRTSGHHDEKKQVVERVGVTIRSMYQTIMYPQVWKPSLYMFLSLALNVSTHEGHFYWYTDSKAGPAFSQEFVGVIYAIGAVASIMGVIIYQKALKDYPFRKLLFYAQLLYALSGVVDLFFVLRWNLIIGIPDYFFVVIEETATRITGKLRWMPMMVLSTQLCPLGIEGTFFALLMCIDSIGALLSKMGGGVLLRILHITRTDFGNLWLAVLVRGMLRFATLALIFLVPKAGQHEGLLPSQHLEENLSDDNTDEETLELVPIKGGT >OIW03397 pep chromosome:LupAngTanjil_v1.0:LG10:6677762:6678604:-1 gene:TanjilG_31844 transcript:OIW03397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLIELRVHMDCPGCENKVKSALQKLKGVDDVEIDMSLQKVTVNGYADQKKVLKTVRKTGRRVELWQLPYTNEFQQNQFFQQHQCNGPIKNYASQPSSSYNYYKHGYDSSDPRYYNYPTQSSIFGHQTGATFSDDNPHACSIM >OIW03781 pep chromosome:LupAngTanjil_v1.0:LG10:1835971:1839403:-1 gene:TanjilG_30057 transcript:OIW03781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRHRSHREAMSGRRGYPAEGPYARAPPPMPHPHVPPHPSVLEEEIDLQRAEMRRLVEDNRRLLDDRVVLQRDLAAAKEDLHRMNLAIRDIHADHELHTRELLKKGMKLEGDLRATEPLKNEILQLRAEVQKLNNVKEDLAEKVQTLTEDVARLQADNQQINLLKSQIDGLHQDLMRARTLVDYEKKANIEFMEQRQSMEKNLVSMAREVEKLRAELASNDRRHWGAGGPYGTQFGSSEVGFSAPYAEGYGVHLGAAEKAPLYGAGASSRKAHEKPHMNRR >OIW03604 pep chromosome:LupAngTanjil_v1.0:LG10:3272242:3273846:-1 gene:TanjilG_06113 transcript:OIW03604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASAVPQPRSSKTEFYTDNKRKEDIRHSNIAAAKSVADAVRTSLGPKGMDKMISTSSGDVIITNDGATILNNMQLLQPAAKMLVELSKSQDAAAGDGTTTVVVIAGALLDSCRLLLTRGIHPTVVSDSLHKAAVKAIDILTAMAVPVELGDRESLVKSASTSLNSKVVSQYSTLLAPLAVDAVTSVVDPEKPEQVDLRDIKIVKKLGGTVDDTQLVKGLVFDKKVSHAAGGPTRMENAKIGVIQFQISPPKTDIEQSIVVSDYSQMDRILKEERSYILGMIKKIKGTGCNVLLIQKSILRDAVTDLSLHYLAKAKILVIKDVERDEIEFITKTLNCLPIANIEHFRAEKLGQADLVEEVSLGDGGKIVKISGIKDMGRTSTVLVRGSNQLVIDEAERSLHDALCVVRCLVNKRFLIAGGGAPEIELSRQLGAWAKVLHGMEGYCIRAFAESLEVIPYTLAENAGLNPIAIVTELRNRHAQGEINAGINVRKGQITNILEENVVQPLLVSTSAITLATECVRMILKIDDIVTVR >OIW03282 pep chromosome:LupAngTanjil_v1.0:LG10:10233040:10234485:1 gene:TanjilG_09929 transcript:OIW03282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSEDLGLSRDLQFFKPGNVIKENQRVTYTTVYKCDKFSLCIFFLPEKGVIPLHNHPEMTVFSKLLLGQMHIKSYDWVDPDASHNLLHQPSKLRLAKLKANSILKAPCDAAVLYPRAGGNIHEFTAITPCAVLDVIGPPYSREHGRDCSYYTDHPYAAFPNEEISGVSEEASSYMWLKEIEMPENSKMDGIEYKGPPITETPF >OIW02976 pep chromosome:LupAngTanjil_v1.0:LG10:15995867:16000158:1 gene:TanjilG_13613 transcript:OIW02976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLSLMISFAPLTLYFLLLPFVPHQVHASSHASFVTKYVTNDYSNVGGLCVSSIIVHGYKCQEHMVTTEDGYILGLQRIQEGRAEVNGIRAKKQPVILQHGVLVDSNSWFVNAPKQNLPFILADNGYDEFWNWTWDELVAHDLPALFDYVSKETRQKINYIGHSHGTLIALTSFSEGKLVNQVKSAVLLSPVAYLSHMTTELGVVAARYFLDEVIHLIGLAEFDLRLPQVKAIIRSLCDSNPGINCYDLLNAITAFRNGVLTKFDYVLPSENYRHYGQLFPPRYTLSNIPHNIPLFLSYGGKDALSDVTDVQKLLDILKFHDADKLSVQFIKEYAHADFIMAINAKDIVYKHVLSFFSRHA >OIW03205 pep chromosome:LupAngTanjil_v1.0:LG10:12189225:12189548:-1 gene:TanjilG_21837 transcript:OIW03205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKIKKVKLQQLRRQYELLQMEDGDSVAEYMTKILALSNQMRSCGKVLKEKSLVEKVLRTLTCKYDHIVVAIEESKNLEELKIEELQASLESHELRLKERNPEKIGD >OIW03425 pep chromosome:LupAngTanjil_v1.0:LG10:5542427:5545119:-1 gene:TanjilG_14650 transcript:OIW03425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GFNWASSDKEGGWYNFLKTLVPEIADAGVDYVWLPPPSNSHDDGPQGYLPKRLYDLDTSKYGNKEELKSLIAAFSERGVKSISDIVINHRTAERLDNNGLSIFEGGTPDNRLDWNVSYICGTDTRFNGTGNNDTGDDWWGAPDIDHVNPNVQKELSDWMNWLKTDVGFVGWRFDMVVGYAARFTKIYIEQTSPDLAVGELYRNVTRGTDGRPLANQDEHRSTLVNWVNDAGGVVTAFDFTTKMVLGAAVQGELWRLKDDNGKPPGMIGTKPESAVTFVDNHDTLSQQTWPFPNDKVMLGYVYILTHPGYPTIFYDHYIEWGLKEPIKNVTEIRKRNGINPKSTVNILAAEADLYMAEIDNKIIVKIGPKEDLGNLLPPNVQVATNGQDYAVWEKK >OIW03034 pep chromosome:LupAngTanjil_v1.0:LG10:15413544:15413912:1 gene:TanjilG_20962 transcript:OIW03034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSAKTYIDLANLILDLAINKATEGQHSISGFMSKNPPQAIQKCATTLYNGSISSFKKAKSGLVKDPITASYDARVAGDGPDYCADAIKEANINDPAIIYINKNVLLLSDIASIAARKLVKV >OIW03814 pep chromosome:LupAngTanjil_v1.0:LG10:1584124:1584511:1 gene:TanjilG_30090 transcript:OIW03814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEGKGLIVDTDMPVKMQIQAMASAYKALDLFDVVDCKSIAAHIKKEFDTKYGCGWQCVVGSNFGCFFTHSKGTFIYFTLETLNFLVFKGAA >OIW03810 pep chromosome:LupAngTanjil_v1.0:LG10:1607319:1613335:1 gene:TanjilG_30086 transcript:OIW03810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFADMSNNPSPTSNANKHFFSTPALSLTLAGIFRHAGVAAVEEGEAATSNMEVEEGDEGSGGKREGTVEIISSENSGPTRSRSEDDIEGEREHEDDDDDGDNNNKNKKKRKKYHRHTAEQIREMEALFKESPHPDEKQRHQLSKQLGLAPRQVKFWFQNRRTQIKAIQERHENSLLKTEIEKLQEKNKNLRETINKACCSNCGVPTTNRDVIMPTEEQQLRIENSKLKAEIEKLRAALGKYPSGSTSPSCSGGNDQENKSCLEFYTGNFGVDKSRIMEKVNQAMEELIKMATIGEPLWFRSVETGREILNYDEYMKEFAIENSNSERPKRSIEASRESGVVFVDLPRLLQSFLDVNQWEEMFTCLISKAATIDIICNGEGPNKNGAVQLMFAEQQMLTPMVATRELYFVRYCKQLNAKQWAIVDVSINKVEDNIDSSRVKCRKRPSGCIIEDKSNGHCKVIWVEHVECQKSAVHTMYRSIVNSGLAFGARHWITTLQLQCERLLFFMATNVPMKDSIGVATLAGRKSILKLAQRMTWNFCHAIGASSFHKWTKVTSKTGEDIRISFRKNLNDPGEPVGVILCAVSSVWLSVSPNVLFDFLRDEARRTEWDIMSSGGSVQSIANLAKGQDRGNAVTIQTVKSKENNMWILQDNSTNPYESMVVYAPVDIAGIQSVMTGCDSSNLAILPSGFSIIPDGFESRPLLITSKQDEKNTEGGSLFTIALQILTNVSPTAKLTMESVDSVNNLVSCTLRNIRTSLQCEDG >OIW03030 pep chromosome:LupAngTanjil_v1.0:LG10:15453558:15459535:-1 gene:TanjilG_20958 transcript:OIW03030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWFLGTLSCILLSFLNQFFWYRTEPLTITAISAQIAVVPLGQLMAAKITKRVFFKGKKWEFTLNPGPFNVKEHVLITIFANSGAGNVYAIHIVTAVKVFYHKNITFFVSLLVVLTTQVLGFGWAGIFRRYLVEPAEMWWPSNLVQVSLFSFAYYVFPGYLFQMLTSLSWVCWIFPNNILAQQLGSGLNGLGIGAIGLDWSAVSAYLGSPLASPWFATANVAVGFVFVMYIITPLCYWFNIYDAKTFPIFSNKLFTSHGSVYNITSIIDNNFHLDLDAYEKEGKLHLSTFFAMTYGVGFAALSATIVHVGLFHGREIWEQSKSSFKERKMDIHTKLMRKYKQVPEWWFVCILIANIAATIFACEYYNDQLQLPWWGVLLACAIAIFFTLPIGIITALTNQSPGLNIITEYVIGYIYPGYPVANMCFKVYGYISMTQAITFLQDFKLGHYMKIPPRTMFMAQVVGTLIASIVYLSTAWWLMETIPDICQDTSSVWTCPGDTVFYDASVIWGLIAPRRIFGDLGNYARINWFFLGGAIAPLLVWLAAKAFPQQEWIKLVNMPVLIGATGMMPPATAVNYTSWVIIGFLSGFVVYRYKPEWWQRHNYVLSGALDAGLAFMGVLLYLCLGSENISIEWWGNNLDGCPLAHCPTAKGVVVQGCPVFT >OIW03735 pep chromosome:LupAngTanjil_v1.0:LG10:2211436:2212830:-1 gene:TanjilG_30011 transcript:OIW03735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVNTPLNIKKLKFSLPPHSSITLLEIPFNSSNHSLPPNIENTDSIPYHLVIKLLQATNSLKPTFTKLIQNIQQQHQNQKIVIISDLFFGWTATVAKDLGVFHVIFSGSGGYGLACYFSLWVNLPHRHVDSDEFTLPDFPEARVIHRTQLPVNIAEADGKDAWSLFQHDNFSQWSNSDGILLNTVQEFDSVGLDYFTRKLNVPVWAIGPVVLSTGSGSRGKGGGIEPELCLEWLNTKPLNSVLFVCFGSMNTISVSQMMELAIALEKSEKNFVWVVRPPIGFDINSEFRQNEWFPQGFMEKILEGNKGLIVYDWAPQVEVLKHGSVCAFLSHCGWNSVVESLSHGVPILGWPMAAEQFYNCKYLEEEVGVCVEVARGKRCEVKHEDIVDKILLVMGESEKGIKMRKKVGEIRDMIKDAVKDEDGFKGSSVRALDEFLSVAATSIEDKTFDQSSVVSNQVDVLHH >OIW03606 pep chromosome:LupAngTanjil_v1.0:LG10:3289743:3293693:1 gene:TanjilG_06115 transcript:OIW03606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEREHQNVSLNVPLIQNSGQDEVIVHDVEQNKSSGIRERTELIEEVIKQLWLAGPLISVSLLNYSLQIISIMFVGHLGELALSGASMATSFASVTGFSLLMGMASALDTLCGQSYGAKQHRMLGIQMQRAMFVLMIVSIPLAVMWANTKSILILFGQDHEIATAAGNYAQLMVPSIFAYGLLQCLNRFLQTQNLVFPMVLFSGVTSLLHILLCWLMVFKSGLGGSGAAVANSISYWLNVVMLTLYVRFSPSCSKTWTGFSKEALQNIPQFLMLAIPSAVMVCFEMWSFELMVLLSGLLPNPKLETSVLSICLNTSGAVWMIPFGLSGAISTRISNELGAGHPKVARLAVVVVLGLTLILAVSVGTVMILIRNVWGYAYSNEVEVVKYVAIMMPILAISSFLEALQSVLSGVARGCGWQKIGAYVNLGSYYLVGIPAAVVFAFVLHIGGKGLWLGIICAVFVQSISLSIITIRTNWEQEAKKATDRVYDSVIIPDSRVS >OIW03091 pep chromosome:LupAngTanjil_v1.0:LG10:13955381:13960540:1 gene:TanjilG_07243 transcript:OIW03091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRFKSLLSLQQTRFSFPTLARPFSVQPSYVEDHDDPQQQILVEGRAKSRTAILNAPSSLNALTTSMVGRLKRLYDSWEENSNIGFVLMKGSGRAFCSGTDVVKLYHTLNEGKADEVEQFFKTLYSFVYLQGTYLKPHVAILDGITMGCGASIALPGMYRVVTDKTVFSHPEAQIGFHPDAGASYFLSHLPGYLGEYLAFTGDKLNGVEMIACRLATHYSLNARLPWLEERLGKLMTDDPSIVEASLAQYGDLVYPDRSSVLHKIDTIDRCFCHDTVEEIVEALEKEVAESYDQWSSATLRKIKEASPLSLKVILRSIREGRFETLDQCLVREYRISLRGTTTKVSFDFFEGVRARMVDKDFAPKWDPPTLKEVSDDMVDCYFSPFDEVESELVLPTALREPYI >OIW02984 pep chromosome:LupAngTanjil_v1.0:LG10:15934572:15938059:-1 gene:TanjilG_13621 transcript:OIW02984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIKIGINGFGRIGRLVARVALQRDDVELVAVNDPFITTDYMTYMFKYDTVHGQWKHHEIKVKDEKTLLFGDKPVAVFGMRNPEEIPWGSVGADYVVESTGVFTDKDKAAAHLKVIQDKFGIVEGLMTTVHSMTATQKTVDGPSMKDWRGGRAASFNIIPSSTGAAKAVGKVLPVLNNKLTGMAFRVPTVDVSVVDLTVRLEKGATYDEIKAAIKEASEGSMKGILGYTEDDVVSTDFIGDNRSSIFDAKAGISLNNNFVKLVSWYDNEWGYSSRVIDLICHVASV >OIW03053 pep chromosome:LupAngTanjil_v1.0:LG10:15154363:15159676:1 gene:TanjilG_20981 transcript:OIW03053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIEKRIKSSEEMVEKEELDNKKQQRQGGIRTLPFILANEVCDRFASAGFHGNLISYLTQELNMPLVAASNTLTNFGGTSSFTPLIGALIADSFAGRFWTISVASIIYELGLISITISAILPHLRPPPCPTQLNCQEASSSQLWILYSSLLLTSLGSGGIRPCVVPFSADQFDMTKNGVKTRKWNLFNWYFFIMGFASLSALTIVVYIQDNMGWGWGLGIPSIAMLISIIAFVLGSPLYKHVKPEGSPLVRLAQVVVAALKKRKEALPDDSKVLYQNWELDASISVEGKLLHTDQYKWLDKAAIITEPYDQIASPNLWKITTVHRVEELKSIIRMLPIWASGILLITSSSHLHSFVITQARTMDRHLSHSFQISPASMSIFSVLTMMTGVMLYERLFVPFARRFTGNPSGITCLQRMGIGFILNIVATIVSALVEIKRKEVAAKYNLLDDPKAIIPISVFWLVPQYCLHGVAEVFMSVGHLEFLFDQSPESMRSTATALYCITTAIGNYIGTLLVSLVHEYTGKERNWLPDRNLNRGKLDYYYFLVSGIQVINLIYFVICAWFYTYKPLENIIERNKEEDLEQANEKKLSANLNGKGEE >OIW03111 pep chromosome:LupAngTanjil_v1.0:LG10:14290691:14298009:1 gene:TanjilG_07263 transcript:OIW03111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METKAEEHRAVVAKKPTLTPKAIIHQSFGSKALYTVEEVKECRQTERPRLSIQQKGPCLYRCTLQLPELSVVSGTFSKKKDAEQSAAEMAIEKLAIRPVIDDPTPQEAWGSLVARISYIFSENFLSSLHPLSGHIGAALLRKGDLCGSIPISVVAVYDAKIFSLCKCINPEVESNPFLVISFIMRATAELSGSLVTSEQHLWIRNQNPYSQDIVESLMKQDYSQECIQVVAVRIPSSVEKSVEAVTLHISSKEYYLDIIANELGFEDAANVLISRNLGRASSETRLFFAAPKSYLLDQSSKLPNGKETHHFEGSLNVRASYLSGQDIIGDVILASIGYTWKSRDLFYEDVTVRSYYRMLIGKTPGGIYKLSREAMLTAELPSKFTTRANWRGSLPRDILCMFCRQHHLSEPVFSIHPSKAVSASEMFKCELKLLSRHEDLIIKCFPEDSFKKQNDAIQNASLKLLSWLNKYFKNIIVPFEELDETIDFDIQIYSRNIFRGISARQSLHNGQLNAIELHKILESICANSSYIISGNGVCSLKIGGPDSGVCPCSGSLACMSYSVSLIGEGENIKEMIEVCNDFEFEVGVGAVISYVEEVVMQMSVGQSAYFTTNSLPDFIFASASDSAKIMSLLSSKTCYMEYEISLTKVAEPMEDRMEQALFSPSLSKQRVEFAVRRILESHATTLLDFGCGSGSLLEALLNYSTSLEKIAGVDISQKGLIRAAKVLNSKLVTNSDAAVLPSVISVTLYEGSITKFGSRLHGFDIGTCLEVIEHMDEDQACLFGDVALSYFCPRILIVSTPNFEYNVVLQKSSMTNQEQEDESDEKSLLQSCKFRNHDHKFEWTREQFQQWASDMAARHNYNVEFSGVGGSADVEPGFASQIAVFEREWTPEDNMLKEADMEYHYNVLWEWNSESK >OIW03160 pep chromosome:LupAngTanjil_v1.0:LG10:13223786:13227507:1 gene:TanjilG_11797 transcript:OIW03160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKVYGLGNEPVVGSLTSSKKKDYRITNRLQEGKRPLYAIVFNFLDSRYFNVFATVGLNRVTVYQCLEGGVIAVLQSYVDDDKDESFYTVSWACSVDGTPFVVAGGLTGVIRVIDAGNEKIHKTFVGHGDSINEIRTQQLKPSLIVSASKDESVRIWNVHTGVCILILAGAGGHRNEVLSVDFHPSDVYRLASCGMDSTVKIWSMKEFWPYVEKSFTWTDLPSKFPTKYVQFPSVDNEIVLWEPKLKEQGPGEGAVDILQKYPCPECDIWFMKFSCDFHYNSAAIGNREGKIFVWELQSSPPVLIAKLSHPQSKSAIRQTATSFDGSTILSCCEDGTIWRWDDVTAIASSSG >OIW03653 pep chromosome:LupAngTanjil_v1.0:LG10:2925026:2926973:-1 gene:TanjilG_22310 transcript:OIW03653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGSKVAPSHPYVPSDLQLSDYVPCSLSQIQILFVYAFASIIVFSLTWIVSGRIRKLTKVDRLLMSWWAFTGLTHLILEAYFVFSPEFYKEKTGFYLAEVWKEYSKADSRYAGRDAGVVGVEGITVVFEGPLSLLAVYAIGSRKSYSYILQFAISLGQLYGTVLYFLTGVLQGDNFAINTFYYYAYYIGTNGWWIIIPAIIMNRSWKKISAAVQAQDQTKKTKVR >OIW03556 pep chromosome:LupAngTanjil_v1.0:LG10:3940785:3942290:1 gene:TanjilG_30976 transcript:OIW03556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDECNKEVQWSGKAFKKMKNLRILIIGDAIFSTGPQYLPNSLRVIDWSYYPSPSLPPTFIPKELMILKLPESCLQFFQPLKAYIYWFPNCKFLTELPSLREAPLLRRLCLDNCTKLLRIDESVGFLDNLFYLSAKGCTQLEILVPCIKLKALEVLDLAWCSSLKCFPEVLEKMDKIRVIHLDDTDINKLPFSIGNLVGLERLYLRGCKLLKQLPNTIHSLPKVEVIVGYPSKRFRFFDKEKVCSESEISPTAMVVYNRGQGFTHLDVYSECLSSNIFIQLCTPNRLQDPHSELLFEALNRNTVTTEMEESIHFWFLKKFPKITLCCAREPDTYVNDNLVLYFKLNVVINGTTQFSSSCTYINTGDPIYLTQVFLCDLECKSEGVFSEHEWNQVEIFCEFEYPKPCDYEREMTAELRNIKGCVQTNIYVDKEGNCMENIKFNNPMIDEPLSFSFSSSSECIESPLSFF >OIW03831 pep chromosome:LupAngTanjil_v1.0:LG10:1485440:1494919:-1 gene:TanjilG_30107 transcript:OIW03831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYDQRKLAQRSERVKSVDLHPTEPWILASLYSGTVCIWNYQSQIMAKSFEVTELPVRSAKFVARKQWVVAGADDMFIRVYNYNTMDKVKVFEAHTDYIRCVAVHPTLPYVLSSSDDMLIKLWDWDKGWVCTQIFEGHSHYVMQVTFNPKDTNTFASASLDRTIKIWNLGSPDPNFTLDAHQKGVNCVDYFTGGDKPFLITGSDDHTAKVWDYQTKSCVQTLEGHTHNVSAVCFHPELPIIITGSEDGTVRIWHSTTYRLENTLNYGLERVWAIGYLKGSRRVVIGYDEGTIMVKLGREVPVASMDNSGKIIWAKHNEIQTVNIRSVGADVEVADGERLPLAVKELGTCDLYPQNLKHNPNGRFVVVCGDGEYIIYTALAWRNRSFGSALEFFWSTDGEYAVRESTSKIKIFSKNFQEKRSFRPTFSAERIFGGTLLAMCSNDFICFYDWAECRLIYRIDVNVKNLYWADSGDLVTIASDTSFYILKYNRDVVASHLDSGRPVDEEGVEDAFELLHEMNERVRTGIWVGDCFIYNNSSWRLNYCVGGEVTTMFHLDRPMYLLGYLANQSRVYLIDKEFNVIGYTLLLSLIEYKTLVMRGDLERANEILPSIPKEHHNSVAHFLESRGMIEEALEVATDPDYRFDLAIQLGRLDVAKSIAVEVQSESKWKQLGELAMSSGKLAMAEECLGHAMDLSGLLLLYSSLGDAEGISKLATLAKEQGKNNVSFLCLFMLGKLEDCLQLLVESNRIPEAALMARSYLPSKVSEIVAIWRKDLNKVNPKAAESLADPEEYPNLFEDWQVALAIESKAVESRNVYPPAEQYINHADKPHVTLVEAFRNMQIEEEEPLENGDSNHELTEENGEEDYTEAQEEPNGEEGSQEEAVVVDADSTDGAVLVNGSEAEEEWGTNNQGAPSPPGAMKIFCGLVVSVSRYCGFIVP >OIW03836 pep chromosome:LupAngTanjil_v1.0:LG10:1457103:1460129:-1 gene:TanjilG_30112 transcript:OIW03836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNNKGSKRNLPSWMSSRDNDDGNSGKKPSLDGESESPKKKTKVQTENAAKSSASTSVNSKSFNKLLEGVVFVLSGFVNPERGMLRSHALEMGAKYQSDWNSDCTLLVCAFPDTPKFQQVEADCGTIVSKDWIVECYTQRKLVEIETYLMHAGKPWRKGNISHDVSEDKKSSVPKKSQKHVESELPSKPTASIKSKGKGTDVARKCFVPSEVKKWAIDDLNKTIQWLESQEEKPDPSEIRKIAAEGILTCLQDAISSLKKKQDVRKATEDWNFLPRVVDELAKLDEEGNNKASMSKEDLHKHALDCKRIYEEELNSLDDEWKINSKINEEQRSKSGRTNGKSSSANEYDSDETIEMTEQEIDQAYKTLSSKISEF >OIW03615 pep chromosome:LupAngTanjil_v1.0:LG10:3423482:3428332:-1 gene:TanjilG_06124 transcript:OIW03615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSASKLTLFGLRTALFRTLPPPSTFSPFFLRFHHRRRSFSSATAATETLSSVSQQHHHPWPEWVSFVDTLNTKGYITKPSSSPDEDETVYVNINLLKDPCLSFARDRYDIFKSLSLKDIQGVVEGGCPNLLRKAVNSAKRLRVHVRLDEGDVCGACNLRDSCDRAYVILKEFEADARTVDIVRILLFYALDPLVLSGGEKPPVREAIESSARKLLSQLVELSESSPAPAPAYTSTPSRPKPTTHDARAKGQPPSFMSNRLSKDVEMKKGDWMCPKCNFMNFSRNTQCRNCNEHKPEDLNVPSVEMKKGDWICPECSFMNFSRNTRCLKCKTDGPPKTFNTDAVERKKGDWTCSQCGFMNYASNAKCLRCPELRPKTHPGDWNCPKCDFMNFSGKLKCFRCQEPNPSPKKHPGDWSCPKCDFYNYSRNMSCLKCNTGCPKDQPTSEYEEHMWRGSS >OIW03651 pep chromosome:LupAngTanjil_v1.0:LG10:2939177:2945210:-1 gene:TanjilG_22308 transcript:OIW03651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMVDQPLYPIAVLIDELKNEDIQLRLNSIRRLSTIARALGEERTRKELIPFLGESNDDEDEVLLVMAEELGVFVPHVGGVEHASVLLPPLETLCAVEETFVRDKSVESLCKIGAQMREQDLVEHFIPLVKRLAAGEWFTARVSACGLFHIAYPSASEVLKTELRTIYSQLCQDDMPMVRRSAATNLGKFAATVEAVHRKTDIMSLFEELTQDDQDSVRLLAVEGCAALGKLLEPQDCVAHILPVIVNFSQDKSWRVRYMVANQLYELCEAVGPDPTRSELLPAYVRLLRDNEAEVRIAAAGKVTKFSHILSPELAIQHILPCVKELSTDSSQHVRSALASVIMGMAPVLGKDATIEQLLPIFLSLLKDEFPDVRLNIISKLDQVNQVIGIDLLSQSLLPAIVELAEDRHWRVRLAIIEYIPLLASQLGVGFFDDKLGALCMQWLKDKVYSIRDAAANNVKRLAEEFGPDWAMQHIIPQVLDMINDPHYLYRMTILHAISLLAPVLGTEITSSKLLPLVINASKDRVPNIKFNVAKVLQSLIPIVDESVVESSIRPCLVELIEDPDVDVRFYASQALQSCDQVKMSN >OIW03912 pep chromosome:LupAngTanjil_v1.0:LG10:943785:947863:-1 gene:TanjilG_30188 transcript:OIW03912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSDASKKKAAQKKAAAAAKRGGKAAAASSKAAPAADKIANGIADIQISDRNCTGVLCSHPLSRDVRIESLSVTFHGHDLIVDSVLELNYGRRYGLLGLNGCGKSTLLTSIGCRELPIPDHMDIFHLSREIEASDMSALEAVVNCDEERLKLEKEAETLAAQDDGGGEALERVYERLEAMDAATAEKRAAEILFGLGFDKQMQAKKTRDFSGGWRMRIALARALFMKPTILLLDEPTNHLDLEACVWLEENLKHFDRILVVISHSQDFLNGVCTNIIHMQSKKLKLYTGNYDQYVQTRSELEENQMKQYKWEQEQISSMKEYIARFGHGSAKLARQAQSKEKTLAKMERGGLTEKVSRDSVLVFRFVDVGKLPPPVLQFVEVSFGYTPDNLIYKNIDFGVDLDSRVALVGPNGAGKSTLLKLMTGDLVPSDGMVKRHNHLRIAQYHQHLTEKLDMEMSALLFMMREYPGNEEEKMRAAIGKFGLTGKAQVMAMKNLSDGQRSRVVFAWLAYRQPQMLLLDEPTNHLDIETIDSLAEALNEWDGGMVLVSHDFRLINQVAHEIWVCADQKVTKWDGDIMDFKKHLKAKAGLSD >OIW03825 pep chromosome:LupAngTanjil_v1.0:LG10:1523185:1524441:1 gene:TanjilG_30101 transcript:OIW03825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDIEDDDTRYPSNPYRVSHHHQGYDYMSRQKLLMNVSPYSKPVKNGYGEDNSNNEGEAYEFAPHVPSPSAVAPSALKPSFGGRNPLTDWSEHETFVLLAVWGDRFLQHGRKSLRSEEWQEVAEKVSKVSSVERTDTQCRNRLDTLKKKYKKEKIKFPETDGGSSNWVYFKRMDKLMSSPPQVDPSCGLDSGEYVSTNSRIYSNRANGLDEMRDSLGNTESTEEASDGPHAKKRRRGRGSGEASSYRLLADSLHRFSNIYEKIENDRRQQMVELEKMRVDIQKEIETQRREILERLQSEISKLEQTDEENDGSSENGM >OIW03435 pep chromosome:LupAngTanjil_v1.0:LG10:5468780:5471068:-1 gene:TanjilG_14660 transcript:OIW03435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNSNSNSTNTDQSEHVIHDFPGIIRVFSNGSVERFKGTDFVPPFIDQATGVSSKDITIDPQHNISARLFLPHLTSYTHKLPLLLYFYGGAFCVSSSCTANYHNYITEVVAKSKVVAVSVNYRLAPEHPIPAAYEDSWAALQWVASHKQNTGPEAWLNEHADFERVFLAGDSSGANIVHNIAMVAGDPDFELGIEILGACLIHPYFWGSEPIGSEASDPDRKATVDKLWSFVCPSMPDNDDPRVNPVAEGAPSLAWLGCKRVLVCVAEKDVLRDRGWLYYNALGRSGWLGVVQIEETLGEGHGFHLYELGCNKAQDLIKRLVEFFNRDMPPEV >OIW03172 pep chromosome:LupAngTanjil_v1.0:LG10:12969239:12970945:-1 gene:TanjilG_11809 transcript:OIW03172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSQRIFSYNLTSAGLPYMSSFPTIQSLPNSFGSLNFDIGNSPNSPFSTYFDSDTFSALSGSPEQQNSPSRIISGVSLSCNSSLETNHYPQRSISSVDSINDSLQLYSARSSLLQNANWNHKIQHALLELESALMAPDDDEVTTSKTSWSNEHQGSQYIQTQPSYVTSCRQSSDVVHASKRQKSMEEVQLQGFPSSNLKQLLIACAKALSENNMRGFDQLIVKARSAVSVSGEPIQRLGAYMVEGLVARTEASGSSIYRALRCKEPESEELLSYMQMLFEICPYLKFGYMAANGAIAEACKNEDRIHIIDFQIAQGTQWMTLLQALAARPGGAPHVRITGIDDPVSKYARGDGLEIVGKRLATMSKKFGIPVEFHGVPVFAPDVTRDMLDIRPGEALAVNFPLQLHHTADESVDLSNPRDGLLRLVKSLSPKVTTLVEQESNTNTTPFFNRFIETLDYYLAIFESIDVTLPRSSKERINVEQHCLARDIVNIIACEGKERLERHELFGKWKSRLTMAGFRQSPLSSYVNSVIGSLLGCYSEHYTLVEKDGAMLLGWKNRNLISASAWY >OIW03230 pep chromosome:LupAngTanjil_v1.0:LG10:11834955:11835692:-1 gene:TanjilG_13024 transcript:OIW03230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEENKLKRKIDETLTLGYGSIPMVGETSPSKSSKLMTSNELDSSLKSAELSNDGDYKENPSLDYACKYCDKKFTSSQALGGHQNAHKHERFLRKEKEKIMYGHEFGARFSTVTFPSMRNYYQGSSSNLGHGVQLHDPMAQMLSMPLPRYSTLGYDHFQGLNFSNTPLSGHQFGNNTSSYGGGAIAPRFNLQGLKLFGGLNPISPTSNNYVAALENPSVTLAAIQAFNVSHDAPQALEEIDLTLKL >OIW03115 pep chromosome:LupAngTanjil_v1.0:LG10:14337393:14338943:-1 gene:TanjilG_07267 transcript:OIW03115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSNPLQHSYLHCLSLNSEPSYPISTLTYFPNNPSYKPILESYIRNLRFNSTTTPKPSFILTPTHVSHIQASIICCKKFDLELRIRSGGHDYDGLSYVSQAKFIVLDMFLMRSVVVNMEDEGTVWIDSGATIGELYYRIHEKSKVHGFPGGVCPSVGVGGHFSGGGYGNLMRRFGLSVDNILDAIIVDANGRVLDRKSMGEDLFWAIRGGGGASFGVVVSWKIKLVPLPKVVTVFRVEKTLEQGATDIVHHWQHVADKVHDGLFIRIVLNPVKKEGFKTIKARFNALFLGNSEELVSIMNENLHELDLVYEQCIEMSWIDSVLFWYNYPLGTSVDVLLERKPKSENFLKRKSDYVQKPISKTDLESIWKKMMELEKPVLTFNPYGGKMSEISEVETPFPHRVGNIYKIQYSVNWNEESDYVANEYLDKIRRLYDFMSPYVTKSPRSSYLNYRDVDLGVNEYGNESYGEASVWGQKYFKGNFDRLVYVKTMVDPSNFFRYEQSIPTHASWINVASE >OIW03949 pep chromosome:LupAngTanjil_v1.0:LG10:663225:668996:1 gene:TanjilG_30225 transcript:OIW03949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESQQRRGIGGLVAVSPSQTPRSTDKPSRDLRSADSNSNSGKYEKDKGVNVQVLLRCRPLSEDEMRVHTPVVISCNENRREVSAVQVIANKQIDRTFNFDKVFGPASQQRELYEQAVSPIVYEVLEGYNCTIFAYGQTGTGKTYTMEGGARKKNGDFPSDAGVIPRAVKQIFDILEAQNAEYNMKVTFLELYNEEITDLLAPEENLKFVDDKSKKPIALMEDGKGGVLVRGLEEEIVCTANEIYKILEKGSAKRRTAETLLNKQSSRSHSIFSITIHIKECTPEGEEMIKCGKLNLVDLAGSENISRSGAREGRAREAGEINKSLLTLGRVINALVEHSGHVPYRDSKLTRLLRDSLGGKTKTCIIATISPSIHCLEETLSTLDYAHRAKNIKNKPEINQKMMKSAMIKDLYSEIDRLKQEVYAAREKNGIYIPRDRYLHEEAEKKAMAEKIERMEQGAESKDKQLLELQELYNSQQLLTAELTDKLEKTERRLQETEQSLFDLEEKHKQANATIKEKEYLIKNLLKSEKALVERAIELRAELENAASDVSNLFSKIERKDKIEEGNRVLIQKFQSQLAQELEVLHKTVSASVMHQEQQLKVMEDDMQSFVSTKAEATEHLRVRVEKLKNMHGSGIKSLDNLAEELKGNNQLTYEGLKSEVAKHSSALEDLFKGIALEADSLLSDLQSSLHNQEANLTAYAHQQREAHTRAVETTRAVSKITVNFFETIDRHASSLTQIVEEAQFVNDQKLHELEKKFEECTAYEEKQLLEKVAEMLASSNSRKKKLVQLAVNDLRESANSRTSKLRQEALTMQDSTSSVKEEWRVHIEKTESNYHEDTSAVESGKKDLVGVLQTCLNKAEVGSQQWKNAQESLLCLEKRNAASVDTIIKGGMEANQVLRARFSSAVSTTLEDAGIANKDINSSIEYSLQLDHEACGNLNSVIAPCCGDLRELKGGHYHKIVEITENAGNCLLNEYMVDEPSCSTPRKRPFNLPSVSSLEELRTPSFEELLKSFWDAKFQRQANGDVKHIGVYEATQSVRDSRAPLTTIN >OIW03980 pep chromosome:LupAngTanjil_v1.0:LG10:474019:487186:1 gene:TanjilG_30256 transcript:OIW03980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIIIEQPSLETQSEERNVHAEETNELVLDGGFLLPQHSQDGFDAPDINSFGKAFRNYDAESERQKTVEEFYKKQHINQTYDFVKRMREEYGKLDKTEMGIWECCELLNEVVDDSDPDLDEPQIQHLLQSAEAIRKDYPNEDWLHLTALIHDLGKILLLPSFGELPQWAVVGDTFPLGCGFDESNVHHKYFKVNADYNNPSYNTKNGIYPDGVGLDNVMMSFGHDDYMYLVAKENGCTLPKAALFIIRYHSFYPLHREGAYTHLMNEEDVENLKWLKIFNKYDLYSKSKVRVDVEKVKPYYISLIDKYFPAKLRCRAVRSNISLDFGVIGGTEKYNEGVRNIIESEAGVVAADDGRCSEIGASMLRKGGHAVDAAVAAALCLGVVSQASSGIGGGSFMVVRSTSTSKTHAFDMRETAPSAASQNMYQNSPEDKKSGALSMGVPGELAGLHAAWLRHGRLSWKTLFQPAIKLAKDGFLVSPNLGTYLAKDSDKIMNDRGLRNIYAPNGNMLKEGDLCRNVELGRSLEAVAEQGPQAFYNGTIGEKLVKDVREAGGILTTEDLRNYKVEITDAVTVNVMGYTIYGMPPPSSGTLGLSLVLNILDSYRSPDAAVGKLGLHRLVEALKHMFAVRMNLGDPNFVNINDTISDMLSPSFAKKIQHKIYDNTTFPPEYYMNRWSQLRDDGTSHLCIVDADRNAVSMTTTVNYHFGAGVLSPSTGIVINNEMDDFSSLCGANSPNGYFFHPQNSNPRPCLRGIKHVPLKPTPDEQLVGVIGGSGGMNIIPAVVQVFLNHFVKGMKPLEAVQSPRIYHKLIPNVVRYENLSTYDGEHIELSEENIIFLQERGHELHETPALAITQFVVQTIKTCIKMNRKIGEDTSLLTKLGTLRAVSDPRKEIGASMLRHGGHAVDAAVATSLCLGVVFQVSTGIGGGATMVIQSSSTLQTQAFDMRETAPLAASQNMYENNPKAKKLGALSMGVPGQLAGLHAAWLKHGRLPWKTLFQPAIKLAKEGFVVSPGLGKFIARESEKIANDPGLRKVYAPNGRLLKVGDLCCNVELGHSLEAVAEQGPEAFYNGVVGEKLVKDVREAGGILTMEDLQNYKVEITDAMTVNVMGYTIYGMPPPSSGTLGLSLVLNILDSYGSPNAAVGKLGLHRLIEALKHMFAIRMNLGDPNFVNISDTVSDMLSPSFAKKIQHKIFDDTTFPPEYYKNRWSQLRNHGTSHLCVVDADRNAVSMTTTINYHFGAGVLSPSTGIVINNEMDDFSTPTETSPDKLPPAPTNFIEPNKRPLSAMTPIIITKDDWLVGVIGGSGGVNIIPAVIQVFLNHFIKGMNPLDAVLSPRIYHKLIPNAVTYEKLNTYDGHHIELSEESRLFLEERGHQMDRANALGVTQFVVQTIKTPTNINGKIGEDTKSHTKHGILIAISDPRKGGCPAAA >OIW03408 pep chromosome:LupAngTanjil_v1.0:LG10:6045767:6048269:-1 gene:TanjilG_12005 transcript:OIW03408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEESSFSVISQIWQKSGRCPKGTVPIRRIRKKDILKAHSIEEYGRKKPSLSHQQVGHLNQNLDSFVQQKNHSKAILITMGYRYLGAKGDIRVCNPSVEKEDEYSTSQVSLINGAYNDFECVESGWAVNPSVYGDRQTRLFVYWTDPYTNNWWVQYGEKTNIGYWPPELFRAMWSNAESVEWGGEVYSSTVGHTPHTATQMGCGGFPDVFHESGSMTRMRIHDNSEFLKIPEWVDDFSDEYNCYDVMYVGDYVEDPEFYYGGPGKNPKCP >OIW03940 pep chromosome:LupAngTanjil_v1.0:LG10:751428:755788:1 gene:TanjilG_30216 transcript:OIW03940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAMSYCVTHFHKPPHLIFSHSPTFPKTHYPNRSFPKTPTQNNANFCYGKLGIRCSHKARHDVVSASATTIAAPIVESGSKVGKRTDLKKILILGAGPIVIGQACEFDYSGTQACKALKEEGYEVILINSNPATIMTDPDLADRTYITPMTPELVEQVLEAERPDALLPTMGGQTALNLAVALAESGALEKYGVELIGAKLDAIKKAEDRDLFKQAMKSIGIKTSPSGIGTTMRECMEIANEIGEFPLIIRPAFTLGGTGGGIAYNREEFEEICKAGIAASLTNQVLIEKSLLGWKEYELEVMRDLADNVVIICSIENIDPMGVHTGDSITVAPAQTLTDKEYQRLRDYSVAIIREIGVECGGSNVQFAVNPEDGEVMVIEMNPRVSRSSALASKATGFPIAKMAAKLSVGYTLDQIPNDITKKTPASFEPSIDYVVTKIPRFAFEKFPGSQPILTTQMKSVGEAMAVGRTFQESFQKAVRSLEYGYSGWGCGQVKELDHDWDQLKYNLRVPNPDRIHAVYAALKKGMQIDEIFELSYIDKWYLEQLKDLVDVENFLLSHNLSDLTNVDFYEVKRRGFSDKQIAFATKSTEKDVRFRRLSLGVTPAYKRVDTCAAEFEANTPYMYSSYDFECESAPTGRKKVLILGGGPNRIGQGIEFDYCCCHASFALQEAGYETIMVNSNPETVSTDYDTSDRLYFEPLTVEDILNIIDLERPDGIIVQFGGQTPLKLSLPLQQYLDEHKPECASGAGHVRIWGTSPDSIDAAENRERFNIIINELKIEQPKGGIARSETDALAIAADIGYPVVVRPSYVLGGRAMEIVYSDDKLVTYLETAVEVDPERPVLIDKYLSDAIEIDVDALADSHGNVVIGGIMEHIEQAGVHSGDSACSIPTRTVPSSCLETIRSWTEKLAKKLNVCGLMNCQYAITTSGEVFLLEANPRASRTVPFVSKAIGHPLAKYASLIMSGKSLHDIKFTKEVIPKYVSVKEAVLPFSKFPGSDVLLSPEMRSTGEVMGIDYMYNTAFAKAQIAAGQKLPLSGTVFLTLNDLTKPHLEKIAKAFVESGFQIVATSGTARILEVAKIPVVQVLKMHEGRPHAGDMIANGDIQLMVITSSGDALDRIDGLALRRMALDYKVPIVTTVNGALATAEAIRSLKSNSIKMIALQDFIDDDLKQ >OIW03817 pep chromosome:LupAngTanjil_v1.0:LG10:1567641:1568139:1 gene:TanjilG_30093 transcript:OIW03817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCMCELNEHRGREQGVSVRGETRPTLSVSGVAAAASTSKRVVAPLALTLPPAFANAIRRKTTGTGRMRYLRHVPRRFKSGFREGTEAAPRKKGAAATA >OIW03231 pep chromosome:LupAngTanjil_v1.0:LG10:11843911:11847950:-1 gene:TanjilG_13025 transcript:OIW03231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSQQNHSLENELQTEKISYFPLIYIGNEGLTKKVIRKGVTWQTPFFGDEVEVHFRGKVENGSSLESSYDKGSSFRFKLGQCEVIKGWDEGVATMKKGEIAIFNIPPNLAYGDVGSPPLIPPDSTLIFDIELLSWSTIKELTSDGGVMKKIIQEGEGWATPRENDEVLVKYEARLENGVLVSKSGQSVEFNVSDGYLCPAMSIAVKTMRKGEVAELSMKFLYGISQNSKTNTKLDGCLQPDSNLATVKLELVSWKVVTDVTGNKKILKKIIKVGEGFDRPNEGSQVKVLYLCKQDDGTIIDKKGSEKKPFEFTTHEEQVHEGLERAIMTMKKGEKAIVTISSEYLSDQNALQGDMATNKFLYYEVELVDFVKGKPFWKMDTQEKIEACERKKHDGNLLFKTENFSSACKKYEQAIRCIEFDHSFSDDEKRCANTLRLSCNLNKAACKLKLGEYSEASRLCTKVLEKDPLNVKALYRRCQAYLKTSDLEKAEADIKRALIMDPNNRDIKIEYKELKHKQKQYSRYETNIFSTMLSRMG >OIW03898 pep chromosome:LupAngTanjil_v1.0:LG10:1048579:1057746:1 gene:TanjilG_30174 transcript:OIW03898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANKVTEQHLSTVRSIVGPDFTDMDIIRALHMAKNDVTAAINIIFDTHTPTTTTPKFKSNNNNQSHHQEHAEHAKVSPLKSTIHSHTVTAASSKENDNKSYDACSDNWWFVGYGEMMGLSTCKGRSVKYGDPVCFNFPTKSNLSASTPTGKGFGRSRQAAASCSEIVRFSTEQSGEIGRIPNEWARCLLPLVRDNKVKIEGNCKFAPNVLGIMDTIVLSVSVFINRSLFGKHHQVSLKDATNSTDESVFHPLPTLFRLLGLNPFKKAELTPTDFYSNKRPFDQKVTLRNTKPEHPSQNNNENDNEDSISEIEFDNIVGVGSNSELEEMDPPGNLLCELRPYQKQALYWMIQLEKGRHTDETTETLHPCWEAYRLADKRELTIYLNAFSGDATIKFPSTLEMAKGGILADAMGLGKTIMTISLLLAHSGRGGSIGSQTTVQSFIEGGEVNDTGHKFSEVPKKKTKFPGFDKLMKQNNALTSGGNLIICPMTLLGQWKAEIETHAKPGCLSIYVHYGQSRPKDAKSLAQSDVVITTYGVLSSEFSSESAEDNGGLFSIRWFRVVLDEAHTIKSSKSQVSMAAAALNADRRWCLTGTPIQASCYNTQSYFIADNLLNSLEDLFSLLRFLRVEPWGHWAWWNKLIQKPFEGGDERGLKLVQSILKPIMLRRTKHSTDREGKPILVLPPADMQIIYCEPTEAEKDFYEALFKRSKVKFDHFVEQGRVLHNYASILELLLRLRQCCDHPFLVMSRGDTQEFADLNKLAKRFLRGTYNALDGEVKDAPSCAYVQEVVEELRKGEQGECPICLEAFEDAVLTPCAHRLCRECLLASWRNVTSGLCPVCRKTISRQDLITAPTDSRFKVDIEKNWVESCKVTILLHELENLRSSGSKSIVFSQWTAFLDLLQIPFTRNNISYVRLDGTLNLQQREKVIKQFSEDSSTLVLLMSLKAGGVGINLTAASNAFVMDPWWNPAVEEQAVMRIHRIGQTKKVAIKRFIVKGTVEERMEAVQARKQRMISGALTDQEVRTARIEELKMLFT >OIW03351 pep chromosome:LupAngTanjil_v1.0:LG10:7658311:7661541:-1 gene:TanjilG_29336 transcript:OIW03351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASATISVAKPSLKVNGKGISEFSGLRNSSSYVTFTRKTSEDLHSVVSFQTYAVGSTGGYKKGVVEAKLKVAINGFGRIGRNFLRCWHGRKDSPLDIIAINDTGGVKQASHLLKYDSTLGIFDADVKPVGDNGISVDGKVIKVVSDRNPANLPWKDLGIDLVIEGTGVFVDREGAGKHIQAGAKKVLITAPGKGDIPTYVVGVNADAYNPDETIISNASCTTNCLAPFVKVLDEKFGIIKGTMTTTHSYTGDQRLLDASHRDLRRARAAALNIVPTSTGAAKAVALVLPSLKGKLNGIALRVPTPNVSVVDLVVQVSKKTFAEEVNAAFRESADKELNGILSVCDEPLVSVDFRCTDVSSTIDSSLTMVMGDDFVKVIAWYDNEWGYSQRVVDLADIVANNWK >OIW03255 pep chromosome:LupAngTanjil_v1.0:LG10:11091202:11091396:-1 gene:TanjilG_21784 transcript:OIW03255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQPVIPPLPGARGVRCSVKPASRFSLLSKRHDTSAFTQASCLNSQLGAGRPTWCSYHPNPDEP >OIW03855 pep chromosome:LupAngTanjil_v1.0:LG10:1322450:1323545:-1 gene:TanjilG_30131 transcript:OIW03855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METITEGVNNINITDSYKKNRIQVSNTKKPLFFYVNLAKRYMQQHNEVELSALGMAIATVVTIAEILKNNGLAVEKKILTSTVDMKDDSRGRPVQKAKIEIVLGKTANFDELMAAAAAEDAENGEIEGQNA >OIW03726 pep chromosome:LupAngTanjil_v1.0:LG10:2267079:2267597:-1 gene:TanjilG_30002 transcript:OIW03726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHDNSGCQAPPEGPLLCINNCGFFGSAATMNMCSKCHKDMMLKQEQAKLAASSIGNIMNGSSSSNGNEPVVAANVDISVNPIEPKTICAQPSLASGSEESGEAKPKNGPKRCSSCNKRVGLTGFNCRCGSLFCAVHRYSDKHNCPFDYHTAAQDAIAKANPVVKAEKLDKI >OIW03297 pep chromosome:LupAngTanjil_v1.0:LG10:9668927:9670502:-1 gene:TanjilG_09944 transcript:OIW03297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIDGWEILSHDGFLDFNEDDANENEIFLEKKNSVSKSVFDNYFCTSPRSSNHHQRVVPNKHVHVPIQLEPRTSKAPDEFLVEENTKDHVFSKIKENEFVEMKMDSPKCSRVLFPSLDVDGLRFEDKGEAMEIMTSPRMKVEKEMFSTMECDKEDDGFNLWKWSLTGIGAICSFGVAAATICVWFYGSQNKNKLQKHHNIQFQIFTDDKRIKQVVQHATKLNEAISAAARDVPLSRAHITYDGYYDGI >OIW03002 pep chromosome:LupAngTanjil_v1.0:LG10:15774069:15778044:1 gene:TanjilG_13639 transcript:OIW03002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANWNSVPLEVTYEVLGWFAFVSWSISFYPQVILNFRRKSVVGLNFDFVVLNLTKHSSYLIYNASLYFSPTIQNQYFQKYGYDQMIPVAANDVAFSIHAVVLTAITLFQVAIYDRGSQKVSKVSIGIVSVAWLTAAVCFFIALPNNSWLWLLTIFNSIQVLMTTIKYIPQAIMNFVRKCTDGFSIGNILLDFSGGIANYLQMVVQSVDQDSWVNFYGNIGKVLLSLVSVFFDIIFILQHYVLYPGKKSSELVATPEQDDDQIREQLVRPSDQSPPENV >OIW03044 pep chromosome:LupAngTanjil_v1.0:LG10:15306215:15309041:1 gene:TanjilG_20972 transcript:OIW03044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVAILTQELGLQAATTEQKFDKICKKKLSTPGEILCKSFPVEFASYFHYCRSLSFDQRPDYGFLKRLFRDLFTREVLEGKLGAMVRLLFSDHEVTSSNPGNILFTCGSKAAYIYSPHAASCTGPPFAPVAVPSNLEPVDVDKHKGLNDSTPIPMARPSTNIDRPRVSMKIMASNVQNLNVKFPTEKYIMNNGSSNLTPMPRISTENIAMPRISTENIVMPRVSKPERLIGNPNPDASSSSVPALRRASSIK >OIW04024 pep chromosome:LupAngTanjil_v1.0:LG10:2283368:2283639:-1 gene:TanjilG_30000 transcript:OIW04024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PSPPPPYVYKSPPPPTYIEKSPYVYKSPPPPSPSPPPPYVYKSPPPPAYTEKSPYVYKSPPPPSPSPPPPYVYKSPPPPAYTEKSPYIYK >OIW04025 pep chromosome:LupAngTanjil_v1.0:LG10:2282917:2283267:-1 gene:TanjilG_30000 transcript:OIW04025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PPYVYKSPPPPAYTEKSAYVYKSPPPPSPSPPPPYVYKSPPPPAYTKKSLYVYKSPPPPPHHVRIPSYHIPYQYKSPPSSQYVYKSPPPPSHHAHIPSYHIPYLYKSPPPPPTRGY >OIW03173 pep chromosome:LupAngTanjil_v1.0:LG10:12963118:12965723:1 gene:TanjilG_11810 transcript:OIW03173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAKAKKAMKTSLKKANSKKQSDGADFLVHNLVPLNFQLFITVSLGATKKLSLRDYIANPGNSLSACGYGLPRPQQPLEGGPAVKVPEQKQPREGTAKVLYVGRIPHGFYEKEMEGYFGQFGTIKRLRIARNKKTGQSKHYGFIEFESPEVAKIVAETMHNYLLFEHLLQVHLIPPEHVHPKLWRGFNYRYKPLDTVQVERERHDKERTLEEHNKLVEKILKRDKKRRKLIEAAGIDYEVPKIVGNVQAAPKKIKFED >OIW03424 pep chromosome:LupAngTanjil_v1.0:LG10:5586103:5594412:1 gene:TanjilG_12021 transcript:OIW03424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDSNSNCNNDDGNNDNWLGFSLSPYMKMEPPHHHHNHHEHDDGQVQDHNLYYHHSQQGSAVSNSTVPTSFYWENSAFHSLPLSMMPLKSDGSLCIIEALNKSQTQVMMPSSSPKLEDFLGGATMGSDQYGDSEREAMALSLDSIYYNQNAHESQTSRDFLSDPFRQHPYYSGLACNSIYQTPLDEEQETKQPHVTVCSSSQMPQVGDEGTACFKNWEQQVNNSTTSIGDGVIASGSPSGSVGVVGRVSSAQHCGNLQSLSLSMSHVSQSNCVTVPSGTTPTESVVMETKKRGSSKLGQKHTVHRKSIDTFGQRTSQYRGVTSTVPTSFYWENSAFHSLPLSMMPLKSDGSLCIIEALNKSQTQVMMPSSSPKLEDFLGGATMGSDQYGDSEREAMALSLDSIYYNQNAHESQTSRDFLSDPFRQHPYYSGLACNSIYQTPLDEEQETKQPHVTVCSSSQMPQVGDEGTACFKNWEQQVNNSTTSIGDGVIASGSPSGSVGVVGRVSSAQHCGNLQSLSLSMSHVSQSNCVTVPSGTTPTESVVMETKKRGSSKLGQKHTVHRKSIDTFGQRTSQYRGVTRHRWTGRYEAHLWDNSCKKEGQTRKGRQGGYDMEEKAARAYDLAALKYWGSSTHINFPLQNYQMQLEEMKNMSRQEFVAHLRRKSSGFSRGASIYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDVAAIKFRGVNAVTNFDISRYHVENIMASNTLLTGEQARRNNKDSEPRTETIKYNVVSSQISNKEELEAANNNNETNGSDWNMVLYHQSPQQQQQKQQSDSNSSDHKAMNCGNYRNPAFSMALHGLIGTDSVGSSNQTMLDHDSTIKVGTHFSNQSSLVTNLSSPRECSPDKTGPTLLFPNPPIGSTKIVSPIATTSVSSWFPSRAISMSHLPVFAAWNDA >OIW03668 pep chromosome:LupAngTanjil_v1.0:LG10:2755512:2756570:-1 gene:TanjilG_30732 transcript:OIW03668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDMEDDARYPPKSFSLNRQNPSHRQKHPIRGTPYHHHRPIPTRYVEEPDEDEEPEEFDNYDAGEDEFDQEENGYGGNFENDDGFGRNSNKKRKVRGGPSVSNYELAPHMKTSYNRSSEDDWTEHATFVLLEVWGDKFLQLGRNSLRSDDWNDVAEKVSEELKVERNVFQCRNMLDKLKRRYKKEKARIDEMCLNTSKWAYFKKMDMLMASSARQEYGLACGVDSGEYVFMNTRVYLNKSNGFDEMRDSPGESETDEDNDDSKTAVKVGGDEDDETSYRVLADSIQKFGKIYEKIESSKREQMMELEKMRLDFNRELELQKKQILERAQAEIAKIQEVDEDDTETSTENLSE >OIW03469 pep chromosome:LupAngTanjil_v1.0:LG10:5035394:5038066:1 gene:TanjilG_14694 transcript:OIW03469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRREREGLCPQRRRTPSFSSTLLDTIYRSIDESKTDLDQDQQVGHYNKPSKNINFSNKYNHGIHNSEKSGKERMNLRQAVMLEDWMEKQNSHSSYLLNPSSSSSECSSAGMFSFSSSETDSSNNKQRSKTKSEKPQMKQQQQQQQQQQKAQEEGGFARTKLRAMKIYGDLNQKVKQPISPGSKIACFLSSIFNSYNVKKAKMCYVGAVEDVTFEHKSKSPCFSSTPSSFSTRSCMTKTPSSAKRNKSNNNGIKRSVRFYPVSVILGEDSETDPSLMPLSNVRKVPRSSSTNDLKNTTMAKEKGYKNSSAKETVCTKMHRREREGLCPQRRRTPSFSSTLLDTIYRSIDESKTDLDQDQQVGHYNKPSKNINFSNKYNHGIHNSEKSGKERMNLRQAVMLEDWMEKQNSHSSYLLNPSSSSSECSSAGMFSFSSSETDSSNNKQRSKTKSEKPQMKQQQQQQQQQQKAQEEGGFARTKLRAMKIYGDLNQKVKQPISPGSKIACFLSSIFNSYNVKKAKMCYVGAVEDVTFEHKSKSPCFSSTPSSFSTRSCMTKTPSSAKRNKSNNNGIKRSVRFYPVSVILGEDSETDPSLMPLSNVRKDPRRSSTNDLKNTTMAKEKGYKNSSGKCNFDFNDFYDNGED >OIW03620 pep chromosome:LupAngTanjil_v1.0:LG10:3488916:3489509:-1 gene:TanjilG_06129 transcript:OIW03620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRCCCISIIICILIMILPVIFVTIFSPSNVEFHVTEFSLTQFNLTNNNNLYFNFKVNITARNRNKNTIVYYRRITAIAWYKDNDFAYVSLTPFEQGKKNTSFLQTAVFQGSSVFNLKPRQLAEYYIETRAGVYNDFSVDLDMTVRYKYGRLKSSRFNPPTVQCRCLSVPLISNGKPVASFNGTKCRNDYFFDVIP >OIW03608 pep chromosome:LupAngTanjil_v1.0:LG10:3299914:3303218:-1 gene:TanjilG_06117 transcript:OIW03608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELDLSKKVADRYLKREVLGEGTYGVVYKAIDTQTGQTVAIKKIRLGKHKEGVNFTALREIKLLKELQYPHIIELIDSFPHKENLHLVFEFMETDLESVIRDRNIFLSPGDIKSYIQMTLNGLMFCHKKWVLHRDMKPNNLLIGSNGQLKLADFGLARIFGSPDRRFTHQVFARWYRAPELLFGTKQYGSGVDVWAAACIFAELLLRRPFLQGSSDIDQLGKIFAALGTPSPSQWPDMVYLPDYVEYQYVPAPPLRSLFPMASDDALDLLSKMFTYDPKVRISAQQAYFTSVPLPTDPDKLPRPTPKTEPKASDFDSHEGPTVISPPRKSRRVMPGRDGFEGNSLQRNKTDDNVSSSRQKAGDNTGKTDPAPMSLDFSVFGLKPPNRPTINSADKTHLKRKLDLEFLQPE >OIW03919 pep chromosome:LupAngTanjil_v1.0:LG10:901075:904111:-1 gene:TanjilG_30195 transcript:OIW03919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSRNSSVRSGDSIEGMVNENVVGNVKLKPKKITSTKLVTALTCFQFFFAVYATFLLYYLSPSIDLPTKPDFTWATQIAQKWKQLMIRPHNVDHKESIKKPIINLSQVCQNEKIDFLQKKSNDVEMIKLKRELYDQVLSFQKETIGTETLDELMAMKSKYDLKGPNRPKVTVLLNHFKRKTLCAQLDSLLQQTLPFHHVWVLSFGSPNEATLKKIVDSYNDSRISFISSSYDFKYYGRFQMALQTEADLVYIMDDDMIPGKKMLQILAHVAGTEKYMNSVLGSIGRILPFRQKDFTFPSYRKLKSKEAGLYLPDPAYDITLDRIVQVDFLSSSWFLSSELIKTLFIETPFTFATGEDLHLSYQLQKYRNAGSFVVPVDPKDKETWGDSEHRLAYVSETTVIFKDRVQVRDDQWWKALSTGYVTQWAAMYPQKIDALFYAHSIDEVKALAPLLEKFRSTVGKKAYIVVSGGKFCPCEDAAKALNWPVFVCKERRFKIFDLVIGALSGISNSEVPVIQAVYSSMKGLIKVHNPSVVITVADIDHNVKKALNMALESLNGTTMILLPRASVSKVLWMSDLHSTALRNWNRMRISVNIITQNRVNSLTRLLKSLSNAYYIGDEIPITFNMDSKVDEATIRLVSSFEWPHGSKTLRRRIIQGGLIRAVSESWYPSSDDDFGLLLEDDIEVSPYYYLWIKYALLSYHYDPKISLPELSSISLYTPKLVEVVKERPKWNATEFFKKIHPNTPYLHQLPCSWGALFFPKQWREFYVYMNMRFTEDPKANPVQIPKSRTNGWQASWKKFLIDMMYLRGYVTLYPNFPNQTSFSTNHMEPGAHISAKENVVNHKKHDFEVPLLKEDFRNLLAGMKLPQASKLPSLNLFNQPVSLKGLKAAGAKLGQDVLRCDNATEIVIVDHATGLPHLCYKF >OIW03300 pep chromosome:LupAngTanjil_v1.0:LG10:9623971:9624186:1 gene:TanjilG_09947 transcript:OIW03300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLNSGVVVRVAHVSANLFQYIACNPEILQSDVVLQLLFCLPLQPFQRLALSLWSYLGYNYDDVNHRPHSE >OIW04028 pep chromosome:LupAngTanjil_v1.0:LG10:14496:20465:1 gene:TanjilG_24139 transcript:OIW04028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEEEEKDKMMMIVDLDDIKSTSSHAFHLESESTLNHTHNSSFFNITTIYLVFIKPKINVLLPFGPLAILLHYLTSNHHNGWVFFNLLGITPLSARLGYATEQLDFYTGPTIGGLLNATFGNATETIISIYALKNGLIRVVQQSLLGSILSNMLLVLGCAFFIGGIVHHNKQQAAAIVNSGLLLMAVMGILFPAVLHFTHSEHHFGKSVLSLSRFSSCIMLVAYASYLFFQLRTHQNLYSPVHEEAILWLAILTAWVSVLSGYLVDAIEGASESLNMSMAFISVILLPNVGNVAEHASAIMFAMKDKLDITIGVAVGSSTHISMFVEDISWFHLLLLMSAAKLVWVRHSRSCSDYAPLPSSKPETKHDNKENVVSNNNNNNNNNGSSSCSSSSSSSLPPKQFSKSKSLSTDRRRILKPTSLQLCMQLNEPQRGLASNLLEPPFESHKSTSFNIWDFSDSEAAPASSWSTLPNKSLICRQLPIDIGRCTCVIVKEALPQGLSGGTFYSLYTYEGHGRQNRKLAVAHHKRRNGRSQFIVAQNVKGLISNSDDGFLGTVTANLMGSKYHIWDEGYCHDLHRKQRKPPLAVVTYIPTIATCTGSHRSMRAYIPKHQPISLKNMTQAQHIKGLPMDWEGKLDKVHQLFSRVPLYNKISKQFELDFRDKGRAGLRIQTSIKNFQLTSEENGTQTSLQLGRVGKSKFVMDYRYPLTGYQAFCICLASIDAKLCCTV >OIW03571 pep chromosome:LupAngTanjil_v1.0:LG10:3811808:3817512:-1 gene:TanjilG_30991 transcript:OIW03571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALATSAALIASSVYCVARCDPKLLHFLGSHHGDAAGNSGFFVGSHAGKSDVVLERRRLKTTDTRILENNNTQIKSTFEIPVSCYQLIGVPDRAEKDEVVKAVMGLKNAEIEEGYTMDVVASRQDLLMDVRDKLLFEPEYAGDLREKIPPKSSLRIPWSWLPGALCLLQEVGESKLVLDIGRASLQHQDAKSYSDDLLLAMALAECAIAKIGFEKKKVSQGFEALARAQRILRSKPSLAKMTLLSQIEESLEELAPSCTLELLSMPHTPENVDRRRGAISALRELLRQGLDVETSCHVQDWPSFLSQAFDNLLANEIVDLLPWDNLAVMRKNKKTIESQNLRIVIDANCFYRVFTAHMALGFSSKQKELISKAKSICECLIASEGIDLKFEETFCLFLLGQGTEAEVVEKLKQLELNLNPKNNSVLGKAILDASTANPSLFYDKSFEFVISNLRHLAELDQEMWLKDSVLALFPDTKDCSPALANFFKSQKKFSGSKKTKGAAQTLPPICHRPLSLSGSLDRGDIEESRSYMSSSPNIGFAVKQLAPTDLQSSLLSGRNEKTNDLSESPVQVKRSLGTKRNGIWDSHFTHAHIFGKITYISVLGCIVFATVKLMGINLSRTLDGSHWALTKANNSTAWTVESSADYSVSPAYIRGTNTADILKKILPMAKIPFLHKSGPKKHSDLHASLSSPSSHINAYRRPMPVEEAETLVKQWQTIKAEALGPSYEVNCLSDVLDESMLAQWQTLADAAKEKSCHWRFLLLKLSILRADIILDGNGVDIAEIEALLEEAAELVDDSQKKNPNYYSTYKVKYILKRQEDGSWKFCEGDIRTP >OIW03950 pep chromosome:LupAngTanjil_v1.0:LG10:651195:653034:1 gene:TanjilG_30226 transcript:OIW03950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYAHSNTAFSRASTTTTTTLHSSSSPPIIHDSYTNNYNKNSYSSGNYNAASPSSVASTNLFMQKNTLSSNSLNHHNNYSGTHYSLSPFFSHLLHSDDAPVRRVYSTGDIHRINRMQHNHSSLSSECSIIIEGMNRACRYSPDEKKARIERYRSKRNQRNFNKKIKYACRKTLADSRPRIRGRFARNEETDNNPPAQWNHIGCGDEEEYENWVSIYDSLVASNLAQESQESSSFGLLY >OIW03441 pep chromosome:LupAngTanjil_v1.0:LG10:5420325:5420581:-1 gene:TanjilG_14666 transcript:OIW03441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFGSPWNGAYYGGSVYDGYGYALPPPHDPRIYAAAYGAYPVYGGHQQQVC >OIW03718 pep chromosome:LupAngTanjil_v1.0:LG10:2331865:2337304:1 gene:TanjilG_29753 transcript:OIW03718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSRHATLGRRTLEEIRQKRAAERLSKTVSGPDLTTVSEIATMNKSVAGIRFSETDVSALLSQLNDLQKKNTELEDENKKINIKLQTLGIDNGAMSKQLNDLGQNTVPSLRKALRDVAMEKDAAVVAWEDLSAQLRALKKRVKEAEDEQYRAEEDAAALRAELNLIQQQSMTNTVSTTSSFGIPPEHNQRLEKELADLRLLLQEQEQLSKEQSRIAALISEKQALEEKLNSMSGEAADIADKAAHKTFSMEDKQKLDKQLRDMALAVERLERSRQKLLTEIDSQSTEIERLFEENSNISNSYQEANEAAVRWEKQVMECLKQNEELRGILDKIRIEQARGLPDSFRYGEHEIGSPESTAENASMKGQLVKEQSRAEALSAEVMQLSAQLEQIKHAYHGLARFYKPVLRNIESNLIKMKQDNSIVVG >OIW03041 pep chromosome:LupAngTanjil_v1.0:LG10:15340715:15345356:1 gene:TanjilG_20969 transcript:OIW03041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHDRDSAADIPPPAAVAADDEGTTTPIPEKVTVGGSPLYRIGRKLGKGGFGQVYVGHRVGVAKNSTDRTGPGAVEVALKFEHRSSKGCNYGPPSEWQIYNALGGSHGVPRVYFKGRQSDYYIMVMDMLGPSLWDVWNNNSRMMSVEMVACIAIEAISILEKMHSRGYVHCDVKPENFLLGPPGTPDEKKLFLVDLGLATRWRDSSNGLHVEYDQRPDVFRGTVRYASVHAHLGRTGSRRDDLESLAYTLIFLLRGRLPWQGYQGENKGFLVSKKKMATSPEVLCCFCPPPFRQFVEFVVNLKFDEEPNYAKYISLFDGIVGPNPDIRPINTDGAQKLIYQVGQKRGRLTLEGDDDQQPKKKVRMGIPATQWISVYNARRPMKQRYHYNVADIRLPQHIEKGLEDGLFISGVACCTDLWALIMDAGTGFTEQVYELSPCFLHKEWIVEQWEKNYYISAIAGANNGSSLVVMSKGTQYLQQSYKVSESFPFKWINKKRKEGFYVTAMATAGSRWAIVMSRGAGFSDQVVELDFLYPSEGIHRRWENGYRITATAATGDQAAFVLSVPRRKPADETQETLRTSAFPSTHVKLLLAFCIPEFDVDLTDGRQWYTLSAHMTECTLDSDDKLWLYPASLAV >OIW03382 pep chromosome:LupAngTanjil_v1.0:LG10:6332349:6337020:-1 gene:TanjilG_31829 transcript:OIW03382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCCSLSEVISLSLTPPPLSSYTNIHTLSFNLHFQFHNPLFKFHNTSSSSFSISHHHHRVAPAVAPAQPSISTVDFDYGEYVVVNFYHFLFIKDPHAEVHKHLSFLHLQGLDIHGRIYLNEQGINAQYSGPSKDALAYLNWLREDNRFSDILAQISPSETGHAFPKLKLRYKPTLCQGGISHLPLLDPSARAIPLAPSEWKNKLEAINKIDLISEEHPNRNNIILDVRNGYEWDVGHFHGAQRPNVDCFRNTSFGLSEEEITASDPLSNVDKEKANILMYCTGGIRCDVYSTILRQQGFQNLYTLEGGISHYLKNEGPAEWVGNLFVFDSRLALPPSVFHSRATTEAEMTQISGDDKFAKCYICCSEVTELRHRNCANLDCNLLFLCCRKCVKELRGCCCLSCTTAPRLRPVLNGEHRYKKWHIYRDMDMLEKMKIST >OIW03769 pep chromosome:LupAngTanjil_v1.0:LG10:1926643:1928190:1 gene:TanjilG_30045 transcript:OIW03769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHHSCCNKQKVKRGLWSPEEDEKLINYITTYGHGCWSSVPKLAGLQRCGKSCRLRWINYLRPDLKRGSFSAQEAALIIELHSILGNRWAQIAKHLPGRTDNEVKNFWNSSIKKKLFSHDVVPSLSTFSGNSFVESFFPLSTENPILNYHHHHNHLDQLYLPILQGNFDHNDIKLDINNYNTNFLHIQNPMPQTVPSNNPPLLCEDTWSLGTVPHHLNPYQDIQITKSDATNQSTWQHYESVEPNINVPKVCDSIEDYVCNIPYNPSTSKEQHETLARIQCYAPSICSQDDETLDATNQVEYIDALIMSSLQSTTTSSSSIVTNSIIPSSLEYS >OIW03743 pep chromosome:LupAngTanjil_v1.0:LG10:2134618:2135022:1 gene:TanjilG_30019 transcript:OIW03743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGRGKGKKLTATNHEDAGSGEDEKIPVQKRRGRPQKPLKDDFDEDEVEKMDDDSDNVKNGVSRKEMKSTTAAERKRKRNLQVKEKLESAEEENGVGNRSSTEELTKSNGFRHNGSRRKSTPRRAAEAGVQCK >OIW03923 pep chromosome:LupAngTanjil_v1.0:LG10:865442:873206:1 gene:TanjilG_30199 transcript:OIW03923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPWFVEGILDKENFTLEELLDEEEVIQECKALNSRLINFLRDRAQVEQLLHYIIEEPPEDAESKRAFKFPFIACEIFTCEIDVILKTLVDEEELMNLLFSFLEPNRSHSTLLAGYFSKVVVCLMIRKTVQLMNYVQAHQNVFRQLVDLIGITSIMEVLVRLVGADDHVYPHFIDVMQWLAETDLLEMIVDKLSPSSPPEVHANAAETLCTITRSASSTLAIKLSSPSFVSKILGHALEDSQSKSSLVNSLSVCISLLDPKRSISSPLFHSFRSQHMYEPSIPVNPETIGAMLPKLSELLKLLNVSSNEKVLPTTYGELRPPLGKHRLKIVEFMAVLLKAGNGVAEKEMVNSGTTRRVIDLFFEYPYNNSLHHHVESIIITCLESKTDVIVDHLLRECDLISRFIQTDKRTILYADGNQPTVPADGKRAPRTGNLGHITRIINRLVHLAHNRSDILKYLEGNGQWNEWQAIVLQERNVVQNVHRWACGRPTALQDRMRDSDDDDLHDRDYDVTALANNLSQTFKYKIYGSDDNEEERGGHDRDDEDVYFDDDSAQVVISSLRLGDDQGSNLFTNSNWFAFQDDKMGDAPGDMGSSEMMDEINLNGAANSGNSSDDEVVVGEDEELNESKNTVNGTSSSSVNLSGLTGSDSTDEGTLNIVSEKASVSNDMGFFKFEAPDNEDLFGDRPLPDWVGWGEPSDMQIAGSSLNPFVDKDESSNNNSTKPQSGSPNLSSPSTGESIPSNRSPTTKDSIDGGGDMSHKSVSVPSLFEEDVEFVGVELEGTEKAMEQALKEGIVGEAGPLKRNIVSKVAEKENSEEGGPGMKEFNDANYWRVDQEVAVLE >OIW03076 pep chromosome:LupAngTanjil_v1.0:LG10:14695997:14698708:-1 gene:TanjilG_19356 transcript:OIW03076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGYAIGASSSWGSALVRISPYTFSALGIAISIGVSVLGAAWGIYITGSSLIGAAIKAPRITSKNLISVIFCEAVAIYGVIVAIILQTKLESVPSANLYAAESLRAGYAIFASGIIVGFANLVCGLCVGIIGSSCALSDAQNSSLFVKILVIEIFGSALGLFGVIVGIIMSAQATWPTKV >OIW04056 pep chromosome:LupAngTanjil_v1.0:LG10:186993:190922:1 gene:TanjilG_24167 transcript:OIW04056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVDGHEQALLSNHNHEHHDQQYELENILSNTTLPVQTRLRKALWIESKYLFFLAAPAVVVYLINYVMSMSTQIFSGHLGNLELAAASLGNTGIQIFAYGLMLGMGSAVETLCGQAFGAKKFEMLGIYLQRSTVLLSLTGVVLTVIYVFSGPILVFLGQAPNIASAAALFVYGLIPQIFAYAVNFPIQKFLQAQSLVAPSAYISAATLVLHLFLSWLVVYKVGLGLLGASLVLSISWWIIVIGQFVYILKSEKCKNTWQGFSWEAFTGLPEFFKLSAASAVMLCLETWYFQILVLLAGLLPHPELALDSLSICTTVSGWVFMISVGFNAAASVRVSNELGARNPRSASFSVVVVTAMSFIISVIAAVVVLALRDVISYVFTEGEEVAAAVSDLCPLLALSLVLNGIQPVLSGVAVGCGWQSFVAYVNVACYYGVGIPLGAVLGFYFKFGAKGIWLGMLGGTTMQTIILIWVTFRTDWNKEVEEAGKRLKEWENMKEPLLLN >OIW03647 pep chromosome:LupAngTanjil_v1.0:LG10:2996394:2996831:-1 gene:TanjilG_22304 transcript:OIW03647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVEKIRKKNKRVFIEDVLHEEEEEEEADKEENSDHRLKKLKAGQETAFTGAGCSNSGVVPTEEEVEEFFAILRRMKVAVKYFHNKGKVGGNQWREELEQVDVTVDDDGTGVDGVVDGKKGGKVIINEGLDLNTVAPESSESGGA >OIW03841 pep chromosome:LupAngTanjil_v1.0:LG10:1419963:1423253:1 gene:TanjilG_30117 transcript:OIW03841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVLQTRGLLSLPSNPRNRVLHPSHGLKHRFFTPKPKILHGSSLTTNVSQKFNAFAPSKNNNLFGLKDRNLFICRAEAAAADNGQPLVGEKEFETPKILGIQVTTLKKIVPLGLMFFCILFNYTILRDTKDVLVVTAKGSSAEIIPFLKTWVNLPMAIGFMLLYTKLSNVLSKQALFYAVILPFIAFFGAFGFVLYPLSGYIHPEALADKLLNILGPRFLGPLAILRIWSFCLFYVMAELWGSVVVSVLFWGFANQITTIDEAKRFYPLFGLGANVALVFSGRTVKYFSNMRQHLGPGVDGWAISLKAMMSIVVGMGLAICFLYWWVNNYVSLPTRSKKKKEKPKMGTMESLKFLVSSRYIRDLATLVVAYGISINLVEVTWKSKLKAQFPSPNEYSSFMGDFSTATGIVTFVMMLLSQFIFDKYGWGVAAKITPTVLLLTGVAFFSLILFGGPVAPIIAKLGMTPLLAAVYVGAMQNIFSKSAKYSLFDPCKEMAYIPLDEDTKVKGKAAIDVVCNPLGKSGGALIQQFMILTFGSLANSTPYLGGILLVIVLAWLAAAKSLDTQFTELRQEEELEKEMERAASVKVPVIAENSEGGKDSPADVSPLNPAAGGSSTTNN >OIW03747 pep chromosome:LupAngTanjil_v1.0:LG10:2091447:2091845:1 gene:TanjilG_30023 transcript:OIW03747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTIHNHNHHILQLLLSCRKITAQVTNSTTSSIIAMASSSEQEFVAHYRTKLNRFPRSHQFWDAKVASRVGEKLGLRLREIGVTGVQIDHREERSRPVQYRMMVSPLFYSMKRAGVEVSGVEKLGEVHSSV >OIW03870 pep chromosome:LupAngTanjil_v1.0:LG10:1205751:1206338:-1 gene:TanjilG_30146 transcript:OIW03870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWFSWLSKSGLESSLVYEYGLVLAHNELEEEDIIYFNHEFLQSMGISIAKHRLEILKYARKHKGKCPYTVARLMVAIKRTKNRFADYFRTMTRREESEALVMVPPTPRPSGFGTRWKSALMKRNKKLMVAKQERLFLTNATSPNRVVSALDDCSSLVMYHFQKEEKIDEDDEGYWSAAVEEIKWDTMFQDLKPN >OIW03521 pep chromosome:LupAngTanjil_v1.0:LG10:4398435:4403474:1 gene:TanjilG_31034 transcript:OIW03521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTLLPRLGVGLCTPRLFHQPPHSSKKPYKLTSSGTASVSFSATCSASKWAERLISDFQFTDDTSSSDNHHSLSSTATLSPSLPPPPLDPPERHLSVPLDFYQILGAETHFLSDGIRRAYEAKFSKTPQYAFSDDALISRRQILEAACETLVDPASRREYNQSLIDDKNGTILTEVPFHKVPGALCALQEAGETELVLQVGHDLLKERLPKSFKQDVVLAMALAYVDISRDAMALSPPDFTVGCEMLERALKLLQEEGASSLAPDLQAQIDETLEEITPRCVLELLALPLGDEHRVCREEGLQGVHNILWTVGGGGAAAIAGGFTREDFMNEAFLHMTASEQVDLFVATPSNIPAESFEVYGVALALVAQAIVAKKPHLIQDADNLLQQLQQIKVATARDPSSVYTSEEKREVDFALERGFCALLVGELDQCRSWLGLDNESSTYRNPSIIEFVKENAKGDEDNDLPGICKLLETWLMEVVFPRFRDTKDTTFKLGDYCDDPTVLRYLEKVEGVGRSPLAAAAAIAKVGAEATVVSAINTLKKVFPVGSEDQIVKHQENGEKDYSSLSEGESPVILSAQDISANVEVSGIKNSTDSSVCTTDEIKDASVKIMSAGVVIGLVTLVGLKFLPARNAFSILRKMTGSAMASDTINFDSLQEEELREQLPKMDARVAEALVRKWQNIKSHAFGPDHYLQRLQEVLDGDMLKIWTDRAAEIAERGWSYDYTLEDLNIDSVTISQNGRRAVVETTLKESTRLTAVGHPQHDTPNSRTYTTRYVMSFAGSGWKIVEGAVLES >OIW03989 pep chromosome:LupAngTanjil_v1.0:LG10:412724:414108:-1 gene:TanjilG_30265 transcript:OIW03989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVSNNITALLNFIALLASIPIIAAGTWLASKPDNECIHNFRWPVLILGILILFVSLAGFIGAYWNKQGLLAFYLFCMAILIALLLVLLVFAFVVTRPNGTYHVLGRGYEESRLDGFSAWLRNSVTNDESWHKIRTCLTHSSVCTKLTQDYVTADHFFNSHISPLQTLIATYGTMIRPNCATIAMHARLVYLVTLEKSGGEPISY >OIW03889 pep chromosome:LupAngTanjil_v1.0:LG10:1106840:1111784:1 gene:TanjilG_30165 transcript:OIW03889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRDVVTHVAVSAADFFITGSIDGHLKFWKKRPIGIEFAKHFKAHLGPIEGLAVSGDGLLCSTISDDRSVKVYDVVNFDMMVMIRLPYIAGAVEWVYKQGDVKAMLAVSDRNSSFVHIYDVRAGSNDPIISKEIHLGPIKVMKYNPIYDSVISADVKGIIEYWSPATLQFPEDEVSFKLKTDTNLFEIVKCKTSVSAIEVSPDGKQFSITSPDRRIRVFWFRTGKLRRVYDESLEVAQDLQRSDAPLYRLEAIDFGRRMAVEKEIEKTEDAPLPNAVFDESSNFIIYATLLGIKIVNMHTNKVARILGKVENNDRFLRIALYQGDRNSKKVRKIPAAAANANESKEPLTDPTLLCCAFKKHRIYLFSRREPEEPEDATKGRDVFNEKPPADELLSVSDIGKAATTSLPDNVILHTTMGDVHMKLYPEECPKTVENFTTHCRNGYYDNLIFHRVIKGFMIQTGDPLGDGTGGQSIWGREFEDEFHKSLRHDRPFTVSMANAGQNSNGSQFFITTVATPWLDNKHTVFGRVVKGMDVVQGIEKVKTDKTDKPYQDVKILNVTVPKS >OIW03851 pep chromosome:LupAngTanjil_v1.0:LG10:1337629:1349587:1 gene:TanjilG_30127 transcript:OIW03851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANEDISNWSDLLHSSTKLLEQAASSAQFPPLQRNLDQLEALSKKLKSKTLRTEAPSQSIAATRLLAREGINAEQLAKDLKSFELKTTFEDVFPVEATSVEEYLQQVHEMAMVSAVQEAQKDNSRSFNNYMMKVLEEDWQKEKRDFLQSLNRISTLPRTNMNANSNVGTHQGQIVSIASSPQVSSGMEVVPLTSRPIVEKKASIYAEVVKNLNKSRERGLPFKPAASFKSAYVSLDIDGGGGKSVTMRKIWHLVQMMMDGDPSQQRVSIRMSLTIGARRHLELGHEKYIMDTIHSHPAQAALGGGVGNLRRIRAFLRIRLRDYGLLDFDAGDARRQPPVDTTWQQIYFCLRSGFYDEARNVALSSRAAHQFSPLLTEWINTGGMVPEEIASAASEECERMLRTGDRVGRAAYDKKKLLLYAIISGSRRHIDRLLRDQPTLFSTIEDFLWFQLSAVRDCPSGPSSIVLSDGLVPYSLDDLQIYLNKFEPSYYTKNGKDPLVYPYILLLSIQLLPAVLYMSKETGDEGYNIDAVHLSIVLADHGFLSEGAGHKLGVMDVYAEVSTIIRQYGSMYLRLCDLQMALEYYAQAAAAVGGGQLSWTSRGNVDQQRQRSSMLKQLLTELLLRDGGIYLLLGARGSGEEGELGRFVTDPKARQQFLIEAAFQCQEAGMYDKSIEIHKRVGSFSVALDTINKCISEAISALFRGRSDGESRTAGLIHSGNDILETYTYYPDVSLQERQLVFEQQNVLRQLESVLSIHKLARLGNYVDAIREVAKLQFLPLDPRGPDIAINVFENLSPHLEACIPDLLKVALTCLDNVTDSDGSLRAFRAKISSFIANNLKRNWPRDLYERVAQSDFYALWIDTRSTFHPHATASPPFDPSHTSYYFLTIDDDYINILVIGSINLCKLRSRHVQAMPPSSVIMNADESGKISQSKKLHGSNLAPGIKGEHVSPLISHGNAVGIIGGVSVDATLIFLRKLVELSSDSSKDGVNSDSNSIPFVLCSDPLLNKEVLSYERSYFVSGRNKMEFLKLDSSPIVQNLRNKRVFLENSGASCIVIPCNVSHTWYEEVSKGCSVPFLHIAECVAKELKEAKLKPLEAGSPLRIGLLASNATLASGFYQEKLQNEGFEVVLPDRATMEHAVIPATEALNRKDMEGASNLLRIALQVLLVSGVNSIILASDDMRDLLPRNDPLLKKCVDPMDTLARSTIKWVRSAGDNT >OIW03184 pep chromosome:LupAngTanjil_v1.0:LG10:12726491:12733134:1 gene:TanjilG_11821 transcript:OIW03184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIEQENGHHHHHHHDAEIENEKEGINGCLSSFIDDGSIESHRYYLSRRTALEMLKDRGYSVPSSEIDISLSQFRAIHGQSPDIHRLRFSATHNSDPSKRILVIYCGPGVVKVNVIRNIAGQIVNRETLTGLILIVQDQITAQALKAVKIFSFKVEIFQITDLLVNITKHVLKPKHEVLTERQKQNLLKKYNLEEKQLPRMLQTDAISKYYGLERGQVVKVTYSGEITEMHVTYRCVW >OIW02982 pep chromosome:LupAngTanjil_v1.0:LG10:15956986:15957414:1 gene:TanjilG_13619 transcript:OIW02982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEINKLSQYESVFNHFDKNGDGKISPPELQQCVEAIGGTLSDEDAEEAVRIMDSDGDGLLGLDDFVRFLEEGKEEEKVNDLREAFKIYEMDGSGCITPKSLKRTLSRLGESRTIDECQVMISYFDLNRDGVLSFDEFKVMML >OIW03542 pep chromosome:LupAngTanjil_v1.0:LG10:4149388:4150984:-1 gene:TanjilG_30962 transcript:OIW03542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEQRRKEKEQDNVNNNMFSEEEREVAELLVELPRLILDLEAPLLSLLPFTWGCKRIRSAIQDYTPTKCAAVVADAPPPLHHGGVTVAPPCEAASSPATPLSLSLTESSDKGKKSLPCKASLRRQKEYYVKSVEDLTKSKASLNIEIDNVKRYSEQLKAFNLKLKARKQELGYRYSSNKSEVRKPNLGIGLPMQLVYASVHTPNSMAENQQQQQYVLMLNQTCGQSQIRNTECIAQFQYLSGHHPTTSLPIPSSSSSPNSSNAELDLVNNTMGPIGILDLNVSSEELIHVDSFQPLDVNVVNKDLNRAIAAQARQRRIQINKLRESHRK >OIW03153 pep chromosome:LupAngTanjil_v1.0:LG10:13305231:13307085:1 gene:TanjilG_11790 transcript:OIW03153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDRVDRSRLLKRAAHKDREVIGARKRVWLNSSSLLVDQIRETSNPRWESELPSPFGQSSGRAALVGPSTHRGGPTVDLTHADSSRKLDAQKVVVAEEMLDWVEKRIAKMSDRVDRSRLLKRAAHKDREVIGARKRVWLNSSSLLVDQIRETSNPRWESELPSPFGQSSGRAALVGPSTHRGGPTVDLTHADSSRLAVASVEE >OIW03655 pep chromosome:LupAngTanjil_v1.0:LG10:2913342:2915338:1 gene:TanjilG_22312 transcript:OIW03655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGALCTTLVLSPNHIFNVPNPGHKNFPLKYSKDWSIIKTVAASGLGKGGGVLERPTIERTSPGRESEFDLRKSKKTSPPYRVLLHNDNFNKREYVVQVLMKVIPGMTVDNAVNIMQEAHINGMAVVIVCAQVDAEDHCLQLRGNGLLSSIEPADGGC >OIW03056 pep chromosome:LupAngTanjil_v1.0:LG10:14969640:14970798:-1 gene:TanjilG_19336 transcript:OIW03056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFIVGPTFTIVGDQPLFHGGSAYRKPEFFTAESSESSSSIGSPENSDDDEEVQSKLKGLGSFENSLNIEKGLSSHFIGKSKSFTDLSQVNTVKELEKQENPFNKRKRVLIAAKWSRRSFYSCSNPKSMPILPIVDEDQDNNNYYDEEEEENGKRKLSPSSSSSSLEEKKQEEDQVQLKKLDNNRVPQSYASHMRLRLRSFKSRSFSLADLQEHDNEEEEEED >OIW03766 pep chromosome:LupAngTanjil_v1.0:LG10:1957407:1961352:-1 gene:TanjilG_30042 transcript:OIW03766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNQKQKWTADEEDALHRGVQKYGAGKWKNILKDPDFAPFLTSRSNIDLKDKWRNLNVSNGQGIPKDKSRTPKPKSILPPPSLPAPASVIASVPIAVSAPQNVVSVNQDKFVDDLTNAEDSKNPSRYNAMVFEALSTIKDVNGSDVSAILSFIEQKHQVPSNFKRALSTRLKRLVNQGKLEKVQNGYIIKKDAPSVTKSPSPSPKPKDAWPRQSSASSILSCSETAREAAATAAYRIAEAENKAFLAAEAFKEVDRLSQMAEDNEIVLRLATQMYEQSLRGDIVLLD >OIW03531 pep chromosome:LupAngTanjil_v1.0:LG10:4264268:4264585:1 gene:TanjilG_30951 transcript:OIW03531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGKSSKLPQKALLKQILKRCSSLGKKQGYDHDQGIRLDVPKGHFVVYVGENRSRYIIPISILSRPEFQTLLHQAEEEFGFDHENGLTIPCEEDVFESLTSMLR >OIW03701 pep chromosome:LupAngTanjil_v1.0:LG10:2469330:2478581:-1 gene:TanjilG_29736 transcript:OIW03701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEVTVHLPLSVENLIQNICMEQHQNPLDSKVRHHLALIGEQQALQLLTKISRTQIKTTFTKFTQYMINTYYTPSTSEKQQHNSNASPSSPATTHLSFTSPSNQISFGTTHSPVAEHQRRSLNSSTQIKEEKGFSAVMEAFGELEFRKAFLLLSYIGGESLENAITAEMIRSLKDLPMVRFEKEIWDAVGKRYMNSDKKERQLYLDWDSGRSHVYHCYVSPNGSLRFKGPILQKTWTHLQKSLGDENVLVVKFAEETNVRDSVTNVQEPAALYRKFGKEGIHVGLRLYRFFGNPVFLSLFMHVHMLANLDKYMARFSLILSKTLTLKIDLATVHVEDIGDIICKDENGNPVYDSNDKARIHTDGTGFISADLAVLCPCNVSEGRDPKNKHIGEITNLVDLEEMSKETGGAECRHQPVDDLISFCQFSYFYDMFICCRLFHMGSAIKGTLLLNRKLPPRTIQVRPSMIKVPRDKSLLNIQSINSLEVVGTSNKPNRSYLSKYLIALLSYGGVPNEFFMDVLRSNLEEANRVYSNKRAALKASLNHGDMDDYNLSEMILAGISLNEPFLQYHLSILAIEEKKRLRAGKLYVSDCFYLMGTVDPTGRLKRNEVCIIHENGQIRGPVLVYRNPGLHFGDIHMMQATYVEELESYVGHSKYAIFFPCVGPRSVADEIAGGDFDGDMYWVSKNPQLLQYFKSSDPWVERTAPCNAVSSDSSVEEPSVISDELEEQLFGLYLRTRFEPSSAVGVAADSWMALMDRLLTLRNRCNKEKQIKHLKESILQLIDIYYEALDAPKKGGRKFQVPKDLAVEMFPHYMEKNKSFTSTSIVGSIYDEVGRWQEEDLSRKEITKLPCFDVEIPVSCMEKWKALYEEYRKDMKNALNHNSNSKDEAAEVIKIYQEKLYGAARTEDSLYYEALAVYHVTYDYAIQRNDVKKCAFAWKVAGSTLTNIYIVQQNLNARVFNPSVLREIFCS >OIW03097 pep chromosome:LupAngTanjil_v1.0:LG10:14036572:14041822:-1 gene:TanjilG_07249 transcript:OIW03097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIALVVGSTALVALGLCFLFYRIYYTKYYSSKSSKKNVQTSEGQDAEKGVALSPFLSKFSSIKLVGMKECVPIIDYKQIEKGTNNFKESNILGEGGFGCVYKARLDDNLDVAVKKLHCEKSQYVEKEFENEVDLLSHKRDQMDSQKKMVIALVVGSTALVALGLCFLFYRIYYTKYYSSKSSKKNVQTSEGQDAEKGVALSPFLSKFSSIKLVGMKECVPIIDYKQIEKGTNNFKESNILGEGGFGCVYKARLDDNLDVAVKKLHCEKSQYVEKEFENEVDLLSKIQHPNIISLLGCSSNEDTKFIVYELMQNGSLETQLHGPSHGSALTWHMRMKIALDTARGLKYLHEHCFPPLIHRDLKSSNILLDASFTAKVSDFGLAVIDGSQNKININLSGTLGYVAPEYILDGKLTDKSDVFAFGVVLLELLLGRKPVEKLAPHQCQSIVTWAMPQLTDRSKLPNIVDPVIKDTMDLKHLYQVAAVAVLCVQPEPSYRPLIKDVLHSLVPLVPVELGGTLRVSQVTQQLFPIDSTVNCTQ >OIW03661 pep chromosome:LupAngTanjil_v1.0:LG10:2837895:2840355:1 gene:TanjilG_30725 transcript:OIW03661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNENKSKKHDSRKVSGLSNQPSRKQHRKGENPMRFIPEPDLPSGFGHSNSWICKNSACRAVLSKYDPFCKRCSCCICHLFDDNKDPSLWLVCTSESAQGDSCGLSCHIDCALQHEKVGVVDHGQLMQLDGGYCCASCGKVTGIIGCWKKQLSIAKDARRVDVLCYRIYLSYKLLDGTSRFKELHEMVKEAKTKLETEVGPVDGVSTKMARGIVSRLHIASDVHKLCSLAIEKADEWLATIPNISPESREGSLHAACKFVFEEVTASSVKIILIEMPIVSSEHIKGYKLWYYKSRDESHTEDPVCVFPKNQRRILISDLQPCTEYTFRIISFTDIGDLGHSETKCFTKSIEILEKNSSSSVAMNHKKENLQTGSNSSASKVEPDPKMEDSGFKVGDLGKNLCFAWAQEQDCLEEYFCDDKKKCCGPSPEDQLPSFSRGLDLNVVSMPDLNEELIPPFESSRDEDNGCTLQQAVEADDNAASHDLEKNCLTRLHGSGDSQTGTHGPTAEVPAVDSRVDACRKRIASTNEETPDCDSTLINESPLCIPDGSLSLDENFEYCVKVIRWLECQGHIKQEFRLKLLTWFSLRSTEQERRVVNTFIQTLVDDPSSLAGQLVDSFSDIISNKRPRNGFSNKVVASN >OIW03657 pep chromosome:LupAngTanjil_v1.0:LG10:2892611:2898248:1 gene:TanjilG_22314 transcript:OIW03657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVLLCQVPRTGFDVQSIDWTTNMDSFLKTLSCLDFNGNAFNQYAMAEGLAEALVMYTRPFNATSTAQDYYNGERHCVLVTIGDPIPLKMSVSVPMIQEGKLVLGKQLETNVDFLEVTQMFKELATSFSVISPSQSAIFGELFNLGNNIAEMENAPLSNYKIDKLVVMISKNFKEAHEAIYEKGVVHDSVKRSLESMRTSDSTFTKLLTCDLQESEDLFSIREQTIKSAKAVRPKEVRSVTSSMESQVIEVNPNSIKSASSSPKNAYEDTMAELEVDNYIGQPSKKPKTCINLDPLTGLILPPQLSFGGGIDSFGEDQTNFTLKMDSYMDALKAVEAELEKPMEGGGNDNGVKVPPLVFPKPPSTTSRGLKEFPKLELLLDEQRINAGVLNYFTIENNSSSALLPHENSSTLCHMSPQQARNVHGNSFYSNVSDNSFFGGGSSNNSHFSGGSSNNSMFGRSMSNSLSGGGSSGNSHFGGGSSTNFNTHFGVGSREPFPSDFGTRNIILLPPVPRNIPYVTGPESLFSPLPSTTDFDDYVQMTWEGFRKPTSPFNLIQNKVEFVYFHITQHNNLRLYDHLIKNDMCARINVPSQTLILIPTEKELYYVGTVFQGGIAFVEP >OIW03265 pep chromosome:LupAngTanjil_v1.0:LG10:10587666:10590956:1 gene:TanjilG_20569 transcript:OIW03265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKLVWQRRVFRFLQQSLSSCPYVCSSSIHGPRILSVHMSKNLCLSPHNSHIPALESSRSLCSSSSNLVHESHGPTAIDYRSVLQEGEFHRLADSTIHSLQEKLEDYGDSVEVDGFDIDYGNDVLTVKLGDLGTYVLNKQTPNRQLWLSSPVSGPSRFDWDQDSKAWIYRRNKTNLCKILESELEELCGKPIVFS >OIW02956 pep chromosome:LupAngTanjil_v1.0:LG10:16229129:16231008:1 gene:TanjilG_13593 transcript:OIW02956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPPRVVIDNNACEDATVIQVDSVNKHGILLDVVQVLSDMNLVITKAYISSDGFWFMDVFYVIDRNGDKIRDTQIIDYIQRRLENNPGFAPSMRESVGVVPSEEHTSIELTGIDRPGLLSEVCAVLTDLHCNVVNAEIWTHNNRAAAVVHVTDDSTGCAINNPSRISTIRDLLCNVLRGSSDLKTARMTLSPPGVTNWDRRLHQIMFADRDYEKRVQRAGDTTMVPHVSVIDCIQKDYTVVTMRAKDRPKLLFDIVCTLTDMEYVVYHGVVQTIRTEAYQEFYIRHVDGFPISSEAERERLIQCLEAAIERRASEGMELELSTKDRVGLLSDITRIFRENSLCIKRAEISTENGKAKDTFYVTDVTGNPVDPKIIDSIRRQIGVAALQVKHNSNLSQKASGSTTIGFLFGNLFKAGSFQNFKLNRSYS >OIW03904 pep chromosome:LupAngTanjil_v1.0:LG10:1010439:1013181:1 gene:TanjilG_30180 transcript:OIW03904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDAIYYIGFLRDKAPHFNALNVYIEHRYYGKSIPFGSSEEAMRNASTRGYFNSAQALADYAAVLLHIKKTFSAQNSPIIVIGGSYGGMLASWFRLKYPHIALGALASSAPILYFNGIAPQAGYYHVVTNDFKETSESCYQTIRKSWSEIKRVAKNLNGLSILSKRFKTCKKLSKSFELEDYLDSIYTGAAQYNDPPVNVICDAIDAAAKKTDVLGQIFEGVIASMQNRTCYDIDEFNHPTQSGLGWRWQTCSEIVMPIGHDRSDSMFLPAPFDMKEYVNKCSNLYGVLPQPHWVTTYYGGQDLKLVLYKFASNIIFSNGLRDPYSSGG >OIW04003 pep chromosome:LupAngTanjil_v1.0:LG10:337654:338256:1 gene:TanjilG_30279 transcript:OIW04003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHIRKSRVPCILKVGLMVVVALFGRVKSQDKVEAPTTSSSSGLLCISECVTCPTICSPPPPSLVTSYSNRPPPPLLTSYPPPPPLLTSYSPPSSPRVPHSPSQYFPPPHKSLLPPPALLFPPPMSGAPPSPPPPPPPLKSYNSQPSSSGSGSGQPNVIGGPHQYPYPYYYYYASGTCSLSIHVIPFFLLPLFLHQLFFC >OIW03376 pep chromosome:LupAngTanjil_v1.0:LG10:6267460:6269565:-1 gene:TanjilG_31823 transcript:OIW03376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSRGHWRPAEDDKLRELVEHYGPHNWNAIAEKLRGRSGKSCRLRWFNQLDPRINRTPFTEEEEERLIASHRIHGNRWAVIARVFPGRTDNAVKNHWHVMMARMRREKTKLYAKQHVHHHHTNSSSNPKDNQQSNYEITSFPYSFVENFGYTCVKYNPFQFPNKFHFQQPASSSTILQDKSQSVEFYDFLRVNTDQSNKSEVTDNTRRDEEEVNQDGVGQENKDGSPFIDFLSVGSS >OIW03025 pep chromosome:LupAngTanjil_v1.0:LG10:15543694:15553293:-1 gene:TanjilG_13662 transcript:OIW03025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEIASSVVHEVLGRKIIDVDQPIVDYIVNVLADEDFDFGLDGEGAFDAIGELLVAADCVDDFSECRSVCSILSEKFGKHGLVKAKPTVRSLAVPFRMNEGLDDGEAPKKKPEPVDGPLLSERDKLKLERRKRKDERQREAQYQMHLAEMEAARAGMPVVCVRHDGSGGANIKDIHMENFNISVGGRDLIVDGSVTLSFGRHYGLVGRNGTGKTTFLRHMAMHAIDGIPMNCQILHVEQEVTGDSTSALQCVLSADIERTQLLEEESQLLAKQRESEDKIERSNDNSNGVVDKDNISQKLEGIYKRLELIDAYSAESRAATILAGLSFTPEMQKKATKTFSGGWRMRIALARALFVEPDMLLLDEPTNHLDLHAVLWLESYLVKWPKTFIVVSHAREFLNTVVTDIVHLQNQKLTTYKGNYDTFERTRAEQMKNQQKAVEAHERSRSHMQSFIDKFRFNAKRASLVQSRIKALDRMGHVDEIVNDPDYKFEFPTPDDRPGAPIISFSDASFGYPGGPILFRNLNFGIDLDSRIAMVGPNGIGKSTILKLIAGELQPTSGTVFRSAKVRIAVFSQHHVDGLDLSSNPLLYMMRCYPGVPEQKLRAHLGSFGVTGNLALQPMYTLSGGQKSRVAFAKITFKKPHILLLDEPSNHLDLDAVEALIQGLVLYQGGILMVSHDEHLISGSVEELWAVSDGRVTPFHGSFHDYKRILHS >OIW03495 pep chromosome:LupAngTanjil_v1.0:LG10:4749318:4752166:-1 gene:TanjilG_31008 transcript:OIW03495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYRTLLSRQKLNKLNAFLHSHFPKSLSTLPTFLHTTHHSPSSSSTFQSFPKYFHSTRVTQLSTNSLVPVLNSDDLGNDDFDNSHDATMNEFLSRFVWIMRKRVTESYPDSDKQTIDGMLLVIIEKVVSELEKGGFENILEGSSATASSFESGDFFSEDLWSTVWEVSNKVLEDMNKERKKEKMKGFLQCEEVKEMCRFAGEVGIRGDLLREMRFKWAREKMEEHDFYEGLERIKKEADAAQAEEENQEGIGEFDVVGEEKVNDKVVTLPKRKGKIKYKIYGLDLSDPKWEEVADKIHEAGQVMWPEEPKPISGKCKLVTEKIVSLNADDDSLLTLLAEWKELLQPSRVDWISLLDRLKQKNPPLYFKVAEILLTEDSFQANISDYSKLIDIYAKESRFDDAESLLKKMKEKGILPDAPTASVLVHMYSKVGNVQRAKESFETLRSYGFQPDVKVYTSMIMAHVTAGNPKDGEVLMRDMETRDIKPTKEIYMALLRSYSQHGDVLGVKRIFTTMEFAGFKHTLETSTLLIEANAHAGDPDQARSDFDLMMKLGHKPDDRCTAGMIAAYQKKNLLDKALNLLLELENNGFEPGVATYSVLVDWLGELRLVEEAEHLLCKIALLGEAPPLKVQVSLCAMYARAGNEKKVLQTLGFLESRKDELGLAEFEKIIRSLVAGGFEQDARRIRSIMEARGFTISNQNSNSSKQLKMDLAKLSLRSPSLR >OIW03664 pep chromosome:LupAngTanjil_v1.0:LG10:2814045:2817818:1 gene:TanjilG_30728 transcript:OIW03664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METVEINTRISNQDIGASNTTTISKYCSWYTQFRNVSNPWMARYAYALIFLMANMLAWAARDELPTLGALLEMKEFRGCKVGKNCLGKEGVLRVSMGCFVFYMIMFWSTAGTSKLNDARDKWHSGWWSLKIVLWVVTTLFPFLLPSQIIQIYGHVSHFGAGIFLLVQLISIISFITWLNDRWTSEKYAERCQIHVMIFALIAYCICLVGIILMYIWYAPQPYCLLNLFFITGTLILLQIMTTVSLHSKVNAGILSPGLMGLYTVYLCWSAMRSEPEEYICILNSDFPTRTDWQSIISFVVAILAIVIATFSTGIDSKCFQFRKDDTPAEDDVPYGYGFFHAVFATGAMYFAMLLNGWNSHHSMRKWTIDVGWASTWVRIINEWLAVCLYDKKRNSNCNTEIFRNMHLFYSTIAHAYAIGFVV >OIW03510 pep chromosome:LupAngTanjil_v1.0:LG10:4583611:4587546:1 gene:TanjilG_31023 transcript:OIW03510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDAEDATRRRSAVTEYRKKLLQHKEFESRVRSVRENLRASKKEFNKTEDDLKSLQSVGQIIGEVLRPLDNERLIVKASSGPRYVVGCRSKVDKEKLTSGTRVVLDMTTLTIMRALPREVDPVVYNMLHEDPGNVSYSAVGGLSDQIRELRESIELPLMNPELFLRVGIKPPKGVLLYGPPGTGKTLLARAIASNIDANFLKIVSSAIIDKYIGESARLIREMFGYARDHQPCIIFMDEIDAIGGRRFSEGTSADREIQRTLMELLNQLDGFDQLGKVKMIMATNRPDVLDPALLRPGRLDRKIEIPLPNEQSRTEILKIHAAGIAKHGEIDYEAVIKLAEGFNGADLRNICTEAGMAAIRAERDYVIQEDFMKAVRKLNEAKKLESSAHYSADFGKE >OIW03364 pep chromosome:LupAngTanjil_v1.0:LG10:7011443:7012033:-1 gene:TanjilG_29349 transcript:OIW03364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPFVLIALSKGNHSRMKPILAKGCMVTLYYSVFVSEDIPNSSFLPFFLIDDYGGTLRENESGMVVRDESRIKVGNTYCHGGLRQRWDAVA >OIW03663 pep chromosome:LupAngTanjil_v1.0:LG10:2819172:2825196:-1 gene:TanjilG_30727 transcript:OIW03663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRLKEDEKNERIIRGLLKLQHNRRCINCNSVGPQYVCTNFWTFICTNCSGMHREFTHRVKSISMAKFTSQEVSALQEGGNQRAKEIYFKEWDAQSHSFPDSRLRDFIKHVYVDRRFTGERTYDKASKTTVGDKDNSYENRRVEMDQGGPKSPTYERRYDDSSNSGGRSPGSDQESRKYGDYRRGSGYPSVVNDWRREDRRISEESQSPEQAKDLGSSRSPVARPVRDILGENVIPLRISEPPKANSGKAADGSALAQRTASSSSLASSKANPAPEDVKLQTIKSLIDFDADPEPPAAPAIPQAQQTTMPQPSVQPANPSDDNWASFDVAPGPKATTSPANINTLESVLSELSVPASSPAHVPEVQGAVPASSPGFNTVSPVINSGQWASFQDQQPLFPATASPSTTQQFTPPPVGGTVYNQPWNIPPTVQGHPSTPMPHAYHHASNPANEAVSNVVSQPSTVEVNPSGREALPEDLFTLNYSSFPAPVRGWQMGLPHGTGISMQYNNVPTASFPQPSKSTNPFDIGSEHTPDQAPTFPSMSSFQGALPSYPSYAWTPPPSSPYASNPHPQAQTHASAFGPRAYMGQQMPANMGHQMPVNMGQHMPTNIPIPRQQVGSFGADGTASGLSNPDQQLTGGSSTNPNPHPFPGGGNPFV >OIW04047 pep chromosome:LupAngTanjil_v1.0:LG10:123882:124476:1 gene:TanjilG_24158 transcript:OIW04047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSHSATVSALALSIALQHGTSSPAFAISIVLASVVMYDASGVRLHAGRQAEVLNQIVCELPPEHPLSNVRPLRHSLGHTPLQVAAGGLLGCVIAFYIITNSN >OIW03724 pep chromosome:LupAngTanjil_v1.0:LG10:2287379:2290443:-1 gene:TanjilG_29759 transcript:OIW03724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECSVEALGKDLNNMRKCYSSGITKEASWRDSQLKGLRKFIMEKEEEISKALKHDLGKHQVEAFRDEVGTLMKSINIAIKSLRKWMAGQEAKLPQIALLSSAEIVPEPLGVVLIISSWNFPFGLSLEPLIGAVAAGNAVVLKPSELSPSCSSLLGSVLPTYLDNNGIKVIQGGPMVGEQLLQQRWDKIFFTGSARVGRMVMSAAVENLTPVTLELGGKCPALVDSLSASLDKKVAVKRILVAKYGACAGQACIAIDYVLVEKSFSSELVELMKIWIKEMFGDNPKASNTIARIVNEKHFSRLKSLLTDPKVKESVVSGGSMDIDNLFIEPTILLDPPLDSEIMTEEIFGPLLPIITVEKIEDSIEFINSRPKALAIYAFTKNKTLQRRIVSETSSGSVVFNDAILQYIADTLPFGGVGECGFGKYHGKFSFDNFSNHKAVARRSFYSEFWFRFPPWTLNKLQLLEAAYNLDYLGIVLIILGLKKSKRKFD >OIW03644 pep chromosome:LupAngTanjil_v1.0:LG10:3018724:3019449:-1 gene:TanjilG_22301 transcript:OIW03644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLSCNGCRVLRKGCTPDCPIRPSLHWIKSPHSQSNATVFLAKFYGRAGLLNLLTAAEPHLRPAIFKSLLYEACGRIVNPVSGSTGLLTTGTWHLCQAAVEAVLSGAPIQKNSSDTSFDQACDIRHVGKGVKSRASEKLHEVKSDKSKFKKRSVNPKRNTDSTISELDRDSGSGVSENRSVGGSDSGFVESVELELTLGWGPMKR >OIW03426 pep chromosome:LupAngTanjil_v1.0:LG10:5534183:5537637:1 gene:TanjilG_14651 transcript:OIW03426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDVEDKTCSKSSSINAGAELNSLAKTSRENVEVIVNPPENHSSIEALINTLSNSIVEPETHFPVTELSELTMSSNAYEGQALGQGAYLPINNLAATSNATTVHVIEQSHHGFVAEDSEPGALEDIFKWQQVDGSAVTSNIDVDNPMIDPPETMVTNVYMDNSASSSAPYATAYITEESNQGIIAANSEPGTLQAILKMKQVDDSNFSAGSDADYQMKLFASSHETEELKNEVDSPQTKVTDVAFGEVESPTFAKQMAARKALIDTAAPFESVKEAVSKFGGIVDWKAHRMETVEKSKIVEQELGKVQEEIPVYGKRSEASEQGKVQVLQELDSTQRLIEELKLNLERAKTEEHQARQDSELAKLRVEEMEQGIAEDSSIAVKAQLEVAKARYTEAITELTSVREELDTLRKKNASLVVEKDGAIGKAEEVSAASKQVEKTVEDLTIELVSTKESFETAHAAHMEAEEQRIGTVMARDQDSLNWQKELKHAEEEVKILNHKIESAKDLKSKLDKASALLVDLKAELSSYMESKSEGDEEGVSKREPEKKTHNEIQAAVASAKKELEEVKLNIEKAISEVNHLKVAATSLKEELEQEKYALASVRQREGMASITVTSLEAELDNTRSEIALVQTKEKQGQETLVQFPSKLQQAAEEANRANLLAQAVREELWKVKEEADQAKAGASTMHSRLHATEKEIESSRAFERSAIASIKALKESESARSNNEFGTSTAVTLSSEEYYQLSKQAHEAEEHANMKVAAANSEIDIAKESELKTLDKLNYVNREIAERRESLKIANDKAEKAREGKLAVEQELRKWRSEHEKRRKASELGQEIVNQNKNQKASLDDRSKESKNLDQSQNAAFPVQYLSSPKAYVHANNNSTVKKKKKKSLFPRILMFFAKRKAHSTR >OIW03761 pep chromosome:LupAngTanjil_v1.0:LG10:1983251:1989908:-1 gene:TanjilG_30037 transcript:OIW03761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLQQAGQLKSSNGYGRRKSERDGVMKSENKIPSGKLNASRLASTGAVTGSKGGSYESPSHDRLIYIATCLIGHLVEVQVKNGSVYSGIFHATNADKDFGIILKMAHLVKDGSLRGQKSGVEFIGKAPSKILIIPAVELVQVIAKGVAVTRDDLASESHHDMHQEIMVDSFISQSRHVDLGRELKPWVPDEDDPQFPELENIFDGHWNRGWDQFETNETLFGVKSTFNEDLYTTKLERGPQTRELERQALKIAREIEGEDTQDLHLAVERGLHVNENLDIDEETMFSSVYRGKGVDDSGYDENEDMFDSHNSDTFGGIFGSAIKRPGEIDSRRGNDGARTVGNSSSVDHPHFFQSSTGVDLIRSGSFDHAKQLFLDGERRIQENLVSDLHGGNGNTKEENKIQAEDVQLSKSEDSKASLYLKKDFSDKGVLSPNATSYAPSPLTLSKTREKTGSPGELTEGSASGKAKSVNSHGTYSRSDSGVGVAVSSRPGGLSPSSSIGSLSSEKSSLNPNAKEFKLNPNAKSFIPSQAPIRPPSPASDASFYFPTTVQNVPGMPMGVGMGPTFAGPQPIIYNPQVAQMQSQAYIHPNGPQYGQLLGPRQGVYMPGYLPEMQYKGRDY >OIW03354 pep chromosome:LupAngTanjil_v1.0:LG10:7385899:7386507:1 gene:TanjilG_29339 transcript:OIW03354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNSASKLLKQIIAGISSMVKSKSMALKSKTNAIRARLIIFSLMKNKKFLMSSLSEKIHAVLGHHSNDEQNEDCMLENGCSDHNGAIVVYNNNAHTYEALPNPSMEEETQDQEGYDGYYNDVDDDEKYPDLTHTLFDSEDLDLGGSVIDLVKNCKEEAGQEFKLEDEIDQCADLFIKRFRRQMILQKQDSLKRQQEILERGT >OIW03909 pep chromosome:LupAngTanjil_v1.0:LG10:964994:967862:-1 gene:TanjilG_30185 transcript:OIW03909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCVFFLMLFSLIFISPSLSYDSYPSYSTSNHLSKLEAEKLIRGLNLFPKHSINTPLSDSHFVHGKIVEKKFSFHNFDASSGPSVQELGHHSGYYRLPRSKAARMFYFFFESRNSKDDPVVIWLSGGPGCSSELALFYENGPFQFTKNLSLVWNDYGWDKASNILFVDQPTGTGFSYTSDGSDIRYDEEGVSNDLYDFLQTFFKEHPQFVKNDFFITGESYAGHYIPAVVSRVHQGNKAKQGLHINLKGFAIGNGFTNPEIQYQAYTDYALDKGIIKKDDYNSINKLLPACEQAIKTCGTEGGDTCVSSMYICNDIFHRIMFIAGNINHYDIRKKCEGNLCYDFSNVEEFLNKKTVRDALGVGDLDFVSCSSTVYDAMVQDWMRNLDVGIPALLEDGIEVLVYAGEEDLMCNWLGNSRWVHAMEWSGQKEFGVSPMVPFLVDGVEAGELKSHGPLTFLKVNEAGHMVPMDQPKAALQMLRSWTQGKLTVTMSGDNVSPNRCTQSRNQNQSPISKMVLTISSVHGST >OIW03976 pep chromosome:LupAngTanjil_v1.0:LG10:511676:512932:1 gene:TanjilG_30252 transcript:OIW03976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKSWILNFLLLILHLRITQAAFGVGGGVGVGVGGGSGGVFWVGGKINSPEAPKSSVSKLDGAYTALQAWKSVITNDPLKILDSWVGPDVCSYKGVFCSNLQSEMASSTVLVVAGIDLNHANLQGTLVKELSLLTDMSLLHLNSNRFTGTVPNTFKDLTSLEELDLSNNQLSGPFPSVTLYMPSLFYLDIRFNSFSGSLPQELFSKNLDAIFVNNNQFEGEIPQNLGNSPASVINLANNNLNGNIPTSFGFMGSKVKEILFLNNQLTGCIPEGVGLFTEIQVLDVSFNSLMGHVPDTLSCMENIEVLNLAHNKLSGELSEVLCSLRSLANLTVAYNFFSGFSQQCSKLFFRNIGFDFSLNCIPGRNMQRPQPECSVVPGGSLSCIRIPTPKPLVCGSLVVSTTNNTHHTSVTSPSQSP >OIW03999 pep chromosome:LupAngTanjil_v1.0:LG10:355371:358777:1 gene:TanjilG_30275 transcript:OIW03999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQHQSTTDPFLLNYQPSELRIASEFLSTWLPFLTRDLCHRCTQSLSHRIRSIHPESQNDDDSNGLNSNNVQSQEISDNVDDDNCDTHSLGSWKDGAEGNAFIETPSPRMSWADMAQEDDEFGEEEHESNNNNVVVADSNPSDATKAVTEKPTLPREQREYIRFMSVKRKKEYLCFERVNGKLVNILEGLELHTGVFSAAEQRRIVSYVAELQEMGRKGELKDRTYSAPQKWMRGKGRQTIQFGCCYNYAVDRDGNPPGILQRAMVDPIPDLFKVIIRRLVRWHVLPPTCVPDSCIVNVYEEGDCIPPHIDNHDFVRPFCTVSFLSECNIVFGSNLKIIGPGEFDGPIAIPLPVGSVLVLNGNGADVAKHCVPAVPMKRISITFRRMDESKRPINYVPEPDLQGIQPLVYEVEKEKRSTGPRHNRATKSHRDRRGGRIDAVGPATRSDRFFDPRDSRQSSQRPANRWSRVRPGG >OIW03985 pep chromosome:LupAngTanjil_v1.0:LG10:446907:448613:1 gene:TanjilG_30261 transcript:OIW03985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIEIEKGCVELSKVATSETHGEDSPYFAGWKAYDEDPYHECTNPSGVIQMGLAENQVSFDLIEKYLEENSETGTWGKGASGFRENALFQDYHGLESFRKAMASFMEQIREGRAKFDPSRIVLTAGATAANELLTFIIANPGDALLVPTPYYPGFDRDLRWRTGVNIVPIHCDSSNNFQITPQALEAAYKEAEAMNIKVKGVLITNPSNPLGATIQRKVLEEILDFVTLKNIHLVSDEIYSGSVFSSSEFISIAEILEARNYKNAERVHIVYSLSKDLGLPGFRVGTIYSYNDKVVTTARRMSSFTLISSQTQHLLASMLSDKKFTKNYIKTNRERLRKRYTMIIEGLRNAGIECLEGNAGLFCWMNLSPLLVLENKATREGELKLWNETLNEIKLNISPGSSCHCSEPGWFRVCFANMSEETLELALKRIRHFMEKRRTRTEKLY >OIW03468 pep chromosome:LupAngTanjil_v1.0:LG10:5041171:5044490:-1 gene:TanjilG_14693 transcript:OIW03468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTQLSKKRKFVADGVFFAELNEVLTRELAEDGYSGEKGRRIRELTSVVQKRFKFEENTVELYAEKVNNRGLCAIAQAESLCYKLLGGLAVLRACYGVLRFVMESGAKGCEGVLGIKVKIMLDYDPKGKQGPKTPLPDIVTIYTPKEEEEYIRPAAVAVLANEVEIPVA >OIW03372 pep chromosome:LupAngTanjil_v1.0:LG10:6728045:6734059:1 gene:TanjilG_29357 transcript:OIW03372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRYWVVSLPVQNSPSTLWTQLQDQISKHSFDTPLHRFNIPNLRVGTLDSLLSLSDDLAKSNNFMEGVSHKIRRQIEELERISGVESGSLTVDGVPVDSYLTRFVWDEAKYPTVSPLKEIVDGIHGQVAKIEDDLKVRISEYNNIRSQLNAINRKQTGSLAVRDLSNLVKPEDIVTSEHLTTLLAIVSKYSQKDWLSSYETLTSYVVPRSSKKLYEDNEYALYTVTLFNRVADNFRTSAREKGFQIRDFEYSPETHESRKQELDKLVQDQESLRGSLLQWCYTSYGEVFSSWMHFSAVRLFTESILRYGLPPSFLASVLAPSVKAEKKVRSILEGLSDSTNRQGNMGGGNEEANNVFGWSAYWKTEDEAGAGMAGLAGDADAHPYVSFTINLI >OIW04035 pep chromosome:LupAngTanjil_v1.0:LG10:64030:65683:1 gene:TanjilG_24146 transcript:OIW04035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRPMLLVFLLIILIITSQFEWKQQLIADVDSNPSVSQKQQHISKGEDTVKEKIILVQEKNIQRLNELVRHLQEQLQQCRGSNETRNGTVINHVAERILELEQQQILED >OIW03660 pep chromosome:LupAngTanjil_v1.0:LG10:2842469:2849646:1 gene:TanjilG_30724 transcript:OIW03660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLLHASSSSSPYLSINSSKPSHFTTTWGLVPSVTVKSSPLFSIHFSQRNQASIWMSHKNSTFTYITPKAAPVEVGPSSASTGTAEDDVLKALSQIIDPDFGTDIVTCGFVKDLQIDKDLGEVSFRLELTTPACPIKDVFEQKANEVVAVLPWVKNVKVTMSAQPAKPLFSEQLPAGLQTISNIVAVSSCKGGVGKSTVAVNLAYTLADMGARVGIFDADVYGPSLPTMVSPENRVLEMNAEKRTIIPTEYLGVKLVSFGFAGQGRAIMRGPMVSGVIDQLLTTTEWGDLDYLIIDMPPGTGDIQLTLCQIVPLTAAVIVTTPQKLSFIDVAKGVRMFSKLKVPCVAVVENMCHFDADGKRYHPFGRGSGSQVVQQFGIPHLFDLPIRPTLSASGDSGMPEVVADPQGEVSKIFQNLGVCVVQQCAKIRQQVSTAVTYDKSIKAIKVKVPNSDEEIFLHPATVRRNDRSAQSVDEWSGEQKLQYTDIPDDIEPEEIRPMGNYAVSITWPDGFSQIAPYDQLQTMERLVDALQPTIQT >OIW03197 pep chromosome:LupAngTanjil_v1.0:LG10:12520366:12525744:1 gene:TanjilG_11834 transcript:OIW03197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLCHGKPIETSQTQRENQSFQNDKEVVLPLPPRSSSKFPFYSPSPLHKFFKNSPSNSTPSSVSSTPLRLFKRPFPPPSPAKHIRALLARRHGSVKPNEATIPEGSECEVGLDKSFGFSKEFEVHYELGEEIGRGHFGYTCSAKGKKGSLKGQEVAVKVIPKSKMTTAIAIEDVRREVKILRALTGHKNLVQFYEAYEDDNNVHIVMELCKGGELLDKILSRGGKYPEEDAKDVMIQILNAVAFCHLQGVVHRDLKPENFLFTSKDDNSTLKAIDFGLSDYVKPDERLNDIVGSAYYVAPEVLHRSYGTEADMWSIGVIAYILLCGSRPFWARTESGIFRAVLKTDPSFDEGPWPSLSANAIDFVKRLLNKDYRKRLTAAQALSHPWLANHSDDIRIPFDMIIPKLIKAYICSSPLCKTALGALAKTLTLVQLAYLKEQFTLLGPNKSGLISMQNFKMAVLKSATDASKESRVLDYVNTFSSIQYRKLDFEEFCAASISVHQLEGMESWEQHARTAYDLFEKDGNRPIMVEELASELGLSPSVPVHVVLQDWIRHSDGKLSFLGFVRLLHGVSSRTLQKA >OIW04005 pep chromosome:LupAngTanjil_v1.0:LG10:327231:331359:-1 gene:TanjilG_30281 transcript:OIW04005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTVYVLEPPTKGKVVLKTTRGPIDIELWPKEAPKAVRNFIQLCVDGYYDNSIFHRIIKDFLIQAGDPTSTGTGGESIYGGVFSDEFHSRLKFKHRGIVAMANAGSPNSNGSQFFITLDRCDWLDRKHTIFGKVTGDTMYNLLRVGEVETDKNDRPLDPAPKILSVEVLWNPFEDIVPRTLQKPETKATSDTDNKDSKKKGIKKLNLLSFGEEAEVEEKELALVKQKIKSSHDVLNDPRLLREETANNESSSSASKLTRNMQLSVRDALNSKKEESQKDSEGGNFARLDSGDDEDEEADFDSRMRLQILQKRKELGDLPPKSKSQNGRSNLKDHDMSAARSNASVDEDQPRVDRLSLRKKGIGSEARAERMANADVDMQLLSEAEKGRQLQKQKKRRLQGREDEVLAKLEKFKNALSAKTTSTTGESGDGKEEELSDWKVVDLKFAPQDGKDHMSRKEDPNDYVVHDPLLEKGKEKFNKMQAKQKRREREWAGRSLT >OIW03390 pep chromosome:LupAngTanjil_v1.0:LG10:6566909:6568573:1 gene:TanjilG_31837 transcript:OIW03390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYQSTMDEMDGPEVKIARFSSCRGVAFEINPNRTNPFAIGSPPKQEKIGTWLWLPWTRTSSTKVHANAPSPSRSRTSSHFCDIDVDAYDDDINVEFLVEGEDIEKQDKGNGIKVQKLLPKADLNGSSRLSIILLDQGFTVYKLLFLFCLAFNMVPIALSAVGLFNYGKKRATLFSIGNILALTICRSEAALRVVFWFAVKTIGSPCVPLIIKIATTAFLQSVGGIHSGCGVSSIAWLVYSLILTLNNKEETSTEIIGVAFTILSLISLSSLAAFPLVRHLHHNIFERTHRFAGWMALILLWLFLILTISYDPSIHIYNLTISKLVKSQECWFTFAITFLIIIPWLTIRKVRVTVSAPSSHASIIKFEGGVQAGLLGRISPSPLSEWHAFGIISDGKKEHMMLAGAVGDYTKSLVTTPPKHLYVRTMHFAGLPYLVNLYQRVLLVATGSGISVFLSFILQQPKNVDVCLIWVAKDIELNFGKEMKEMVSKYSKDKMIVHDTAIFGRPNVAEMSVEGAIRWNAEVVIVTSNPEGSRNVLRACNKANIPAFGPLWDS >OIW04048 pep chromosome:LupAngTanjil_v1.0:LG10:126341:128344:1 gene:TanjilG_24159 transcript:OIW04048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLPPVPPSPSLTTPPARLPVREIPGSYGWPLLGPISDRLDYYWFQKQESFFKKRMEKYKSTVFRTNVPPSFPFFTNVNPNVIALLDCKSFSHLFDMELVEKKDVLVGDFVPSLAFTGNIRVGAYLDTSEPQHSKVKKFCMDVLKGGSSIWVQELVSNLDILCDNIEASLSKSTSATYLFPLQQFLFTFLFKVITSIDPSLDPNIANSGYAMMNRWLALQLSPTISIGVLQPLEEILLHSFPYPFFLVSRDYNNLYNFIKQHGKEVIEKGKMEFGLSEEEAIHNLLFTLGFNAYGGFSIFLPSLIEAIGKDSNGLQQRLRKEARETGGSTLSFDSIKEMDLIQSVVYETLRFNPPVLLQYARARKDFWLSSHDSAFDVKKGELLLGYQKIAMRDPVVFDEPDRFIPDRFTKAKGGAELLNYLYWSNGPQSGTPSTSNKQCPGKDYVTLTAALIVAYLFRRYDSIKGDGGSITALIKA >OIW04008 pep chromosome:LupAngTanjil_v1.0:LG10:313340:314548:-1 gene:TanjilG_30284 transcript:OIW04008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHHSLDKKIPREERFLNPSFSSTLLDKIYRSIDEGDRKSSEIKFYRETTTTTTIAKKHSRSNNVKYNHRSTMVEEEHGKRKDKKVGMQQSCFLPTHGDDQDVLFFSSTSISSDTSSGGFSSSDTESIMSRASCFAPSKPKPVAKKKNNSNQKQAPTARTFDGFCHKSKTEGVEVHDENTLFKSKLRALKIYNNLKKVKQPISPGGRLTTFLNSLFANAKKSKSSSSCYYEEKEEDMKSERKLKSKSFSNCSSASSFSRSCLSKTSSSEREKMFNGVKRTVRFYPVSVIVDEENRPCGHKCLYEEEKIKLPVLEKSKVVEKDLVLKDLALRINKVNDHQQCKTTCVSENDDEDDDDAASYASSDLFELDHLAVLGNGRYCEELPVYETTHVSTNRAIANGLII >OIW03837 pep chromosome:LupAngTanjil_v1.0:LG10:1443403:1455883:-1 gene:TanjilG_30113 transcript:OIW03837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASLPPKEANLFKLIVKSYETKQYKKGLKAADAILKKFPHHGETLSMKGLTLNCMDRKSEAYELVRQGLKNDLKSHVCWHVFGLLYRSDREYREAIKCYRNALRIDPDNLEILRDLSLLQAQMRDLAGFVETRQQLLTLKSNHRMNWIGFAVAHHLNSNASKAVEILEAYEGTLEDDFPPENERCEHGEMLLYKISLLEECGFLERALEELHKKEIKIVDKLDYKEQEVSLLVKLGRFEEGEKLYRELLSMNPDNYRYYEGLQKCVGLYSENGHFSPDEIDRLDALYTTLREQFKMSSAVKRIPLDFLQDDRFREAADSYIRPLLTKGVPSLFTDLSSLYNHPGKADILEQLILELENSIRTTGQYPGRVEKEPPSTLMWTLFLLAQHYDRRGQYETALSKINEAIEHTPTVIDLYSVKSRILKHAGDLAAAAVLADEARCMDLADRYVNSECVKRMLQADQVALAEKTAVLFTKDGDQHNNLHDMQCMWYELASAESYDRQGDLGLALKKFLAVEKHYSDITEDQFDFHSYCLRKMTLRTYVEMLKFQDRLHSHAYFRKAAAGAIRCYIKLHDSPPKSTTEEDNEMSKLLPSQKKKLRQKQRKAEARAQKEAEEKNEEPSAGGVSKSGKRPTKPVDPDPRGEKLLQVEDPLSEATKYLKLLQKHSPDSLETHLLSFELYMRKQKVLLAFQAVKQLLRLDAEHPDSHRCLIKFFHKVGSMNAPVTDSEKLIWGVLEAERQTISQLHGKSLFEANNSFLEKHKDSLMHRAAFGELLYILDHNRKAEAVQFIEGSTNNLVPRNGALGPIREWKLEDCIAVHKLLGTVLVDLDAALRWKVRCAEFFSYSTYFEGSNSSASPNSVLNKIHKNTENGNANHLVGDHNIDSVTSNGKLEAFKDLTISQS >OIW03324 pep chromosome:LupAngTanjil_v1.0:LG10:8311780:8312007:1 gene:TanjilG_03433 transcript:OIW03324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSTIFHDTMYVGFSASTSLLASSHYIMGWSFKMNGPALTLDLSSLQQLPGPKKKQTFMIIWVSVIALSLSKSA >OIW03786 pep chromosome:LupAngTanjil_v1.0:LG10:1790896:1797971:-1 gene:TanjilG_30062 transcript:OIW03786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSKTTAFTTRYPISPLTDSLVSSAGRNTLQGEATTMVSLSSSETFQLVSGGNSAFSMIIRLAPGMVEEIKRLEAQGGRTRMKFDSSPNNSGNIIDVGGKQFRFTWSQELGDLCDIYEERQSGEDGNGLLVESGSAWRKLNVCRTLDESTKNHVKMRSEEAERKHKSRQAIVLEPGNPSMKALAAAEVAPRKNYNKKKEVVPPKRSRVESLQVGGPPKSAYKPGLSSTTPTMSTGKQFSSLSSPPDQTAASPSPLGDVNISKDIGDVRPSQKICKQDTNIGSEKEFLTRANNATRNTRENKRKNIVEPIDLQSILISLLMNKPDGMTLKALENAVKGIVSSPKKKIKPIMRHIANYKSPGRYILLPEVGLESFRKPLTESGSYPGANHPQQSAHEEFHDETPAPQGSSKENNTDDVPEELEQLKSKVAELTKTLEDFSVQHGSPDILGEKKHYTLSEGQEGGSSGRGSGSDMESDSSGNGSGGRSHIRIRSCPAGRGSGSKSDNENDASAKRKECPDEDAEIMTSYDKNCDYKTKASDKSVSPLIPVNSADGRLLQYRAHDKQVRDEHDAFETEKTLSEEQEAEMALTTADILKDSSGHKHSAWNTNERDEFIQSSKAEYISQARGSPGTDVQMFEATHTSSPIGFTEGTSKNISKEAVNRADKIGSSNVGLQTGYNQTFLGRSATDLTQIGQRSSDLTFFGKSSSISMETSNKQGESSGHIRKHPQKGFRAREGSSMLNDKSDKVSQNEDICVTSENVSGKAIDDNNGSKQSLLMDSHCVNHAETVSFFDNLESENKKIADVIFKESTRSHSSTMHSHPQHRKTNNVEIGSQNFLAETSGGPISNEFGVTQAIDFKGRSVNNNATGNAFMPNSSEEEAGLASREGLEFADKNNRDQDWREYFLDENSSYLKYEKAEAQLKGTITSFSQYEEYVQEFREKYASYMFLNKACRSYNDVFQALCDAAEAAKDDVDKYYNIREKIMESYQTYGTSIKQRIAEFVEAQN >OIW03108 pep chromosome:LupAngTanjil_v1.0:LG10:14171647:14172673:1 gene:TanjilG_07260 transcript:OIW03108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTGKISTEIPIQAPPSKWFELFAKQLHDVQHHAERVHQTKLHEGEDWHHNDTIKHWTYEIDGKVVTCKEKIESYDEEKKTIRYVLFDGDNFTPYYNDFKLIFSVIEKEDGSAYVNFTIEYEKKDASVAEPPHGYAEYLTKFSRDIDANLLKA >OIW03518 pep chromosome:LupAngTanjil_v1.0:LG10:4471889:4474459:-1 gene:TanjilG_31031 transcript:OIW03518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRHTLLSLLLFAAVATAATSVQITDNDDLLIRQVIDADDLLNAEHHFTNFKSKFSKTYATEEEHNYRFGVFKSNLRRANKHAKLDPSAVHGVTKFSDLTPKEFRRQFLGLNRRLRLPVDAHKAPILPTNNLPGDFDWRDKGAVTGVKDQACALEGANFLATGKLETLSEQQLVDCDHLCDPEEGGACDAGCNGGLMNTAFEYILQSGGVQLEKDYPYTGQDGKCKFDKSKVVASVSNFSVISLDEDQIAANLVQNGPLSVAINAAYMQTYIGGVSCPYICGKHQDHGVLLVGYGAGEYAPIRLKKKPFWILKNSWGENWGENGYYKICRVRNICGVDSMVSTVAAAISTSNN >OIW02975 pep chromosome:LupAngTanjil_v1.0:LG10:16006678:16009385:1 gene:TanjilG_13612 transcript:OIW02975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLMQLQSLVLVKTPKSKPYFSAFLSKPSLLFYNNATSASAFSSLSIGCVRSKAKVHQNLGLGAIHASSEVANQTTNAAPTWILEPVGDGDTKHIGFKVEMPGAYEIASSDVTVGRVPDKADLVIPVATVSGAHARIQKKQGSLLVTDLDSTNGTFVDEKRLRPGVVATVSAGSFITFGDTHLAMFRVSKVEKVESADTIQETQSGLDTDIKSDNNTQTN >OIW03803 pep chromosome:LupAngTanjil_v1.0:LG10:1652742:1653595:-1 gene:TanjilG_30079 transcript:OIW03803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPLWNILCTCLIIFMAAKNTCVVEAGRQFKVGDHLGWHEPVPSNDVFYIQWAERNRFQVGDSLLFEYQNDSVLSVEKTDYLNCNASNPITAFDNGKSIMNLDRPGPFYFISGTQDHCTNGQKLLVEVMSQHPIPKSSPSPSISLPPQVSSQMSPSPSPYASDDSFGDSTSASASVVSGPVPMVSLVTFAIVLMLKP >OIW03327 pep chromosome:LupAngTanjil_v1.0:LG10:8410315:8412051:1 gene:TanjilG_03436 transcript:OIW03327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQLLLLAIVLFPLLTLPSAESKTVNGLTIDLIHGDSPLSPFYKTKTATIPNNGDYFMKIFVGTPPVVLHAIADTGSDHISVQYSEAVSQCRYSLSSYREKSITEGDLVSDTIRFGSKDGPLLTTPKTTLECGNLNTENFKSIGKGVVGLGAGPLSLVSQLSDKFGHIFSYCLLPHNVNSTSKLKIGRESTIPPFLAVTTTPLVPASRYTAVLTGVSVDRDNLKPPRSLRIVIDSGSRLTYLTNPLYGHIQTAVADAVDFEAIDNPPAPYSLCLK >OIW04002 pep chromosome:LupAngTanjil_v1.0:LG10:341142:341480:-1 gene:TanjilG_30278 transcript:OIW04002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTMVKQVLLQERYEGRWICGLCVEAVKEERMKSQRDVVMMITIDEAFKRHIKFCQQFKSSTPPNDTSEEFILAMKQILFPSLDSPRKDHGFNCRPLARSQVVFQPCKEQQQ >OIW03252 pep chromosome:LupAngTanjil_v1.0:LG10:11025199:11026689:-1 gene:TanjilG_21781 transcript:OIW03252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKRKLAPKSTQSFEPPLKQQQQHQPLVEPEEEEEEYEEVEEEVEVEVEEEEEEEEDPQEEEDEEDEEEEEEEDQEQEQQHEPDDDPIEKLLEPLTKDQILTLLSEAAIKHRDVADRIRRMADADAAHRKIFVHGLGWDSTAETLISTFRQYGEIEDCKAVSDKVTGKSKGYGFILFKTRRAAREALKQPQKKIGNRMTACQLASIGPAQQQTVAASVAAPLVVPQTVSAPVGSEYTQRKIYVSNVGAELDPKRLRVFFSKYGEIEEGPLGLDKVTGKPKGFCLFVYKSAESAKGALLEPHKDFEGHILHCQRAIDGPKPGRLQQPPQGNTRGVQFQRSENAGFIAGVGVGGVGSSAAAATPGHLMAPAGPGIGFNQGTVAAAQALNPALGQALNPALGQALASLLASQGATLGLTNLLGSLGPNAVVNPAVPAVGHGVQAGYTAQPSITQGVIGGYGNQIAYPTNQHQIGQGGSGRGQPGVGQYGGIAHYMGQQ >OIW03039 pep chromosome:LupAngTanjil_v1.0:LG10:15353294:15357184:1 gene:TanjilG_20967 transcript:OIW03039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTNTKALMEVELDDDGRIKRTGGTMHVVCGSIQYGKLAGITVGYTITSATSLVAIEKAFCFHKRGHGESYCEFSYNPFMIGFGILQVFLSQIPNFHELTWLSTIAAITSFGYAFIASGLSLSAIVSGKGKGTSITGVEIGAGLSAEDKVWRVFSSLGNIALASTYATVIYDIMDTLKSHPPENKQMKKANVIGITAMTILFLLCSCLGYAAFGDETPGNIFTAFYEPFWLVALGNVCVVIHMIGAYQVMGQPFFRIVEMGANMMWPDSDFINKEYPIRISSVTLNFNLFRLTWRTIFVILATILAMAMPFFSEILSLLGAIGFWPLVVFFPIQMHIAQKHITKLSFKWCLLQFLSFMCFLISVAAIVGSIHGISKDLHKYKIFRYKQ >OIW03194 pep chromosome:LupAngTanjil_v1.0:LG10:12561933:12562256:1 gene:TanjilG_11831 transcript:OIW03194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEKIDVNGDGYVDIEEFGELYQTIMDEKDEDEDMKEAFNVFDQNGDGFITGEELSAVLSSLGLKYGKTLEDCKNMIKKVDVDGDGMVSFKEFKKMMKAGGFAASLS >OIW03419 pep chromosome:LupAngTanjil_v1.0:LG10:5699261:5702853:1 gene:TanjilG_12016 transcript:OIW03419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDATVLGWVLCSVLSLFALYSLVFAGNNRPKNKEAQRSENVTSAVGERGSEKDNGEADVIIVGAGVAGAALAHTLGKDGRRVHVIERDLTEPDRIVGELLQPGGYLKLVELGLEDCVEQIDAQQVFGYALFKDGKHTRLSYPLEKFHTDVSGRSFHNGRFIQRMREKASSLPNVKLEQGTVTSLLEDKGIIKGVQYKNKDGQQLTAYAPLTIVCDGCFSNLRRSLCNPKVDVPSCFVGLILENCELPCANHGHVILGDPSPVLFYRISSTEIRCLVDVPGQKVPSISNGEMAKYLKTVVAPQIPPELRDAFIAAADKGNIRTMPNRSMPADPLPTPGALLMGDAFNMRHPLTGGGMTVALSDIVVLRNLLKPLRDLNDAPTLCKYLESFYTLRKPVASTINTLAGALYKVFCASPDQARKEMRQACFDYLSLGGLFSEGPVSLLSGLNPRPLSLVLHFFAVAVYGVGRLLLPFPSPKRIWIGARLLSSASGIIFPIIKAEGFRQMFFPATVPAYYRTPPPAHE >OIW03098 pep chromosome:LupAngTanjil_v1.0:LG10:14056701:14059789:1 gene:TanjilG_07250 transcript:OIW03098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANDTVVVELLLRLKSSKQVSLSTTWGAKQQRSTTSRSDAFARNNKTNNDDVTRFSPTTPLSWSGSASPSAATAHGCEEFRSKGTASGEGNSTSTKNCKRKKTFAELNQEETSLLKEGIYLRQQIGNKKVAFQGRASNESLKKKLDLPNSSAESTLSDQPKSTSRVARTILIPDLNMMPSEEDDDSYAEIALMC >OIW03758 pep chromosome:LupAngTanjil_v1.0:LG10:2011724:2013313:-1 gene:TanjilG_30034 transcript:OIW03758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRIMCFAFPLVVFVVLSLYHGVAEAARTGPKSQLKWHYYNHTCHYVEEYVRHEVKLFYKYDKSIAAKLARLVYSDCFVYGCDASILLDEGPNPEKKAPQNLGLGGFILIDKIKAVVEAKCKGVVSCADILQFAARDALHLAGAPSYPVFGGRKDGTKSDAASVDLPSPSISWQESLAYFKSRGLNVLDMTTLLGAHSIGRTQCSNIGDRLYNYNGTGKPDPSLNGAFLDTLRRSCPLRKRGQPNPLVYLNPESGSNYKFTETYYKRILSHEAVLGIDQQLLYGEDTEQITEEFAAGFEDFRKAFAESMNNLGDVNVLTGNQGEIRQHCRFTNKGKLN >OIW03331 pep chromosome:LupAngTanjil_v1.0:LG10:8642447:8648390:1 gene:TanjilG_03440 transcript:OIW03331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQFGFQGMEFSESNPSAVVDSSITLLIKHLPEAIPHDTLSRLLSHYGASSVRSCSTGRLRNCAFVDFKNDTLASQAHRQLNGLRFLGKVLSAERASHPNEIGEKITGDQLGKDSKTPLVKNANVAKPNDGDTRSGGLPIPEPVAERLGVDYPFPPHLEYAYPPPDGNILTNIVNALIAVPRFYTQVLHLMNKMNIPAPFRMALPTPPLPPEVQPPAPPLPPPSVTVKPQLADLSSGESEMESSDEEHGARTEKSGRKRPRHETIVGPAIDKDVAHEAVGVKPATLVPKEIPMIKKRNPVLQINIKATTNEHKDDEPKLELQDPDKDVPDPNKFLTLEELENGKLPPEEILSLPMFKNYTAGNPAPVLYIKNLAKDVIADDFSFIFGSLFGSIEAAKSGLQIKLMQNLVNGYVLKGKPMVIQFGRNPAAAKGT >OIW03543 pep chromosome:LupAngTanjil_v1.0:LG10:4145738:4148614:1 gene:TanjilG_30963 transcript:OIW03543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREILHVQGGQCGNQIGSKFWEVICDEHGIDTTGKYIGDGSGSDLQLERINVYYNEASGGRYVPRAVLMDLEPGTMDSIRSGPYGKIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLSTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSHGSQQYVSLTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSSVCDIPPRNLKMSSTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVAEYQQYQDATAEEDEYEEEEGEDNYEE >OIW03161 pep chromosome:LupAngTanjil_v1.0:LG10:13197886:13200657:-1 gene:TanjilG_11798 transcript:OIW03161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASSLVESLVLQLHEISAVKFGNFKLKSGIFSPIYIDLRLIISYPSLLQKISETLVSSVSSTSFDLVCGVPYTALPIATCVSLAQNIPMVMRRKEIKDYGTAKAIEGDFKPGQSCLIIEDLVTSGTSVLETAAPLRSVGLKISDAVVLIDREQGGRENLEENGIKLHAIIKLTEMVKILRDHGKLDDEMVGTVLRFLEENRKVAAVGKVEKPTTIVKALSFGERAKLSKNPTGKRLFEIMVEKESNLCLAADVGTAAELLDIAEKVGPEICLLKTHVDILPDFTPDFGSKLHTIAEKHNFLIFEDRKFADIGNTVTMQYEGGIFHILDWAHIVNAHIISGPGIVDGLKLKGLPRGRGLLLLAEMSSAGNLAKGDYTAAALKIAEDHSDFVIGFISVNPASWPGAPINPSFIQATPGVQMVTGGDALGQQYNTPHSVIHDRGSDIIIVGRGIIKAANPAEAAHEYRLQGWNAYLAKRA >OIW04030 pep chromosome:LupAngTanjil_v1.0:LG10:42229:43775:-1 gene:TanjilG_24141 transcript:OIW04030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFSSPVNTSLDLNINPPRFHEKVLKKEVESNFFSLGMRMSSAKEEPTSALVEELKRVSAENKKLTEMLTEMCESYNTLRSNLVDYMRNNPDKDQHNSSSKRRKSESSNNNISNAMGVNNGNSESSSTNEGSCKKQREEEITKAKISRVYVRTEGSDRSLIVKDGYQWRKYGQKVTRDNPSPRAYFKCSFAPSCPVKKKVQRSVEDQSILVATYEGEHNHPHPSSKMEATSGSSRCVTLNSASPNSSAPKSKMSSNESKNLNTNPEVAQTLVEQMANSLTKDPNFRAALVAAMSGRTLHKYKVEN >OIW02983 pep chromosome:LupAngTanjil_v1.0:LG10:15942697:15944693:-1 gene:TanjilG_13620 transcript:OIW02983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIARLSSVAPQLKSIFRSNRVFGSAAAVQYNYYYSDDEGEEEYEACGAGAMVENESSVHERGVQWVMIGEPGVRRHIFAEKLSKLLEVPYISMATLLRQDLNPRSSLYNQIANALDHGKIVPEEILFALLSKRLEEGYSRGETGFILDGIPRTRIQAEILDHIAHVDLVVNFKCSEENLVKKNLGARKFSPCQEHIFMNSSWNPTKLSKNEHLSNHPKECKLLEDYYRKQNKLLNFEVSGAPGETWQGLLAALHLQHINALSSSQKLTA >OIW03740 pep chromosome:LupAngTanjil_v1.0:LG10:2163556:2166347:-1 gene:TanjilG_30016 transcript:OIW03740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKELEFGWQITFGTLIGIFGAAFGSVGGVGGGGIFVPMLVLVIGFDTKSATALSKCMLTGAAISTVIFNWKLKHPTLDIPIIEYDLVLLMQPILMIGISIGVTLSVIFAGWMVTVLLIILFIGTSIKACFQGLEIWKKETILKEESAKLLESTATCSGKGDYKSLPGSLDSGTQKETTKPEGTFQGFRHWKELGLLSFVWFAHLVIQIAKIHTASCSITYWILNVSQIPVSLGVFLYQAIGLHQGWRVISSKGEQGTHLPLHHLILASLCALLAGTIGGLLGIGAGFVMGPLFVELGIAPQVASATATFGMIFTASISVVQYYLLNRFPVPYALYLTLVAAIAAYIGQHIINKLVNLFGRASLIIFVLAFTIFVSTIALGGVGISDIIGKIQRNEYMGFEDLCNYDT >OIW03943 pep chromosome:LupAngTanjil_v1.0:LG10:724224:735498:-1 gene:TanjilG_30219 transcript:OIW03943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKFIDNLPPMDLMRSEKMSFVQLIIPVESSHRAISYLGQLGLLQFRDLNADKSPFQRTFVNQVKRCAEMSRKLRFFKDQINKAGLVSSSHPVLQPDIDLEDLEMQLAEHEHELIEMNSNSDKLRQSYNELLEFKIVLQKACGFLVSSHGHAVPDERELQENVYSHDEYVEAASLLEQEMRPGPSNQSGLRFISGIICKSKILRFERMLFRATRGNMLFNQASADEQIMDPVSSEMIEKTVFVVFFSGEQARTKILKICEAFGANCYPVPEDITKQRQITREVSSRLTDLEATLDAGIRHRNKALASVGVHLAQWINMVRREKGVYDTLNMLNFDVTKKCLVGEGWCPIFAKTQIQEALQRASFDSSSQVGIIFHPMDSVESPPTYFRTNIFTSPYQEIVDAYGVARYQEANPAVYTTIIFPFLFAVMFGDWGHGICLLLGALVLIARESKLNTQKLGSFMEMLFGGRYVLLLMSLFSIYCGLIYNEFFCVPFHIFGASAYKCRDSSCRYQFVPQMIFLNSLFGYLSFLIVLKWCTGSQADLYHVMIYMFLSPTENLGENQLFWGQRPLQIVLLLLALIAVPWMLFPKPSDAHTVGLVKYKDPYPFGVDPSWRGSRSELAFLNSLKMKMSILFGVVHMNLGIMLSYFNARFFGSSLDIRYQFVPQMIFLNSLFGYLSFLIVLKWCTGSQADLYHVMIYMFLSPTENLGENQLFWGQRPLQIVLLLLALIAVPWMLFPKPFILKKLYTERFQGRSYGLLNNSEMDLELEPDSARQNHHEEFNFTEVFVHQMIHSIEFILGSVSNTASYLRLWALSLAHSELSTVFYEKVLLLAWGYDNLVIRLVGLAVFAFATAFILLMMESLSAFLHALRLHWVEFQNKFYHGDGYKFKPFSFGSLTEDDE >OIW03003 pep chromosome:LupAngTanjil_v1.0:LG10:15768985:15771354:1 gene:TanjilG_13640 transcript:OIW03003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASWNSVPLHVSSEVLGWIAFISWSISFYPQLILNFRRKSVVGLNFDFVVLNLTKHSSYLIYNCSLFFSSAIQKQYKDKYGQKQMIPVAPNDVAFSCHAVLLTALSLFQIAIYDRGNQKVSKVAFAIVIAAWLTAAVCFFVTLHNHHWLWLINIFSGIQVCMTVIKYIPQAVMNFMRKSTDGWSIGNILLDFSGGIANYLQMVMQSLDQGSWVNFYGNVGKLLLSLVSVFFDILFMCQHYLLYPTKKRKSETSPRPDNAKPLDRSSSENV >OIW03798 pep chromosome:LupAngTanjil_v1.0:LG10:1687134:1696047:1 gene:TanjilG_30074 transcript:OIW03798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVFASGRYSRRTSTVDEDEEALKWAAIEKLPTYDRLRTSIFQTYAEGTNHAERPREVDVRKLDGNERQQIIDKIFRVAEEDNEKYLKKFRNRVERVGIKLPTVEVRFHNLTVEADSYIGSRALPTLPNVALNIIESAIGIFGISTAKRTKLTILKNASGIIKPSRMALLLGPPSSGKTTLLLALAGKLDPDLRVSGEISYNGHKLNEFVPRKTSAYISQNDVHQGEMTVKETLDFSARCQGVGTRYDLLAEVARREKEAGIFPEAELDLFMKATAMEGTESSLMTDYTLKILGLDICKDTVVGDDMNRGVSGGQKKRVTTGEMIVGPTKTLFMDEISTGLDSSTTFQIVKCLQQIVHLTEATIFMSLLQPAPETFDLFDDIFLISEGQIVYQGPRDNILEFFESCGFKCPDRKGAADFLQEVTSRKDQEQYWADRKRPYRYITVSEFANRFKQFHVGRQLESEISVPFDKTRGHKAALVFKKYTGSTMKLFKACWDKEWLLIKRNAFVYIFKTGQIVVIGFIAATVFLRTEMHTRNESDAALYVGAILFTMIMNMFNGFSELPLTIARLPVFYKHRDHLFHPPWTYTLPNFLLRLPISVMESIVWTVITYYSIGFAPAAATFFKHLLVVFLVQQMAAGMFRLISGFCRTMIVANTGGALMLVLVFLLGGFILPKDDIPNYWIWGYWISPLMYAFNAFSVNELFAPRWQKPSSDGQTTIGLATLNNFGAQTEKKWYWIGVGALLGFVIVYNVLFTLALMYLNPIGKKQAIISEEEASEMEAEGDSKEEPRLLRPQSNRGLPPGPLSSADGNNTREVAMQRMSGNPSEIRNADATIELATGVAPKRGMVLPFQPLAMSFDSVNYYVDMPAEMKEQGVSENRLQLLREVTGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGEVRISGFPKNQETFARISGYCEQTDIHSPQVTVRESLIYSAFLRLPKEVSNEEKMKFVEEVMDLVELNNLKDAIVGLPGVTGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLMKRGGQVIYSGPLGRNSHKIVEYFEAIQGVPKIKDKYNPATWMLEVSSIAAEVRLGMDFAEYYKTSALAQRNKALVKELSVPPPGAKDLYFPSQYSQPTMGQFKSCLWKQYLTYWRSPDYNLVRYMFTLLVALVVGTVFWKVGTKRSNSGNLTTIIGAMYGSLFFVGVNNCQTVQPVVAIERTVFYRERAAGMYSALPYAIAQVIIEIPYCFVQTMLFSFIVYAMVSFEWQVAKVFWFLFVSFFTFLYFTYYGMMTVSITPNHQVASIFGAAFYGLFNLFSGFFIARPKIPKWWVWYYWICPIAWTVYGLIVSQYRDVMDLIEVPGWDYKPSIKDYIDVEYGFKSNFMGPVAAVLVAFSVFFAFVFAIGIKVLNFQTR >OIW03141 pep chromosome:LupAngTanjil_v1.0:LG10:13531270:13534924:1 gene:TanjilG_11778 transcript:OIW03141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVWAHSVSYDLINWIHLNHALEPTEPYDINGCYSGSVTILHGEKPVILYTGYDNNKNQVQNLAMPKNLSDPYLREWVKHPQNPVMVAPSGVEMDSFRDPTTAWKGNDGKWRVIIGAKNGDEGKVILCHSEDFVNWTLDPIPFYTIDNYGMCECPDFFPVSICGTNGVDTSVQSSSIRHVLKLSYQNEQQEHYFLGKYQLDQGNFMSDTKFTGTSLDLKLDYGTFYASKTFFDYAKNRRILWGWVQETDTTQDDIEKGWAGLQSIPRQVWLHKSGKQLMQWPIEEVQKLRENQVSILGKKLVGGSSLQVTGITASQVDVEVLFELPELESAEWLGANEVDPKLLCSEEYASRSGTIGPFGLLALASEDLTEQTAIFFRIYRTPNGYTCLMCSDESRSSLRQDLDKRTYATTFDIDPNIKTISLRILIDRSIIESFVDEGRVCVTNRVYPSLAIDKDAHLYVFNNGTKSVLISKLNAWSMKHAEFGHDEKIENISHI >OIW03512 pep chromosome:LupAngTanjil_v1.0:LG10:4566802:4571965:1 gene:TanjilG_31025 transcript:OIW03512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSACINFSLIHSSSSPPVTLPQKPFLIHSLTLTNLRKQKQHSFKVLQRDFETLSSSDANDAVSSQNANLEVQFETDPPLLPQINGVVEDRGKSRIRKKKEEEDNNIDDNRFKLRNGREVFEEKAYIVGVERKGDVQDFGIQESLSELEQLADTAGLRVVGSTYQKLASPNPKTYIGSGKVSEIKSAIHALDVETVIFDDELSAGQLRNLEKVFGGDVSLAQMEYQLPRLTKMWTHLERQSGGKVKGMGEKQIEVDKRILRNQIGVLRKELESVRKHRKQYRNRRLSVPVPVVSLVGYTNAGKSTLLNQLTGADVLAEDKLFATLDPTTRRVQMENGKEFLLTDTVGFIQKLPTTLVAAFRATLEEISESSLLVHVVDISHPMAEQQINAVDKVLSELDVSSIPRLMVWNKVDKTSDPQKIRLQAEERDVVCISALSGDGLSEFCNAVNDKLKDSMVWVEALVPFENGDLLSTIHQVGMVEKTEYTEQGTYIKAHVPLRFARLLTPMRQLCVSRN >OIW03763 pep chromosome:LupAngTanjil_v1.0:LG10:1973881:1975437:-1 gene:TanjilG_30039 transcript:OIW03763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMASSSSSSLLHSPNPFSPKTKPFILPNPKSTHFTINSSAQPPPNLTAKHRRPADENIRDEARRNNNHDHLFSAKYVPFNADPFSPESYSLDEIVYRSQSGGLLDVRHDIDALKRYDGKYWRTLFDSRVGKTTWPYGSGVWSKKEWVLPEIDDDDIISAFEGNSNLFWAERFGKQFLGMNDLWVKHCGISHTGSFKDLGMTVLVSQVNRLRKMGRPVVGVGCASTGDTSAALSAYCASAGIPSIVFLPANRISIAQLVQPIANGAFVLSIDTDFDGCMQLIREVTAELPIYLANSLNSLRLEGQKTAAIEILQQFDWEVPDWVIVPGGNLGNIYAFYKGFHMCKELGLVDRIPRLVCAQAANANPLYLYFKAGWKNFKAVKANATFASAIQIGDPVSIDRAVYALKKSDGIVEEATEEELMDATAQADSTGMFICPHTGVALCALFKLRNSGVIKPTDKTVVVSTAHGLKFTQSKTDYHSKDIKDMACRFANPPVQVKADFGSVMDVLKKYLLSKVH >OIW03588 pep chromosome:LupAngTanjil_v1.0:LG10:3588214:3590603:-1 gene:TanjilG_05132 transcript:OIW03588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQWSFSNKGSGLSQHLSLKGIQEDRQRKTVLDSIASSGYMTISSNKDAFMSTQKPFSSVVQRNFSIGKQTGNQHGITVYPQQCFDAHSSSHQESVIFSVSNQSNQASPVLQSSLTNTGSKMINSAIKPQPFGSKSSATPVSALPSIGCIVGSTDLRNSSKSSVTPAQLTIFYGGSVCVYDDVSPEKAQAIMLLAGNGTKPIQNMTLSTPKLQSEITTHSNDDCIIIGQSFPSPLPSPLPLTSRAASRPCVGSSSSNELTMLRPTGPSTTPSNHLQSPKVVGSAATKMVQQVGGLPQARKASLARFLEKRKERVIITSPYYMNKKSPECSNNGSSGFSFSITSSDSCPLPAIN >OIW03175 pep chromosome:LupAngTanjil_v1.0:LG10:12911262:12912202:1 gene:TanjilG_11812 transcript:OIW03175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWIPSLSSTVPETLPDEVLGKMSAPSKSDVPIITPNELSEADGFVFGFPTRYGMMCAQFKAFLDATGGLWRTQQLAGKPAGIFYSTGSQGGGQETTALTAITQLVHHGMIFVPIGYTFGTGMFEMEQVKGGSPYGAGTYAGDGSRQPSELELQQAFHQGKYIATITKKLKEAA >OIW03446 pep chromosome:LupAngTanjil_v1.0:LG10:5332935:5335966:-1 gene:TanjilG_14671 transcript:OIW03446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGKGDENSRLWVEDIYWTHFKALHFAQFLRTGYDQHLVLPKTFSDNVKKLPENVDLRGPSGVVWNVGLTSKDDTVFFTNGWRQFFRDHSLKENDFLVFKYNGESLFDVLIFDGGSFCEKASSYFVRKCGYTENGGACLITGEVADNSVQKVNAPSNAGVQFASPEKSVDGNDLMVFEAVPFKTPTERTFNAGVESASPEQFMEADGVAEAAAVSPQTTGKRTRKQLYAVKPIRSVRRGRAANVPSANQEVVDLVTDPKPASGSKSRTRDISYTSNRRPVTEDEIKNALELAKAACTSGSLHIVMRPTHVYKRFYVSIPNKWIFEHMPQKSQNVILRVGGTEWLAKYSLHNIRLTGGLTGGWKHFALDNNLEEFDVCVLEPAGQINNALILDVSIFRVVQEITPLGMVGTPGIRGRKK >OIW03746 pep chromosome:LupAngTanjil_v1.0:LG10:2096219:2106581:1 gene:TanjilG_30022 transcript:OIW03746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVMSRRVVPVCGNLCVCCPALRARSRQPVKRYKKLIAEIFPRKQVAEPNDRKIGKLCEYASKNPLRIPKITDNLEQRCYKDLRNGNFDSVKVVLCIYRKLLSSCKEQMSLFANSLLGIIRTLLEQTQADEMRILGCNTLAEFIDCQTDGTYMFNLEGFIPKLCQLAQEVGDDDRALLLRSSGLQALSYMVKFMGEHSHLSMDFDKIISAVLENYTDLQSKSNLANVEKLNSQSQNQLVQGFTKEESKLDTAKDPAYWSKVCLYNMAKLAKEATTVRRVLEPLFHNFDTENHWSSEKGVACGVLFYLQSLLAESGDNSHLFLSILVKHLDNKNVMKQPVLQIHIINTATQLAQNVKQQASVAIVGAISDLIKHLRKCLQNSAESSSLGNDVYKINTELQSALEMCISQLSNKVGDVGPILDLMAVVLENVSTNTIIARTTISAAYRTAKLITSIPNVSYHNKAFPDSLFHQLLLAMSHPDHETQIGAHSVFSMVLKPSMFCPWLDQKAKMAQKVPSDNFSIQHERFSGSEHLNGNALEGKAVAGVNPMKSAVHPYRGFSFTRALTDGNHDLSSLRLSSHQVRLLLSSIWVQATSVENDPANFEAMAHTYSIALLFTRSKASSYMALVRCFQLAFSIMSISLEQEGGLQPSRRRSLFTLASYMLIFSARVGNFLDLIPTVKASLTEATVNPFLELVDDIWLQTVCLESEKMIYGSQEDEVAAMKSLSAVELDEKKLKETVISFFMTKFSKLSEDQLSSIKKQLLEVFSPDDAYPSGPPLFMETPRPCSPLAQIEFPDLDEMIDPDALMDEETGPEPSGSQSDRRTSLSANNPDVIGVSQLLESVLETAREVASLSISSNPLPYDQMKDQCEALVTGKQQKMSVLQSFKHRQETKAVVLSSKSEVKDSPLSSTALEYSKGDLKLVTQEQFQALDQYPLYSHDHVSQDPLRLPPSSPFDKFLKAAGSNENRLH >OIW03996 pep chromosome:LupAngTanjil_v1.0:LG10:379544:386131:1 gene:TanjilG_30272 transcript:OIW03996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESECIEDMDIEGLPSMWPEDIVTDVGKQFNIEKPGRDQDMLEEVTILEEPTIADFQRLMELTNYTDKGSSQLAYLMKHWEYKQANAVRLLREELDNLNKQRQEVELRKLEILEYDRFEEENYGGDKRPVSILDEVYYTWKDVPMKKSEVVVQNKRIEIDAEYDTVVYWKQRALQLEKQLEASIQREQVLEEKLQESIETIERQSSPVEELSQILKRADNFLHFILQNAPVVIGHQDKELRYRFIYNHFPSLQEEDIIGKTDVEIFSGSGVKESQDFKREVMEKGLPAKKEITFETELFGSKIFLIYVEPVFSKAGDTIGINYMGMEITDQVRKRERMAILREEIAVQKAKETELNKTIHITEETMRAKQMLATMSHEIRSPLSGVVSMAEILSTTKLDREQKQLLDVMLSSGDLVLQLINDILDLSKVESGVMKLEATKFRPREVVKHVLQTAAASLQKMLTLEGHVADDIPIEVIGDVLRIRQILTNLISNAVKFTHEGKVGINLYVVAEPPFAKAEECHQNSNSEQLTISANGVREEKHPSTPQSGGSEQNLHNGQSHNDHTSQIHAFNDECRSSVKSECSMSGDGEGQTHSTETTVWICCDVYDTGIGIPEKGIHTLFKRYMQVSADHARKYGGTGLGLAICKQLVELMGGRLTVSSKEHCGSTFTFILPYKVSTTCDNDSDDFDVEKNDGMSDDTTQGFFQFQPRTLGSLFSSNGSSRPQNILPGYRTSHKFNGFSENSHSFPSSNTISSEVTSNTSLVEDASSVVVDAPEMSESPTSSIPETEHESLVDRNKQHQDNTHAKLQNGNAVFSPLKAECRGMSVITNSSEPQHTCQGQVKADITSQCVTSSNNTSSELSKSTLKPKILLVEDNKINIMVTQSMMKRLGYSMDVVNNGVEAIRAVQRHSYDLILMDVFMPVMNGIQTTKLIRSYEEAGNWDAARKAGFEQVLPPSDYECSIPPKKRTRIIAMTANTLSESAEECFANGMDSFISKPVTFQKLKDSLEQCLR >OIW03780 pep chromosome:LupAngTanjil_v1.0:LG10:1842265:1845522:-1 gene:TanjilG_30056 transcript:OIW03780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNVWNIVFVTSFLLSIVIFLILFKNKKLVLKSKCIKQLPLGTLGLPFIGETIEFVSCAYSDCPERFMDKRQHKYGKVFKSHIFGNRTIVSTDADVNKFILQSDANVFVPSYPKSLTELMGKSSILVINGSLQRRIHGLIGAFFKSQQLKSQITRDMQKYVQESMANWREDQPIYIQDETKNITFQVLVRALISLNPGEEMELLKKHFQEFISGLMSLPINFPGTKLYQSLQAKKKMVKLVQRIIETRRNSDTSKVPKDVVDVLLNDSSEQLTDDLIADNMIDMMIPGEDSVPVLITLAIKYLSECPVALQQLKEENVKLQKLKDKLGESLCWSDYLSLPFTQTVITETLRMGNVITGVMRKAKKDVEIKGYSIPKGWCVLAYFRSIHLDDKNYECPYKFNPWRWQDKEMNIYNFTPFGGGQRLCPGLDLARLEASIFLHHLVTQFMWYAEEDSIVNFPTVRMKKRMPILVRRVES >OIW03914 pep chromosome:LupAngTanjil_v1.0:LG10:935156:935452:1 gene:TanjilG_30190 transcript:OIW03914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTHFYFVVLCLFLSLNSLAGRVIPPSAPSTVTRPLVSSEVETYVKPHLDHKEKIFKGREVNGCLPKGSRHNSTPSRFVNYNTLGSGCSGMHNSKKP >OIW03931 pep chromosome:LupAngTanjil_v1.0:LG10:819129:824401:1 gene:TanjilG_30207 transcript:OIW03931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATARAVPSLFSTINTRDSNYGFAKSMDFVRVCDLKRVKYGKTKVLVIRNSSNPAQDIAQLQPASQGSTLLVPRQKYCESLNQTIRRKTRTVMVGDVSLGSEHPIRIQTMTTTDTKDVAGTVEQVMKVADRGADIVRITVQGKKEADACFEIKNTLVQKNYNIPLVADIHFAPAVALRVAECFDKIRVNPGNFADRRAQFEQLEYTEEDYQKELEHIEQVFTPLVEKCKKYGRAMRIGTNHGSLSDRIMSYYGDSPRGMVESAFEFARICRKLDFHNFVFSMKASNPVIMVQAYRLLVAEMYVQGWDYPLHLGVTEAGEGEDGRMKSAIGIGTLLQDGLGDTIRVSLTEPPEEEIDPCRRLANLGMRASELQKGAAPFEEKHRHYFDFQRRSGQLPVQKEGEEVDYRGALHRDGSVLMSVSLNQLENPELLYKSLAAKLAIGMPFKDLATVDSILLRELPPVNDANSRLALKRLIDISMGVITPLSEQLTKPLPNAIVLVNLKELSTGAYKLLPQGTRLAVSVRGDEPYGELEILKGVDATMILHDLPYTEENVSRVHAARRLFEYLSDNSLNFPVIHHIHFPTGIGRDDLVIGAGTNAGALLVDGLGDGLLLEAPDQEFEFLRNTSFNLLQGCRMRNTKTEYVSCPSCGRTLFDLQEISAEIREKTSHLPGVSIAIMGCIVNGPGEMADADFGYVGGNPGKIDLYVGKTVVKRGIAMEHATNALIDLIKENGRWVEPPAEE >OIW03238 pep chromosome:LupAngTanjil_v1.0:LG10:11182300:11201926:1 gene:TanjilG_29986 transcript:OIW03238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRKLGYGAQLRANLDPTKGVGRLRQQDGGHGSRNPLRRGRGGRCKTWGVSPGGAVVGADLGGSSKYSNENFEGRRGERFHVNGTCTWPEVGSSGWKSTARRVVSGAPPAALENPEDRVPPTPGRTHNRIRSPRIHQVLDCSPTNRERELGLDRRETGRRGCFVEPRHGIESSKWAIFGKQNWRCGMNRKLGYGAQLRANLDPTKGVGRLRQQDGGHGSRNPLRRGRGGRCKTWGVSPGGAVVGADLGGSSKYSNENFEGRRGERFHVNGTCTWPEVGSSGWKSTARRVVSGAPPAALENPEDRVPPTPGRTHNRIRSPRGEAACLPMHLGGSGWHAMGRETDSEQVPQGKDEKDFEKRVKECLKFSGGKRMGADDVPRRPHRDCRWKHAPFGVLRHLRAPHSARLETRTKESNICAHQWASKPVRCKEADWWDPLVGRRGCFVEPRHGIESSKWAIFGKQNWRCGMKRKLGYGSQLRANLDPTKGVGRLRQQDGGHGSRNPLRRRRGCFVEPRHGIESSKWAIFGKQNWRCGMNRKLGYGAQLRANLDPTKGVGRLRQQDGGHGSRNPLRRGRGGRCKTWGVSPGGAVVGADLGGSSKYSNENFEGRRGERFHVNGTCTWRSKRALRKGIGLKFLNRDVAADGNVGESGDIVGGPGKSYLFCLIACPTWKRLSRRQGKSAKWIRNLGKRIGSKGWAQGSQSRTHWWTARAAIAARMGRRVPVEGQIGNGPFGASSPGVEQSTQNYETTAKGTGLAESAGKEDPVELDSSPTLIHQVLDCSPTNRERELGLDRRETALVASIRPSHLKPFSELGRTLYEGCEPAPRMGDEASRCKVRVSQAHGRDKVTYNVECGIASGHACPVVSCVWFMVSSGWGALCMVWFLVRDPECKVKERP >OIW03415 pep chromosome:LupAngTanjil_v1.0:LG10:5752372:5753893:-1 gene:TanjilG_12012 transcript:OIW03415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAPCCDKANVKKGPWSPEEDAKLKSYIQKHGTGGNWIALPQKIGLKRCGKSCRLRWLNYLRPNLKHGGFSEEEDNIICSLYISIGSRWSVIAAQLPGRTDNDIKNYWNTRLKKKLLGKHSKEQQQAHSNRGNNGVVKQESNRVSGSESFSFVQENSTHEYNWQQMPMLPLQPLTYTNQSPCFNDQHSIKKLLINLGGRFSDDNYYPPLDGLLNHQFSNGIYQEQVHVVSSSSACISNNNINKSEVQCAHNNYQGRGSTFTSPNEEMVSTTNYPQRLDGLEFFYEEDMVNYKIIGSSRTCSQSSNWAETNTRIYHHPLIASNYQIQGLSQETQQECVLQEFSYPVVQ >OIW03686 pep chromosome:LupAngTanjil_v1.0:LG10:2607244:2610478:1 gene:TanjilG_30750 transcript:OIW03686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDEKSQIIMATRDRDRELLVPVADSAGDGGATFSKSSSSSSLSHHSGNETFIKVVRSWASKKFMTGCVILFPIAITFYITWWFIHFVDGFFSPIYAQLGIEVFGLGFITSITFIFLVGVFMSSWLGASVLGLGEWFIKRMPLVRHIYNASKQISAAISPDQNTQAFKEVAIIRHPRIGEYAFGFITSSVVLQNYSGDEELCCVYVPTNHLYIGDIFLVNTKDVIRPNLSVREGIEIVVSGGMSMPQTLQTIDSGIIPGNISRHNRS >OIW03811 pep chromosome:LupAngTanjil_v1.0:LG10:1593791:1595532:-1 gene:TanjilG_30087 transcript:OIW03811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMATLAAVQLPPINGLAGSSLSGTKLSCKSSCLSFRPNNFRSGAVVAKYGDKSVYFDLEDLGNTTGQWDLYGSDAPSPYNPLQSKFFETFASPFTKRGLLLKFLILGGGSTLAYYSATASGDILPIKKGPQLPPKLGPRGKI >OIW03752 pep chromosome:LupAngTanjil_v1.0:LG10:2053269:2056197:1 gene:TanjilG_30028 transcript:OIW03752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSRFKVWPDVRQLCFRKGLLYGFVRFFSTPLKSLHRTASRSLRVGRFCSVVNMSSSLQIELVPCLRDNYAYLLHDMDTGTVGVVDPSEAVPVIDALSRKNRNLTYILNTHHHHGHTGGNSELKARYGAKVIGSGSDKERIPDIDIYLNDGDKWMFAGHEVHIMDTPGHTRGHISFYFPGSGAIFTGDTLFSLSCGKLFEGSPEQMLSSLRKIMSLPDNTSIYCGHEYTLSNLKFALSIEPGNKQLQSYATHVAYLRNKGLPTIPTTLKTEKACNPFLRTSSAEIRQSLNIAATTDDAKTLDVIRQAKDNF >OIW03347 pep chromosome:LupAngTanjil_v1.0:LG10:8009814:8010119:-1 gene:TanjilG_00618 transcript:OIW03347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEERGAPHGILLAEVVAIVVVVPFLVGDGEAITKFIYDLISPIGLLLLPIILLLTIQFLSSDCGSFISSIFTSGETDSIHQVGGSLFGVAIFLILIHFLH >OIW03856 pep chromosome:LupAngTanjil_v1.0:LG10:1317953:1319113:1 gene:TanjilG_30132 transcript:OIW03856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLRPPRILRQFSSATTTTTPSPSPLQPPALDKLKSERDPDKLFHLFKFNATNRLLVENRFAFNDTVCRLAGAKRFDHIENLLEHQKTLPQGRREGFMVRIITLYGKAGMTDHAVKTFHDLHLFRCRRTVKSFNAALNVLSKTRDFDSILLFLREAQRFDIRVDVYSVNIAVKAFCELGKLQEAYLFMSDSENNAIKPDVITYTTLISAFYKNKRWEIGNGLWNRMVLNGCMPNLATFNVRIQFLVSARRAWDANTLMGVMQRVGVAPDEVTFNLVIKGFCQAGFIDMAKRVYSALHGKGYKPNLKIYQTMIHYLCKSRDFGFAYTMCKDSMQKNWFPNIDTICLLLEGLKRNGQIQKARLIVTLAEKRIPPFTSGHLAAMQSIL >OIW03301 pep chromosome:LupAngTanjil_v1.0:LG10:9553390:9554148:1 gene:TanjilG_09948 transcript:OIW03301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITSEWITFWLFKIAYFTFLLIFSLLSTSAVVYTIACIYTAKEVTFKKVISVVSKVWKRLMLTFLCTFAAFFAYNLIALVFIILWIITIGGSGRNSGIIVLVIIAIFYFVGFVYLTLVWQLASVVTVLEDCYGIKAMKKSKELIKGKTFLSIVIFFMLNVSFILIRLLFKKVVVNGWKLGSVDRTAYAILCFLLLSGLFLFGLVLQTVLYFVCKSYHHENIDKSALADHLEVYLGEYVPLTAKDVQMEQYQV >OIW03208 pep chromosome:LupAngTanjil_v1.0:LG10:12205257:12229246:-1 gene:TanjilG_21840 transcript:OIW03208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSKHKKHSKSHSRASSLLSTPEPNTPSENDDVQQLASFCEHASTKFPSFISKSSFFGKITDFETSHDSKGCRIWLSEPSMVSYSLSPGSIVSVSIASSGRKNVQLDSFPLKSLVNECARCYGLENGKTLDDEAGNYFALATVFPSSKVLKNGVRLSSNLSYTMGCPPLGTTVFVHPVQKQYLSCLSNGSSELYSTENNCHNRLMIYNCKELHLQLVPHKNGLPLKIKNLPSLNSSSVKSHVQSENDSFASPRTPFYGSKLSNGSGLSSPIFEDSASSVTNQNSQSMASFDVREGLGDESNKMLLETCATSWLYSRCLLLGNLVNVPMLSEVCIFQVVGAKNMQVDRSNLYSSNGSNKLYPEDSDMAENVNQAIVVNCETKVFLSLPSNAVSEETNQSEFSSVKLKDKVASHSIHDNISKLGGLSKEYTVLKDIISSSVEDALSSFGLRTTRGILLHGPSGTGKTSLAQLCAHDVGVNFFPINGPELVTQYYGESEQALHEVFDSAIQAAPAVVFIDELDAIAPARKDGGEELSQRMVATLLNLMDGVSRTEGLLVIAATNRPDHIEPALRRPGRFDKEIEIGVPSPKQRLDILLTLLSEVDHSLSESQIEHLATVTHGFVGADLAALCNEAALICLRHYANFKKNCDVSDNITEQPVQPAMMNGATDSQDHSDFSTSSDSDMSVASNLVSTSCMMGVSSEIMEVIHDSGIEECTLRVILEVPKVNWKDVGGQKEVKAQLMEAVEWPQKHHDAFDRIGTRPPTGVLMFGPPGCSKTLMARAVASEAGLNFLAVKGPELFSKWVGESEKAVRSLFAKARANAPSIVFFDEIDSLAVTRGKESDGVSVSDRVMSQLLVEMDGLHQRVNVTVIAATNRPDKIDPALLRPGRFDRLLYVGPPNKIDREEIFHIHLRKIPCGPDVSIEELASLSDGCTGADISLICREAAVAAIENLTEGLELDVPRPCFLVDAPRPVDAPDLVKTDVPSLTEIHMPRLGQTNASHTPDADAPTLTKNDAPHMPYADAPNLTEVDAPRLADDAPCLTEADAP >OIW03558 pep chromosome:LupAngTanjil_v1.0:LG10:3933185:3934573:1 gene:TanjilG_30978 transcript:OIW03558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLRHIFGSWAKKLVTYDRNSSNSHRLGRLKFSNKKLEAFDIDDLFRASAKLLGNENLGITYKVTLENGANVVVKRLNYMNELSKKDFLHQMQLLGKMWHENLVQIFSFYFSQDQKLVIYELIPHGTLFELLHESRDIGRITLDWTTRLAIIKDIAKGLNFLHHSLSSHKVPHANLKSSNVLISYDSHGYHSKLTDYGFLRLHPAKKVASKLAISKSPEFVQGKKLTHKTDVYCFGIIVLEIITRKVPGQILGEIEETTSDLSDWVRTVVNNAWSTDIFDLEILAEKEGHNAMLKLTELALQCTDMVSKKRPKMSAVLRRIEEIEQMELKCMQPMNEYS >OIW03099 pep chromosome:LupAngTanjil_v1.0:LG10:14062678:14074595:1 gene:TanjilG_07251 transcript:OIW03099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPEVSIEGSSIIQIAILPIGPIPQNILHDYYSMLLPLHTIPLSSITPFYTQHQKSPFFHLPWDSGSLRFKFILAGAPPSPWEDFQSHRKTLSVIGFLHCPSSPHLYSLMDHFSAACKPYSSSLLHRCFAFCPTHSQLEEGGNKNGNLRLFPPADRPTLEFHLNTMMQEIAASLLMEFEKWVLQAESSGTIVKTPLDSQTSLSSEEVMKAKKRRLGRVQKTIGDYCLLAGSPVDANAHYSTALELARLTGDYFWYAGALEGSVCALLIDRMGNKDSVLEEEVRYRYNSVILNYKKSQDNAQRVSPITFELEATLKLARRELAKEVLELLTTAADGAKSLIDASDKLILFIEIARLYGSLGYQRKAAFFSRQVAQLYLQQENRFAAISAMQILAMTTKAYHVQSRALISNHSHNKGYGSFNADSGKISHQSAVSLFESHWSTLQMVVLREILLSAVRAGDPLTAWSAAARLLRSYYPLITPAGQNGLANALSNSAERLPPGTRCADPALPFIRLHSFPLHPIQTDIVKRNPAREDWWAGSAPSGPFIYTPFSKGEPNNMKKQELIWIVGEPVQVFVELANPCGFDLRVDSIYLSVHSGNFDAFPVSVSLLPNSSKVITLTGIPTSVGPVTILGCIVHCFGVITEHLFRDVDTLLLGAAQGLVLSDPFRCCGSPKLKNVSVPNISVVPPLPLLVSRVFGGDGAIILYEGEIRDVWISLANAGTIPIEQAHISLSGKNQDSVISYSCETLKSCLPLKPGAEVTFPVTVKAWQVGLVDADTNAGKTVSGSNMRHAKDGSSPSLLIHYAGPLITSGDEDPTANGSTVSPGRRLVVPLQICVLQGLSFVKAQLLSMEFPAHIGENLPKLDDVSNKSNGGHVDPKTKMDRLVKIDPFRGSWGLRFLELELSNPTDVAFEINVSVKLENSSCEDNHFADQDATEYGYPKTRIDRDFSARVLVPLEHFKLPVLDDSFFMKDAKADGTSKGRNVSFSEKNTKAELNACIKNLISRIKVQWHSGRNSSGELNIKDAIQAALQTSVMDVLLPDPLTFGFRLVRNGFESEKPDPDKESNNIKSPSSKGSVVAREMTSMEVVVRNNTKDMIKMSLNITCRDVAGENCVDGTKATVLWTGVLSDITMEIPPLEQIKHSFCLHFLVPGEYTLVAAAVIDDANDILRARARTTSAAEPIFCRGPPYHVCVLGTA >OIW03549 pep chromosome:LupAngTanjil_v1.0:LG10:4054642:4055787:-1 gene:TanjilG_30969 transcript:OIW03549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKDIEKKNIVAVQSIRNMIMGSTLMANTSILLCCGLGAMISSTYSVKKPLNDSILGAQGELIVALKFATLFTIFLFSFLFHSLSVRFMSQLSILICTPQDTMSLVTQEYLSDLMGKATILNIVGNRVFHTGLPLLLWIFGPVMAFLCSIAMLLVLHKLDFVSRKENTIKVGRDEESGTT >OIW03381 pep chromosome:LupAngTanjil_v1.0:LG10:6328522:6328884:1 gene:TanjilG_31828 transcript:OIW03381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDPYERAKGGRLAFKGGDLATRSKTIDKKRKKKKNKNDNNTPNPNQDSEIETQTLDGEEPLAPGAAAYTIDAAKKMKYEELFPVEARKFGYEPKKATNFNSVEDALDHRVKKKADRYCK >OIW03087 pep chromosome:LupAngTanjil_v1.0:LG10:13932103:13934539:1 gene:TanjilG_07239 transcript:OIW03087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAMEGILRLFTKANHDLCMLHHRLEKEFENVYPDNANPMKLVSRIKKLQDDILIMTGHCHHLLAAKQDLIDKAQTILLQNRNLLHRMQSSFGIPINTQQDHDDAFTNFKQIIEEWTVQVRSKTGDKTHDADSEDLNKLLFSALVQSN >OIW03366 pep chromosome:LupAngTanjil_v1.0:LG10:6938459:6940027:1 gene:TanjilG_29351 transcript:OIW03366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVTTSNTSFSTPSTFSYIFSKHISNFTLHFSLIHNKTHSLKISTSLSNSSPTPSAASTTATVVTDSSTTATIVTDSSATDTSAITAAIAPAIAVVAAAKPIVSRFAYNEPRKGADILIEALERQGVTIVFAYPDGTSLEIHQALTRSTIIRNILPFHEQDGVFTTEGYARSSGLAEVCMSTSGPGATNLISGLADAMMDNISVVKEAFMLAISGRPGHVLIDIPKYVQQQLATPKFETPIGLSGYLSRLPKIPNERLLDPIVGLILESKKPALYVGGGCLNSSKELIRFVELIGIPVASTLMGLSVYFTTNEYSLQMLGMHGTVYANYAVENSDLLLAFGVRFDDHVTGKLEAFASRAKIVHIHIDLIELGKNKQLWVSVFADVKVALEGINRILEKKGIKNKFDFQGWREELNVQKLKFPLSYKTFGDSISPQNVIQILDELTNGNAIITTGVGQHQMWATQFYKYQRPRKMVNINVS >OIW03609 pep chromosome:LupAngTanjil_v1.0:LG10:3307920:3310181:-1 gene:TanjilG_06118 transcript:OIW03609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGLVLRGTLRAHTDEVTAIATPIDNSDMIVSSSRDKSIIVWHLTKEDRTYGVPRRRLTGHSHFVQDVVLSSDGQFALSGSWDGELRLWDLAAGSSSRRFVGHTKDVLSVAFSVDNRQIVSASRDRTIKLWNTLGECKYTISDGGEGHSDWVSAVRFSPNNLQPTIVSASWDRTVKVWNLTNCKLKNTLAGHSGYVNTVAVSPDGSLCASGGKDGVILLWDLAEGKKLYSLEAGSIIHSLCFSPNRYWLCAATEQGIKIWDLESKSIVEDLKVDLKSAADVTTGGVSNKKKVIYATSLNWSADGSTLFSGYTDGVIRVWGIGRSF >OIW03603 pep chromosome:LupAngTanjil_v1.0:LG10:3266267:3267995:1 gene:TanjilG_06112 transcript:OIW03603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEKIKLIVALLVLQCCYAGFHIVSRLALNIGISRVVYPIYRDIIALLLLSPFAYFLEKNNERPPLTLRLLAQFFLLALIGITANQSFYLLGIYYASPTFASAMQNSVPAITFVLASALGLEEVNISRRDGLAKVLGTIACVGGATIITLYKGPLLLQQKAHQIQGDTFEVDQSSTKNQNWTLGCLCLLGHCLCWAGWMVFQAPVVKKYPAKLTLTSFTCLFGLIQFLIIAAFTERDLESWKIQSKEELLTILYAGVISSGIVIYLQTWCIQKGGPVFVAVFQPLQTFIVAIMAALILGDKLYSGGIIGAIVIVIGLYLVLWGKSNGKKVTEQSLTKPLLNGEEETREANATSEEIV >OIW03143 pep chromosome:LupAngTanjil_v1.0:LG10:13506056:13508448:-1 gene:TanjilG_11780 transcript:OIW03143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNLSAALEKNRISAFRFDFAGNGESEGAFQYGNYHREVEDLRAVVQHFRKEKYVITALVGHSKGGNVVLMYASKYKDVQIVVNISGRFNLARGMESRLGQNFIQRIKEDGFIDVKNKRGKVVYRVTEESLMDRLSTITHLICLSIPQDCRVLTVHGSMDETVPAEDALEFAKFISNHELRIIEEADHEYSCHQDELACLHWYEHGSSGTESQGSNHKQSKTIGVGG >OIW03377 pep chromosome:LupAngTanjil_v1.0:LG10:6280227:6282931:-1 gene:TanjilG_31824 transcript:OIW03377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLRLDLDLPQSLNPRGISTTTKLLVNSSEPEQTPISSPLAQVSLLGASNGEQDQNKKPLNKKDKVQAVLKGIKQSPKKVNLVATLVRGMLVKDALMQLQLTVKRAAKTVFQVINSAKANGSHNHGLDPERLVVDEAFVGKGYFKKRVNIHGRGKCGMKVRPECRLTVVLREITPEEEAKIARLRVHNYKKLSKREQKLVPHQLIESNPVWGRKNRSSSQNSSVAAS >OIW03532 pep chromosome:LupAngTanjil_v1.0:LG10:4240150:4240752:-1 gene:TanjilG_30952 transcript:OIW03532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVVAVHVDDLYFKNKSNKCRYLWITLLMLLIIVTVLIIFYFTMLQPKLPHFYVNALSLTNFTSTEIVTGTWQVVFLVKNPNEFLNIKFMSLQPMIYYEDQNLSESQIISFMQPSKSNLIFNVTFSAVNVKVGQYILDGMNKEREHGSVGFNVKIDGYMKLKYSKQRIRVLCDNIVVRISSNSLSGILDGGVKNCNVDFN >OIW03060 pep chromosome:LupAngTanjil_v1.0:LG10:14941205:14941534:1 gene:TanjilG_19340 transcript:OIW03060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGVWVFKNGVFRLVENPQGEAQRGSSSKRKVLVYLPTGQVVNSYAFLEHILTGLGWERYYDGDPDLYQFHKHSSIDLISLPKEFSKFNSINMYDIVIKTPNVFHVRDN >OIW03490 pep chromosome:LupAngTanjil_v1.0:LG10:4831942:4836886:1 gene:TanjilG_14715 transcript:OIW03490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIARLVRQAKRPYGLFVKMSAVTILGLCFIFVWGVFSNSSTSVTTQRESFEDIAEPGPSSSSVIQSQKKKIGKPEKHEVVPDLVEKNEKRINVSSSSVTHHKKVLPKGKDKKGVAHGKKRVREDDKVNKDKGLANTDLNDEKEGGEEEVEGKEEVSDGEGDVENMDGGDLAESVDQDVEMLGDEESVEEEEEVTKKTSKGKMKGPLFDPNAKYRWKLCNTRSKHNYIPCVDIEVAGGKVASYRHRERSCPRTPFMCLVPLPHEGYKSPVPWPESKLKILYKNVAHPKLASYIKRHSWLVESGEYLTFPQNQSEFKGGILHYLESIEEMVPDIEWGKNIRVVLDIGCTDSIFGATLLDKEVLTLSLGLKDDLVDTAQVTLERGFPTVVSPFGRRRLPFPSQVFDAIHCAGCSIPWHSNGGKLLLEMNRILRPGGYFIMSTKHDGIEEEEAMTSLTASICWNILAHKSDDVGEVGVKIYQKPEGNDIYELRRKKVPPICKENEKPDAAWYVPIKTCLHTIPIGIEQHGAEWPEEWPKRLKSYPDWMNNKEKLVADTQHWNSIVNYSYLNGMGINWTSIRNVMDMKAIYGGLAAALSQQKVWVMNVVPVHAPDTLPIIFERGLVGVYHDWCESFGTYPRTYDLLHADHLFSRLKNRCNKPVSIVVEMDRILRPGGWTIIRDKVEILNPLEEILRSLQWEIRMTFAKDKEGIICAQKTMWRP >OIW03151 pep chromosome:LupAngTanjil_v1.0:LG10:13358083:13358818:1 gene:TanjilG_11788 transcript:OIW03151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSELDAQIPTTFDPFAEANAEDSGAGSKEYVHIRIQQRNGRKSLTTVQGLKKEFSYSKILKDLKKEFCCNGTVVQDPELGQVIQLQGDQRKNVSTFLIQVIITSPYVLCC >OIW03412 pep chromosome:LupAngTanjil_v1.0:LG10:5930212:5935447:-1 gene:TanjilG_12009 transcript:OIW03412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEYTNTSNHMSENTGPSSSPRPNFLYSSLASGSSLENHHHQFSINNFHLQSDSGSDHHHHCFLYDQLPPQSAVKSEAPNSTTSQLFTPIFNYPLMRGNFQKNTMQQYQGGSQSFNEVEDIKAKIIAHPQYSNLIEAYLDCQKVGASSEVVTRLVETRQEFEARQRSSLNSRENSKDPELDQFMEAYYGMLVKYREELTRPVQEAMHFMRKIETQLNLLCNGPVWIFSADKYEGIGSSEEDQDNNSGGETELPEFDPRSEDRELKNHLLKKYSGYLSSLKQELSKKKKKGKLPKDARQKLLNWWELHYKWPYPSESEKVALSESTGLDQKQINNWFINQRKRHWKPSEDMQFMVMDGLNPQNGTTLYMDDVYMGDGHYRLAP >OIW03155 pep chromosome:LupAngTanjil_v1.0:LG10:13268453:13271490:1 gene:TanjilG_11792 transcript:OIW03155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFIVALALLFGLLLALLLIPRHHKSGQKGNAHSTLNNKDKESKSFSKSEVSLHHKRTDCWIIIKNKVYDVTPYVEEHPGGDAILAHAGDDSTEGFFGYASVPFYPLFVRRNSSGNPSEWYRHISKGGWPFSTRDNGWSVSDCSAEGLKVAILLSNMPFETVGKAIETEQLYDAVNYILSLQNPSGGFASYELTRSYSWLEKINPTETFGDIMIDYQYVECTSAVIQGLALFREKCPQHLNMEIQTCMAKAGNYIQTIQLPDGSWSGSWGICYTYGTWFGINGLIAAGKTYQDSHCIRKACEFLLSKQNPCGGWGESYVSCQNKGQRDPWLLHRAAKVLINSQMENGEFPQQEIVGVFCKNCTISYSAFRNIFPIWALGEYRSRVLLSPNKDEDHQNYI >OIW03576 pep chromosome:LupAngTanjil_v1.0:LG10:3768626:3779159:-1 gene:TanjilG_30996 transcript:OIW03576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMRSVLLLSRGFRVSMDSTSRGAMSRFYSSDKEEEHIAPIDLALKVKTKSNWDDEDVDENDIKESWEDEYEPAPAPAVPAVKAAEKAPKKSSEKKVKQVEPVKEEPLDPVAEKLRQQRLVEEADYKSTKELFGGGSDEKNIDIFIPKSESDFLEYAELISNKLRPFEKSYHYMGLLKAVMRLSMTSLKGADAKDIASSVTAIANEKIKAEKEANAGKKKTGGKKKQLTVDKPDEDFVPADRYDALDDFDFM >OIW03401 pep chromosome:LupAngTanjil_v1.0:LG10:6164456:6167214:-1 gene:TanjilG_11998 transcript:OIW03401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLRKTATRLPQLAPYSQRILSLHTTLPSLSPETATTPYARPPPPSTSSPGGISKTAEFVISKVDDLMNWARRGSIWPMTFGLACCAVEMMHTGGARYDLDRFGIIFRPSPRQSDCMIVAGTLTNKMAPALRKVYDQMPEPRWVISMGSCANGGGYYHYSYSVVRGCDRIVPVDIYVPGCPPTAEALLYGLLQLQKKINRRKDFLLWWTK >OIW04010 pep chromosome:LupAngTanjil_v1.0:LG10:306648:309271:1 gene:TanjilG_30286 transcript:OIW04010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEAPQFFYVNAISKFGHETQQNHCNGHNHDSSWYEEIIDDDLKWSYKLNSVLHKGISEYQEIALLDTKRFGKALVIDGKMQSAETDEFIYHECLIHPPLLCHPNPKRVFIMGGGEGSTAREALKHNSIDKVVMCDIDQEVVSFCRKYLVVNKEAFSNKKLELVINDAKAELEKRKEKFDVIVGDLADPLEDGPCYQLYTKSFYEKILKPKLNDNGIFVTQAGPAGIFTHKEVFTSIYNTIKQVFKYVMVYSTHVPSFADTWGWVMASDQPLSIGAEEMDKRIGARIHDELHYLNGAWFHSSSIMNKTVTLSLQNETHVYTEENARFIPGHGVAHRF >OIW04006 pep chromosome:LupAngTanjil_v1.0:LG10:321417:324736:-1 gene:TanjilG_30282 transcript:OIW04006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEELSFAVAVPLRVGNSVCDKNPIIATTHMDASRFKLMGDAGLLSNSVTKLETVASSESGHNEVSIAKVTLPKQDREGDISLLDTISNNKGSTLDAGDEELIPEVEEHDSLSLEGDRIIDSSCSHSAISENSSMCGEEFINFEANSDVGKPCSIDIEKSICGVNIVARPADLVPNVQTDIMSEPLSVAGSLEEETDVGSVSEPSAIGLHQQEKGAGGTVGRSVFELDYAPLWGFISLCGRRPEMEDAVTTIPRFLKIPIQMLIGDRVLDGMNTCFNQQMIHFFGVYDGHGGSQVAKYCRDRIHLALAEEIELVKEGLNSGSIIDGCLDQWKKAFTNCFLKVDAEVGGKVNNEPVAPETVGSTAVVAIICSSHIIVANCGDSRAVLCRGKEPMALSVDHKPNRDDEYARIEAAGGKVIQWNGHRVFGVLAMSRSIGDRYLKPWIIPEPEVMFLPRAKDDECLILASDGLWDVMTNEEVCDLARRRLLLWHKKNGTELPLERGEGIDPAAQAAAEYLSNRALQKGSKDNITVIVVDLKAQRKFKTKT >OIW03895 pep chromosome:LupAngTanjil_v1.0:LG10:1071819:1073159:-1 gene:TanjilG_30171 transcript:OIW03895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLPTTKNHHRNNGRLGENTMSATQLRRPRTVPDLVSYGNKYVGTVVPEGIPRQPPKVLLKVTVLGSLAAVQVLMRPESSVADLVEGALRQYVKEGRRPILPSMKGFDFDLHYSQFSLESLDRDEKLIDLGSRNFFMCPRKSVSAVEGGGGGREDYMTTPFASCANEVSKVRQGGNRDAAGFGWFKLMHFLLP >OIW03627 pep chromosome:LupAngTanjil_v1.0:LG10:3155497:3156360:-1 gene:TanjilG_22284 transcript:OIW03627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPTYFSSDHAKGYNYSACAPFSCGNLSDISYPFWNSNQPDYCGHPKFKVDCQQDNLTIDIMSQKFDIIGINQTTQVMKIARLDLWVDLSCSKDYSYTTFNLDFPFFNYTTNDDYTTLFYDCDPPSYYSPLMNGAYSFTFPCSIDGDHHYAYLVLSTNLGNNFISLGCKNNTMVPVLKEAELNFMKGDITLGAGYVLNEGFEVGWMGVNKDQCDSCIKSSGRCGHNNASFICLCPNDNKTYDGRSICGISSSQSPLPEMDQPFLLPKPNSMPSHHATSMYSLFSFSK >OIW03884 pep chromosome:LupAngTanjil_v1.0:LG10:1131943:1135152:-1 gene:TanjilG_30160 transcript:OIW03884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAADLSSDSGNGQTICVTGAGGFIASWMVKLLLLKDDPKNGHLKELEGGAERLTLHKVDLLDLQSVKAAINGCDGVFHTASPVTDNPEEMVEPAVNGAKNVIIAAAEAKVRRVVFTSSIGAVYMDPTRNIDVVVDESCWSDLEFCKNTKNWYCYGKAVAEQAAWDEAKEKGVDLVVVNPVLVLGPLLQPTINASTIHILKYLTGSAKTYTNATQAYVDVRDVALAHILLYENPTASGRYLCAETSLHRGELVEILAKYFPEYPVPTKCSDEKNPRAKPYIFSKQKLKDLGLEFTPVSQCLYDTVKSLQEKGHLPVPTKEQDSTAVKS >OIW03431 pep chromosome:LupAngTanjil_v1.0:LG10:5497129:5501659:1 gene:TanjilG_14656 transcript:OIW03431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTTRGEQHQTVPLSVLLKRELLSEKIERPEIVHGQACHSKKGEDFTLLKTDRQRLVGDGVSTYSVFGLFDGHNGSAAAVYTKENLLDNVLSAIPPDLNRDEWVAALPRALVAGFVKTDKDFQQKAQKSGTTVTFVIVDGWVVTVASVGDSRCVLESSEGGIYYLSADHRLETNEEERVRIRSSGGEVGRLNTGGGAEVGPLRCWPGGLCLSRSIGDMDIGEFIVPVPYVKQVKLSTGGGRLVICSDGVWDALSAEVALDSCHGMPAEAAAPHIVKEAVQAKGLRDDTTCIVVDILPQEKPPTSVPHTKKPVKVMLKSMFRKKSSESSYIDKEYLEADVVEELFEEGSAMLSERLDTKYPLCNMFKLFMCAVCQVEIKPGEGISIHEGEPIPGKSRPWDGPFLCASCQEKKEAMEGKGISGRLSSGSDE >OIW03423 pep chromosome:LupAngTanjil_v1.0:LG10:5600453:5603384:1 gene:TanjilG_12020 transcript:OIW03423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFECCDVSIWKEALCGYASQIKSLSVNKKSNLVSLDEFYCNQLPSIIHQRNPNPFITTHELSQLMQWKLTRGKWRPRLLDFVSALDDTVVKTASQKAFQSLPDISKAISELTVLKGVGPATASAVLAAFAPELAPFMSDEAMEAALGNSKDYSLKQYLAFIDKLQTKAKDLSSEGVSFTPSDVERALWSYAVGKSSAPQLNQDPKTNPKKSSKRKRKN >OIW03187 pep chromosome:LupAngTanjil_v1.0:LG10:12698004:12698282:-1 gene:TanjilG_11824 transcript:OIW03187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQRHTNLTEDPTSAMHQRRNNLAEKLASSMHQSCISLTEQGTPSTNPLTTTPPHQGTTDVHQDAPNNVHQGASVIQVGAPDCQLGSYTTIT >OIW03894 pep chromosome:LupAngTanjil_v1.0:LG10:1077856:1084595:-1 gene:TanjilG_30170 transcript:OIW03894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSDPENKAMPPSSWAKKTGFRPKFSGEADSGQIALPPKQTSDADVDLEAGRVRAPNVTNGVTQRDKVSFMLPLPPSKDPVTKKRRDSISDGVTKNPVSSTNGQVTVAQPLEKRSQPPPRRTTRHEEAVDSLPQSVDEDGFVSRHSHMKYELRDSLGFVPIGVYGVQHYVSILGSLILIPLVIVPAMEGSYKFKHTMKELQGAIIIGSAFQALLGYTGLMSLLVRLINPVVVSPTIAAVGLSFFSYGFPMVGTCLEIGAVQLLVVIVFSLYLRKISVLGHRIFLIYAVPLGLAITWAFAFLLTEAGAYSYKGCDVNIPASDMLSEHCRKHVSRMKHCRIDTSHALKSSPWFRFPYPLQWGTPVFHWKMALVMCVVSLISSVDSVGSYHASSLLVASRPPTPGVLSRGIGLEGLSSVLAGVWGTGTGCTTLTENVHTIAVTKMGSRKAVQLGACFLIVLSLVGKVGGFIASIPDVMVAGLLCFMWAMLTALGLSNLRYSEAGSSRNIIIVGLSLFLSLSIPAYFQQYGVSPNSNLSVPSYFQPYIVASHGPIHNKYGGLNYVVNTIFSLNMVIAFLVAVVLDNTVPGSKQERGVYVWSEPEVARMDPAIAKDYELPLRVGRIFRWVKWVGM >OIW03808 pep chromosome:LupAngTanjil_v1.0:LG10:1626030:1629103:-1 gene:TanjilG_30084 transcript:OIW03808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSQDMEKETEGSLLQEELSDQDMNPHVQCCKGGLITMPFIIGNEALAKLASVGVFPNMIVYLIGDYRVGVVKATKIIFLWFAATNFTPVVGAIIADTYLGRFLSIGLGSILTFLGMALLWLTTMIPQSRPSPCDHSNEQCQSATTTQMAILLSSFALMSIGGGGISCSLAFGADQLNNKTNLNNERVLESFISWYIASQAIAVVFSLTGIIYIQDHLGWKLGFGVPAALMFLSTFLFFLVSQRYVKQKPHKSILTGFAKVIVVAYKNRKLSFPPKDLDGIYHHDKDSNLVAPTDKLRFLNKACIIKGREQDIAQDGPASNAWNLCTIEQVEELKAIIKVIPLWSTSIMVSVGASQTSFWLLQAKTMDRHITSNFEIPAGSFSVFMMIAVFVSAGVYDRVILPLASKLRGKPVRISAKKRIGIGVFFIFLDCVVSAVVENTRRRKAMHEGYIDNPQQVLNMTSMWLIPHNILCGIAEAFTAIGHSEFYYTEFPSSMSSIAASLFSLGSAVGNLIATLIFSIVNDITSRGGKVSWVSDNINKGHYDKYYWLLTIMSAVNIIYYLVCSWAYGPSAEAASKKEENLTEKGNRIHHEQEQEMQGS >OIW03591 pep chromosome:LupAngTanjil_v1.0:LG10:3556236:3558961:-1 gene:TanjilG_05135 transcript:OIW03591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLKPEIFELNNGTMQVLVSNLGCIITSLSVPDKDGVLSDVVLGLDSLDSYQKGLAPYFGCIVGRVANRIKDGKFTLDGVEYSLPINRPPNSLHGGHVGYDKKVWEVVEYRKGETPSITFKYHSHDGEEGYPGDITVTATYTLTSSTTLRLDMEGVPKDKPIIINLAQHTYWNLAGHDKGNILDHSIRIPANHVTPVDQNTVPTGEIMPVKGTPFDFTSEKRIGNTINEVGLGYDHNYVIDCGEEKAGLRHAAKVRDPSSSRVLNLWTTAPGMQFYTANYVNGVVGKGGAVYEKHAGLCLETQGFPNSINQPNFPSIVVRPGERYQHSMLYEFSIE >OIW03075 pep chromosome:LupAngTanjil_v1.0:LG10:14737014:14740604:1 gene:TanjilG_19355 transcript:OIW03075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLKEQENVEATKCVWVHGPIIVGAGPSGIAVAASLKQQGVPSVVLERSNCIASLWQNKTYDRLKLHLPKHFCELPLMPFPKDFPKYPSKYQFISYMESYASHFNIVPRFNQSVQSAEFDPSSEIWVVRTQDFVYISPWLVVATGENAEPVIPNIPGMELFHGSIVHTSVYKSGCEYKNKKVLVIGCGNSGMEVSLDLSRHNAISYMVARNTVHVIPREMFGFSTFGIAMALNKWFPLKLVDKFLLVVSSFMLGNTNHYGIKRPKTGPIELKLATGKTPVLDVGQLAKIKSGNIKVMEGVKEITRNGAKFVDGQEKEFDAIILATGYKSNVPTWLKSCEFFDKNGMPKMPFPHGWKGEQGLYTVGFTKRGLHGTSSDAVNIAKDIAHKWMTIKDKSYCNSHIVLLKNS >OIW03805 pep chromosome:LupAngTanjil_v1.0:LG10:1646922:1648412:1 gene:TanjilG_30081 transcript:OIW03805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLQLQLSSHAFTSLSSHNFNYITKPNRALYSSKTLNFATIKVRAVGTIPETDSDTTEPEEPPYVGFAFISSVLLPDGTPDIHYRSSSGGQKLRNVMLDTNIDLYGPYSRALLNCGGGGTCATCMVEVLEGTELLNPRTDKEKEKLKRKPKNWRLACQTIVGKPDSRGAVVIQQLPEWKGHEWKYGKDEESESSS >OIW03447 pep chromosome:LupAngTanjil_v1.0:LG10:5313671:5316761:-1 gene:TanjilG_14672 transcript:OIW03447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTNSHQVLRTLAPLQEPDLSLNISPPFISESEHKVGSFNKTLYNDMCSTSDSGSSGSELSHENNNNNDFFHLGHHHGEPTLSLGLETKGLNPHVPIQQGVLRNFNHHNFQPQIYVRDFKRNARIVHGVKRSVRAPRMRWTTTLHAHFVHAVQLLGGHERATPKSVLELMNVKDLTLAHVKSHLQMYRTVKSTVKGTGPGQITDMGLSTRPEITNNLHNEVSACDERRTNLSHPLRSPWQSSSMETNTNNTRQKPEIGLMYSHLKGNETMVNVQNYGCQSNFMKERLDSSPLSRSEAILNLEFTLGRSNCEAEHAESSRELTLLKC >OIW04044 pep chromosome:LupAngTanjil_v1.0:LG10:105436:108301:-1 gene:TanjilG_24155 transcript:OIW04044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASLQTFPPQDPQAQKQNLSFQEPSSKEMGSSSSSSSSSSKCNKFAAFEAVEHHNQQHRIPPIRPPEKKQRVPSAYNRFIKDEIQRIKASNPDISHREAFSAAAKNWAHFPHIHFGLKLDANKQTNLQAEGTQKSKGFY >OIW03710 pep chromosome:LupAngTanjil_v1.0:LG10:2383357:2385070:-1 gene:TanjilG_29745 transcript:OIW03710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRASRWLKNLFGIKRGKEQQHKENLNSRVLCHNPATIPPNLSPAEAAWLSSFCKETDKEQNKHAIAVAAATAAAADAAVAAAQAAVAVVRLTSIGRGNTMFGGGNEMWAALKIQTLFRGFLARKALRALKGLVKLQALVRGFLVRKQATATLHSMQALIRAQATVRSHKSRSVINTKNEAYRFQNRARRSMERFDDTRSEYKSSIHSRRLSSSFDATINNNNNSIDGSPKIVEMDTGSGRPKSRSRRTNTSISDFGYDPSFHAVSSPLSIPRVTPARLSIPNHGNFNDSSEWGLTREECRFSSTAHSSPRFTSSCSCGAPATPKSVCTDYLFLRQYGNNPNYMASTQSFKAKLRSQSAPKQRPVQGPRKRLSLYEMMESRNSLSEVRMHSSCSQVQEAVNFKNAVMGRLHKSTESARETERNYFNRRRC >OIW03547 pep chromosome:LupAngTanjil_v1.0:LG10:4089033:4108762:1 gene:TanjilG_30967 transcript:OIW03547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIERKSQPKRLVRGKPKSCFAAIIPVNIKLVYLRRSLVEELPKDPETFETKVVGSFIRIKCDPNDYLQKNSHQLLQVTGVRKGSKISGEILLEVSGFFKDVSISMLSDDNFSEVDIEQTARALHNDMTKHELWKTSSMQASLVSEVPKAVAGGFLWKDTRLDSTDHVKEENNSPKSILSFRGASEVRPFNMEMNGTLLNVISHGTASGLLLSVKALHVHQSSVMPVQQQPKQQLDLNEDMFDADESNEAEISHHSLRSTVAVTDSTLSGHLQLTSVFTSTFANLEIMHAPVLVLKDSLKRESGTKVHHANIQASKAVADIIRTTLGPRSMLKMLLDASGGIVVTNDGNAILRELDIAHPAAKSMIELSRTQDEEVGDGTTSVIILAGEMLHVAEAFIEKNYHPTVICRAYNKALEDAIAVLDKIAMVIDPHDRATLLGLVKSCIGTKFTSQFGDLIADLAIDATSTVGVDIGQGLRDVDIKNYIKVEKVPGGQLEDSRVLKGVMFNKDVVAPGKMRRKIVNPRIILLDSPLEYKKGENQTNAELLKEEDWNLLLRMEEEYIEEICMQILKFKPDLVITEKGLSDLACHYLSKHGVTAIRRLRKTDNNRIAKACGAVIVNRPDELQESDVGTGAGLFEVKKIGDEFFAFIVDCEDPKACTVLLRGASKDLLNEVERNLQDAMSVARNIIKNPKLVPGGGATELTVSATLKQKSSSVEGIEKWPYEAAAIAFEAIPRTLAQNCGVNVIRTMTALQGKHANGENAWIGIDGNTGAITDMKEGKIWDAYNVKAQTFKTAIEAACMLLRIDDIVSGIKKKQAPGSGPAKSKIETEADADSEQILPD >OIW03410 pep chromosome:LupAngTanjil_v1.0:LG10:6031303:6038337:1 gene:TanjilG_12007 transcript:OIW03410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFMKVFDQTVREIKREVNLKVLKVPEIEQKVLDATDNEPWGPHGSALAEIAQATKKFAECQMVMNVLWTRLSETGKDWRYVYKALAVIEYLISNGSERAVDDIIEHTFQISALSSFEYVEPSGKDVGLNVRKKSETIVALLNNRDKIQEVRNKAASNRDKYIGVSSSGITYKSGSASFSSGSFQSNNKYGGFGSGDDDRFRDSYRDKGNYEEEKVEKDQENSFKKRSARSSSKSQESMSSRVSKSSTNADAYDSYNSVPSQSAIVATSNTEDDFDDFDPRGTSTKATAGSSNHVDFFAQDLIGDLMDAPTPVPTEKPVTSGVSEVDLFADAAFVSAEPHVDKGASSQPQDEVDLFSSQPALPSITPTVDLFSIPEPDVKSESKSGNSGPVNNINFDPFAAVPLNNFDGPDMFGEFTSQSDSVSSQPAQPTTNVVSDASQGITIGKSPGDSKVTPKKDSFQVKSGVWADSLSRGLIDLNISAPKKVNLSDVGIVGGLSDGLDEREKGMPPAFYMGRAMGSGSGLGRSGFTPSQPATGDDLFSSLGSQPYQYGGFQK >OIW03995 pep chromosome:LupAngTanjil_v1.0:LG10:392608:393099:1 gene:TanjilG_30271 transcript:OIW03995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPMSMTLAPTATATTITISMSTHTHLWNSPIPYLFGGLAAMLGLIALALLILAFSYKKLNGQLQQQNLDGGHRDLEKEGDTQKNEQVNVYEEKILVIMAGDKNPTFLATHVYPKSLSLGADAENTPKVCDKSYKEFHNNHVVVVGSTTSTQENQASQVQQIQ >OIW03463 pep chromosome:LupAngTanjil_v1.0:LG10:5124717:5125436:1 gene:TanjilG_14688 transcript:OIW03463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGVLQMASGFGESTSRSPPSPSHSSNNINSDAGNFECNICFDLAQDPIVTLCGHLFCWPCLYRWLHFHSKSRECPVCKALVEEEKLVPLYGRGKTSTDPRSKSIPGVNIPHRPAGQRPETAPPPEPNAFPQPGFGFTGGLGGFAPMATARFGNFTLAAAIGGFLPSLINFPLQGFHDSAITAMYGGATGFPYGFSNSFHGVGHFHRYPPQRVQGQQDYYLKRLLMIVIFFVVLALIWQ >OIW03739 pep chromosome:LupAngTanjil_v1.0:LG10:2173046:2182086:1 gene:TanjilG_30015 transcript:OIW03739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNEESSFPPMNVKKFKLWSCQNCLNETNAEATDNIVSAIQQAPIPDDNLVRRGVDLNLPIDISSDDDDDLPIEFETGLENNLNSQVPFIPSPEVSPHIEQEVQTEKEDVEPPATTLINENPINHLPQDTTVCSDAVPTNNADNVVQEDVMDPHLSKSTNFSDKEHQKKSLVSENLEQGLNQPTEVHESVSLPPTNMVADEQTPPVLSDKVDVEEDMHAKERGRESDEVNDVEPLAIATVVPDDNRGVLNLNTEHPEGHPPLDSNVPGDGTVPTGNPEDIAKNDILDDNPTDSIRLSWIRPLKMRSLADLLSRSDLLVREENVSEPQPPTDVPTNPDSLPNLPADSVDVEEATTSRKRGRGRKKKSPSNKKSKKPARNENEVENLEGDANAIDTIPHNELEELNSTEQTKKWLSLQEVTSNPHLLLKDSETNISGPSMHNADVNRGKGKGKEILIEENNRAEKRAETVGATMTENSNQGATDDIPMDVVEFMAKMQYEKTLPDEGNATNLLDKPNQKESENITREENVLPENNGNSINFEKKGKKKKKKNMNGNEKNSNANINLEKPPKNIGVGTLKRKNAKKIKDPSNQSTTAFNVVAAAEMLQSAFDSSHSTKKLKIEPSKSDKNSNAKINLEKSAENIDPGTSKRKNVKKIKDTSNQSTTAFNVVAAAEMLQSAFDSSHSTEKPKNESSKSGKNSNANINLEKPAENIHPGTLERQNVKKIKDTNNQSTTAFNVVGAAETLQSAFDSSHSTEKLKNESSKSGKNSNANINLEKPGENIDPGISKRKNVKRMNDTSNQSATPFNVVATAQMLQSAFDSSHSTEKLKNESSKSGKNSNDNINLEKPAENIHPGTSERKNVKKIKDTNNQSTTAFNVVGTAKMLQSAFDSSHSTEKLKNESSKSGKNSNVNINLEKPAENIDPGTSERKNVKRISDTSNQSTTAFNVVAAAEMLQSGFDSSHSTEKSKNESSKSGKNSNANINLKKPAENIDPGISKRKNVKRMNDTSNQSATPFNVVATAQMLQSAFDSSHSTEKLKIGQSKSGENFNANINLEKLAENIDVGTSKRENAKIRKDTYNQTTATFNVVDAAEILQRAFDSSHSSENLKIHLSKSGENSNANINLEKLAKNIDAGTSKIENAKIMKDTYNQTTTTFNVVDAAEMLQSAFDSSHSSENLKIHISKSGENFNANINLEKLAENIDVGTSKRENAKIMKDTYNQTTTTFNVVDAAEMLQSAFDSTHSSENLKIHLSKSGENSNANINLEKLAENIDAGTSKRENEKIMKDTYNQTTTTFNVVDAAEMLQSPFDSSHSSENLKIHLSKSGENSNANINLEKLAENIDVGTSKRENAKKMKDTSNQSTTTFNVVAEAETLQRALDPSYSSEKLMIGSSKSDENSNSNINLEKAAENIGVGTLKRKNAKIIKDANNQSTTTFNAIAEAEMLQRALDPTYGSKKLMIGSSKSDGNSNPNINVEKSAENIGVGTSKRKNTKRMKDTSNQSITTFNAIAEAEMLQSALDPSHSSKKLKIGSSKSDGNSNSNIKLEKPAENIGVETSKRKYARKMKDKSNQSTIPFDPIAEAEMLIRALDPSGSSKRIEDTDNQSSMAFDAFIADEMLDPSKDTKKLLKKSGKNAKKKKEKGESSKSSKKKEASDDSPWWFNRKINLKDMANRMSNFQRTQETSGPLGTSYEKIGMFNSNPVDLNVSEERTAPKNNGEDMILEDNVAEERNDLPAPGGNDSKKNLEGDKK >OIW03857 pep chromosome:LupAngTanjil_v1.0:LG10:1305819:1316392:1 gene:TanjilG_30133 transcript:OIW03857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLKNTTMFDIMEILFTLLVILSVAKPGLSSTTKTFNVLHYGAVGDKITDDSPAFEKAWKDVCQSKSDISQLVVPAGKTFLLKPITFTGPCKSTYTYIQVLGNIVAPKTKSEYSGHHTNTWLGFSNINGLIIKGKGIIDGRGSAWWQQPCLGNPSPGTKCRPPSDDCIAISSGSSKIKISGITCGPGHGISIGSLGTAGKTDTVEDVHGGAGFARRISFEDIKFVRANSPIIIDQFYCPNRIDCQNKTEAVKVSDVTFKRIVGTSLMEEAINLSCDQNIGCSNIVLDDIDITSAIPGKEVFSFCHNAHGKAINTKPAPEAMGKRPPYATDIEGARYPPPPQPTFRPPPPTQWTSWLVPLIFVTNVAMFVYSMYLNDCPSHLDKEECLFTQQLGTFAFQPFRENPLLGPSTSTLRKLGALERDLVVYDNESWRFLTCMFLHAGVIHLLSNMFSLLFIGVRLEQEFGFLRIGLLYILSGFGGSLLSILHLKNDGIVQTISVGASGALFGLLGSMLSELLTNWTIYANKCAALISLVVIIGLNLAVGFLPHVDNSAHIGGFLSGFFLGFILLMRHQYGYVKHKYIPPGSDIKRLIVTSALIIWKGLMCITGSESPVVVVLSGSMEPGFKRGDILFLHMSKDPIRSGEIVVFNVDGREIPIVHRVIKVHEREDTGEVDVLTKGDNNYGDDRLLYADGQLWLHRHHIMGRAVGFLPYVGWVTIIMTENPIFKYILIGGLGLLVITSKD >OIW03821 pep chromosome:LupAngTanjil_v1.0:LG10:1546896:1549438:-1 gene:TanjilG_30097 transcript:OIW03821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDGLFKPKFYSKCKSNARLIKTRLEVIRKKRNAVQKFLKKDIADLLKSGLDYNAYGRAEGLLVEKNMTSCYELIAKFIECISVHARELCKQRECPDECKEAIPSLIYAAARVSDLPELRDLRTLFTEKFENSLEPYTCKEFVNKLRQDPPSKEMKIHLLRDLAQEFSIKWDSKALEQKFYSTPQLHEEKPKHAYDEKCQKIENDIAMPKRNERDKKKDIIDASWRVQNSNASDDETSTDNSSQDSQTKACSSSLESVSEDEVENKIPFSYGLVPPPYVKEKKTTESSNHEPVVENKEIPRSVRTRATLKPQPPSQNATGSRSKTVDTEKAVDVLLMHYSKKQSPHSKPDLSATPPVRGISLPSEHITSKETLLKGRERATSAKHVHPSLPDYEDFTARLAYLSRR >OIW04009 pep chromosome:LupAngTanjil_v1.0:LG10:310089:310427:-1 gene:TanjilG_30285 transcript:OIW04009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACVSVSMALPLTSATQNKLNSTPSSSAPYFRPLPKKTVVKKSELKEKSVAGLTAAALTASLVIPEMAHAAGNDFSPSLKNFLLSIAAGGIVLTAIFGAVIGVSNFDPVKRT >OIW03462 pep chromosome:LupAngTanjil_v1.0:LG10:5129171:5129989:1 gene:TanjilG_14687 transcript:OIW03462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAILSVLQHSSCPENIFFHFICSSNTSLLSNTISKSFPYLKFQVYNFDNSIVSGLISTSIRSALDCPLNYARSYLANLIPLCVQRVVYLDSDLILVDDIAKLAATELGQDENTVLAAPQYCNANFTSYFTPTFWSNPSLSLTFANREACYFNTGVMVIDLVRWRSGDYTTKIEEWMELQKRMRIYELGSLPPFLLVFAGNIVSVDHRWNQHGLGGDNFRGLCRDLHPGPVSLLHWSGKGKPWVRLDANRPCPLDALWAPYDLLQTPFSFDS >OIW03304 pep chromosome:LupAngTanjil_v1.0:LG10:8807606:8808010:-1 gene:TanjilG_16453 transcript:OIW03304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHNESEADQNTDSKSKPHKLFSCNFCMRKFYSSQALGGHQNAHKRERGAARSHQSHKMMMISLNRSLGIKPHSLVHQPNQESSSMVARFNDANSENGMLWTPFMHVDSIWPGSFRVDLPKQESDISKLDLDLRL >OIW03242 pep chromosome:LupAngTanjil_v1.0:LG10:10879042:10881962:-1 gene:TanjilG_21771 transcript:OIW03242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVKEGMSLIGIGISRSPDQESIGSGTKRSSVSSGGRSRNQKEFFYKFVESERLSGELLDWFESLAENCAPKQQAFDVPFELIELQKFDYALEGISFQQLIRMPNVVYASTSDAVEATAYLAVEDFLHASVKGLWEAFWSQDEPMPFSVASMYNSNLKFYQAENAIANGRLGGLCGTGILLNNPRHPHGKWDNVLELALLRPDIRGLAVGSDQQPSPSVLGEALFCAVRMLLARSLSKLSFFPDSSTVFVLIVDSQYGGVVKVEGDVNKLNFDVNNVYECAAEWVKSHARISVSPIDRIWNKLGNANWGDIGALQVLFATFHCIMQYAGMPKHSVEDIAVDHSSRLQTRRVERQLEDTRENGNGLFRYQQRSVSPEIVEVQEDSVEVELKELMRLEVGSMLWLEDSDWQKGYQIREVINNGELTYYIASYVEDSGKNLFLYVGSHPSQLEPAWEDMSLWYQVQRQTKVLTIMKQKGLSSKYLPQLSASGRIVHPGECRRPSKGGNCEHPWCGTPILVTSPVGETVAEMVRSGQFGSDEAIRCCHDCLSALSTVASAGIRHGDIRPENVICVRSGVRRPYFVLIGWGHAILEDRDRPAMNLHFSSTYALQEGKLCSASDAESLVYMLYYSCGGVFPNLDSVEGALQWREASWSRRLIQQKLGDISTTLKAFADYVDSLCGTPYPMDYEIWLRRLRRNIHEEDHGKEIVAAG >OIW03494 pep chromosome:LupAngTanjil_v1.0:LG10:4755164:4758899:-1 gene:TanjilG_31007 transcript:OIW03494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLREENEEGRDLKKPFLHAGSWYRMSNNVRQSSLFGSTQAIRDSSISVFLCVFIVALGPIQFGFTAGYTSPTQSAIISDLGLSVSESLMIASIPNIIGWLVISFAKDTSFLYMGRLLEGFGVGIISYTVPVYIAEIAPQNLRGALVSVNQLSVAIGTMLAYLLGIFVEWRILAVLGILPCALLTLALFFIPESPRWLAKIGLTDEFETSLQVLRGFDVDISVEVNEIKIGIGLLILQQLSGINGVFFYSSTIFQNAGITSSDIATFGVGIVQVLATCVTLWLVDKAGRRILLIVSSAGMAFSLLVVAISFYMKDYVSENSPLQGTFSILSLVGVVAMVIAFSAGMGAIPWIIMSEILPINIKGLAGSVATLASWLFSWLVTLTANLLLGWNSGGTFTIYAVVCIFTVVFVSIWVPETKGKTLEEIQLFFK >OIW03033 pep chromosome:LupAngTanjil_v1.0:LG10:15423599:15423919:1 gene:TanjilG_20961 transcript:OIW03033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIKKGIEGQNYLLNLMKTNPSQAIHECATIDYNGSISSFKIAKVDLTQDPLSASYDAKIASDGPTKCEEAIKADNINDPTLFNMNKTILLLSDIASLAANKVGRF >OIW03527 pep chromosome:LupAngTanjil_v1.0:LG10:4333104:4337361:-1 gene:TanjilG_31040 transcript:OIW03527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVDNPEDGLKKKLEYLSLVSKVCTELESHTGTGDKVLAEFITELGRSSENVEEFDSKLKENGAEMPDYFVRILLTIIHAILPHNKSRKVDSMKESSAITTNTKFKALVIADDRDRVKELQKEIEIEIETEAVRQMDREREEEHGYRDIDKRDRHRDRYGRDDRHRNRDRDRGVDEGERRDDYRRRDRDVDEDERRDDYRRQGRDRDKDRGRDRYDKDRRDRYEENERGRENADENGDRKGRRDLRHGGGSSEPELYMVYKGRVSRVMDTGCFVQLDEFRGTEGLVHVSQIATRRITNAKDVVKRDQEVFVKVISVSGQKLSLSMRDVDQHTGRDLLPLKKSSDDDVSRMNPQDSKDGPVVRTGLSGIRIVEEDDVGKFHRPLKRMSSPEIWEAKQLIASGVMSVSEYPTYDDEGDGMLYQEEGAEEELEIEMNEDEPAFLQGQSRYSMDMSPVKIFKNPEGSLGRAAALQSALIKERREVREQQQRTMLDSIPKDLNRPWEDPMPESGERHLAQELRGVGLSAYDMPEWKKDAYGKTITFGQRSKLSIQEQRQSLPIYKLKKELTQAVRDNQVLVVIGETGSGKTTQVTQYLAEAGYTTRGKIGCTQPRRVAAMSVAKRVAEEFGCRLGEEVGYAIRFEDCTGPDTVIKYMTDGMLLREILVDENLSQYSIIMLDEAHERTIYTDVLFGLLKQLVKRRPELRLIVTSATLDAEKFSGYFFNCNIFTIPGRTFPVEILYTKQPESDYLDASLITVLQIHLTEPEGDILLFLTGQEEIDFSCQSLYERMKGLGKNVPELIILPVYSALPSEMQSRIFDPAPPGKRKVVVATNIAEASLTIDGIFYVIDPGFAKQNVYNPKQGLDSLVITPISQASAKQRAGRAGRTGPGKCYRLYTESAYRNEMSPTSIPEIQRINLGTTTLNMKAMGINDLLSFDFMDPPSPQALISAMEQLYSLGALDEEGLLTKLGRKMAEFPMDPPLSKMLLASVDLGCSDEILTIVAMIQTGNIFYRPREKQAQADQKRAKFFQPEGDHLTLLAVYEAWKAKNFSGPWCFENFVQSRSLRRAQDVRKQLLTIMDKYKLDVLSAGKNFTKIRKAITAGFFFHAGRKDPQEGYRTLVENQPVYIHPSSALFQRQPDWIIYHELVMTTKEYMREVTAIDPKWLVELAPRFFKVADPTKMSKRKRQERIEPLYDRYHEPNSWRLSKRRA >OIW03275 pep chromosome:LupAngTanjil_v1.0:LG10:10829481:10830394:-1 gene:TanjilG_20579 transcript:OIW03275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLCIITESFQRLLNKQDGHRAEWEYPFAVAGINISFMLTQMLDLKAGYPSSLSGICFLQLLEDDEMAFDNLFCVAFQMMDAQWLAKRATYMEFNDVLKSTRMELELELALEGISSVKDLPAYNLLR >OIW03792 pep chromosome:LupAngTanjil_v1.0:LG10:1764435:1766166:1 gene:TanjilG_30068 transcript:OIW03792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKATRWLRGLLGMKKEKEHFENSSPLGPEKERKRWSFTRSCKEEVNHITPTLDNDWLRSYFAEKENEQKKHAIGVAAADATAAAAQAEVTAVRLRRHGSGALYSGGVGWWAALKIQSFFRGYLARKALRALKGLVKIQALVRGYLIRKRAAATLYSMQALMRAQVAITSQRAHRYMIMESRLQPEIRARKHMQRFDEIRSEFQSKRLATSCKPSLNGFDESPKFVEIDNHTPHQLRPKHTSTATSECGKELCYPCRVPCRISVPECRSQQDFELYLDECKFSTAHSTPRLANYCMLHNAPPTPVRTVCGDTLLSHCPDSPNYMANTQSSKAKLRSHSAPRQRPEHKKRLSVNETIAARNSISSVRMHSNPQTEEYSILKKVVLEYYVNWESQSKI >OIW03361 pep chromosome:LupAngTanjil_v1.0:LG10:7099487:7100077:1 gene:TanjilG_29346 transcript:OIW03361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACTIDFRCLDEGFGGKTYKRKREEAQSQNNAVVANINNNNNGTVSMETDDVLPPPAKRSAVPSSENPDKPVFGNPSYDGVIAGRVSGRKWKQPRKQRASSVHVFHKKTTFEQRDKEKQIKKAYKERMTELKEEIRLNKVEKRKKREEREKKKQENVLKSGTRFQKITNPNTLKKIAKSKQRKQLKVVPDDFLKHK >OIW03541 pep chromosome:LupAngTanjil_v1.0:LG10:4160362:4161339:1 gene:TanjilG_30961 transcript:OIW03541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSKLLVASLLASVLLFHLLDAAQPAYTQSQGSLLQHIDCNGACSARCRLSSRPNLCHRACGTCCRRCNCVPPGTAGNHEVCPCYASLTTHGGKRKCP >OIW03225 pep chromosome:LupAngTanjil_v1.0:LG10:11671334:11674714:1 gene:TanjilG_13019 transcript:OIW03225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKPEDEQQPLPSGEDPPQNAVAECRCSLIRKLVGFRCILVLLFSVAVFLSALFWLPPFLHLTDQKNLHGDSIYNGHDIVASFIVNKSVSLLEDNKLQLTKEIYDEIEAPFTKVVILSLDFLPKLNKTRVVFAVDPDGKYPEMSSTDISLIRASFQSLVIRQSYLQLTTSSLFGEPSFFEVLKFKGGITIIPHQSSFPLQTVQIRFNFTLNFSIYQIQLNFNELTSQLESGLHLGSPEILQIILSNSDGSTVAAPTVVQSTVVLAVGVPPSKKRMKQLAQTIMGPHNLGLNHTEFGRVKQVHLSSILNHSLHGSDGSGSVRSPAPAPLPHPPQHHHHHHHHHHHRHHDAHLTPAASPIPAPSIGEGGISPVVSSPAATKSAPAPGKSPQAQAPNCQLGHKRRSTHNAGKHTHQTPAVAPSIGPHYLVPVASPKAKVVPPAHASHSVPHHLPVYSPKPQVEPPVHVFHSVPALSPLPNVAFAHAEPPPVNPKNAPDAERPHTHFHGLPVVTCEYS >OIW03533 pep chromosome:LupAngTanjil_v1.0:LG10:4233055:4234761:-1 gene:TanjilG_30953 transcript:OIW03533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGSFITRALVMVLGYAYPAYECYKVVEKNKPEIEQLRFWCQYWILVAVLAVCERVGDAFISWVPMYSEAKLLFFIFLWCPKTKGTTYVYDSFFRPYVAKHEPDIDRSLLELRTRAGDYAVLYWQRAASYGQTRIYDILQFVAAQSTPSPRPTQQRPGPGGRVRQPAPTNRQPGAATQPQDEEPPSPTSSVSSAQFQKEVQEELASAKVPKAVSLVAGLNVQKANSKPSVASLITQKSSPIPETTTQSAPTEAEPKQIEAAAAAAPSSSGNENGKPPTKETIMEESIRVTRGRLRKTRSAGTR >OIW02994 pep chromosome:LupAngTanjil_v1.0:LG10:15844015:15846192:1 gene:TanjilG_13631 transcript:OIW02994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSPITREWVGFNTFPPETQTKLLELLGRLKEENVDKLTILVMGKCGVGKSSTVNSIIGERAVVINPFQAEGLRPVMVSRSRAEFTLNVIDTPGIIEGGCINNQALELIKHSFLLNKTIDILLYVDRLDTYRVDELDKMVVRAITDSFGKEIWNRALIVLTHAQLSPPDGLPYDEFVSKRSEALLKAVHQGARLKKGDKLASSIPSILVENSGRCNKNESGEKILPNGTAWIPNLVQAITEVVVSGSKSIFVDQKLIDGLNPNEKGKIFIPLIFALQFFFVIKPIERAIGKDIARETKPSWAVRDANRRF >OIW03907 pep chromosome:LupAngTanjil_v1.0:LG10:980169:981041:1 gene:TanjilG_30183 transcript:OIW03907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNHHHNTLLNWAYYCHGKSMEELRESLMYTTLELEQTKVAVEEELRKKDDQLLSLKDLLNKTIMERDQAQEKCHKLLLEKLLFQQQQIAPVSGISNIEDDPRRGNDSNNGLSSSDCEESIVSSPMFELTPENPLPEKGKLLQAVMKAGPLLQTLLLAGPLPQWRHPPPPLESFHIPPVTMPSPPPTAPQLLHKDSFLNTNGCSSSVATNCGRDSRKRVFCDGSDSPTETKYQRVVLH >OIW03799 pep chromosome:LupAngTanjil_v1.0:LG10:1677499:1678098:1 gene:TanjilG_30075 transcript:OIW03799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESNLPIIAKKVWSMVRVVLFMLRKGFSKGKLMLDLNMVLKRRGKLAGKAIANLMFHHHHNGGSTSTRRDSNLQFSAQREYEFSCSNTPNYFFPIGRKHHRNHNNNHLFTCAHAPPPVDEEMNAVKAVLEMLNTNNIETMVESTYSSPALPGFGKSPMVRQLRVTDSPFPLDADDKDNMVDKKADEFIKRFYKQLRKQD >OIW03545 pep chromosome:LupAngTanjil_v1.0:LG10:4124252:4129196:-1 gene:TanjilG_30965 transcript:OIW03545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVCLVGKAEKNKNVEFEEKTIGCGVNLTKIKSFVNRKVDFYSNSKTIDRGKKLNKLDSGFSKEYNLSPTSATREKQVSKPSSLSGRTGEKALEDLDKLGSSISKLNTTNGSVSGMAPRRNKISILAFEVANTINKGAILFQSLSEENIKFLKWEILHSEGMQLVSTNTKELVSFIEADKREEFNAFHREVARFGNMCKHSQWHNLDRYFAGLDSNALDKKQPNIDAEKTVQELTTLAKNTAALYHELNAFDRFDQDYQHKIKEMKSSNLPLNGESLTVFLIELKHQRNLVNTLKKKSLWYRSLDEIVEKLVDIVINIHKAISELLGNFVQLHPEKNKGSQRLGEAGLALYYANIIHQINIIASRPTSLPPNVRDNLYRRLPNNIKSALPSRLQNIDVTKELSLAQVKRLKAEIDKTLLWLAPFATNTTKAHQGFGWVGEWAKRSNAFGYNSTKESNPIRLMTLHYVDKQKIDFYILELLTHLHRLVSFVMYRRNIDNPMKPKHSRNSPNKGLHVKSKMLQTISLDHKETPPVQEVKILLEEVVARTETEELNKSGYLAMTKKNEARVWDSSKSDGSLPVLRMDMEHHNSNVLDTMDGLR >OIW03345 pep chromosome:LupAngTanjil_v1.0:LG10:7922838:7923065:-1 gene:TanjilG_00616 transcript:OIW03345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSWLKENKKRRKITSNLQATVIIGAPNCGSNFINRTTIAAIDSVSSPSLSEKEENREDDHTLFITFIQTTSPYA >OIW03703 pep chromosome:LupAngTanjil_v1.0:LG10:2448101:2454830:1 gene:TanjilG_29738 transcript:OIW03703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTKKEELERGEKKIRRKERASSISVIFRYADWTDIVLMLMGAFGAIGDGMSTNVLLLFASRIMNSLGYGKNSNSMSEVEKCSLYFVYLGLAAMVVAFMEGYCWSKTSERQVLRIRYKYLEAVLRQEVGFFDSQEATTSEIINSISKDTSLIQEVLSEKVPLFLMHSSSFISGVAFATYFSWRLALVAFPTLLLLIIPGMIYGKYLIHLSKSAIKEYGKANALVEQALSSIKTVYSFTAERRILERYSEILDRTSRLGIKQGIAKGLAVGSTGLSFAIWAFLAWYGSHLVMYKGESGGKIYAAGISFIMSGLSLGVVLPDLKYFTEASVASSRIFDMINRTPLIDGEETKGLILEKISGKLDFEHVKFTYPSRPDMVVLKDFNLQVEAGKTVAIVGASGSGKSTAIALVQRFYDADEGVIRVDGVDIMSLKLKWIREKMGLVSQDHALFGTSIKDNIMFGKHDATMDEVVAAATAANAHNFIRQLPQGYETKIGERGAFLSGGQKQRIAIARAIIRNPVILLLDEATSALDSESELLVQNALDQASMGRTTLTPELDQVVAHKLSTIRNADLIAVVSGGCIIETGTHNELISCPNGHYAKLAKLQPQFSISDKDQNPELGTLSAARSSVGRLSSARSSPAIFQKSPLPNDTTQSTSLVSHPPPSFPRLLSMNAPEWKHCLIGTLTAIANGSVQPLYALTIGGMISAFFAPSHQEMIQRIRNYSMIFSSLSLASMTLNVLQHYSFAYMGSKLTKRIRLRMLEKILAFETAWFDEEQNSSGALCSRLSSEASMVKSLVADRLSLLVQTTSAVTIAMIIGLAVAWKLALVMISVQPLTILCFYTRKVLLSTLSTKFVQAQNQSTQIAVEAVYNHRVVTSFGSITKVLLLFDEAQEAPRKEARKKSWLAGIGMGSALCLTFMSWALDFWYGGILVKKGEITSGDVFKTFFVLVSTGKVIAEAGSMTSDLAKSSTAVTSVFEILDRRSLIPKAGDCTNGTMLDKMSGRIELKNVDFSYPNRVGTQILRKFCLEVKAGKSVGLVGRSGCGKSTVIALIQRFYDVERGTVKVDNIDIRELDIHWYRQNTALVSQEPVIYSGTIRDNILFGKQNATENEIVEAARAANAHDFISSLKDGYETECGERGVQLSGGQKQRITISRAIIRNPTILLLDEATSALDVQSEQVVQEALDRIMVGRTTIVVAHRLNTIKELDSIAYVSEGKVVEQGTYAQLRHKRGAFFNLASHQIQI >OIW03365 pep chromosome:LupAngTanjil_v1.0:LG10:6987121:6989812:-1 gene:TanjilG_29350 transcript:OIW03365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKMRVRFPMLVLLLGVVFLLAVSIGIAYGEKDVIKNPERPEERQEEERDPRQPPRSRQQEEQEREHRREKERDREPSRGRSESKQSQEEERERRKEHDREREQEQQPQYGRRHEEEEKGEEEEEGQARRQRPQRRREEREQEQGSSSESRRQSGDERRHRHEKREQREEREQEQGSSSGRQSDYGRRQRHEGREQREEREQEQGSSSESHRLRNPYYFSSERFQTRYKNKNGQIRVLERFDQRTNRLENLQNYRIVEFQSRPNTLILPKHSDADYILVVLNGRATITIVNPDKRQAYNLEYGDALRLPAGTTSYILNPDDNQDLRVVKLAIPINNPGKFYDFYPSRTKDQQSYFSGFSKNTLEATFNVSHLIYTHYEEIQRILLGYEDEQEDEEQRREQEQSHQDEGVIVRVSKEQIQELRKHAQSSSRKGKPSESGPFNLRSNEPIYSNKFGNFYEITPDRNPQVQDLDISLIFTEISEGALLLPHYNSKAIFVIVVDEGEGNYELVGIRNQQRQQDEQEVEEVRSYNARLSEGDILVIPAGHPLSINASSNLRLLGFGINADENQRNFLAGSEDNVIRQLDREVKELIFPGSAEDVERLIRNQQQSYFANAQPQQQQQQREKEGRRGRRGPISSILSALY >OIW03004 pep chromosome:LupAngTanjil_v1.0:LG10:15762305:15764701:-1 gene:TanjilG_13641 transcript:OIW03004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYSLLRKSFTNSLNPNFLTALSRNRFRHLTSTATAAQQPDTPSPSPSSSAFTFSSDGGGERNDESVHLKGPSSWKSVTMPMSFMTGSIVGKRFYKEVKTREADDGNGWTVLLDYRTLKTPSKRPLKLPTLSLAKAIAAEWDYQQKDGIRPFTMPLMRLACTALERVPLTRTKIVEHLMRNFNQDLVFVRAPDDSDLTSCVYDRQVEKIDPLLHWLESEFGFKPVVYSSIFGGKQEDGLVTAVENFLKKTDDCELATIDAIASAGHSLTIAIAMVRGKLQIEEAIELIRLEEDLQVDRWGLVEGGHDVDIADLRVQIASPIVFLSLSRSL >OIW03632 pep chromosome:LupAngTanjil_v1.0:LG10:3103427:3104551:-1 gene:TanjilG_22289 transcript:OIW03632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSERSKSLHNFNLPCLKWGSQKFLKCVNATSQNHQPQPSSLDPRSSRIKSKSRQPHINKIQSFPTEKLKVSILEDGGDEGGAIANARPWNLRARRAACKAPQSTTPNEENCNFFDVGIASSPVKQDKKKNKKKINESEKAEFKVSLFKEEVEHDFMALVGTKPPRRPKKRPRIVQRQLDTLFPGLWLTEVTAESYKVHDVPE >OIW03221 pep chromosome:LupAngTanjil_v1.0:LG10:11523580:11525694:-1 gene:TanjilG_13015 transcript:OIW03221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVTHNFTLTEYAKLPLLPPYLHPGYPNYIYGVNFASGGAGVLDGTYQGLVVNLNTQVSYLKNVKNLFSKKLGDAEAKELISKSVYLFHIGGNDYSTLLETNSTVLLPVDHQEFVDMVIGNLTNVIKEIYNLGGKKFGFLNVPPIGCSPSIRIRVNSGSSGACLEDLSAIARLHNTKLSEMLQKLEKQLKGFKYSLTDFYSIVYQVIKYPSKHGFKEGSMACCGGGAYRGDGSCGGKRGIKEYKLCVNASEYVFFDSFHPTERASQHYSQLIWNGNHNVTKPYNLKQLFEL >OIW03852 pep chromosome:LupAngTanjil_v1.0:LG10:1334106:1336363:1 gene:TanjilG_30128 transcript:OIW03852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALLQRLSLFRKRAVPTSLLFLQNINNNNTLFLPSSSSPTRIIQFPLWSNTIRYLSSTAERRKEGGWFIKKPPNIVKAGEPVLHESAREVQPNEIKTERVQNIIDDMIRVMRKAPGVGLAAPQIGIPLKIIVLEDTEEYISYDKEEEVKAQDRKPFDLLVILNPKLKKKSNRTALFFEGCLSVDGFMAVVERHLDVEVEGLDRYGEPIKINASGWKARILQHECDHLDGTLYVDRMLPRTFRTSKNIDMPLAHVCPKLGPR >OIW03474 pep chromosome:LupAngTanjil_v1.0:LG10:5000862:5003379:1 gene:TanjilG_14699 transcript:OIW03474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLETMESSVNGGGGFSQSQLISCGDSSEEELSVLPRHTKVVVTGNNRTKSVLVGLQGVVKKAVGLGGWHWLVLTNGIEVKLQRNALSVIEPPTGNEEDDDPEFENVTWNGSDMASDDTLKSHRSRPRMHRSLGSSQRTINRSFSADSQSKGSITMPHGWTVDLSKLEMAALWRYWRHFNLVDAVPNPSKQQLVDVVQRHFMSQQMDELQVIMGFVQAAKRHKTKCK >OIW03156 pep chromosome:LupAngTanjil_v1.0:LG10:13261819:13264966:-1 gene:TanjilG_11793 transcript:OIW03156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYEPPFLETYKTLLQENSEDSKDDFCSMVETFELPMIDLSRLNVEHPEREECLKEITEAAEEWGFFQVVNHGISQELLDKMHSEQMKVFYEPFIKKSTEAVFGLSSKTYRWGNPSVTNLRQLSWSEAFHFSMTDISRMEQHKNLSACIEAYATTVANLAQSLAEILGQKVNINSSYFRENCLLESASIRLNRYPTCPLSSKVYGLVPHSDTSFLTIVHQDQVGGLELMKDGKWVALKPNPLALVVNIGDLFQALSNDVYKSIKHRVAAAEKVERISTAFFYLPSDVAMIPSYNYREFNLKEYIKQNEQDVKQTGNKVGLSRFLI >OIW03822 pep chromosome:LupAngTanjil_v1.0:LG10:1542319:1545283:-1 gene:TanjilG_30098 transcript:OIW03822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCIQSKIENEEAVARCKERKLFIKEAVSARNAFAAAHSAYTTSLKSAGAALSDFAHGEVQNPHLTSSATFPGASQQPLDIPAPPPPLPDFPAPLQRAASMPEIKIMKPELKVKPVGIIIEEEDEEKEFENEGSLRRRTRESSGGGNNRKVDEEKSDEVHVTVPNPIPQSQVQSSAWEYFFPSMENVARTSLNESEEQHQHLHMHTLHKEENEIENNIKKKKVFEEKPNRVDEEIEHLDNDDDDVAVISEPVVVEPVVVAMPAAGKSVKVKQAAGSMEGQGSVKRNVNLMQIFADLDDHFLKASESAHEVSKMLEATRLHYHSNYADNRGHIDHSARIMQVITWNRSFKGIPNLDHGKDDFESDEHETHATILDKLLAWEKKLYDEVKAGEVMKFEYQKKITTLNKVKKRSTNTEALEKAKAAVSHLHTRYIVDMQSLDSTVAEINRLRDELLYPRLVQLVDGMATMWETMLAHHEKQSNTVMSLKSLDISHCPKETSEHHHDRTYQLFLVGQQWHSQFEMLVNNQKGYVKALNNWLKINLIPIESSLKEKVSSPPRIASPPIKDLLHAWQSCLDKLPDELARTAIVNFTAVIDTIFLQQEEEILLKRKCEDTRKEIARKTRTFEDWYHKYMQKKMPDEFDPDRPEGNGPDEIVTEKQFMIEQVKKRLENEEDAYARQCLQVRQKSLVSLKNRMPELFRAMSDFSLQCSKMYSELRSISQNLNSGKSSL >OIW03745 pep chromosome:LupAngTanjil_v1.0:LG10:2107616:2114133:-1 gene:TanjilG_30021 transcript:OIW03745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSEVCATEDAVKVFLEYLVDPMLPAKSTSRDNPTLSQQQSVAKQVYSVVLLYNYYHRKQHPQLVFLKFDEFCKLVVVLRPPLLAYMKYMQKPDETELVDAEKQLSLTEKKIMDACDICTCLDASKNVPNIKGWPISKVAVLLIDGEKKNCVLLFSSITEGVWSVLEKDAVTSSQSSEVTNGTMVTYIKRRVIKKCTKDESNVDDSAFLQIGYSAVKEAAGINKTDLTLLESCTVYSHSKEKAASRFYIMKSSQLISQEVNQVPIKDLIESEDQEIGTPSVQHYSNGSAALVKVLNSLNLTITFTAFLLLTAVDVLLLSNKVASELEAEKDDSTRMLITVAEIKNQSACNQKCANTSSEKAAVGERSLIPNHSNSDLEKLRTLLASKRETLSQTALASLIGKRNELALQQRMIEDEIALCDKKIEKISSGVEDELEIKIESIIEGCNDIWELDGICHENNWILPTYRVSHSDGGFQANVIVKGPDFEFSCGDNTFTHPLEARESAAAQVLAKLRNMAKSDH >OIW03592 pep chromosome:LupAngTanjil_v1.0:LG10:3529608:3533107:1 gene:TanjilG_05136 transcript:OIW03592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITGKDFYNVMTAMVPLYVAMVLAYGSIKWWRIFSPEQCAGINRFVATFAVPLLSFNFIASNNPYKMNLRFIGADTLQKAIILVVLAIWSNVTKRGCLEWAITLFSLSTLPNTLVMGIPLFKGMYGDSSGTLMVQIVFFQGIVWYTLLLFLFELRTARMLFSEQFPDTADSIVSIHVDSDVISLDGRQEPIETETDIKEDGKLHVTVRRSSSSRRFRNLSFITTVPSNLSNNVEIYSLHHSTRNPMQRVSSSNYPDFYSTTASGGGRNSNFGALNVFGRSASVGGNPRLNYQGGTGIGDYPSPNPEMFSHTSAMPKNVASNVIVDGQSQQKQEDLRIHGAHDHKEIELTVSPRKVESNRKTLVECQEKDNEHEEGEKVIEEKPKTNPRASVMIRVLLTMVWRKLIRNPNTYASIIGLIWSLVSYRWNVKMPAIIAQSISIMSNTGLGMSMFSLGLFMALQPRIISCGKRVAVSAMAVKFLLGPGVMAAASFAVGLRGVILQIAIVQAALPLGIVPFVFAKEYNLHPEILSTS >OIW04012 pep chromosome:LupAngTanjil_v1.0:LG10:276978:289054:-1 gene:TanjilG_30288 transcript:OIW04012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDGGVVCMPLQQQQQNIVMDNSFCGGKNSGDNGFNSKLLKFERKKNMKERKERSEFGLDRVSKRISKDDNGENGDKEEVEEGELGTLNDIENGEIENGEIVMSGERWKTREVVERGEFVSGKWRKDDYGSRRGEKDDIEKGEFIPDRWYKGGGEMGKEDYGNSRIHGYYSGRDKGWKNDREREWERTPPSSGRYSTSEFFSRKKEFNRSGSQQQHAKSSPRWESGGQQRNVRISSKIVVDEKNVHSNGKDHNTRDYSTSGSRLKRLGNDSDSFEHERKHSGDYASLKSRRLSDDSYYSHRSVERPHRNNNSSKLPADKHSSRPSFDRHGRSPGHSEWSPRDQTRYYDHRDRTPVRRSPSGRDRSPYSRDKSPHDRERSPYERNWDRSRQHDHKLRSPIIRQSPSGRDKSPNTRGKSPHGRERSPYERKWDRSRQHDHKFRSPSHADQSPQDRGHHHYRRDCTPNLVEGSPLDRTRKDSHQETSHKTLPSEKHSSKDHVDDHIQRESNCSATDSQGERNVLDTNGITEKDICSQVVKEQKSCSPTVSSKESPGFEPPPPDELLSMEEDMDICDTPPHVPIVTVSSSAGKWFYLDYGGVENGPAKLCDIKALVDEGVLTSDHFIKHSDSDRWLTVENAASPLAAQSFPSIVSDTVTQLVSPPEASGNVLADAKDILHSGLGNYHEMQAPSLRPLICPDNSVVASEILEDLHIDERVGNLLEGYDVIPGKELEAIKEILQMNFEGGKLEELGGYEGFPWHGSCFSENCDSKTDLASIDSESQLSMSCDKEMSCDKDNGFAFGIHGDWFSSHWSCKGGDWKRNDDAQDRYSRKKLVLNDGFPLCLMPKSECEDPRGYQKDDLYFPSQSRRLDLPQWAFCADERIDCSTTSKSVQSKLSSGRGVKGNVLSVVRINACVVQDQGSLVSESRNKPRSKDRYHSRSARPFPSTSDGKRSSSTEESQSKVISDQGSCWSMEFINVPNDRLSTVHDLQLHWGDWYYLDGSGRERGPSSFSELQYFVHQGIIKNHSSVFRKSDKVWVPVTSAAETSDVSLRSHQEGNSTPGACSGLPSKKTQGSSFSEPNTNSSLFNNIHPQFVGYTRGKLHELVMKSYKSREFAAAINEVLDPWINARQPKKEMEKQIYWKSGNGSFEDGDARSAKRARMLVVSSEEDSDLEDHVTIDKYDSTFEDLCGDATFPAEEIDYIDSEVRSWGLLDGHVLARVFHFLRSDLKSLVFASMTCNHWRKAVRFYKEVSRQVNMSSLGISCSDSLLWNIMNTYGRDKISSMVLMGCVNITAGMLEKILLSFPGLSTIDIRGCGQFGELTPKFANVKWIKSRSSQTRIGEEQHKIRSLKHITDQTSSVSKSSSLGIDEFGQLKDYFDSVDKRDPMKQLFHRNLYKRSKLYDARKSSSILSRDARTRRWAIKKSESGYKRMEEFLASRLKEIMKTNSCDFFVPKVAEIEAKMKTGYYSGRGLSSVKEDISRMCRDAIKAKNRGDASDMNHIITLFIQLATRLEEGSKSVQDRDVLLKSWENGSPAVFCSTSSKYKKNRLVTEKRYRSGGLDNVEDVSDREIRRRLSKLNKKSMDSESETSDDDLGRSYEDGKSDSDTTSSDNDSDQEVHSENLIGESRGDGYLTPAEGLDFITDDREWGARMTKASLVPPVTRKYEVIDRYVIVADEDDVQRKMRVSLPDDYAQKLSVQKNGTEESDMELPEVKDYKPRKQLGNEVIEQEVYGIDPYTHNLLLDSMPEELDWSLKDKHVFIEDVLLRTLNKQVRNFTGTGGTPMSYPLQPVIEGIKRCAEEDCDGKMVRMCQSILKAMDSRPDDKYVAYRKGLGVVCNKEEGFDEDDFVVEFLGEVYPVWKWFEKQDGIRSLQKNSKDPAPEFYNIYLERPKGDADGYDLVVVDAMHKANYASRICHSCRPNCEAKVTAVDGHYQIGIYSVRKIQPGEEITFDYNSVTESKEEYEASVCLCGSQVCRGSYLNLTGEGAFQKVLKEWHGVLVRHYLMIEACEQNTVSEEDYNDLGRAGLGSCLLGGLPDWLVAYAARLVRFINFERTKLPEEILKHNLEEKRKYFSDICLEVERSDAEVQAEGVYNQRLQNLALTLDKVRYVMRCIFGDPRKAPPPLEKLSPEATISFLWKGEGSFVEELLQSIAPHVEEDTLNDLKSRIHAHDPSSSGDIQKEVQKSLLWLRDEVRNLPCTYKCRHDAAADLIHIYAYTKYFFRIQDYQTITSPPVYISPLDLGPKCANKLGAGFQEYRKIYGENYCLGQLIFWHNQSNVDPDLNLARASRGCLSLPEINSFYAKAQKPSRQRVYGPRTVRTMLARMEKQPQRPWPKDRIWSFKNFPKLFGSPMLDAVINNTPLDREMVHWLKHRPAKFQAMWDR >OIW03054 pep chromosome:LupAngTanjil_v1.0:LG10:14989507:14990441:-1 gene:TanjilG_19334 transcript:OIW03054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSGILSSEIGIKAPASKWFNLFTKQLQRIPIIVDGVEKVTLLQGDWHTIGSVKQWSELVDGKVATFKEKIEAIDEKNKWIRYNIFDGEMNQHYKVYILTIQVIEKDDGSASIKWTIEYEKVNESLEPPYHYMDSITKGCKDIDAELLKN >OIW03126 pep chromosome:LupAngTanjil_v1.0:LG10:14597527:14605576:-1 gene:TanjilG_07278 transcript:OIW03126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLISGIFMGMLFGIALMAGWERMMRYRSAKRISKAVDIKLLGSLNRDDLKKLCGDTFPEWISFPVFEQVKWLNKELTKLWPFVAEAATLVIRESVEPLLEEYRPTGITSLKFSKLSLGTVPPKIEGIRVQSLTKGQIIMDIDFRWGGDPSIILAVEAALVASIPIQLKDLQVFTVIRVIFQLAEEIPCISAVVVALLAEPKPRIDYTLKAVGGSLTALPGISDMIDDTVNTIVTDMLQWPHRIVVPLGGIPVDTSELELKPQGKLTVTIVKANDLKNKEMIGKSDPYVVLYIRPLFKLKTKVIDDNLNPVWNERFDLLAEDKETQSLILEVFDEDIGQDKRLGLVKLPLIDLEAENEKQLELRLMPSLDMLKVKDKKDRGTLTVKILYHQFDKEEQLAALEEEKRILEERKKLKEEGVIGSTMDALDGAVSIVGSGVGLVGTGIGAGAGFVGSGIGAVGSGLSKAGRFMGRTITGGHSASRKSGSFSSVSNPQENGAGGGAKPLQ >OIW03269 pep chromosome:LupAngTanjil_v1.0:LG10:10706984:10708721:-1 gene:TanjilG_20573 transcript:OIW03269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRPPCCDIVGIKKGPWTPEEDIILVSYIQEHGPGNWRSVPTNTGLSRCSKSCRLRWTNYLRPGIKRGNFTPHEEGMIIHLQALLGNKWAAIASYLPQRTDNDIKNYWNTHLKKKLKKLQVALDPHIASDSTTTGHYPSKSFNDTTSLDVSRSHSASSLRPQTQSQTQSSTYASSTENISRLLQGWMSTNPTPTRPVAIPTEQEEEEEEFQSNDEFENCDNNLVKSASVPGGLNFQLQQQHKTKDEHDMVSHEEFDSILSFENLNTCAWDKSTCDSIPENGYLAAAESAKKVHGMEEKIREISENSNAPPLSFLEKWLLDENVGHVEEMMELSHMF >OIW03729 pep chromosome:LupAngTanjil_v1.0:LG10:2246063:2247169:1 gene:TanjilG_30005 transcript:OIW03729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGCFIQLTTVAVLFLSLTSSVLSCPPSDRAALLAIKAALNDSYLGIFNTWTGADCCHNWYGVSCDQETRRVADINLRGESEKPISNNAHRTGYMTGYISPAICKLTRLSSVTISDWKGISGEIPRCISDLPFLRILDLVGNKISGTIPPEIGKLHRLTVLNVADNLISGKIPLSITNIKSLMHLDIRNNFISGPIPRSIGRLRMLSRALLSGNRLSGTIPASISRIYRLADLDLSRNQISGSIPKSIGKMAVLTTLSLDLNKISGQIPITLLSSGISDLNLSRNALEGNIPNAFGARSYFTALDLSYNNLKGPIPKSVSSASYIGHLDLSHNHLCGQIPIGSPFDHLEASSFVYNDCLCGKPLKTC >OIW03971 pep chromosome:LupAngTanjil_v1.0:LG10:538414:539698:-1 gene:TanjilG_30247 transcript:OIW03971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METITDSLERSLQNCSLNNNNHGTSRRREKEEGSADIDDDDVVVVDNHVLNSDTTLDLNSHLSLPYHWEQCLDLKTGEIYYINWRNGMKAKEDPRSMIRNNNTNKNDSYEESEEEEEEESWYDSEESSSESCCPSSSSNNKEYHNQNNDYDNSNNNVLVVAGCKSCLMYFMVPKQVQDCPKCTAQLLHFDRSQTNNITSPSP >OIW03782 pep chromosome:LupAngTanjil_v1.0:LG10:1829826:1830371:-1 gene:TanjilG_30058 transcript:OIW03782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNYGTIPTPSSPTSPNLEFISRAKLRIKEGLTTRRPWNVMFNLHSVSLPHGFSDAVSRIRTNLSFFQVNYAIVVLLVIFLSLLWHPISMIVFVALMAAWLFLYFLRDQPFVIFGRTVTDRVVLGVMAVVTVGLLLLTGAIANILVALLVGAVVVVVHAALRRTDDLFLDEEAAALTSTAS >OIW03323 pep chromosome:LupAngTanjil_v1.0:LG10:9423516:9423701:1 gene:TanjilG_16472 transcript:OIW03323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKNSRGGIIALPPVPSRLHRSEATGKAQPVTTFLEAEAVAIGEGESATIAVEGVKKKEG >OIW03791 pep chromosome:LupAngTanjil_v1.0:LG10:1767912:1768127:1 gene:TanjilG_30067 transcript:OIW03791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSFSCKATKKELCRIKKTTFCFPSREGVNAKLDRFVSKINEDDQKPNPHKGKFDLEMFKSKASLRDLGGK >OIW03554 pep chromosome:LupAngTanjil_v1.0:LG10:3959152:3966625:-1 gene:TanjilG_30974 transcript:OIW03554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLVFALILLGFILGVVAVVSAEAFGLLWILKRLRIRTKRDQTKISSKTQLSSTDPHQSLNLPFKKEGVVWVLEPDKVSKYWQEKPSREQKRKKELLEVYPVRKYGKIKDQTLVLTEPDGSHITIQLKGCVVEAVSATNYSTKKWAKKYPIKVESKTSVIYHGSKTLHIYLETSSQKEAWCKSLRLASCDQKEKLEWFSQLHEEFRSYLTSLNTEYHAFMKHSVGFCVEPIERASKPDGSSSKVRQFLKKLTKRTSRAGLDNKSVWTSISSHDERKSIDKLRACQDAVLATGLMKSAALTSNQLKSSLLDSCPSLSSTLSHSGSQSQISVSSDADGDEKFGIDEGTLCWNLLISRLFFDVKSNAHMKRSIQERIQRTLSNMRTPSYVGEVICTDINTGNVPPCIIGMRVLPMEMSEVWALEVDIEYSGGALLEIETRLEVRELELEKGTESSNPELSNDGAVPSDILEGFEYFGKHLNLSEGTQDLREPKEDGVCNTNVSKSSRSTSTSSTTHGSRWKSMLNSVAKQFSQVPLSLAIRVASLRGTLRLHIKPPPSDQLWYGFTFMPDIDFNLDSSVGEHKITNSHIALFLVNRLKAVIRDTFVLPNCESLCIPWMLAEKDDWVPRNVAPFIWVNHESGNDPSSLVDTDNQPSGGVKANLEACASTSSNGPENKPQKPKRAESNREPARKSDSLALPFTSSSSMALRCSKSSEELTRPLLVSDKPQKDLEELRPPSLQNDNTHEASEEKMEDISDCQLPPRSAVLEKQNSSLEEDKSKAKKIGRREKMLDLRKRMSDKFEEKKRHLEEKVETLLRK >OIW02970 pep chromosome:LupAngTanjil_v1.0:LG10:16061506:16064352:1 gene:TanjilG_13607 transcript:OIW02970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRKPKASVNRPPTPDMLENTPEREPTLQELINIKLIETGEKERLMELLRERLVDCGWKDEMKSLCRAFVKKKGRNNVTVDELIHVITPKGRASVPGSVKAELLQRIQSFLVSAAL >OIW03072 pep chromosome:LupAngTanjil_v1.0:LG10:14796452:14799563:-1 gene:TanjilG_19352 transcript:OIW03072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFISHKPRQISLFSFHFLHLITFNKPHPIPSSIRRRFLSSSDPSWLSLPGNPLIQWPSLPPPTTPNLNPNPYLKPEPVTNNHFSLISNLFTDPSIIPGPVLHEKLDRSGIEPGLSLLHSVFEYFGSSPKLLHSLFLWALNRPGFRPDPKLFDSVVNVLAKSKEFHSAWNLIRDHIDDEGEQRLISIATFATLIRRYARAGMRQPAIRTFEFAKDHASILDSGSEMSLFGILLDSLCKEGSVKEASDYFLRRKKMDPSWVPSIRIYNILLNGWFRSRKLKQGERLWEEMKEENVRPSVVTYGTLVEGYCRMRRVEKALEMVDDMAKEGIEANAIVYNPIIDALAEAGRFKEALGMVERFHVLDIGPTESTYNSLVKGFCKAGDLEGASKILKMMINRGIVPSPTTYNYFFRYFSRCGKIEEGMNLYTKLIESGYTLDRLTYHLLLKMLCEEERLNLAVQVSKEMRQKGHDMDLATSTMLVHLLCKMHRLEEAFAEFEDMIRRGIVPQYLTFQRMNVELKKKGMTEMAQRLCKLMSSVPHSTKLPNTYEQDRDDAYARRKSIIQKAKAFSDMLKDCKDPRELDKYRNSSENAVSNEKLFDKGD >OIW03534 pep chromosome:LupAngTanjil_v1.0:LG10:4214521:4217749:-1 gene:TanjilG_30954 transcript:OIW03534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLTFLPEQSDPKKKQQLPKRKHKQKQQQNPQQQKQKQKEKENEKQPSSWDQIKNLITCKQIEGSRVHDPSKVGYSMLGSSCSSICSFRDVHGNTRVVHRADNSSPESSTLGQENGLLNRKPLTPGPSSTRSGKSIGGTTYTSSTRGIHQFRKLSGCYECHMIIDPKRLPIPRSTVCACSQCGEVFPKMESLELHQAVRHAVSELGPEDSGRNIVEIIFKSSWLRKDNTICKIERILKVHNTQRTIQRFEDCRDTVKTRALNSTKKNPRCAADGNELLRFHCTTLTCALGSRGSSALCSAVPGCGVCTIIRHGFRGGDGSKGVKTTASSGRAHDSVQFGVENRRAMLVCRVIAGRVKRVEKDVPLLTEEENVSVVGSYDSVAGYTGIYSNLEELLVFNSRAILPCFVVIYKVLPC >OIW03911 pep chromosome:LupAngTanjil_v1.0:LG10:954244:955828:-1 gene:TanjilG_30187 transcript:OIW03911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAPCCDKANVKKGPWSPEEDSKLKDYIEKHGTGGNWIALPQKAGLKRCGKSCRLRWLNYLRPNIKHGEFSEEEDRIICTLYANIGSRWSIIASQLPGRTDNDIKNHWNTKLKKKLMGLLPFESQCHQRKPPYHYFSHHQNPPLPSYYTPASTISFTGLEPIPLAASNSLPFYQNHESLVSVSPIQQYHYPNSLQVFGSEVSTCNSCDGSYSQVKQEEMSFQNNVSNRFEEYCSNNNNSFMFSHRSINNDGSHHECIKQWEEKPKGWIFQPQTPLDYELELIYKQLISSNNSSSSNNGYFSVDENKTEEKVMYTTTEWN >OIW03633 pep chromosome:LupAngTanjil_v1.0:LG10:3099740:3100864:-1 gene:TanjilG_22290 transcript:OIW03633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSERSKSLHNFNLPCLKWGSQKFLKCVNATSQNHQPQPSSLDPRSSRIKSKSRQPHINKIQSFPTEKLKVSILEDGGDEGGAIANARPWNLRARRAACKAPQSTTPNEENCNFFDVGIASSPVKQDKKKNKKKINESEKAEFKVSLFKEEVEHDFMALVGTKPPRRPKKRPRIVQRQLDTLFPGLWLTEVTAESYKVHDVPE >OIW03196 pep chromosome:LupAngTanjil_v1.0:LG10:12527523:12531429:1 gene:TanjilG_11833 transcript:OIW03196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIIHRNSSNSKTTKQRRSTILIFAITVTAIAILFLFSSLISTNPFSSFSNPKSHYEEAWNSKKYLYWGNRIDCPGKHCDSCEGLGHQESSLRCALEEALFLQRTFVMPSRMCINPIHNKKGILHHSTNGTISTDESWAASSCAMDSLYDIDLISETVPTILDNSKEWYHVLSTSMKLEARGAAHVQGVSRVELKENSHYYKLLINRTASPLSWFVECKDRKNRSAIMLPYSFLPSMSARKLRDAAEKIRALLGDYDAIHVRRGDKIKTRKDRFGVARTLHPHLDRDTRPEFILCRIAKWVPAGRTLFIASNERSPGFFSPLSVRYRMAYSSNYSHILDPLIENNYQLFMIERLIMMGAKTFIKTYKEDDTDLSLTDDPKKNTKVWQIPVYNADKPC >OIW03095 pep chromosome:LupAngTanjil_v1.0:LG10:14022657:14027851:1 gene:TanjilG_07247 transcript:OIW03095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPHDENVHSSIVSISRIVSVHQKLLQPDQRVLNLSNLDRQCPTLMYLVFFYKHLTLKDHLSLNSVFTGLKSGLEETLSLWYPGAGRLSQNQSDEKLNLWCNNEGAIMVEAETTVKISELGDLSQYSDFFEKLVYKPAFCDGDFSNMPLIVAQVTKFGCGGYSIGVGTSHSLFDGPATYNFLNAWASNSEIMKEKCEHEVPKPVHERGILLLSDNLQTSKGSINLASNSTFNAQQQARAIAIDHLYQLIMQATSASKGFPLQIIGSSDQNKCVLKTYHISGAMIDHLKKKHFPMWKSGSLPFSTFEVLAAHLWKARTKALGLRKENMVCLQFAVDTRNKVVPPLTRAFSGNAYVLASVMMPVIELESSSYETIIEKIREAKNAVNNDYVRAYIEALEGGANGSSLPPLKELTLVSDWTRMPFHNIEFFHGNAAYACPLATPVPQVAYFMQSPNDYGGVHVRIGIEQETLDAFTQCFLNKG >OIW03871 pep chromosome:LupAngTanjil_v1.0:LG10:1200554:1203958:-1 gene:TanjilG_30147 transcript:OIW03871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSWSYGTAIQALSSEEDLSESFSTSSLNFSSGNPRIEETRGLMHLFRHDSNSSLSSSNPSPLPVGRKPLVCVLGVPNHMTYADFCQFSGSFIHHILEMRIVRMDGVEDQYSVLIRFDDQDSTDSFYKHYNGRHFSSLEVEVCRVLFTIDVQYTGSIEHAQPSNSSSNEQPTCPVCLERLDQDTSGILTTICNHSFHCSCISKWADSSCPVCRYCQQQAEKSICFVCQTTENLWICVICGFVGCGRYKEGHAIIHWKETQHCYSLEVETKRVWDYVGDNYVHRLIQSKTDGKLVELNSHCVHADNGCGSCSCEDNAMSEAILNSKVEAIVNEYNELLASQLESQKLYFESLLQEVKDETEQKISIAVPKALSLKKPKIQAKIDRVNKEKKFLDDLNENLLKNEEIWKAKLLEIEERERKALKLTDGRVVGLEEQLRDLMACLEGAKNVEMLQGANEIKDVIVFDTPRES >OIW03417 pep chromosome:LupAngTanjil_v1.0:LG10:5723648:5732981:1 gene:TanjilG_12014 transcript:OIW03417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIAALLTSAGINIAICVVLFSLYSVLRKQPGNVSVYFGQRLSSQHSRRLDQCLERFVPSPSWILKAWETTEDEILATGGLDAVVFVRILVFSIRIFSIAAVICTVLVLPVNYHGGERMHTHIPFESLDVFTIGNVKEGSKCHFAILVRSIPWSSEESYCDTVKKFFSYYHPSTYLSHQMVYKSGTVQKLKDDAEHVCKVIIDASLENTCKPSFTQCWCSGGTTNSFKMISNEIDIDSEHGRTGYSDKHLDARKKECPAAFVFFKNRYAALMAAQTFQSSNPMLWVTDLAPEPHDVYWSNICIPYRQLWIRKIATLVASIVFMIVFLIPVTFVQSLTQLDNLQKMLPFMTELLKKKFVVQVVSGYLPSVILVLFLCAVPPVMMLFSAVEGSISRSGRKKSACCKVLYFTIWNVFFVNVFAGSIISQLLVFSSVTDLPTQLAKAVPSQATFFTTYVFSSGWASLAIEVMQIYPLLCNLFRRVILRIKDDSQSESLSFPYHTEIPRILLFGFLGFTCSILAPLMLLFLLFYFFLAYLVYRNQIINVYIAKYDSGGQFWPIAHNTTVFSLIFAQVIALGVFGLKRSTVASGFTIPLLIGTLLFHQYCRQRFLPVFKSNSAKILVDMDRRDEHGGRMGDIYEHLRSAYNQSSLMQDASNQLQCFGHFEDKRNDKSSEDTEKGKGIIQKDDRPWYVNRTLSSKAVLGAK >OIW04051 pep chromosome:LupAngTanjil_v1.0:LG10:140098:141432:1 gene:TanjilG_24162 transcript:OIW04051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWSRGHIIGHGSSAMVYLATSRHSSSSSSSSATTSISAVKSCELSRSEHLQREQNILSSLSSPYIVTYKGYDITSENKTLFYNLFMEYMPLGTLTQSTLRHHHHHGGRINEPVMAHYTRQVLQGLEYLHSKGLVHCDIKGSNILVNEDGAKISDFGCAKYVNNNELPPGAAAAISGTPMFMSPEVARGEEQGYPCDIWALGCTMVEIATGFAPWPNVEDPVSILYHVAYSNEVPEIPSFLSDQAKDFLGKCFMRNPKERWSASQLLKHPFLVEFNSKGKQILEPNSCSPTSILDQGFWNSVEESECHLVNNLVRTSLENSAAGRIRGLALRSRDPNWTWDDKNWITTIGNNDAVAEIEACDYGLFGRISDYFCEDYECSQ >OIW04017 pep chromosome:LupAngTanjil_v1.0:LG10:257823:260527:1 gene:TanjilG_30293 transcript:OIW04017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGCVFVDSIPSQKDHASNDTTLPPLCVSQNTSRFHYTYRGGLRSAIRVARVVKEIVALNHSDVRWYVFGDDDTIFFTENLVKTLSKYDHRLWYYVGAISESIKQNWFFSFGMAYGGGGFAISSSLAKVLAKVFDSCIERYPYLYGSDARVYSCITELGVALTLEPGFHQIDLQGNMFGLLAAHPVTPLLSLHHLEYTNPIFPNMTTTKALQHLFEAANVDSQRLLQQTVCYHKQFSWTISVSWGYAIQVFPNNMLLPDVLKVQETFKAWVPDKALAGAYSFNTRPLQRDPSKIPIIFYLVNVSSGEDGIIISNYKKSFQYRSYKMASLQKMEVIKVFTNKLDLSIKQMEAPRRHCCDVLLSRASDQMEITIREFFQYPPKHKVIEVSKAGYDSCQPSNPIQSYNDGTTTIPLTPEGKRYFICGTIGHCRQGMKLEIDTLDSATSASPEASPSPALSPEISITPFLLQKKILLQWPNLLTLFPKCRVLHSKHIWKVLNSLQAIPPMNLQPLPRL >OIW03863 pep chromosome:LupAngTanjil_v1.0:LG10:1267732:1278983:1 gene:TanjilG_30139 transcript:OIW03863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWNNNGLIAPPGTTIPSIPPPPVPQPSYTVLPPPLPTPAETEADEEARLEEKARKWQQLNSKRYSDKRKFGFVETQKEDMPPEHVRKIIRQVDHGDMSSKKFRHDKRVYLGALKFIPHAVYKLLENMPMPWEQVRDVKVLYHISGAITFVNEIPWVVEPIYLAQWGSMWIMMRREKRDRRHFKRMRFPPFDDEEPPLDYADNLLDVDPLEPIQLEMDEEEDSAVYTWFYDHKPLVKTKLINGPSYRKWHLSLPIMATLHRLAGQLLSDLIDRNYFYLFDMESFFTAKALNMCIPGGPKFEPLYRDMEKGDEDWNEFNDINKLIIRSPLRTEYRIAFPHLYNNRPRKVRLCIYHTPMVMYIKTEDPDLPAFYYDPLIHPITSANKERREKRIHEDDDDDWILPDGVEPLLKDTQLYTDTTAAGISLLFAPRPFNMRSGRMRRAEDIPLVSEWFKEHCPPSYPVKVRVSYQKLLKCFVLNELHHRPPKAQKKKHLFRSLQATKFFQTTELDWVEAGLQVCRQGYNMLNLLIHRKNLNYLHLDYNFNLKPVKTLTTKERKKSRFGNAFHLCREILRLTKLVVDANVQFRLGNVDAFQLADGLQYTFSHVGQLTGMYRYKYRLMRQIRMCKDLKHLIYYRFNTGPVGKGPGCGFWAPMWRVWLFFLRGIVPLLERWLGNLLARQFEGRHSKGVAKTVTKQRVESHFDLELRAAVMHDVLDAMPEGIKQNKARTILQHLSEAWRCWKANIPWKVPGLPVPIENMILRYVKSKADWWTNVAHYNRERIRRGATVDKTVCRKNLGRLTRLWLKAEQERQHNYLKDGPYVTPEEAVAIYTTTVHWLESRKFSPIPFPPLSYKHDTKLLILALERLKESYSVAVRLNQLQREELGLIEQAYDNPHEALSRIKRHLLTQRAFKEVGIEFMDLYSYLIPVYEIEPLEKITDAYLDQYLWYEGDKRHLFPNWIKPADSEPPPLLVYKWCQGINNLQGIWDTGDGQCVVMLQTKFEKFFEKIDLTMLNRLLRLVLDHNIADYVTAKNNVVLSYKDMSHTNSYGLIRGLQFASFVVQYYGLVLDLLLLGLTRASEIAGPPQMPNEFITYWDTKVETRHPIRLYSRYIDRIHILFRFTHEEARDLIQRYLTEHPDPNNENMVGYNNKKCWPRDARMRLMKHDVNLGRSVFWDMKNRLPRSITTLEWENSFVSVYSKDNPNLLFSMCGFEVRILPKIRMTQEAFSNTKDGVWNLQNEQTKERTAVAFLRVDDEHMKVFENRVRQILMSSGSTTFTKIVNKWNTALIGLMTYFREATVHTQELLDLLVKCENKIQTRIKIGLNSKMPSRFPPVIFYTPKEIGGLGMLSMGHILIPQSDLRYSQQTDVGVTHFRSGMSHEEDQLIPNLYRYIQPWESEFIDSQRVWAEYALKRQEAQAQNRRLTLEDLEDSWDRGIPRINTLFQKDRHTLAYDKGWRVRTDFKQYQVLKQNPFWWTHQRHDGKLWNLNNYRTDVIQALGGVEGILEHTLFKGTYFPTWEGLFWEKASGFEESMKYKKLTNAQRSGLNQIPNRRFTLWWSPTINRANVYVGFQVQLDLTGIFMHGKIPTLKISLIQIFRAHLWQKIHESVVMDLCQVLDQELDALEIETVQKETIHPRKSYKMNSSCADVLLFAAHRWPMSKPSLVAESKDVFDQKASNKYWIDVQLRWGDYDSHDIERYTRAKFMDYTTDNMSIYPSPTGVMIGLDLAYNLHSAFGNWFPGSKPLLQQAINKIMKSNPALYVLRERIRKGLQLYSSEPTEPYLSSQNYGEIFSNQIIWFVDDTNVYRVTIHKTFEGNLTTKPINGAIFIFNPRTGQLFLKVIHTSVWAGQKRLGQLAKWKTAEEVAALVRSLPVEEQPKQIIVTRKGMLDPLEVHLLDFPNIVIKGSELQLPFQACLKIEKFGDLILKATEPQMVLFNIYDDWLKTISSYTAFSRLILILRALHVNNEKAKMLLKPDKTIITEPHHIWPSLNDDQWMKVEVALRDLILSDYAKKNNVNTSALTQSEIRDIILGAEITPPSQQRQQIAEIEKQAHDTNQSTAVTTKTTNVHGEELIVTTTSPYEQAAFGSKTDWRVRAISATNLYLRVNHIYVNSEDIKETGYTYIMPKNILKKFICIADLRTQIAGYLYGISPPDNPQVKEIRCIVMAPQWGTHQQVHLPSALPEHDFLIDLEPLGWMHTQPNELPQLSPQDLTSHAKILENNKQWDGEKSIILTCSFTPGSCSLTAYKLTQSGYEWGRINKDTGSNPHGYLPTHYEKVQMLLSDRFLGFYMVPDNGPWNYNFMGVRHASGMKYGVKLGTPREYYHEDHRPTHFLEFSNMEEGETIAEGDREDTFS >OIW03367 pep chromosome:LupAngTanjil_v1.0:LG10:6922884:6926705:1 gene:TanjilG_29352 transcript:OIW03367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYNKDDALKAKELAEDKLLKKDYVGARIYAMKARELDPNLVDLPPLMAAIEVNISAERRVNGQVDWYGVLGAQPLSDDVTIRRCYKTRVLMLHPDKNKSAGALEAFKLVTQAWSLFSDKDQKINYDQNLISWGRYEEIPGGKPSVPARQNSFYNNNIFSTANCKDRDQMNATHPILTPVSPVTSKQTFWTRCRSCSIQLEYQTVYINCKIICFSCRQPLLAREEPPPPVYRNDSSTSIDSQMKQHDFSSTRIERNCHASGRNPMYAVNSSLESGRFSMPGGISSVPTPTFAVSSSLESGHFSMPGGISSVLTPMFAVSSSLESGHFSMPGGISSVLTPMFAVSSSLESGHFSMPGGISSVLTPMFAVSSSLESGHFSMPGGISSVLTPMFAVSSSLESGHFSMPGGISSVLTPMFAVSSSLESGHFSMPGGISSVLTPMFAVSSSLESGHFSMPGGISSVLTPMFAVSSSLESGHFSMPGGISSVLTPMFAVSSSLESGHFSMPGGISSVLTPMFAVSSSLESGHFSMPGGISSVLTPMFAVSSSLESGHFSMPGGISSVLTPMFAVSSSLESGHFSMPGGISSVLTPMFAVSSSLESGHFSMPGGISSVLTPMFAVSSSLESGHFSMPGGISSVPTSMFAVNSSRESGLFSMPGRYEDIPGLKPSVPACQNVFCNNSGGTHPIPTSVSPVLLKQTFWTRCDSCGTQFEYRTTYINCKLICASCRQPFLAHEAPPPSVSRNGSSTSRISEMNEHNFNSTRIERSCHASGRTPMYANPSLGSGHFSMPGGISAVPTSASTAAEASGVYGMLSENLKRQREDSTPIIREEHHFGKTHAVVRDASASPFKSSCFAPDSVLIGDSSRKIRRTDGNQVHGDGRDMETKIAYEKGGIRLLSELRSQKDSLDTGSINASGVYKDNGNQELSRVQLRNILMEKTRKNIHKKLGELRSSPLSRILAKPNNTIVGDRARNKERAVSGVKRAAPIGNKCYSADSEVTENLTMSVPDPDFHDFDRDRTENAFGDNQIWASYDNDDGMPRFYAFVYNVIPNEHFKVRISWLSSKTNDELAPIKWVGAGFAKTVGDLRIGKHDVFSTTLNSFSHRVKWTKGSRGLIHIYPMKGDVWALYRNWSVEWNEFTKDEIVHKYDMVEVLDDYSEEQGVNVALLDKVAGFKTVFRRNEDRRKIMNIPKAEMFRFSHQVPSYLLTGQEGPNAPTGCLELDPAATPNELLQKSIEAPEEEMTIEKTSEEEPMPNVTFTEAAVEDVPRKEVAGSK >OIW03131 pep chromosome:LupAngTanjil_v1.0:LG10:13745333:13753435:-1 gene:TanjilG_11768 transcript:OIW03131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFQFVVLVLAFLICWNANMVESNDVVEVLAFHLHFLALFFMLLYFVLFDSDLKENRLSGQIPDEIGDCSSLKSLILKNNHLIGPIPSTLSQIPNLKILDLSYNQLTGEIPYNIGFLQVATLYLHGNKLTGFIPPELGNMSKLHYLELNNNHLSGRIPPELGKLTDLFDLNVANNNLEGPIPDNLSSCKNLNSLNVHGNKLSGTIPPALQSLESMTYLNLSSNNLQGPIPIELSRIGNLDTFDLSNNQLSGLIPNELSQIQNMIYFNVSYNELVGVIPTSNNFSRFHFDSFIGNPGLCGNWPNLPCHSSQPAKRVILPKAAILGITLGALVILLMILLAACRPQNPSPFPDGPLDKPVKYSPPKLVILHMNMALHVYDDIMRMTENLSEKYIIGYGASSTVYKCVLKNCKPIAIKRLYSHYPQCLKEFETELETVGSIKHRNLVSLQGYSLSPYGHLLFYDYMENGSLWDLLHGATKKKKLDWDLRLQIALGAAQGLAYLHHDCCPRIIHRDVKSSNILLGADFEPHLTDFGIAKSLCPSKSHTSTFIMGTIGYIDPEYARTSRLTEKSDVYSYGVVLLELLTGRKAVDNESNLHHLILSKTASNAVMETVDPDITATCKDMAAVKKVYQLALLCTKRQPADRPTMHEVTRVLGSLVPSTTPPPKQSAPIPLASHQSTKVPCYKDEYANLKTPHLVNCPSMSTSDAQLFLKFGEVISQNTNKVAVVKVKGLGPMVQGGVATF >OIW03292 pep chromosome:LupAngTanjil_v1.0:LG10:9864348:9864776:1 gene:TanjilG_09939 transcript:OIW03292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSASMTLDNLVEVRLVFEEPEVGVTIVKLTHSDVLEEDRMQVWKCHCSREH >OIW03456 pep chromosome:LupAngTanjil_v1.0:LG10:5182826:5186746:1 gene:TanjilG_14681 transcript:OIW03456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDVNNLTCQTCNNVGLGDGSDGFFYCLRCGSQYDDVVDTAVDDDDLFNRGGETGGGAVYMASHQRQRSAAIKAEPLSQYDSFYNSQSNFIRNLGLEEKTPQRNGQVKIEIVDTPLFDDRGSPSVPEDFGTQVASFEDYYNEIRGRYVMGLQLMIELQCEALVKNFKVTPLICGLTGPIWLRFVSQTGVFDDDWGNNVFHDSEKQKEEVPDNYEASAARPNYRTEPHTIYGQRAVMIWFRSLKKSIPLAYTLAVSFLACHVAREAVLPSDMMKWTLEGKLPYFSAFVEIERRMGNPSNACPISSSVMFRPQRAVSVQKLESCAASIAQSIGLELPPVNFYAIAYRYLKKLALSVKKILPYACRIYEWSMPPDLWLSLSKNYFRLPTHVCVMSILVVAIRILYNINGFGEWEKSLSQNGGASSKPIISSTLKDNGETGTAFAAHEVDDCCKDSYEDSVRRQNCKLDSAGLIHHLEARYNEIVDTHEYSKDLRAYLQYCRDVAFAGSEPSFEEGKMIEYVCDPFQNDEDTKPSELLEEQPNGRRLKGGGGCISRKTRTEKRRKESCNQSASDGHTHLADDMHQSVDTDRSCSSLSEDCEDSPSNKNRSGKSPVNEAIRKLKLNMEENRFCYIPPRVNLKRFDYLHYVRKKDEGALTYVAHADYYILLRACAKVAQVDIRILHIGVLSLERRLGWLENRISQSLCL >OIW03642 pep chromosome:LupAngTanjil_v1.0:LG10:3030545:3035082:-1 gene:TanjilG_22299 transcript:OIW03642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCSEEEALVRLFYNASSSFQLLFLFLFSSAILLYKLLNFIGSYPLIQREEQYEYVSSEYGDEEEEIQERFSYEDCSIIERDHLVADIICGGESLVFVHNNEPQTQRTCSSSEEFITLKGSFNEDSEETYTSETLSFHKSPLVSDFETEDAEEEEEEEEEEEEEATAEEEEEATAEEEEEEEATAEEEFPITRDADSVQNSVRPTSPITLNLYKSDLVDNDKNCDEDQISFEIIKNKKVQESNFIRDERFFVSEPTHLETEKLIVEEKDDEEIYGDSCTVGSTSKSSSEWRSSINCRDSGTEDPFSSSSRRSCPKWESYTVFQKYDKEMSFLDRLSAQKLHETESLRSIKVSPRSISERIVYKISTMNRTPAENIRNNPYCELESAYVAQICLTWEALNWNYKNFLTKSASHSDPDGCCPATIAQKFQQFQVLLQRYVENEPYEHGRRPEIYARMRLLAPKLLLVPEYRELDDDDDDMKEDVFHSKISSASFLIIMEDGIRTFMNFLKADKEKLCQVFVSYFRKNRRGLTDSTLLKLMKKKKVKVKDLRRSRKCLRKRKLKVGEEMEILMALIDLKVVSRVLRMSDLNEEQLHWCEEKMSKVRVMDGKLQRDSTPLFFPSHS >OIW03216 pep chromosome:LupAngTanjil_v1.0:LG10:11326916:11340068:1 gene:TanjilG_13010 transcript:OIW03216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGKEDENASKTIIIDSRKMRTPDVKYVSAHFNTVGENNVVVIPKRKSNVNSTENSNINAKKMRNNDVRYVSAYFNTVAEENVIIIPKRSRMKNENKVENDTNIIYVSAYFDFSKIRTKNVVVIPPRAKKNEIEMIDMHKDVKPLKSLPSELCSDAYRRKTHNNTWKPPKSLFRFEPLLQEYYVYDPWRVLVTSVLLNLTTGVRVRRVLSNLFNLCPNAKSCIEVEVQEIEQVIKTLGLQNKRAKALQRLSHEYLYGSWTHVTQLHGVGNNQEMFWFRHAHDLLLRSLSNMNHIFLVLEKRHHEDVKGYYMSQHSCHGRVCYLVGEACLPSTKRENTDLTTYVLATSAVELTCLPTSPPPVALTESSLVTTMDPYWSHHGAGSGNDGQALPGFVGQLRWYHYNHEQEVRGLEAQFCGVRSKYWKWMASWMSSSKFCHDAGNICLRASSRRELHVAEANIAHAKEEAGWLKDVLATLEARASKVEDKLNEIQTHASVLEGHLGKVRANKTVVEEFSGHVTYGVWENSQDQVQLAFPCTDLSWIVIDAYAENGALLRKDDDEVTIQLPFPGPWKYLVG >OIW03212 pep chromosome:LupAngTanjil_v1.0:LG10:12303340:12303935:-1 gene:TanjilG_21844 transcript:OIW03212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFGRVGGEFCSETFDVSIDVVVAAAALEMLSTIATGLDIDAYGPISDNAGGIAEMAGFYWINPIWWDCQVLLNTSPWQNNLGDL >OIW03721 pep chromosome:LupAngTanjil_v1.0:LG10:2316952:2319678:-1 gene:TanjilG_29756 transcript:OIW03721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRKLHERLIPEKSSLSYADFHHEVMKCEEDNPLIKSHGKQKTQVTCGRVRKEDELVKYMSSLPGYLEKGQKIGDKVLNVGVLDWGRLEQWRYGHRHVPDRSSGSSTSTSNTSSSVSTDGLSGNSSRGRSLSCSRQRISRPSLQCHLMVPPTDDHSVAIKPIGGNVGNCQNHRGSHINIATQSKYVRADDHLSQNHPTSIMKKCNKKYLHPHIDTESDKLPNDRMYEEASCAEIEMSTQDSGMQKRVENFKESNVDTVEQVKLTKNKPVVLLMPRDISQNSHSGAPDMRTSLGQKFGSPSRTRHSEKPKDPSHRYSNHDTPQSCFMPDKTSRSHSQPKGSATSSTDPEKIKIPASTSITSVPIKMGTSPCRSRKGEERKHTIAPSSSANGLSQGVDQKVIVEKPRSSSPFRRFSINLGFTSKGAGCKDVTHVLHQSSMAAPKSGSENVRGYATSNISGNGKPGVAGRSRSSPLRRLLDPLLKPKAANCRRSMELSQNDSVLVNKNSRSGNAKPSTLLPEKELVGDHRVDYTTISTADSSKEKTYAPFHAFFRIAVKNGQPLFTFAVDNNSDILAATVKNLAVTRKDECHSIYTFFTFREVTKKNESWMNQASKSKGPDYIHHAVAQMKVSHSHYFDSTSQNFMPSSTTKEFVLFSVKLRQGDTQVTDYQPNDELAAIVVKSPKTINFMSYAHRNSCQNDNQDLVCATVVLPSGVHSFPSDGGPSSLIERWKTGGACDCGGWDLACKLRILANENQSCRNSRASEAYIKNHFELFLQGNDQDQENWPCFSFSPFKPGIYSVAFDSSLSLLQAFSICIALVDGKMSYEHSGLRSAIEGKVPTDTLMVQTEELKAFVKFEDIPPLSPVGRV >OIW03100 pep chromosome:LupAngTanjil_v1.0:LG10:14080294:14081732:1 gene:TanjilG_07252 transcript:OIW03100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLGNLFLTGLITFSVALITYNIIISSNATLKQDFPKPYSLQTKTSSSSNNNNNKRKLLFHTAVTSADSVYNTWQCRVMYYWFKKFKEMGNHDHDDADAESGMGGFTRILHSGKPDQFMDEIPTFVADPLPSGMDQGYVVLNRPWAFVQWLQQADIKEDYILMSEPDHIIVKPIPNLATKEHGAAFPFFYIEPKKYENVLRKYFSEDKGPITNIDPIGNSPVIVSKESLKRIAPTWMNVSLAMKKDPKTDEAFGWVLEMYAYAVASALEGVPNTLYKDFMIQVQ >OIW02987 pep chromosome:LupAngTanjil_v1.0:LG10:15887854:15891796:-1 gene:TanjilG_13624 transcript:OIW02987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAEPAIDSKHVDSFDESVSFSTQEKERKTVEEKAIDDWLPITSSRNAKWWYAAFHNVTAMVGAGVLSLPSGMSDLGWGPGVVILVLSWIITLYTLWEMVEMHEMVPGKRFDRYHELGQHVFGEKLGLWIVVPQQLICEVGMNIVYMVTGGKSLQKVHDLVCNNCRTMKTSYFIMIFASVHFVLAHLPNFNAISGISLAAAVMSLSYSTIAWIASAHKGIQEGVQYRYKATSTSGTIFNFINALGDLAFAYAGHNVVLEIQATIPSSPKNPSKGPMWKGVIIAYLIVALCYFPVALIGYWMFGNAVSDNILVSLHKPTWLIVIANLFVVIHLIGGYQLYAMPVFDMIETLMVKKLNFKPTRMLRFIVRHIYVAFTMFVGITFPFFGGLLGFFGGLVFAPTTYFVSP >OIW03063 pep chromosome:LupAngTanjil_v1.0:LG10:14900969:14904825:-1 gene:TanjilG_19343 transcript:OIW03063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAVTSTSLLFLKPSSSSSFHNRNHSKFISFSNPSHKNFTISASASLSVSNPTSRTAPDALVLSILSKVLQTDGGVLLKKEEHKEVAEVAQELQKYCVDEPVKCPLIFGEWDVVYCSQPTSPGGGYRSAIGRLFFKTKQMIQVVEAPDIVRNKVAFTAFGFLDGEVSLKGKLKALDSEWIEVIFEAPELKLGTWEVQYGGQSEVKLKITYVDEKIRLGLGSRGSLFVFQRK >OIW03244 pep chromosome:LupAngTanjil_v1.0:LG10:10915420:10917234:-1 gene:TanjilG_21773 transcript:OIW03244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGENGKKMKILCLHGFRTSGSFLKKQISKWDPSLFHQFDLDFPDGKFPAGGKSDIEGIFPPPYFEWFQFDKDFTEYTNLEECISYLCEYITANGPFDGFLGFSQGATLSALLIGYQAQASDYCCGKLLKEHPPIKLCVSISGSKFRDPSICDVAYKDPLKAKSVHFIGDKDWLKLPSEELASAFDTPLIIRHPQGHTVPRLDEVSTGQLRNVIREVVGQPEDQEKDTKKVEISNGEAGTVQVAIA >OIW03552 pep chromosome:LupAngTanjil_v1.0:LG10:3986360:3986680:-1 gene:TanjilG_30972 transcript:OIW03552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSCMKDGNCSQYFPKKIQQSKIVDEDGYHVYMRRDNGNIVEKNGISLDNRYVVPYNPQLLIKYQAHINMEWCNQSTSVKYLFKYINKGYDRITAVIEPTDDGAS >OIW04040 pep chromosome:LupAngTanjil_v1.0:LG10:85197:87389:-1 gene:TanjilG_24151 transcript:OIW04040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIKLEQDDPRRVIHSFKVGLALVLISILQHIRPTFYAFGDNILWAVLTVALVLEFSVGATLGKGLNRILATWLAGALGVGTRSIANLCGQKGEAVLTTIFVFVIAGSVTFMRFSPRLKARYDYGFIIFILTFCMVSLSDDKERAMLKLAQERLLTIIIGSFVAVIVCICICPVWIGQDLHNQIAANMEKIAHFFEGFSDEYLKKSENTEVVHDRSFLHRYKSVLSSKSSEETMAVLARWEPRHGGFRFRHPWKQYLKIGNLIRLCAYNIEVLIACLPHSDQVSLNYEVGNKIKESCTIISSECGKTLKEASLMVKHMTMSSMHNSHVANAKNAIQTIKSILRTNPWEGVDYDEIIQVSTLASLLIDVVNCIQNICEAVDELASLAKFQPSQLLHHRGTVVQPVDDSVHVIAIIETPPILELRNEN >OIW03839 pep chromosome:LupAngTanjil_v1.0:LG10:1430553:1433108:1 gene:TanjilG_30115 transcript:OIW03839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWLQNSSNAASSGYRKRPLYSVFPTKPAEVASVQDLFEFICSGPLLDKIGVTQEKVADSIDKWLSYGRYICQLFQLNELYLSEPQKARVYHYYIPVFIWCEEQISQHQSSFNEEDEIPPLVIGFSAPQGCGKTTLVYALDYLFQVTGRNSATISIDDFYLTAEGQNKLREAYPGNALLELRGNAGSHDLALSIETLTALTKMTREGTKTKLTRYDKSAFSGRGDRADSSTWPEVEGPLTVVLFEGWMLGFKPLPVEAVTAVDPQLEIVNKNLEAYYDAWDKYVKSWIVIKIKNPNCVYQWRLQAEIAMREAGKPGMSDDEVRDFVSRYLPAYKAYLPTLYSEGPNGSDPKHLLTIEIDEGRNPILAT >OIW02965 pep chromosome:LupAngTanjil_v1.0:LG10:16099895:16103750:-1 gene:TanjilG_13602 transcript:OIW02965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWLQSWAGIGYLFGSISPIIKSSLNYNQKQVAMLGVAKDLGDCVGFITGVLCEILPIWGALLVGAGLNLFGYGWVWMVVTGRVSTLPLWAMCALIFVGTNGETYFNTVSLVSCVQNFPKSRGPVVGILKGFAGLSGAIMTQIYAMIHSPDHASLLFMVAVGPSLVGIGLMFIVRPVGGHRQVRPSDGKSFTFVYGVCLLLAAYLMGVMIVQDLVPMSKTVITMLTALLFIILLVPVVIPISLVFSPEQSPPEEEVFLPEQQNNEPGKSQQYSDEVILSELEDEKPKEVDLLPALERRKRIAQLQSKLLQAAAEGAVRVKRRRGPHRGEDFTLTQALIKADFWLLFTSMVLGSGSGLTVIDNLGQMSQSLGYNNTHIFVSMISIWNFLGRVGGGYISEIVVRDHVYPRPVALAVFQLVMTVGHVFIAMGWPGAMYIGTLLVGLGYGAHWAVVPATASELFGLRNFGALYNFITLANPVGTLVFSSLIASRIYDSEAEKQAHDGHHDQQSIGSFMFRALNASQTLKCEGSICFFLTSIIMAGFCTVAAGLCMVLVFRTKVVYANLYGKSSTRSLR >OIW03891 pep chromosome:LupAngTanjil_v1.0:LG10:1098660:1099616:1 gene:TanjilG_30167 transcript:OIW03891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFPSIQSLAMHAFNSSKAQRRTDHLGFHKALCLLLGWSDTAGSEGLWVKKLLPEVELSNLKNDLIIWPPVVLVHNKSIAHHDLDKRMTVSIEGLQAILRDMGFGGGKTKVSRGKPGNFSILIVTFKATFSGLQEAKKLHKFYDDNKRGRTELQQINDGRGLLKDKNETQYIPGNGESALYGYLGNAQDLDKLDFESKKHSVVKSNKEIQAIADANLRAD >OIW03409 pep chromosome:LupAngTanjil_v1.0:LG10:6040433:6045113:1 gene:TanjilG_12006 transcript:OIW03409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRGKAEKVGPMFPRLHVNDTEKGGPRAPPRNKMALYEHFTIPSRGSSNKGTGSERSYIIPGNLPSQMPTQRAESYISHQFNGASQNTSSAQLEQRNKVDEDDFMVPVYVDSRIGQYNDKRLVNFEMEKLTSMSSRNFGCSIEVQKDGGRHRKQLDSPPINIREDASSESEGLPQVSESRELHVKNISTIETIKSLARQAKVIQNQEYQDSPVEATHDAEFHDTRTGSLVQKGSSDDGDDVSKISSTENLSSLKASPDDVVGVLGQKRFWKARRKFVNQQSVFAVQVFELHRLLKVQKLIAGSPDLLLEDGSFMRNSLPKRSTPNLAVNVVVKPQIQNLKRKDDSEKLNHNKMEYSAENVVGKRSCSIPENGCHLSNYTSFSGTPHQTKVAADNRMGSWGFNHSPGHQWLIPVMTPSEGLVYKPYPGPAFQETMCGRGCEPFGPGPPGGTFMNPSYGVPPHIPPGSLAYFHPYGMPVMNQSVSGSADEQVNHLAAQGSRGQNGHSSIEEANFSTHNQSSYNLPAQKNGAISHVKKFQASKKSEIQGSTASSLIEKAHGIRQRQIAEGRNAHSLSHMATLIPEGVLQPLETGKQTRVIKVVPHNRRSATESAARIFQSIQEERKQCDLL >OIW03783 pep chromosome:LupAngTanjil_v1.0:LG10:1819035:1819580:-1 gene:TanjilG_30059 transcript:OIW03783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNYGTIPTPSSPTSPNLEFISRAKLRIKEGLTTRRPWNVMFNLHSVSLPHGFSDAVSRIRTNLSFFQVNYAIVVLLVIFLSLLWHPISMIVFVALMAAWLFLYFLRDQPFVIFGRTVTDRVVLGVMAVVTVGLLLLTGAIANILVALLVGAVVVVVHAALRRTDDLFLDEEAAALTSTAS >OIW03631 pep chromosome:LupAngTanjil_v1.0:LG10:3107943:3116583:1 gene:TanjilG_22288 transcript:OIW03631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNKEHLRFITPSYMKLFSFRQRYLINFFTHVLVFGFGFVIGIPLTFYLYETLSINFQIQRILLPSYGPNSKFSPPLLPYPQIISTTINDSTSNISSMTNHKRVITNSKSRKGLSDFLKPPRAMHDMSEEELLWRASMVPKINHPPFNHTPKVAFMFLTKGPLLSAPLWERFFKGNKGLYSIYVHSLPSFSGTVLPSSVFHGRRIPSKEVKWGENNMVKAERCLLANALLHFSNQRFVLLSESCIPLFNFSTIYTYLINSTKNFIEAYDMPDKVGRGRYNPKMKPLVRLSQWRKGSQWFQIDRELAVEIVSDKLYFPVFKKYCNGKCYSDEHYIPTLVNIKFWKRNSKRTMTWVDWSKGGPQPSRYNGKDVTSDFLKHIRSRRTCEYNGHTTNICYLFARKFTSDALDRLLSFASNIMQFN >OIW03677 pep chromosome:LupAngTanjil_v1.0:LG10:2682400:2683812:-1 gene:TanjilG_30741 transcript:OIW03677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQNNVIAPFVMKTYQMVNDPITDNLITWGPTNNSFIVLDPLDFSQSLLPAFFKHNNFSSFVRQLNTYGFKKVDPDRWEFANEWFLRGQKHMLKNIVRRKHCSRSYNSKFSSLSSSNSHLGKFEELNDEDMVMEITRLKEEQKALDEELQEMNKRLETTEKRPQQMMTFLCKVVEDPDVLSRTLIERQRKQVAEKKRRLLSAATSSSSSSGMAMKTEFEDEETTVGNTILSSSVETGFEIDNFYHMAASPTHEAVAGGDAVAVWWRQKQGMMIGLPTRIVNDGYNYNDFDTYNYNDDNYNCTAAPIPLMAVTHSSPPLNESYFVHDNDRSKGHVDIFSETAAGSSPRPPYPFSLLEGGF >OIW03845 pep chromosome:LupAngTanjil_v1.0:LG10:1379416:1381990:-1 gene:TanjilG_30121 transcript:OIW03845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLKFLIVLFLSTFQAAFSITDGLLPNGDFEHGPKPSQLKGSEVVGTDAIPNWTVSGFVEYIKSGQKQGDMLLIVPEGAFAVRLGNEASIKQKVNFTKGSFYSITFSAARTCAQEEKLNVSVVPTTEDADWGVIPIQTMYGSNGWESFACGFRADFPQGEIVIHNPGVAEDPACGPLIDSVALRVLNPPKRTRANLLKNGNFEEGPYVFPKASWGVLIPPHIEDSHSPLPGWIVESLKAVKYIDSNHFSVPEGQRAIELVAGKESALAQVVITTIGKTYDLTFAVGDANNACEGSMIVEAFAGRDSVQVSYQSKGKGGFIRGKLRFKAVSTRTRVRFLSTFYTMKNDNSGSLCGPVLDDVKLLSVRYPSPHA >OIW03583 pep chromosome:LupAngTanjil_v1.0:LG10:3684055:3690135:1 gene:TanjilG_31003 transcript:OIW03583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRKRRTEGSSGVESSEHQQHPAERSAPPPQPFASPAGVAGGPQGGRGGYAPQGGRGGGYGGRGRGNSQQPYGGPPDYQQGRGRGGPPQQGGRGGGYGGGRSEYGGGSRGGGGYAGGGGGRGGGMGSGRGVGPGTSFDGPSRPPYPELHQATPVPYQAGVTPQSTPAEAGSSSQAPEPLPVEQQMEQLSIQLEADDVPASPPVAPPASKSSIRFPLRPGKGTFGHKCIVKANHFFAELPNKDLHQYDVTISPEVISRGVNRAVMEQLVKLYRESHLGKRLPAYDGRKSLYTAGPLPFVSREFRVSLIDEDDGSGAQRREREFKVVIKMAARADLHHLGLFLQGRQTDAPQEALQVLDIVLRELPTSRYCPVGRSFYSPDLGRRQPLGEGLESWRGFYQSIRPTQMGLSLNIDMSSTAFIEPLPVIDFVTQLLNRDVSQRPLSDSDRVKIKKALRGIRVEVTHRGNMRRKYRISGLTSQATRELTFPVDEMGTMKSVVEYFFETYGFIIKHTQWPCLQVGNTQRPNYLPMEVCKIVEGQRYSKRLNERQITALLKVTCQRPVERERDIMQTVHHNAYHEDPYAKEFGIKISEKLAHVEARILPPPRLKYHDTGREKDCLPQVGQWNMMNKKMVNGGTVNHWFCINFARNVQDSVARGFCFELAQMCQISGMAFNPDPVVPALSARPDQVEKVLKNRHSDAKSRLKGQDLDLLIVILPDNNGSLYGDLKRICETDLGVVSQCCLTKHVFKMSKQYLANVALKINVKVGGRNTVLVDALSRRIPLVSDRPTIIFGADVTHPHPGEDSSPSIAAVVASQDWPEITKYAGLVCAQAHRQELIQDLFKQWQDPVRGTVTGGMVKELLISFRRATGQKPTRIIFYRDGVSEGQFYQVLLFELDAIRKACASLEPNYQPPVTFVVVQKRHHTRLFASNHNDRGSVDRSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENNFSADGLQTLTNNLCYTYARCTRSVSIVPPAYYAHLAAFRARFYMEPDTSDSGSMASGAYAAGRGMGGSANRSTRAPGVNAAVRPLPALRDNVKKVMFYC >OIW03946 pep chromosome:LupAngTanjil_v1.0:LG10:688514:694064:1 gene:TanjilG_30222 transcript:OIW03946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANKVINEMGLPKSISNIFTARNIITAKDALSHTEFELMELLDVGMSEVISAISHISEIVSPPSQTALLLMEQRVCNESMAGHLPTRLKGLDEALCGGIPFGVLTELVGPAGIGKTQFCLKLSLLASLPANFGGLDGHVIYIDVESKFSSKRLIEIGMKSFPEIFHKKGMAQEMAGRILILRPTSLSEFAESLHQIKISLIHQHVKLLIIDSMAALVLGEYDCGASRQQALGWHVSFIKSLAEFSRIPVVVTNQVRSQIGDEPLMYSFQAQSHSRKKDSHATYDSHLVAALGINWAHAVTIRLVLEAKSGQRFIKLAKSPISPPLAFPFNITSSGVVLLDDNGIEMNGPEINSINCQGQNALFNF >OIW03355 pep chromosome:LupAngTanjil_v1.0:LG10:7282590:7285488:1 gene:TanjilG_29340 transcript:OIW03355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSFKVRRDRAFGSLPIPSSSLHSLWSLTNDEINPNPTHKPEPESKPFSYSGAATSSDFRVELEKDIKDLDENGDDEDDGKAPRGGVPKIDGYDDEQWQVRSGIGLDRTLDYEDEEDQYDKQAIGKDNSGDRLYMKDINDDDIGISSRNVLPSTFRDLVRDPRANHLAARIRLKQDDDAKTKKIDSSIVSEKSAPDIGSGDAMNPKSILKSKGNPSEPKSHKRVRFGSEYDDMDNDDEPDRGNDEPERTRDVRMKASSMEEDLALNQESKSQEFASAVPDYIRNPSRYTHYTFDSPSEMDDQSNKAAYMSFLTQLRESKAASGTGSQADDALDDLPSVTYISKKKSGDATMGENEMVSKQKLDVGKELMHRGPFTVSIAAGDTENNDVCAMEEDEAEDDTKRSSQKSNRQYRKKVQGELEEPVV >OIW03872 pep chromosome:LupAngTanjil_v1.0:LG10:1192122:1193496:1 gene:TanjilG_30148 transcript:OIW03872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDNMNECFSKNNFFVEEFLREILEPEETTTTPLSTIFGQNLMIKNDSSITTQQYGAENVTSTPTTYILSFDKATALLPSGHDPNCSGWKEVSLPKLGNHAATDSLPLPLSRASHGTKKSRSTSEKMDHILSERNRRQELTKKFIALSATIPGLYIMDKAHVLGEAINYMKQLQERAKELEEYVQKKGVKSVINITRSHICIEDDTGVCEMNTNEDYGHNEALPDVEARVLGKDVLIKIHCMKQMGILVKILSQLEHLHLSISSTNVLPFGNTLDITIVAQMDGKYSLIVKDLVKNLRLVTVLK >OIW03970 pep chromosome:LupAngTanjil_v1.0:LG10:542242:544908:1 gene:TanjilG_30246 transcript:OIW03970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNDNARQHPLLLTWDPSPGADHIEVQIHPRRRGGSEPPLSPPSPGRRHSPPLNPTTTDERDHNFSLFKRWFPWLVPSFVVANIAIFIITMYINDCPKHSFPPSSCFASFFGRFSFQPLKENPLFGPSSATLGKMGALEVDKVVDKHQAWRLLSCIWLHGGVVHLLANMLSLVFIGIRLEQEFGFVRIGFLYLISGFGGSLLSALFIQSGISVGASGALFGLLGGMLSELLINWTIYANKFAALLTLIVIVVINLAVGILPHMDNFAHIGGFISGFLLGFVFLIRPQFKWVSQRNSRPVYAEHLVKYKHKPYQYVLWVISFILLLAGLITGLVLLLRGVNLNDHCSWCHYLSCVPTSKWSCKAEQLYCETTQMGNQLNITCLSNGISELFPLSDTGSSKAQRLCYGLCST >OIW03877 pep chromosome:LupAngTanjil_v1.0:LG10:1168646:1169005:-1 gene:TanjilG_30153 transcript:OIW03877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGNTRYGVVGVDIRGGGEYENGSSGGKKGSNFGGAGGSMNIRGGDSHGNIGVCGIGGGIIKGGGKTIGGGGGGGDGTNGGGGGGDGTNGGGGGGDGTNGGGWITSVGGEDTKGGGEK >OIW03329 pep chromosome:LupAngTanjil_v1.0:LG10:8563541:8565188:1 gene:TanjilG_03438 transcript:OIW03329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEPIVVNKGEEPLDLPPGFRFHPTDEEIITCYLTEKVMNNNFSATAIGEADLNKSEPWDLPKKAKMGEKHWYFFCQKDRKYPTGMRTNRATESGYWKATGKDKEIYKGKGNLVGMKKTLVFYRGRAPKGEKTNWVMHEFRLEGKFACFNLPKAAKDEWVVSKVFHKTTDVKKTQSISGLLRINSIGDDILDCSSIPPLMNLPYSNNTFDNDLKGITNPQLSSAKSSSDGYYLPSFSINNHHQFFIKPENHRSYENNPINNNNYLYNEVSFSKTTLLQPQFRIQNQSPSFQQNMFPDNYYVQQNKMNNSMPITGFGGNTSNYDDDAFLRAFATKNEYISGLDKQQCKMEQSVVSVSQDTGISNDRNTDTSSAVSKQDMGSGMNRSLYEDLEGPSSSVAAPLSDLHCLWDDY >OIW03537 pep chromosome:LupAngTanjil_v1.0:LG10:4194822:4198757:-1 gene:TanjilG_30957 transcript:OIW03537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFFATTSSAVGYSFLPRFQRRRTPQFTMVLRSDHSVSVDPITILTKLKDECATPTPLLQQVADAMCAQMRAGLAADGGSGLPMIPTYVHDLPTGNEKGLFYALDLGGTNFRVLRVQLGGKDERVVATEFEQVSIPQNLMFGTSEELFDFIALRLANFAAKEDGRFHFSPGRKREIGFTFSFPVKQTSIDSGILIKWTKGFAVSGTVSPIKDCKSSDLTRWEPPALSRPFLGKDVVACLNEAMIRQGLHMRVSALVNDTVGTLAGAEYYDNDVMVAVILGTGTNACYVEQINAIPKLQGYASSSGKMIISTEWGAFSNGLPLTEFDREMDAASINPGEQIFEKTISGMYLGEIVRRVLLKMAEVGGLFGKSVSQKLAIPFILRTPDICAMQQDNSGDLQAIRSLLYDKAGVESNLSERKTVLEVCDTIVKRGGNLAGAGVVGILQKMEEKSEGLIFGNRIVVAIDGGLYENYPQYRAYLKDSVKELLGTEKSNNVVIDHTKDGSGIGAALLAASNSMYKHNF >OIW04057 pep chromosome:LupAngTanjil_v1.0:LG10:191636:192693:-1 gene:TanjilG_24168 transcript:OIW04057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFTKTFSLRRFYGTKVDLRKLRPMILDRIEKRAHAYPVRSMVPVAQQVLLARNALIHAVSTLLHSIPLFVCKFCPEICIGEQGHLIQTCWGYRRRAKNRVHEWVKGGLNDILVPVETYHLHNMFQHVITHNQRFDCDRISAVVELCWQAGADPHDENLNSSSTNLEAADNSVHGTESLSPKDLAFIANKTFNAWEALRSGVQKLLLVYPVKVCKYCSEVHVGPSGHKAKLCGMFKHESWKGAHFWMKANVDDLVPPKIVWRRRPQDPPVLVNEMRDFYGRVPAVLDLCTKAGAIVPVKYNCMMKVQGLSTPVNNKIWQEH >OIW03918 pep chromosome:LupAngTanjil_v1.0:LG10:904889:907175:-1 gene:TanjilG_30194 transcript:OIW03918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQRKAEMAKHSDAFIALPGGYGTLEELLEVITWAQLGIHDKPVGLLNVDGYYNTFLTFIDKAVEEGFISPKARHIIVSAPTAKDLVKKMEEAKRKE >OIW04042 pep chromosome:LupAngTanjil_v1.0:LG10:96603:100767:1 gene:TanjilG_24153 transcript:OIW04042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGRAAKKSDNTRYYDILGVSNNSSHDDLKKAYKKAAIKNHPDKGGDPEKFKELAQAYEVLSDPEKREIYDQYGEDALKEGMGGGGGGGGGHDPFDIFQSFFGGSPFGSGGGSRGGRRQRRGEDVVHPLKPDTITGDIVFVLQQKEHPKFKRKAEDLFVEHTLSLTEALCGFHFVLTHLDGRQLLIKSNPGEVIKPDSYKAINDEGMPLYQRPFMKGKLYVHFSVEFPDSVSPDQVKALEAVLPSKPPSQLTDMELDECEETTLHDVNMEEELRRKQQYQQQEAYDEDEDMHGGGQRFKELAQAYEVLSDPEKREIYDQYGEDALKEGMGGGGGGGGGHDPFDIFQSFFGGSPFGSGGGSRGGRRQRRGEDVVHPLKPDTITGDIVFVLQQKEHPKFKRKAEDLFVEHTLSLTEALCGFHFVLTHLDGRQLLIKSNPGEVIKPDSYKAINDEGMPLYQRPFMKGKLYVHFSVEFPDSVSPDQVKALEAVLPSKPPSQLTDMELDECEETTLHDVNMEEELRRKQQYQQQEAYDEDEDMHGGGQRVQCAQQ >OIW03972 pep chromosome:LupAngTanjil_v1.0:LG10:532994:534991:-1 gene:TanjilG_30248 transcript:OIW03972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEENFEEKPCMYYDPLSLQITEKSFSDALIAKLPHSPDQHPHDSHQNLESPDENCSRSTSTANSGSSGTNIGHESKHPSPDTPVSDGYTFRLNSDSTSQLPQHALTNISNGMLDLDSSVTKLLAQNIFSGADSILQFKRGLEEASKFLPQRPQIFTGLESSMGSINPKGEGEKVANKIENGVRENWNGLKNRKNHERESEGLEDEEGRSNKQSAVSCVAISSFEESELSEMLDQVLLSIENVPLISEQQHGSVIDSNRQPNEKPHSSNEGKTRSKKQSRKKETVDLRTLLILCAQAVSTGDNRTANELLKQIRQHSSHLGDASQRLAHYFANGLEARLIGAGTGTQIFVNSSSYKNFTAADFIKAYQLTISVCPFKKVSHFFANKMIMKAAENAETLHIIDFGILYGFQWPILIKFLSERSGGPPKLRITGIEYPQPGFRPSERLEETGHRLANYCKRFNVPFEFKAITSRNWETIQIGDLKIRSNEVLAVNCLIRFRNLLDETIEVNSPRNAVLDLIRKMSPDIFVQSIINGSYNSPFFATRFREALFHFSAVYDMYDNVISRKNEWRLMIEREIPGREIMNVVACEGFERVERPETYKQWQVRNTRAGFKQIPLDKELMTKFKGKIRKWYQHDKDFVFDEDNNWMLQGWKGRILYASTAWVTA >OIW03577 pep chromosome:LupAngTanjil_v1.0:LG10:3765382:3766412:-1 gene:TanjilG_30997 transcript:OIW03577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEELKLAWTVKTIIAILFTSTLFRCVCGANISVGGVSGWDLTSNIQLWSSTATFHVGDDLVFIYTPVYDVIEVNQEGYDTCTIANAIATYETGETVISLNEPGTRYFVCGRLGHCQLGLKLEVQILAQSNNNTDDDDSNNNNDDDDPERRRGGRSPSPPRPISPPPPPRHRPPHPPPPPGDVDLPQPPPPNEFDFPQPPPNPSLGPCQCSRAAENNYGVMMTPLMITQTLVILLLGFYYLML >OIW03071 pep chromosome:LupAngTanjil_v1.0:LG10:14810562:14813774:1 gene:TanjilG_19351 transcript:OIW03071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPLNRIEVVDIAAKTGLLVQGAAFVLTQPCNLYLRVVGPTGSHTLMERPGLGSVNGIQHVIEIAGHTDASATASHDRHIDGIDIPLQEDRHSGARLTVSQSSVLTSSVSSGSNTRNSLSGSRGDTRQRRSPVHSVLWLSVELVLLVSQIIASIVVLSLSRHEHPHTPLFQWIVGYAVGCAATLPLLCWRYYHHNHIRDQDSSQSRQTSPQINDDSGTFVSSSRTNEGEDSQHAVVSSRSNQASVLMNRRMKTLMEYFKISLDCFFAIWFVVGNVWMFGGHSSAADAPNIYRLCIVFLVFSCIGYAMPFILCSTICCCLPCIISILGVREVPTQNTGATSESINALPTFKFKTKKNKRIGESNAAVAEGGVVAAGTEKERVITGEDAVCCICLAKYENNDELRELPCSHLFHKECVDKWLKINALCPLCKSEVGEKFRRVENGVVHTIV >OIW03149 pep chromosome:LupAngTanjil_v1.0:LG10:13367607:13373740:-1 gene:TanjilG_11786 transcript:OIW03149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRDPGVPADSFYQIRPECTSDVPISRFKIRGGRTLSARKWQAAFTPEGYLDIGKTLKRIYRGGVHPSIRGEVWEFLLGCYDPRSTFEERDQIRQQRRMQYATWKEECRQLFPLVGSGRFIAAPVITDDGLPVQDPLVLLETNPDTGLVVFPQDYYKPSSIDDVNNLEKVTDKRVVQWLLTLHQIGLDVVRTDRTLVFYEKPENLSKLWDILAIYAWIDKDVGYGQGMSDLCSPMIVLIDDEADAFWCFERLMRRLRGNFRCTGTSVGVEVQLRGLASITQVIDPKLHKHLEHVGGGDYLFAFRMLMVLFRREFSFCDSLYLWEMMWALEYDPDLFLMYEEPQSASRKTESSKGKAMSNHHCGKYERENKKNGAKNAEPPFPISLFLVASVLKDKSAKLLQEARGMDDVVKILNDMTGNLDAKKACSGAMKLHKKYLRKVMCSQQHFSPTLHIVIISCIGYFSILHNSSLMAQEGNKIIYVNHLNVAYCLVNTVRLLLSDHEITSSNLGNSL >OIW03038 pep chromosome:LupAngTanjil_v1.0:LG10:15363509:15377985:1 gene:TanjilG_20966 transcript:OIW03038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQTGVQTSKVLILVGAGLTGSVILRSGQLSDVVAKLQEVLKGVDDVQILPGGYNSALLTAQIQQLAQEIRELTLSKPVTIFNGDSNNGFSSYLLPAAAIGAMGYCYMWWKGLSFSDVMYVTKQNMSNAVQTVSKQLENVHETLASTKRHLTKRLEGLDSKLEEHNELAHLISDDVNDVKSNLSQIVCDVDRIHKMIAGVEGKLQLVEGKQDKTNSGLWYLCQVADGFNDGPNVNFLKDIAEPTNQSTITFVEKFKCAHVMAMAVQKLYPDAKVTIGPWIENGFYYDFDIEALTDKDLKRVKKEMDRIISRNLPLVREEVSRDEALRRITALNEPYKMEILESIKEEPITIYHIGEEWWDLCAGPHVESTGNINKKAVELESVAGAYWRGDERKPMLQRIYGTAWENEEQLKAYLHFKEEAKRRDHRRLGQDLDLFSIQDDAGGGLVFWHPKGAIVRHIIEDLWKKIHMEHGYDLLYTPHVAKADLWKISGHLDFYKENMYDQMSIEDELYQLRPMNCPYHILLYKRKLHSYRDFPIRVAELGTVYRYELSGSLHGLFRVRGFTQDDAHIFCLDDQIKDEIRGVLDLTEEILLKFGFDKYEVNLSTRPEKAVGDDDIWEKATSALKDALDDKGWTYQIDEGGGAFYGPKIDLKIEDALGDFPLWLSPIQARVLPVTDTQLEYCKDVTKKLKRNGIRAEVCHGERLPKLIRNAEKQKIPLMAVVGAKEVETETITVRSRFGGELGTMSVDDLISRINSGTQNPASL >OIW03136 pep chromosome:LupAngTanjil_v1.0:LG10:13651100:13655399:-1 gene:TanjilG_11773 transcript:OIW03136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVLTCTPKLCREWHQKVPMILGHPKYNHKYKEKYEREAKKYWDVFYKRHKDKFFKDRHYLDKEWGYYFSGSGKKVILEVGCGAGNTIFPVIASYPDAFIYACDFSPRAIELVKTHEDFKESHVNAFVSDLTADDLCKEILPSSVDIVTMVSEILNCMSPEKMPQVLQNIRKVIKPNGYVLLRDYATGDLAQERFSGKDQKISDNFYVRGDGTRAYYFSNEFLTNLFKENGFDVHKIDVCCKQVENRSRELVMNRRWVQAVFHVSDSSNSSSSREADINHLDSDKIDGEIKQNNLNDGLNDSAIDLSEGVAFDMFGISPSSQYEIIEVNLRGWNFKINLLSKEYQHTCKSTGLMLWESARLMASVLAENPNIVAGKRVLELGCGCGGICSMIAARYADQVVATDGDAFALDLLTKNVASNIEASSLTKLTTKVLEWGNKDNIESIKEISDRGFDVIIGTDVTYVAEAILPLFATAKELIASGGSSKDDNVPALILCHIFRRVDEPTLLSAAAQFGFRLVDKWPAEVSTNLSQSIISNWFLDNGLKDDLPSTALNILLFSLE >OIW03302 pep chromosome:LupAngTanjil_v1.0:LG10:9542018:9543867:1 gene:TanjilG_09949 transcript:OIW03302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITSEWITFWLFKIVYFTFFLLSTSAVVYTIACIYTAKEITFKKVISVVPKVWKRLMLTFLSTFAAFVAYNLITLVVIILCGITMGRNERISGKIIVFVIIAIFYLNGFVYLTLVWQLASIVTVLEDCYGFKAMKKSKELIKTVLYFMCKSYHHENIDKSTLADHLEAYLGEYVPLTAKEVQMEQYQV >OIW03349 pep chromosome:LupAngTanjil_v1.0:LG10:7747898:7756561:1 gene:TanjilG_10277 transcript:OIW03349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPVPDDSGLGEIMEFLKESFPDVEVAIAHGKQYSRQLEETMEKFALGEIKILICTNIVESGLDIQNANTIIIQDVQQFGLAQLYQLRGRVGRADKEAFAYLFYSDRSLLSDQALERLAALEECRDLGQGFRLAERDMGIRGFGTIFGEQQTGDVGNVGIDLFFEMLFESLSKVEDHRVVSVPYHSVQVDININAHLPSDYINYLENPMEIINEAERVAEKDIWSLMQFTESFRRQYGKEPRSMEILLKKLYVRRMAADIGVTRIYSSGKIVFMKTNINKKVFKMMTESMASDIHRNSLVLEGDQMKAELLLELPKEQLLNWIFQCLAELHASLPALIKY >OIW03130 pep chromosome:LupAngTanjil_v1.0:LG10:13768048:13768332:-1 gene:TanjilG_11767 transcript:OIW03130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAISLVVLAVASPLYIDRGSDNELEEDEQLMNIAVWLPLLLFVLILAIVLSAFLDRSFTRFDRYWIHRVGGSSGGIVVILVVLFLVLKCRASL >OIW03051 pep chromosome:LupAngTanjil_v1.0:LG10:15191122:15196158:1 gene:TanjilG_20979 transcript:OIW03051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVSMCMSRSSELRPDEERIMIRDIALSSQANSKEGNTFFLITQSDPLAGYGGGPMLPRNVIISGLSQTELTVEVYSLWLQLHVLPKSDHSIIRISKKVPIWDYYGHRKRALMNDIDKTLDDANLQMDQDILVEVNNTNSTTFSQENGYAQREASSVLVEPPKSSLSIAGGLSAKKGASKSYNVELSSSQNVNSAVKDVEHPFGTSGVTTRGSFGGLTGLLNLGNICFMNSAIQCLSTNNRTMTVTVFTSDAPTLPSPCTVMVPKQGRFRDLIQALSNACSLKFLEDSLISLSMIKDEDHLAAYMIPKIDKNTKYLQLIHRCIEQNSDSKIVSGWKPYGTPIVSLISCDNAVTRGDIHVLVNHMLSPLLKKGGDVEQATASETSISKDTPDKGSLTDSGDTHAGNMVANSVDKDAWLDRSIIGMATTCGQATHVKTVKTRVNSKR >OIW03181 pep chromosome:LupAngTanjil_v1.0:LG10:12763265:12768495:1 gene:TanjilG_11818 transcript:OIW03181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIIPIPTDTYTVGFIGAGKMAESIVRGVVSSGVLPPSRIYTAHSNPARRAAFESLSVTLLPSNDDVVRQSNVVVFSVKPQVVKDAVLKLRPLLTENKLLVSVAAGLKLKDLQEWAGHDRFIRVMPNTPAAVGQAASVMSLGGAATEEDGNLIAKLFGSVGKIWKADEKYFDAVTGLSGSGPAYVYLAIEALADGGVAAGLPRDLAFSLASQTVLGAASMVTQTGKHPGQLKDDVTSPGGTTISGVHELEKGGFRGTLMNAVVAAAKRSKELS >OIW03443 pep chromosome:LupAngTanjil_v1.0:LG10:5393103:5396165:-1 gene:TanjilG_14668 transcript:OIW03443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMMKGSLKNATKGRKSSGKERKLVLQQDVDRLKKKLRHEENIHRALERAFNRPLGALPQLPHYLPPYILALLTEVAVLEEEIVRLEEKVVRLRQDLYQEAVYNSSSKTKIEHSALANNPNLTTHSPKLDKLKYLSITAGNSAPATSSTRCNTTLQEDRQGKENQSCTNSYKTRKQSSNQINRTPRKKLLVDNKSRPKRWDPPRRQNEQSIAEVRNPSLHKILPEPESPNIISENILMCLSSILLRMSAAKNPVSASDIPYLRATTPRNYVEGTEFLDPYGICLEFGKRDIGPYKHLCPIDAKLFNPKRTANTLFLLHRLKILFRKLVSVNLEFLNHQEKLAFWINIYNSCMMNAFIEHGIPENAEMVIVLMQKATINVGGHMLSATTIEHFILRLPYHWKFKFRKGVKNNEMTARSIFGLEMSEPLVTFALSCGTWSSPAVRVYTASRVESELEVAKREYLQATVGISTSKLTIPKLVDWYLLDFAKDLKSLMDWICLQLPSEQGKEPIKFLEERKAESLSQFVQIMPYEFSFRYLLCT >OIW03628 pep chromosome:LupAngTanjil_v1.0:LG10:3148217:3153459:-1 gene:TanjilG_22285 transcript:OIW03628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYSTCTKPFNCGSVSRIVFPFWDDVERPRYCGGRDEFKLSNCIDPYPLLHINSQQFQVININFLSYTMKMVRKDVDYESCDHPLSNNTLSPTLFQYTQNVDNITVFYDCHYNVSFPKNFTCFPNYHGEYVFYGKVNEISKLEERCENRSIVQVLLSAPLESSDDFYALKEVVEKGFEVSYDTIEEDALNCKRCRDSDGACGRIDIDQYQFSCHCPDGSQSSSQCQIHTSVSAAVFGALVVFLAMYLYQRRKKNRYTMSYVSQSFTASDPSLLKDAEKGSQYFGVHVFTYDELEEATNNFDSARELGDGGFGTVYYGQLHDGRRVAVKRLYENNYKRVEQFMNEVEILTRLRHPNLVSLFGCTSRHSRELLLVYEYISNGTVADHLHGRKTKPGALPWHIRMNIAVDTASALKYLHASEIIHRDVKTNNILLDDHFCVKVADFGLSRLFPTHATHISTAPQGTPGYVDPEYHECYQLTSKSDVYSFGVMLIELISSLPAVDITRHRHEINLSNMAINKIQNQALHELVDPALGFESDFKVRKMINAVAELAFRCLQSSKDMRPTMEEVVDTLLDIQSDGKHKKSQPEVLDISADDDVVLLKDDPPPPSPDSNVVSKSTTPNASG >OIW03652 pep chromosome:LupAngTanjil_v1.0:LG10:2930349:2937519:1 gene:TanjilG_22309 transcript:OIW03652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDEEPSHIKGVVIISLPPPDNPSLGKTITAFTFSNPPLPSPLPLSYQPSFQQQTHQSQYPSTSHLHSSFNRVFLSTLLKFFSFFGILIFTLFLHGSLSSTTLQQLRVSNSDNGGEDDGLTTYMFPLFPKFGIHGQKDVKLQLGKLQKENFVTQRNAKVASRVADLSSVFPIGGNVYPDGLYFTYLLLGSPPKRYFLDVDTGSDLTWIQCDAPCKSCAKGAHVLYKPKRSNIVPSMDSLCMEVQKNQKNGGYHESFQQCDYEIQYADHSSSLGVLIKDELHLMTRNGSKTNLDFVFGCGYDQEGLLLNTLSKTDGIMGLSRAKVSLPYQLASKGLIKNVVGHCLSNDEVGGGYMFLGDDFVPYWGMSWALMANAVTTDLYQTEILGINYGNRLPSFEGSRKSGNVVFDSGSSYTYFPKEAYLNLVASLKEVSWLGLIQDDSDTTLPLCWRANVPIKSVKDVKHFFETLSLQFGSKWWILSTMFHIPPEGYLIISKKGNVCLAILDGSNVHDGSSIILGDISLRGHLVVYDNVEQKIGWKSADCDIPMRSRKTHNFLTDGIVKVPTRNSQQRTMEAHAEPSEHNSDDASPENDVALSSEDHSSLPKETTPELAVLDSPDSSSEPQPSNPNTRTRPDPPSGSTVPPPRKRRRRKKFFTELSANASQNRRSDVAKDTDVEALIANSVGFPGDSLTEEEIEASVVSTIGGSEQAKYLIVRNHILARWRSDVSVWLTREKALKSIRNEHKGLVDVAYRFLLEHGYINFGVALPIKEAKLRSIDGIERGTVIVVGAGLAGLVAARQLVFLGFKVVILEGRTRPGGRVKTRKIEGDRVEAAADFGGSVLTGINGNPLGVLARQLGLPLHKVRDICPLYMPDGKNVDSEIDSRVEVSFNKLLERVCKLRQKMIEEVKSVDCPLGTALEAFRRVYHVAEDKEERILLNWHLANLEYANATHMSNLSMAYWDQDDPFEMGGDHCFIPGGNEVFVRALAEDLPIFYGRTVECVKYGSDGVIVNAGGQEFRGDMALCTVPLGVLKKGSIEFVPELPQRKRDAIHRLGFGLLNKVIMLFPYNFWGGDLDTFGHLTEDLSMRGEFFLFYSYSSVSGGPLLVALVAGEAALRFEMMSPVESVRRVLEVLKNIYNPKGITVPDPIQAVCTRWGKDHFAYGSYSYVAVGSSGDDYDILAESVGDGRLFFAGEATNKLYPATMHGAFLSGMREAANILRMAKRRSPTPVDRTINISGENDDLNKLFVNPDQTFGSFSVLFDPQKNDLDCISLLRVRIGGAMLSSVGLYLYALVSKKQVIDLSQVEGDENRIRMLNRDIGVSLVGRKGLSSTAESLIASIKLSRFNLSEVRNGE >OIW03526 pep chromosome:LupAngTanjil_v1.0:LG10:4340823:4346754:-1 gene:TanjilG_31039 transcript:OIW03526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSNSCFKLIVCGSNSAEKDGYQELDTEIKDSNDKHGWSFRKRYERHHVLSNTVITETSSSVNKESSECTSISCQPRAESNVVEKIYTTNFSDENYHLSSLASSQMSETVVTKTEREVDVNKSESFVIIIQAAIRGFLAQRELLKSKNVVKLQAAVRGHLVRRHAVGTLRCIQAIVKMQVLVRVHRARQSHSRNHLKHKDGKNDSSKTLGTEHDATKSNVTYTSIERLLSNSFARQLLDSTPKNKPIHVKCNPSNADGPAWKWLERWMSVSSSDSAEYNKPISISEQSDAAKDSSTSLSQLGAVIPSEVFLQSADSKRTVEYSSLPSMDEEKLTTYDATNFNFQAGSFASSLVKDNSEQAPPERMITHDAKVTTTEIDSLQNEEWESDASVPEEPSSLPQKPEIDDEQCKLSTKRFTSDQLETEGKNIGDVARKFGNTAFIAAQSKFEELASSANSGRSGSLSNQGAQVESEAYTSSVATDTAYRSKDFFLSGNSAPYASRTGGSECGTEISVSSTLDSPDRLEARAAESEHDAQDLLEEVGNSEKKIDHGIETNFLSATSTSSLSNSGLDQTEVVGDVSGDMVHSMVTGDSKDPVVQFEKNAPDLLREQAGSVLQDFKLSPEASQRSQMTITESQGTPSSQVSVKTKESKVSKTGSSHKRRILSGGNKSPAKANHDSGSRGSREQLPKDQTSGKRRNSFSSVKHDYIDQEPRDNGSNDSSLPRFMQATKSARAKLSANNSPGSTPDLHERDQDIHVKRHSLPGATGRQESPGTKGNVRENGRGELTDTWQKCAHIPLQWLMMSHGVAPW >OIW03785 pep chromosome:LupAngTanjil_v1.0:LG10:1799496:1800088:-1 gene:TanjilG_30061 transcript:OIW03785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGGLPNFRRGASKIHRPHQHSLRSQLGGSTARNVVQREVTTAATVPPLPEEKFILFSIGMPPPYSMIVKLTPDMVDEIKRLEAQGGRARVKFDSNPCNPDGNLKCEILTRVHDLG >OIW03138 pep chromosome:LupAngTanjil_v1.0:LG10:13603138:13607768:-1 gene:TanjilG_11775 transcript:OIW03138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWESILLTVAATAGNNIGKILQKKGTIILPPLSFKLKIIRTYALNKTWLIGFLMDIFGALLMLRALSLAPVSVIQPVSGCGLAILSIFSHFYLKEVMNIVDWVGITLAGFGTIGVGAGGEEQEAVALSIFHIPSLAFVVFILFILLNGWLRICKRQRREQEMMEYDVVEEIIYGLESGILFGMSAVISKMGFLFLEQGFHKLLVPLCIMISVCCSGTGFYYQTRGLKHGRAIVVSTCAAVASILTGVLAGMLALGERLPSAPKARLALLLGCSTRLVRFLTCSSRFSKRSNVDKNYGSRRPGSSRAREPSPSAVIQAATLNHLLSSSSSKEKA >OIW03023 pep chromosome:LupAngTanjil_v1.0:LG10:15563289:15587583:-1 gene:TanjilG_13660 transcript:OIW03023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLTFTFDSSPRSTTPDDKYSLSAKLDGIPNQCKTAEAEDEVKGDKTTKITITSIRTQEDKNSSESSSYCQADKILNQPLKQISENETEYETGITSKDIEIGGHKIEAINDTNIEEYDLDIENEEVSNLACAGEQNLEVHEQEPSSDNSDSSHDKHASIEVISDSTEKQKITSQTNENTIPEEKEDADLQHIEQATIISEANLGLNNEVLIPNIELETENLYKDDERVTESGVKDEDKDNKCTSHSITHVEDENKDTSLIGVQNKTEGISYVEEGEWKALDSISFSNNLVYDNDNNREDKSVQGKTEDSSKASQDTGINLSNDAEEQENVPALYTGEKSDIEDGSQIIQEEAKEIEPETGRQLQETAAKGVPEQEAQSTVFTLAIVEDGTIEKTIQEKTHKEEESTTIDGDKSYTPENEHEQAAKVLEEIDLLKTTTKVRAENEEHADQKSEAQSSENMTTKHIDLDEMEKTESILKSSYDIIEQEQTPELLSYEHREINDGGKIITEEAIGVLEVQTIHPPPTIESVIVETSDKSIQEEIHNDAESAVTDTEHGQTRANEFDDKTNVLEAFELHEVNGSTSEENEKNVEPQSEASLDTIAFKHPDLQRLESTEINPSNDTEEQENVMPSGTGEKSEIKDGPQIIQEEAKEIELATEKQLPVTAIESVPEEDAPSIAATATLALVQSGITEKTIQEPILIDDEKSCTKENEHDQATKVLEVINLPETMSKISVESVEHADQKSEAQRSESVITKHVDSEEMVKMESIEKESHDVKEVEKSLELPSDEHQEINDGGKILPEEVLGVPEDKNLQSLPVIASTIVESADKSIQEEINKDVELAITYTEEGPRTEIEFEERTDVLEPRVDNKETENLQAPDIGEKLEVKDGNEILQGEAKEMEQETKKQFPEAGIESVPEQEAPSTVLTLAIVEDGTIEKTIQEKTYKEEESTTIDEDKSYTPENEHEQAAKILEEIDLLKTTTKVRAENEEHADQKSEAQSSENMTTKHIDSDEMETTESILKSSYDIIEQEQTPELPFAENWDINDGEKIITEKATGVPEVQTIQPPPTIESFIVETFDKSIQEEIDKDAKSAVTDTEEGQTGANDFEDKTNVLEASELHEVNGSTFEENEKNAEPQSEASLDTIALKHPNLRRLESTEINLSNDTEEQENVQPSGTGEKSEIKDGPQILQEEAKEVELATEKQLAVTAIESVPEDKTLQSLPVIASTRVENADKSIQEEIHKDVELAITDTEEGPRAEIEFEERTDVLEVRVDHKETENLQAPDIGEKLEVKDGDEILQGEAKEMEQGTKKQLPEAGIESVPEQEALSTVPTLAIVEDGTTEKLIQEKTHKEEESTKIDDDKSCTRENEHEQAAKVLEAIDLLKTTSMVSAENEEHADQKSEAQSSENMINKHIDSDEMEKTESSQKSSYDIIEQEQSPELPSAENREINDGGKIILEEATGVPEVQTIHPPPTIESVIVETFHKNIEEEIHKDAESAVTDTKEGQTRANEFDDKTIVPEAINLPETKSKVSAESVEHADQKSEAQRSESVITRHVDSEEMVKMENIEKESHDVKEVEESPELPAVEHQEINDGGKIIPEEVLGVPEDQTLQSLPVIASIRDENADESIQEEIHKDVESAITDTEEEPTAEIEYQERTNVLEAFDIHEEIHKDVELAITDTEEGPRAEIEFEERTDVLEAIGLPETMSKGSAENEELANNKSEAQSDENVITKHINSEETGTTEDIEKSSYDVKDLEKTLELPSAENREINDRGEIIQEEAIGVPEDQMLQPPPTIESVVVQTFDKSIQEEIHKDGKSAVTDTEEGQTSENEFEGKTNVLEAYDLHKVISSTTEENEKKAKPQSEMSLESTVLKLSNLEGLGTTELNPGNDTDELENFLASDTVEKSDQELPSVENREIKESVEITPVEAIGIPADQTLPSLPIIESIGVENVNYSMQEKVQGNSKLALSDTEEEQKQENEFEDKTNALQEFKMDELIAITCEENDKKAEPESEASIDTTVLKHPDLELLETTEVNLNNETEEQENVLASDTGKKLEIKDGPQIIDDEAKEIELATENQLPESATETIVEGGTVEKTSLEKTQEEEESKPIDDDKNYSPENEYEQATKVVEAIDVPKTTSKANAENEENAIQKSDEQSIENVNAKHVNSEEMVTLENIEKSSYDVKEVEMTPELPSADSQEINDSRKILLGEALGVPRDQTLQPLPSIAFDGVKTGDKSIQEQIHTHGESTVVNTEEGQTHQIEFEDKTKVLEAFDLLDENACTSKENREKAELQSDASTDNIVLKHLNLEALETTKLNPSIDTDEPKNVSAPDTGEELEIKDYSHIIQEEAKGVELATERQLLDAVTEREYLICTMTGVPEQEAQSTVSPLAIDEGGTIEKVNQEQTHEEEESTPVDEDKSCARENENEQATEVLEAIGLSETMSKASVQNEEHDDQKSEAQSIENLTTKHIESEEMTTKEKIEISSYDVHDLERTPELPSSENEEINEGGTNTLEEEIGVPEDQTLQPLPTAESGVDETVDKSIEEDIHKDGKLTVTDTEEGLNHENEPVENVLEESNLHEVVAITNNEIEKKVESQSESILNTTALKHTSLEGLETIEINPGIETEEPENVPVSDTGKKLEMDGGAKIIKEEENVMELTTEKQLPEASTASINEQEDLSTPVIVEGGTLEKLIQEHAHEEEESTPIDDNKNNTPDNKHEQESVVDEEIDLPKTMSTFSAENEEHADHKSEAQCSNVIARHIDSEDMVTKECIEKSTYDVKLLEKTHELPSSENQEINDSEKITPQEAIGVSDNESLQSPPTIACRGVEIVDKNIQKETHKDNESAVTETEEEHRHENEYDGKTNVLEAFDLHEVIASTSEENGEKSEPQLEVNLDTIVLKHLTDPSLEGLETTEINKSIDTGKPENVSASNTGEKLEIKDGSEIIQEKAKEMELANEFFLPETTTKSVPEQEAPSTTPTSATPEVGTLEKIIQEQTNEEEESTLEDEQENETKVLEVIGLPETMSKISAENEEHAEQKTESQSSVNTITKHIDSEETITTESIEKSNDVKELQNTPGVPQDQTLQPLTTIAYVGVESIDRSIQEEIHKDDESTVTNSREEKTHENKFEDKMKVLEGFGLHEIIASTSDENENKAEPEPEPEASLNSNVIKHHDLEELETIEINSSNDTEEPENVLASDIGENLEIKDGAEIILVEAKKIELATEKQLLETATGSVPEQKATSTMLTPEIVQGGTVDKTIQEQTREEDESTPIDDEKRCNPENEVHEESNLDKATGNEKKSNPQSDEHNLETTVIQQPNLEGHETTEIGSGSDIETEQISEIKDGGKTILEEEEKEMEDATDKQLLKSSEQSVIEDEAQTVLVPTSEFVEGETIEKSFQEETLKEEQSTAIDNDKSRRPENELEQTTEVLEPGECIAGRNFQAEAQRETSIPGTNLVSLNQIEDVDLPDVRKFESGENFATPNEDFSSSTTIDASEGPQRDESKNTLYSSSSLIPREVEKEDKAESFNRADIENTFDKNDARTNENIEEQTIDYENSLVEAKISLPIEGIVDKVKDHDVENFEATDSIEQRDIKDLSFENDPARKEAAPRDSDKVIESEAIEKLEIRELETDQIGSAKGGEGEDEFEKISPSSSDIVMIKDSQDSDVRVSPKKHHGILSGVGSKVKHSISKVKKAITGKSSHSKTSSEK >OIW03330 pep chromosome:LupAngTanjil_v1.0:LG10:8632522:8634561:-1 gene:TanjilG_03439 transcript:OIW03330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGVWWCLFSDGGRTELMACKKGTAWAIAGDRSKWQQIGRQHGKEKLQLRESGDGMSTHSLISVPFIHASSWRAGKLLSDVVFDLFDTKHSGILDFEEFARALSVFAPIDDNIECKNDQFLEGFRFL >OIW03820 pep chromosome:LupAngTanjil_v1.0:LG10:1552220:1556593:1 gene:TanjilG_30096 transcript:OIW03820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKIILSSPSPTLSPLTLFFILLLLPHPCEAEDVAIYWGQNVKEGNLSETCATAKYSFINIAFLTTFGSNQTPQLNLAGHCDPSSNGCTLIGKDIMNCQKQGIKVMLSIGGGSEGSYTLTSSEDAKNMSDYLWNNFLGGTNNYTSSRPFGDAILDGIDFVIYGTKSYWDELAGYLKSHNNNTPTKTVYLTAAPECIFPDDSMGTALDTGLFDYVWVQFYNNPSCDYAKGSIDNIVNAWNKWTTSLKGAKIFLGLPADPTAAATGYVPPDVLTSKVLPMINKSPNYGGLMLWSRYYDKMSGYSTEIQVSVLCTQQSVNTCRSHDSGFTQRYGYMSIAGVKVYDGDKNNDAKCCEIICQNNCSCDAYALVNHNNNTGCRIWGKGARLVRDSGEKGEPMMQIYFVKHKVNRWWIWLIIGIGGALSLPVIFYLCYTMWKKYKAKVDRIMMKKKLLHEIGGNAMLSMAYGKARKRKNKEKTGNEVEIFSFETIVVATNNFSVANKLGEGGFGPVYKGTLSDQQEVAIKRLSKSSGQGLIEFKNEAKLMAKLQHTNLVKLLGFCIERDERVLVYEYMLNKSLDFYIFDSTRKNLLDWGKRFNIIGGIAQGLLYLHKYSRLKVIHRDLKAGNILLDEELNPKISDFGMARIFGLRGSEENTNRVVGTYGYMSPEYAMNGVVSIKTDVFSFGVLLLEILSGTKNNSRYHPDRPLNLIGYAWQLWNEGRAPDLIDPAFNESSNENEVVRCIHIGLLCVQDHATDRPTMLEVVTFLSNDTIILPQPKQPAFFINVVVEESELPNSRQEYHTLNDNAMVFQIYAVLSRAFCTSVSSNDPPSLKGVALGGVGTGALQSILLSPVELVKIRLQLQNTDQSSTSEKGPVKVTKNIWKNEGLRGIYRGLGITMLRDAPAYGLNFWTYEYTREKLHPCCRKSSEESSSTMLTAGGLAGVSSWIFSYPLDVIKTRLQAQTPSSVKYKGILDCLRNSIKEEGYNVLWKGLGTAISRAFVVNGAVFSAYEITLRCLFNNSSIQI >OIW03520 pep chromosome:LupAngTanjil_v1.0:LG10:4406010:4407203:-1 gene:TanjilG_31033 transcript:OIW03520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIHCDVCTKNDASLFCTADEAALCDSCDHRVHHANKLASKHHRFSLHHPSSNQYPLCDICQEKKAFVFCQQDRAILCGECDVSIHSANEHTRKHDRFLLTGVKLSASAKVYSSNDSNSIKLSQSSVKNSYPITPLMPYKSTPSPASTVPKAIDGAGSTNTSSISQYLIETIPGWQVDDFLDSSSGSISFSKSNDMLPLFDADIEENLGTFSGIWVPQAPPPPLYSFSQMDKQIENRETDYGINMKGSNSSRLRDDNNFIVPQISPVSNSKRPRFLW >OIW03346 pep chromosome:LupAngTanjil_v1.0:LG10:7954597:7956006:1 gene:TanjilG_00617 transcript:OIW03346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLFNHRKKDKVVVILGATGTGKTKLAIDLAHHFPPAEIVNSDKMQVYKGLDITTNKVTKEECGGVTHHLLGIVDPNSNFTANDFCHHATSAIGSIIGRDGLPIIAGGSNSYIDALVNHHPDFRLNYECCFLWVDVSLPVLHSSLNARVDRMIEAGQVDEVREFFDPYADYTKGIRRAIGVPEFDEFLRAEATTDEKTKNKILEDAISRIKVNNCTLANLQVQKIQRLYTLWKRNMHRLDATEVFLKKGYEEVWEEHVLAKSLRVMHKFLYNDKNHVSSENDVLATVSAAMATATH >OIW03612 pep chromosome:LupAngTanjil_v1.0:LG10:3341812:3345683:-1 gene:TanjilG_06121 transcript:OIW03612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSSIPPPLPPTNVTVPAAVPFTDEPNNNHPPPPIPSPPTFTHPSYADMIYRAIEALKEKDGSSKRAIAKYIEEVYKDQLPPTSTHTTLLTQHLKRLKDNGLLQMVKKSYILPRSVPPLPQTQQSESLPAQPSRPRGRPRKIQVQEQDQPQAQLQVHQIVPFPVQTDNVVGQQNAEPVWAALGLSDEPQVQPVPVQDVVVESGVRRPGRPRKSVVGSGVGSVGPEVKTTVSPGRRGRPPGSKNKKKPGRPAKTEASAAVVSSAAASSGVKRRPGRPPKNQQATPIPFASAAEAEVPVAVAGVDVPVAVAGGDVPVVEGTKRTRGRPKKIAGAPATPVGGGVGSGRGRGRGRGRGGARGRGAGSRSSFGRPVGRPRKGTTSVSTSQNVAEHEYLKRKLEHFQSKVKESLEVLKPHFTHESPVSAIAAIQDLEVLGSMDLNAPLEDETFQQQHQQPPQQQPPPPPPPQQQPQQLLQPPPQLPQVTQQQLYPHQFPQFQQPYQPQQQQFPNQYQQPPQQFQPQLPHHRMFNP >OIW03868 pep chromosome:LupAngTanjil_v1.0:LG10:1225232:1233174:1 gene:TanjilG_30144 transcript:OIW03868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLSRELVFLILQFLDEEKFKETVHKLEQESGFFFNMKYFEDEVHNGNWDEVERYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDKHDRSKAVEILVKDLKVFATFNEELFKEITQLLTLENFRENEQLSKYGDTKSARAIMLVELKKLIEANPLFRDKLQFPNLKNSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFVDHSCGQPNGARAPSPANNPLLGSLPKAGGFPPLGAHGPFQPTPAPLPMPLAGWMSNPTTVAHPAVSGGGAIGLGAPSMPGNAMPGALKHPRTPPTNPSVDYPSGDSDHVSKRTRPMGLSDEVNLPVNVLSGTFPGHGHGHSQAFNAPDDLPKTVMRTLNQGSSPMSMDFHPVQQTLLLVGTNVGDIALWEVGTRERLVLRNFKVWELGACSMPFQAALVKDPGVSVNRVIWSPDGALFGVAYSRHIVQIYSYHGADEVRQHLEIDAHVGGVNDLAFSHPNKQLCVITCGDDKTIKVWDAATGTKQYTFEGHEAPVYSVCPHYKENIQFIFSTALDGKIKAWLYDNLGSRVDYDAPGRWCTTMAYSADGTRLFSCGTSKEGESSIVEWNESEGAVKRTYQGFRKRSLGVVQFDTTKNRYLAAGDDFSIKFWDMDNIQLLTTVDADGGLPASPRIRFNKDGALLAVSANENGIKILANGDGIRLLRSLENSLYDASRTSEAITKPTINPISAVAAAATSAALAERASSVAAIAGMNGDTRTLGDVQPRISEESNDKSKIWKLTEINEPSQCRSLKLPENVRVTKISRLIYTNSGNAILALASNAIHLLWKWQRNDRNSSGKANATMSPQLWQPSSGILMTNDINDNSSEDAVPCFALSKNDSYVMSASGGKISLFNMMTFKTMTTFMPPPPAATFLAFHPQDNNIIAIGMDDSSVQIYNVRVDEVKSKLKGHTKRITGLAFSHVLNVLVSSGADAQLCVWNTDGWEKQKTRFLQLPAGRTPPAQADTRVQFHQDQIRFLVVHETQLAIYEATKLECLKQWFPRDSSAPISHATFSCDSQLIYASFLDATICVFSASNLRLRCRINPSAYLSASVSNSNVQPLVIAAHPQEPNQCAVGLSDGAVHVFEPLESEGKWGIPPPIENGSASNVAPTPVGDSSDEAHR >OIW03638 pep chromosome:LupAngTanjil_v1.0:LG10:3067535:3073771:-1 gene:TanjilG_22295 transcript:OIW03638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEGLVKDGSLKWLLGKRSYFDEELEEMENSPSAGKNWISEISPVANLVVRRCSKILKISPSELQESFNQEASDSMKHPSQYARNFLEYCSFKALSLSTQMTGYLFDKTVRRLTFNMMLAWETPAAASQPITNKVDEDVSVGLEAFCRIAPAIPIIADVIISEHLFEVLSSSTCGRLQFPTYDKYLSGLERILFVKGEDENIYVLARGSEIRAIRKMKSNSESSLLSSIRSSRGEKILEIDGTVTTQPVLEHVGISAWPGRLILTDHSLYFEAHRVVSYEKPKIYDLSDDLKQVVKPELTGPWGTRLFDKAVLYSSVSLSEPAVFEFPELKGHARRDYWLAIIREILNVHKFISKYRMKGVARDEAIWKAVLGILRLQAIQDINSSIDIQYDTLLMFNVCDQLPGGDLILETLANMSNLRASDRENDTRAGSGMYSISASDMVSNLGFVFGTNPNNSNESRIAVGEVSVGEMSSLERAVKESKNNYKKVVSAQATVEGVKVDGIDTNLAVMKELLFPLNELRKSLQSLADWDDPWKSTGFCLLFSYIIYRGWVGYAVALVLMFFSAFMMITRYFSRDNPVSEVKVAVPPPMNTMEQLLAVQNAVSQAEQVIQDGNIILLKLRGLFLSIFPQATEKLASALLSTALILAFLPSKYIALLVFLEIFTKYSPLRKASTERWTRRLREWWFSIPAAPVILERDKEEKKKK >OIW02991 pep chromosome:LupAngTanjil_v1.0:LG10:15863843:15868362:1 gene:TanjilG_13628 transcript:OIW02991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSVIQQLLRRKLQSQSTSTSFLSSIIAKKDDAGSNGSRSLRALALIGASVSGFLSFAATASADEAEHGLACPSYPWPHEGILSSYDHASIRRGHQVYTQVCASCHSMGLISYRDLVGVAYTEEEVKAMAAEIEVVDGPNDEGEMFTRPGKLSDRFPQPYANEAAARFANGGAYPPDLSLITKARHNGQNYVFALLTGYRDPPAGVSIREGLHYNPYFPGGAIAMPKMLNDGAVEYEDGTPATEAQMGKDIVSFLTWAAEPEMEERKLMGFKWIFVLSLALLQAAYYRRLRWSVLKSRKLVLDVVN >OIW03309 pep chromosome:LupAngTanjil_v1.0:LG10:8929223:8941508:-1 gene:TanjilG_16458 transcript:OIW03309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSITSVELNFLVYRYLQESGFTHSAFAFGYEAGLNKSPIDGNSVPPGALVTFVQKGLQYFEMEANLTCQSDADLEEDFSFLKPMDLITKDVNQLTQMINERRKKRQKDKNKGLEKEHGRERGRVREKERREREKDVVKDRKNVNNDNDKEQNQMHVDRTGRDIVTDKEDMVVKLEKSGAFGGFTHSAFAFGYEAGLNKSPIDGNSVPPGALVTFVQKGLQYFEMEANLTCQSDADLEEDFSFLKPMDLITKDVNQLTQMINERRKKRQKDKNKGLEKEHGRERGRVREKERREREKDVVKDRKNVNNDNDKEQNQMHVDRTGRDIVTDKEDMVVKLEKSGAFGGPEPMDISTTLTSQPCEIPSSDVTVLEGHTSEVCACAWSPTGSLLASGSGDSTARIWTISEGRCKTGSQKPLDVVVLKHVRGRTNEKNKDVTTLDWNGEGTLLATGSYDGQARIWTTNGELRSTLNKHKGPIFSLKWNKKGDYLLTGSFDRTAIVWDVKTEEWKQQFEFHSGPTLDVDWRNNVSFATSSSDHMIYVCKIGDNHPIKTFSGHQGEVNCVKWDPTGSLLASCSDDNTAKIWNMKHDKYLHDLKEHSKEIYIIRWSPTGPGTSNPNKKLVLASASFDSTVKVWDAELGKSLYTLNGHREPVYSVAFSPNGEYIASGSPDKSVLIWSLKDGKIMRTYTGNGGTFEVCWNKEGDKLAACFADNTVCLLDFRIVRWSSGVAEVVEGVRSLELDVEDRVLVQVMMTDLEVVN >OIW03164 pep chromosome:LupAngTanjil_v1.0:LG10:13121879:13127565:-1 gene:TanjilG_11801 transcript:OIW03164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMKNDGQKQQQLLHQGSSGGRTNGFIPNSFRTISSYLRIVSSGASTVARSAASVASSIVDKDDDDDDNDHVIWAGFDKLEGEGEIIQRVLLLGYRFGFQVWHVDESNNVHDIVSRHDGPVSFMQGVPNPVGSKRSEDKFANSRPLLVVCTDGFFADGINVQDGNWSTSNSHDQVNGNYLPTTVQFYSMKSQSYVHVLKFRSVVYSVRCSPRIVAVSQSTQIHCFNATTLEREYTLLTNPIVMSCPGSGGIGYGPLAVGPRWLAYSGSPIAVSASGCVSPQHLTPSSFPGFSSNGSLIAHYAKESSKNLASGIVNLGDMGYKKISKYYSDSNGSLQPINSGNGTINGHSTDADNIGMVIVRDIVTKKIVSQFQAHKSPISALCFDPSGILLVTASVQGHNINVFKIMPGHEHMSAFDASTSHVHLYRLQRGFTNAVIQDISFSNDSKWIMISSSRGTNHLFAINPQGGYVNVQSYDDSYIAKNSGLGVTTNQAVRRPHSSASQMPQQQSLFAAGPPITLSVVSRIRNGANGWKGAVSGAAAAATGKKSSLSGAVASSFVNYNGSGALYVEGNHAKAKYHLLVFSPTGTMIQYALRTLNGQDSAVVSRIAPAYKSIPQPDSRLVVEATHKWNICQRHTRRVEDNVDIYGESGIPDSNKIYPEDVKEDGVSSPKIKNVVMKGTSDSREEQHHLYISEAELQMHKTQAPLWAKTEIYFHPMLEESTIMDEEAGSGGEFEIERIPTRMLEARSKDLVPIVDYIQKSKLQQTRNPAIDGKINEQLSRQNSQLFGNGRISPRTNFGYSQSMTNSGGAVTEVNNGIEEGTEWHNHLVPSTMMGYVNNNHTLKPSTQHEIVNNRMEHLNMEAQLISVNSDRRPESEESYFEEKRDEFY >OIW03562 pep chromosome:LupAngTanjil_v1.0:LG10:3900378:3905170:1 gene:TanjilG_30982 transcript:OIW03562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCLLSTRKDSGGNRRKPGSIGEVSVYVPGLRIPKPVDFAQSLGDYLSKNIVERLSALRTRIVVMASQEGPTITRTKRRSATQHGGSTLADLLHALEAYLPVLMGLVEDGSNLQYKVKFVWVNQEDDAEETAMSNAWYEVLSVLHLMATLLLSQANLLLLPRSSSDGNQPKVTEESIRTSVDIFLKAAGYLDCAVRHVLPQLPPELRRNLPVDLADGVLRALCLQALGQGVDIQLGVAIDSAKATIAVKRRLACEMVKYWQQAQDNIMNLPLANGWGEKHILFVKWKYVEAKAAAYYYHGLILDEGNTEKSHGMAVAALQAADEYFKESKKLCEVFNASPPLSRNPPLWGTMKYLSEKIPKDTLSKVRINRDLYSHERIMETAPTLPDFALALKPDEYQLPSVDPSWRTENIKEGQSGPNS >OIW03248 pep chromosome:LupAngTanjil_v1.0:LG10:10976936:10978682:-1 gene:TanjilG_21777 transcript:OIW03248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGQNKRLAVLVGCNYPNTPNELHGCINDVLTMRDVLVKRFGFDSTNIELLTDAPGSSSSVMPTGANIKLALARMIDRAQAGDVLYFHYSGHGTRIPSKKKGHPFGQEEAIVPCDFNLITDLDFRQLVNHLPKGATLTILSDSCHSGGLIDKEKEQIGPSSMVEKNATLEISYSPKSIPFESILQHLSSLTKINTSDIGTHLLEYFGSEASLKFRLPFFDGDLSEPLRADEGVLLSGCQADETSADMNPNKAGGKAYGAFSNAVQIVLKDNPGRLSNKDVVVEARKILKTQRFVQHPCLYCSDENANATFLCQGEFGVLNI >OIW03621 pep chromosome:LupAngTanjil_v1.0:LG10:3496637:3496930:-1 gene:TanjilG_06130 transcript:OIW03621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQGSSVFNLKPHQLAEYYMETSAGVYNDLAVDLEMTVRYKYGRLKSIRFDPPIVKCRRLSVPLISNGKPVASFNGTKCRNDYFFADRYARERWDGD >OIW03966 pep chromosome:LupAngTanjil_v1.0:LG10:562536:565150:-1 gene:TanjilG_30242 transcript:OIW03966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQGLSCRANHNEHGLFTAVQHGDLQTVATLLQADPSLLHHTTVYDRHSPLHIAAANGQIQILSRLLHGSVNPDVLNRQKQTPLMLAAMHGKIDCVEKLLEAGANVLMFDAIYGRTCLHYAAYYGHSSCLKAILSAAQYSPVAASWGFARFVNIRDGKGATPLHLAARQRRPDCVHILLDSGALVCASTGGYGFPGSTPLHLAARGGSLDCIRELLAWGADRLHRDASGRIPYVVALKHRHGACASLLNPTSAEPLVWPSPLKFISELNPEAKALLEQALMEANKEREKNILKGSAYIPSPSHSDEVDDDISEVCTIEVQNCGHQMCAQCTLALCCHNKPNPITTCLTPPVCPFCRSAIARLVVVKIENHHDIEADQDSVDVNCSKLSKSKKSRNLNDGGSSSFKGLSFGKLGGRSSGRIAAENEWVDKQ >OIW03713 pep chromosome:LupAngTanjil_v1.0:LG10:2354636:2356269:1 gene:TanjilG_29748 transcript:OIW03713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWQTYVDEHLLCDIEGNQLTSAAIIGQDGSVWAQSSSFPQFKPEEITAIVNDFAEPGSLAPTGLYLGGTKYMVIQGEPGAVIRGKKGSGGVTVKKTNQALIIGIYDEPMTPGQCNVVVERLGDYLIDTGL >OIW04052 pep chromosome:LupAngTanjil_v1.0:LG10:153654:154215:1 gene:TanjilG_24163 transcript:OIW04052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPTPSDSVTPALSYVTGFDGLIGASRMSVFPQVNNKYHEVAPFSPQGNSGLCL >OIW02953 pep chromosome:LupAngTanjil_v1.0:LG10:16247788:16249905:-1 gene:TanjilG_13590 transcript:OIW02953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKRGVKAPVVASKKKQDNKVANPLFEKRPKQFGIGGALPPKRDLTRFVKWPKTVQIQRKKRILKQRLKVPPALNQFTKTLDKNLATNLFKILLKYRPEDKAEKKERLLKRAQAESDGKPVEGKKPIVVKYGLNHVTYLIEQNKAQLVVIAHDVDPIELVVWLPALCRKMEIPYCIVKGKARLGTVVHKKTASVLCLTTVKNEDKLEFSRVLEAIKANFNDKYDEYRKKWGGGIMGSKSQAKTKAKERLIAKEAAQRLN >OIW03213 pep chromosome:LupAngTanjil_v1.0:LG10:12343659:12345685:1 gene:TanjilG_21845 transcript:OIW03213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREILHVQGGQCGNQIGSKFWEVVCDEHGIDPTGRYVGNSDLQLERVNVYYNEASCGRFVPRAVLMDLEPGTMDSVRTGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSSVCDIAPRGLSMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATADEEGEYEDEEEEPEMM >OIW02962 pep chromosome:LupAngTanjil_v1.0:LG10:16131915:16133486:1 gene:TanjilG_13599 transcript:OIW02962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTKLSISAFTLFLFLASYVRAETKGFDVTKYGAKPNSDITDALTNAWKDACGSTIPSKVMVPKATYMLKQIDLKGPCKAPIKVQVDGKILAPKNLKQLNGVDQWVKFRYINFFTLSGEGTFDGQGEMAWKHNDCGKNKNCDRLSMNFGFAFLNNSIIQDITSKDSKNFHVNVLGCNNLTFINMNINAPSTSLNTDGIHIGRSTQVKIYNSNIGTGDDCISLGDGSKQIRVINVTCGPGHGISVGSLGRYPNEEPVEGLTVKNCTLKNTDNGVRIKTWPGTPIISSVSKMHFEDIIMVNVSNPILIDQQYCPWNQCTNQYPSKIKITDVSFKNIRGTSATQEAIVLDCSSSEPCESVELNDIDLTFNGAITTAKFANTKPTIKGKLPKIVA >OIW04033 pep chromosome:LupAngTanjil_v1.0:LG10:56445:57882:-1 gene:TanjilG_24144 transcript:OIW04033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKLSSDAVREAISGIVTDSKENNRKFVETIELQIGLKNYDPQKDKRFSGSAEKIGLDYMDVEALKKLNKNKKLVKKLAKKYHAFLASEAVIKQIPRLLGPGLNKAGIYISGKFPTLVSHQESLESKVNETKAMVKFQLKKVLCMGVAVGNVSMEEKQIFQNVQLSVNFLVSLLKKNWQNVRCLYLKSTMGKSYRVF >OIW03227 pep chromosome:LupAngTanjil_v1.0:LG10:11701583:11702926:1 gene:TanjilG_13021 transcript:OIW03227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLFKMAVAIDQHHGFKPFSRSQRCKLQSFGHLDHNILGLPHTSFSYSFEQAFKADNIHRSFSTPCLSLTTLAGEDLSSSNPRIEIVSGSGAPVHALVVEVAIAMASGVHPKPLPSGLGGAYVFCNNSGNIAVAKPVDEEPLALNNPKGLGGQKLGQPGLKSSIRIGETGFRELAAYLLDHGGFAGVPPTALVKFCHTAFFSADAIAAKASHVPKLASLQRFIGHGFDAGELGPSFFSVASVHQIGILDIRLLNLDRHAGNMLVMKHNHNSYVAGVTADLVPIDHGFCLPERLDDPYFEWLHWPQASTPFSESEIEYVSKLDPFKDADVLRTKVPLLSESSIRVLVVCTIFLKNAVSVGLFLADIGQMMTREFCGGEEIPSELETICSQVMASIPIISNDENNNKGKFGCEISGISFGDLSQDHWELFLENFNKLLVGVFEDKKYRN >OIW03094 pep chromosome:LupAngTanjil_v1.0:LG10:14006780:14008473:-1 gene:TanjilG_07246 transcript:OIW03094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein HHHHHHHHHHHNPPNTSLSDLLNIAETETNEETKKKEDELEELKRLVNELQQDARRRVDAAATVRQVAKEDVKVRETLAMLGVIPPLVAMLDYHYDEEEEDRVHSLYALLNLGIGNDANKAAIVKVGAVHKMLKLIESPQGQNSLISEAIVANFLGLSALDSNKPIIGSSTAIPFLVRTLQSLDDKTSPQAKQDALRALYNLSIFPGNVSCILQTDLVPFMVNSIGDMEITERMLSVLSNLVSTQEGRKAISVVPDVFPILVDVLNWTDSPECQEKASYILMVMAHKSYSDRQAMIEAGITSSLLELSLLGTTLAQKRASRILECLRADKGKQQQVSGRLGANISAPICSSSLSYARTDGGGKECLEDEEDTMSEEKKAVQQLIHLSFQNNMRNIVKRANLPHDIIPSDHFKSLTPSSTSKSLPF >OIW03066 pep chromosome:LupAngTanjil_v1.0:LG10:14860325:14865689:1 gene:TanjilG_19346 transcript:OIW03066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHQKQLLSSLSTNRRPTILYLVFAVSIFSLFLFAIQSSFFSGSINSHRNAETIRILFQFQSTLQQCVANRGLGLTAHIVDHCKLILKYPEGTNSTWYNAQFKKFEPLEYNYNMCETILLWEQYRNMTTVLTREYLEARPDGWVHYAPLRIAQLGTKKCHNRTLCEEHLNILLPAKPPFRPRQFRTCAVVGNSGDLLKTEFGKEIDSHDAVFRDNEAPVNEKYAKYVGLKRDFRLVVRGAARNMVPILNGSDNEVLIIKSVTHREINAVIKTIPNPVYLFQGIVLRRGAKGTGMKSIELALSMCDIVDMYGFTVDPGYTEWTRYFSDPRKGHNPLQGRAYYQLLECLGVIRIHSPMRSARRQDWSDVPSREMISEANAAALRLKKSQASDIGQFGNCKVWGNVNPEKSGPISGTSDMSDVRKFSNYSKWEVMPFKSLRKEAQDHYMQMQEFASKGSFASTNIASYQSIAKNLNFIKGK >OIW03223 pep chromosome:LupAngTanjil_v1.0:LG10:11564423:11565130:-1 gene:TanjilG_13017 transcript:OIW03223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASYENLAPIDSWAFRPTLPDSWLADYIARDAQVLTKALQKSISGNDAVSVLPIFSPLNHDVSLPAPTTSTVSGLSGGSDHESAPKRRAVGATGKISKRKSRASKRSQTTFITADPADFRQMVQQVTGVRVSGSEMRTMAPVLKPEPQRLNGGSVGGVRLPVGAGYLPTLDTSAFLLDHHQQQGLGPNLGTTGFSGPGPVSFGPPIGIIDGSFGTSDIDFDTFSSFPTLESWKLR >OIW03123 pep chromosome:LupAngTanjil_v1.0:LG10:14494370:14496051:-1 gene:TanjilG_07275 transcript:OIW03123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNVPKTRKTYCKNKECKKHTLHKVTQYKKGKDSTAAQGKRRYDRKQSGYGGQTKPVFHKKAKTTKKIVLRLQCQGCKHVSQRAIKRCKHFEIGGDKKGKGTSLF >OIW02966 pep chromosome:LupAngTanjil_v1.0:LG10:16088844:16089700:1 gene:TanjilG_13603 transcript:OIW02966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIASENGDAEKDASENVSLAGDGFDTVIKAKQAVEAVCPGIVSCADILALATRDVVALLGGPSFNVELGRRDGLVSKASNVEGNLPKASFNLNQLNNMFSKHNLSQIDMISLSGAHTIGFSHCDQFSNRLYSFSSSSSSSHVDPTLDSNYAQQLESECPQNPDPSVAVALDLQTPSTFDNLYYLNLVAGKGLLSSDQVLFTDTASKSTVVDFANNPNEFNGAFVTAMRKLGRVGVKIGNQGEIRKDCTKFNS >OIW03334 pep chromosome:LupAngTanjil_v1.0:LG10:8023843:8031164:1 gene:TanjilG_15264 transcript:OIW03334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAREGNPLVLGGVIGDVLNPFTTLVSLRVCFSNREISNGYELRPSHVVNRPRVSVGGDDLRTFYTLVMVDADAPSPSNPFLREYLHWMVTDIPATTSAVFGRDVMFYESPQPSEGIHRLVFVLFKQLGRDTVFAPEWRQNFNSRSFAEINNLIPAAAVYFNCQRERGCGGRRTE >OIW03787 pep chromosome:LupAngTanjil_v1.0:LG10:1786758:1789450:-1 gene:TanjilG_30063 transcript:OIW03787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTREIDAREGALVWVRRRNGSWWPGRIMGMHEVSDTCSVSPRSGTPVKLLGHHHSTVDWYNLEKSKRVKGFRCGEYDEWIEKAKISAANLSKKALKYARREDAILHALQLESKAASHTELSDSHEDSNSAPKLPRFGLLSYEEPSQNGSSKVHSMQTRRRRTPNDSEDDGTEGTKRMRGLEDLGIGVVSNRKVQGAAGTPEIVRQDSVSLNISNTGKCLANGTYVSGGKGHSLKLKRKRSQVANIHETLRRKNRRRQLTKVLEGTVAMVSVPIICDQLPSSRSSPLCGMTDNKAAQFDSNESKRSDSSAIHNSDNTLAACENGTSVNIDDSGCEASKNSYVAKENEASGLSRLVGNVSSDKLVDVSFVGVIEEEKHNAAGKSHVDGLEQQSFHVGQSEALSSGIKDQNTGCTSSVAGHNMIVHSTEGGSSKWQSKGKRNSRLTSKNNKVSWRKHLDMNGHSHAYLAATKNSDGFSQGASEKVDQNILGAPNASYNCTSQAGCKPLVEGRLDGFRELRKHIKGTTEVKLMSDRSLTPQISLPCRQSHFIVNSNHQTIDSPGRNHCADASLCDVKLEVKSSYRPQHVPLVSLVSKVNGKAFIGHPLTVEVLDDGHYDKVLGGIGCGLEGGDTHCMAKPNSVIGRIPSKNLPHCSTKKSSKPKKSCLLSKKIRRLSSLTGHRQSKEVRKSVADKLKGPVITCIPLRVVYSRIIEAVSGQTWPTRRVLRASNT >OIW03005 pep chromosome:LupAngTanjil_v1.0:LG10:15757507:15759684:-1 gene:TanjilG_13642 transcript:OIW03005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTLSTFPSKCAHWVVPHVPIGFEMGSSSSVTDPNRRSSRVRMKLGFVFPFCHSTIVGVLHCSRISSSMFGFRFGPKYVTCFKPNMCHVGGLALLALELDENDIGSELVEEQIDSADHTSVHDVSESINSSDLDQARDSKSDEKMCGDSNGKEDDKEGCEGKIDVRALAMSLQSAKTVDDVEEILKDKRDLPLQVYSTVIKGFGKDKRMDSALILFDWMKRRKIETNGSFSPNLFIYNSLLGVVKQSEQFAAMEAILKEMAEDGITYNVVTYNTLMSIYIEKGEGEKALDMLEEIQRNGLTPSPVSYSQALFAYRRTVDGKGALNFFVEFREKYRQGEIGKDDDGENWESEFIKLQKFTIRVCYQVMRCWLVSRDNLGNDVLKFLTDMDNAGIPLGRAELERLVWACTREDHYYVVKDLYKRIRERHDKISLSVCNHVIWLMGKAKMWWAALEIYEDLLDKGPNPNNLSYELIKSHFNILLSAARKRGIWKWGVRLINKMEDKGIKPGSKEWNTVLIACSKASETTAAVQIFKRMVENGEKPTVISYGALLSALEKGKLYDEALRVWNHMLKVGLKPNAYAYTIMASIYTAQGNFGRVDAIIREMATIGIELTVVTYNAIISGCARNGMSSAAYEWFHRMKVQNISPNEITYEMLIEALANDGKPRLAYELYIRTQSEGLVLASKAYDVVVQSSRDYGATINISALGPRPADKKKKLQVRKENID >OIW03295 pep chromosome:LupAngTanjil_v1.0:LG10:9711647:9712450:-1 gene:TanjilG_09942 transcript:OIW03295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIQFQQPKLQHQKPSISVINNKVGKFKGRSRNNNNTNKFVGVRQRPSGRWVAEIKDTTQKIRMWLGTFETAEEAARAYDEAACLLRGSNTRTNFITHVSMDSPIAFRIRNLLKERKGVKEKQEDIVDVGTNISSASPNTNSCNNNNDKNASSISSVTTPNSTKLFDDDFESDFSNCRVESESNFSWAFGQVFDCFPFAQMLDIPKIDGMIDTQHLELSEFERMRVERQISASLYAINGVHEYMEAVKDSNESLWDLPPICSLFYST >OIW03924 pep chromosome:LupAngTanjil_v1.0:LG10:856949:862641:1 gene:TanjilG_30200 transcript:OIW03924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAMTQQRESNSSGGSSIDKHLDSGKYVRYTAEQVEALERVYTKCPKPSSMRRQQLIRECPILSNIEPKQIKVWFQNRRCREKQRKEASQLQTVNRKLSAMNKLLMEENNRLQKQVSQLVSENGYMRQQLHTPSARATDGSCDSAVTTPQHSMRDANDPAGFLSIAEETLAEFLSKATGTAVDWVQMPGMKPGPESVGIFAISESCTGVAARACGLVSLEPTKVAEILKDRPSWFRECRSLEVFTTVPAGNGGTIELVYTQTYAPTTLAPARDFWTLRYTTTLGNGNLVVCERSLSGSGAGPNAAAASQFVRAEVLSSGCLIRPCEGGGSIIHIVDHLNLQAWSVPEVMRPLYESAKMVAQKMTIAALRYIRQIAQETSGEVVYALGRQPAVLRTFSQRLSRGFNNAVNGFNDDGWSVLNCDGVEDVIIAVNSTMNSNGTSNPASSLTFFGGILCAKASMLLQNVPPSVLIRFLREHRSEWADFNVDAYSAAALKADSYAYPGMRPIKFTGNQIIMPLGHTIEHEEMLEVIRLEGHSLAQEDAFVSRDIHLLQICSGIDENAVGLCSELIFAPINEMFPDDAPLVPSGFRIIPLDSKPGDKKDVTTANQTLNLTSGLDVGPSANNAAGDASSCYSNRSVLTIAFQFPFDSSLQDNIALMAIQYVRSVISSVQRIAMAISPSGINPAIDAKSSPGSPEALTLAHWICQSYSYHLGMELLRFDALVGESMLKHLWHHQDAILCCSLKSLPVFVFANQAGLDMMETTLVALQDITLDKIFDESGRKTFFADFAKLMQQGFTYLPSGICMSTMGRHVSYEQAIAWKVYAEGDYNNVHCLALSFINWSFV >OIW03754 pep chromosome:LupAngTanjil_v1.0:LG10:2039595:2039789:1 gene:TanjilG_30030 transcript:OIW03754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPKQIHEIKDFLLTARRKDARSVKIKRSKDVVKFKVRCSRYLYTLSVFDSDKADKLKQSLPPG >OIW03597 pep chromosome:LupAngTanjil_v1.0:LG10:3217568:3218250:1 gene:TanjilG_06106 transcript:OIW03597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYESASRNLTELESLGCTLVHKVNVHTMMHHPLLIKFKDFDRIVFNYPHAGFIGRREFEYRQINEWKIVELAECEELILVGEVFFNPLRYPGYINKKGDGPHCDHTFPIGESYTFIFAMAST >OIW03022 pep chromosome:LupAngTanjil_v1.0:LG10:15598901:15600776:-1 gene:TanjilG_13659 transcript:OIW03022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNILCDELLEEIFQKLPQSSSSSLSLVSKRWLHLHRSSTTSLSLHLSHHSSLSIILSHYPFLSSLYVNPSSSSSSSTTTIATPFTLSSHLLSVVSSSCLNLRVLGFHVGPVSLSSLTSLSKACTFLTSLSVTLPRPIFLKWVLSFPSLKHLSIEFHEAEVGNQHGVMESYDFDDDDDGDGELGLESVCFVGIHGDDYGVGWLWRRCKKLKRLKLQKCQGIGGSYSCFVKCLEGLEDVEIRTCRAVADLVLLKLAENCGSLNSLSLYDGGGSREALLYFFSQCSSNLNKLDLRLPMDLDNNHLQSMAMNFRGITSLRLQSCYLLSGEGLKAIGMGMSDGLEELALINCDVVGREYGLLATLGQHLRRLTKLDLSHNETLLDKDLISMLVSCVHLVDLRLRGCKRITGMAMVSMLRSCKRLENVDVMNCFGIESEAIEMFIKKSPRLRRIEVDGSKVSDAVTMFASNRFIEVIA >OIW02977 pep chromosome:LupAngTanjil_v1.0:LG10:15993376:15993645:1 gene:TanjilG_13614 transcript:OIW02977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENTTDNEKKEFKQVIDDILPKSAHGTRYISRANSACRSEKTIDDDHVSIRGKSVKSAQRCFPSMGLCRSISERRKKTSPAIAANGSIN >OIW04015 pep chromosome:LupAngTanjil_v1.0:LG10:268014:271340:1 gene:TanjilG_30291 transcript:OIW04015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSIIMGTSTRTLEVQEKQLWLGSNAISKRFERERKTLLKNNVFFTKHHQQQQRALCMAFKPAFAQPQAPIAPSYGDPMLANYVPVYVMLPLGVISNDNVLQDREGLKNKLRMLRAAGVDGVMVDVWWGIVEAKGPQNYDWSAYRDLFQLVQECRLKLQCIMSFHQCGGNVGDSVVIPLPQWILQIGHSNPDIFYTSRSGYRHKECLSLGIDNMPLFHGRTAIQMYGDYMRSFRVNMEDFLVSELIIDIEVGLGPAGELRYPSYSESMGWEFPGIGEFQCYDKYLEADFKEFSARQNHPEWTLPTNAGGYNDTPESTGFFRSNGGTFGTDEGKHFLAWYSTKLLIHGDQVMDQANQVFLGCKVKLAAKVAGIHWWYNTQSHAAELTAGYYNLYGRDGYRPISKMLSRHNAILNFTCLEMRNREQIAEALCAPQELVKQVLSGAWKENIEVAGENALPRYDCDAYNQILLNSRPNGINRNGPTLRLYGVTYLRLCNELMQRTNFDIFKTFVRKMHADMGYCPNPEQYSHYTVPLQRSDTNVPVSVFLERTIAEAPYNWSEETDMSVHASGLLGYLLAIILCIFKRGWK >OIW03132 pep chromosome:LupAngTanjil_v1.0:LG10:13737487:13739023:-1 gene:TanjilG_11769 transcript:OIW03132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYDCYVFFPGATKKKKLDWDLRLQIALGAAQGLAYLHHDCCPRIIHRDVKSSNILLGADFEPHLTDFGIAKSLCPSKSHTSTFIMGTIGYIDPEYARTSRLTEKSDVYSYGVVLLELLTGRKAVDNESNLHHLILSKTASNAVMETVDPDITATCKDMAAVKKVYQLALLCTKRQPADRPTMHEVTRVLGSLVPSTTPPPKQSAPIPLASHQSTKVPCYKDEYANLKTPHLVNCPSMSTSDAQLFLKFGEVISQNTNKVAVVKVKGLGPMVQGGVATF >OIW03607 pep chromosome:LupAngTanjil_v1.0:LG10:3295953:3299313:1 gene:TanjilG_06116 transcript:OIW03607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIILWRKEIGDEAKKQLWLAGPMVCVSVFQYSLQMISLMFVGHLDELLLAAAALATSFVSVTGFNVLMGLSSVLDTFCGQSYGAQQYEMVGIHTQRAMLVSILVTMPVSFVWFYLKPILVLLHQDHNISAQAELYARYLIPCLSANAILRCIIKFLQTQNIVFPMVLATGVTTLVHLLACWALVLKFRLGMKGAAIATCISNWFNTIVLALYIKFSPSCKSTWTGFSRKSLHNIPQFLKLAFPSTAMVCLETWMFEMMVLLSGALPNPKLQTSVLSICLTTSGIFWMIPFGISAAGSTRISNELGAGRPKAAYLAVKVSLFIAFIMAILEFVFLMLVRNIWGRAFTDLHEVVTYVTSMMPILASSAFLDSIQTAFQGIARGCGWQKLGAFVNLGSYYLLGIPVAVVLAFVLHMKGEGLFIGIVLALMVQVVMFLLVTIRTDWEKEVSHILIFNSVLLSTLDFNRDIFIVQAKRAAIRVHDSDQIVTLS >OIW03105 pep chromosome:LupAngTanjil_v1.0:LG10:14129491:14134523:-1 gene:TanjilG_07257 transcript:OIW03105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKVCSPYFDPDFDTLLERIYGPPCRVTIDNESMEGCTVVKVDSINKQGLLMEVVQVLTDMNLQICKSYISSDVGSWFMDVFHVRDEHGNKLTDQKVINYIEQAIGKSKGSSSSSNNNNTSTMSKSSYSNNNMVIPYVEQHTAIEMIGADRPGLFSEISAALVDLHCNIVEAHAWSHNARLACVAYISDQSTLSPIDDPCRLASIEDHLTTVLRATKNPNEGGGTNHPDVKTSEFLGGEGTMTTVERRLHQLMLSVRDFEDPSMEMGRKRMVTIDICEEKGYSIVGIECKDRPRLMFDTVCTLTDMQYVVFHASISSHAGYAFQEYFIRHIDGYALDTAGEKERVIKCLEAAIERRVCEGVRLELCADNRVGLLSDITRVLRENGLAVVRADVETHGQKSVNAFYVRDISGNDVDIEYFSKSMKREMGSIVTLHVKNESTTSSSSRRIKTTSSNQTSHLSFGRKLRSGIERLSHGFMP >OIW03964 pep chromosome:LupAngTanjil_v1.0:LG10:575098:575853:-1 gene:TanjilG_30240 transcript:OIW03964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQGNYAKGLFYCGFLKTPFSFHATTNTSVSVTKESETKSNEVSDLELGAEKNDMLVKSFGEESVESELMRLHNLAGPPRYLFTIKEETKEDLEGEDEEKSRTKKGSRRRRRRSLSDLMLLIDTPLSTSPLKSFLHPLDSYKQQGFDPLFESSSAKSELSNMFSPSSPPSKFKFLKDAEDKLYTRLVEEIRKKTLKNHGVEDSPNAKTTNKKDKEFQQNLLQFPSSSLSQVHPLESSSTSFIPLEKESMVH >OIW03969 pep chromosome:LupAngTanjil_v1.0:LG10:545860:547713:-1 gene:TanjilG_30245 transcript:OIW03969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHPMFISKNVSTNGSDLSKDKASVVLVPRSEGEILQSSNLKSFTLAELKIATKNFRPDSVLGEGGFGSVFKGWIDENSLAPAKPGTGIVIAVKRLNQDSIQGHREWLAEVNFLGQFSHPHLVRLIGYCLEDEHRLLVYEFMPRGNLENHLFRRGSYFQPLSWSLRLKVALDAAKGLAFLHNAENKVIYRDLKTSNILLDSNYKAKLSDFGLAKDGPTGDKSHVSTRVMGTYGYAAPEYVATGHLTTKSDVYSFGVVLLEMISGKRVVDKNRPTGEHNLVEWAKQYLANKRKIFRVLDSRLEGQYSIDEAYKVATLALRCLSTEIKYRPNMDQVVAVLEQLQVRNVNGRNQSPSVNRSRARQNSADDANHGRVPTAYPRPSASPLYA >OIW03471 pep chromosome:LupAngTanjil_v1.0:LG10:5025563:5026176:1 gene:TanjilG_14696 transcript:OIW03471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMCITRPKKLGNDSVSQPDDSSGNAAKNHGVKSLASQIKDMALKSSGAYKYCATCTGPPTHGGGISSNTESDVESERLKGISSGEGTSNSGSEQRREPVVLFVEENEPKEWVAQVEPGVLITLVSLPRGGNDLKRIRFRYVNSLVGSDGGICC >OIW03189 pep chromosome:LupAngTanjil_v1.0:LG10:12668104:12673894:-1 gene:TanjilG_11826 transcript:OIW03189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSRTTKINVNNTEDTNNVFNKMPSYAPSLPSSNSMGIEENNVHPSRISDFGALEQSLGFHVEDTINHSRNPVFSQMKSNSQALGADVQFGALNKSFATPDIDLSAAIAGTQTFARQRDSQLNLTPMSGGHRENWGESNMADASADTSTDDTDDKSQRAERGESSDRSKDKSDQKTLRRLAQNREAARKSRLRKKAYVQQLESSRLKLTQLEQELQRARQQLGVACDFELTYYLVAWMGMGQGLCAMAFDVEYARWLEEHNRHTNELRAAINSHAGDIELRTIVDNFMTQFDGIFRLKGVAAKADIFHILSGMWKTPAERCFLWIGGFRSSELLKLLVNELEPLTEQQVMGIYNLQQSSQEAEDALSQGMDALQQSLAETLANGSPNSSASSGNVANYMGQMAVAMGKLGSLEGFLRQADNLRQQTLQQMLRILTTRQSARALLAISDYFSRLRALSSLWLARPRD >OIW03727 pep chromosome:LupAngTanjil_v1.0:LG10:2264796:2265353:1 gene:TanjilG_30003 transcript:OIW03727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKAYTQEYIYKHPWERVTSASWRKFVDKENKRILSHILEVDTLNHSLDSSSGKLCATRAITIHCPGPWFVRKIVGQDICHCLESTVVDARSRSMQLISRNISLQKFIEVEEKTRYDPHPDNPAGWTICRQETSIRIKPLSALASMAEKVEQKCAERFLQNSGKSREVMERICKYLEAESSGFAL >OIW03475 pep chromosome:LupAngTanjil_v1.0:LG10:4990709:4994862:-1 gene:TanjilG_14700 transcript:OIW03475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDSESQKLSLFYESGIYYFDDSNAVFVDPVRVLNRSYNGFRVSPSAYYPRFFESSIPKPISTVTSSPTKRKRKRKRIAKEPPSLNDRELIAVQRHQEARPLLLKGHECLLQSAELLEALSTLKNDSGCCKRECQGAQHSFIELRQEAPLLEVMLNLRLDAPEQVPNDLEDSPSVQCCEHIVLPAFNNLVANDTKVEAVAEILNNRYIIPRESCFYMSDLGQLRNLIPAHADSGFNLIMVDPPWENASAYQKSRYPTLPNRYFLSLPIKQLTHTNGALVCLWVTNREKLRSFVETELFPAWGVSFAANFYWLKVKANGSFICDLDFFHHRPYEVLVLGYSPGKVNSSGNHSSFKPLKDDHVIISIPGDYSRKPPIADLLLDYVPGLKPPRCIELFAREMMAGWVAWGNEPLHFQDSRYFVER >OIW03193 pep chromosome:LupAngTanjil_v1.0:LG10:12573276:12577570:1 gene:TanjilG_11830 transcript:OIW03193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWALRRASLPLRNRVYSVRASCVKLIPTTYTEDEAGISEPPQVAYGGLMSLKKFYHSGHASSKFNVSRRELSSQADANSTKEEDDLLQDGFSELETPAAGDGVENQNLLTSDTYLSDDSEDVEGPQNELELSDTDIDDSTEKKSRKGRAESEIFKEISNANTPSISSVLDKWLEEGKELSRQDISLAMLNLRRRKMYGRALQFLEWLESNKQLEFLERDYASRLDLIAKSRGLRKAESYIETIPESFRGEVIYRTLLANCISQNNLKKAEEIFNKMKDLEFPLTQFVCNQLLLLYKRNDKKKIADVLLVMESENIKPSPFTYKILIDTKGQFNDIAGMDQIVESMKAEGIEPDIGTKAVIVGHYISAGFEEKAENVLKEMEGENLKKNRWVCRSLLPLYANLGKADEVGRIWKVCESNPRVEECLAAIEAWGKLKKIDEAEAVFEMMSKKWKLSSKNYSVLLKVYANHKMVTKGKDLIKRMADSGCRIGPLTWDALVKLHVQAGEVEKADAILQKAIQQNQVKPMFPTYFSILEQYSKQGNVHNSEKIFYGMKQAGYASRARQYQALIQAYVNAKIPAYGMRDRLRADNIVPNKVLANLLVQVDGFRKNPLSDLLD >OIW02958 pep chromosome:LupAngTanjil_v1.0:LG10:16187387:16193221:1 gene:TanjilG_13595 transcript:OIW02958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTEISETLLLDPSHDDGEESEHVPEWKEQITIRGLVVSAFLGTLFCIITHKLNLTVGIIPSLNVAAGLLGFFFVKTWTTFLYKLGFFTKPFTRQENTVIQTCVVACYGLAFSGGFGSSLIAMDQKTYELIGPDYPGNRAEDVKNPSLGWMIGFMFVVSFLGLFSLVPLRKVMVLDYKLTYPSGTATAMLINSFHTKSGAELAGNQVRQLGKYLSISFFWSCFKWFFSGIGDSCGFDNFPSFGLALFKNTFYFDFSPTYVGCGLICPHLVNCSVLLGAIISWGFLWPYVSTHAGDWYPADLGNNDFKGLYGYKVFISIALILGDGIYNLVKIILITAREMWRASSRRNSLPVVTEILDDESSQQQSEKKRKDEIFLKDGIPTWFAASGYVGLAAISIATIPIIFPPLKWYLVLCSYILAPFLAFCNSYGTGLTDWSLASTYGKIGLFIIAASVGPNGGVIAGVASCAVMMSIVATAADLMQDFKTGYLTLSSAKSMFVSQLIGTAMGCVIAPLTFWMFWTAFDIGSPDGPYKAPYAVIFREMAILGVEGFSELPKHCLAMCGGFFVAALAINLIRDVTPKKFSQYIPIPMAMAVPFYIGAYFAVDMFIGTVILFVWEKLNRKGSEDYAGAVASGLICGDGIWTIPSAVLSILRIDPPICMYFGPSTSS >OIW03546 pep chromosome:LupAngTanjil_v1.0:LG10:4114034:4116084:-1 gene:TanjilG_30966 transcript:OIW03546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNKCEYTVWPGILSNAGIPPLSTTGFVLQTGESTTITAPTSWGGRFWGRTHCSQDSTTGIFSCVTGDCGSGEIQCSGNGATPPATLAEFTLDGAGGLDFFDVSLVDGYNVAMLVVPNGGSSAGKCSSTGCIEDLNGACPSELKVMSVDGNEGVVACKSACEAFGSPQYCCSGAYSTPDTCKPSSYSQVFKTACPRAYSYAYDDKTSTFTCQNADYTITFCPVPSTSQKASQGQNTNQDSSSPSTLLNNGTMVYEGAADQSEISWATCTHVFQSHAIAGIMSITMVIWHLCHFF >OIW02980 pep chromosome:LupAngTanjil_v1.0:LG10:15970300:15970728:1 gene:TanjilG_13617 transcript:OIW02980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEINKLSQYESVFNHFDKNGDGKISPPELQQCVEAIGGTLSDEDAEEAVRIMDSDGDGLLGLDDFVRFLEEGKEEEKVNDLREAFKIYEMDGSGCITPKSLKRTLSRLGESRTIDECQVMISYFDLNRDGVLSFDEFKVMML >OIW03239 pep chromosome:LupAngTanjil_v1.0:LG10:10856378:10860445:1 gene:TanjilG_21768 transcript:OIW03239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVANFGEKGVGGAMVGDRNLHMGSPSSGNIAHDMKQIRLYQVWKGGNKFFCGGRLIFGPDVASLFLTIFLIAGPAFAFCLKIYLKIRDGSDDANCYPVLAVGLILTALDLIFLLLTSGRDPGIVPRNSKPPEFDDAFDMTTPSMEWINGTTPHLKLPRTKDVIVNGHSVKVKFCDTCLLYRPPRTSHCSICNNCVQKFDHHCPWVGQCIGMRNYRFFFMFISTSTILCTYVFVFSWISLAKHGILKTMAHDYVSDFLIIYCFLAVWFVGGLTAFHFYLICSNQTTYENFRYQYDKKRNPYNKGTLRNIGETFFSSIPVSMHNFRSFVVEDEHTTVESMTPNIMDGMLSSKEKIDIEMGSMRAEDGGVPIPKLLQNFDFDSFEHGMKFADEEEQFVDEEGQPSFDPLYSIEDDIKDSARTSVATVLNFHSITEDGKKETLQSSNDGANVGEPSERPSNADRTNAIEESDHRNRSS >OIW03832 pep chromosome:LupAngTanjil_v1.0:LG10:1480732:1482051:-1 gene:TanjilG_30108 transcript:OIW03832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAENVLQPSKKRAAGRELTRDTPIDDEEDAPELETGTFKKASDEVLATRRIVKVRRPQTNSAPNPFSGIRLVAPTGSGANPAEATTEVQSAVENTVADDSKGNDGITKDSEEAKDGKAKQLESKTDVVESESAANKEAAEETNASKEHAAEKESTADKSELDKEPTKDVNKSENEDKNDAAHESADEVDKGQSKDNNVSENDDKKENTENVDKKDDKAESGEPSAEGGNMKSFLQLSSSQNAFTGLAGTGSSTPFSFGSISNDKPFGLSLSTNGSSVFGAPGSSAAFKPEGNGITALKEVVVETGEEKEKVVFNAYSILFEYVDGSWKEKGKGDLKVNVTSETEKSRLLMRSKGNYRLILNARLYPEIKLTDMEKKGVTFACINSATEEKGGLSTFALKFKDGSIVEEFKAAVLAHKGETSSTIIKTPENSPKATNE >OIW03524 pep chromosome:LupAngTanjil_v1.0:LG10:4362832:4368341:-1 gene:TanjilG_31037 transcript:OIW03524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTSLLLLPILLAILTLSLPMLALADNGSWQLLQKSIGIVAMHMQLLHNDRVVIYDRTDFGLSNLSLPNGRCRQNDKELAVKTDCTAHSVEYDVTSNRFRALFIQTNVWCSSGSVSPNGTLVQTGGFNDGERKVRTYSPCSTCDWQELENGLVARRWYATNHKLPDGGQIIIGGRRQFNYEFYPKTEATAKNTYSLPFLVQTSEPRTENNLYPFVFLNVDGNLFIFANNKAILFNYTNNVVVKTFPQIPGGDPRSYPSSGSAVLLPLRNLQGAILEAEVLVCGGAPKGSYEQASKGHFIGALNTCARVKVTDQNPNWVMETMPGGRVMSDMVMLPNGNVLIVNGAGSGTAGWTFGRNPTLNPIIYKTNSPLGSRFELQNPSSIPRMYHSTAVLVRDGRVLVAGSNPNVYYNFTNVIFPTELSVEAFSPSYLEPGFDNLRPRIMSPTSQSQIMYGQILEVQFQVKGTLSQNLVLVTMLSPPFTTHSYSMNQRLLVLEPKSVTNVNGTLYQVVVTTPGSPILAPPGFYLLFVVHQDIPSEGFGTTDPCPYGCRYATNHKLPDGGQIIIGGRRQFNYEFYPKTEATAKNTYSLPFLVQTSEPRTENNLYPFVFLNVDGNLFIFANNKAILFNYTNNVVVKTFPQIPGGDPRSYPSSGSAVLLPLRNLQGAILEAEVLVCGGAPKGSYEQASKGHFIGALNTCARVKVTDQNPNWVMETMPGGRVMSDMVMLPNGNVLIVNGAGSGTAGWTFGRNPTLNPIIYKTNSPLGSRFELQNPSSIPRMYHSTAVLVRDGRVLVAGSNPNVYYNFTNVIFPTELSVEAFSPSYLEPGFDNLRPRIMSPTSQSQIMYGQILEVQFQVKGTLSQNLVLVTMLSPPFTTHSYSMNQRLLVLEPKSVTNVNGTLYQVVVTTPGSPILAPPGFYLLFVVHQDIPSEGIWVHIH >OIW03286 pep chromosome:LupAngTanjil_v1.0:LG10:10153360:10169160:-1 gene:TanjilG_09933 transcript:OIW03286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRHPEVLWAQRSDKVYLTIALPDAKDVSIKCEPHGLFTFSASHVQGDSYSFTLELYGPISPEGCKNKTGLRNILCSVQKAQKGWWKRLLKSEEKPAPYLKVDWHRWCDEDDEESASDADDDDARGNSTHLKSLLFTMDESFSLLQMYHPFCKLDTTVEKLVEEFEAGWNPDYKGNYCKNLVEFCSGMALSVMCHNIEEKINDGSFSRLTYDMMLAWERPSYYDEHDPNPTETIAKEKEERSIAVNAAKEQEDIPLFYSDIMPLLVTNEPSIGEDAFVWLGSLVPLVSDVANGRFTFETLTATTGFRLHFPAYDMFLKEMDKCIRHLQKQATPTGVELADDEYILHVEGTASSQRVVRHIRGTSWPGRLTLSNYALYFESSGVIKYDDALKIDLSKDIEQSVKPVATGPWGAQLFDKAIVYNSADLSEDIVIEFPELTSSTRRDHWLALIREIMFLHQFLSKYNIKSSIQSWEMHARTMLGIIRLHAAREMQRISPPVPTKFLIFSLYNEVPKGDYVLEEIAENLNKINSGHSCSASSILRNMNISRNIVSDVIVEEATQADDSPLVLDDSPLVLDDSPLVLDDSPSLHTAIDQSREEEKEVLIAKATTEELKDEGVTDAVLVLTELLKPLKSVVPKFQEIFTWERPRNTLAVLAASLIITFMEWVGKAIAAFLIWVVVMMLELRRKRINEKCNELVISRSSMSSDQSTMESIVSAQHGFYTLHEMLQIANIAILKIWSILISKADKHANTVMVAMIGAAVLLAVIPFKYFLMGAILQSFIMTYPKTGKSSGPGNRRLKEWWDSIPIVSVRVAD >OIW03968 pep chromosome:LupAngTanjil_v1.0:LG10:552338:554713:1 gene:TanjilG_30244 transcript:OIW03968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWNRVSGSLSTPTVDVAIDMGNPFLNLTLDAFLKIGTVAATRAAAEDTFHVIQKGSISSNDFEKTLKKMCKEGAYWGTVAGVYVGLEYGVERIRGHRDWKNATIGGAITGALASAASNNKKESIAIAAITGAAVATAAEFINYLT >OIW03712 pep chromosome:LupAngTanjil_v1.0:LG10:2362435:2368898:-1 gene:TanjilG_29747 transcript:OIW03712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVGEDNVSSVKRQLTQLFEISLRETVPGEPDVVPLVDSCSEKSGVKHGDYQCNNAMVLWAKIKGKQTGFRGPPAVGQRVSLGATIRLLPRNLEPTSSNPEKETASPLAEAIMKNLPPSEIIESCSVAGPGFVNVVLSKNWIAQSLHRMLIDGIDTWAPRLPLKRAVVDFSSPNIAKEMHVGHLRSTIIGDTLARMLEFSHVEVLRRNHVGDWGTQFGMLIEFLFEKFPNPEEVNEAAIGDLQAFYKASKLRFDSDSEFKLRAQQAVVRLQGGEIRYRNAWKQICEISRTEFHRVYERLGVQLEEKGESFYNPYIPSVLEQLGNKGLIEESEGARVIFVDGVKIPLIVVKSDGGYNYASTDLTALWYRLNEEKAEWIIYVTDVGQQQHFDMVFKAAKRAGWLLADDSLYPKATHVGFGLVLGEDGKRFRTRNTEVVRLVDLLDEAKSRSKTAVLERDAAKDWPEEEVDKTSEAVGYGAVKYADLKNNRLSNYTFNFDQMLNDKGNTAVYLLYAHARICSIIRKSGKDIEQVKKNGKIVLDHDGERALGLHLVQFSEVVEQACTNLLPSTLCEYLYDLSDIFTKKFYSNCQVIGSPEETSRLLLCEATATVMRKCFYLLGIEPVYKI >OIW03934 pep chromosome:LupAngTanjil_v1.0:LG10:784721:786631:1 gene:TanjilG_30210 transcript:OIW03934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPEELFSLPSNMRNTLDIQLHHPNSSPISNPTSNQAKKKRNPDAEVIALSPKSLVATNRFICEICKKGFQRDQNLQLHRRGHNLPWKLKQRNKQEVIKKKVYVCPEKSCVHHDPCRALGDLTGIKKHFSRKHGEKKWKCNKCSKKYAVQSDWKAHSKICGTKEYKCECGTMFSRRDSFITHRAFCGALAEQSARITTSVPAVFNFRNDHLINTQAPRNHLSLHGFHQEFDVSGPEPLMENFMDAERGQKLGLPLWLDQANSNANEVVQTMNRNMFGSSQQAQFLNYRYPEPEASFTSGNLLSLPGAMSQGLKQKQEENKVNLSHSFSSLHSSDQNLQGVPTHISTRINDNTTFNSSNNLFGLNSNIVEMQTILKHGNQQGGNFNNMVVSSLNDTNNCSSFGNLDHIVMPVDEEQLGLTRDFLGVGDETLRTPFLHQDLDKFNPIESVLNLQSQFGGHHNMMK >OIW03437 pep chromosome:LupAngTanjil_v1.0:LG10:5451497:5460027:1 gene:TanjilG_14662 transcript:OIW03437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSDKQSGFLDTLKMERVRNILTHTYPYPHEHSRHAVIAVVVGCLFFISSDNIHTLVEKLDNNIKWWSMYACLFGFFYFFSSPFIGKTIKPSYSNFSRWYIAWILLAAVYHLPSFLSMGVDLKMNLSLFLTLYVSSIVFLLAFHIIFYGLWYIGLVSRVAGKRPQILKILQNCTVLSVACCVFYSHCGNHAMLRGRPLDRRNLNWFSAWKKEERSPWLAKFLRMNELKDQVCSSWFAPVGSASDYPLLSKWVIYGEIACNGSCHGSSDEISPIYSLWATFIGLYIANYVVERSTGWALTHPLSVKEYEKLKKQMKPDFLDMVPWYSGTSADLFKTVFDLLVSVTVFVGRFDMRTMQAAMSTDHDGNQQGDLLYDHFSEKGDFWFDFMADTGDGGNSSYAVARLLAQPSLHTLKGDSVHTLPRGDLLLIGGDLAYPNPSAFTYERRLFVPFEYALQPPPWYKAEQIAVNKPEVPFGAQLKQYNGPQCFIIPGNHDWFDGLQTFMRYICHRSWLGGWFMPQKKSYFALQLPKRWWIFGLDLALHGDIDVYQFKFFSELVKEKVQEDDCVIILTHEPTWLTDWYWNDVTAKNVTHLISDYLKGRCKLRMAGDLHHYMRHSHVKSDGPVHVHHLLVNGCGGAFLHPTHVFSKFKKHNGVSYECKAAYPSFEDSSRIALGNILKFRKKNWQFDFIGGIIYFVLVFSMFPHCQLNHILQHDSFSGHLRSFFGTVWNGFIYILEQSYVSLAGSILLLITAYSFVPSKVSRKKRAIIGVLHVSAHLCAALILMLLLELGIEICVRHKLLATSGYHTLYQWYRSVESEHFPDPTGLRARIEEWTFGLYPACIKYLMSAFDVPEVMAVSRNNICKNGLQSLSRGGAMIYYASVFLYFWVFSTPVVSLIFGSYLYICINWLHLHFDEAFSSLRIANYKAFTRFHVKSDGDLEVFTLAVDKVPKDWKLDPDWDGEMKHPQQLSHLRRFPSKWSATTPHQDPLQTVKIVDHFVIERTDKNLSGNADKNLSGNANKNLSGNAEKNHSGDADKESVQ >OIW03036 pep chromosome:LupAngTanjil_v1.0:LG10:15383725:15387837:-1 gene:TanjilG_20964 transcript:OIW03036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPNNELGAVVPTAEELNYTIEFRSYNDDAWYTVAVFIEGSTLRVKYLNFSDENDDVFKSTDFKTLKEKNEFKGRFRQLSKQLQDNECRKLVKGVKVCASHSFTETDFKFYDAVVDAVQVKEHSTEQEEELCLCAFVLFWLHGPIAGNLTAAKIENICIVQPKMDDNPSVVSFLKIASESKDYTSSYSSPMSNGVSGRDMVAYCIEGSNNAHRLGFFERLKKAITCHDRRTEDTDLGGIKTPCMILVGNLDRELCSSTVTEFLHEHTSVSPNVFIFPSLSSEIYTRGAILLDSEKDFQKLCVFLSNPNCMITSSAGRPWVIIEKLVGLKKIKASIRTLLPTSKIIVQKNRNSGTNNDLKVVRKGTKEFEIASNLRDLFLAFSSHQERLYKRLALEEAKIYAARSFLNLPNRI >OIW03174 pep chromosome:LupAngTanjil_v1.0:LG10:12920215:12923500:-1 gene:TanjilG_11811 transcript:OIW03174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDDQFQASGNWWDSARNLRFESGESQSSSSCITNIGNFTWQQQDVAETTQKLEPPNHHSATSSDPNMHMMDLGLSSQAMDWNQASLLGEKAAESSFRSMLQETMSSPRTNIQQESGVGLSQQVQWKSQKLFTEEYSTNEFKQVNKGFSLDQNQFTPQYSTGDSNLTSQAGLHSTFQVDSSALYGTPSSILQGVLLGPDNNNSSVNFPYPKSYGSNSSNHELVPSWSKVPQFLRASSPPKQPPSSFFPPLQTPFSMPNFDVQSKNISEVRDSGTVVKKSGNESASKRPRNETSSPLPAFKVRKEKMGDRITALQQLVSPFGKTDTASVLSEAIEFIKFLHQQVNVLSAPYMKSGAPVQIQQSSDKFKEEESPKQDLRSRGLCLVPASTSTFPMTHDTIDFWTSTFGGTSR >OIW03222 pep chromosome:LupAngTanjil_v1.0:LG10:11533515:11544231:1 gene:TanjilG_13016 transcript:OIW03222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAMGITTCTLNHNKSFLLSRSLNNFSSRPISHVTHRILLPLQTTPIRFSFNSTHAHTRAAFNNNLHFRVSAIPDDGSGGSGDSGGSNSGGGGDGSSGGDGNSGGGGNWSFLSWYLALLEQYPVPVKALTSAILTLIGDLICQLVIDKVKSVDLKRTFLFTVIGFGLVGPTLHFWYLYLSKLVTQPGASGAVLRLVLDQFLFSPIFIGVFLSTLLTLEGRPSEAIPKLKQEWFSAVLANWQLWIPFQFFNFRFVPQQFQVLAANVVALAWNVILSFKAHKEVLPK >OIW03458 pep chromosome:LupAngTanjil_v1.0:LG10:5169278:5169547:1 gene:TanjilG_14683 transcript:OIW03458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMETPLLQDNSDNKEEKAVKFVDGFKSESKKLWKIAGPTILTCLCQYSLGAITQVFTGQIGELELAAVSVENSVVAGLAFGVMVHNLF >OIW03954 pep chromosome:LupAngTanjil_v1.0:LG10:625106:628284:1 gene:TanjilG_30230 transcript:OIW03954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIVDLTPQVAKKVYGDNGGSYHCWSPSDLPMLQQGNIGASKLVLENNGFALPRYSDSSKVVYVLQGSGVAGIVLPESEEKVIAIKKGDALALPFGVVTWWYNKEDTELVVLFLGDTSKAHKSGEFTDFFLTGSNGIFTGFSTEFVSRAWDLEEKDVKTLVGKQSGKGIVKLEGNISLPEPKPEHRNGLALNCLEAPLDVDVKNGGRVVVLNTKNLPLVGEVGLGADLVRLDGNAMCSPGFSCDSALQVTYIVRGRGRVQVVGADGRKVLETTIEGGNLFIVPRFFVVSKIADPEGLEWFSIITGTPNPIFTHLAGSSSAWKALSPTVLQAAFNVDSELEQLFRSKRTSDAIFFPPPN >OIW03342 pep chromosome:LupAngTanjil_v1.0:LG10:7830837:7831484:-1 gene:TanjilG_00613 transcript:OIW03342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLPPVGKGAQMMMMNGMMNPQMMNAPKGLRNCGAMPHENHSQMMNAQKGEKGGGGNGKKGGGGDGGSGGVMPVQVNDGKNGNGGKKGGGVAGSTNNQPQGGGNKNNSNKNGGGNNNKYGNNGHGSGGDDNMGKKGNGMIREGIVQPMNNGMPNIGNGGGAHPSINGANVGPIGNMSTPMGGPISTNMPMPMNQMGNVPAVQRFPTGASPGGIDN >OIW03908 pep chromosome:LupAngTanjil_v1.0:LG10:970085:972287:1 gene:TanjilG_30184 transcript:OIW03908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKKLSDITNSQLQDQTIHPTQQENALDTCFIDDKACIQQLLKERVALMKLVAEKRHCAKLGSGELKPLENLFEIEDAKYHVIQSRRSYLRGSQDENGNNSTSRNEAPRCSFGIPLRKSVENAKSYKDTNSSKTKSQFAKTRQG >OIW03191 pep chromosome:LupAngTanjil_v1.0:LG10:12587374:12605400:-1 gene:TanjilG_11828 transcript:OIW03191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWVLTDELNKVDEKLKATEALLESKNLEIKKINDERKSALAAQFAAEATLRRVHAAQKDDEMPPIEAIIAPLEAELKLARTEVVKLQDDNRALDRLTKSKEAALLEAERTVQIALAKASLVDDLQNKNQELMKQIEICQEENRILDKMHRQKVAEVEKLTQTVRELEEAVLAGGAAANAVRDYQRKVQEMNEERKVLEREVARAKVSANRVATVVANEWKDGNDKVMPGEMQQLRDKLTVAERTARAEGQMKEKYQLRFKVLEERIKASNGNSKMLASEGKNIASRHSRRQSFGGAESLSVSSSNGFLSRKNSSSKSGSPRSNSASLLLKHTKHPSRSFDGGSRSSEGVRPTSDANVNVLNNMATNTDDQIITTETITAHESANGTPIEKSKSEHEDHVSGMLYDMLQKEVISLRKACREKDQALKDKGDAIEMLAKKVDTLSKAMEVEAKKMRREVASMEKEVAAMRVNKEHDHRARRTSAPRGAVNSSQSISSRLSLQLRKSSSSHLARNALTPRAYMSSISATEGFQKSESSKAYGSDQIQVLEGLDPVRKRPGMYIGSTGPRGLHHLVYEILDNAVDEAQAGFASKIDVVLLADDSVSITDNGRGIPTDMHPVTKKSALETVLTVLHAGGKFGGANSGYSVSGGLHGVGLSVVNALSEKLEVTIWRDGLQYKQKYSRGKPVTTLICNVLPDEEKDRQGTCIRFWPDKEVFTTAIEFDHNTIAGRIRELAFLNPKLTIILTKEDNDPEKIQHNEYFFAGGLVEYVKWLNTDKWCSVWLYKDSYNYRGMILSVLISSCEAILINDLLFQKAVHDVLSFRKETDGITIDVAFQWCEDAYSDTILGYANSIRTVDGGTHIDGMKASITRTLNNLGKKSKVIKEKDITLSGEHVREGLTCVVSVKVPNPEFEGQTKTRLGNPEVRRVVDQSIQEYLTEYLELHPDVLDSVLSKALNAFKAALAAKRARELVRQKSVLRSSSLPGKLADCSSSNPEDCEIFIVEGDSAGGSAKQGRDRRFQAILPLRGKILNIERRDEAAMYKNEEIQNLILGLGLGVKGEDFKKDALRYHKIIILTDADVDGAHIRTLLLTFFFRYQRALFDQGYIYVGVPPLYKVVRGKQIHYCYNESDLKKLQSSFPANASYNIQRFKGLGEMMPLQLWETTMDPERRLLKQLKVEDAAEANIVFSSLMGARVDVRKELIRNSANMVDVQQLDI >OIW04020 pep chromosome:LupAngTanjil_v1.0:LG10:236146:237510:1 gene:TanjilG_30296 transcript:OIW04020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGLSYFKVLIVCIVALIASTHAQLQLGFYAERCPKAEEIIADFVHEHIHNAPSLAAALIRMHFHDCFVRGCDASLLLNSTTNQAEKNAPPNLTLRGFDFIDRIKSVVEVECPGVVSCADIIALAARDSIVYTGGPFWKVPTGRRDGVISNITEATNSIPSPFSNFTTLRTLFSNQGLDLKDLVLLSGIIISNNTHTDIYIYIYIYIYMSVCVCVLIVDANKLCYSQIIAGAHTIGISHCTSFSNRLYNFTGKGDQDPSLDSEYAKNLKTLKCKNINDNSTLVEMDPGSRKTFDLGYYGEVVKRRGLFQSDAALLTNSVTKSLVHQFLQGSLDNFYAEFAKSIQKLGQTKVKTGTQGEIRNHCAVINS >OIW03337 pep chromosome:LupAngTanjil_v1.0:LG10:8167350:8169134:1 gene:TanjilG_15267 transcript:OIW03337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRIRSKVHVLSAVALRLSYHLLHANHYQRVQQPLMNAASNFLNPSTKSDTFSAPSQFSFSVRLFSSSIDAPAPGLDDEDDNDDDEDGNDDDHANTSLLHLRDDGLAQDVKTILDIFHEPGSGPSEIKRKLEHCSVRTSLELVVEVLSRIRNDWETAFTFFLWAGKQKGYAHSIREYHSMISILGKMRKFDTAWSLIEEMRGGRTGPSLVTPQTLLIMIRKYCAVHDVGRAINTFYALKRFNFQIGLEEFQGLLSALCRYKNVQDAEHLLFCNQNVFPSDTKSFNIILNGWCNLIVSTRHAERIWQEMSKRGIQHDVVSYASIISCYSKTSKLYKVLRLFDQMKKRNITPDRKVYNAVIHALAKSKLVKEAINLIRTMEENNVTPDVVTYNSLIKPLCKARKLDQAEEFFDEMLKRGLSPTIQTFHAFLRMLRTKEEIYGILDNMRKLGCSPTIETYIMLIRKFSRWRQLDDVFKVWNLMREGGIGHDRSSYIVRIHGLFLNGKLEEANRYYIEMQEKGFLPEPMTEKMLQAWISGKQLTDGQMTELEKNQLELDTLTKKVKAEHSKFDREKDFLHQPEMRRVVREGGFSFLEQ >OIW03901 pep chromosome:LupAngTanjil_v1.0:LG10:1025137:1027033:-1 gene:TanjilG_30177 transcript:OIW03901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSTENLGFTTYPPAYLSLKSKGTNLLNGVNFASASSGYYSATAKLYHAIPLNDQLEHFKDCLNILVGVAGKSNASSIISGAIYVISAGNSDFIQNYYLNPLLYKNLYALGARRIGVTTLPPMGCLPAAITLYGSDSNECVERLNHDAVNFNKKLNTTSQSLQKQLPDLKLVAFDIYQPLYDLVTKPTENGFFESRKACCGTGLLETSVLCNNKSIGTCANASEYVFWDAFHPTDAANKILAEDLLIAGISLIS >OIW03442 pep chromosome:LupAngTanjil_v1.0:LG10:5413447:5418839:1 gene:TanjilG_14667 transcript:OIW03442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLKLLWWFLFLCGCLSCKANQQSEYLYKLIQSKRSQKSLHKEASISTFTNGSNGVDRYFSKVYVEVENQSGLKEADKVKGLPGQPQQGVDFDQYAGYVTVDAKAGRALFYYFVESPFNSSTKPLVLWLNGGPGCSSLGYGAMEELGPFRVNSDGRTLFRNEYAWNNVANVLFLESPAGVGFSYSNTSSDYSETGDNSTAIDSYTFLLNWLERFPQYKSRDFFITGESYAGHYVPQLADIILSNNKLLTNHTAINLKGIAIGNGWIDDNTCSKGMYHYFWTHALNSDETYEGIGKHCDFDNGNFSSECYKYQSISDDEIGDLDIYNVYAPPCNSAATKTATYSGSDFDPCSDDYTNSYLNLPEVQKALHVKPTKWFPCSAVGWADSPASLLPTINRIVSKGISTLIYSGDTDGRVPITSARYSVNALKLPIETPWRPWYSSNEVGGYVVGYKGLTLVTVRGAGHMVPSYQPQRALTMISSFLSGKLPPKN >OIW03407 pep chromosome:LupAngTanjil_v1.0:LG10:6050667:6053248:1 gene:TanjilG_12004 transcript:OIW03407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNFMLKITAELDNLTNLQPQGGCDDADFSYLFKLKCGRCGELTQRETCVTLNDTVPLPVGKGTTHLIQKCKFCGRDGTVTMVQGRGTPLTNLESQSGKYAPLMLFDCRGYEPVDFVFNDGWKVESLEGTKFENVDLSSGEFDEYDEKGQCPVMISNVRATFDVVK >OIW03491 pep chromosome:LupAngTanjil_v1.0:LG10:4824924:4829500:1 gene:TanjilG_14716 transcript:OIW03491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETLPSLINREWLSLLELRSSLGIRGKYWPIKAEPCQNWTGVQCRNGRVIGINVAGLKRTRFGRLDPSFNVDALANFTILASFNASGFMLNGSIPEWFGQNHSALQVLDLRSCSITGLIPESLKGLSVLKTLLLSGNSLTGRIPSSLGLLSELSVLDLSGNSLSGSLPNSLWKLGNLTSLDLSSNFLSGSIPPELGSLSNLHILNLSDNGLTGSISIQLGSLSKLVELDLGMNSLSGSLPDSLFSRTFDIKVLILSGNMFGGALPNSLWSLPSLHFLDLSRNNLTGPLLKLANIGSDGAIFNLSINLFYGSLNISLNKFKMIDLSSNYLQGKVQGGGNVTLSTNCLQMIPDQRDLRDCRLFYSQRNLPFTPGVQEPTQSPFPKSESSNNKRMIFILAGTFGGLGFIVLVVLVLILVLQHCSNHSNLAIRRGTANGGPVPEGESPIPPKDPVFVTGVGEAFTFEQILHLTGNFAEANIIKHGHSGDFFWGLVEGGATVVVKKVDLNLFKRESYIVELGLLSKVSHARLVPILGHCLENENEKCIIYKYMPNGDLATSLHRVPGSDGKLQSLDWITRLKIAIGAAEGLAYLHECSPPLVHRDVQASSILLDDKFEVRLGSLSEVTSQGDLHQSVFSRLFSKPLSFNQGNPGTSSVTCDFDVYCFGKILLELVTGNLDVSNSDDAATKEWLEQTLSYITIYDKERVTKILDPSLIVDEDLLEEVWAMAIVARSCLNPKPSKRPLMRHVLKALENPLKVVREDNGSSARLRTTSSRRSWSTAFFGSWRHSSSENAAATGNAREGTSSFKQSERVGSQGSSGNDHPSSRKRSSNEIFPEPLEMQEVEFAEAR >OIW03925 pep chromosome:LupAngTanjil_v1.0:LG10:847557:848063:1 gene:TanjilG_30201 transcript:OIW03925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSKSHHISTPNYRFLPILETEYSLSDSPSFDFDDSDLFNSPPSNDSHDFRKTLHSSRYSKSSSSPSVSTSLRPASLPVNVPDWSKILGREYRGNRKKDNLYDDDDDDDVSDDGGGGGGGGRVPPHEYLARTRMASLSVHEGVGRTLKGRDLSRVRNAIWAKTGFQD >OIW03502 pep chromosome:LupAngTanjil_v1.0:LG10:4658484:4661394:1 gene:TanjilG_31015 transcript:OIW03502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METEEVLHMNKGSGKTSYAMNSTVQDNIISVAKTATTKAIVQILRSSWPMINMCIADLGCSCGPNVLRVISEILDVVYDTSHRENHPTPELLVYLNDLYTNDFNNIFQSLPSFYKKQKQEKGAGFGSCFISAVPGNFYGRLFPSKSLHFVHSSSSLHWLSQVPGGLENKGKIYISKSSPKCVLDAYLMQFQNDFSHFLESRSQEMVNGGRMVLSLMGRESMDPTVPHSCYQWELLANALMNMVSEGLVEEENVDSFDAPYYAPCMEEMKLEIEKEGSFVVDSDDAYEIDWDGGIESSLGMLSSGERVAMTIRAVVEPLFESHFGSHIMDQLFRRYGELVEDHLSKNRTKYINLVITLVKQC >OIW03318 pep chromosome:LupAngTanjil_v1.0:LG10:9321053:9322105:-1 gene:TanjilG_16467 transcript:OIW03318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMALRRLYTVYTVPDADGRTGPYKAKGGIGLVLNEVLQPGAKGLLPSTLEWSVTDVDSDSIDALHSRLS >OIW03984 pep chromosome:LupAngTanjil_v1.0:LG10:449812:454713:1 gene:TanjilG_30260 transcript:OIW03984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEHVIREEKLMAAYDLVQIYCELIAAHLPTIESQKNCPIDMKEAISSVIFASPRLPDIPELVDVRKQITHKYGKEFVSAAIELRPDCGLVDKLCAKAPDRPTKLKMLTSIAEEHNIKWEPNSFGENDGKSSQDLLVGPNKFVKVSYEDESGGNQSTTSGMFSPEIRPLGIGSQEKEFRYSNSGNGNSFFMARQNWNMEFKDAASAAQAAAESAEHASMAARAAAELSNYENMSRKYSSGSNSYSGRRFREVPEEYVVHDGKHLPTGSVNSTFHGRGSSMHNEPITAKEKDNLVGALNEYYRTSHESVVHAQSASLSSSSSAHGSQIADMYQKNNTFEHKNSDLHEHHLGDATLIRQSRKASSSHLIPPIDDHNQNFNSHGQKKGNNAVEPNSYNDICPVFDDSGSDDDYKFVSEHKYKGERSSLFSSPGSKSQVDPSETKSSWRRHGEYIDEKESSSTSQSHFSLVSERLSKSSISSEKEDLLPVTFDDRTVNLINSTISGTSDYRNHVLDRNANHEALESSSRKGINVGSDIKAWLPPSSVGSGSVEEQFEKNVCINTVSDKTFGYGDSKTIQPLQLPDTTKNTETVEESDTENDKEFGGFRNKAYKRPPYFKNTSNENSSSLGDISAQNERSFPTVRTTSINSDTHIQDKYTREASRGNRTEGMRNISSDSKSYDVVSNSQETLTSTHDSRIPKEQSEVKNKLGSRSSTTYFHSENSDSEDELAKQNSASLTRPDNGISRRTSAPSKTDTDLSSNNVPSYKTYGTRPGWNSLRDYVSNNRKASYTMKSSENRGSSEPRSAEHATPKPISIPNRSPPATRMQPSSSLPKTVIQDNEDKKDGSKSLNSNGETTPSMQKLDHVHPKLPDYDSFVAHLMSFKKDRQ >OIW03570 pep chromosome:LupAngTanjil_v1.0:LG10:3819722:3824019:-1 gene:TanjilG_30990 transcript:OIW03570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKNRSTIDREVDNGFDSIDHAVSDEEHNKISYNGSTSMNKLRLKEEEISVERVFQHLLVPSWKNQLTVRAFVVSFFLSVLFSFIVMKLNLTTGIIPSLNVSAGLLGFFFVKTWTKFLEKSNILKQPFTRQENTVIQTCVVASSGIAFSGGFGSYLFGMSEHIARSSSDTSDFKDPKLGWIIAFLFVVSFLGLLSIVPLRKIMIIDFKLTYPSGTATAHLINSFHTPQGAKLAKKQVKELGKFFSLSFLWGFFQWFYTATDSCGFQAFPSLGLKAYQNRFYFDFSAIYIGVGMICPYIINISVLIGGILSWGLMWPLIENKEGHWYKKGLGQSSLLGIQGYRVFIAIAMILGDGLYNFCKVLSHTFFGLYKQYRTKQRENVLPVADQDSSSPPSQLSYDDQRRTQVFVKDQIPTWVAIGGYITIAAISTATLPHIFHQLKWYYILVIYLIAPTLAFCNAYGSGLTDWSLASTYGKLAIFTIGAWAGASHGGVLASLAACGVMMNIVSTASDLMQDFKTGYLTLASPRAMFVSQVIGTSMGCVISPCVFWIFYKAFPDLGTTGSEYPAPYAIVYRNMAILGVEGFSHLPKNCLLLCYILFGAAIVINLIKDLLGKRGRFIPLPMAMAIPFYLGPYFAIDMCVGSLILFVWEKVNKVKADAYGPAVASGLICGDGIWSLPASILALAGVKPPICMKFLSRATNDRVDVFLGN >OIW03055 pep chromosome:LupAngTanjil_v1.0:LG10:14975711:14977771:-1 gene:TanjilG_19335 transcript:OIW03055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGSVNVNGDGDSSRIENMISARKSLKLSLEKSKSIGLALQKSGPRLEEISQRLPSLESAVRPIRVDKDALVAVGGHINRAVGPAAAVLKVFDAVHGLEKSLLSEPRNDLPGYLTVLKRLGEALRFLGDNCGLAIQWLEDIVEYLEDNAVADERYLLNLKKLLKNLRELQNDEEKARLDGGLLEAALDKLEHEFRQLLTENSVPLAMLSPSALADQACIAPSPLPVSVIHKLQALLARLKANHRLEKCISIYVEVRSSNVRASLHALNLDYLEISVAEFNDVQSIEGYIAQWGKHLEFAVKHLFEVEYKLCSEVFEKMGLDVWVGCFSKIAAQAGILAFLQFGKTVTESKKDPIKLLKLLDIFASLNKLRLDFNRLFGGAACVEIQNLTRELIKSVIDGAAEIFWELLVQVELQRQNPPPQDGSVPKLVSFITDYCNKLLGEDYKPILTQVLIIHRSWKRQSFQERLLVTEVLNIMKAVELNLETWIKAYDDPMLSNFFAMNNHWHLYKHLKGTKLGELLGDSWLREHEQYKDYYSTIFLRDSWGKLPGQLSREGLILLSGGRATARDLVKKTLKKFNEVFDEMYTKQSGWVMPERDLREKTCQLIVQAVVPVYRSYMQNYGPLVEQDASSTAKYAKYTVQKLEEMLLCLYRVKPVRHGSLRGRQFSGKFGNGMPDLRRTTSAVV >OIW03690 pep chromosome:LupAngTanjil_v1.0:LG10:2573046:2580693:1 gene:TanjilG_30754 transcript:OIW03690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEGEGSDCTPKNVLSSEAVASAAVTTPLDVPAKKLARQLDFTASQSQPQLQPLMPKPVIAMPVLPPILHPSMRVGKPDSPKSRSRPNFEVKGTTPKKKKQCNCKHSKCLKLYCECFASGIYCDGCNCVNCFNNVENEAARREAVEATLERNPNAFRPKVVSSPHGIHDRREEAGEVLILAKHNKGCHCKKSGCLKKYCECFQANVLCSESCKCIDCKNFEGSEERQALFHGNQNKNMAHIKQAANAAITGAIGSSGYSSPPVSTSKRKGQGPFVGPTTKDPSIGKSGQQVNHVRGPAPCSSLSSIQGAHVGTTTLGPSKFPYRSPLAGIIQPHHLKELCSALMLGSGQAAKMLTDHKISMEKSTEDQTETSLASSTQDQLPSQKDGEVGKALVDDCSSANQTDKVSPDNSCSDGNDVPKGRPMSPGTLALMCDEQDTMSVTAASPIGSMTHACTTSSQFPYGEGMTEVSAEQERTVLTKFRDFLNGVINMGEINGNELESQKDPINNSPRIASTEIVDQCRSGFNGVNNAVPYEAATTTSIIPGAPVVFLINVTSLYSIHINVLCILCSYSIVKNVYFIVSILETMCSSFARSELESQKDPLIMVNRPTHDGVNKAIVPPVASTSPSMMDEQRIKLKFKIKDEQR >OIW03501 pep chromosome:LupAngTanjil_v1.0:LG10:4675879:4676379:-1 gene:TanjilG_31014 transcript:OIW03501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQCLANTFLQPKPLFPVPIKSVNKTVGNCGLVSVVTCRKKDIHPKFYDDAKVYCNNELVMTTGGTQKEYVVDVWSGNHPFYLGNRSGLVVDADQVEKFRKKFGELSHLMEIPVLKGEIIIPSRKKGIKGGGKKK >OIW03015 pep chromosome:LupAngTanjil_v1.0:LG10:15684760:15685839:1 gene:TanjilG_13652 transcript:OIW03015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRLRCILRGLDFKTYIFLFILVPMSILGLYVHGQKITYFLRPLWESPPKPFHEIPHYYHENVSMETLCKLHGWGIRESPRRVFDAVLFNNEVDMLTIRWKEMYPYVSEYVLLESNSTFTSIVKPLLFANNRDKFKFVEPRLTYGVIGGRFKKDENPFVEEAYQRVALDRLLRIAGIEDDDLLVMSDVDEIPSAHTINLLRWCDDIPPVLHLQLRNYLYSFEFFQDTESWRASIHRYQTGKTRYAHYRQSDVLLSDAGWHCSFCFRYINDFIFKMKAYSHNDRVRFTHYLNPDRIQNVICKGDDLFDMLPEEYTFKEIIGKMGSIPHSYSAVHLPAYLLNNADRYRFLLPGNCKRESSG >OIW02973 pep chromosome:LupAngTanjil_v1.0:LG10:16023596:16025300:1 gene:TanjilG_13610 transcript:OIW02973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNCTTIDQLKQVHTQMIVSSLIINDPFAASRLISSSALSTFSDLNYASRIFSSIHKPNTFMWNTLIRAHASGPNPRHSLLLYINMRRLGALPGKHTFPFLLKACSTSSLFSCCKQVHTHVLKFGLVLDLHVVNGLVRGYCVSGDLVDARFLFDGMSERNLSLWTTMICGYAQNFCSNEALVLFDEMIVEGFEPNGATLASALSACARSGCLELGERIHELMKVKGFEVGVILGTALIYMYAKNGEIAMAWKLFDEMNERNIVTWNAMICGLASHGHVEDALALFENLKKERVVVPNDVTFIGVLSACCHGGLLDVGRDIFYSMNTEYGIEPKIEHYGCFVDLLGRGGMLLEAEELIKGMPWKADEVLLGALLAASKHNGNTKVAERVVNEILILEPQNHGVLVSLSNMYAEAGQWQKVLRLRKVMKEERLKKSPGTAVFGEYECEGRVADRSSGDTTTWSGLLE >OIW03335 pep chromosome:LupAngTanjil_v1.0:LG10:8092556:8093125:-1 gene:TanjilG_15265 transcript:OIW03335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYPFSDFKYSDGLVVVGISFCTAIICEAISWVLIYRTNSYNNLRSSIDKASKKLETMKTDSNKIYIKKSKTKKIDRVETSLKESSRDLSLFKFKSGGVVAMVLFVVFGLLNSLFEGKVVAKLPFTPFGLVMKMSHRGLQGTDPTDCSMAFLYFLCSISIRTNLQKFLGFAPPRGAGAGLFPMPDPKTS >OIW03195 pep chromosome:LupAngTanjil_v1.0:LG10:12533311:12539545:-1 gene:TanjilG_11832 transcript:OIW03195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGGPSGFTNAPVTRAFIIASALFTIFFGIQGRFNTLGLSYQGEPRCNDKVVCLVTLEVMGSNPILETTSSLAKVRLCSSNPANPTKSEPRALDPTTKLVTPGPYGLIFASFIPFFFDIPVSTRFRVFSFHFSDKSFVYLAGLQLLLSSWRRSIIPGICGILAGALYRSNVFYIRKAKFPEFIASFFSRITLPSMGSPPAPSTRNVTGNRNYPAPMPSSVEPSEDSITTLVSMGFDRNSARQALVQARNDVNVATNILLEAQSH >OIW03600 pep chromosome:LupAngTanjil_v1.0:LG10:3244143:3246393:-1 gene:TanjilG_06109 transcript:OIW03600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAETDSEVTSLSASTRRPLYYVQSPLGDFHDGDKILTTASFPSSPLASPHHSYSIPLHHHKDYKEPWNQIDLIDESFLEDEDPHNNALPRRCYFLAFVVEFLLLFTLFSLILLGVSKAMKPKIFVKSIMFDEVRVQAGADASGVTTDMITLNSTLKFTYHNIGTFFGVQVSATPLNLSYSEIVIASGDMEEFHQSRTSERLVSVAVIGKTIPLYGSGASLSSTTGMATVPVHLKLNFVLRSRAYVLGKLVEPKFYKRVECSITLYPNKIGASIPLNKSCTYD >OIW03254 pep chromosome:LupAngTanjil_v1.0:LG10:11076004:11080275:1 gene:TanjilG_21783 transcript:OIW03254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYVYGPGRNHLFVPGPVNIPEQVLRAMNRNNEDYRSPAIPAMTKVLLEDVKKIFKTTTGTPFLIPTTGTGAWESALTNTLSPGDRIVSFLIGQFSLLWIDQQQRLNFNVDVVESEWGRGADLDILESKLASDTAHTIKAICIVHNETATGVTNNLATVRKILDAYQHPALLLVDGVSSICALDFRMDEWGVDVALTGSQKALSLPTGLGIVLASAKALEASKTAKSVRVFFDWNDYLKFYKLGTYWPYTPSIQLLYGLRAALDLIFEEGLDNVILRHNRLGTATRLAVEAWGLKNCTQKEEWYSDTVTAVVVPPYIDSSEIVKRAWKRYNLSLGLGLNKVAGKVFRIGHLGHLNELQLLGCLAGVEMILKDVGYPVKLGSGVAAASAYLQNNIPLIPSRI >OIW03201 pep chromosome:LupAngTanjil_v1.0:LG10:12428698:12431425:1 gene:TanjilG_11838 transcript:OIW03201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISLLDLYHVLTAVVPLYVAMILAYGSVKWWKIFSPDQCSGINRFVALFAVPLLSFHFISSNNPYKMNYRFIAADSLQKAIVLTVLAIWARFSSRGSLEWSITLFSLSTLPNTLVMGIPLLKSMYGDSSGTLMIQIVVLQCIIWYTLMLFLFEYRGARILIVEQFPDTAGSIISFKVDSDILSLDGKEPLQTEAEVGEDGKLHVTVRKSTSSRSEIFSRRSHGPNSGVSLTQRPSNLTNAEIYSLQSSRNPTPRGSSFNHSDFYSMVNGRNMATGVSPRQSNFGNLGYDEESGGNVSRTNGYPGPPNNGIFSPVTGSKKKGNGGEGGKDLHMFVWSSSASPVSEGGIHVFRGGDYGNELGGVATNHKDYDEFGHDEFSFGNRTVANGLDKEGPVLSKLGSSSTTELNPKCVQGESKPTSMPPTSVMTRLILIMVWRKLIRNPNTYSSIIGLTWSLISFKWNVVMPAIVAGSISILSNAGLGMAMFSLGLFMALQPRIIACGNSVASFAMAVRFLTGPAVMAVASIVVGLRGVLLHIAIVQAALPQGIVPFVFAKEYKVHPDILSTGVIFGMLIALPITLVYYILLGL >OIW04038 pep chromosome:LupAngTanjil_v1.0:LG10:77781:79755:-1 gene:TanjilG_24149 transcript:OIW04038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKHDNGNGVFDSGFDPGFLDVLDKHPENSDRIKNIVSILKRGPISPYISWHFPTPALIPHLLSFHTPEYINELVGADKEGGKMLCVNTFLNPGSWEAALLAAGTTLSSMKHILDGHGKIAYALVRPPGHHAQPSQADGYCFLNNAGLAVQLALDSGYKKVAVIDIDVHYGNGTAEGFYRSNKVLTISLHMNHGSWGPSHPQNGTIDELGEGEGYGYNLNIPLPNGTGNNGYIYAFNELVVPSIHKFGPDMVVLVIGQDSSAFDPNGRQCLTMEGYRKIGRIAHGLATRHSDGRLLIVQEGGYHVTYSAYCVHATLEGVLNLPLPLLEDPIGYYPEDETFSVKVIQDIKKYQKDKLLL >OIW03373 pep chromosome:LupAngTanjil_v1.0:LG10:6208522:6209382:-1 gene:TanjilG_31820 transcript:OIW03373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGDNGLNMRNWGYYEATTPFKNHLGLQLMSSMPEKQPLLGARNAAAAPFHRRDTGMLQPAYPMEYMRDAWIGHNHRDKFMNINMIPTNNNYSVVPETSSAHQMQMVDVQSQPADQSEEETPVEEEPPVEMVNGTGKKRGKGAKVPKASKAKKPKRGPREPKDENTTSVQRARTIKRSAEIVINGIDMDLSSIPIPVCSCTGAPQQCYRWGSGGWQSACCTTGISIYPLPMSTKRRGARIAGRKMSIGAFKKVLEKLAAEGYNFSNPIDLKNYWAKHGTNKFVTIR >OIW03498 pep chromosome:LupAngTanjil_v1.0:LG10:4687541:4696283:-1 gene:TanjilG_31011 transcript:OIW03498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFAGATATLSKKLSNGYGVSGRSAYDGVFAAPVKLRAPSFSSRLEDYREVFGSFGASSIPVLEVPELKERKKNDDVRHSKLDYSKVFGGFGNIEAAMPFEELIDEPKHKKKNSFSMGASSERSKAKGGTQSCREDLTKYSKENPTLLRSSNDTNRINMSYLMVNQGSDVAQIHAVPAYTCLIEEVNPVKVNRDNPVKVNRDNKSVPVTDDSHSSILCNEGIKESGCCTKSLTDPSPDNTKKQSSNNGVNIKNRSDTIDLFFDASKISNGSKGAHHIKVPPSETVAGNMDNHNDEAVRFTATQGHASKRDRSEGSDSPSYLDDMVDSNSEAAASVAALRKAIEEAQVRMKVAKELMRRKKEGFPNFVTRKSNIDSKAEKKEDKISCKTLNLEEINMRQTFGETDALTKFSSELGKPTMRMERVRSDLGAKEMLVAEEAMQETLKKLISTQAKHKEEFELKEADDNATVIELKEVENNRKELGIKNTGRNASDKLEECDHRIEVIKEYWEHENNEEKVHAANEAGACEELVVEARHTYQEVVDETKLVQKTFDNGATDKRLRVNGEEEVENKVTPFHEQAKCESNLGEQELVRGDEHKVACKPEEDGKKIEGQKECQRNLRAIQELKEDEKNTDQEQKGNEEKVEVSSEPEECELPAFVEPMDKRACSPHRPDFNSLEREIENLGSLDDIKRTNETGFLDVNREAEHSCQMEGTESTFSNIYVHEMLEQTVDHIHDEEDIYLRIIEDSELDGNESVQYSKASKNEIEGAASVMDENEGEWQDNKEPVEVIRVSQTDPNYEDIKAEEIGMTIGTSSSYEPDEIEKWSKTKVSDTTVENDETVEVTPMVYSYDVQDDIMEASDASFILQEKYDEPESVQETNDFYEKIAVETSAFVRCAPEINETVNPMQSRSETVTFESDATYFAETDTEARKNQDQCMEEAENDCNPPMLSEETTTECIKICEDAKEARVALDEEIDENRSNSSNEEKLFDNEHNIEASQVVTMSGRISTPFKEEGNKTIHSNLEENHQAGRAMEEKESNSNPQTVDPEKEYLKKIDEAKEREREKEKLAVDRAIREARHRAFAEARERVVQERAAAEARQKKKSDGREGLGKTVGHANEKKPAEKAAMEAKLKAERAAVERATAEARARALERALSEKFASESRNKSDKSVAEQLFGASRDNGMKQNFHSKSFSYGVRDSSDVFDGANGDSAQRCKARSERHQRIGERVAKALSEKYMRDRVVQMEQEERNRIAEALDADVKRWSSGKTGNLRALLSTLQYILGPDSGWQPIPLTDIVMTSAVKKAYRKATLSVHPDKLQQRGASIQQKYICEKVFDLLKILGPDSGWQPIPLTDIVMTSAVKKAYRKATLSVHPDKLQQRGASIQQKYICEKVFDLLKEAWNRFNMEER >OIW03636 pep chromosome:LupAngTanjil_v1.0:LG10:3088876:3090065:1 gene:TanjilG_22293 transcript:OIW03636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYTSRKKIHKDKDAEPTEFEETVGQALFDLENTNSDLKSELKDLYINSAVQVDVSGNRKAVVIHVPYRLRKGFRKIHVKLVRELEKKFSGKDVVVIATRRIVRPPKKGSAVQRPRSRTLTAVHEAILEDVVLPAEIVGKRTRYRLDGSKITKVFLDPKERNNTEYKLETFSAVYRKLSGKDVVFEYPITEA >OIW03705 pep chromosome:LupAngTanjil_v1.0:LG10:2429696:2430319:-1 gene:TanjilG_29740 transcript:OIW03705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTPETSREPCPDRILDDIGGAFGMGAVGGSAFHFLKGLYNSPKGERFIGASHAVRLNAPRVGGSFAVWGGLFSAFDCTMVYARQKEDPWNSIFAGAATGGFLSMRQGLGASARSAAFGGVLLALIEGAGIMLNKFLSAQQQVSMMMDEPMLPPGYNEAPVDSGAAEKPFFGGWFGGGKKEEEASGGSETKILESFDAPPVPNFEYK >OIW03307 pep chromosome:LupAngTanjil_v1.0:LG10:8894383:8895645:1 gene:TanjilG_16456 transcript:OIW03307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMREGSGGAVMEMRVILEDDTEVDDCVELVVIEDTVELEDLASYSNDEVVALVRAGTRAVAMANKDDTEEDLTYSDALSAGYFGYNIILCIFDRATPCCAKTKECRLGTGSSMGNTTMPLIWRSIRKGINEAALASGGINEAYGLPNSGQQTWNECMHEVGLSLDVGGGLLGEMQPMHGKSSSPSNSPMHTGMDKCDRQEVCVGISNTSNLFILPSKANREIVYLINNVQDNRYMEGVDGNSTVVSYLGYMVDLGKTKDVHDNTMDCF >OIW03937 pep chromosome:LupAngTanjil_v1.0:LG10:769344:770986:1 gene:TanjilG_30213 transcript:OIW03937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNQHHRASFLLLITIAICLIIQTQSEVITLTSDTFSDKIKEKDTAWFVKFCVPWCKHCKKLGSLWDELGKVMEKEDEIEIGEVDCGTDKAVCSKADIHSYPTFKVFYDGEEVSKYQGKRDVESLKTFVLEEAEKAASKAQLDNDKEL >OIW03267 pep chromosome:LupAngTanjil_v1.0:LG10:10675290:10677477:1 gene:TanjilG_20571 transcript:OIW03267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETRNVSAAINVASKHSLLCFSMTTVMFMTIMCMLFVLRSPFSESSPKSIYQNLLNNLLPNSNYFTTFNDTNSEVQNHNVESSFGSENVEANRETKEISKTDDSVKCRRHEKVVLKVFMYDLPSEFHFGLMDWKPEGKSVWPNMKTKIPYYPGFLFLQHSIEYWLTLDLLNSELPEAASNVKAAIRVINSSEADIIFVPFFSSLSYNLDSKTSPRDKSSRNNVLQDKLVKFLRARDEWKKSGGRDHLILAHHPNSILNARKVLWPATFILSDFGRYPSNMANVEKDVITPYKHLVDSYVNDSSSFHSRPTLLYFQGGIKRKDGGHIRQELYSLLKDEKGVHFSFGSAKEGGVKTATEGMRSSKFCLNIAGDTPSSNRLFDAIVSHCVPVIISDEIELPYEDVLDYTEFCIFVRSTDALKEKFLINLISSIGKDEWTRMWNKLKEVENFFEFQFPSKEGDAVQMIWQAVARKIPRIRLKTNRSRRFFRSLYGKEMRLKSKTAPGKS >OIW03700 pep chromosome:LupAngTanjil_v1.0:LG10:2480681:2486352:-1 gene:TanjilG_29735 transcript:OIW03700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKVLTARGQNQNLRYSHSHHFYPYSKGNIQAFYLHQTRLLEFLQAQKGLSKPSTIISDSTDTTRDKIGTPAAPVDVSTPTEKVNFIAENDGSASISTIQPYQEQKLTDSASPLLGTSLSNILAEDTGKHENNDAEVLAIDTDVEVATDFAPNSDAIPNYSDVKPESIVNRTNQEDHKTDISPKKVQDQLDEVCAGLSSRLQEYKSENAQLEELLIADFAERELSKPCEARIKQLQKDLSESKREVTRVESNLAEAVAAKNGEIEALLSSMEAVKRQTALSEGNLASMQASIESMMRNRELSETRMMQRIADERTSKATELEQKVALLEMQAWQEEVEHARQGQREAENKLSSLEAEMQKLRVEMAVMKRDAEHYSRQYYKQTQLETMVSEKAATEFQLEKEIKRLQEAQVCVHLFLMYLLHRLQVNILS >OIW03650 pep chromosome:LupAngTanjil_v1.0:LG10:2947642:2951151:-1 gene:TanjilG_22307 transcript:OIW03650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTRIPKMGSFRYTFAEKKEKLLSKGGGGYSHIGLVLPESVELGEELAAARWWWLRCSDGVVMGWKKMKRVAASAWEMGQSDPRKIIFSAKMGLALILISMLIFLKEPFKDISRYSVWAILTVVVVFEFSIGATLSKGLNRALGTLSAGGIALGMSELSKLAGKWEEISIVISIFIVGFCATYAKLYPAMKAYEYGFRVFLITYCYITVSGYRTGEFISTATNRFLLIAVGAAVSLGVNVFIFPIWAGEDLHDLVATNFIGVASSLEDVVNNYLNCVEYERVPSKILTFQASDDPVYSGYRSAVESTSKEEALMGFAVWEPPHGRYKMRNYPWKNYVKVSGALRHCAFMVMAMHGCILAEIQAPAEKRQVFHNEIKKVGSDGAKVLREIGNKIKNMEKLGHKDILYEVEEATEELQNKIDKKSFLLVNSESWEIGNRPIQEVGPQDLLNNDEEKHFLEYKSLSEAVLDLRAIKVPKYWGESGAHEVKPAAPAVGENIFKKQISWPAHYSFQEDTVLKEANLKTYENASSLSLATFTSLLIEFVARLPNLVDSFEELGEIAMFKDPLLQQEPKSSGGLWTRLCNCFKFKD >OIW03756 pep chromosome:LupAngTanjil_v1.0:LG10:2026777:2030648:1 gene:TanjilG_30032 transcript:OIW03756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKNGDGGGGGEDNESPWMRDCIDEVMKLTLQSHSHLINLSPQFCSNLLKHDPIHSPSSDSSKGVPLIELGDLILINKSVVFEVQGIRRYPTFSDMLEAEKLEKVLPGVESVEEGLEVYRRFYTEEKELANGVLAIIVSKVAFQPYIPLVNLFSGLSYEGVQGLLGLMHTTGTSPDALPPSKSTLLASFNMPCNPNVKSSSLTHGARALAKHAGRSSNGYWGSLDGSDSNKNRLAMNVINYLIGHCCWMNLHAVSPHGVVFEIRVADGYGARWTEDGSKVCEFPPNSGTYLLQLQV >OIW03069 pep chromosome:LupAngTanjil_v1.0:LG10:14826802:14829004:-1 gene:TanjilG_19349 transcript:OIW03069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFAKFKGCFVLVFCVGLLVGSVSGIGVNWGTQSSHPLPPSTVVKMLKDNGIQKVKLFDADSDILDALKKSGIQVMVGIPNDMLYTLANSVQAAEKWVSKNISAHVSSGGVDIRYIAVGNEPFLSTYNGTFESTTLPALQNIQSALIKSGLGNQVKVTVPMNADVYLSSSDKPSDGDFRPDIHDLMLQIVKFLSQNNAPFTVNIYPFISLYLDANFPVDFAFFNGFQTPINDNGRIYDNVLDANHDTLVWALQKNGFGNLPIIVGEIGWPTDGNRNANIQYAQRFNQGFMSRFLAGKGTPIRPGPIDAYLFSLIDEDSKSIQPGNFERHWGMFYFDGKPKYLLSLGTRTNGLVGASGVSYLPKKWCVLKPSANLNSDQVAPSVSYACQNADCTSLGYETSCGGLDVRGNLSYAFNSYYQVNDQIDSACKFPGLSVVTDNDPSTPNCKFIIMIQTDSAYYFRNWRRIWSLPIMVFVFLFLNVM >OIW03057 pep chromosome:LupAngTanjil_v1.0:LG10:14958519:14961014:-1 gene:TanjilG_19337 transcript:OIW03057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLRCNACNKEFNDDFDQKDHYKSEWHRYNLKRKVAGVPGVTEALFMARQSALALENNKSSATPMLYSCGLCGKGYKSSKAHAEHLKSRGHIVRASEGNHSDENAIIKPLQQRFVNRHPPRREVVDNSEEEDEESEDEWVEADPEEDLINDAAKSLNDLNVNENDDMDEEDDDSFEELDPSCCFMCDRELKTIESCMVHMHKHHGFFIPDVEYLKDPKGLLTYLGLKVKRDYICLYCNDRCYPFSSLEAVRKHMVAKGHCKVHYGDDDDEEEVELEEFYDYSSSYVDEQGKQLVASGDLANNVELGSAGSELIITRRSDDRVSTRTLGSREFLRYYRQKPRPSPTNNVAITAALASRVTLGTTLTLLLSGQEFMFTNPEKTSLLARVSLRTPRGPDPTRWSLVHRVALLYRMYDFFTFVFRYRSMGLATVQSREQMVRMKVLKEMSRTGVEIMRSKMGMKSNVIRNLPKNCTY >OIW04026 pep chromosome:LupAngTanjil_v1.0:LG10:5605:7481:1 gene:TanjilG_24137 transcript:OIW04026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLASNGGNKDDDNEIQPILNHHFHSPVDTEGGDSCSFSCEIIPPPPLLNHDNDDDDDDDLHNVHVDVVDETCHLVNSSDHHPQCRICLDIGGQDLIAPCHCKGTQKYVHRSCLDNWRSTKEGFAFSHCTECRAVFILRANVPPDRWWLRLKFQFLVARDHAFIFIIVQLVVAFLGVLVYKFYGDELREMFGYEEHPYGFYTMAVLAIVLVGLLYGFFIAIICGQRINERHYHVLAKQELTKEYVVEDREHVKNVPELDPSHVTELRMLGLY >OIW03564 pep chromosome:LupAngTanjil_v1.0:LG10:3886585:3887229:-1 gene:TanjilG_30984 transcript:OIW03564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVVNAMFQSLIPLCFLLLTFTIEPLLAAEPEPVVDKQGNPLQPGVGYYAWPLWGDNGGLTLAQTRNKTCPLDVIRNPDFIGSPLTLLAPNLVHVPTETDLTIGFDVQTICIQPTTWKLVKQGSGFWFISSGGESGELTSKFKIERLQGEHAYEIYSFKFCPSVPGVLCAPIGTFTDTDGTRVLAIGDDIETYYVRFQRVDTYAQKKEQGFSSA >OIW04031 pep chromosome:LupAngTanjil_v1.0:LG10:48960:50681:1 gene:TanjilG_24142 transcript:OIW04031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNPIAVAIVVIVLRLAYKALKPPPPKTCGSVGGPPLTSPRVKLSDGRHLAYREFGVPKLEAKYKIIVIHGFHSSKDINLPLSQEFVEDLGIYFLFFDRAGLCGAALVVPFVSYWWPSFPANISREALQMLPKPDQWTFRVAHYASWLFYWWMTQKWFPSLTFGNTETLSADDLEIIKSFPQGLNTAQEKITQQGEHESLHRDIVSGFGEWEFSPTDILNPFPNKEGSVHIWQGYEDRIIPYTLNRFISHKLPWIHYHELSDGGHMFIFKKKHLESIITELLLS >OIW03770 pep chromosome:LupAngTanjil_v1.0:LG10:1915106:1918057:1 gene:TanjilG_30046 transcript:OIW03770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTKCKEGEITVSTKSFKNFYKPYQVDDVTAEESTISRRKKVGNLKLQSTFSFKYLVSKNSGSKEKVEGMFNKPNSSVLLQKPELMFSPKPFVHHPDVGVAAIKFQKVYKSYRTRRNLADCAVICEELWWKTKNFAASTSQFDSETAILKWTRASTNVAKIDPRHRYGHNLDFYYDVWFQSQSSQPFFYWLDIGDGKEVNLEKCPRRILQKQCIKYLGPKEREAYEVIVDRGSLVYKHSKELVHTTEGSKWIFVLSASRILYVAQKKKGQFQHSSFLAGGATIASGRMVAHNGVLNVIWPYSGHYRPTQKNFMEFICFLVEHNVDMTDVKTYPVDDDIPPSNPADEEMLLEHIEDNGTANNCGQENMMEHFGNNVEGSIKERKHKSSKWTTGVGPRIGYLREYSTKLQAQALEHINVSQRKMQHGNGTFACMEPIPSPRPCPKVHLSPRLVNMGRPSPRLQHVTHS >OIW03311 pep chromosome:LupAngTanjil_v1.0:LG10:9001777:9009042:-1 gene:TanjilG_16460 transcript:OIW03311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIGEVMASSIFSKGLPTLHSSRYRIHHQSSFIVEDELDPFSLVADELSLIGNKLRAMVVAEVPKLASAAEYFFKMGVEGKRFRPTVLLLMSTALNLPIPNASPPIELDDTLATDLRSRQQSIAEITEMIHVASLLHDDVLDDADTRRGIGSLNVVMGNKLSVLAGDFLLSRACVALASLKNTEVVSLLSKVVEHLVTGETMQMTTTSDQRCSMEHYMKKTYYKTASLISNSCKAIAILAGQTAEVAMLSFEYGKNLGLAFQLIDDVLDFTGTSASLGKGSLSDIRHGIVTAPVLFAMEEFPQLRAIVDEGFENPKNIDLALEYLGKSKGIQRTRELAVKHANLAAAAIDSLPQNDDEDVKKSRRALIDLTHRVITRTK >OIW03706 pep chromosome:LupAngTanjil_v1.0:LG10:2420972:2424139:-1 gene:TanjilG_29741 transcript:OIW03706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKSSQSCVILSFYSLLTFLILSHANSQSEMYNDEHIVLMKIKQYLQNQPLLSHWTLSNSTHCSWPEVKCTTGSITGLTLVESNINQTIPTFICDLKNLTHVNFSANYIPGKFPTYLYNCSKLESLDLSMNNFDGKIPDDIDHLANLQYLNLGSTNFFGDIPASVGRLKELRVLKLQYCLFNGTYPDEIGNLFNLETLDLSSNYDLPRSSLPLSWTKLSKLKVFYMYSCNLVGEIPETIGEMVALEKLDISQNSLTGKIPNSLLLLRNLTILYLHHNSLSGEIPGVVEALNLTAIDLTKNDLTGKIPDDFGKLEKLTGLCLSLNKLSGEIPENIGRFPYLKDFRVFFNNLSGTLSPDFGRFSKLASFHIASNKISGRLPENLCYYGELRNLTAYDNNLNGELPESLGNCSSLLDLKIFNNQFSGKIPSGLWTSSNLLNFMVSQNKFTGELPDRLSSTISRFEISYNQFSGRIPVGVSSWKNVVVFNASENFFNGSIPQELTTLTKLTTLLLDQNHLTGPLPSYIISWKSLVTLNLSQNQLSGQIPDTIGQLPVLSQLDLSENQFFGKIPSQLPRLTNLNLSSNRLTGRIPSEFENSAYSSSFLDNPGLCVDNPAMNITLCNTGPQSPTKGSSRHLALIVSLVAIFFILAFLASFLIIRLFRKRKQGLDNSWNLISFQRLSFTESNIVSSLTEHNIIAHGGYGTVYRVPVSDLGYVGVKKIWNNIHLDKKLENSFHAEVKILSNIRHNNIVKLLCCISNQDSMLLVYEYHENRSLDRWLFKKSKSSGESGSVHHVVLDWPKRLKIAIGVAHGLCYMHHDCSPAIVHRDVKTSNILLDLQFNAKVADFGLARMLIKPGELETMSNVVGSFGYIAPEYIRTTQVSKKVDVFSFGVILLELATGKEANYGDEHSSLAEWAWRRVLLGSNIDELLDKDVMELGYVDEMCSVFKLGIMCTATLPSSRPSMKEVVQILHRCGEGFTFAERNIGQHDAVPILKSSKRGQSLGFVDGASE >OIW03511 pep chromosome:LupAngTanjil_v1.0:LG10:4576566:4581675:1 gene:TanjilG_31024 transcript:OIW03511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPNKHRGLKMLILALLSLSVIALLFIQTTTYSSNNKSVILDPQIKTTSSGSNPLEFMKSKLVLMVSHELSLSGGPLLLMELAFLLRAVGSDVVWITNQKPVQHDDVVLALEKKMLDKGVQVLPAKGEKAVDTALKADLVILNTAVAGKWLDAVLKEKVTVVLPKILWWIHEMRGHYFKVEYVKHLPFVAGAMIDSHVTAEYWKNRTRERLGIKMPETYVVHLGNSKELMQIAENSVVRRAHREHVRQSLGVRNDDILFAIINSVSRGKGQDLFLRSFHESLQLIQEKKLQMPSLHAVVVGSDINAQTKFEMELRKFVMEKKIQDRVHFVNKTLAVAPYLASIDVLVQNSQARGECFGRITIEAMAFRLPVLGTAAGGTMEIVVNKTTGLLHLVGKEGVTPLANNIVNLATHVERRLSMGKKGYERVKEMFLEHHMAHRIALVLKEVLQKGSHN >OIW03158 pep chromosome:LupAngTanjil_v1.0:LG10:13230633:13241397:-1 gene:TanjilG_11795 transcript:OIW03158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIISQMRYSYCSYFLRRRNLSTRSRSSSSPNNNFNSESSSLVSGFDADSTLSSLKIKRPSLSDDSSNLVFKQISSIFYGGELVKRPGSEKIDGEKEFERTPKISWLSNISQSNTYLRRKEPSREKKQKCMFELSQRSRFDRLIEICAKVLGTGATIELFGKVGREPGLKGYTTLIEMCIDRARGTDDDDIATEEMGKVFHLFKSMREQGLDLAEQAYRPLLLYIIDTCLVEEFHFFCNVIKDENPSSLARLGYYEMMLWLRVNNEEKIQGLCDYILENDDEDASNLRESYLLALCESERKKQILDLLEIIDIKKLSSTESVSQIFQALGRLLLEPVAEKFLLDFKTCESYLLALCESERKKQILDLLEIIDIKKLSSTESVSQIFQALGRLLLEPVAEKFLLDFKTCEGLGIAFLFKFLPFAEFTEIFLRIADHEADNITNFIASYTINIPNVSVEDLFIIFKDLHQRLDVSPSSSSYEKLILRSCALLKVPTALDIVDEMCEASLILSTEVLHSILQTCEETCQYDLVHRVLSTICRFKLETNDEILRCMIDLFLKMKDHQGAYKMLDYLEEINLKPTASMYNVIMAECFREKNIRDGVRVLEHMQNADVKADSQTFSYLISNSETEEDIVKYYEELKQSGVKATKQIFIALINAYAACGQLEKAKQVVLDPLIPVKSLNQIKSVLVSVLASHGQLPEALLIYEEIKEAGFTLEPKDIMNIIERTHSDGELDRLLLLLEELNDTDYWNGACGRIILYCILNNHLSSAVDLCKLLKDKFQNDELVMDVLFDKVFSPIELVESESRHLHTSLEFLSEMKDKHGLLLTQECFDLLSARANTTDLHKAD >OIW03765 pep chromosome:LupAngTanjil_v1.0:LG10:1964401:1964991:-1 gene:TanjilG_30041 transcript:OIW03765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYQTPDHDSGSSNSDNNNNNETRLYNPYKDLEVPIRNLYQLPTSPEHLFVEEARRKHRSWGENVTFYTGCGYFAGAVGGAGVGLVEAVKAFESGDSAKLRINRVLNTSGHSGRVWGNRLGVIGLLYAGIESGIAAARDTDDVWNSVAAGLGTGALYRAARGVRSAAVAGAVGGVVVGVAVTAKQALKRYVPNMSF >OIW03395 pep chromosome:LupAngTanjil_v1.0:LG10:6648956:6654148:1 gene:TanjilG_31842 transcript:OIW03395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQQGFDSLLFDHTNNKPDSKHFDLKKPSPFKLTTTTQKPIPSHRRSVSAGVPLIYSGGASTISTPSPALKPGLTTRGSTRPDVLGSGTVNYGRGSIMRRGGGSKISSNSSNSGDDNPVGVGVSMVNQRVMVGGYDPEEVKRVGNELYRNGNFSEALVLYDRAVSMSPGNAAYRSNRAAALTALGRFGEAVRECDEAVKLDRSYARAHKRLASLYLRFGQVENSRQHLFLSGLQDDQSEEQRLRLLEKHLNQCVDARKFGDWKRVLRESEAAIAVGADFSPQLVACKAEAYLKLYQFEDAESCLSNIPKLEGCPPACTQTKFFGMIGEAYVPFVVAQVEMALGRFENAVTAAEKASKLDYSDTEVAKIVNTVRMVARARSRGNDLFSFGKFSEACSAYGEGLKYDNANYVLYCNRAICWSKLGLWEQSIQDCNQALNIQPNYNKAIFRRAVSNAKLERWAEAVKDYEALKSELPHDKEVAESLRQARLALEKSRGVVYGTKFGVEVEEISALDKFKAAIASAGVSVVHFKEASNELCEEMSPFINTLCVRYPSVKFIKVDVEECLSIAKAESIRIVPTFKIYKNGEKVKEMIRPTHQILEDSNDTELQKEKEFDRVENSVEANTGSVMSKGQVAMADSGKQKGVAGENDKRIVAIEIPDNGNSRVIAENVTQGDNLNEKDHGEWLVVTEKKKRKAIEE >OIW03834 pep chromosome:LupAngTanjil_v1.0:LG10:1470456:1472156:-1 gene:TanjilG_30110 transcript:OIW03834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLNKVLARLKAPFLMVVVQVAYAGVSILYKLVANDGMNLSILMAYRFLFASAFIVPLAFLVERASIQQNLFVEAVALANATYATAMYNLIPGVTFILAFCFGLEKLNIRKITGKAKVVGTMMGICGAMILAFYKSIEIHLWSTHINLMPHIQPHDVSDTQIWGSALAFGTCLSYSIWLIIQARMSEKFPWHYTSAALMSVMASIQSVIFALCMERDLNQWKLGWNIRLLTALYSVSLLLYQ >OIW03165 pep chromosome:LupAngTanjil_v1.0:LG10:13100401:13103798:-1 gene:TanjilG_11802 transcript:OIW03165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLHISSLRHFTLLQGKGLKEFIKVKVGSRRISYKMLFYSLLFCTFLLKFLFVLTSLDGIDGENNCSTVGCLGKKIGPKILGRSPDPESNIPEIIYKTLDEPLSKDELQGRSDIPQTLEEFMIEMKEGGYDAKTFAVKLREMVTLMEQRTRMAKIHKYLYRHLASNSIPKQLHCLTLRLANEHSNNAGARLQLPYAELVPALVDNSYFHFILASDNVLAASVVAKSLIRNCLRSQKVVLHIITDKKTYYPMQAWFSKHRVSPGIIEVKALHHFDWLTKGKVPGLEATEKDQKVRSQFRGGSSTIVSNTIEKPNVTAAKLQALGPKYSVMNHIRIHLPELFPSLNKVVFLDDDIVVQTDLTPLWDIDMNGKVNGAVETCSGNDKFVMPKRLKSYLNFSHPLISENFDPNECAWAYGMNIFDLEAWRKTDISLSYYYWIEQNIKSDLSLWQLGTLPPRLIAFHDHVHFIDPFWHMLGLGYQENINFEDAERAGVIHFNGRAKPWLELAFPHLRPLWTKYVHFSDKFIKSCHIRA >OIW03842 pep chromosome:LupAngTanjil_v1.0:LG10:1402784:1407663:-1 gene:TanjilG_30118 transcript:OIW03842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGGQYFEKVRRCIRTVFFVAALVASLLVTSLPVVVAVMDVLVPCVLISSFTCVRCYSFKEHLRKYAFKSSLTDIPMVSVLRSIIIICIYSICDGPALSHGPYLGTVTLSSLVSVVLLSVKACVFSVNSQIEAEASVSLTRKRVHLKKSWGMPVLFLSSVAFALGHIVVAYRTSCRARRKLMFHRVDPEAVPRSPVPSGGRTPQSDSEMRRRPFGATTRDELPATLLADSDSLFITCQGLTLHYKLSLPGSPPYNLSSTSFLESRVSSSISSSTSSTVGGLAKSNRHLLCMSPKVQRQFYRSYSNQFHGSSLYAPLLDGPVTSPLTEDVPVLHLEEIGEEDETIKSDSLYLEQNLDGTAQVGIVLIHGFGGGVFSWRHIMGSLARQSNCTVAAFDRPGWGLTSRLRRENWEKNELPNPYKLENQVDLLLSFCSEIGFSSVVLIGHDDGGLLALMAAQRVQTSMNSFNVTVKGVVLLNVSLSREVVPSFARILLHTSLGKKHLVRPLLRTEITQVVNRRSWYDATKMTTEVLSLYKAPLFVEGWDEALHEIGKLSSETILSVKNAESLLQAVEDIPMLVIAGVEDSLVSLKSCQTMASRFINSRLVAISGCGHLPHEECPKALLAAISPFICRLFSVYDSQPITHLLSS >OIW03685 pep chromosome:LupAngTanjil_v1.0:LG10:2611352:2613970:-1 gene:TanjilG_30749 transcript:OIW03685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHILIQRGKPQEAEAIFNRLTEEGHRPTLVTYITLVSALSSQKDFKSIPLLLSKVEEVGMKPDAKLFDAMIYAFADSGKVDEAMKIFQKMKERDCTPIIRTYNTLIRGFGRVGRPYESLKLLEMMEEETYLKPNDRTYNHLVQALCTNKKLEEAWNVVNKMEASGMQPDVVTYNMLATAYSRYGETEKAERLILIMRDKEVQPDEQTCGIIVSGYCKEGNMAAAVRFLYRMKELGVHPNVIVFNFLIKGYLDNTDMDGVAEVSKLMNESGIKPNVVTYSILATAYSQNGETERAERLILNMQDNKVQPDEQTCGIIVSGYCKEGNMTNALRFLYRMKDLRLRPNVVILTSLIKGYLENKDANGVAEVLTLMKEFGIKPDMITYSIIMNAWSSEGLMENCEELFNEMVMAGIEPDIHAYSILAKGYVCARQPNKAEALLSSMSKYGVKPNVVIFDTIISGWCSVGKMDDAVRLYEKMHEMRIPSGLKTYETLICGYGKAKQPWKAEELLMTMEEKGIHPETTTMQLVADAWRATGSFIDADRILNDTKADVNFRIHKVAVQNMEKTSKTHRNSASPSNFVLPINPERAANGSIRSRRKMIVKAFDSMQNAAAISIGSGSFRVQPLIICRQQTPDQFVRPFIGGCRKSGANILRS >OIW03406 pep chromosome:LupAngTanjil_v1.0:LG10:6102417:6107199:-1 gene:TanjilG_12003 transcript:OIW03406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKESFHNSHSNDIGNKNINNGSGSNSGSLLLRASSDTTKDLVLQWGNRKRLRCMKVQVKDPTNPSNPVQRTTVRVDRRVARTDNKDSSNNPTPKPSVINHVHNNNNNNHHHNQSNGYRNLRQRQTSPQQPTQRILRNLESSSTAMRGNQSNGTVRGIASPDRGAHDKRGTHNNNHLNDKSAASSDAAHDSKKGGSPSGSGGAAPPVWPPKFVIALTNKEKEEDFMAIKGSKLPQRPKKRAKFIQRTVNLVSPGAWLCDLTLERYEVREKKITKKRPRGLKAMGNMDSDTE >OIW03605 pep chromosome:LupAngTanjil_v1.0:LG10:3278871:3280043:-1 gene:TanjilG_06114 transcript:OIW03605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASAVPQPRSSKTEFYTDNKRKEDIRHSNIAAAKSVADAVRTSLGPKGMDKMISTSSGDVIITNDGATILNNMQLLQPAAKMLVELSKSQDAAAGDGTTTVVVIAGALLDSCRLLLTRGIHPTVVSDSLHKAAVKAIDILTAMAVPVELGDRESLVKSASTSLNSKVVSQYSTLLAPLAVDAVTSVVDPEKPEQVDLRDIKIVKKLGGTVDDTQLVKGLVFDKKVSHAAGGPTRMENAKIGVIQFQISPPKTDIEQSIVVSDYSQMDRILKEERSYILGMIKKIKGTGCNVLLIQKSILRDAVTDLSLHYLAKAKILVIKDVERDEIEFITKTLNCLPIANIEHFRAEKLGQADLVEEVSLGDGGKIVKISGIKDMGRTSTVLVRGSNI >OIW03565 pep chromosome:LupAngTanjil_v1.0:LG10:3884966:3885479:-1 gene:TanjilG_30985 transcript:OIW03565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSCADMAHDFGQCLHGQENKPGVKCHSYAGLVVEGKLMLTRMIQCHIAKPKIEHYGCIVDLHGRAGLREEAKKVIELMQISPDADIWRSDQLY >OIW03538 pep chromosome:LupAngTanjil_v1.0:LG10:4185990:4188388:-1 gene:TanjilG_30958 transcript:OIW03538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRFILLQNRQGKTRLAKYYVPLEESEKHKVEYEVHRLVVNRDPKHTNFVEFRTHKVIYRRYAGLFFSLCVDITDNELAYLESIHLFVEILDHFFSNVCELDLVFNFHKVYLILDEFILAGELQETSKRAIIERMGELEKVE >OIW03862 pep chromosome:LupAngTanjil_v1.0:LG10:1279724:1285937:-1 gene:TanjilG_30138 transcript:OIW03862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSGVPSALVDGPQLGFSMKQDGARTDPKEQEAVYDIMRATGNDWATQIPDVCRGRWHGIECMPDKDNVYHVVSLSFGTLSDDTAFPTCDPTRSFISPSVTSLPHLKTLFFYRCFVDNPQKIPPFLGRLGPTLQTLVLRENGHVGPIPNELGNLTHLRVLDLHKNNLNDSIPVSLGRIIGLKSLDLSGNKLTDSIPDLSLTGLNILDLSQNLLMGPIPSAFWAHKSLIKLDFSRNRLVGPIPEKIMGLKDLMLMDLSYNRIQGPFPMSLRSLSSLQALILKGNPMGSTMIPSDGFDGMKGLMILVLSNMNLHGPIPESLGTLPNLRVLHLDGNHLNGSIPKTFKDLKNLSELRLNDNKLSGSVPFGREMVWRMKRKLRLYNNSGLCYDDANNVDPTFDLSIGLCDTSSSSPGSFRTVQHVSTTEKPMPPTTMNVSSDSTISVLSFGLTTFVVFTSILMFKARGKKQPPWRVFSLKELQSATNNFNYDNKLGEGGFGSVYWGQLWDGSQIAVKRLKVWSNKADMEFAVEVEILARVRHKNLLTLRGYCAEGQERLIVYDYMPNMSILSHLHGQHSTESLLDWNRRMNIAIGSAEGIEYLHHQATPHIIHRDVKASNVLLDSDFQARVADFGFARLIPDGATHVTTRVKGTLGYLAPEYAMLGKANESCDVYSLGILLLELATGKRPLEKLSSSQRRSIHDWALPLACEKKFSELADPRLNGNYVEEELKRVVLLAIVCTQSQPEKRPTMLEVVQLLKGECRDKIYQLENNDLFKSPPADGSATAEGSSDFISEGT >OIW03370 pep chromosome:LupAngTanjil_v1.0:LG10:6871114:6874619:1 gene:TanjilG_29355 transcript:OIW03370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWELSFAGTAYMFGSISPVIKASMGYNQKQVSLLILAKDLGDNVGLLAGKISEVSPTWVVMLVGIIQNVVGYGFVWLIVTHRFPALPLWALCVFICLGQNGATYYNTAALVSCVRSFPESRGPVVGILKGYIGLSGAIWTQIIAMINAPDQASIIFIIAVGPAMVSCALIFIIRPVQGYKQARASDSSGFMFIYSICLILASYIMGVLLVQNMLLLDQSIITLFGVILIILILLPIIVPILLVFSSKPKSPVEETLLEHESCSGAGHVEHEKPPKLAALPLSEGPREIVQFEAKDKTNHKNGPQRGEDYNLTQALVNADFWIMFFSLVMGSGSGLTVINNIGQMCQSLGDNNVNVYISVISISNFLGRVAGGYFSEVIVRNFGYPRLVALAVVQAVMALGLFYYSLGLVGQVYVLAISNGFGYGAHWSIALAATSELFGLKSFGTLLNFLTMANPVGSLFLSGFVASTIYDYYAEQQANHGNDELLVCKGNICFSVTCGILATVCIFATALSLILVHRTRMFYAQLYGKF >OIW03219 pep chromosome:LupAngTanjil_v1.0:LG10:11492157:11495236:1 gene:TanjilG_13013 transcript:OIW03219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQQQQHQPLFTFPETNNNNNPLFPTTTLPRRMFISGDTEVDDDYANCVYWRPLVVIDMVWNLAFIIVAAGVLLTTLDERPNTPLRVWLCGYAIDCVIHMVFVYFQYSSLSPTLYRVLKKLEPVNTVASSVWWAIGFYWIVLGDQYLLEESPRLYWLTVIFLAIDVFAIIFCIGLACVLFFVLICSIPVIAVAFAMRVKEGASEEDIMSLPKYRFSQSNSLVMVDDQKQSSNGSCNGNYTSELSVHPDDSECCICLSSYVEGAELHRLPCTHHFHCGCISQWLRTKATCPLCKFNILRRDTLV >OIW03992 pep chromosome:LupAngTanjil_v1.0:LG10:403181:404132:1 gene:TanjilG_30268 transcript:OIW03992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLVLSFKYALVLFSCTFAFNLLTIIPICALNIGAQTTGVAVSVSKDCSRQCESNFCSVPPLLRYGKYCGLLYSGCPGERPCDGLDACCMNHDQCVQDKNNDYISQECSQTLIKCMNKFKNSGAPTFKGNTCEVDDVIEVIHLVMEAALLAGRVLHKP >OIW03625 pep chromosome:LupAngTanjil_v1.0:LG10:3166593:3166871:1 gene:TanjilG_22282 transcript:OIW03625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKATHIVLCTLLVLLLAEVQLSLAVTCSPVQLSPCVSAITSSSAPSNLCCSKIKEQKPCLCQYLQNPNLKKFVNTPNARRVASTCGTPFPNC >OIW03037 pep chromosome:LupAngTanjil_v1.0:LG10:15379182:15381138:-1 gene:TanjilG_20965 transcript:OIW03037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFVEGNKETGESSVEDDKSEGTHHDSLSRQASESSVYATDHDEEDEDGNKIELGPQCTLKEQLEKDKDDESLRKWKEQLLGSVDVNNIGEILEPEVKITSLSIISPNREDIVLPIPENGKPQGLWFTLKEGSQYILKFTFEVTNNIVSGFKYTNTVWKTGVKVDSSKEMLGTFSPQAEPYTHEMPEETTPSGLFARGQYSARSKFVDDDNKCYLEINYTFDIRRDWA >OIW03755 pep chromosome:LupAngTanjil_v1.0:LG10:2033478:2034704:1 gene:TanjilG_30031 transcript:OIW03755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHHNHHHHTSTSHDNSSGSCHNEPSKDAKWSSKLLRECAIAISQRDSTKIHQLLWMLNELASPYGDCDQKLASYFLQALFSKATGSGYRCYKTLSSVAQKNHSFDSARKLILKFQEVSPWTTFGHVASNGAILEALEGETKLHIIDISNTLCTQWPTLLEALATRSDETPHLKLTVVAITASLVGSVMKEVGQRMEKFARLMGVPFEFNMISGLNHLTKEGLGVQEDEAIAVNCVGGLRRLEVEERESVISMFKSLSPKVVTIVEEEADFCTSKDEDFVKCFEECLRFYTLYFEMLEESFPPTSNERLMLERECSRSMVRVLACNNSDELEDGDCCERRERGTQWCERLKKEFSSYGFSDDVVDDVKALLKRYRSGWSLVVPQGNDHVLGIYLTWKDEPVIWASAWKP >OIW03119 pep chromosome:LupAngTanjil_v1.0:LG10:14437329:14441270:1 gene:TanjilG_07271 transcript:OIW03119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLRNAIPRPAHKERSQPSTRKKFGLLEKHKDYVVRAKAFHQKEDTLRRLREKAANRNEDEFYFKMARTKTVGGVHKPESEANKYTQEELMLMKTQDIGYVLQKVQSERKKIEKLTATLHSIDNQPSKNHVYYAEDREEAKELQSHARNKIPLNSDDIPAIIKRKTERSYRELEARKNRLSQLEKIYLDMSMKKELQKSGRKRKLREDEIVCPTTKPVYKWRAERKR >OIW03993 pep chromosome:LupAngTanjil_v1.0:LG10:399333:402279:1 gene:TanjilG_30269 transcript:OIW03993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVEMEVFSNTQLIVMTILMFIGGEVFTSMIGIHFIRSKFKTELDKIASSHSRLSTPNQPIIVDQIELEIVTKSSPDNSKPEEFHESYLGSTDKNLRYLSMKYLFFVILSYLVVIHVIGVIGVSLYLVVIPSAKEVLKNKGLKMFTFSVFTIVSTFASCGFVPTNENMVVFSNNSGLLLMLIPQILLGNTLYPSSLKFIIWVLGKFYKKKEFCITERKKLKDDPLNFNVLNIVLEVISAYGNVGFTTGYSCKRQLHAEANCEDKWFGFVGKWSNEGKIILIIVMFFGRLKKFNMDGGKAWLLL >OIW02967 pep chromosome:LupAngTanjil_v1.0:LG10:16081514:16082455:-1 gene:TanjilG_13604 transcript:OIW02967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQWILKSSLFQPSQNRKDSKKKYFYNPYFTNPRIFLTLIATAIVITILIFFSHRALVDNRHLCSTTTTEPFTENDAIIAKELDTTASPLVAILHYATAQVVPQQSIGEIRRPFDTLQYLAPCNFLVFGIGHDSLMWDSFNPRGITLFLEEDSKWALTTLQRFPILRAHTVRYSTRVSEAETLFTSYKKDCWTGATADSHILKGNTRCPLALSNLPDEVYDRDWDVIMIDAPKGYFEAAPGRMAVIYSVAVMARGRKRSGVTHVFLHDVDRKIEQQFAKEFLCMKYKVGGIRKLWHFVIPPVFNVSDTTSGFC >OIW03042 pep chromosome:LupAngTanjil_v1.0:LG10:15327475:15336339:-1 gene:TanjilG_20970 transcript:OIW03042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGVATDTVINGGALVPFSDDTNHSLPIYPLNHGLTAPISRLAISWSHGNSLRVSVFAEEPSGSSGAQSCGGKVLEVKLGGGDPEISDAHLRRIAYGSVPPFALLQSRRSEVSALSKAPMPYQLDWWEHVLEYSKEITSLLGGPKLPASAIIEDPNEIVTKGEEPTCLKAAWELMEIFYADKQSQAWLPERLVDWLAGYDSLFTSTQETIHGKLVNFQKELVNIQVIEDDPRYWEAMSSALSVGWLDIVVKMLRLHGSYQLDQLSNRELENGLVEAVAVLISKMPRLRPESPAGNLGECFKSKPDFTKAWERWRSQISKLDCSPYWIQCDNHQTREGLRNMLQIMLGKTENLSKATCYWLELYISHFLYIRPFTMGMESMYNLAQKCIQLKPPSSTHRLTGLLIGIIGENTEVVLAECSREFGPWMVAHAIELLTAGSEHAEILLHDEHYNLGGISIVELHRLVYAQVLSSHALTWQIAPIYLTACMRQGMGLLENLLYRQSVQHNDLLLKNIEICRLYELDNISSNIMKVAGVYHWKHGRKGAGVFWLQQAQDATRLNRIAQQLFDSVGKSISDESFKQWEGVIELLGSESKPAGGLEFLHKYRDFKKSLQQVSGGKSTDAARQATVSLILLMKNPSTPQRFWLPLLYDSLKLLNWKDCPLLNVSETNLLLNKLQELSLARLRPHFIEPTLPAEAISSIRLALATNLGRAILEE >OIW03536 pep chromosome:LupAngTanjil_v1.0:LG10:4201579:4204001:1 gene:TanjilG_30956 transcript:OIW03536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKKNGAMPGRKAEIDTRAPFLSVKEAVSLFGEKVLAGEVYATKLKQIHSGANENGIVESLRHGAVEAELEETRQNLERAKEESMSMTHYLSSLQEELERTKQELQQLKQHETEKHLVEIEDVKFVENLTKFEINDFTSDEEKIEFHKKRYVTFANPPSVSHVMLPQEIEKLERNPSLGNKKKKKPLIAFIGGIFSRKKGN >OIW03467 pep chromosome:LupAngTanjil_v1.0:LG10:5048957:5055457:1 gene:TanjilG_14692 transcript:OIW03467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSQTIEHIVLFKVKEDTDPSKITAMVNGLNSLTSLDQVLHLSKETPLGLATQSAHPGSTLMDSQDNVAAPRRKENSMKARHKVEKKVSASQIAFGDGGEASSFKSYGFAKGGSNINGSQSSASNAVREKDYKEPWVRLLQLLSYNSSCEEVRRPYAGNPETLDEEEFGEAAESRTYDENSSNPAMELGLLEENPEKSMFLIQLPASLPIFKGSAGGEDANENSKPSKGSKNATKPCKLNELPSGIMGKMLVYKSGKIKMKLGDTLYDVSPGMNCMFSQEAVAVNTAQKHCCTIGEIGKHVTVTPDIDAVLDQLTDL >OIW03067 pep chromosome:LupAngTanjil_v1.0:LG10:14842825:14852853:-1 gene:TanjilG_19347 transcript:OIW03067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRPSTRSKNKRQRQGDDGASNSEIWRKIHQTGAVTEDDMNKLYMIWKPVCSGCRVNTKDNPNCFCALVPPPNGTRKSGLWIKMSDFIESLGPDPTKDLRASASSPAGLTNLGATCYANSILQCLYMNKTFREGIFSVEQDVLQQQPVLDHLARLFVQLHISKMSFIDSSPFVKTLELDNGVQQDSHEFLTLLLSLLEHCLSHSKIAKARTIVQDLFRGSVSHLTTCSQCGRDSEASSKKEDFCELELNVKGLKSLDESLDDYLSVEELHGDNQYFCQSCKTRVDATRSIKLCTLPDVLNFHLKRYVFLPKTTTKKKISSAFSFPSELDMRHRLSELSQFELLYDLSAVLIHKGSAANSGHYIAHIKDENTGQWWEFDDEHVTNLGCHPFGEGASTSKSVKSDVAHSNCSEARIADSNGNGLYASHSQSSHLETFSSSEAYMLMYHLKHIKNVGKTGSMICGANHKGDVDAVTVQANAHLPSNLCEEIENFNASYLDACKKYSDKKETELNCINERRQEVRSVLAEAPVKPLEQPFFWIYSDWLRQWADNIVPTALDNTSIQCSHGKVPVSKVTSMKRLSSKAWDKLFSKYGGGPKLSHDDHCWDCLIDGAQNVVSADTYRGQRESLKQLARDILDGNCQDGKYYVSRQWLLQWWKRKVLDAPSEADAGPTTAISCPHGQLMPEQAAGAKRVLVPEGFWSFLYEDAISVQLDDPLDCPTFPLDSRECSQCCNELSEVTCLEDSLRLVKQRHRQNHEKLFLGKSMPLSLHCKYFLVPSSWISKWRNYINPTVKNSDKPETLDAVIDSLMCEKHSQLVERPPELVFRRNTISTRESAAGGLTIIFENDWKLLCEEWGGTETKGISAIIVDINESENSLAGSCKEMPICEDQLDNGSGNGQVVIKTFPEVCESCIGERESCELMRKLNYCNEEISIILVHGKEVPKSILEASKGFVETDRRVSKRARKIKNGSSTSLKVSASTTIYQLKMMIWESVGVVKENQILQKGDRTIDIDNESATLVDVNIFAGDQIIVRDSEIHENRDIADELFDEKMDTQHTEEGFRGTLLTSNISSQVV >OIW03723 pep chromosome:LupAngTanjil_v1.0:LG10:2301324:2303110:1 gene:TanjilG_29758 transcript:OIW03723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKPEQCGKNNNNKLRKGLWSPEEDEKLMNYIINNGQGCWSDVARNASLQRCGKSCRLRWINYLRPDLRRGAFSPQEEELIIHLHSLLGNRWSQIAARLPGRTDNEIKNFWNSTIKKRLKNTNISTTTTSDSPKVYNPQSHELNKDHNNMGGFISTQHNQHAGFMPIFTSSLSSTMQTTMINTMNIEGLPVLDHGLNMQVDGGYFNGTGQCFEDNNKGFYLENDGIFGSVNNIGIEGDMFVPHLESVNTINSIDHNNHHRVENITCKRETNNNSTNFDDNKRSENRVGVGNLFQEELTIGEWDLEELMKDVSYFPFLDFS >OIW03246 pep chromosome:LupAngTanjil_v1.0:LG10:10950986:10952741:-1 gene:TanjilG_21775 transcript:OIW03246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQLTDEQISEFKEAFSLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMARKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQINYEEFVKVMMAK >OIW03001 pep chromosome:LupAngTanjil_v1.0:LG10:15780797:15785424:1 gene:TanjilG_13638 transcript:OIW03001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIFEYNGSAVVAMVGNNCFAIASDRRLGVQLQTVATDFQRIFKIHDKLFVALSGLASDAQTLYQRLVFRHKLYQLREERDMKPETFASLVSSMQYEKRFGPYFCQPVIAGLGDGDKPFICTMDAIGAKELAKDFVVAGTASESLYGACEAMFKPDLEPEELFETISQALLSSVDRDCLSGWGGHVYLVTPTEVKERILKGRMD >OIW03806 pep chromosome:LupAngTanjil_v1.0:LG10:1642502:1645761:-1 gene:TanjilG_30082 transcript:OIW03806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLERTVSSRRYNLHGDAIDSDDGGVSSSSDESKTKKHHHTNRASNYLSRFTTGSGYHYSCAIIAFFFLLLILGSSLIFTSRGFVCISSFDPVSRARFFGFDGLQSDFGALGVPCCRSKHGKTVEWTSKDLIKGLEEFVPIYETRPIKNNMYGMGFDHSFGLWFMARWLKPDLMIESGAFKGHSTWVLRQAMPNTPIISLSPRHPEKYLKKGPAYVDGNCTYFAGKNFVDFGSVDWPKVLHKHGILNLSQVLIFFDDHQNELKRVEQALKAGFQHLVFEDNYDTGTGDHYSLRQICDQSYIRGGGHSCFKDSDEARIRSRRKKFWEKAVDMEELCGAGEAWWGVRGYMRDNFNHSNKPISYAQHFQNSRFIESILDVYWELPPVAGPSLTHQTRYDPARAPSPIVEDGRHGLFQRLGLAKLDNSVFNGYTQMVYLQVSEP >OIW03951 pep chromosome:LupAngTanjil_v1.0:LG10:644538:644849:-1 gene:TanjilG_30227 transcript:OIW03951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >OIW03224 pep chromosome:LupAngTanjil_v1.0:LG10:11629944:11665260:-1 gene:TanjilG_13018 transcript:OIW03224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFTHIHVETSWERKKGIEIPNPQLLLLSGSISSGGDGDSGIPHSWRLEALGVARGDEVELDEEEREPVDGDGLEEGRDVDDDEEDEEEEGQDEYENDGFIVDEDEEEQDEEERAESDEERQKRRKKKRKEEYVLDEDDYELLEDNNINIHRRKDIKKFKRLKKGQRDTEEEPSGLSDEEDFVGSGKVGRTAEEKLKHRLFGDDEGAPLEDIVEDEEQGEEEEEDADIGEDDEMADFIVDEEEVDENGAPMRRTKPKRKTRQAHGVSSSAVQEAQDIFGDVDNFLLTRKKNLESNEYRETRLEDEFEPIILSEKYMREEDDQIREVDLPERMQISEMSTGTPPLDGSIDEESQWIVKQLSEGAVPWICKKIPTSQNNRKNLPIDENDIIRFLELYHVQKLDIPFIAMYRKEECLSLLKDLERPEAGGESLDKNNMTPTPKWHKVLWALLDLDRKWLLLQKRKVALESYYTKRFEEESRRIYDETRLNLNRQLFESVMRSLKEAESEREVDDVDSKFNLHFPPGEAGVDEGQYKRPKRKSVYSTYSKAGLWEVANRFGCSSEQLGICLSEVKVPELVDPKETPEEMASNFTCAMYNTPEEVLKCARHMAAIEVSCEPSIRKHVRSHFLDHAVVSTCPTADGNVAIDSFHDFAVVKWLREKPLSKFEDAQWLLIQKAEEEKLLQVTIMLPEENLNKLIDQFNEYYVSDSVSRSAQLWNEQRKLILHDAIFRLLLPSMEKEARGVLASKAKNWLLMEYGKALWNKVSVGPYQQKENDLSSDDEAAPRVMACCWGPGKPQTTFVMLDSSGEVLDVLYTGSLTLRSQNAGDQQRKKNDQERVLKFMTDHQPHVVVLGAVNLSCTRLKEDLYEVIFKMVEENPRDVGHEMDGLSVVYGDESLPRLYENSRISSEQLPSQQGIVRRAAALGRYLQNPLAMVATLCGPRKEILSWKLNSLESFLNPDDKFGMVEQVMVDVTNQAASLQRSLVRAGAIFTRKDFLKEHKLEKKVFVNAVGFLRIRRSGLAASSSEYIDLLDDTRIHPESYVIAQELAKDVYEEDGTGDANDDDDALDLAIEHVRERPSYLKNLDVVEYATAKEEQKKKQQQTVINLLKKIETLHDIKSELIQGFQDRRKQYEEPSQDEEFYMISGETEETLAEGKIVQATVRRVQAQKAICGLDSGMTGILMKEDYSDEYRDIIELSDRLHEGDMLTCKIKSIQKNRYQVFLVCKDSEMRSNRLQNSRDFDPYYHEDIRCLQSEHDKARKQKELAKKRFKSRMIIHPRFQNITADEAIELLSNKEPGESIIRPSSRGPECLTLTLKIHDGVYAHKEIVEGGKERRDITSLLQIGKTLKIGDDTFEDLDEVMDRYVDPLVTHLKAMLNYRKFRRGTKAEIDELLRIEKAENPMRIVYSFGILHEHPGTFILTYIRSTNPHHEYIGLHPKGFRFRKKMFEDIDRLVAYFQRHIDDSHHDSTPSIRSVAAMVPMRSPAAGGSSGASGGGGWGGSHSEGGRRGHSYDRDRSSTPGSRTGRADYRNNGNRDEHPSGLPRPYGGGRGRARGSYNDNRGHGSNNERQDSGYAGGRWVSNTREGGDGLSNFPGAKVQNSPGREAFPGGWGGGAGSGDAEHGSSGSGTASKKASDNGWGSAIAGSGSGW >OIW02954 pep chromosome:LupAngTanjil_v1.0:LG10:16239520:16246669:-1 gene:TanjilG_13591 transcript:OIW02954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDGNGNNNLNFPDSLFSSKSFDSHSNLKDQLLSDSSIPLSPQWLYSKPVDAKTPTNPAGLNSTDPLLKDNWRLEGSQDKKDWRKNAPDVDINRRWREEERDTSLLGRRDRRKDDRRTDITSTSENRSLPSDRWHESRGSGHDSRRENKWSSRWGPDDKEKDSRSEKKNDIEKEDGHTEKQSSSVSNRAGSDRDPDSRDKWRPRHRLEAQAAGVATYRAAPGFGQEKGRAEGPNVGFSPGRGRANINGNLQIGRPPLGSSVGSAFVDKNKAILGKSSLGVGSYYYPRGKLLDIYRKQKVDPTFESMPSGMEHTSPVTQHGSIEPLAFVAPAAEEEAILEDICKGNITSSEGLGHSFRGKNAGSNEDISGIGATVGEAKQPSNGNGRKVISGVDTSNDSDKIFIGSASTAGGSLQNVVEEIATFQEGKQKHVPTIDAHGRDASSGSNTEDVMIHRNKVVESETFDSYQGPIPAFQGHANQDSIQSIAASEISSNLPDDSCSFLDFSSLQHAPSINQQDLKINEKTYLFESVTTPEELSLCYLDPQGIIQGPFLGIDIILWFEQGFFGIDLPLRLSDAPEGSSFQELGEIMPHLKVKSRLGSESNLTTQSEPSDAIGRNLKGDVHSFDYNRSAASDDQPWSSSRPDATSSVGSQSQMPNQSHHFETKFSDDQYFNNIIAQDEDTVLSKLAGSNNDNPLMRPVDANASYSRLTGITVANEVAGSDTHNSEDDKLHPFGLLMSELRDASHLRPAQSSNSSLRLGDQGHFLDPLVDRDAPFHYQGSLGGMDNQPSFRETWPDEYGINRHLNPNVHVGSLEDQFQSHLGPNFNNHDMAEHLMLQKLQKDQLQQQSGMSSNHIPSHLVGSDLERFAGFSVSQSNNSNVQQMIHNSRSYYERLLELQVQQRQLELQQQKGMHHQQLLQQMKLQPQQQSQVQQLLFEQLMNQHISDPNFGQSKHDISRDMFDQVQHRRYLHDLQKNSHSLRHIDPSMEQIIQANMGLNAIQGRQPDLSDILLKARQGSILPSEQQLHFQQDQLQAQKMSMALRQQLGLDGERHFGRSWSVNEAGKLVRNPPNHHQLGHTAGFNVSDIHKQQQRVVPPEEQLNYLGRNLPEQNQRGFYESNSMLFDRSAPVSFQGRQLQEHHRYVHPTDQLGSLSSHHTQSGDIFGQHLDAFKSSLPGNNGHLENSWIDPRVQLQQLEAVRQRRVLGDASADPSMSASAGSHEESSAQGFMDLHQKLDLQSTLPSAVDKWHPLSSRSHDRSWQVSEASSLVHPFELPPDQVRTNGPFLQRTQSGNSSALMHDHLASMHINEQYNNLGNAERIPLRSRSGSLMEGQSLLSSNKDTLYPSYRIPPLTGKSAMEKELLELEISKGHRHEFMGTVTKSVPGMSDLSGQVEGTMNSMERPGSVTHSRHISQSSAGGDGGSFGREVGLNTSRVDEVSIDRMPPSKGFDNALHKRSHVSRVLSSPDVQSDQPSTHVKQNNLMNLASSEGRREPPGNSSISSVADAQAYGKKDVQFRTNSFSEGAVSETSFMDMLKKPVLPEVDAHAASGAGNESYDGGQVGRSGKKKGKKGKQIDPSLLGFKVSSNRIMMGEIQRSED >OIW03886 pep chromosome:LupAngTanjil_v1.0:LG10:1119805:1122086:-1 gene:TanjilG_30162 transcript:OIW03886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSNFKEQAIEYVKQAVEEDNAGNYAKAFPLYMNALEYFRTHLKYEKNFKIREAIMVKFTEYLRRAEEIRAVLDEGGPAPSSNGGGGGGGGGGGDGEDPEQAKLRAGLNSAIIREKPNVNWNNVAGLESAKQALQEAVILPVRAFLLYGPPGTGKSYLVKAVATEADSTFFSISSSDLVSKWMGESEKLVSNLFQMARDNAPSIIFIDEIDFLCGQRGEGNESEASRRIKTELLVQMQGVGNNDQKVLVLAATNTPYALDQVHLGDTPHNLTESDFEHLASKTEGFSGSDLSVCVKDVLFEPVRKTQDAMFFFKSSEGMWIPCEPKQQGAVQITMQELAAKGLASKILPPPITRTDFDKVLARQRPTVSKGDLEVHERFTKEFGEEG >OIW03519 pep chromosome:LupAngTanjil_v1.0:LG10:4459811:4461405:1 gene:TanjilG_31032 transcript:OIW03519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGFFSLGGRGEGPQNKEEEQERQVNNTQFLFRNEEIYNRGFQIWPQYNSNNNINNYYSFGVEPSRRNSSSSNNVVDNVVSVSDESTRFGFSVMRASGSSGFGGEMNCQDCGNQAKKDCPHLRCRTCCKSRGFQCQTHVKSTWVPAAKRRERHQQQHNEPQQQQQFWGVDHSSRRNRENQGSAGPLACAASLPVTTTGLELGQYPPELNSSAVFRCVKVSPIDSPDERYAYQTAVNIGGHVFKGILYDQGPDSPYTSATTGAGEGSSLGGGSGGDAQQLTLTTATTTGNPYYQPPLNAFMAGTQFFPPRS >OIW03411 pep chromosome:LupAngTanjil_v1.0:LG10:5998983:6000725:1 gene:TanjilG_12008 transcript:OIW03411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAPCCDKANVKRGPWSPEEDATLKSYLQIHGTGGNWIALPTKAGLRRCGKSCRLRWLNYLRPDIKHGGFTEEEDNIICTLYVQMGSKWSAIASQLPGRTDNDVKNYWNTKLKRKLMAGKVCHKKLNDNDTLPSTTLLTQKAQSLDFHASQNQNSMPNLSTDACSEFNIDEKNMGFGLIHQFYSPQVKDVVKEVGASSRNNNHNHNPLMSLSQEGSSISDSSSIAVENNCVLLPEHAGNHESAEQVLMDFGFEFPYDLTNNLNCHERFGEFSPSGYSEWVDFSYVDIKPHLLNKN >OIW03114 pep chromosome:LupAngTanjil_v1.0:LG10:14320244:14321845:-1 gene:TanjilG_07266 transcript:OIW03114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSPMLFISTFVVLLSISLTNSVPIQETFNSCLKLQSQIPNQFPSAVYTPNNSSFTSVLESTANNLRYLLPSVPKPEFIFTPFHDSQVQSAVVCAKQLGIHMRIRSGGHDYEGLSYVSLIEKPFMILDLAKLRDIDVNIAQNAAWIQAGATIGEVYYRISEKSATHGYPAGLCTSLGIGGHITGGAYGSMMRKYGLGADNVLDAKIVDANGRILDRKAMGEDLFWAIRGGGGGSFGVILWWKIQLVHVPQIVTVFRVTKTLEQGANKVLYRWQKVAPNIDENLFIRVLIQTSNESVSGHRTVTTSYNAQFLGSANRLIKVMKQSFPELGLKRKDVLETSWINSVLYIAGYPNGTKPEILLQGKPTMKVYYKAKSDFVRKVIPEKSLNSLWKKFLQDDGPLMIWNPYGGKMSKISESATPFPHRKGTLYKVQYVSGWIDGEKSMAKHMDWMRKFYNYMGPYVSKNPRETYVNYRDLDIGMNLKNSTSFSNAWSFGYKYFKGNFVRLVKVKSKVDPSNFFRHEQSIPPLPKGSKG >OIW03369 pep chromosome:LupAngTanjil_v1.0:LG10:6889576:6891891:1 gene:TanjilG_29354 transcript:OIW03369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLESKMASTECNKGDALNAKGLAEEKLLKKDYGGARIFAMKARDLDPNLAGLPQLLAVIEVNISAERRVNGQVDWYGVLGAQPLADDATIRRCYRKLALMLHPDKNKSVGAVDAFKHITQAWSLLSDKDKKVIYDQNRNSWGRYEEVPGRKPSVPAHQSGFCNNIFNTANCKDRDPRNGTQPIPSPVSPVTLKQTFWTRCNSCRTQFEYRTAYINCKLICTSCRQSFLAHEEPPPPVYKNGSSTSRISQMKQYNFNSTRIERNCHASGRTPMHSVNSSLGPGHFSTPGGISSVPTPASAASEEPAVYGMSSGNLKRRREDSTPIIREEGHFEKAHAVVRNVSGSTSKSSCFGPNSVLIGDNSRKRRPDENPVRADGRVNAAGSYKRNGTREVSQLQMKSMLMEKARKEIRKKLDELKASSIPRNLEKPKNTVAEVREKNRQRAISRVKHAAPESVDSETIGNKCFSADSEVTESITMSVPDPDFHDFDGDRIENAFGENQIWAAYDDDDGMPRFYALINSVISTKPFKMKISWLSSKTNDELAPIKWVSAGFAKTVGDLRIGKREISTTLNTFSHRVKWTKGSRGIIHIYPKKGDVWALFRNWSVEWNEFTEDEIIHNYDMVEVLEDYSDEQGVDVAPLAKVAGFKTVFRQNADPTKIRNIPKAEMFRFSHQVPSYLLTGQEGPNAPSSCLELDPAATPMELLQTAIKDAPEQEMTTEKSSKDEPQPNVNSREDTVDVPRKEAVEAMKRKESRPEILFVYKRTRKRQKV >OIW03061 pep chromosome:LupAngTanjil_v1.0:LG10:14916888:14917700:1 gene:TanjilG_19341 transcript:OIW03061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLKSSSLLYLSLFLTLSCAIHALDITKLLNKYPEFSTFNNYLTQTNLADQINKRNTITILAVDNGAASSISGKSQATIKAIISTHVVLDYFDEKKLTEAIGSGDELTTLYQSSGLAINRQGFIKVALVGEGNIGFGSAVKGAQIDAELERTITTQPYNISIIKISKLIVFPGADNAQTAKATAPVSSQSVEAPAPSRKVKAPSPARDESVADSPEGAGVPDAEASGQISEAPGPSGADDAADAASSSSSNIQIGLVGIVMSFASLLVVL >OIW03271 pep chromosome:LupAngTanjil_v1.0:LG10:10748409:10749590:1 gene:TanjilG_20575 transcript:OIW03271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSSRDAVLENTNLVSEILLRLPVKYVLRCKCLSKEWFKTISTPEFRNSHTLRMCQNSPPSLLIHTCRHTLQFQIVYLSNTDENPSPIRTCEFDNYLFNPNLFINIMQSSNGFILFKATYCILGIRVPCYSNQHQYNFFNPITRKFSFVGFPRENFKDKIVALYFAFEPLRSPYFKFVSFRRVIDVNWRWDNLTGNQSSSPKKFNVNLFSSETSSWSEEDILFIAPPNIHVDSGVYCNGAIYWYISGGDSIYFDVGRKCFNTLPYPTFIDYNNMLVKYFGESKGNLHLILVSNDESVEFDILELKKDISGWVVRYHVDLNLIGGTFSQHGNLKYSVLCVVRQAKEEDSMLVLFVDSKILSYNLKNHTSRIVCGIDDVGQSFQYFETLSNILDF >OIW03974 pep chromosome:LupAngTanjil_v1.0:LG10:526582:526812:-1 gene:TanjilG_30250 transcript:OIW03974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSGGIDAQEIINGGGCSTPKRWECRIPTSLVPPPPPKKKPFSFGKKRGPPKNGYFQPPDLEEVFFVISSTSSASN >OIW03086 pep chromosome:LupAngTanjil_v1.0:LG10:13927789:13928238:1 gene:TanjilG_07238 transcript:OIW03086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGMEVRKNKHIEDWGTARENLELNFRWTRRNLALFGIFGIALPYLVYKGVVREFHMQDEDNGRPYRKFM >OIW03472 pep chromosome:LupAngTanjil_v1.0:LG10:5014572:5017562:-1 gene:TanjilG_14697 transcript:OIW03472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSQDNAAAPRRKENSMKARHKVEKKVSASQIAFGDGGEASSFKSYGFAKGGSNINGSQSSASNAVREKDYKEPWRRPYAGNPASLPIFKGSAGGEDANENSKPSKGSKNATKPCKLNELPSGIMGKMLVYKIGKIKMKLGDSLYRWTCKTNFTGSLVENLTRLDEVSPGMNCMFSQEAVAVNTAQKHCCTIGEIGKHVTVTPDIDAVLDQLTDL >OIW03665 pep chromosome:LupAngTanjil_v1.0:LG10:2798027:2800123:1 gene:TanjilG_30729 transcript:OIW03665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKKTFSSNLLLFLIPLLFQTIFSFSQSESFTNHGSLTHSQLTYITQRQLLYYKDEFGDRGENVTVDPTLVFENNRVRDAYVALQAWKQAIFSDPHNLTLNWVGSDVCNYTGVFCALALDNSTIRTVAGIDLNHGDIAGYLPEELGLLTDLALFHVNSNRFCGTVPRMFEKLKILFELDLSNNRFAGRFPAVVLRLPKLKFLDLRFNEFEGTVPKELFDKDLDAIFINDNRFVFDIPENFGNSPVSVIVLANNRFHGCVPSSLGNMSNLNEILLLNNALRSCFPPEIGLLKNLTVFDVSFNQLLGPLPDAIGGAVSLEQLNVAHNLLSGKIPASICNLPNLQNFNYSYNFFTGEPSQCLALPAFDDQNNCIPARPVQRPERECKLFLSKPVDCNSFRCKPFVPSLPPPPVALPPPVYSPPSPPPVNSPPPPVYSPPPPPVYSPPPPPVYSPPPPPPPVYSPPPPPPVYSPPPPPVYSPPPPPPVYSPPPPPPLYSPPPSPSPSPPSPVYCVRPPPPSPQNSPPPPPVSYYYNSPPPPSQSPPPPSPPPPSHSPPPPPPHSPPPPPAPVFSPPPVHSPPPPVYSPPTPAPVFSPPPPHSPPPPVYPYLSPPPPPPVHSPPPVYSPPPPSPPPCIEPPPSPPPCIELQPPHATPYLLPPPPVHYISLPPPQSPAPAPVYEGPLPPVIGVSYASPPPPPFY >OIW03674 pep chromosome:LupAngTanjil_v1.0:LG10:2718904:2721210:1 gene:TanjilG_30738 transcript:OIW03674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGKKKDESFVSYYSPLPSNPNPNSPNYPYPPSQNVVVLLPPYHGHRNHRNRFSCLIYSIIALLILAPAIFLFYPSDPEIHLARIRLNRVAIRTNPKPTLDISFSLTVKVRNRDLFSLSYDSLAVSIGYRNRELGFVTSGGGKIKARGSSYVDATLSIDGFEVIYDVFYLIEDLAKGVIPFDTDTRVEGKLGLFLFDIPLKATVSCQVYVNIKDQTIVRQDCYPESLGDALDQSADVEAGDT >OIW03220 pep chromosome:LupAngTanjil_v1.0:LG10:11497641:11498299:-1 gene:TanjilG_13014 transcript:OIW03220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLCFNSFSLHSPLPSSSSSSILTIATTPFSRTHFYPIVGLPTKCLRKDQISTTFVVKRRESAVIVGAVGEGVDGTSLPEEGGGSVSAVPAAANEVVSVDKLPLESKLKEREEQRLRMKLAKKIRLRRKRLVRKRKLRKKGRWPPSKMKKLKNV >OIW03457 pep chromosome:LupAngTanjil_v1.0:LG10:5177607:5179911:1 gene:TanjilG_14682 transcript:OIW03457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPQLFAYALNFPIQKFLQAQRKVNVMLCISAAVLVSHTFFSWLLILKLGWGIKGAAITLNISWWLVVIAQSLYIFITKSEGAWSGFTWLAFVDLYDFVKLSLASAIMLCLEFWYLMILVVITGRLKNPIVPVDAISICMNINGWDAMIAIGFNAAISVRVSNELGAGNFRAAKLAVLVVSITSVCIGVIAMIVVFATRDYFPYMFSKSLPVAKETTKLAALLGFTVVLNSLQPVLSGVAIGAGWQSLVAYINIVCYYVFGLPAGILLGFTFKYGAEGIWSGMIGGIVLQTIILIIIISVTNWRNEAEQAASRVKKWGGTIASEE >OIW03551 pep chromosome:LupAngTanjil_v1.0:LG10:4011496:4016616:1 gene:TanjilG_30971 transcript:OIW03551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNCEATHVSMEIEASKATDNGTTLPGLSPLSETIWREKTDTEMIGDVSARLTWKDLTVMVTLSNGETQNVLEGLTGYAEPGTFTALMGPSGSGKSTLLDALSSRLAANAFLSGTILLNGRKAKLSFGTAAYVTQDDNLIGTLTVRETISYSARLRLPDKMAWSDKRALVESTIVAMGLQDCADTVIGNWHLRGISGGEKRRVSIALEILMRPRLLFLDEPTSGLDSASAFFVTQTLRALARDGRTVIASIHQPSSEVFELFDQLYLLSGGKTVYFGQASEAYEFFAQAGFPCPALRNPSDHFLRCVNSDFDKVKSTLKGSMKLRFEGSDDPLDKITTAEAIRTLLDYYRTSQQSYAARQKVDEISKVKGTVLDAGGSQASFFMQSYILTKRSFINMSRDFGYYWLRLVIYIVVTLCIGSIYLNVGTGYNSILARGSCASFVFGFVTFMSIGGFPSFVEDMKVFQRERLNGHYGVLGFVISNTISATPFLILITFLSGTICYFMVRLHPGFWHYLFFVLCLYASVTVVESLMMAIASVVPNFLMGIIIGAGIQGIFMLVSGYFRLPHDIPKPVWRYPMSYISFHFWALQGQYQNDLRGLMFDNQTPDLPKIPGEYILEYVFQIDVKRSKWIDLSVILSMIIIYRIIFFIMIKINEDVTPWVRGFLARRRMQKKSGAQNTTIAPDVLTQSPSLRAYISNQTKNGTSKS >OIW02981 pep chromosome:LupAngTanjil_v1.0:LG10:15960408:15962438:-1 gene:TanjilG_13618 transcript:OIW02981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTLVLFLWLFVPSLGQDLLSCETTSEEVFGYNCNNGNSSQNQCETFALIFTNSYYSSLSNLTTNLGLNRFVIAEANGFSAETEFLSQGQPLLIPIDCKCKGDIFRAELSKISIKGESFYGIAKSLEGLTTCKAIRENNPSVSPWNLVDKIKLVIPLRCACPFSSQVIPQPRFMLSYPVSEGDTISNLASKFNITKEAIVSANNISSSEGFRPESLIPFTSILIPLNGNPILGPLAKPKEPNSGFSATRIPVIGPHKKSKMSKTDLYIGLGGVALGVFIASAVVFFVIRLKHKRLEESSIKEADLELEHLNLSVRTTTTNDKKVSFEGSQDTLDGKIVDSTTPRKMLLEIYTTEDMKKATEDFNSSNQIEGSVFRGRLIGKDMAIKRTKTDIASKIDLSLFHDAIHHHPNILRLLGTSLSEGPESFLVYEFAKNGSLKDWLHGGLAIKNQFISSCYCFLTWSQRLKICLDVAAALQYMHEVMNPSYVHMNVKSRNIFLDEEFGAKLGNFGMAGCVENETEDPNFYSTNPASWSIGYLAPEYVHQGIISPSIDIFAYGIVLLEVLSAQTPISRPNDKADGSVWLTDKIKSISMSKNVNELREWIDNALGENYSVDAVVSVANIARACVEEDASLRPSAREIVEKLSTLVEELPEGEQNMLMSESSSSPLVNVVGKIM >OIW03422 pep chromosome:LupAngTanjil_v1.0:LG10:5609754:5620722:1 gene:TanjilG_12019 transcript:OIW03422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRITLPFSIRKSRRTNTKTIPLYPSLSKHNNDVVQHGFDGSSFIGAVFNLSTTIVGAGIMALPSAVKQLGLIPGLGMIILGAMLTEASIDILLKFTRVSKSTTYSGVVCDSFGEVGRILLLLCIIVSNIGMLVVYMIIIAKKHSVVTKHNVVCDCVVTGDVFSGTWSEGVHYSGVLEEWFGQHWWSTRPVLLLLTMLLVFLPLASFRRVDSLRYTSALSVGLAVVFVVITAGVATYKFIDGSIGMPRLMPKFTGQESFWKLFTTIPILVTAYICHHNVHPIDNELKDPTHMKSIVRTSLLLCASVYVATSLFGFFLFGDKTQDDVLANFDGDLGIPYGSFLNDVVRMSYGAHLILVFPIVFYSLRLNVDGLLFPHAIPLAFDNQRFYLVTIVLLVFIFLGANFVPSIWDAFQFTGATASVSAAFIFPAAIAIRDTRGFATKKDKRLSWLMILLAISSSTVAISSDLYSIFSSEAGATART >OIW03147 pep chromosome:LupAngTanjil_v1.0:LG10:13408750:13409304:1 gene:TanjilG_11784 transcript:OIW03147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTYFSITPSLALLFLLYATLSSASKVVDVKDICAKARDPKLCSSVLNSRPVGARGANLITLAQYTINVARVKATNTVKLINILIAKSGSDHKAKNHYKTCLTHFNKDEGALNDIDYVEELLKKGDYFGVGTAASAVITDVDDCITGEDPEDPPYPDKSNLPQYADVVQKVVNILLIISKYLIQK >OIW03102 pep chromosome:LupAngTanjil_v1.0:LG10:14100028:14106589:-1 gene:TanjilG_07254 transcript:OIW03102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLPVTTKNDHRRGIRSKGIQTSSSSKPSLIMAFFSCVAWLYVAGRLWQDAENRNLLTTLLNNNSAQRPKVLTVEDKLMVLGCRDLERRIVEAEMELTLAKSQGYLKGQPQQNRRLLAVIGVYTGFGSRLKRNVFRGSWMPRGDALKKLEERGVVIRFVIGRSPNQGDSLDRNIDQENRSTKDFMILEGHEEAQEELPKKAKIFFSTAVQNWDADFYVKVDDEINIDLEGLIELLERRRGQDGAYIGCMKSGQVISDDGKQWYEPEWWKFGDEKTYFRHAAGSLVILSKNLAQYININSVSLKTYAFDDTSLGSWMLGIQATYIDDSHLCCSSITQDKVCSIA >OIW03640 pep chromosome:LupAngTanjil_v1.0:LG10:3052338:3056960:-1 gene:TanjilG_22297 transcript:OIW03640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTISHSKLSEFPLLKSNLSSLSHFPRSSTLFLSHSNFPFPTLIFSSLRTTQHNNKNNNNNNHNHNNSPSKPNLSAPWLTKTPSSDNAPKRVTESTTKDLFSNATDKGHFQSGDKGQNAVERIFLRLRNLGLTPDDEKEEEAKAKLEETEDKGNDVVAVTGDERLGELLSREWVRPDSMLLLDEDKLNDEMVLPWEREKYEKVDKKENEKGEKGENGLSKRRAKAPSLAELTLEDELLRRLRREGMTIRERINVPKAGLTQDVMDNIHKTWRREELVRLKFHEELARDMKTAHKIVERRTGGLITWSSGSVMMVYRGINYQGPASEIQLNQREGDGFFVPDVSSGSLSRTKDGNETSTLEKTEPVVKIEEQSENMTEEEAEYNALLDGLGPRFVEWWGTGILPVDADKLPPTVPGYKTPFRLLPVGMRANLTNAEMTNLRNLARSLPCHFALGRNRHHQGLACAIVKLWEKSLVAKIAVKRGIQNTNNKLMSEELKVLTGGTLLSRNIYFVVIYRGKDFVPTSVASILAEREDLSKQVQDVEEKVRFGAADATPSGQDEATPHAGSLAEFYEVQARWGRDLSAEEREKMMEEAAKAKSLRIVRQIEHKLDLAQGKKHRAEKLMSKIEASMIPAGPDHDQETITDEECAMFRRVGLRMKPYLPLGIRGVFDGVIENMHLHWKYRELVKLITKQKTLAFVEDTARLLEYESGGILVAIERVPKGFALIYYRGKNYRRPITIRPRNLLTKAKALKRSVAMQRHEALSQHITELEKTIYQMRRELGMSQDLELEDRWSVEDHNQIDNISEFNESEDEDSDDFDNDRGFDDMEDSD >OIW03812 pep chromosome:LupAngTanjil_v1.0:LG10:1590303:1592716:1 gene:TanjilG_30088 transcript:OIW03812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRAGEQEQEGNRSKLFNFRGMFENTGRHTKSLSVESANTLDPTPIEDSSKSQGSNVPKARVISKEEIAAKEARDKLLQEMEQMKEKFAKLLLGEDMSGGGKGVSSALALSNAFTNLAASIFGEQRRLEPMPPERKSRWRKEIDWLLSVIDYIVEMVPSQQKAKDGSQMEIMTTRQRTDLHMNIPALRKLDTMLIDCLDNFKDQDEFYYVSKDSEDSDKDNSNSRNNDKWWLPTPKVPPEGLSDTARKFLQYQKDSVNQVLKAAMAINAQVLTEMEIPESYIESLPKNGRASLGDSMYRSITVEFFDPDQFLSMMDLSSEHKILDLKNKTEASIVIWRRKMNQKDSKSAWGSAVSLEKRELFEERAETILLFLKHRFPGIPQSALDISKIQFNRDVGQAVLESYSRILESLAFTVLSRIDDVLQADYQTQNPAGRKSSARSSVSKSSPREETTDKGSGETPGSMTLLDFMGWDADQGDAELTKKDSFAVSDDFCKEPDAKQQKLPNLVTHKKMSYLENLGVMRSPTSRH >OIW03338 pep chromosome:LupAngTanjil_v1.0:LG10:8237404:8239525:-1 gene:TanjilG_15268 transcript:OIW03338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFLSRTQVLKFKSVLKCGKIDPSSKKFSSSSWSNGPPPPSETNGKVFCFTNENPTKVKVTRISVYDVCRVLETGPWGPAIEDALNVFDEMPQPELVVGVIRKLKNVNTALQFFRWVEVKTEKAHDPVAYNALLMLMARTRSLDHFEQIIEEMSMAGLEVSHNTCIELVARFVNSRQVREAFVIIETMRKFKFRPAFSAYTTLIGALSASHECDLMLTLYHQMQELGYEVSMHVFTTLVRVFAKEGRVDAALSLLDELKSNSFNADLVLYNVCINCFGKVGKVDMAWKFFHELKAQGLVPDNVTYSSMIGVLCKAERLDEAIELFEELDLNRSVPCVYAYNTMIMGYGSVGKFNEAYSLLERQKKKGCIPSVIAYNCILTCLGRKGKLEEALRIYEEMKKDAAPNFPTYNILIDMFCKAGKLEDALKVRDAMKEAGMFPNIMTVNIMIDRLCKAQKLDEACSIFLGLDHKVCTPDIVTFCSLIDGLGRHGRVNDAYSLYEKMLDSGQTPNVVVYTSLIRNFFNCGRKEDGHKIYKEMIHRGCSPDLMLLNAYMDCVFKAGETEKGRALFEEIKAKGLIPDVQSYSIVIRGLVKAGLPNETYQLFYEMKEQGLHMDTRAYNIVIDGFCKSG >OIW03568 pep chromosome:LupAngTanjil_v1.0:LG10:3863286:3864468:1 gene:TanjilG_30988 transcript:OIW03568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLVTSPERCFSLPQPINSLQSPSSDVSSTSINTITENEKKDTRKSSSFAHRIHQHVKLGPKLSETVKGKLSLGAMIVQKGGRGNIFKHIFGMQEEEQLLKASQCYLYTTAGPIAGILFISTQKITFCSERPTTFSSASGELLRAPYRVLIPIGKIKEVNESQNVKKLQEKYIEIVTKDGFEFWFMGFVRYEKAIRNLQKAISMAN >OIW03523 pep chromosome:LupAngTanjil_v1.0:LG10:4378719:4387357:1 gene:TanjilG_31036 transcript:OIW03523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGKDKRIRAKNKRSKAVEIGFDLDDNETIGSMFKLKRLKKKVNLAPEGSCGDVGKSGEVVEKDSVAAKKDFGDMDDTLASFRRRLKDLKRNRGSEASGGRSYALNVGLESSDRSLNVSVEGIEGHGLPGDDFVAQESRGTINNEKGGCLLRGDGLEHSYDENMEDSLSVIVRKAQSNLIKKSRTSSSSKKKKGIENVDNDLRPGSESVPETVDSVAEFRSRPASALGSVRKDLTCSGSVSQSSAPGQFSSMSVIDRQKYDCFQEGIAEGICNSNIPGEPLVDHCLSTNVGKGDRQLLNRCSSRLDGVEIIDTVLLSKFGEVVHECTESEFKDRSTDELSQMCDDASKHGVSISIEKESSLPSHDIEPLIKSAENILSENNFTVSGNFFQESSGNEALKLSGSHVEEDGGVKSETEFISGRNFCDYSSLDTKAEVKDYILGSSIEKNDVMAGGSLSPMMSNEANEYELANESNHQEKPSEICNIPKDSTVSVLECLDPVQSDGSSPPSAIPDENENYAECHASLSDFVNNDGKISAVPRAVRKAKMLKHGDMTYEGDADWEILINDQSLHRSQVVIDDDHTLRAREKLDSSFNVTDDSESVAVVAVSAGLKAHAASPIEKIKFKEILKRKGGLKEYLDCRNKILSIWSRDITRILPLAECGVSDIHSDDEGPRSFLIREVYAFLDQCGYINVGISSQEEILGNSASNCCKLVKEKGFEESSTASVADSEDGVSFIVGQTKMSDTSVEINNGLTVDYKDLKTEAAEDRRLFNAAMMSISNMRQHEEGKYYDYQENATGQSNESTCVKSTLGDQIDDLLQSDSEARKRVIVIGAGPAGLTAARHLKRQGFPVTVLEARSRIGGRVFTDHSSLSVPVDLGASIITGVEADVATERRPDPSALVCAQLGLELTVLNSDCPLYDTVSGQKVPPDMDEALEAEYNILLDDMELLVAQKGEQAMRMSLEDGLEYALKIRRMAHSGSIEENEKDNSGCSPFDSRRDCTVKKKTDKEILSPLERRIMDWHFAHLEYGCAALLTEVSLPYWNQDDVYGGFGGAHCMVKGGYSTVVESLGEGLPVHLNHVVTNVSYGIKESGQNNKVKVSTSNGNDFFGDAVLITVPLGCLKAETIQFSPPLPPWKYSSVQRLGFGVLNKVILEFPCVFWDDAVDYFGATAEERGKRGHCFMFWNVRKTVGAPVLIALVVGKAAIDGQSLSSTDHVNHALKVLRKLFGEASVPDPVGHVVTDWGRDPFSYGAYSYVAIGASGEDYDILGRPVDNHLFFAGEATCKEHPDTVGGAMMSGLREAVRIIDIFSTGNDYTAEVEAMEAASRQLDTENEVGDITKRLNTIELSNLFYKNSLDGAQILTREALLREMFLNAKTNAGRLHVAKELLCLPVGNLKSFAGSKDGLAVLNSWILDSMGKDGTQLLRHCVRLLVRVSTDLLAVRLSGIGKTVKEKVCVHTSRDIRAIASQLVTVWLEVFRKEKKASNGGLKLSRQATAVDLLKRKYLKDSASGKPPLSTHHGSFENKGTQHATIVSRHEANSSRSQGSIGKVLIETEDNCYVISEEERAAIAAAEAARAKAHAAAEAYAAAEARRSKLLQLPKIPSFQKFASKNDEFDSRKKWSGALGRQDCISEIDSRNCRVRDWSVDFSAACVNLDNSRMPVDNLSQRSHSNEIASHLSFREHSGESVAVDSSIYTKAWIDTGSGVGIKDSDAIDRWQSQAAAADSYFSNPSINLKDEEDSNVCSRLPIWKHDGMANESSISQVTVNMEALKSHSRGTDHIKQAVVDYVGSLLMPLYKARKLDKDGYKAIMKKSATKVMEKATDKEKAMTVDEFLDFKRKTKIRSFVDTLIERHMAMKPDMKS >OIW03991 pep chromosome:LupAngTanjil_v1.0:LG10:405230:408852:-1 gene:TanjilG_30267 transcript:OIW03991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHYYSYSLRRATVGVMTRRYSSVTGKKSKATRRPKEIPFQPRVSNSVNLIGEVIIPVQFQTGSDGTAWASTVISREHSPHYVWIPLIFEGHLAHTAISHLKPHDFIHIAGQLTTDPPHFPIHRENTHTNVQVMVKSLNFVQGYPLQNNDASPTSSESEKHEINQSAEDFNSKQIEENNIDEPWKDLLLNPGEWWDVRLTKKKSKGAAFERKKDGELLFIDSSTPKWLEEKLELLTFDLKPVLEYSASGAKKNPAPNFVAWRDLLQDSKQWFDFRDSKHNGLVSPNFPDFKRKDDSLSIWLNGSPKWVLPKLEALEIDVPVVKPKQANAGDESWNDLLNNPIKWWDNRSNKKNEKGPDFKNKETGEALWLNGAAKWVLSKLEGTEIDVPVVESKQATTAKGDESWNDLLNNPDKWWDNRSNKKNEKGPDFKNKETGEALWLNGAPKWVLSKLEGTEIDVPVVESKQATTGKGDESWNDLLNNPDKWWDKRSNKKNEKCPDFEHKVTVEALWLNGAPKWVLSKLERTEIDVPIVESKQASTGKGNESWNDLLNNPDKWWDNRSNNKNEKCPDFKHKETGETLWLNVAPKWILSKLERAEIDVPIVESKQATTGKGLYK >OIW03876 pep chromosome:LupAngTanjil_v1.0:LG10:1170355:1173366:1 gene:TanjilG_30152 transcript:OIW03876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDSKVVNLLLHLHLHHPHPPPPLLHLHWTLRQRRSNSVPRNKANPTITTRASPTTPLDGYEDSSHPTTKHAQTSRSKIANPSETTTTKKSRRKKTLAELKEEESLLSKEWRSLKNKLASLRIIVEKHRATNENLKRMKLDLESRQNSKSATTTFEVSGKAVLDPSKFPEAQCHPSSSLSSNTGTHTVLDDDSPVSAANASYKPQDIQNNESTFVLPDLNLPVEDNLSSNAMH >OIW03483 pep chromosome:LupAngTanjil_v1.0:LG10:4938669:4947171:1 gene:TanjilG_14708 transcript:OIW03483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQRSSSDTRLNIPPFQISKDLPGSDNPLPLSPQWLLPKPGESKPGMGTVENRVNPISPFGNHSETGKTSGNGVDVHDAQKKKNVFRPSMLDSETGRHDRWRDEERDTKSSIRKDRWRDGDKDLSDTRRVDRWTENSSTKNFGEVLRSPSDRWNDSGNKETNFDQRRESKWNTRWGPDDKETEGLREKLNDSGKDSDVHHEKGLSHISNHVKDEKHGDHYRPWRPSFSQSRGRAEPIHPPNITPNKQASTFSYGHGRGENTPPAFTVGHGRAGSAGSSMNNSTYSGTVLDKVESGHGELCAFRYNRTKLLDVYRLTDMGANRKLVDDFVQVPNITQNEPLEPLALSVPNSEELSVLKGIEKAEIISSSAPQVSKDGRGSTDFTHSSRMKPQERSEDGSSYRVAEELPIIRDSSFEGNSSVHPGAAWRSTPLGEHSSPLLHDRRDVPSDVRSRKADKSSHHPKDSHNQSESNLAHLSDSKDVSKWQASDDPMIKRKLSGIFDSELETRRVPQTAPEELSLFYKDPKGQIQGPFKGIDIIGWFEAGYFGIDLTVRLENSAADSPWLSLGDAMPHLRAKVRPPPGFPSPKPTDFIDIPAQQSSSTFGNTLPGLSEADMLRSDSRHMHSPAIESENRFLVSLMSGNKDSPPLDGLTLPQGLQDFVGNNSGSLGPAGIDSGNNLLLIAKRMALERQRSLPNPYPFWPGQDAAALTPKSDIVPDSALHSNFLSSVGDNSRLPQSQNSELSIVQGLSDRTSAGLNNGIAGWPNYPLQGGLDSLQNKVDIHHDQNFPQGQFGIQQQRLQAQNQLSLGNLSSHATDNPSNMLAAEKLLSSGLSQDPQVLNLLQQQYLLQLHSMAAAPTPQVPLLDKLLLLKQQQMQEEHQQLLRQQQQHLLSQLLQDQQFNQYFGDSSYGRLQGSGIPMGNLHVDPSQLRPLQEIFAMSSQTPNPTVHDELSSNYLNLPPQVSQDISYNVRSEASVHLPHQLFENTIQKNWGTSLPEQIVETHQKETFPTSTLAECSPLHDQNRSEEEPHSVQKPLSVSDVTAKSVVEVPAKTSRCVDTVITVASQLDENSGHLQHIVPVAISSAASHGIELPPVSYLVKDVESKSDIVLENQQAGRDDFNVESFVVDARNIEACEPKKSIEKKSKKQKSSKAQSSNQEKGLLKNMAVQQSEATSLPASLPESIPEKGAVSESTKAIGSVPKQNTELHAGRAWKPAPGVKPKSLIEIQLEEQKKAETEVLVSEIATSVNSMNLTSPWAGVIANPESGKISSESQGGGNSEYLIKPKTSENLKSKKSPLHDLLAEEVLKKFNEIDSEIPDDMSSQVIAVHSESLDDSNFIEAKESKRSRRKSAKSKGSGAKASAPVASNEVLIASSPVEKGKVSRPLQQEKEVLPAIPAGPSLGDFVLWKGGREAPNPSPSPAWSTDSGRVPKPTSLRDILKEQEKKGSSVVPASPMPTPQKLQPAQANWNSGSSRSISAFSPSKAASPIQINSHASSQSKYKGDDDLFWGPIEQSKPETKQSDFPQLSSQGSRGSKIVPVKGNTPVSLTRQKSAGGKPTEQSLSSSPASSQSMSKLKKDAMTKHSEAVGFRDWCENECVRLIGTKDTSFLEFCLKQSKSEAKMLLTENLGSFDPDHEFIDKFLNYMEMLPSDVLEIAFQSRSNQKISGVGAGRMLSANAAAAANIHDVDHREGSSKGGGGGGGKKKGKKGKKVSPSVLGFNVVSNRIMMGEIQTVED >OIW03594 pep chromosome:LupAngTanjil_v1.0:LG10:3190374:3194116:1 gene:TanjilG_06103 transcript:OIW03594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFVTEHMKWRKHRNRLSHAISETDPNPQVSSIIQSTRCKSTISSLLLSTFSNNTSNETKHKKKKSNNNNNNNKNSNFSATTLRGLGCKASASKHVSVPAVIRSSADWQEKKKKKSRKKKQRRNSDKNSSNGSSSSSAAATCVDFQDVWCGPGIGFSVDATVASSVDRKTVFSRGNLDVEIITQRERPSFLGRNTVNTESISVLDDYSDIFTPHPDLESFATSRYYRHVPHPSSYGLSEIMILQRRLLMGERYNSLDQFGDWRLDIDNMSYEQLLELGEKIGYVNTGLKEDEMVLNIKRIKLAISNGASKNQIDKKCTICQEEYEGGEELGRLNCEHSYHFQCIKQWLVHKNFCPVCKQEVVVRHMPKS >OIW03389 pep chromosome:LupAngTanjil_v1.0:LG10:6533825:6536993:1 gene:TanjilG_31836 transcript:OIW03389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRLKLSIPLSLTHSHISLFHFNFKPKSFSSSSSSQISLWSGLQSWRETPLNHDRKWGPYGPQPEPITHCDTPFGKASTLAELGSIVLSTSDPLAKANLSHLAYSIWRRHNLPVGDTKPPLRPARPDKPRLVSPKEIPAPKNSGLPLNAYMLHNLAHVELNAIDLAWDTVVRFSPYSEILGEGFFADFAHVADDESRHFSWCSQRLAELGFNYGDMPAHNLLWRECEKSSDNVAARLAEARGLDAGPRLVQKLVGFGDNRTSKIVARIADEEVAHVAVGVYWFVSVCQKLDCAPDSTFKDLLNEYNVELKGPFNHAAREEAGIPRDWYDASSSTSNLDKKYQGNKKQLSAVYERLASIIAMENENSSLNRPPK >OIW03748 pep chromosome:LupAngTanjil_v1.0:LG10:2084165:2086942:-1 gene:TanjilG_30024 transcript:OIW03748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFSVRQVSIYALSLNPWGFVFKLVVLSYLWSPVSSLGSMSPMATSYGDQGSVICVLKSDGSRTVNCYGKNSAIIYGTQPHLQFLGLTAGDGFVCGLLMSSNQPYCWGSSSHIQMGVPQPMIKGAEYLEISAGDYHVCGLRKPLKGRHRNTSFVDCWGYKMTKNYVFDGQIQSISSGAEFNCGLFSQNRTVFCWSDEPDNKDIISKVPKDNRFQKIACGGLHVCGILEGVNAKTLCWGRDLNMEEEIPLVHSGQGQGDIALVPNVSMLSVVGGKFHACGIKSYDHRVICWGCSLRGSTPPPSEIKVFEIAAGNYFTCGIIAEKSLQPVCWGTNFPTSLPIAASPRICRSTPCPPDYYEVDQKKGLCKPPDSHLCMPCSSGCPSEMYQKSKCNVTSDRVCEYNCTTCSSPECSSNCSVIYANPVPAVYLKKKNEKIWSQQLPVIIAEIAFVVFIVCVVSISAVLYVRYRLRNCKCSATRSKVKNPSLQNENIKIRPDMEEFKIRRAQMFTYEELERATDGFKEESIVGKGSFSCVFKGVLKDGTVVAVKRAIMSPSPNMQKNSKEFHTELDLLSRLNHAHLLNLLGYCEEGGERLLVYEFMAHGSLYQHLHGKNKEQLDWIRRVTIAVQAARGIEYLHGYACPPVIHRDIKSSNILIDEEHNARVADFGLSLLGPTDSSSPLAELPAGTLGYLDPEYYRLHYLTTKSDVYSFGVLLLEILSGRKAIDMQYDVGNIVEWAVPLIKSGDITAILDPVLKPPPDLEALKRIANVACKSVRMRGKDRPSMDKVTTALERGLAQLMGSPCIEQPILPTEVVLGSNRMHKKSSQRSSNRSANSEIDVPETEDQRFEFRAPSWITFPSVTSSQRRKSSGSEAEVDGKNNTAAEGRNIGSNIGVGVGGDVLRSLDEEEIGPASPRERLFLQHNF >OIW03744 pep chromosome:LupAngTanjil_v1.0:LG10:2116857:2120245:-1 gene:TanjilG_30020 transcript:OIW03744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMRAPALLSHCLPGLVPHDRVSLSITSVHEREINLSSPAVEILPSKAFRNDKDMGENIDSFKGIVNVADIIGFSRSEALSLRPDGYLKSWDSSIDLVGVLKHEIRDGQLTFRGKRVLELSCNYGLPGIFACLKGASTVHFQDPNAETVRCTTIPNVFANLKQARDRQSRQPEPPLTPSRQTLAPSVNFYAGNWEELPAVLSVVKKDGHEMTPGMSLSFSEEDFMDVCSSQDGSIVGHEYSSRRSRKLSGSRAWERANEADQGEGGYDVILMTDIPYSATSLKKLYALIKKCLRPPYGVLYVATTKKHYVGFNNGARQLKSLVDEEGIYGAHLFKDLADRDIWKFFLK >OIW03106 pep chromosome:LupAngTanjil_v1.0:LG10:14135812:14136380:1 gene:TanjilG_07258 transcript:OIW03106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVRNQRDKEKEDFPLVGSPSLLNMDSHKTKAGLGPSDVISLEKESVKGFGPRPKPICNLPSDPLKKKSKMVAPSLVPLVENMTCKKGLKNKLFRNSLFQVGSASKSIANPRDSKGHRKKKFHSPLMLKSNPVHKKDLQASTLFINNVE >OIW03789 pep chromosome:LupAngTanjil_v1.0:LG10:1776212:1781869:-1 gene:TanjilG_30065 transcript:OIW03789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGISSQCFRYLTSTLPPRFPSFQASRFLTLSTLSSPNLEFENIEPTNNNETSSKKPISYFPKRGQTLELVCESLAFKGKGLCKVTDTGFVVMCDRALPGERFIGRVTRKKGNYAEVTKVRTLTPPLDLVDAPCEYAPYCGGCKTQNLSYEAQLRAKEEQVRDLVIHVGKFSHQELELHGIMNPIVSCDIQFHYRNKMEFSFGPSKWVPKELLHETRDSTENYALGLHAPGFFDKILNVDKCFLQTDPANKVLAAIQDCWRDPQLGLSPYDVHSHLGFLKHLMLRTGRDVTTNNPEVMVNFVTSSYEPKLLESLVDKVSAFPEVVSVMNNVNTSVGNTSVGEQEYTLYGKSSITETLRGLTFQISANSFFQTNTYQAEVLYKLIEECAGIKGDGSEIVLDLFCGTGTIGLTFARSVKHVYGYEVVPQAIADARLNAKINGIQNATFVQGDLNKIDENFGKNFPKPDIVISDPNRPGMHMKLIKFLLELRAPRIVYVSCNPATCARDLDYLCHGVAEKNLKGCYKLTRLQPVDMFPHTPHIEMVSLFSRQSKWAILTINCGSVGKLSSKWSNFTWSTGVQNPLEPHLGQVIADIWVGVSSTPKRGITSAKPDISKSWVFKVTDGKTRAFC >OIW03214 pep chromosome:LupAngTanjil_v1.0:LG10:12360784:12363695:-1 gene:TanjilG_21846 transcript:OIW03214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLSLDHWRSYFRSANSDIFEIIDHAIMVAASDCPKEFRLRRDGIAERLFSCKLTRCVGCDMVELAVSADDGDDEHNDDDDDRDNDGVEFEAGASKESKVNCSREDHGDMDVNRVSNFSFGDAEALTDEIEEESQYVGEVLRIKDILLHREEQSDSVLFESLRRLQLMELTVDRLKATEIGKAVNPLRKHGSKEIRQLARTLIDDWKELVDEWVRATPTTDIAASEGTPDSVNPSVVDDEEEGLPSPPMDEGAFFVAQAGSIELSQFFDGIDDYGNPRQSGQFSGNRENRRKPSVNSHTIEKRKLQASNEERINNKDSKSQQSKKNEVALRLNNHVTTDSGLGTPPKSSMQRKSNVEPKMQQKIENSTLAKRPPIGQQDKPKYSDDAAVQVKLEATKRKLQERYQQAENAKRQRTIQVMELNELPKQAAVQRNPHFKPGNHNRHWGANARR >OIW03145 pep chromosome:LupAngTanjil_v1.0:LG10:13476082:13476648:1 gene:TanjilG_11782 transcript:OIW03145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYLSIRPSLFFSLVLLSLLLATPSSTSKVVDVKVICTRSQDSSFCSKILNSKPGGANSADLISLVQYTIDVARVDATDTLNLINTLIAKSGSDQKAKDHYTKCWTHFNKDEGALSDIDRVQDLLKKEDYIGVNTAASAIITNINDYIVGEDPEDPPYTDKSNLPQFVDVVKKVADIILVISKYLIKK >OIW02997 pep chromosome:LupAngTanjil_v1.0:LG10:15806313:15814931:-1 gene:TanjilG_13634 transcript:OIW02997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSAKHDNPRSIKRVAVVGGGVSGLAAAYKLKSHGVDVTVFEAEERAGGRLRTVSQDGLVWDEGANTMTESEKEVKDLIDALGLQEKQQFPISQHKRYIVKDGAPLLVPSNPAALLKSKLLSAQSKVVDYLIDPFISGTSAADPESLSMRHYFPELWSLEKRFGSIIAGALRSKLFVKREKTGEIKGAARESRRGSFSFQGGMQTLTDTLCKEIGKDDLKLNAKVLTLAYSHDGLSSSQNWSITCAPNQNAQVVDAVIMTAPLSNVKDINIMKRGTPFPLNFLPQVTYLPLSVIITTFKKENVKRPLEGFGVLVPSKEQQNGFKTLGTLFSSMMFPDRAPSDLHLYTTFVGGTRNQELAHASTDELKKIVTSDLRKLLGAEGEPTFVKGGLSVGKAIASGYKAADLVISYLDSASENTVRD >OIW03749 pep chromosome:LupAngTanjil_v1.0:LG10:2077857:2083032:1 gene:TanjilG_30025 transcript:OIW03749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSQTNSSTLESDTQALVEIKSAIDPNSIPPSSYLNSWDFTMDPCESTGSQFLGILCNLPLDNSSSRVTALDLDSIGYDGFLTPVIGNLTELTVLNLDNNNFRGPIPETISNLRKLIRLTLSDNFFTGPIPLGISALKNLQHLDVSFNRLSGAIPTNISGLRSLNYMSLSNNAFAGRVPDLTGLWQLQTIDLSINQFYGDIPNLPISLRKIYFNHNILSGHMTPLKGLKMLKWLDISDNRLSGAISKDIMSLKGIVHLNVSYNRFTALEVINYSLEGPMLQLLEAQGNHLRGHLPLNLVTLKNLTSVNLANNQFYGIIPNEYGTKVMTSWTRLYLDNNFLTGDLPPEFALQTNVRVEFGIDDSINDETVGNATMGEFSSSIADENKTGESSFDLVCKQDQDENVTQDSYGGDIIPSAIPANEHDKIRELSQQLALEKRRSATYKRHLELLFEHIEEHNDSLSKRIQNVVDSVKEMETKE >OIW03428 pep chromosome:LupAngTanjil_v1.0:LG10:5512500:5512784:-1 gene:TanjilG_14653 transcript:OIW03428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKFDSKALPSNLPMIEKKIREILRKADKNKDNCLSRDELKKAFKDFGSYWPDLRSYLAMKKADTNRDGHISGEDELDMLVDYILDCKSKHYKF >OIW03178 pep chromosome:LupAngTanjil_v1.0:LG10:12808887:12809468:-1 gene:TanjilG_11815 transcript:OIW03178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPEKLSVTIATFQNFLTRLHRHAKRRPWTELADRTAISLPESLAEAYSRVRKNTSYFRVNYLIVVAVVIAVSLLRRPFTLLLLGSLAGAWLYLYVLRHPEQQLVIFGRVFTDCEALVGLSFATVAVALWTNVVSVIISAVTVGVAVVCCHGALRVPEDRFLEQQEQRSWASGIFPDGGPVSSVGVHIGPLAV >OIW03464 pep chromosome:LupAngTanjil_v1.0:LG10:5115542:5117237:-1 gene:TanjilG_14689 transcript:OIW03464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRRASNYDSRLKHRPLNTFRFIRGVICLLVFLSTAFICLVYLAPLSVVVFPLASKRISRKAVSFFFGIWLSMWPSLFEKINKTKIVFSGDSIPKNERVLVIANHRTEVDWMFLWDLALRKGRIGCIKYILKRSLMKLPVFGWGFHILEFIPVQRKWEMDEQILYHKLSTFKDPQDPLWLALFPEGTDYTEEKSEASKKFAAKVGLPMLTNVLLPKTKGFNACLLALRGSIDAVYDVTIGYKNHQCPSFMDIVFGVDPSEVHLHVQRIPMEEIPVSETQVSSWLVDTFQMKDQLLSGFNVQGHFPNQVEEKELSTLKCLFTFTMVVSFTAVFVYLTLFSLIWFKLYVGLSCAYLAIATRYNFQLMPLTYYVKALYSSIKNKIE >OIW03202 pep chromosome:LupAngTanjil_v1.0:LG10:12092755:12097938:-1 gene:TanjilG_21834 transcript:OIW03202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGNGEMVKKKEELHNKKKKQHQRGGIRALPFILANEICDKFATAGFNANLITYLTQQLNMPLVAASNTLTNFSGTSSFTPLLGAFIAESFAGRFWTIVAASLIYEMGLVSITISAILPYLHPPPCPSQVNCQEASSSQLWVLYICLFLTALGSGGIRACVVPFSADQFDMTKNGVESRKWNLFNWYFFCMGLASLSALTIVVYIQDHMGWGWGLGIPTIAMLISIIAFVLGSPMFKVVKPGGSPLVRLVQVIVAAIKKREETLPSDLNLLYQNKELDDAITLEGKLFHSNQFKWLDKAAIVKDEEARDPIAPPNLWQLATVHRVEELKCIIRMLPVWASGILLITAGSNQQSFAIQQARTMDRHLFRSFQISPASMSIFNVLTMMAGVILYERLFVPFVRRFTRNHSGITCLQRMGIGFVINILATSVAALVEIKRKNVAAKYNLLDDPNAIIPISVFWMVPQYCLHGLAEVFMIVGHLEFLFDQSPESMRSSATALYCLTTAIGNYVGTLIVSLVHKYSGKENNWLPDRNLNRGRLEYYYWLVSGIQVINLIYYVICACLYTYKPLEEIRGTNKGEDVEQGSEKISSVNLKDGNGEEKRESAKDE >OIW03775 pep chromosome:LupAngTanjil_v1.0:LG10:1892929:1896249:-1 gene:TanjilG_30051 transcript:OIW03775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDQNADLPAAAAALSAEDRAGLVNALKDKLQLLAGQHVDVLETLSPKVRQRVEVLRDLQGQHDELETKFLEERAELEAKYQKLYEPLYTKRYEIVNGVVEVEGITDEAVPDEENSTTEEKGVPNFWLTAMKSNETLVEEITERDEGALKYLKDIKWCRIQNPKGFKLEFFFDTNPYFKNSVLTKTYHMIDDDDPILEKAIGTEIEWYPGKCLTQKILKKKPKKGSKNAKPITKTEKCESFFNFFTPPQVPEDDDDDDEIDDDAVEELQNLMEQDYDIGSTIRDKIIPHAVSWFTGEAAQSDLEEIEGGDDDEEDIDDDDDEDEEDDEDDEEEEGKSQNKGGGKAQHGKGQPTERPPECKQQ >OIW03276 pep chromosome:LupAngTanjil_v1.0:LG10:10379331:10410634:1 gene:TanjilG_09923 transcript:OIW03276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLLQQLPLSYSLFFSSFSTCSKLNPLFYKRRRPIPFSHFHSLNRLRPRLLSVSASENKNGGAYNTSTEIAKSFDFASEERIYNWWESQGFFKPNYERGGDPFVIPMPPPNVTGSLHMGHAMFVTLEDIMVRYHRMKGRPTLWLPGTDHAGIATQLVVERMLASEGISRTEMSRDEFTKRVWQWKEKYGGTIANQIKRLGASCDWTREHFTLDEQLSEAVIEAFVRLHEKGLIYQGSYMVNWSPSLQTAVSDLEVEYSEESGHLYHIKYRVAGKPDYLTVATTRPETLFGDVALAVHPEDDRYSKFIGQMAIVPLTFGRHVPILPNKNVDREFGTGVLKISPGHDHNDYLFARKLGLPILNVMNKDGTLNGVAGLYRGLDRFEARKKLWAELEETRLAVKKEPHTLRVPRSQRGGEVIEPLVSKQWFVSMEPLAEKALQAVEKGDLTIIPERFEKIYNHWLSNIKDWCISRQLWWGHRIPVWYIVGKNNEEEYIVARSADEAHEKAREKYGKGVEIYQDPDVLDTWFSRMVMMGIEFTGTVPFSYVYLHGLIRDSQGRKMSKTLGNVVDPLDTIKEFGTDALRFTLALGTAGQDLNLSTERLTSNKAFTNKLWNAGKFILQNLPDTNDSTAWENILSYKFDCEDSVLALPLSESWVVSKLHLLIDSVTGSYDKFYFGEVGREAYDFFWGDFADWYIEASKARLYHSGGNSVASVAQAVLLYTFENVLKVLHPFMPFVTEELWQALPNRKHALIVSHWPQTQLPRNTSSIKKFEILQALVRAIRNARAEYSVEPAKRISASVVASNEVIQYISVRDADQSVHLVAGDGLEAYLPLADMVDISAEVERLSKRLSKMQKEYDGFVAKLSSPKFVEKAPEDVVRGVREKAAEAEEKITLTKNRLEFLKSNVLVSKQA >OIW03268 pep chromosome:LupAngTanjil_v1.0:LG10:10681788:10686815:1 gene:TanjilG_20572 transcript:OIW03268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSSHLLLPQPFTTPLKRHRFTTTTLKPFTSSPPYKLPSSVSVKPSPLVSVYSSNREGLDTVNIAEDVTQLIGNTPMVYLNKVTEGCVANVAAKLESMEPCRSVKDRIGYSMLSDAEEMEAISPGKTILVEATTGNTGLGLAFVAATRGYKLIVTMPASVNIERRVLLRAFGAEVVLTDAEKGLKGAVDKAEEILHRTPNAYMFRQFDNMTNTKIHFETTGPEIWEDTLGNVDVLVAGIGTGGTITGSGRYLKMMNQRIKVVGVEPAERSVISGDNPAIDYVGEFVAGFLPSNLDVKLLDEVIKVTNVEAIEMARRLALEEGLLVGISSGAAAAAAISLARRPENSGKLIVALHYLKVLNIHVDRIRSSFVNFCHQN >OIW03893 pep chromosome:LupAngTanjil_v1.0:LG10:1091269:1092171:1 gene:TanjilG_30169 transcript:OIW03893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDIASCFSEYAVNVTPHSSCSSFTNNTCISPSLAPSTQNSVSSVYKTILSNNQKHFLITVTWCKSHLNQGLEVSFGEEETIFRLNTNSRFFRKMKGSKLVESDESKIEIFWDLCNAKYDASPEPVNGFYVAILVDSEIVLILGEETMTKKFKTRTTPMAKVSLLSRREHCSGNTLYTTKAQFSENGTWHDILIRCSGENEGLKYPVMSVCIDNKTVIRVKRLQWNFRGNQTLFVDGLLVDLLWDVHNWFFNHAPASGYAVFMFRTRNGLDSRLWLEEKSEQKDEDTVEFSLLIYACKST >OIW03656 pep chromosome:LupAngTanjil_v1.0:LG10:2899095:2904589:-1 gene:TanjilG_22313 transcript:OIW03656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRLVDNVLAVTKESVKTITYESMNNIVRLINGVSAILLVLLPGKATILEGIHGWELRPTFRGPRFPRWMENGVSSFNQLIHELSVDSDTSTPEYLSEEEGSDRHECPPSPASHTSRASGVTMTTRHRMYWIQYILLWILFPVIFLMGIPLRLFKLVYSAVSRAFFVSGSQRRPRLDKRMQSLKDHIIHRATDRRSGVVEDLHLGIEICIEAVFDVVRKAAHLLLSPSKAFRTLLRLFSFNESGIKEDHGDIDDTFVSSATPGENDQTPTERNTNFQSLNTDARTCQDVITELGYPYEAIHVITDDGYILLLERIPRRDARKAVYLQHGVFDSSMGWVSNGVVGSPAFAAYDQGYDVFLGNFRGLVSREHVNKNIPSREYWRYSINEHGTEDIPAMIEKIHEVKTAELRFSKPDIVDETNDNQPYKLCAICHSLGGAAILMYVITRRLQDKPHRLSRLVLLSPAGFHFDSNIVFSVVELLLILLAPVLSPLVPAFYIPTRFFRMIVFKLARDLHNLPAVGGLVQTLMSYVVGGDSSNWVGVLGLPHYNMNDMPGVSFRVAIHLAQMKRSGKFRMFDYGSPSVNTRVYGSPEPLDLGENYGLINIPVDLVAGQKDKVIRPSMVKRHYKLMKEAGVNVSYNEFEYAHLDFTFSHREELLSYVMSRLLLVDPKNQRAARSRKKGQAATSM >OIW02972 pep chromosome:LupAngTanjil_v1.0:LG10:16035958:16041188:1 gene:TanjilG_13609 transcript:OIW02972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFKRSPKRLKYTAPRFIKEDGLVYIEADPSGSDSWKLEPVINLLKEGAVGVIPTDTLYAIACDLRNQSAIERLRRIKNIEVSKPLSILCHSFRDIDKYTAGFPRGDGQGHANLFKVVKQCIPGPYTFILMASKELPKQCIRFGTNAAKYASRKNVGVRMPDDAICRAILKEMDAPLICTSIKFLKEDEWMIDPVMIADTYGPEKVVNQVLKSSLSFKRASLNVMVRLLLSDQEVIGSNLRNNFSACGGLDFVVAGGVRVAEPSTVVDMTKMPPRVLRQGKGPILPWMVWEEDQKTDVEEDQIPAAI >OIW03303 pep chromosome:LupAngTanjil_v1.0:LG10:9454231:9454449:1 gene:TanjilG_09950 transcript:OIW03303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNLRMDRIYDYMFHLISEYSKLIDFKPTPPSTALEVCIDSVLCYADDKQRLFLSKSNVVPSQAPPCTLKPS >OIW03019 pep chromosome:LupAngTanjil_v1.0:LG10:15629134:15631814:-1 gene:TanjilG_13656 transcript:OIW03019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFTPNSSSNSGLGIDLNEIPPPDLNVSDLCPTNDFRKQTVPDNSFGANPFGASIAYSNLHNVSAFEDPINHTQSLIKSFEKSLRDFISEWRDELKDGWYVELRQSVSSSEVYAVYCAPDGKTFYSLYEVACYLGKMSGHNSVESEIRNEEPGGPQTSRKRKSPNSPVANGFSEKGGTLINSYCKDPPSDGLSVKSDPVVGNIPKANEAEIIRKEDGHSSPEKSALRAEVPDAKDSSGNLLCGDGSIPDWALELEPVRKLPTNVGTRIRKCIYNALEKNPPEWAKKILLHSISKDVFKGNASGPTKKAVLSVLATLTGEEIQQKPPKKRRK >OIW04021 pep chromosome:LupAngTanjil_v1.0:LG10:233052:233425:1 gene:TanjilG_30297 transcript:OIW04021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSEGRAVPRRESPWGITGDNHPEPKAHRCNDRLEDIIHACFEGNPFKTVPGPFKLFWKCMRSKPGEEPTDPFFYLDLEPLRTEVNPNKPQ >OIW03988 pep chromosome:LupAngTanjil_v1.0:LG10:418733:420214:-1 gene:TanjilG_30264 transcript:OIW03988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKCSHCGNIGHNSRTCTSFRGTFVGLRLFGVQLDISSSSSSCMKKSFSMDSFLPISSSSSSFASSRLIIDENTDRTSIGYLSDGLIVRPQERKKGVPWTEEEHRIFLVGLEKLGKGDWRGISRNYVTTRTPTQVASHAQKYFLRLATINKKKRRSSLFDLVGSGKTNKAAPISFTNNSNLGDSVCTNQLVKSKCGGVVNDATLSLLGSLKSFQQQTKSNEQKTSDNCSHFQAEHNEAVQIRLMKSSNVTLPIATPDLELTLAAPKAKTIEQNKSSSVSFLPGPISVT >OIW03982 pep chromosome:LupAngTanjil_v1.0:LG10:459183:461179:1 gene:TanjilG_30258 transcript:OIW03982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMFSRVFGKPKQETNALTTLDKLNETLEMLEKKEKVLLKKASAEIEKAKEYTRGKNKRAAIQCLKRKRLYEQQIEQLGNFQLRIHDQMIMLEGAKATTETVDALRTGAATMKAMQKATNIDDVDKTMDEINEQTENMKQIQEALSAPIGAAADFDEDELEAELEELEGAELEEQLLQPATTAPAAPVYVPAGRQPTRPVATKKPTPEEDELAALQAEMAL >OIW03046 pep chromosome:LupAngTanjil_v1.0:LG10:15286295:15289461:1 gene:TanjilG_20974 transcript:OIW03046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHSSTDVKVMEENGISSLSSTPTKGGLRTMPFIIVNECLEKVASYGIMPNMILYLKNDYNMAIVNATNLLYTWSAISNILSIFGAFLSDAYLGRFLVIFIGSISSLLGLTILWLTAMIPELRPFCESHNNVCNSATAAQLAVLFLSLGLISIGAGCVRPCSIAFGADQLSIKENSGNQRLLNSYFNWYYTSIGASTLVAFSTIVYIQENLGWKIGFGVPAILMLISAFSFIIGSPYYVKVKAKGSLLAGFVQVVVAATKNRKINLSECISDQYYQGHNPEVLVPTGNLRFLNKACIIRNPTRDLNPDGSASDPWSLCTVGQVESLKKLLRVLPMWSTSIFMMVSQGSFSTLQANTMDRRLFGNFKIPSGSFTTIMIVTLSIVIPLYDRIMVPLVAKYTGQPRGFSSKVRMGIGLLFIIAAKATSCIVETMRRNAAIEEGFEYQPDAIINMSALWLVPEFVMLGIAEAFYPVGQVEFFYSYFPKSMSSFAMAIFTLGLAAADVVGSVVVNTVDKVSSIGGNVSWLTTNINQGHLNYYYALLTFLGSINFLYFLAVCRAYGPDRAEELDASASNADEQYDYRELPSS >OIW03890 pep chromosome:LupAngTanjil_v1.0:LG10:1101425:1105273:1 gene:TanjilG_30166 transcript:OIW03890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPWLDDLTDDLQSLSFASSTTADIKRSTSFSSETTTVTASTSTHHPPPTTKHHAPSSDPLWSAIHRIRSESPSRRILPSDLRFTRRLGVGDISSVYLAEMHEGSVIFAAKVMDKKELASRRKEGRARTEREILELLDHPFLPTLYATIDAPKWLCLLTQFCPGGDLHVLRQRHPNKRFLQPAVRFYASEVLVALEYLHMLGIVYRDLKPENVLVQSDGHIMLTDFDLSLKCDDSTPTAQIISDQKSPHIAPHHIEPSQFTSSKCILPNCIVPAVSCFHPKGKRKKKKKQNQHNGPEFVTEPIDVRSMSFVGTHEYLAPEIVSGEGHGSAVDWWTLGIFIFELFYGVTPFRGMDNELTLANIVARALEFPKEPNVPTTAKDLISQLLVKDPARRLGSTMGASTIKHHPFFQGVSWALLRCTTPPFVPPPYTKDKEPVSDESSCPETPIDYY >OIW03448 pep chromosome:LupAngTanjil_v1.0:LG10:5288669:5289316:-1 gene:TanjilG_14673 transcript:OIW03448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRNEIVGSRSARHSNTTTVSNKVLVAVKAERVISNTALAWALTHVVHSSDSITLLAVYSAEKTGRRFWSFSKLTGDCSSSREGKLPERISDISESCSQMILQLQNQIEFLNNAFRLLLKFLTFLTFSSEETTFLLD >OIW03024 pep chromosome:LupAngTanjil_v1.0:LG10:15554816:15561234:1 gene:TanjilG_13661 transcript:OIW03024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLELDDYIIRSMSIGAVFSDFVGRILSLDFHRNDDLLVTASEDDSVRLYDIANAKLLKTTYHKKHGTDRICFTHHPSSVICSSRYNLESTGESLRYLSMYDNRCLRYFKGHKQRVVSLCMSPINDSFISGSVDHSARIWDLRVNACQGILRLRGRPTVAYDQQGLVFAVAMEGGAIKLFDSRSYDRGPFDTFLVGGDTAEVCDIKFSNDGKSMLLTTTNNNIYVLDAYGGEKQFGFSLEPSPGTTIEATFTPDGKYVVAGSGSGTMHAWSIEQRIEVASWSSHIGAPWCLKWAPRRAMFAAASTVLTFWIPNTSNPKSENGGSYAAAGAHPQPHFY >OIW03643 pep chromosome:LupAngTanjil_v1.0:LG10:3026587:3027619:-1 gene:TanjilG_22300 transcript:OIW03643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHCGYQQKNAMTGCEEMRMESVVCPKPRRLGLLSIDNHIRTFRPPFNNYQSDIEDSGVGAELLDIIRPKGSCYNPERSGGQVASSPPFFCGSPPSRASNPVIQDEQFGNGNVSVNFSPFSMAPPSPTSSARSCVRMKFGHSPAAVRIEGFDCLSMDRNNRSIYARA >OIW02957 pep chromosome:LupAngTanjil_v1.0:LG10:16206631:16207530:1 gene:TanjilG_13594 transcript:OIW02957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPKGSKQPQQEQEQEQGKNNNMGENKTSEVKDFQIVIAEKEDSSKKQLAPKRASNKDRHTKVEGRGRRIRMPALCAARIFQLTRELGHKSDGETIQWLLQQAEPSIIAATGTGTIPASALSAAANSLSQQGTSLSSGLHQKLDELGSNIGSGSRSSWSMVGGNLGRPHVATTGLWPPHVSGFGFQSSSGPSTPSLGAEGSNYLQKIGFPGFDLPNPNMGQMSFTSILGGGSNQMPGLELGLSQDGHIGVLNPQTLSQIYQQMGQQARVQQQQQQHQHQHLHQHQQQHPTKDDSQGSEQ >OIW03507 pep chromosome:LupAngTanjil_v1.0:LG10:4608331:4608801:-1 gene:TanjilG_31020 transcript:OIW03507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTSSGNSSMTTKFQSYGSEEDLQLLMDQRKRKRKQSNCESAKRCRLKKQKHLDDLIAQVDKLRKENTEILTRVNIITHHYHKVEAENFILSAQIGELSQRLQSLNDILELMSTTNGVYEMDNCYVTGATDYNFMNPMNMFYLNQPIMASADMFHS >OIW03180 pep chromosome:LupAngTanjil_v1.0:LG10:12769712:12771238:-1 gene:TanjilG_11817 transcript:OIW03180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPMDTLVKTPNKLGFLHPLHGYSEKLSNLSYCTKLQNQELRFGSKKPVVKWGRCGVLRASSNALLELVPEIKKENLDFELPLYDSSKGALVDLAVVGGGPAGLAVAQQVSDAGLSVCAIDPNPRLIWPNNYGVWVDEFEAMDLLDCLDTTWSGAVVYIDEKRKKNLDRPYGRVNRKQLKSKMLQKCISNGVKFHQAKVIKVIHEDSKSMLICNDGVTVQATVVLDATGFSRSLVQYDKPYNPGYQVAYGILAEVEEHPFDLDKMLFMDWRDSHLDSDMELKERNKRIPTFLYAMPFSSTKIFLEETSLVARPGLSMGDIQERMVARLKHMGIKVKSIEEDEHCVIPMGGPLPVLPQRVIGIGGTAGMVHPSTGYMVARTLAAAPIVANAIVRCLGSDRALSGDELSAQVWKDLWPIKRRRQREFFCFGMDILLKLDLPGTKRFFDAFFDLKPHYWHGFLSSRLFLPELLFFGLSLFSYASNRSRLEIMAKGTVPLVGMVKNLIQDTE >OIW03689 pep chromosome:LupAngTanjil_v1.0:LG10:2582353:2589625:-1 gene:TanjilG_30753 transcript:OIW03689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAKLDLEVGNDGVAVITMSNPPVNALAIPIMKGLKDKFAEVARRNDVKAIVLTGKGGRFSGGFDISVMSKVHETGDASIVPDVSVELVSDLIEDSEKPVVAAVQGLALGGGLELALACHARIAAPRTQLGLPELTLGVIPGLGGTQRLPRLVGLSKGLEMMLTSKPVSSEEGHKLGLIDAIVSPEELLKVSRQWALDIGQARKPWIRSLHRTDKLGSLSEAREVLKIARQNAKKTAPNLPQHQACIDLIELGIVRGGYSGLLRESEVFKQLVLADTSKGLINVFFAQRTISKVPGVTDIGLKPRNVRKAAVIGGGLMGSGIATALILSNIHVILKEVNSEYLQKGIKTIQANVNGLVTRGKLTKQKADGALSLLKGAVDYNDFKDVDLVVEAVIENISLKQSIFSDLEKICPPHCILASNTSTIDLNIIGEKTRSQDRIVGAHFFSPAHIMPLLEIVRTEKTSAQVLLDLMTVGKNIKKSPVIVGNCTGFAVNRTFFPYAQSAHMLVNLGVDVFRIDRLISNFGLPMGPFQLQDLAGYGVSVAVAKEFDGAFPDRTFSSPLVHLLIKSGRNGKNNGKGYYIYERGSKPKPDPSVQPIIEESRRVCNIMPNGKPISVTDQEIVEMILFPVVNEACRVLEEGIVIRASDLDMASVLGMSFPNYRGGIVFWADMVGANHVYTSLKKWSELYGNFFKPSRYLEERATKGIPLSAPASSTPKSVARL >OIW03159 pep chromosome:LupAngTanjil_v1.0:LG10:13228801:13229427:1 gene:TanjilG_11796 transcript:OIW03159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTLIKFLSYPSHYQTHKSISFTNHHIKHFSIRCTDLNSTPQPDSPIPDSTTSTVNSAETFPIEKRRRSEIIRERRPGTGLVKPEPPNFEIGWKRTKEINLEKPIGYVIADFLEKLESLMGREFGSKELLEKVGEIVAERAREEAEILRDEGKVEERMVTELFRVLRLLEMDLAMVKAAVKEETLSERLEQAKARCRQAILVAYSF >OIW04007 pep chromosome:LupAngTanjil_v1.0:LG10:318165:319509:1 gene:TanjilG_30283 transcript:OIW04007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGGTKMSDKNERAQDSSPDTVFTNYLSAELSEYFKFDDINEWLIDDDIESFVSSQEVLYHQPNVASHFIKGEVSSGYQKKVREKFSFKTKSEVDILDDGYKWRKYGKKMVKNNPNPRHYYRCSVEGCPVKKTVDRDKDDPMYVITTYEGRHNHPDKDHLSHSFLVPSSCPI >OIW03352 pep chromosome:LupAngTanjil_v1.0:LG10:7567487:7569510:1 gene:TanjilG_29337 transcript:OIW03352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSLWTLCLVLSSLASIALCATPRKPIDVQFGRNYYPTWAYDHIKYFNGGSEIQLHLDKYTGTGFQSKGNYLFGHFSMHIKMVPGDSAGTVTAFYLSSQNSEHDEIDFEFLGNRTGQPYILQTNVFSGGKGDKEQRIFLWFDPTKEYHRYSILWNLYQIVFFVDDIPIRVFKNSKDLGVRFPFDQPMKIYNSLWNADDWATRGGLEKTDWSKAPFIAHYKGFHIDGCEASVQAKFCATQGKSWWDQPEFRDLDAAQWRRLRWVRQKYTIYNYCTDRKRYPQLPPECKRDRDI >OIW03833 pep chromosome:LupAngTanjil_v1.0:LG10:1475452:1478433:-1 gene:TanjilG_30109 transcript:OIW03833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSVVEEEDLEYESDPEDAKRSLAMRRRREASDDEETEGEDDDGRDNNSDPNVIHSDDSEGEGGVADYDDEEEELEEEYEDEGGEEEVYEERVFEEEGGVLNGAAPAAVMVKDSDASGVNAPLEEENSENKDGEEKKESEPFAVPTAGAFYMHDDRFRDNAGARNRRMNGGGRLWESKDERKWGHDKFEEITLQERPYKEGRRPSRGNYRGGRGKNRAIGRGGHVRGDRREYDNNGSQGQVPKGVVRGRGPRRYEPANKKSNDPAPQMQNKQSSKPLEETSIVSSGRTLAPTSSSESDLAPAKKQVSSNLNYASPPFYPSGSSNKEINLTPKRDVQTGTTSRSFRPINESFSLQQNNASLRGKNVVDSTSMDKLYIDESVNASVRKPINNLHMPPPGSSGVNASHSTHIKAPGRGGSIPVQMNYQPATSHSRANKIAPTQFQAIQRNSAPGRTSTSVQAHASQLGHRPGSESQGSSPTKTDSEELDTTSESGKSKGALVGKGRGVSQGNGRGLVYGGPMGNIGGSHGDQNFPAFLPVMQFGGQHPGGIGVPAVGMAFPGYVQPQHGLGSSEMTWLPVLAGAAGALGASYCPPYLTVDGAYHARQSGQTSAPGTARLSVTLIVFGSL >OIW03922 pep chromosome:LupAngTanjil_v1.0:LG10:877212:880646:-1 gene:TanjilG_30198 transcript:OIW03922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMKEAEKSMDPQLWHACAGGMVQMPPVNSKVFYFPQGHVEHAHTNLDFGASMRIPPLILCSVAAVKFFADPETDEVFARIRLVPLRNSEIDYDDGDGGCAKASENSEKPASFAKTLTQSDANNGGGFSVPRYCAETIFPKLDYSAEPPVQTVIAKDVHGEVWKFRHIYRGTPRRHLLTTGWSSFVNQKKLVAGDSVVFLRAENGDLCIGIRRAKRGINGGSETPSGWSTGAGNCGIGPYGAFSVFLREENKILRNGCGNSSPGDRGNLSGSAKVSAESVKEAMVLAATKQPFEIVYYPRASTPEFCIKASTVKAAMRIQWCSGMRFKMAFETEDSSRISWFMGTIASVQVVDPIRWPNSPWRLLQVTWDEPDLLHNVKHVSPWLVELVSNNIPVIHLKPFSPPRKKPRFPPHPDVPYDVQFPIPMFSGNTTGTSSPLRCLSDNAPASIQGARHAQIGISLSDLHLRNNKLQFGVLPTNIQQRNLHAAGISNGNMTNHDKSKESLSCLLTMGNSHKSLEKSDNVKIHQFLLFGQPILTEQQISGRACSSDLLSQNCSTGNDLRYENKDKGKWLFSDSQSTLLHQFSPGKSCSTESWKLDLDTSGHCKVFMESEDVGRTVDLSSLGSYEELYRRLANMFGIERSEMMSRVLYRDATGAVKQIGDEPFSDFMKTAKRLTILTDSSTKDTTRTWITGTRNGEHGLDASNKRGHLSIFA >OIW03818 pep chromosome:LupAngTanjil_v1.0:LG10:1564389:1565063:-1 gene:TanjilG_30094 transcript:OIW03818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLRETIHKTKVVFHKSLRNFKSFFLGGYKKLPRSLSFNPFLGRSGNARTYTSDQFYNEFYDILQSDLNSRCLQTQSTQTKSKNWSRGSPMRNSEAVSVSTGTRSNVSFAKQNTQKSIPKYGVLKENKNKGNCQVGKKGDLDSKNKIEGGKVLAQKMKELEMMESGDIEHELDIEEALHYYSRLSSPVYLGIVDKFFMDMHSEFSLPQSSVKVKGKKNLLNHKL >OIW02990 pep chromosome:LupAngTanjil_v1.0:LG10:15871483:15873817:1 gene:TanjilG_13627 transcript:OIW02990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAKSRLSGGYYGNAIGTGGESEGSGTSGREDTEMTVSEEESCIPVRKKSISLNSSRCDDVFNVPMQVIPLSNLPSFKRKDLVRRLRSELERVRLVQKKAELQKTSGVTLSSCSDILSCSNGQNGARIENSRKPPISGSVPGDKLKPLDQKPLDQKPRVLNRGSSGKFQSAPQTSSLSTANTMLMKDCDSLLKRLMGHQYAWVFNTPVDVVKLNLPDYFSVIKHPMDLGTVKSKLARGAYSRPLDFASDVRLTFSNAKTYNPPGNDVHLMADTLDKYFEVRWKTIEKKLPKSDALPLPPKPTNCGNVKTARPMPPSKKRKVTSLPPQPEVLPPAKKVMSDEDKLKLGRELESLLGEVPIHIIEFLKEHSSNGRECGEDEIEIDIDDLSDDTLFTLRKLLDDYLQDKQKNKGKVEACEIELVNDSGPSNSSLQAFKGNDPADEEVDIGGNEPPVSSYPPVEIEKDKTDRRNNCPSPDSSCDTDSTSSSDSDSDDVKGSPANVAKVPENLGFGVQLDEKTRAPNTLERNRE >OIW03393 pep chromosome:LupAngTanjil_v1.0:LG10:6596208:6599352:-1 gene:TanjilG_31840 transcript:OIW03393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKEPDIHKSGGESDDTEPIELVLFQVPECYVYIIPPRMSAASYRADEWDVNKWAWDGILKVISKGEECIIRLEDKNTGELYARAFLRKGEPHPVEPVIDSSRYFVLRVEESIGGRLRHAFIGIGFRERTEAYDFQAALHDHIKYLDKKKTAEEMEQQYQQSSSVDYSLKEGETIVLQIKTNKSGSSVKSKFFDQGLNSSAEEKGERKESVPSIKLPPPPPPPLSPAATVPNTPTVSPPKLNLENTSKAETPETVKEDTELQSSPETQSTQDIPDDDFGDFQAAS >OIW03913 pep chromosome:LupAngTanjil_v1.0:LG10:938427:942522:1 gene:TanjilG_30189 transcript:OIW03913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEIQSQSDNYRSSSSSASSPASRVPSSNFFYLRKPGSLRQPISFEDSPEWEDTDVDVRVDDGGDSINAATTPASPSLSKLNSGSLPSPHLPEGAVIPRKIAGASVAWKDLTVTIKGKRKYSDKVIKSSTGYALPGTMTVIMGPAKSGKSTLLQAIAGRLHPSARVYGEVFVNGGTSKMPYGSYGYVERETTLIGSLTVREFLYYSALLQLPGFFCQKKSVVEDAIHAMSLDDHANKLIGGHCYMKGLPSGEKRLVSIARELVMRPRILFLDEPLYHLDSVSALLMMVTLKRLSSTGCTLILTIYQSSTEVFGLFDRICLLSNGNTLFFGETLACLQHFSNAGFPCPIMQSPSDHFLRAINTDFDRIIAMCKNWQDDNGDFSSVNMDTAVAIRTLEATYKSSADAAAVETMILKLTEKEGPALKTKGKASNATRVAVLTCRSLLVLSREWKYYWLQLILYMLLTLCIGTVFSGLGHSLSSVVTRVAAIFVFVSFCSLLSIARLPALLKEIKVYACEESNQHSSTLVFLLAQLFSSIPFLFLISISSTPIFYFLVGLEDHFILLMYFVLNFFMTLLVNEGIMLVVATLCQDVCWSVLTLLCIHVVMMLSAGYFRIRNALPGPVWTYPMSYIAFHTYSIQGLLENEYLGTSFAVGQGEKHLSLKVSSVLGLQQIQVDVNLV >OIW03900 pep chromosome:LupAngTanjil_v1.0:LG10:1031149:1033479:1 gene:TanjilG_30176 transcript:OIW03900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEAEARGVKLPSDMVEAAKNIGIREMFLLRYLELEGSAWPLSFLMKHCSMLRNRMLADPSFLFKVGIEVVIDSCCATFAEVQKRGKDFWAEFELYAADLLVGVVVDIALVGILAPYARIGKPSVSKGLLGGIQHACAALPSSVFEAERPGCKFSTKQRIATYFYKGALYGSVGFGCGLIGQGIANMIMNAKRYTRRYNFSTSSVVSFLPYRRTLEASPVAKKVPLVAMAFTVGVRFGNNIYGEIERRTMNALIYNVQFFGFRNFINSWLVKPCPGGKFSLKFHN >OIW03133 pep chromosome:LupAngTanjil_v1.0:LG10:13688303:13716201:-1 gene:TanjilG_11770 transcript:OIW03133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFHKGSKVWVQDKDFVWVDAEVLDSSSNGTIHLVTVSGKTVVSSPEKLLPRDADEDEHGGVEDMTRLAYLNEPGVLYNLRRRYVLNDIYTYTGSILIAVNPFTNLPHLYETHMMEQYKGAPLGELSPHVFAVADASYRAMMNEGKSQSILVSGESGAGKTETTKLIMQYLTYVGGRAAGGDDRTVEQQVLESNPLLEAFGNARTVRNDNSSRFGKFVEIQFDSNGRISGAAIRTYLLERSRVVQITDPERNYHCFYQLCASERDAEKYKLAHPSHFHYLNQSKVYELDGVRNAEEYVNTRRAMDVVGISNEDQEAIFRVLAAILHLGNIEFSPGKEHDSSVIKDEKSRFHMQMAANLFMCDVDLLLATLCTRSIQTREGSIVKALDCNAAVAGRDVLAKTVYARLFDWLVDKINRSVGQDTNSQMQIGVLDIYGFECFKDNSFEQFCINFANEKLQQHFNEHVFKMEQEEYSKEEINWSYIEFVDNQDVLDLIEKKPIGIIALLDEACMFPRSTHETFSTKLFHHCNSHPRLEKEKFSQTDFTMSHYAGKISKRPAAFMQVTYHTDTFLDKNRDYVVVEHCNLLSLSKCPFVSGLFPLLAEEPSRSSYKFSSVASRFKQQLQALMETLKSTEPHYVRCVKPNSLNRPQMFENASVRHQLRCGGVLEAVRISLAGYPTRRTYSEFVDRYGVIALEYMDGSYDDKVATEKILRMLKLENFQLGRTKVFLRAGQIGILDSKRAEVLDNAAKCIQRRLRTFVAHKHFISVRAAAVSLQACWCIAQNMYAAIREKAAVISIQKYIRMWLMSQAYLKLYSSAIIIQSHVRGFATRQRILHGREHKAATFIQACWRMSKVRSAFKQHQASILAVQCLWRCRQARKELRRLKQEANESGALRLAKSKLEKQLEELTWRLHLEKKIRVSNEEAKQAEISRLQKVIEALNLELDVAKLATINECNKNEVLQNQLKLSVKEKPTLERELFAMEEVRKENALLKGSLDAFEKKHAALELELMNAQKSCNETVEKLREFEQKCSQLERNVKSLEEKVLSLEDENHVLRQKAISAPPKSIRPGLAKSFSEKHSSSIASRTERKPLFESPTPTKHITPFALAMSDSHRSRLTTERNQDNYEFLARCIKENLGFKNGKPLAARIIYKSLLQWHAFEFERTTIFDYIIEGINEALKRNIRSNGFLTTATQRHAGSPGLTIWTGHGLKSPLKSHGYDDGMSHVEARYPAILFKQQLTACVEKIFGLIRDNLKKELSPLLGLCIQAPKTGRVHGGKSSRSPGGLPQQSPGGQWDNIVNFLDSLMKQLRENHVPSFFIRKLVTQVFSFINITLFNSLLLRRECCTFSNGEYVKSGLAELEKWIVNAKEEYAGTSWHELNYIRQAVGFLVIHQKRRKSLKEIRQDLCPVVSEMRELVSKDNQNLASNSFLLDDDLSIPFSAEDIDIALPAIDPDDVDLPEFLSEYPCAQFLISNQK >OIW04013 pep chromosome:LupAngTanjil_v1.0:LG10:275058:275741:-1 gene:TanjilG_30289 transcript:OIW04013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPFLPHPLIFSTTHSSSSSSSSTTTTTNYIDPMFSGLTFQTLLSLNPSLFSSSLSDHHPPPPLPPPPPFNLFHHHRRSDPPPCRAVIPHMRSNLARQRRQKLSEKTRCLQKLMPWDTKMDQATLLQHAYKYVKFLQAQLTLLQSMPSSSSSHTSSSSSSSSFVGSDLERLNRNQLLQVLVNSPVAQTMLCSQGFCVFSMEHLFLLTKDISFSQPDHHACFSPQQQP >OIW03771 pep chromosome:LupAngTanjil_v1.0:LG10:1909707:1912658:1 gene:TanjilG_30047 transcript:OIW03771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTKCKEGEITVSTKSFKNFYKPYQVDDVTAEESTISRRKKVGNLKLQSTFSFKYLVSKNSGSKEKVEGMFNKPNSSVLLQKPELMFSPKPFVHHPDVGVAAIKFQKVYKSYRTRRNLADCAVICEELWWKTKNFAASTSQFDSETAILKWTRASTNVAKIDPRHRYGHNLDFYYDVWFQSQSSQPFFYWLDIGDGKEVNLEKCPRRILQKQCIKYLGPKEREAYEVIVDRGSLVYKHSKELVHTTEGSKWIFVLSASRILYVAQKKKGQFQHSSFLAGGATIASGRMVAHNGVLNVIWPYSGHYRPTQKNFMEFICFLVEHNVDMTDVKTYPVDDDIPPSNPADEEMLLEHIEDNGTANNCGQENMMEHFGNNVEGSIKERKHKSSKWTTGVGPRIGYLREYSTKLQAQALEHINVSQRKMQHGNGTFACMEPIPSPRPCPKVHLSPRLVNMGRPSPRLQHVTHS >OIW03405 pep chromosome:LupAngTanjil_v1.0:LG10:6113954:6115244:-1 gene:TanjilG_12002 transcript:OIW03405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKESFHNSHSNDIGNKNINNGSGSNSGSLLLRASSDTTKDLVLQWGNRKRLRCMKVQVKDPTNPSNPVQRTTVRVDRRVARTDNKDSSNNPTPKPSVINHVHNNNNNNHHHNQSNGYRNLRQRQTSPQQPTQRILRSSRSSYPN >OIW04046 pep chromosome:LupAngTanjil_v1.0:LG10:113843:123300:-1 gene:TanjilG_24157 transcript:OIW04046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFLSEAASAIKNKFRFHDHSSSDSASLIQNTPDLLKSDAKQNLFPHSEASLVLTTTAAVSSSHTFEVCEDPSFWKDHNVQVIIRMRPLSNAEISVQGYSKCVRQESCQAITWTGHPESRFTFDVVADENVSQEKLFKVAGLPMVENCMGGYNSCMFAYGQTGSGKTHTMLGDIEGGTRRHSVNCGMTPRIFEHLFSRIQKEKEVRRDEKLKFTCKCSFLEIYNEQILDLLDPSSNNLQIREDSKKGVYVENLKEVEVTNARDVIQQLIQGAANRKVAATNMNHASSRSHSVFTCIIESQWESQGVTHFRFARLNLVDLAGSERQKSSGAEGERLKEATNINKSLSTLGLVIMNLVSISNGKSLHVPYRDSKLTFLLQLFSELFHKYNSVISYSSFQDSLGGNSKTIIIANISPSISCSLETLSTLKFAQRAKFIKNNAIVNEDASGDVIAMRIQIQQLKKEVSHLRGLVGGGGEVQDNDVSIISFPGSPGSFKWEGGQGSFSPFPLASAKKISQKKDYEVALVGAFRREKDKDMSMQALREENQAALKLAKQREDEIQGLKMRLRFREARIKRLEAVASGKISAETCLLNEKEEHLKEIELLEALDWKFMHEQDLVKAQVSTVFTKTNTDSVMEDVHSDSNLIFNEESSPKSRWQSSLRAENEFLRIEAIQNQAETDTIRKRLEVCLEEKEKLEKHVDDLTAKFEQENALSTKEEKEQKDLPLATDMPVINIHDQMELKAMVDAIAAASHREAEAHEMAIMLSKENDELRMKLKALIEDNSKLIELYEQASAESKNGNDDKMENAQEIGSKVDNLETREEVTELKRVIENLEHQLTEINEENEKLMSLYETAMQERDDLKRALDCSAIEKIETKRETERPEKLVQVDGGESDFSFEIVSLDAQGRGESKNPDSSPTSRPAHEEENFLEVENEVDMDIEVNSDMGTEVSSLTAEKLSEELNCVRKKLESVDDKISEAVRKLSSLGCTEKAMMEVDKLSREIEVIEHDIRVKCQHFESLKLQLSGAQERRTVVNKKFSALKYSLSSFSSSFSYFEQREVRARAGVKDLTSHLDRKRNKLSSLQASKEGLVNAQKKNQESEVELMRKIACIKSKLEEENRKRQGEKVLFAIDNNTQNTHSSLKSWHFSGKATELLKLEEEKTKIQAEMKLSQEKLGFIRKELGSINKKVADVESQIQAVKLEIQQGLSNTEEKKLALQCVTKEKEMLVEFRDNGILEIEQMTVELQQYVFECDVKEAEMKIVEEELEIDFAKAEELQITRVIVANSRNNSMSTLSHPGMCEKIEEDMQSLRASIIETKLLLEGISHAT >OIW03840 pep chromosome:LupAngTanjil_v1.0:LG10:1424929:1428257:-1 gene:TanjilG_30116 transcript:OIW03840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGALAGLQDHLKLAREYALEGLYDTSIIFFDGAIAQINKHLNSVDDPLIRTKWMNVKKALSEETEVVKQLDAERRAFKETPTAKSRRSPSPTISVSSAKSNFVFQPLDEYPTSSSSGRGGGFTNPMHDPDVWRPPSPPSRDASRRPQAARNVRKDGVWTRGAAPRTTTTTTGVATNANARGGARAGRVNSGTRGSTAPKKGNASGKSSKTDAVNGDGDDGKSKRVQYEGPDPDLAEMLERDVLETSPGIRWDDVAGLTEAKRLLEEAVVLPLWMPEYFQGIRRPWKGVLMFGPPGTGKTLLAKAVATECGTTFFNVSSATLASKWRGESERMVRCLFDLARAYAPSTIFIDEIDSLCNARGASGEHESSRRVKSELLVQVDGVNNTSTNEDGSRKIVMVLAATNFPWDIDEALRRRLEKRIYIPLPSFESRKELIRINLKTVATDVDIDDVARRTEGYSGDDLTNVCRDASLNGMRRKIAGKTRDEIKNMPKDEISNDPVAMCDFEEALKKVQRSVSQSDIDRHEKWFHEFGSA >OIW03229 pep chromosome:LupAngTanjil_v1.0:LG10:11746847:11747893:1 gene:TanjilG_13023 transcript:OIW03229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYVDYGFGKVFLESSMVDINFVKQYENLLYVDHGCGEVVPQYVVVDHRFDEGILESVMVDHGFDEVVLESVIEAVVESAMVDHGYNEAFPEVSLGGPWIYEAVPKSDIGGPWNWRSNYNLLEVDTMDLVKQFQNLLLVDHGFDEVILESAIMDHEFGEGVPEFVMVDNGFDE >OIW04041 pep chromosome:LupAngTanjil_v1.0:LG10:93845:94930:-1 gene:TanjilG_24152 transcript:OIW04041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSTILILTLFIIISLFFIAPHIIIFNPPNTNHQIPPSDEVDDVTLFNHALSNSNKLSHLSSNNHNLKLAFLFLTNSNLHFTPLWELFFHNNPTNLYNIYIHADPFVNLTLPTSPLFHNRFIPSKRTFRASPTLISATRRLLAHSLLDDPSNAYFLVLSQYCIPLHSFHYVYNSLFLSNTFDLSQRNSQPNPQPDPIRLRYNSFVEILSDAPRLWKRYVARGRYAMMPEVPFDKFRVGSQFFTLTRKHALLVIKDRTLWKKFKIPCYREDECYPEEHYFPTLLSMADPDGCTKYTLTNVNWTGTVNGHPYTYRPNEVSPELINRLRESNYSESYLFARKFTPDCLEPLMQIAKSIIFRDSN >OIW03624 pep chromosome:LupAngTanjil_v1.0:LG10:3167727:3172060:-1 gene:TanjilG_22281 transcript:OIW03624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLRTPGTPASKIDRTPVSTPGGPRAREEKIMVTVRLRPLNRREQLAKDQVAWNCINDYTIMYKPPPHERAAQPASFSFDKVFGPACLTESVYEEGVKNVALSALMGINSTIFAYGQTSSGKTYTMRGITEKAVNDIYEHIMNTPERNFTIQISGLEIYNENVRDLLNSESGRNLKLLDDPEKGTVVEKLVEETAKDDKHLRHLISICEAQRQVGETALNDNSSRSHQIIRLTIKSTQRDNADCVRSFVATLNFVDLAGSERAAQTQADGTRLREGCHINLSLMTLTTVIRKLSVGKRSGHIPYRDSKLTRILQHSLGGNARTAIICTLSPALSHVEQSRNTLFFAIRAKEVTNNAQVNMVVSEKQLVKHLQKEVARLEAELRTPDPSKEKDWKIQQMEMEIEELRHQRDLAQSQVDELRKKLQDDQQQVSNQLESQHMSVKKCLSFTGVALPKPKLGFDRVKNTTLRQSMRQSSTAPFTLMHEIRKLEHLQDQLGEEANRALEVLQKEVACHRLGNQDAAETIAKLQAEIREMRFVRPAPKEVEDGNMISVHKSVSANLKDEISRLHFQGSTIANLEQQLENVQRSIDKLVMSLPNNFQQIISEASPKHKKEHKRKKLLPLSSSNAANLPNFIRSPCSPLSTSQQVLESDIENKAPKENDDVVSTDTPQESEKDTPSKSEEGGDVSSKENNSGNRRCSSVNMKKMQKMFQNAAEENVRSIRAYVTELKERVAKLQYQKKLLVCQVLELEANEANGHDIENEEYTFEPEEPQVPWEITFRDQRQQIIELWDVCYVSIIHRTQFYLLFKGDTADQIYMEVELRRLTWLQQHLAEIGNASPAPHVGDEPTISLSSSMRALKREREFLAKRLTSRLTQEERDALYIKWDVPFDGKQRRLQFVSKLWTDPHDPRHVQESAEIVAKLVGFCTGGNISKEMFELNFVRPSEKRPWLMGWNPTITNFLNL >OIW03529 pep chromosome:LupAngTanjil_v1.0:LG10:4321423:4321878:-1 gene:TanjilG_30949 transcript:OIW03529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRCSTIRHIVQIRQMLRRWRNKARMSSVNRAPSDVPSGHVAICVGSSYTRFVVPTTYLNHPVFKKLLLQAEEEYGFSNHGPLAIPCDEEVFEEIIRFISRSEPGKNSNRFFNVEIEDFQRYCHLGVRRNLDFWPETRPLLPGLTDKTIW >OIW03658 pep chromosome:LupAngTanjil_v1.0:LG10:2857242:2861071:-1 gene:TanjilG_30722 transcript:OIW03658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWCLPKYAPTSFCSSLFSSTKKPNSHPFSFSTFSSSSSSSSYSTVLATPTFTWNDILNISQSSTSIQQQHQDPSSYLQGFSHKVQLCNRGSEKQSEFLPFVVEGQVVGFVHNGFADYLKGFSEVFIFPKDKSNGNPFGNCVSLHPMLKTYEERTSAVGYVVEHLGEERIPGIRNELYPVTSSFGAPIFFSLERAAAPYFGIKAYGVHMSGYVELDGQKHLWIAKRSSTKPTYPGMLDHLVAGGLPHGIGCHENVVKECEEEAGIPRSISIKAIPVGAVSYMDIDEYRYKRDVLFCYDLKLPESFVPKNEDGEVDSFKLIPVVQVAEVIRKTQFFKPNCSLVIIDFLFRHGYISPECFGYLDLLRSLRIGDCS >OIW03910 pep chromosome:LupAngTanjil_v1.0:LG10:962132:964512:1 gene:TanjilG_30186 transcript:OIW03910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFFHFGINTFTNSEWGSGHVDPTTFNPTQFNASQWIRVAKDSGFSRVLITAKHHDGFCLWPSHYTDYSVRSTHWRNGEGDVVSDLAVAAKEGGVGLGIYLSPWDRHENCYGKTVEYNEFYLAQMTELLTRYGEIKDVFLDGAKGEGEKDMKYFFESWFSLIHQLQPGAAIFSDDGPDTRWVGNEGGAAGSTCWSLFNRSAAGIGRVDFDSKYQSEGDPLGPEWVPALCDVSIRPGWFWHASEHPKSARTLLNIYYKSVGRNCLLLLNVPPNSSGIISAEDIQVLKEFSDLRDSIFSHNLATNAILNASSTRGGIHDSRFSPYNVLKEGIHTYWAPEENQTSWTLYINLEELVSFNVLQVQEPIQMGQRVIEFHLEALNQGGVWNRVANGTTIGYKRLLLFPQVKSQYLKLVVDKSRAEPLVSYLGIYMDPVTILSDIHDEKLVGPFNGSQVLRTRSTTYNNSHIATM >OIW03283 pep chromosome:LupAngTanjil_v1.0:LG10:10221764:10224775:1 gene:TanjilG_09930 transcript:OIW03283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAKRCKQKTKYYFPCRLQGASFNGQQLAIVSGLYEWRDIVARAEDEGTGYVLPNKTILEIAKQMPVTTSHLRRLVNLYLPYIERNLDVILSIVRHSVQNAPAFEEAALRLKEAHTASVSNALSSKDKAPGTLAKGSTGIENVTSVNISEKAPFSSDLKEESFQHQDKNGQITTKSNCPTSELPKDKGANVQLLKTPTGDGGVLLGNSASKTELDYVKKAKEEVKLEQIGSSINLPLHSGTISTSTPADVIMIDSDTDSEDMAQNNVGRDNEQRENEDEDDSSSFSDLSL >OIW03117 pep chromosome:LupAngTanjil_v1.0:LG10:14383098:14393604:1 gene:TanjilG_07269 transcript:OIW03117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPEKLGLRFLLPLSLTLLTALCAHHFLVRKTRSIDIAGRDIDGHQFNTVDEMWKEHAGDPNRKSQWYNDAVTYWEGVDASVDGVLSGFGIVNDADINGSEDFLKVLLSERFHIDNRHQPLVALDCGSGIGRVTKNLLIRYFNEVDLLEPVSHFLEAARETLATGYQTNSDLHKAVNFYSLPLQDFTPVAGRYDVIWIQWCIGHLTDNDFISFFNRAKVQEGFPEGLFAVKMYALTTDIL >OIW03466 pep chromosome:LupAngTanjil_v1.0:LG10:5090656:5093893:1 gene:TanjilG_14691 transcript:OIW03466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRKLFTKRRFDCSQTTVSSAILENKTLSSPSLQSKTVSKTSFHHREYLTSPESSDGSFFRRFLLRRSVYNSALFLSIPVGEKLREKLKGMNNIVAGDKLNLFPAPGNGISVEDVRKIIRATQVEKVKAKLRDIPETSISYSEFLRVCVEACQNNSDQGAEFAKMLDECGNVIVLGNVVILRPQQVTKTIESLIYQSIASPNDPRRNELEQMEKQKEMIDDKAKAQVRAELYCGLGFLMVQTIGFMRLTFWELSWDVMEPICFFVTSIYFVLAYLFFMRTSTEPTFQGYFYRRFKGKQERLMNTFSFDIHRYNELCKACYPSYYAGAKSEPSPPPLPTHIRDF >OIW03199 pep chromosome:LupAngTanjil_v1.0:LG10:12481506:12484370:-1 gene:TanjilG_11836 transcript:OIW03199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKITERPLNGDVEDDDEDEGNDGREAWERTYAEDRSWEDLQEDESGLLRPIDNTAIYHAQYRRRLRALASITATARIQKGLIRYVYIVVDLSKAASERDFRPSRMAVIGKQVETFIREFFDQNPLSHVGLMTIKDGIAHCLTELGGSPESHIKALMGKLECSGDASLQNALELVLGYLNQIPSYGHREVLILYSALSTCDPGDLMETIQKCKRSKIRCSVIGLAAEMFICKHLCQDTGGTYSVALDETHFKELILEHAPPPPAIAEYATANLIKMGFPQRAAEGSVAICTCHEEAKTGGGYTCPRCKVRVCELPTECRICGLTLISSPHLARSYHHLFPIVPFDEVSPSQNDPHNSFPNTCFGCQQSLLSQGNKHGLSVTCPKCKQQFCLDCDIYIHESLHNCPGCESSRLSKSISAAQ >OIW04055 pep chromosome:LupAngTanjil_v1.0:LG10:174931:184634:1 gene:TanjilG_24166 transcript:OIW04055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVDGHDQPLLSNHNHEHHQQHELENILSNTTLPVQTRLRKALWIESKYLFFLAAPAVVVYLINYVMSMSTQIFSGHLGNLELAAASLGNTGIQIFAYGLMLGMGSAVETLCGQAFGANKLEMLVFLGQAPNIAYAAALFVYGLIPQIFAYAVNFPIQKFLQAQSLVAPSAYISAATLVLHLFLSWLVVYKVGLGLLGASLVLSISWWIIVIGQFVYILKSEKCKNTWQGFSWEAFTGLPEFFKLSAASAVMLCLETWYFQILVLLAGLLPHPELALDSLSICTTIMGWVFMISVGFNAAASVRVSNELGARNPRSASFSVVVVTAMSFIISVIAAVVVLALRDVISYVFTEGEEVAAAVSDLCPLLALSLVLNGIQPVLSGVAVGCGWQSFVAYVNVACYYGVGIPLGAVLGFYFKFGAKCQRPESVNIAAVFTFDSVIGRAAKVAMEMAVSDVNGDPRVLNGTKLNLITKDASCSVFLGSIGAFQALEKGVAAIIGPQSSAIAHMISQIADAVKVPLISYGATDSTLSSLQFPFFLRTTHSDLEQMTAMADLIDFNGWKEVIAVFLDDDYGRNGISALGNELERRRLRIAYKLRLSILFDVDEINNLLNQSKVFGPRVYVVHVNPDPRLRIFTVAHKLQMIANDYVWLVTDWLFATLDSFSPVNQTTLSVLQGVVGLRQHIPDSRKKRSFVSRWEKMQKEGVVNTSLNSYGFYAYDTIWAVAFSIDKFMEVHNNITFSFDNHFMLPRTEETVIQLDMLKVFDGGSDLVNILLESNFTGLSGQIQFSSDRNIISGGYEVININQMAITRVGYWYNNSGFSVMPPKILTNKEHRRFFQNQKLDNITWPGGKTERPRGWVIADNARPLRIVVPKRASFVEFVTELQDSHQVQGYCIDVFTKALEFIPYDVPYVFKPVGNGIANPNYDALVEMVAENVYDAIVGDIAIVTNRTKIVDFSQPFASSSLVIVAPINNAKSNTWVFLKPFTADMWGATAASFMAIAVVIWILEHRVNSDFRGPPKRQIVTIFMFSLSTLFKTNQEKTVSSLAKMVMIVWLFLLMVITSSYTASLSSILTVEQLSSPITGIDSLIASNWPIGYQVGSFAYSYLTENLYIPSSRLVSLGSPEEYAIALQKGPSHGGVAAIIDELPYVNLFLSKQTDFGIIGQPFTRASWGFAFPRESPFALDMSTAILKLSESGELQKIYEKWFCKMGCPEERTSNSEPNQLHLVSFWGLYLLFGAVSLAAIVVFLLQIIYQYVRFKQSQKDVASSSSNSPSSSCYQIVINIFNFIDKKEEAIKKTFTQGDNHHNPN >OIW03274 pep chromosome:LupAngTanjil_v1.0:LG10:10819193:10827768:1 gene:TanjilG_20578 transcript:OIW03274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGDPKQKLLITKESSSEEELTLVKRVWEESKLMWVVAAPGIFTRFSTFGINVITQAFVGHIGSRELAAFALVYTVLVRFVNGILLGMATALSTLCGQAFGAKEYGMMGVYLQRSWIVLFLTAFILLPLFIFTRPIFILLGQDESIAEVAGTISLWSIPIMFAFIVSFTCQTFLQSQSKNVIIAFLAAFSIIFHVCLSWLLVIKLNLGLPSAIVATSLAYWIPNIGQLIFVTCGWCHETWKGFSFLAFRELWPVVKLSLSAGAMLCLNINGWEMMISLGFMAAASLELWYNTILVLLTGNMKNAEVEIDALSICLNINGWEMMISLGFMAAASVRVANELGKGSAKAAKERLAYIFTSNKEVADAVGDLSPLLSISILLNSVQPVLSGVAIGAGWQSIVAYVNIGCYYVVGIPVGIVLGKVIDLQVKGIWIGMLFGTFVQTLVLTIITYKTNWDEQVNIARNRVNRWSKMGNSDQETKINTPDD >OIW03611 pep chromosome:LupAngTanjil_v1.0:LG10:3324411:3329432:-1 gene:TanjilG_06120 transcript:OIW03611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTSSYLLHSPPLLCLLFSLLLSGSVIQCSAGGGEHDETVVVPEKVNLDTGGLSREAFPKGFLFGTATSAYQVEGMASQEGRGPSIWDDFINRNPGVIANNGTAEVSVDQYHRYKEDIDIMSHFNFDAYRFSISWSRIFPNGTGEVNWKGVEYYNRLIDSLLLRGIIPYANLYHYDLPLALELRYNGLLSRNVVKDFADYAEFCFKTFGDRVKNWMTFNEPRVVAALGYDNGLFAPARCSKEYGNCTVGNSGTEPYIVTHNLILSHAAAVERYRLKYQEKQKGRIGILLDFVWYENLTRSKEDNYAAQRARDFHLGWFMDPLVYGKYPRTIEEIVGNRLPKFTEKEVKLVKGSFDFVGINQYTTYYMYDPHQSNKPKVPGYQQDWNAGFAYVKNGKPVGPRAYSFWLYNVPWGMYKSLTYIKKRYGNPTVILSENGMDYPGNVTFSEALYDTTRINFYKGYLTQLKKAVDDGANVVGYFAWSLLDNFEWRLGYTSRFGIVYVDFKTLKRYPKLSAYWFKKLLTKKKQ >OIW03234 pep chromosome:LupAngTanjil_v1.0:LG10:11965016:11968048:1 gene:TanjilG_13028 transcript:OIW03234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGNGCFGRFFHSCKPYIAMICLQFGYAGMIIIAKVSLNRGMSHYVLVVYRHIFATLAIAPFALVLERKIRPKITFVMFIQMFMLGLLGPVIDQNLYYAGLKFTSPTYSCAISNMLPTMTFVMAVIFRMEKLDIRKVRCQAKVFGTLVTVAGAMVMTLYKGQDIIFFWSKYIHHSRNYEPVENTNHSNEKDLVKGSILVMISTLAWAAFFILQAVTLRKYTAQLSLTAIVCFLGTLQSIVVTYVMEHKISGWSIGWDMNLLVAAYAGIVCSGIAYYVQGIVMQKRGPVFVTAFNPLLMLIVVIMESFILGGKTYLGGVIGSFMILMGLYSVLWGKYKDLKEQEPEILTKEIKCCTSEKCMMEIMIEDAKANDIKIQEVNLSKSQG >OIW03953 pep chromosome:LupAngTanjil_v1.0:LG10:629788:634926:-1 gene:TanjilG_30229 transcript:OIW03953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGLLNSSLNGSASNLPDGAGRSFATSFSGQSGAASTVFHHTGSIQGLHNIHGTFNVPNMPGSLSSRNSTINSVPTGGAQQPTSSLSSGRFASNNLPVALSQLSHGSSHGPSGVNNRGGLGVSPILGNAGSRITSPMGNMVSGGNIGRISSGGGLSVPGLGRLNLSGNGGLGVQGQNRLMGGMLPQGSPQVISMLGSSYPGAGGPLSQSHVQAVNSLNSMGMLNDVNSSDSSPYDINDFPQLTSRPSSAGGPQGQLGSLRKQGLGVSPIVQQNQEFSIQSEDFPALPGFKGGNADFGMDMHQKEQLHDNAVSMMQSQHFPMGRSGGFNLGGSYSSHRTQQQQQHGPSVSSGGVPFSSINNQDLLHLHGSDVFPASHSTYHSQSQTSGPPGIGLRPLNSPNTVSGMSSYDQLIQQYQQNQSQSQLRTLQQMSAVNQSFRDQGLKSMQTGQSSPDPFGLLGLLSVIRMSDPDLTSLALGIDLTTLGLNLNSSENLHKTFGSPWSDEAAKGDPEFSVPQCYYAKQPPALHQGYFSKFSVETLFYIFYSMPKDEAQLYAANELYKRGWFYHKEHRLWFIRVANMEPLVKTNTYERGSYHCFDPSTFETVRKDNFVLHYEMLEKRPPLPQH >OIW03736 pep chromosome:LupAngTanjil_v1.0:LG10:2197632:2207900:-1 gene:TanjilG_30012 transcript:OIW03736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKAGDEAVDLDKTTVTMEPEIGSSGGGLYLPGKDRMVYVPPERKSRLGLDVLAIAKRAESQPDGGGFKVPKERTTSIAASAEEDEDKSESSVVIDESEHGRLDSKRRHGNRRYRETTNETPESSLTVDHHGSTHGTRSTEHMGSSVSGSPSGYDRNDHRSERRHYRDDSRSDSSRVRHRNNYESKEPYPERDSRSRYDHEYGRKRNRYDGSRRTPGRSDWDDGRWEWEDTPRRESVSSSRRYQPSPSPMFVGASPDARLVSPWSGGHTPNSSFGASSPWDHVSPSPVPIRASGPSIKSSVSRNNSTVLNMLYMHAISSIYVIKISFIQDEVADKFDLGEEHKYEITESMRKEMEYDADRAWYDQEEGSTVFDTDKSSAFLGDEASFQKREAELAKRLVRRDGTKMSLAQSKKLSQLTADNAQWEDRQLLRSGAVRGTEVQTEFDDEEERKVILLVHDTKPPFLDGRVVFTKQAEPIMPIKDPTSDMAIISRKGSTLVREIHEKQSANKSRQRFWELAGSNLGNILGVEKTAEQIDADTAVVGEDGEIDFKEEAKFSQHLKKGEAVSDFAKSKSISEQRQYLPIFSVREELLQVIRENQVVVVVGETGSGKTTQLTQYLHEDGYTINGIVGCTQPRRVAAMSVAKRVSEEMDTELGDKVGYAIRFEDVTGPNTIIKYMTDGVLLRETLKDSDLDKYRVIVMDEAHERSLSTDVLFGILKKVVAQRRDFKLIVTSATLNAQKFSNFFGSVPVFHIPGRTFPVNILWSKTPVEDYVEGAVKQAMTIHITSPPGDILIFMTGQDEIEAACYALKERMEQMVSSSKKDVPKLSILPIYSQLPADLQAKIFDKAEDGERKCIVATNIAETSLTVDGIYYVIDTGYGKMKVYNPRMGMDALQVFPVSRAAADQRAGRAGRTGPGTCYRLYTESAYLNEMLASPVPEIQRTNLGNVVLLLKSLKVENLLDFDFMDPPPQDNILNSMYQLWVLGALNNVGGLTALGWKMVEFPLDPPLAKMLLMGEQLECLEEVLTIVSMLSVPSVFFRPKDRAEESDAARERFFVPESDHLTLYNVYQQWKQHDYRGDWCNDHYLHVKGLRKAREVRSQLLDILKTLKIPLTSCFPDTDIVRKAICSAYFHNSARLKGVGEYVNSRNGMPCHLHPSSALYGMGCTPDYVVYHELVLTSKEYMQCATAVEPHWLAELGPMFFSVKESDTSLLEHKKRQKQEKTAMEEEMENLKKVQAEVEKEMKQKEKEKMAKQQQQISMPGLRKGSSTFLRPKKFGL >OIW03830 pep chromosome:LupAngTanjil_v1.0:LG10:1502316:1507525:1 gene:TanjilG_30106 transcript:OIW03830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIHKVELPPQRTTLHKLKQRLSEIFFPDDPFYPFKNQTYSTKFILGLQFLFPIFLWGPQYNFRLLRSDVISGLTIASLAIPQGISYAKLANLPPIIGLYSSFVPPLIYSVLGSSKHLGVGPVSIASMVMGLGFIIDFLSKATLIGFMAGAAIIVSLQQLKSLLGIVHFTNKMQIIPVFISVFKQRREWSWQTVVLGFCLLVFLLTTRYISLKKPKLFWVSAAAPLTSVILSTILVFLLRNKTNAIAIIGELPKGINPPSSKLLYFNGPFLTLAIKTGLVTGILSLTEGIAVGRTFGALQNYQVDGNKEMIALGVMNIAGSCSSCFVTTGSFSRSAVNYNAGAQTTVSNIVMAAAVLVTLLFLMPLFRYTPNAVLGAIIITAVIGLIDYQAAFKLWKVDKLDCLACMCSFFGVLFISVPVGLSVAVGISVFKILLHVSRPNTLVLGNIPGTNIFHNVNQYKEALRIPSFLILRIESPMYFANSTYLQERILRFVREEEEHIIANNGSPLKCIILDMTAVTAIDTSGIDTLCELRKMLEKRLLKLVLANPVGNVMEKLHQSKILDNFGLKGVYLTVGEAVTDISSSWKAQP >OIW03281 pep chromosome:LupAngTanjil_v1.0:LG10:10271904:10276008:1 gene:TanjilG_09928 transcript:OIW03281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRLQSLKVLTLVSLGIWGPLPGKIANLSSLEIVNMSSNFIHGSIPYEISTLSNLQTLILDNNMFSGQLPNWFGLIPALNVLSFKNNLFNGSLPNSVGRLVNLRILSLSHNQFNGTVPDLSSLKNLQVLELDDNAFGPQFPGLGNKLVALVLRNNRFRSSIPAEASSYDMLQKLDISSNTFVGPFPPALLSLPSITYLNISGNKLTGLLFENLSCNSELDVVDLSSNLLTGSLPRCLVSNPGTVLYARNCLEKPNQDQQPQPFCHTEAVAVGILPDRKNHTQVSNVFLSIGIIGGTIGGVALFLLIFFLIRRGNAKSKIKNPQTRLISENAASGYTSKLLSDARYISQTKKFGAVGLPTYRSFSLEEIDAATNNFDNSSFIGEDSYGQMYRGQLKNGLLVAIRCVEMKKSYSTQNYMHHIELISKLRHHHLVSALGHCFECSLDDSSVRRIFLVFEYIPNGTLKSWISGGDARKSLSWTQRIGAAIGVAKGIQFLHTGIVPGVYSINLKIEDVVLDQNLVAKIGSYNLPLLSNMGKVRNGSSSSGFKNSSINKSVKHEDKSDIHDFGVMLQELILGKTIKSRKDVDAFKDLLQASIAADDEARRSIVDQAIRKACLDQSLKTMMEICVRCLVKEPADRPSIEDVLWNLLFAAQVQDAWRGDSQSSDGSPGSPLQPPTLTFD >OIW03479 pep chromosome:LupAngTanjil_v1.0:LG10:4969138:4972989:1 gene:TanjilG_14704 transcript:OIW03479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSNHFSRLGTLIQRSIAGKEDSAAEIFIGRGKFLFGASKFYHFGNYSSCTRFVRPGCVALASSSELVANNRSLSVVDVLSRSVSVPSVSGPSFQVIGYHIDCADRKFQSRAMAAPLTRVVVGECCLDKLSLRRRHCSVSTSNSGNIRLSTCLGNGRNVSMSLKNHQQPDHHTVYGYFIYSAAKNWRGSSPYSESGSRNFHSSSSARYSAGPAQDVPYDTSAREDQLVYSADSSPQKSPSGKTLKLVSGSCYLPHPDKEETGGEDAHFICPEEQALGVADGVGGWADLGVNSGFYSRELMSNSVDAIQEEPKGSIDPARVLEKAHSSTKARGSSTACIIALTDQGLNAVNLGDSGFMVVRDGHTVFQSPVQQHDFNFTYQLECGSNGDLPSSGQVFTIPVAPGDVIIAGTDGLFDNLYNNEITAVVVQAIRAGFSPQVTAQNIAALARQRALDKDRQTPFSTAAQDAGFRYHGGKLDDTTVVVSFITGSSGDAA >OIW03028 pep chromosome:LupAngTanjil_v1.0:LG10:15471695:15472580:-1 gene:TanjilG_20956 transcript:OIW03028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLSDIGVAAAINILSAFIFFLAFSILRLQPFNGRVYFRKWYLKGLRTDPAREEAFVRKFVNLDWRSYLKFLNWVPETIRMPEPELIDHAGLDSVVYLRIYLLGYAVIENHFIKLKIFCPIAFLAWTILVPINWTSTGLERAKITNITSSGIDKLSISNVHSRSERFWGHMVMAYVFTFWTCYMLLKEYEKVASMRLQFLAEEKRRPDQFTVYFICHPPFL >OIW03305 pep chromosome:LupAngTanjil_v1.0:LG10:8825281:8825570:1 gene:TanjilG_16454 transcript:OIW03305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHKQLPKISAYIDHFTSFENILHAVATTSVLVDVIGEFVDLELSHPKSIPKKVIFIMRN >OIW03198 pep chromosome:LupAngTanjil_v1.0:LG10:12492534:12492827:-1 gene:TanjilG_11835 transcript:OIW03198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSYKAPRLDGFQPIFYKTYWNIVGRDIHDMIVASFTSGTTDPVLADTLVMPIPKVDNPMSLKDFLPISLCNVLLKLVSKILVNRIHLYLDSFIGPL >OIW02986 pep chromosome:LupAngTanjil_v1.0:LG10:15894282:15896765:-1 gene:TanjilG_13623 transcript:OIW02986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSRNAKWWYSAFHNVTAMVGAGVLSLPYAMAELGWGPGVAVLVLSWIITLYTLWQMVEMHEMVPGKRFDRYHELGQYAFGEKLGLYIVVPQQLVVEVGVNIVYMVTGGKSLKKFHDTVCEDCKKIKLTYFIMIFSSVHFVLSHLPNLDSISGVSLAAAVMSLSYSTIAWAASAHKGVQENVQYGYKAKTVAGTVFGFFSALGDVAFAYAGHNVVLEIQATIPSTPEKPSKGPMWRGVVVAYIVVALCYFPVALIGYWMFGNAVQDNILISLNNPKWLIALANMFVVIHVIGSYQIYAMPVFDMIETLLVKKLNFPPSRILRFVVRNVYVAFTMFIAITFPFFSGLLGFFGGFAFAPTTYFLPCVMWLSIYKPRKFSLSWWSNWVCIFFGLCLMILSPIGGLRQIIIDAKTYKFYS >OIW04029 pep chromosome:LupAngTanjil_v1.0:LG10:21387:25315:-1 gene:TanjilG_24140 transcript:OIW04029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKSNHKNITTTPCSNSNTTTLTPTNVKKKKKRARKSIPRDPPSQRSSIYRGVTRHRWTGRYEAHLWDKNCWNESQNKKGRQGAYDDEEMAARAYDLAALKYWGQDTILNFPLSKYEDELKEMEDQSKEEYIGSLRRKSSGFSRGVSKYRGVARHHHNGRWEARIGRVFGNKYLYLGTYATQEEAATAYDMAAIEYRGLNAVTNFDLSRYIKWLRPKAQDQEEDIININESISCPITPNTELDQPNPIHVPIPIPIPIPIHGDELEDVGFVSHHQRSSVSHGSTKSYNSALGLLLQSSKFKEMTSSSSASDYNNTNPSPLESNSNIPRRTFPEDIQTFFESHQEDLGSYINESDDVIFGELSSIAAPIFHCEL >OIW03262 pep chromosome:LupAngTanjil_v1.0:LG10:10555898:10556555:-1 gene:TanjilG_20566 transcript:OIW03262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMHKVSLQLLILLLIFSFVLSSAFVPTRRSLLQKKNKSSIQTTLVQDASDFRNGEEKFDMAEEFMVEGRMDFERNDYPGTGANNRHDPKTPGRA >OIW03795 pep chromosome:LupAngTanjil_v1.0:LG10:1728952:1739289:-1 gene:TanjilG_30071 transcript:OIW03795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPTELTRHIESYVNSSATPTDQATSLDAIGFLVKTDALTIESLVKELEMYLTTTDDVVRARGILLLSEVLTLIESKPLSSATIHSLVGFFKDRLADWKALRGSLVGCLALIRRKGAVGAVTGSDAKSIAQSFLEYLQVQSLGQYDRKGEHLIYGICEAIDAEKDPDCLMLAFHIVESLGQLNPDPSGLLASFAKDLFDILEAYFPIHFTHPTGGDTPVQIHDLSRALMSAFSAIPLFEPFVIPLLLEKLSSSLPSAQIESLRYLRSCSSKYGAEKIGKYARTIWSSLKNIISAYLGEPDFSFTGAPVYGIGFPENEFVVEALSLLQLLIVQNSSLLVSLIVDDEDFNIIFNIVAPCEKYDSIPVHEKKKLRVVGRILYVTAKTSISSCNAVFQSIFSRIMDNLGFSVSNIDSSANGDIFPTQRVKFGFLYLCIELLAGCMELTAVSKKPALQYVLEHEKCCTILHNFSTPLFNAFGSVLAVSSDVCSHDPDIYIGVKGLQILAMFCLDGFPISISMFTNILRKFMSIIMEDFNKTILWEAALKALFHIGSSVHKFHESEKATSYSSLVVEKIVELLSLDDISLPFTLKLEALSVIGMTGTKNMLIVLQGLAGAVFGNLSEVHRNLKSLETAVQLLQCYSCKLLPWIHENGGSEDFVVQFAVDIWSQAGNCMDFSTPFEGKDLLDAMMKVMKLSVASCSVESQNTITQKANSVLSSNSNFQLNELDRLPLTPGKYDIPLRDEWILSLFASVIIAVSPKTCIPNIRVLLHLFMKTLLKGVVPVAQALGSMINKLLSNSNDAEISSDLTLEEALDFIFNTKIWFSSTDLLQRCNGTSNGKEMVLTDLCLGISNDRSLQINALCGLSWIGKGLLLRGHEKIKDISMIFMECLISGLKSALPLVQDSLESIEEQNLDPLVMKSAADAFHILMSDSEVCLNKKFHAIVRPLYKQRFFSSMMPIFLQLITKSLSPSSRSFLYRAFAHIVSDTPLIVIQSEAKKLIPILLDCLSMLTEDIQDGDILYGLLLVLSGILTNKNGWEAVTENAHVIINCLIKLMDYPHKMLVRETAIQCLVAMSQLPHVRIYPLRTQVLGAISMALDDKKRAVRHEAVRCRQAWLGVICIKESSFLKLTNHFPCSWKPS >OIW03312 pep chromosome:LupAngTanjil_v1.0:LG10:9037024:9040624:1 gene:TanjilG_16461 transcript:OIW03312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSFFYYPLISILFLITLKFLFPTRKLKNLPPGPPSLPIIGTLHLLKHPLHRTLHRLSQKHGQIFSLWFGSRFVVVVSSPTTVQECFTKNDIILANRPPLLAGKHIGYNFTAVTVAPYGDHWRNVRRIMAVEVLSTQRLNSFLEMRKDEVVKLVQNLARESREEFAKVEMKSRLSEMTFNTIMRMISGKRYYGEDCDVTDVEEAKQFRDIIKELISVGGSSNPGEFVSILRWFDFDNLEKRVKSIASRMDSFLQGLIDEYRSKKQSSNTMIDHLLQQQQFQPEYYTDHIIKGIILVMLLGGTETSSTTLEWVMSTLLNHLEVLKKARDEIDTLIGQDRLINESDISKLPYLQNVINETFRLHPAFPLLAPHFSSEDCTIEGYNVPKGTILLVNAWAIHRDPQLWSDPTQFKPERFEKDGEADKLIPFGLGRRACPGSNLGQRTVGLTLGLLIQCFEWKRTSEEEIDMTEGKGATTPKVIPLEAMCKARPIIINNVF >OIW03939 pep chromosome:LupAngTanjil_v1.0:LG10:762002:763341:1 gene:TanjilG_30215 transcript:OIW03939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCSVTNTTNNSSPSLSHSSSFSPPQQGLVPQIVMSPCAACKILRRRCAEKCILAPYFPPTEPSKFTIAHRVFGASNIIKFLQELPEFQRADAVSSMVYEAGARIRDPVYGCAGTICNLQKQVSELQAQLAKTQAEVVNMQLQQANLVALICMEMAPQEPSPQQSLDNFISSPHHNNIFGYQNNLNFYDENISLNSLLEPLWT >OIW03328 pep chromosome:LupAngTanjil_v1.0:LG10:8471726:8478113:-1 gene:TanjilG_03437 transcript:OIW03328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLIFTTLLLFLMSAISSSPYPESKILITHRKIFPKQEALTSYAVIFDAGSTGSRVHVFHFDQNLDLLHIGKDVEFYDKIQPGLSAYAKDPKQAAESLIPLLEEAESVVPKDLQPKTPIRLGATAGLRLLDGNASEKILQEVRELLKNRSALNLQPDGVGIIDGTQEGSYLWVAVNYLLGKLGKRFSKTVGVVDLGGGSIQMAYAVSRNTAENAPTVPDGEDPYIKKLVLKGKKYHLYVHSYLHYGKEAFRAEVLKVTNGSTNPCILAGFEGTYTYAEVDYEASASPAGSNLDECREVVLKALNVNASCPYKNCTFNGIWSGGGGSGQKNLVLSSSFYYLATEVGFADINKPNSIVNPVDYETAAKQACKITLEDVKSTYPDIWESDRPYVCLDLVYQNALLVDGFGLDPLEEITVAKEIGYQDALVEAAWPLGSAIEAISLLPKFEKLMYFI >OIW03973 pep chromosome:LupAngTanjil_v1.0:LG10:527577:529529:-1 gene:TanjilG_30249 transcript:OIW03973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSANSLLENIPAQLKEFLVEEDSTSPSSVTSSVEEYTKYSNPILRYINDILMGEEDNLENKPCMLQECLRLQAAEKSFYDVLGHNYPSSHNQIRGFFNDDDDGNNGCSVSFESNSTSYITDNSYESERINTADEFESYLLDNPLVDTSENTNDVDVAPDPSQAAKRNAIRPQNKAVLIGENAVQRVKRSHQVDGNSHEENERGSKISAVFSDNSEPLELLDEVLRVQIGGRHSCTAGETSSENETFKPNVVDSGGGSIGKAATRSKKGTNTETTTGVDLWTLLTQCAQAVASYDQRNANELLNQIRQHSSPFGDGLQRLSHYFAIGLETRLAAGTPSYTPFDMVTAADMLKAYKLQVQVFPLQRMSNLLTTRKIVDLVKNEGTLHIIDFGISYGFQWPCLIKHLSQRRGGSPKVRMTGIDFPQPGFRPAERLQETGQRLENYCKRHNVPFEYNCIAQKWETIRLEDLKIDKTEVTVVNCLYRMKNLPDETVSVNSARDALLKLFRKINPNIFIHGVVNGTYSAPFFLTRFRETLFHFSSLFDMFEANVDREDTERVILEKGLFGRDAINVIACEGAERVERPETYKQWQVRNLRAGFKQLRLDPELVNEAKEMVKSEYHKDFVVDENGKWVLLGWKGRILNAVSAWIPA >OIW03310 pep chromosome:LupAngTanjil_v1.0:LG10:8996164:8998419:-1 gene:TanjilG_16459 transcript:OIW03310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYMTPSFYSFSSNHYCALLKLYCETRNRANAKKLHCLIIKAFRNPETFLLNNLVGSYAKLGNITYARKVFDHIPQPSIYSWNTILSSYSKMGLVPEMERVFYAMPTRDVVSWNSLISGYAGCGSIVQSVKAYNLMLNDGSFNLSHITFSTMLILASSQGCVQLGRQIHGHVVKFGFQSYVFVGSPLVDMYSKSGLISCATRAFDEMPEKNVVMYNTLITGLMRCGKLEDSRRLFYDMKDRDSITWTTMIAGFSQNGFYREAIDLFGEMSLEKLEMDQYTFGSVLTSCGSVMALQEGKQVHAYIIRTDYKDNIFVGSALVDMYCKCKCIESAETVFKKMTCKNVVSWTAMLVGYGQNGFSEEAVRVFGDMQKYGVEPDDFTLGSVISSCANLASLEEGAQFHGRALVSGLISFLTVSNALVTLYGKCGSIEDSHRLFSEMSFRDEVSWTALVSGYAQFGKANETIRLFESMLANGLKPDRVTFIGVLSACSRAGLVEKGNQIFESMIKEHGIVPMHDHYTCMIDLFSRAGRLEEARDFIHKMPFNPDAIGWATLLSSCRFYENMEIGKWAAEFLLELEPHNTASYILLSSIYAAKGKWEEVARLRKGMRDKGLKKEPGCSWIKYKNKVHIFSADDRSNPFSDEIYSELEKLYHKMIQAGYVPDMNSVLHDVEDSEKIKMLNHHSEKLAIAFGLIFIPPGLPIRVVKNLRVCGDCHNATKYISKVTQREILVRDAARFHLFKDGICSCGDFW >OIW03073 pep chromosome:LupAngTanjil_v1.0:LG10:14778737:14781404:-1 gene:TanjilG_19353 transcript:OIW03073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPNAMEQIGHACEKWGAFQLKNHGIPLSVIEDVEVESKHLFSLPAEKKLKALRSSGGATGDTMQNYQNQMKVLAEKLTHMILNFMGMNSEEEKKWVGSKNQFEAIQLNYYPCCPEPDRAMGLAPHTDTSFLTILHQTQTNGLQIFQKCLGWVPINPDPNTLVVNIGDILHILSNARFHCALHRAIVNNTNERYSVAYFYGPQADYVISPLVLNSDVPCFRALTVKDYLSIKAKNFKGALSLITTT >OIW03589 pep chromosome:LupAngTanjil_v1.0:LG10:3581564:3586290:-1 gene:TanjilG_05133 transcript:OIW03589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKTLFSICIIFSSYIHQAFSSDSNDLDQLLGGLKQISALHDAQCMQKLIPCKTAMNSSTIINPSPTCCIPLNEILTNNTECLCSFINNPKLLASMNVTKDDLLKLPDSCGLKADISICDKESSSSPSPTSAAPPPQLPLRLRLIPTLLFPLLLNHRIKMLEYREKRLQMEPLKFSSANPSSEELDSLEFRKVVCQGVFDDKKSIFVGPRTRSISGVTENGYYVITPLMPVHNYPDR >OIW03846 pep chromosome:LupAngTanjil_v1.0:LG10:1375881:1378107:-1 gene:TanjilG_30122 transcript:OIW03846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRKLFSSNLKSLSITTSHLRSASAAFRFVDTATPPSSSSFVARFLTTQSGGEIKKRVEDVVPIATGHEREEIQAELQGRNILEIDHPEGPFGTKEAPAVVRSYYDRRIVGCPGGEGEDEHDVVWFWLEKGKPHECPVCTQYFEVGLGMSRAKLTPGMAHNSVP >OIW03826 pep chromosome:LupAngTanjil_v1.0:LG10:1519856:1521369:-1 gene:TanjilG_30102 transcript:OIW03826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNEKYQEFVIAQEREEFIAKLQEVEDWLYEDGEDETKGVYVAKLEELKKQGDPIEQRYKETTERGSVVDQLAYCINSYREAVMSNDPKFDHIDISEKEKVLNECVEAENWLREKKQQQESLPKYATPVLLTADIRNKAEAVDRFCKPIMTKPKPKPKPTKPPATPPPQSDEEQQQPQENANGSTDENAGNNGNQVPTESTEPMETDNKAENTGSS >OIW03975 pep chromosome:LupAngTanjil_v1.0:LG10:514596:519489:-1 gene:TanjilG_30251 transcript:OIW03975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPCPFVRVIVESLALKPSSVNSGGGIHSSTTPCFCKININDFPSQTVILPLTSSSSDTTPEITTSAPGFHLDSSSIRRLSAKPLTLTISVYNSTMDRMCGFTSPKLLDRVTITITDLTSSVSQCRTFHKGWVHVGKNRNKVGDEADKGSTMIHLVVRSEPDPRFVFQFDGEPECSPVVFQIQENNIRQPVFSCKFSADRNYRLRSFPSDFTNNESRWKKSFTCIRERQGRERKGWMIMVHDLSGSPVAAASMVTPFVPSHGSDRVSRSNPGAWLILRPNGATVSSWKPWGRLEAWRERGPVDGLGYKFELVTEINIGIPIAEATLSVKKGGQFCIDYQVMKDSGLGSRLPGKGFVMGSSVEGEGKVSKPIVQVGAHHVTCMADAALFIALSAAIDLSMDACQLFSHKLRKELCHDEDKDSSYS >OIW03259 pep chromosome:LupAngTanjil_v1.0:LG10:10453137:10455027:1 gene:TanjilG_20563 transcript:OIW03259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNPPKDETIRIRKLLEKFGTVGDANVFYWFQNRRSRSRRRQRQLQASLEHRNNQMMQPSQVDVGAIPYHKDVTSPSLSATATTTAIVSASSIRDSSSSCGEGGVFGGQESIDSFFSISSQMSFPEIDYSSAATSVFYPPVVQNFSYQSGSITVFINGIATEVPCGPIDMKTVFGEDVILVHSSGLPLPTNEFGFLMQSLQLGESYFLVSKPTPSMN >OIW03235 pep chromosome:LupAngTanjil_v1.0:LG10:11983315:11984943:1 gene:TanjilG_13029 transcript:OIW03235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVASAALTNMKVGVTMFIDAIGLNLANYPLFNVSAVSFVERVFRGDFSTRHEYKVAAKKVAFSIVSGINYKFLSFASIPPSSALASAELQY >OIW03753 pep chromosome:LupAngTanjil_v1.0:LG10:2047595:2050985:1 gene:TanjilG_30029 transcript:OIW03753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNLVPGVLLNLVHHMNTDMKVSGEHRSSLLQVVSIVPALAGGELFPNQGFYLKVSDSSHATFVSLLNEDVDLILSDEIQLGQFVFVDRLEPASPVPILHGVRPVPGRHPCVGTPEDIVATHSLGFLNNNDTDSDNNNKNRNRNRDSVSSGSINMDRSKPPMRVVGNRGVVGEKEKEKRVIKSANVGDRGAAKEEQSERRSSVLGRLKSQPTKSGLALNAILKKEKESSLVQSRSMNSWSISIPPSPRSCCSLPGSFARFANGVKGVDKLTSKVKRVDKLTGKVGVVDTRKAIHAASPSATKIGVGNPIKNLVQGIESGAKAMRKSWEGSMEVRTIEPFKLRPATKYDPKPEVLSLTRRRSLTSERLFIKEENRIKAPIKPCKEERKTQMSVKKATTNGTTAEHENSSKQRTSIRKKSLEGSNNGFPGNLVKVSLNNRKVTDASVQWASLPSSLSKLGKEIMKQRDAAQMAAVEAMQEAAAADSLLQCLSMYSELRSSAKEQNPQPAVEQFLTLNASLNSIKMIAESLLKPIPDSSSPNSESNTMEEARKVITDRQKHAASWVEAALATNLSPFAVFTEEPQSSRLPASSNSQNQKTIQGSQHMLILHNSSEDASSKARGKTRLATTSKLATQATTRRPPSGALANGHKQQFQPLPEWIKGNGLNEVLHLVEMLQLQSRDWFLGFVERFLDSDDDIALSDNSKIAGMLTQLKSVNDWLDEIGSSKGGEGESSQISAETINLLRMKIYEYLLTHVESAAAALSVRSQPLPKIYKQQRLKP >OIW03797 pep chromosome:LupAngTanjil_v1.0:LG10:1708100:1717018:1 gene:TanjilG_30073 transcript:OIW03797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISSNRCAFLSNSGLGGSCNFSGAQRRVSGPFKVVCMAPSNRVGDKNGSVVMEVETRSTPLKEIKSDSAVADVDDNEISSGGPQDVYGEDKATEDHFVTPWSVSVASGYTLLRDPHFNKGLAFTEKERDAHYLRGLLPPTVIPQETQVKKMIQHIRQYQVPLQKYMAMMDLQERNERLFYKLLIDHVEELLPVVYTPTVGEACQKYGSIFIHPQGLYISLKEKGKILEVLRNWPEKNIQVIVVTDGERILGLGDLGCQGMAIPVGKLSLYTALGGVRPSASNSGLGGSCNFSGAQRRVSGPFKVVCMAPSNRVGDKNGSVVMEVETRSTPLKEIKSDSAVADVDDNEISSGGPQDVYGEDKATEDHFVTPWSVSVASGYTLLRDPHFNKGLAFTEKERDAHYLRGLLPPTVIPQETQVKKMIQHIRQYQVPLQKYMAMMDLQERNERLFYKLLIDHVEELLPVVYTPTVGEACQKYGSIFIHPQGLYISLKEKGKILEVLRNWPEKNIQVIVVTDGERILGLGDLGCQGMAIPVGKLSLYTALGGVRPSACLPITIDVGTNNEKLLNDELYIGLKQRRATGQEYAELMHEFMSAVKQTYGEKVLIQFEDFANHNAFDLLEKYRSTHLVFNDDIQAGTGIAELIALEISKQTNVPLEEARKNIWLVDSKGLIVSSRKGSLQHFKKPWAHEHEPVKKLVDAVNQIKPTVLIGTSGQGKAFTKEVIEAVSSINKKPIILSLSNPTSQSECTAEEAYSWSQGRAIFASGSPFPPVEYEGKVFVPGQANNAYIFPGFGLGLIMSGTIRVHDDLLLAASEALAAEVSQENFDKGLIFPPFTNIRKISAKIAAKVAAKAYELGLATRLPQPKNLVEFAESCMYTPAYRSYR >OIW03207 pep chromosome:LupAngTanjil_v1.0:LG10:12201459:12202304:-1 gene:TanjilG_21839 transcript:OIW03207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREEDSKTWEEELPSLNELMPLSQTLITPHLAIAFDITTTTPDNFQRHLHHRQLPTTPSNPTIHTQHQQQQPSSADSGDFGSGNGADEPARTLKRPRLVWTPQLHKRFVDAVAHLGIKSAVPKTIMQFMSVDGLTRENVASHLQKYRLYLKRMQGLSSGGGGGDAATDHLFASSPVPPHFLQQGRTNSDHFLPFVQVPAIQHHHQMAAVQHHQPQFHRQAGHLGSRPNGHYEHPFLSRQSQQQVHRIGAPMHSPVAGYVEDMGSENASGGRKILTLFPTGD >OIW03209 pep chromosome:LupAngTanjil_v1.0:LG10:12236725:12239374:-1 gene:TanjilG_21841 transcript:OIW03209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRPIVPIHQQQVRGEGVIGGGKQKKNVAADGKNRRALGDIGNLDRVKGVEIKPNRPITRSFCAQLLANAQAAAVVENNKKLTIPNVGGPKPNVAEGAVAKRVAPKPAEKKVVAKPKPQDVVEISPDEEVQKNKSVAKKKEGGDNKKKPQTLSSVLTARSKAACGLTKKPKEQIIDIDADDSGNELAALEYIEDIYKFYKLEENESRPYQYMDSQPEINERMRAILVDWLIDVNNKFDLSLETLYLTINIVDRFLAVKVVPRRELQLLGISAMLLASKYEEIWPPEVNDFVCLSDRAYTHEQILVMEKIILGKLEWTLTVPTPYVFLVRFIKASVPDQELENMSHFLSELGMMQYSTLMFCPSMVAASAVFAARCTLNKTPFWNETLKLHTGYSEEQLMDCAKLLVSFHSTIGGGKLKVVHRKYSDPQKGAVAVFPPAKYLMPESSSGTRV >OIW03109 pep chromosome:LupAngTanjil_v1.0:LG10:14199590:14203103:-1 gene:TanjilG_07261 transcript:OIW03109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRSPQPSLNNNNNNKEEEEEEENGSPFFKFISNLSPIQHVNSCHVTQGGSVRFNSPRITSHHDSQTKLLEKFTTQSLVADSDQTLESMPEPVQGDKDCDENVLASNASTEDISEGGYEASLKHHVVLRRCLQFGEPTIGSHNSHANLNVNSSKTKMFKLSEPVASLFPEQYSGAANASGIELQLNSIINTMPPGCAATNGMRLSDGLQGTKSTSLISLHKMDNMKRSLIASNMDGQPSVDTGNESHEVDASKAADSFISEPLSLAEPTSLNPASVHGKRKLSATDAGNSEECNQSSRSKKRKKTSTTNDENGCKRCNCKKTKCLKLYCDCFAAGIYCSDPCSCQSCLNRQEYQDAVLEARRQIESRNPLAFLPKVVQHTPDIPSTNMEDTNLTTPSSARHRRGCNCKRSLCLKKYCECYQANVGCSSGCRCEGCKNAYGRKEDFVPIEHALSKERVVEKGLASTFDDKLDTVVSKIELYDLHGLSPITPSLQCSDQGKEAAKSRFLSAKHLPSLDSADVNMIPSHPNYTNETGSYERQMDQLSPKCNSVANVPQLTPVSLSCSAKTKEWTDILQLLLSYGLISHLSGSSLHRCSSPNTPRTGIGETKCVDQSLQSNSRRLFDIVEDATPDILKEVSTPTNPVKANSPNQNRVSPPHGYSHLRALGSGLRSGRRFIPKALPSFTPYTPCTDSKGNDNENLGNSSSK >OIW02961 pep chromosome:LupAngTanjil_v1.0:LG10:16139790:16139957:1 gene:TanjilG_13598 transcript:OIW02961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPNSTTLILAGNWNSKPGDKRMNKPTATITGPQSAPISIFFFLHFTISLIFPLS >OIW03794 pep chromosome:LupAngTanjil_v1.0:LG10:1745575:1747633:1 gene:TanjilG_30070 transcript:OIW03794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDERSRNEDSPQLLDLISNEREWNMIKGEGKCSVDRKLELKLGPPGGEDWCEDKMKNINNTSTERDESLLSLSYFSNNGFQDQNLSTSDTSAKAILPSPWSSSTSYHHQHHHQGNNKASSFLVMGNNDASPKVVELQNGAAEKKVFSSPSSANTAVPNTSQKRNAPGPVVGWPPIRSFRKNLASSTTSKPQPESSMQQHDKVVGKKPLDNYGGGGGKGLFVKINMDGVPIGRKVDLNAYYSYENLSYAVDELFRGLLAAQRDSSGGGVDNKKEEEKAITGLLDGSGEYTLVYEDNEGDRMLVGDVPWQ >OIW03313 pep chromosome:LupAngTanjil_v1.0:LG10:9197874:9199059:-1 gene:TanjilG_16462 transcript:OIW03313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVCQNVSVSSECKQEQIHPPCSTSLDDLFSAQNMEVDVGLEWLSVFVEDCFSSPPICLLGPSGVQTTSTSSNPSSTMKRPHHQNESFSLQNLAVHGKPRSKRKRLSAPITNDPLRTSIWSHKITSPQNETPFCSDPPLLKQAYWLADSELFVPKQKNDDEQEEVVKAKDEEVMMEKGIVLSKESFGDSEQDADDVFSKNFQQHPMPRRCTHCLSQRTPQWRAGPLGPKTLCNACGVRYKSGRLLPEYRPAKSPTFVNYLHSNSHKKVMEMRGNGCSIFHSQ >OIW03182 pep chromosome:LupAngTanjil_v1.0:LG10:12749506:12751090:-1 gene:TanjilG_11819 transcript:OIW03182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKHFVKQAKQYADTRPSYPPQLFQFIASKTPSHHLAWDVGTGSGQAAKSIAAIYKNVIATDASEKQLEFAAKLPNVTYQHTPSTMSMTELEQKVAPQGTIDLVTIAQALHWFDHPTFYKQVKWVLKKPHGVIAAWCYNVPRLSYEVDKVFDKFYKNDSGPYWDPARKLVDDNYRNIDFPFQPVDGVDHTGPFEFVSEVVMDLEHYLGYIRSWSAYQTAKEKGVELLGEELVGKFKVAWGENEPKVAKFPLYLRIGRVGDA >OIW03010 pep chromosome:LupAngTanjil_v1.0:LG10:15724508:15726515:1 gene:TanjilG_13647 transcript:OIW03010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGEENEGKKKEGSNLLGSPTFKELENGRFKCVETGHELPSKEIESYSNSKKCRLGLIDFAVSNNKPPLNMFKQDPLSRSKLICKLTRDSVNKSEEHIWKHMIGKRFLNKLDEEEEGKLSSHGMEIEESLEEPQSTGGWKKDKKKKKKKKNKGKNDEEIISEVRKSSNEDSDTEEEDFWIPAVGERWDHDDGEDRWHSDSESEEKTKEDVIDAVADEDCKESEELSSRYFT >OIW03675 pep chromosome:LupAngTanjil_v1.0:LG10:2712279:2716037:-1 gene:TanjilG_30739 transcript:OIW03675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDGLLGRGGFTTKCKSLIKSTKNRIDVIRRKRKAREKFLKKDVADLLANGLDSNAYGRAEGLIVELILSSCYDYIEQSCELVLKHLSVLQKPSGCPEECREAISSLSFAAARFSDLPELRDLRQIFQDRYGNSLECYVNQEFAANLNSKSSTLEKKVSLMQDIALEFSIKWDSKALELRMSKSSAVAQGHTNFKSHPPADYNKPSHGHDATPKGVKQDVLLEKSTYYPNDGQKFRNGKEAASSKGDKNDLHSKFKLPENGLKPPNSCDDINLQRNSHGNPSLGREELTSKESDEGSWKPGSMLKPLGFSSQDKRMGSFEGGPNLHDSWGNATPLRERQDTTTARKSPSHAGFHSKNNLNEPFVVNHGGLPDAGSNFERKVQKDETPRLQPPYYKSAIPPPYVKRNSKLKNSTRGTDLASSHIDNDGIPTHHSVHEKPDAASTSERIQIGLERKGGGHARLSKVGNEKELPIHDDATQVPVLKKKSTRQRHSKSQSSHNGVNNNDAEVVRKPRSRRRDDTRRGLKILFDDEQHKTDEEERIIDRLLIHYSKKPSISMPEKARRRSKSRHAHSTRESIKNGNGDGPDQSPVGITHPPRSASFPHEQSGAVEVNKVFTRAASFEPDRSKEARHVHPKLPDYDDLAARIAALRGEFKG >OIW03680 pep chromosome:LupAngTanjil_v1.0:LG10:2650567:2651205:1 gene:TanjilG_30744 transcript:OIW03680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLRSTKKFFQKTLKNFKSFFSPSYYERLPKTPLQNNHFSYSVAATSVDKMDNNNNNTSYQELEKFYIEQWDSEKEKEGNRRNKNKAALLSSPREQKSEVYNGSSFISFSNAHNKKDQVEKREEFDNQNKKRSLTHQRRLCIVEEKLRELEMLDMSNVDHVLDIEEVLHYYSRLTCPIYLEIVDRFFMQMYSEFFGPVWYATPCSVNSMMKL >OIW03646 pep chromosome:LupAngTanjil_v1.0:LG10:3007195:3010769:-1 gene:TanjilG_22303 transcript:OIW03646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRARDRTEDFKDAVRHTCHSLGYDEAKLASVMASFIIHKPWQRSPFSKAALKTLESIGELEQFLLKHRKDYTDLHRTTEQERDSIEHEVSSFIKTCQEQIDVLKNSINEEESSKGWLGIASAKSNADTVAHKHGVVLILSERLHSVSAQFDQLRAVRFQDAINRAIPRRKLNRVAKKESAETPNLGDMELKEPDEIRSEPLRVQQQLLDDETRALQVELTSLLDTVQETETRMVEMSALNHLVSTHVLQQAQQIEHLYVQAVEATMNVELGNKELSQAIQRNNSSRTFLLLFLFVLTFSILFLDWYS >OIW03525 pep chromosome:LupAngTanjil_v1.0:LG10:4353263:4355968:-1 gene:TanjilG_31038 transcript:OIW03525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGDQKTSFGTPSGAVSRPTNRFNALNQMGIPPSHPNTNISPSSSNPYSQFMASQPQRLRSPNPSHGTGSSSHSRSLSQPSFFSLDSLPPLSPSPYQTSSAGTTFVESLAASVSMEESLGNAPSVPVNRGHPIQLGHSLPPRKGHRRSSSDSPLGISDYIQSIPQFVSSGVWNDDDNLVSRGESSGFEKEKKPVQLVLKVPNKDVGCIDGFSGEIGNARKEDSLDDLFSAYMNLDNINNIGFSMEDKDMDSKTSGSKTVESSDNEVESRAKGKTTGARGASSSCSEERKEGVKRSLNGDIAPGARHRRSFSLDSSIGNFRIEDGSPKLPPLQNQVGQHSPSNSIDGKTSETSMEFGNSEFSSEELKKIMENDKLAEIAMSDPKRAKRILANRLSAARSKERKTRYISELEHKVQTLQAETTTLSTKFTKLQMDTAELKSQNNEYKLRLHAMEQQSQLKDALNETLDAEVRRLRRTVAELGGESLLSSRMAQQLAINQQMFQLQQQQQASQVRQFQQQNNHPHQETQSPSQPQQIQHNTELQSQRQNGKATAY >OIW03183 pep chromosome:LupAngTanjil_v1.0:LG10:12744920:12748506:-1 gene:TanjilG_11820 transcript:OIW03183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAKIGSFFESIGNFFSGGDQIPWCDRDVIAGCEREVAEASNGDSEERKNESIMRLSWALVHSRKKEDVQRGIAMLETSLGNDKSPLHQREKIYLLAVGYYRSDDYGRSRDLVGQCLEIAPDWRQAQSLKKIVEDRIAKDGVIGIGITATGVALLVGGIAAALARKN >OIW03528 pep chromosome:LupAngTanjil_v1.0:LG10:4328743:4329996:-1 gene:TanjilG_31041 transcript:OIW03528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVSLKIGDGTARFKRATLCSSAVNILMIFSVITTNLFAYYAFTSSPKTQLHHVHNNNISLISDHVSLILKEIDVNQKKLAQMEKQLLGYESIDLSRPNIANELKIFLQGHQLPLGKDSRTGITEMASSVGHFCEKNTDLLSQFMSYKVSGPCPDDWSVAQKLILQGCEPLPRRRCFGKTIPKVGLQTFPISLWKNVSNKTVNWSGLNCKSFECLNAKKLSRECIGCFDLVNGYENKRFVKAKSKNDFLVDDVLALGSGGIRIGFDLGGGSGSFAATMADRNVTIVTTTLNIDAPFNEFISARGLFPLYISLGHRFPFYDNVFDLIRAGSALDIGGKPEKLEFLMFDIDRILRAGGLFWLDNFYCANEEKKQALTRLIEKFGYKKLKWVVGEKVDSVGSGKSEVVLSAVLQKPSRA >OIW04049 pep chromosome:LupAngTanjil_v1.0:LG10:129366:131302:-1 gene:TanjilG_24160 transcript:OIW04049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCSGGAVRLGLGLVALSIAAYIVGPPLYWHLVELLQSSYAPSCSPCLCDCSSQPIVSIPQGLSNISFGDCAKHNPEANADTEQNFAELLSEELKLRETQALENQQRADMALLDAKKIASQYQKEADKCNSGMETCEEAREKAEISILAQKRLTALWEQRARQKGWKEGVAKSHTQSQGKVQTA >OIW03391 pep chromosome:LupAngTanjil_v1.0:LG10:6572498:6577348:-1 gene:TanjilG_31838 transcript:OIW03391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFLLPSLFLSLFVLFPHETKAEDLDLFSNATTTTISSGSRKLAGRCNWFHGKWVYDASYPLYDPSTCPFIDPQFNCQKHGRPDSLYQKYRWQPFSCSLPRFNALDFLEKYRGKKIMFVGDSLSLNQFNSLACMIHSWVPNIRTTFTKNSALSTVTFQDYDLQLFLYRTAYLVDLDHEQVGRVLKINSIKSGDAWRGMDVLVFNTWHWWTHTGSAQPWDYIQERNKLYKDMNRYILFYKGLTTWARWVNINVNPSQTKVFFLGISPVHYEGRDWNEPTRSCKSETQPFFGLKYPAGAPMAWVVVNKVLSRIKKPVYFLDVTTLSQYRKDAHPEGYSGVMATDCSHWCLPGLPDTWNVLLHAALFG >OIW03122 pep chromosome:LupAngTanjil_v1.0:LG10:14489460:14490578:1 gene:TanjilG_07274 transcript:OIW03122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCGSNPKTNEGPEPVAQPVTEEVKVEEQEEKKVEEKVEIETEETPQASSNDKSIGTLVNENVGEAKKTEESEG >OIW03420 pep chromosome:LupAngTanjil_v1.0:LG10:5662233:5664334:-1 gene:TanjilG_12017 transcript:OIW03420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFQHGNERILKCPPSSGLSTNVSEMAISSVSMAKPNLPSDHVTNNPFLASSAWDPLVSLSQAQTFGGSSMVSHSDFGNNSSYPPLVLENQGMSSTSHLVQYMSDSNLGDMVHKVPSYGSGNFSEIVGTFGQPGFGDIANTNSGYRSNYNVSKDAGTERAPINGEQSQVEDSATEEGTPGSGPSGNRRKRSLDHNSSFSPNKNAEVDALKISPGKSSESAKEHEKKQKVEQNNSADLRGKQPVKQANENSQSGEAPKDTFIHVRARRGQATNSHSLAERVRREKISERMRLLQELVPGCNKITGKAVMLDEIINYVQSLQKQVEFLSMKLATVNPELTFDMERILSKDILQSRIGHGIGGYGPGISSSHPFPNESFQGTMTGMPSTSTQFPPLPQTVLDHEFQSFYGMGYDSSTALENLGPNGT >OIW03090 pep chromosome:LupAngTanjil_v1.0:LG10:13947063:13947368:1 gene:TanjilG_07242 transcript:OIW03090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRNEEWRKNADTHKMTAEEVKAAGVEGSMRPPGQNPGGVLHQRKTLPFSFTTMALVGLLMTGAIGYSVLYTRKKQEASAMDVAKVSTGTATPQDTKPRK >OIW03849 pep chromosome:LupAngTanjil_v1.0:LG10:1355992:1361423:-1 gene:TanjilG_30125 transcript:OIW03849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTGVRMTEEVWLTCVTHSLSTETEEIMGLLLGDIQHSKNGNVIALIWGASPQTRSDRRKDRVETNPEQLTAASALADRMTASTGRTTRVIGWYHSHPHITVLPSHVDVRTQAMYQLLDSGFIGLIFSCYSEDANKVGRIQVIAFQSSDGKQQSQVLRPIPLSPVNRSSVIDIDSSPSSSENVSIRSGYLKVEGPEQDTGDSRIAGTSKGGGRSSELGNFFANADANYLGSGKGGGNYHPNNSDTTIVDVDPMDMSESMQEAMHRSNLDLSVAEYVRKEIPLYVLPAASLINLDSPLSSYTDLQHVLFEEERTAYNQAIVQNMRDGKLHPLTFIHHTSTYQASLCKLMEYCLSPAISALQDRLRENEIRLGVLSEEAKNLEAEASRVSEASLGSPRQVHRGSSSLGQRNLQGSAESPSSKNVSSPSSRSRKGY >OIW03883 pep chromosome:LupAngTanjil_v1.0:LG10:1137610:1140202:1 gene:TanjilG_30159 transcript:OIW03883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNNNNNNDNEEKTNASASTATSTYSSPGSTPGVPLVGGYKNGHAKKKSLLSNCKCLGGGNDWTLEDGTYSPRVFCSLPSPPNVSTARKVGAEFVGTFILMFAGTATAIVNQKTEGAETLIGCAASSGLAVMIIILSTGHISGAHLNPAVTISFAALKHFPWKHVPMYITAQVIASICAAFALKEIFHPFMSGGVTVPSGGYGQAFALEFIISFNLMFVVTGVATDTRAVGELAAIAVGATVMLNILIAGPATGASMNPVRTLGPAIAANNYKDIWVYLIAPILGALGGAGIYTLVKLPEEDDIKAKASAPNSFRG >OIW03764 pep chromosome:LupAngTanjil_v1.0:LG10:1970394:1971983:1 gene:TanjilG_30040 transcript:OIW03764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEKDTMPPFWMQDGSANGRRLSRTNSLFFNSGALLIFLLVTALAFIFILAPTLHSFTSYVFKPHTVKRTWDSLNLVLVLFAILCGFLSRNNNNNTNEETPRSYSYQEQNFSVSDKTQDYIKPNPETETQRSWYEYEYSDRTGYSYKSFNRLRSINSYPDLRQESTWVNSDERWRFYDDTHVNGYQERRPRPVTGEEELVMKTLEVDTFEVSKKEVSSAPQLLPAVEPPPPPPRGKEARRKGKGTYQAVGKVKNHDLGAKRSQPPPPSTPPPPPPPPPPLVPTEKTVKEKNRSKSATKEFLASLKGKKKKQRHRSLENFESILNYSKPHTLPSQLPPPPPPPPPSPPPVFESLFSSKKRKHKKPDISSPKIEPVNGATTLKPRPRESFYTLKENVSAANESPLNIPIPPPPPPFKLPAWKFKVKGGFVRIDSNSSTSSDLPDLEVVESPKESSEVNQCNSNDSGELEILLFYPSPDVDTKANTFIEKFRAGLRMEKMNSTKSNLGPSINTETKEDVGPSSRYKADYVL >OIW03990 pep chromosome:LupAngTanjil_v1.0:LG10:410012:411394:1 gene:TanjilG_30266 transcript:OIW03990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIQEEEVAEPSSRTPLLTPSRKPFTAIVFISLVIITCISISSSFAFAYLFFSSSSSSSSFARSLTTLKRPVVLLISSDGFRFGYQFKTSTPNIHRLILNGTEAQNGLIPVFPTLTFPNHYSIVTGLYPPHHGIINNFFFDPVTGDKFTMQSHQPKWWLGQPLWETVINNGLKAATYFWPGSEVKKGSWTCPLQFCQRYNSSVPFEDRVDTVLGYFDLPSDEIPDFITLYFEDPDHQGHQVGPDDLEITEAVARIDSVIGRLIHGLEQRGVFEDVTIVMVGDHGMVGTCDKKLIFLDDLSPWLVIPPEWVHSYSPLLAIRPSPGFVAADVVAKINEGLSSGKVENGNKLRVYLKEDLPKRLHYAASDRIPPIIGLVEEGFKIEQKRTDTKECGGAHGYDNAFFSMRTIFIGHGPQFARGKKIPSFENVQIYNLVTSILNIKGAPNNGSSSFPESALLPPA >OIW03139 pep chromosome:LupAngTanjil_v1.0:LG10:13597849:13600098:-1 gene:TanjilG_11776 transcript:OIW03139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSGKWVKALIGFKKPDKHEHTKSKKWRLWRSSSGENHKAASVSGFEGCDSPKSVVTDAFNAAVATVIRAQPKDFKLVRQEWAATRIQTAFRAFLARRALKALKGVVKLQALVRGRQVRKQAAVTLRCMQALVRVQARVRARRVRMSIEGQAVQEMLNQRRTKADLLKEAEEGWCDSKGTLKDVKTKLQMRQEGAFKRERAIAYSLGHKQWRSTPISNSRPNTSLSTLNKYEIDKVNGGWSWLERWMAAKPWETRLMEQAHADASAKTPPPAKKSALKSSNSKKSEPCLVKVRKNNVTTRISARPPHIGQATRSSSSPSSEFQYDESSASSSICTSITPTSGNAACENRIEDSSSNTKPSYMNLTESTKAKKKTYNHQYNRTQRQQSMDEFQFLKRTAVFSNGDSKINAAPDPSINFSRPLYLPTYLDKSSVKQH >OIW04037 pep chromosome:LupAngTanjil_v1.0:LG10:72548:75814:-1 gene:TanjilG_24148 transcript:OIW04037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCHGATNPNDLKVLNDFRKGLENPKLLKWPENGDNPCGPPSWPFVFCSGDRVTQIQAKNLGLRGSLPQNFNQLSELYNLGLQRNNLSGILPSFSGLSKLQFAFLDYNAFDAIPSDFFNGLSSLRVLSLEENSLNASAGWLFPMDLQKSVQLTNLSLVHCNLVGALPDFLGTLPSLTNLRLSGNKLSGTIPASFAQSSFQVLWLNDQEGDKLTGPINVFTSMFSLRQLWLHGNQFTGKIPQDIGNLTSLQELNLNSNQLVGMIPDSLADMDLEILVLNNNKLIGPIPEFKAADVSFDNNFFCQPKPGLECAPEVTALLDFLDDLNYPSFLINDWSGNKPCTESTGSWFGLSCNSNSEVSMINLPKQRLNGTLSPSLAKLESLLEIRLDGNNIAGTVPSNFTELKSLRLLDLSDNNFEPPLPNFHDGVKVITEGNPLLVNQIGPIRSPPPEDSHSSVHNVSPPSSSHKSLVPSKSSISIQSRPNGFKRLKIIATVAGVAVLAFLAICIVYLFICCWKKKKATTEAPSSIVVHPRDPTAPENMVKIAVSNSTTTVGSLSTKMGTSSLSNISGETQNSYVTKAGNLVIAVQVLRIVTNDFASENELGRGGFGTVYKGELEDGTKIAVKRMELGVISSKALEEFEAEIAVLSKVRHRHLVSLLGYSIEGNERLLVYEYMPLGALSQHLFHWKSLKLEPLSWSQRLAVALDVARGMEYLHGLARETFIHRDLKSSNILLGDDFRAKVSDFGLVKLAPDGEKSVVTKLAGTFGYLAPEYAVMGKITTKVDVFSYGVVLMELLTGLMALDESRPEESRYLAEYFWRIKSSKQKLMAALDPALGASEDTYESITIVAELAGHCTAREANHRPDMSHAVNVLVALVEKWRPVDDELLDDYYGIDYSRPLQQMLKIWKEAESKEFSYAASLEDSKGSIALRPLGFAESFTSADAR >OIW03873 pep chromosome:LupAngTanjil_v1.0:LG10:1184894:1187826:1 gene:TanjilG_30149 transcript:OIW03873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGDLFNESNKNSLDDHDLVLGKFLHQPAFSSESESLYPPNNVGSCLSFEDTTTDNNYSKLLTKSNSSNSIASQHVPQKVVTATATSPTSYVLSFDESTVNGKKCKANSSDQSKGDNISTSRKSRNSLESLNHIMAERKRRQELTERFIALSATIPGLKKIDKASILSEAITYVKQLKKRVKDLEEQRCYKKIRVESVSFINKANFYSDEGSVSSEKTNFDDSHEVNVALPEVEARVLEKEVLIRIHCKNQNGTMLKILTHLKSLDLSTISNSVLPFGNSVLDITIIAQMGDKYNLAVKELVKSLRLALLESRDVYQ >OIW03210 pep chromosome:LupAngTanjil_v1.0:LG10:12243820:12251588:-1 gene:TanjilG_21842 transcript:OIW03210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKISPEVEIKMPMEAVPPVSADVSFISSSFPKYKLAADNQILDEPMEDNQGPSLKDVIEEEASNLSDQHKRISVRDLASKFDKNLTAAAKLSNEAKLRDVASLEGHVLLKKLRDALEFLKGRFAGRNKEDVEKAISMVEALAVKLTQNEGELIQEKYEVKKLANFLKQASEDAKKLVNQEKSFACAEIESARAVVLRIGVALEEQEKASQDSEPKDVHGLVEEVQEARRIKLLHQPSKVMAMEYELRALRDQIREKSIFSIKLQKELTMSKRDEENKSRTYMLDGSEALGSYLRVQPNSDKVPQISKCSFQWYRLSSEGSWREVISGASGSIYAPDPFDVGRILQVDIVSDGKKLTLTTNSIQSVSGLGNHVEALLRKSNADFNVVISQMNGKDHSSRSVHTFSVGRMRIKLCRGWITKSREIYSPSMQLCGVRGGFSNAVKALFWQARKGLSFVLTFESERERNAAIMVARKYALDCNVVLAGPDDLV >OIW03955 pep chromosome:LupAngTanjil_v1.0:LG10:618929:621325:1 gene:TanjilG_30231 transcript:OIW03955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVPYGAEKEYFKYSLFLVFCNRITTSAVSAGSLLASKKAIDPVAPIYKYFLVSVTNILTTTCQYEALKYVSFPVQTLAKCAKMIPVMVWGTLIMQKKYHAPDYLLAFLVTLGCSVFILYPVSDISPYSRGRENTVWGVLLMMGYLGFDGFTSTFQDKLFKGYDMEIHNQIFYTTLCSCILSLTDLALDF >OIW03058 pep chromosome:LupAngTanjil_v1.0:LG10:14952958:14954697:-1 gene:TanjilG_19338 transcript:OIW03058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQRGVPLDKHTLPRVVRASRLMGNLCIGKQVHGHAVKLGFSSDQYVVTALIEMYGHLGSLDMAQVVFHKSSPNLFSWTLLARLYIVVGKPDLAMDLFLKMVEKEKCNVDPVALATACSACGLMKSLQHGRKVHEIARKCRLEFEVLVSNSLLKMYIDCDSVDDARLVFDQMPCKDVISWTSMIRAYVKNLGGFNEAFKLFRQMNLDGLKPDSLSISTILPACGRIASHNHGREIHGYILRNRINFNQKVKNALMDMYAKSGAITSASNVFAEINEKDTISLTVMILGYSLHGQGKLAVGLFKQADKKLKVQVDDTTYAALLHACSTARMVEEGKVYFNCIRAPTVAHCALKVALLARCGLFHEARIFIFERKIGKHPEVVRKMLEGCRIHGQYTLGKQVIDQLCELEPLNAENYVLLLNWYAGGAKWHMVEKLRETIKDMVLKPKKALTWTLLRNKVHVFGTGDVTHPRSWKIYSQLQGFMTEMRDEGFEPKCDFSLHDVDEERECIQIGHSELLALSFGLISSQAGPIRLTKNSTVCHGCHDFAKFVSKRTRREIILKDPNFFHHFKDGLCSCEDFW >OIW03049 pep chromosome:LupAngTanjil_v1.0:LG10:15244594:15255174:-1 gene:TanjilG_20977 transcript:OIW03049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWENIFRRRVRVFTMALVIYFDYKAVQQREKWTSKSGHAALWEKAHERNAKRVLNLILEMEGLWVKLGQYMSTRADVLPLAYTRLLKLLQDSLPPRPLEEVYGTIQKELGKSMDEIFSDFVNEPLATASIAQVHRATLLNGKEVVVKVQHDGIKAVILEDLKNAKSIVDWIAWAEPQYNFNPMIDEWCKEAPKELDFNHEAENTRTVAKNLGCRNQSDRNMNANRVDVLIPDVIQSTEKVLVLEYMDGIRLNDLESLEAFGVDKQKIVEEITRAYAHQIYVDGFFNGDPHPGNFLVSKEFPHRPILLDFGLTKKLSSTFKQALAKMFLSSVEGDFVALLSALAEMGLKLRVDLPEQTMEVVTVFFRATTQANESYKTRKSLADQRTKNMKAIQEKMNLDQKEMKRFNPVDAFPGDIVIFGRVLNLLRGLSATMNVHIVYMDIMKPFAESVLSGYINKGPSVNDRWIFDSPVHSDVEAKLRQLLIELGKNDKVLGIQVCAYKDGEVIIDTAAGVLGKYDPRPVKSDSLFPVFSVTKGITSGMMHWLVDNGKLNLGENVANIWPGFGSNGKDAIKVHHVLNHTSGLHNAMTDITRENPLLMSDWDECLNHICKSVPETEPGKEQFYHYLSFGWLCGGIIEHASGKKFQEILEEAIVRPLHIEGEMYVGIPPGVESRLAALTVDTDDLRKLSGLTGRPDLPSSFQPQQIAQLATTLPALFNTLHARRAIIPAANGHLSARALARYYAALADGGKIPPPHSSASQPVLGSHPHTPKLFSSKKAPKNRKCFGRKELILPSTDNNPTGYEQVSSVEDLQDNSEGSSTNKDSNPRTRAAGKFFKNPKVIDEFLGVGEYENLALPGEGFGLGFKRFSSKDGSSIAFGHSGMGGSTGFCDVTHRFSIAITLNKMSFGGVTGKIVQLVCSELNIPVPDDFLRFAIEQGGPDEQLNMARPLIN >OIW03261 pep chromosome:LupAngTanjil_v1.0:LG10:10498028:10500264:-1 gene:TanjilG_20565 transcript:OIW03261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSQHLNHGDSGFNEDMKIFPSPLPFQVLSPLMLQPQESKGTKKTDDEGNGYFGVEIKNLSLKLGEEVEEAKRSGAGKNGHVKLCVRGHWRPNEDSKLKELVAQYGPQNWNLIAEHLQGRSGKSCRLRWFNQIDPRINRRAFSDEEGERLLTAHKIYGNKWAIIARLFPGRTDNAVKNHWHVIMARRQRDNSSWCKRRKPTSDQSLPKALKLNLPKNNAASESTMSSTIDISASTCTNLSLTPSSTKLSPSIFSKLNPVQHHTGHASLIGLSREREISTGDVGFNKFFGDWNETCEPRDMGKLMVLDQSNFSDSNSEVSLSESVATNMFNLSISRESENVGHKISMPFIDFLGVGAKC >OIW03289 pep chromosome:LupAngTanjil_v1.0:LG10:9938231:9938608:-1 gene:TanjilG_09936 transcript:OIW03289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKGKVQELCLCRQWNLPEYVTTREGPQHCLSYNSTITINGVSFQTLTPSTTSNESEDHAAELAYYHFHQPISNSNPKPNPHQPPSSSSLLPKSWLSLALSASSGMILLFEFCGLAMFNNWTSSF >OIW03936 pep chromosome:LupAngTanjil_v1.0:LG10:776124:776450:1 gene:TanjilG_30212 transcript:OIW03936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGASQSQSTASATTTRPGVMAPRGSAAATAGMRRRRLGGGGSTGNTSVGAGGGTGGSNMLRFYTDDAPGLKISPTVVLVMSLCFIGFVTALHVFGKLYRYKSGGSA >OIW03762 pep chromosome:LupAngTanjil_v1.0:LG10:1978003:1981288:-1 gene:TanjilG_30038 transcript:OIW03762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPGRSVEEIFEDFRGRRAAIIKALTTDVVDFYSQCDPEKENLCLYGLPNEQWEVNLPVEEVPPELPEPVLGINFARDGMQEKDWLSLVAVHSDSWLLALAFYFGARFGFDKTDRRRLFNMMNDLPTIFEVVTGTAKKQVKEKSSVSNNSGSKSKSSSKVRAPEAQGRQSKPLQPKDEDEEVDEQEEEEHGDTLCGACGENDGIDEFWICCDICERWFHGKCVKITPARADHIKHYKCPSCSSNKRAR >OIW03211 pep chromosome:LupAngTanjil_v1.0:LG10:12294286:12296157:-1 gene:TanjilG_21843 transcript:OIW03211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSENFVFMASTLTNKTKPPDKPPDKGDNFTPADMKMSFRDKMLADSQPTPVRKEIDFLAEGLASVELVGGNRLLPTVSFSDSVIKEYSREWQDALIVTIIGKKLGFKVMRERLKYAWKLAGDFDMMDIENGYFMVKFNQAPDREKVLQGGPWMIFDHYVAITAWTPEFDSTTASVSKTLVWVRLTGLNMLYYDKNFLIPIASAIGRPFKIDLNTLSFARGRFARICVEIDLNQPVVGKVCVQGNWHKVEYEGLHVICSRCGCYGHVARNCKIQPQLHSSMVGTDMATGKTGEGQNPSLSERDVSPSGELDNNDALIAETATTEVHGEWLVVSRKKRNGKTGKGPIVKAGFKPGINANRFNALHEEGTNSVAMRQTKGLHSVKATEKEGVLLNKKRLRLENKERNEGGTNSHRVQTFPPIDGAFNGHSNYHLGKGSQCSKQAGHEHIDGTVLELEKNNKEDNGKATNVEKNKGIHASFDSGKSNQCVGTLNVKDSLSSMNESIARIHSDLTRHGGNKSLHLTDLARDGINFHVPISVMQAYEGESNFASQNPRPTCLQLPHLGACKSTLSCDDGGGQHRGGHILMEKMKMQDLEFNDPNMALAREENVVSIQNQDVGDTTMEFN >OIW03118 pep chromosome:LupAngTanjil_v1.0:LG10:14398909:14399892:-1 gene:TanjilG_07270 transcript:OIW03118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGSSGRRRIASRQNKLAPYQLAPCKRDMCEDMCQKRCSKAVYKQEWEDVTCSVCMEYPHDAVLLLCSSHDNGCRPYMCGTSFRHSNCLDQYKKAYTKVTEDSIGSPGGLLDTNSAVEKSEVTKLVCPLCRGQVKGWTVVEPVREYLNAKTRNCMQVSCSYVGNYKELRKHVKAEHPLARPRDVDPARERKWRWLELEREREDVISTVRSTMPGAMVFGDYVIERNHNDFDSDEDEGGTDNAERNGRLQMGLEAVNFFLMMHAVRQRNGPNSLSRRPMPDLTSAGQNAGSGMDFSGEDNDNDNSGVLSGLAARRRRWREAYARVWG >OIW03290 pep chromosome:LupAngTanjil_v1.0:LG10:9927672:9929784:-1 gene:TanjilG_09937 transcript:OIW03290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGPHHEIRFKCKVTLDGKTYHSPEYFRTLKDAENAAARVALTSLAPNGDHEDNTIFYKNLLQDLLFKEGRRHPVYLTQLSGAAHKPVFLSNVYCGGEHFTGEEAKTKKQAEMSAAKVAYTNLKQRKR >OIW03217 pep chromosome:LupAngTanjil_v1.0:LG10:11445409:11447138:-1 gene:TanjilG_13011 transcript:OIW03217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLKIKEHDPLFNHCVLIGGAFLTILDFIFLFMTSGRDPGIVPRNSHPPESEEALDVNTPSMEWVNNKTPNLKLPRMKDVIVNGHTVKVKFCDTCLLYRPPRASHCSICNNCVHKFDHHCPWVGQCIGATTYENFRYRYDKKENPYTKGIVTNFKELSCSKIPSPSINFRSLVTEEEDVQDDSYTSDLEKGFVNSRRKFDMDMGTTYGKDGKRVQNILHDLDYTGIDDHLKKKAGSRETGFDIFVNVDQDHTYSQWKTKTGENSPQDERKQ >OIW03948 pep chromosome:LupAngTanjil_v1.0:LG10:675765:679904:-1 gene:TanjilG_30224 transcript:OIW03948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIEKDNFKVARLESECSLRSRETMSSDDDEVLPHNSAVESDDDDEFDDADSGAGSDDFDLLELGETSAEFCQIGNQTCSIPLELYDLSGIEDILSVDVWNECLSEEERFELAKYLPDMDKENFVRTLKELFTGCNLHFGSPIKKLFQMLKGGLCEPRVALYREGLSFFQKRQHYHLLRKHQNNMVSNLCQIRDAWFNCQGYSIEERLRVLNIMKSQKSLMHEKMEDLDVGSSDEESGDGMWSRKNKDKKVVQKISHFPFNGVGSGLEFDPRQQRPVDMEQQKYGKQNTKGILRLAGSKTSSAKDPTSHLSSLYHALDVNHGLNGSVTAPSQKNMSGSLLRMRDQLRNDNDDDEQISYGLNLHGDRLRSNLIDKSGVLRVGKRHDLLRDDEVDTDNLMGLPVTTKGELLHGYNRNSNQFSDMKMFTAKSSSKRGSDQIGFRLRGSQMPFKDNLVDKPDYNELFFNNSRTPGEDYGMDSTSKYDDWNPGSNKWKPGRDSPDLSYTAYRSSSTQVSDRFPSSDFRTKSLQEKIRGSFIPNGGKSTKALRGNQMFLRGEETESDSSEQMNGDDDDDDNPLLQSKFAYFMGSADGSRKKSLKSQLDPKKTTFVRTDVKACALTQSKKKRGFADQGHMHGVENYLSKGKQKGKIHNYGPLHNPTGKIMEESYPSGSDILSDGDDDWGQVYKLGKNRSMQGELVERLGMPLSNAYAAERKKKGKTGLDHSIPRPKYLHDFVVSDDVSFEKQLLVDDSGVGQCKSKRKGQKYVAYKGGQSERSEAPLLGCNSTTKKRKVKDEAVDLGGGDEDANLLSNTVPQNDSTSLKRKSKKKPEAGVVISEIENSELPITDMGKADIELEAKPQKKQFTLITPTVHTGFSFSIIHLLSAVRTAMISSPIDESLEVGKPREEENKAQEGSINGIPSDDKVAGNCEPDANQLNMPSLTVQEIVNRVRSNPGDPCILETQEPLQDLVRGVLKIFSSKTAPLGAKGWKALTAYEKSTRSWSWVGPVLHNSSDHDTIEEVTSPEAWSLPHKMLVKLVDSFANWLKCGQETLQQIGSLPEPPLALMQLNLDEKERFRDLRAQKSLNTISPSSEEVRAYFRKEEFLRYSIPDRAFSYIATDGKKSIVAPLRRCGGKPTSKARDHFMLKRDRPPHVTILCLVRDAAARLPGSIGTRADVCTLIRDSQYIVEDVSDAQINQVVSGALDRLHYERDPCVQFDGERKLWVYLHREREEEDFEDDGTSSTKKWKRQKKDAADQSDQGTASVAQPGFVEQSGVDLCSNLNVDLPVINKDKGMEHLSNDSRLNIAEDVVDVNQAQDPVDVNHTSEDANVCEGTSMALEALGLNPPQEICQENSTNEDFDDESIGRERPTGLQSTSLL >OIW03776 pep chromosome:LupAngTanjil_v1.0:LG10:1889128:1891922:1 gene:TanjilG_30052 transcript:OIW03776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRYKGLYHLFYQYNPKGAVWGNIVWAHSISKDLINWTPLDHAIFPSQPSDINGCWSGSATILPGGKPVILYTGIDPMKNQVQNLAYPKNMSDPLLREWVKSPKNPLMAPTIANKINSSSFRDPTTAWLGKDGYWRVIIGSKRNTRGMAILYKSKDFVNWVQAKYPLLAAQGTGMWECPDFYPVLNKTPFAIGVDTSINGDDVMHVLKVSLDDTKHDHYLIGRYNIDKDIFVPYNGFEDNQFVLRYDYGKYYASKTTFDDGKNRRILFGWVNESSSVADDIKKGWSGIHAIPRAIWLHKSGKQLVQWPINEIERLRVNPVNYPTKVLKGGELLQVPGVTAAQADVEISFEVNEFEKAEILKSWTNPEILCDKKGAAVKGGLGPFGLLVFASKGLEEYTAVFFRIFRYQHKNLVLMCSDQSRSSLYKDNDMTTYGTFVDVDPLHEKLSLRSLIDHSVVESFGAEGRACITSRVYPTLAINDKAQLYAFNNGTSNVKITRLTAWSMKKAKIN >OIW03245 pep chromosome:LupAngTanjil_v1.0:LG10:10944437:10946265:1 gene:TanjilG_21774 transcript:OIW03245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNENLPPNVIKQLAKELKNLDESPPEGIKVVVNDDDFSTIFADIDAWTPYENGVFRMKLLLSRDFPHSPPKGFFLTKIFHPNIATNGEICVNTLKKDWNPTLGLRHVLIVVRCLLIEPFPESALNEQAGKLLLEDYDEYARHARLYTGIHAKPKPKFKSGAISESTTALNVDQTNTSVLSAEIKAAASVAALPLQSSLAPSTTATRGNDQEHAAVVPTETVVNVSAAATTIISAAPAPQKKEGGQAKAQPDKKKIDARKKSLKRL >OIW03500 pep chromosome:LupAngTanjil_v1.0:LG10:4677517:4681352:1 gene:TanjilG_31013 transcript:OIW03500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTTFTTLNFSANLVSKGVVRNRSSVFWGEGTRGSLTSSFCSIKPCKSSKTRNFKPGFAYAVYTPDVNKESVIFQEPIFQSPKANPENVAAIILGGGAGTRLFPLTSTRAKPAVPIGGCYRLIDIPMSNCINSGIRKVFVLTQYNSFSLNGHLSRTYNFGNGVNFGDGFVEVLAATQTPGEAGKEWFQGTADAVRQFIWVFEDARNKNVEHILILSGDHLCRMDYMKFMEQHIRTNADITVSCVPMEDSCASDYELVKIDRKGRVMQFAEKPKGSDLKAMCVDTSILGLTAEEAHKYPYIASMGVYIFRTETLLKLLRWSCPSCNDFGSELVPSALRDHKVQAYMFRDYWEDIGTIKSFFDANLALAEQSPKFEFYDPKTPFFTSPRFLPPTRAIVDAIISHGCFLSESSVKRSIVGVRSRLESGVDLQDTMMMGADYYQTDSEIKSLLAEGKVPVGVGENTKIRKCIIDKNAKIGRNVIIANGDGVQEADKPEEGFYIRSGITVIVKNAIIKDGTVI >OIW03336 pep chromosome:LupAngTanjil_v1.0:LG10:8151450:8155882:1 gene:TanjilG_15266 transcript:OIW03336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKRSGRQESFSDKIYRFRGTLLVVSVPLLLITFVLYMMPSSSSNESVGDYALVNRKMAPEKKSGGSYAVIFDAGSSGSRVHVFHFDKNLDLVHIGKDLELFVQLKPGLSAYAQNPQEAAESLVSLLDKAESVVPQELRSKTPVRVGATAGLRALEGDASDRILQAVRDLLKRSTLKSDSDAVTVLDGTQEGAFQWVTINYLLGNLGKDYSKTVGVVDLGGGSVQMAYAISETDAMMAPKVPPGDDPYVKEMFLRGRKYYLYVHSYLHYGLLAARAEILKASDDAENPCILAGFDGSYKYGGKDFKVSSSPSGPSLNECKSLALKALKVNESTCTHMKCTFGGIWNGGGGDGQKNLFVASFFFDRAAEAGFADQNSPVAIVRPADFEDAAKKACQTKLEDGKSSYPHIEDGNLPYICMDLVYQYTLLVDGFGIYPWQEITLVKKVKYDDALVEAAWPLGSAIEAVSST >OIW03018 pep chromosome:LupAngTanjil_v1.0:LG10:15635586:15638515:-1 gene:TanjilG_13655 transcript:OIW03018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFTANSLFRIDLNQIPSPDPTAAATTTTRLCAVCGTTQVHSLVGYQWRCRECVDDRVNTEFSHTHDFRKQTVADNSFGVFGAPIAYSNLHNRSAFEDMINYTRALIKSFEKSVRDFISEWRDELKDGWYVELRQSVSSSEVYAVYCAPDGKTFYSLYEVACYLRQMSGHSSVESEIRNEESGGLQISRKRKAQKTPVANGFVEKRRTLVNSYCNDPLSDGLSPPSDGSSVKCASVVGNIQKTNEAEIRRNEDGHSSPEQSALLAEVSDAKDSSENLLGGDVSIPEWAMELEPARKLPTNVGTRIRRCIYNALDKNPPEWAKKVLLHSISKEVFKGNASGPTKMTSNVFRNVLGRKLVNSSDDDGELFLDLQLWWSVLFTSGLLT >OIW03454 pep chromosome:LupAngTanjil_v1.0:LG10:5194328:5194644:1 gene:TanjilG_14679 transcript:OIW03454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYEVFSSNFPPLIVSIDLALECCIVAMSIEFYILSCQKKLKKEDRYVEGRGESCGG >OIW03438 pep chromosome:LupAngTanjil_v1.0:LG10:5437019:5437738:1 gene:TanjilG_14663 transcript:OIW03438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHYVPTTGYDLDPGHGEHQLEGYAPRPVYEVDAGYLQYNYLYHPAPPQNNKSCTCTKHIIMCLCIILATLFLLFLLTAMALYPETPQYNVTFMRVTNFNTEPTLTGEWHTTFTIYNPNTREASNFPDFKVDILHMDEVIAEGNSAGFELGKSEHKVLQVKVSTINSTKLQLDELKHERERGIVTVDLRISTVPIFKSKYDEGLKALAYCSDMKIVFLNSTIGNGLLENGQFCTIIYVAE >OIW03878 pep chromosome:LupAngTanjil_v1.0:LG10:1162771:1165054:-1 gene:TanjilG_30154 transcript:OIW03878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAQKTHYQSFIHLFIELVEAAKGEILNPVSAKLNYSRMIDKKSSIAIFDFQLLEAATNRFCKSNIMGESGSRTVYRAHFDEHFKAAVKIADIDADREFENEVSLLSKMRHQNIIKLLGYCIHGESRFLVYELMENGSLETQLHGPNRGSSLTWFLRLRIAVDVARALEYLHEHSNPPVVHRDLKSSNVLLDSNFNAKLSDFGLAIGSGMQHKNMKMSGTLGYVAPEYISHGKLTDKSDVYAFGVVLLELLTGRKPMENMSSNEYQSLISWAMPQLTDRSKLPSILDPVIRNTMDLKHLYQVAAVAVLCVQPEPSYRPLITDVLHSLIPLVHVELGGSLRVTEPVHSEDLH >OIW03273 pep chromosome:LupAngTanjil_v1.0:LG10:10790845:10794112:1 gene:TanjilG_20577 transcript:OIW03273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLLRIPTFLPQSPPRASASSLKPSCVAVVSFRQTSSCSRRPLSLTSLRLSKLQSLRFAKFVPFAFDADTEAPQVQEPEVQDSPDGAVSVENIINEDEVSNVDETPASPFQVLLQSYKEALANNDEAKVAELESSLKSIEDEKIDLEGKIASLSEELSTERDRILRISADFDNFRKRTERDRLSLVTNAQGEVVESLLGVLDNFERAKSQIKVETEGEEKINNSYQSIYKQFNEILTSLGVKPVETIGKPFDPLLHEAIMREDSAEFEDGIILQEFRKGFILGDRLLRPSMVKVSAGPGPAKPEQEAVEEEQVTSEISEGSVDNEGSTETESA >OIW03144 pep chromosome:LupAngTanjil_v1.0:LG10:13493899:13494873:1 gene:TanjilG_11781 transcript:OIW03144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEESISTSPTFWAGIFSWFTPTVFFVLLQLVIGTIYITSTLANNHKHHHQEHQQQHPQAHHDFQQQQLARSPSVLQRLKSINFYSPYSYRSQDPYNHNTQEFQVHEQQQQQQQQLPRSPSLLQRFKSINLYSYFPTEPLSAKVTTTHDNNVSNITTYEPEKQLQQQHVYDTVVVETDEEENEEEEDDVVGKIFQHNYQKGHVEGTGGGGDGSSMDEIYSKLQGQYGNFKRTQSDTKPASGEVPVKLPKKMKKSASSKSAFSHFKEDDIVESRRPATVREKKVTVATEDDDEVDAKADDFINKFKQQLKLQRLDSIMKKEVIGK >OIW03113 pep chromosome:LupAngTanjil_v1.0:LG10:14312911:14313579:1 gene:TanjilG_07265 transcript:OIW03113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLRRELQFNRPATLMEAFAMARAYEARFEDTLPRATPWSRDTHIKANSPSNPTQNNHDQKIRPHQTPPNTSHNTLPPLLPTPHSNPSVRNMPSAELRDRSSKGLCFKCDDKWNSSHKCRSRVLLLMGDDEDDPPQESEDTYTEDVSGDISSLHSLSSQLQSRSLRVSGLYNNQNFTILIDSGSTHNFVKPALVKRLRLPVHSCPRFKVATGCGTFLVCQFC >OIW03772 pep chromosome:LupAngTanjil_v1.0:LG10:1903811:1904026:-1 gene:TanjilG_30048 transcript:OIW03772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVTEKEAYDRGVNRIGSSPPSCEHKCYGCTPCEAIQVPSTNNMHIKLSLQYTNYEPESWKCKCGVSLYSP >OIW03714 pep chromosome:LupAngTanjil_v1.0:LG10:2352487:2353850:1 gene:TanjilG_29749 transcript:OIW03714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWQTYVDEHLMCDIDGTGHHLSASAILGHDGSVWAQSSSFPQFKSQEIIDIMKDFDEPGHLAPTGLHLGGTKYMVIQGEPGAVIRGKKGSGGITIKKTGQALVFGMYEEPVTPGQCNMVVERLGDYLIEQDM >OIW03083 pep chromosome:LupAngTanjil_v1.0:LG10:13899144:13905452:-1 gene:TanjilG_07235 transcript:OIW03083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKLKIAEGSGPWLRTLNNHVGRQVWEFDPDHTGSPQLLSDIQTARTHFHNNRFTHPHSSDLLMRIQFAKDNPVGGDQVLPNVKVEDIEDVTEEAVTTTLKRALNFYSTLQSDDGHWPGDYGGPMFLMPGLIITLSITGALNAVLTQQHKIEMCRYLYNHQNKDGGWGLHIEGPSTMFGSVLNYVTLRLLGEGPNDGEGEMEKARDWILGHGGATYITSWGKMWLSVLGVFDWSGNNPLPPEIWLLPYMLPFHPGRMWCHCRMVYLPMSYLYGKRFVGPITPTILSLRKELFTTPYHDIDWNQARNLCAKEDLYYPHPFVQDLLWASLHKVVEPVLMRWPGKKIREKAIKAVIEHIHYEDENTRYICIGPVNKVLNMLCCWVEDPNSEAFKLHLPRIHDFLWLAEDGMKMQGYNGSQLWDTAFVVQAIISTNLIEEYGPTLRKAHMFVKNSQVLEDCPGDLNNWYRHISKGAWPFSTADHGWPISDCTAEGLKAALSLSKISPEIVGEPLDAKRFYDAVNVILSLQNTDGGFATYELTRSYSWLELINPAETFGDIVIDYTYVECTSAAIQALASFQKLYPGHRREEIERCIKKAAAFIEEIQASDGSWYGSWGVCFTYGIWFGVKGLIAAGKTFSNCSSIRRACEFLLSKQLPSGGWGESYLSCQNKVYSNLEGNRSHVTNTGWAMLTLIDAEQAKRDPTPLHRAAVCLINSQMENGDFPQQEIMGVFNKNCMITYAAYRNIFPIWALGEYRSRVLQQAST >OIW02950 pep chromosome:LupAngTanjil_v1.0:LG10:16291904:16294042:-1 gene:TanjilG_13587 transcript:OIW02950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKNLDENSSSFTCKQNDNNSGEMPRSPMEDPAETDAKQNDEIEKTVNEGNHAKEKEEVDGVEAPPESESPLPCIEKVSEDIDEFLVSLVEQKEKEATSFGVPGLVERFLDLMEDKIAKYDVEGKAKWGELPEEDASFLESITRISKLMKSLTLQMDSTPPPPSFEGEEIKGTKDSLVNRIGLVQQRMMLYLEEDFRYLLEESRNNPTKLDHGGNQNQNQNHAYHKGKQVVSEQHELNHEKIEESDHDFPGYSNDAILNLNKIAGAMLCGGYQSECSQVYIISRRNALEESLHKLGFEKISIDEMQKVQWETLARDMVPAWINTFKQCASMYFSNERKLAEAVFVEHPNVAAGLFSNLSRGVVIQLLNFAEGAAMTKRAGEKLFKLLDMYETLRDVISKLDELFPEECVKELKTEMTLAKCRLGEATISIFCDLEISIKSETVKTPVPGGAVHPLTRYIMNYLNIAGDYRETLEQVFKEHSKFDHVDSTSSPHHENDDNISGGGGGSNNDDNKDVSPFAAQVTRVMELLDTSLEGKAKLYRDIALSNFFMMNNGRYILQKIKGSSEMARLMGDTWCRKRSSELRTYHKNYQRETWNRVVACLTHEGLNVNGKVQKLVLKERFKSFNALFDEIHRTQSTWVVKDEQLQSELRVSICAVVIPAYRSFLGRFAQYIDPGRQTEKYVKYQAEDIETYIDDLFDGKPHQSIGKRKT >OIW03774 pep chromosome:LupAngTanjil_v1.0:LG10:1897673:1900375:-1 gene:TanjilG_30050 transcript:OIW03774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSCFFSLKLQHSSLSLLPSHFSTSMFSFNHRFSSHFISNSFSSSSSSSPQPQVIQTPSRVGFLGLGIMGSPMALNLIQSGIDVTVWNRTKTKCEPLINLGAKYKSSPEEVAASCDVTFAMLADPQSALDVAVGNHGAVNGMAPGKGYVDVSTVDGETSKLISGNIKSTGALFLEAPVSGSKKPAEDGQLIFLTAGDRDLYESVSPFLDIMGKSKFYLGDVGNGAAMKLIVNMIMGSMMASFSEGLVLSEKVGLDPNVLVQVVSQGAINAPMYSMKGSPMIQSLYPTAFPLKHQQKDLRLALGLAESVSQPTPIAAAANELYKVAKAHGLGDQDFSAVIESLKSRFQHSDDQ >OIW02300 pep chromosome:LupAngTanjil_v1.0:LG11:5324898:5326635:1 gene:TanjilG_11194 transcript:OIW02300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAEIRAVWQRTANRCFVQEDAKRAPKLACATSKLVDPGQASAADESDHAAVNVTSFNRKSSFPNFAPDPRWWLMQPNYGLQKGVTYEQLNALEDEVEIVKDGDENKAHEGEDTQFGDGNHDSFDYIQHDFQTGIMKKMKKDRMQEAYGENSQAFPEIIDMMAKHETIEIDSVGCSVSKQTYDLSFDSDYSWIEGEKAQPWWRTADRDKLSSFVSQKSVNHVENCDLPPPQKKYLRRQPCADVSDDKIKTTSFDLEAKSSGFSNLTVQEMGTLDLGLMHKKHGPSANKGHLYFGSGISSSDTTIPEDVIEQVFDGDPSKVQLMEALCHSQTRAREAEEVARQAYAEKEYIVALLIKQASQLFAYKQCFRLLQLENLHIHIKNKDQPDNTLFPLHLPNMPFAIRKPRKRKHKFANAKQEKQAKPKNDVSTTYAVAFALGMSLVGAGLFLGWTVGWMLPRL >OIW02784 pep chromosome:LupAngTanjil_v1.0:LG11:1109307:1114134:-1 gene:TanjilG_29560 transcript:OIW02784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASSSKMEEDKALQLCRERKKFVRQALDGRCSLAAAHVSYIQTLKNTGTALKKFAEPEAPVESSLGTSTNATPEPLALTERAISGLSFSPASISRVDAPETFSPTPSPPSSSKFQANHMRFSSISSKKVEEKPPVAVIGTVTSSSTPQNPTHFTERSETPAFEDSSLPAGTPHWDFFGLFHPIDHQFSFQEGKEKHQDAGHGDDIAQLREEEGIPELEDDEEKVSSHGTEGSLGSEDEFDYEPSTETLVQRFENRNRVAEHVQANGLPATTKHVTGDSAFEVELVNGEKGNSPHLSPPKTAPAVDLPPSEIHKSEDKENNSENKVGPKNFFSSINDIEALFIKASDSGREVPRMLEANKFHFRPIFAGKENGSIVSSYFKACFSCGEDPTQVPEEPAQNSVQYLTWHRTTSSRSSSNPLGANSKDEIEEPTNNLFDTSCMISGSHASTLDRLYAWERKLYDEVKASEIVRKEYDTKCKILRHLESQGEKTSTIDKTRAIVKDLHSRIRVSIHRIDSISKRIEELRDKELQPQLEELIEGLSRMWEVMFDCHRLQFQIMSASYHNSHARMTMHSELHRQIAAYLEDELHFLSSNLTRWIGAQKSYLEALTGWLQKCVSLQQKSSKRKRRPQSELLRSYGPPIYATCYVWLEKLGTLPIKDVADSIKSLAADTARLLPHQDKSERKGMPVWKDDIVGESSNNLLRDDTSEDWVSGFDRFRASLIRFLGQLNSLSSSSVKMYTELRQDIQVAKHNYHRWNSQSQNGHLKSQSQDGNLNSESQEDQSKSQSQVD >OIW02740 pep chromosome:LupAngTanjil_v1.0:LG11:1420421:1421074:1 gene:TanjilG_29516 transcript:OIW02740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPPTLPISNAQSNPSATTSSQSQPPIATPAFRAFISRLTSSLRHGFSHRRPWTELLDRNSMSKPETLTEAYSRIRKNFNYFRVNYVTLIAIALALSLITHPFSLLVLIGLLASWFFLYLFRPSDQPLVLFGRTFADRETLGILVVLTVFVIFLTSVGSLLISALMVGLGIVCAHGAFRVPEDLFLDEQEPASAGFLSFLGGAASSAAASAAISRV >OIW02242 pep chromosome:LupAngTanjil_v1.0:LG11:6150739:6151683:1 gene:TanjilG_15125 transcript:OIW02242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMFWALVLASLCCQLASINAQAPVTTPSKLPPTIPTATSPSSGSPAVTIKPPIAASSPPVTSKPPSASPPTSSKPPTATPPLANVAPKSAPTTSPAPKVAPALSPKAPPPQLPKSPPVPAPTLPSPTISPTPVQTPPAPAPVKATPAPAPAPINKAPAPAPVLPPPTPAPAPITEVPAPAPQPHKKRRHKHKHRRHKAPAPAPTIHKSPPAPPTDTTEDSDTAPAPAPSLNLNGALSNHRQGRNIWATAGVAIIVLLAVTGYSC >OIW01925 pep chromosome:LupAngTanjil_v1.0:LG11:14269413:14278205:1 gene:TanjilG_15250 transcript:OIW01925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKEQTVDKSRRERRKESRLAKNASKHQSWLHHQKSRSSKTSTSNSDSKTITKLDKSITPTPKETETVKSEPNTRKHEKIKERPMSDETSGPVAKKMKKVKKGSRKSSEKTRAKELYEPEVSVAAMKDLELERKLSKKLKLKEGRLRGVDDGLGMLLEGMSSYTEFIGDEEVPGSSELPLKKKSKKSTSSKKLKKNMLSKEGMEAESVGGISGADDASNEDGASKEVPNGTASRTKNKKRKLLDQQQEGDVESCEMDVASEDVPAEVPVKKAKEKYIAPHLRARAGNEPEEHTQIRRRVRGLLNRLSESNVESITGELSLIFQSVVRSVASQIISEEILASCAGGPRGNEQYAAVFAAFVAGMACTVGVDFSAKFMVSFAKCFEDEYDKEDSLSLRNLTLLFSYLCIFGVCSSDLIFDFLIMLSKGLTEVDVSVILTVLQCCGMTIRADDPTSMKNFIVSVQNKANELKASAGDDHEKKNSKRMGFMLETIYDIKNNKKKAKEDPAHHTRIKKWLQKLRVDDIMLRGLKWSKLLDPEKKGQWWLSGDVVSATDNVEEVANRIDKDVVETQRMLQLAASQRMNTDSRRAIFCIIMSGDDYIDAFEKLLRLELPGKQDRDIMRVLVDCCLQEKVFNKYYTVLATKLCEHDKNHKFTLQFCLWDHFKQLESMQLMRSMHLAKFVAEMLASFTLSLAVLKTLDLGDYTLLTPRRIMHFRMLFEAIFEYPDSMVWNMFTRAAVTPELESLREGIDFFVKEYILKTNKALTKKFKLAKKALNNVEGVLM >OIW02404 pep chromosome:LupAngTanjil_v1.0:LG11:3732860:3734582:1 gene:TanjilG_04997 transcript:OIW02404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPRTKKKVVSLFHKTTELAKKTLVFDFEGTLLRSSSLFPYFMLVAFEAGGLIRSLILFLSYPLVWLVGEEQLGLEIMVFLCFFGIRKSTFRIGSAVLPKFFLEDIGWEGFEAVMCCERKVASSKLPRIMVEGFLKDYLGVDAVVARDLKTFNGYFLGLFEENKVNKATLSYEGKAKDGNHNTIGIRGSHIQHIDKELFSHFKEVYLMLSSEERRNWHALPREKYPKPLVFHDGRLAFRPTPASSLAFFMWLPFALFLAIFRFIFGTSLPFNVSAPILAFSGTKTTLSKPSNVSLIHNKEDKKGMLYVCNHRTLLDPLYIAYVLNKPLSAVTYSLSRFNELVSPIKTIRLTRDREKDREAMGKLLKVGSLVVCPEGTTCREPYLLRYSPLFAELTDDIVPVAVDVKVSMFYGTTASGYKCLDPFFHFLNPNPTYIIKFLERLPQSETCLGGGKSRIEVANFVQNKIGNALGFACTSLTRKDKYLVLADNEGVSDQKPCRHLCVSPEPLQDMK >OIW01680 pep chromosome:LupAngTanjil_v1.0:LG11:20465530:20477713:1 gene:TanjilG_19788 transcript:OIW01680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLVDPTRSLDRVKLGTPPTLTSLSYYTEVFCEPLKGINYVVGQQALGSRAPVDLHSLRTSSEDRCPAKVVIHHILAHQSRILGSKEGHSNNKSKHLAKADLRLPALRPHFEARSESSLGLSVSQTPDRKRLRCDVLITVVVYSEWVKEPFSAFRLSSQAVVPALASYESTRPLRNEDSAFKNSLPAILSCGKIAFRPILFLSWKRRQEQSLLIHMSSNLLVGYPHSITRIGFWREYPVHRNFNQKRVFSTIEIYVAGMIQPLVHCHLSLLIPLEQSQLSISAANMATSALFGRIVKRHLWDEGTFLERGGERQSNSIFAGFRFTRSPLSSAFETAAAASSEPRPSGFSGFPCERDKDIPRLTDFLFENREPLEAKEVIKLHSYNLAIGSTKSIGAKPTLGSLRKKKKGKWDVVKPLSLTQWHQKEQRQSFVAKAVEQPRWHEWLEGLVRLTLKPRIRYSPTRERVACVETGFNSWRRCLLAPHDNGVAGGSGVNGRGASHEDILRTDLSEFDTFCRMLMDGFRCLAFVTS >OIW01171 pep chromosome:LupAngTanjil_v1.0:LG11:33030707:33032498:-1 gene:TanjilG_10332 transcript:OIW01171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACRIDGFIAAVAHTNALRDGPVTGRAVDVIAAANTAAEVALRLVTPVIYTDRESFIPSHFIYSAHCCHTIWNMLSCNIDNFAEVAFVVNLLYWQLCRGDQYHIGSLFSLLPKP >OIW01950 pep chromosome:LupAngTanjil_v1.0:LG11:11931603:11934099:1 gene:TanjilG_13814 transcript:OIW01950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDAPGPCVLFATPGMLSGGFSLEVFKHWGPSEKNLVTLPGFCVAGTVGHKLLSGKLTKSDLGPDSEKDIHCQVHQLAFSPHTDCKGIMDLVKFLSPKHVMLVHGEKPKMISLKEKIHSELGIPCYYPANNETVRIPSTHYENVETSDSFVRSCSNPNFKFQKCSSLDTCNSTLSDRNLMPELQVKDERVAEGILVVDRNKKAKIVHKDELLLTLSEK >OIW01780 pep chromosome:LupAngTanjil_v1.0:LG11:18238212:18238766:-1 gene:TanjilG_03918 transcript:OIW01780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSVIGGSSSETSSEETDHQKEDQYDSTSSKRSYDCTFCRRGFTNAQALGGHMNIHRKDKAKAKQGTPNNLFTKEEYIMVPSFIPQTSTFCSMFESQRNYDIHFQPSSTPNYSRNPPAYAFQYEFLNPTRYESLSTNYQELLGPNLTLQIGPSHVSTDEVRKGIQNDGEVVDLELRLSHYPYSN >OIW02423 pep chromosome:LupAngTanjil_v1.0:LG11:3895982:3896868:1 gene:TanjilG_05016 transcript:OIW02423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQASKKSQTKLTRTHSSLLRSQSSIDFTISSHHEEEELQDKKHNKKNNKYMNKKYKPSHKPGSTRFFTAPVLFFFSFCISLLYCYFYLGASEELSTSENLLLVLIFIAAGANKTKPVKWYIEDWGESEISEVEEGEKEKLLLDNRIEKEGVEFYSNGDGDFYEGEFHRGKCNGSGVYNYFVRGRYEGDWVDGKYDGYGIESWARGIRYKGQYRQGLRHGYGAYRFYTGDSYAGYSTYFLNFVFNVCVEYEVMRYHSEFD >OIW02186 pep chromosome:LupAngTanjil_v1.0:LG11:7521003:7524860:1 gene:TanjilG_02410 transcript:OIW02186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEETQGHYYPSSMPESTLSNDSGGRPNFIGQVNRGLGVPGLKKRGHGTRSWIKIDQDGNSQTLTLDKGTIMRNCALPSRDLRLLDPMFIYPSTILGREKAIVVNLEQIRCIITSDEAILMNSLDGSVGQYRAELCNRLQKEKTDDLPFEFRALELALELTCTSLDAQVKELEMEIYPVLDELALSISTINLERVRRFKGHLLALTQRVQKVRDEIEHLMDDDGDMAEMCLTEKKMRSDSYPLNDYLPTISSGSGRVISRSAPASPERSTSGLQMLQRAFSSIGNSSKHGSSVGSSDNGERIEPLEMLLEAYFIVIDNTLNTLSSLKEYIDDTEDFINIKLGNIQNRLIQFEVLLTAATLVAAVFTVVAGVFGMNFKAGVFDYPFGFHWVLIITGIACALLYMAFLSYFRYKNVLPS >OIW02312 pep chromosome:LupAngTanjil_v1.0:LG11:5447794:5460210:-1 gene:TanjilG_11206 transcript:OIW02312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGEKLAIGVRDNNIDERIRNTEELQSSTQASNEPKSDQPNNHTTDAPVTDSGSASASSNDSKKVSRQDIELVQNLIERCLQLYMNKDEVVKTLLTRAKIDPGFTTLVWQKLEEENADFFRAYYIRLKLKKQILLFNHLLEHQYHLMKCPMPAKVPLAPMQNGIHPMPGKFLPSFNNLPMGYPVLQQQPMPAAGQPHIDSMGCGISMGHVVNGVPASSNFHPIRMNSGNDMLMDHGATNMARVIPPNSGMSSMSDMPVSPTSVASSGHFPFIASEISGMGADTSALDTAFTSDVVSSVGLQLATDGGNGISRSLDQIQWNLSLSDLTADLPNLRDLGALGNYPSSPFLPSDSDILLESPDQQDIVDDFFVNSEPPCSQSDEEKS >OIW02803 pep chromosome:LupAngTanjil_v1.0:LG11:979137:980174:-1 gene:TanjilG_29579 transcript:OIW02803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLTCASQDVCFYSAPTSPSRLKLCAPTGFQTGNTSPRTYYDDVKSNLDEFEFETSRRFNYLGDLFYNETSQRNEDTFDHQQDQQTMAFADDLFCDGKVLPLVPPLKLPPRLLQNGDGSMMSTQSSALTSPRSPGSWLRFPFSRLTSRNDDFDPFMAALEKVREEKWGKTKAKHGLRRTRSLSPFRGFNYRSNKHKGLSQSNQSESNCCGPSQLKCELQKEPLKLASGITSMLSEPKGLVFARQVRLVGVCNDAKFETKKTSASKLAKETEKGESERGGFWAWKNKKENIMKFLFGNAYKGKAHVKKELEDKKAASEKPDYMRKLDMKSVKLTQSTNGIKRRNM >OIW02607 pep chromosome:LupAngTanjil_v1.0:LG11:2600119:2601396:1 gene:TanjilG_24058 transcript:OIW02607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEIEEVVVENSASTTTLFDKYELGRLLGCGAFAKVYHARNMETGESVAIKIISKKKIAGTDLATSVKREVSIMSQLHHPNIVKLHEVLATKSKIYFVMEFIKGGELFTKIAKGRFSEDLGRRIFQQLISAVGYCHSRGVFHRDLKPENLLLDENGNLKITDFGLSAVKDQIRTDGLLHTLCGTPAYVAPEILVKRGYNGSKVDVWSCGIILFVLVAGYLPFNDTNLMVMYKKIYKGEFRCPRWFSPELRRFLSRLLETNPVTRITVDEILKDPWFKKGYKEIKFHEEWKENNEGMENVKELNAFDIISFSSGLNLSGLFSVVEDSERFVLRGSPEKVLETVEEVGKVEKFVVKRRKEYGVELEGVNGNFGILVVVYRLTEEVVVVEVKRRGCDIVAFKDVWMNKLRPCLFDAGSSSNQQVAGDC >OIW02818 pep chromosome:LupAngTanjil_v1.0:LG11:894425:897221:-1 gene:TanjilG_29594 transcript:OIW02818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SCELQSGENNVSKWKLMKNKKRKLKTPVQVAGLEKFYNEHKYPTEEMKAELAVELGLTEKQVSGWFCHRRLKDKRLLKDEAVVNCRQDRSSGVIQDRGSGLGQDSCGSTKHGDYKYLDPKEVESQGIHNNGFSAADMAYGHRNKFTENVTEMEDTSSESSSYLQDMMFPQGQDPYDMESSRYLTSTRALPPLNPKSAINMRHKPSGYLKVKGEIENAAITAVKKQLGRNYREDGPLLGVDFDPLPPRAFEGQNAGPVHEPFGVANPALPNSPDISPVKRQPGLSSRYPSHIEGIDFGSLHGGSGFQDKKARHSIKQRQTFYSINHFPARNSSLDLLEDDNGEASAYNCTKNHRIGVMLGVEGMRSDSTSNHSDHYEENLVVKQTDLLPHGYDNLNLKNVQRSGHVKSKPSHSVRNSQISVDTEERGTPTRMSKEEMFKGSRKAKNQQRNADGARMLSKEIVDAKRIKVDPLQQYHVKQAPIAEIDQRKIQRWAFIC >OIW02223 pep chromosome:LupAngTanjil_v1.0:LG11:6779884:6782659:-1 gene:TanjilG_23931 transcript:OIW02223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVFLRSKSFFVHVVLIFGFLGAPRVYASEPSLTLDYYTSTCPTVFDVVRKEMECAVTSDPRNAAFILRLHFHDCFIQGCDGSILLDDTITLKGEKTAATNIHSLKGFGLIDKIKNIAESDCPGIVSCADILTIAARDAVILVGGPYWDVPVGRKDSVTASFELANTNLPTPDESLLSIMSKFLYQGLSVTDMVALSGAHTIGMARCPSFRSRIYGDFGSTSIKNPISESYLSNLKSICPPIRGGNNNITAMDYVTPYLFDNSFYQILLNGEGLLNSDQEMYSSIFGIETRELVKKYAADPLAFFQQFSDSMVKMGNIINSESLINGEVRKNCRFVNT >OIW01844 pep chromosome:LupAngTanjil_v1.0:LG11:17122819:17124294:1 gene:TanjilG_15708 transcript:OIW01844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQTFDLPLASERAKFSEVQLRRHSSGEAYFGYNKENVISRYLRASSGSCHDLCKIGNKTAFEANDKHSTSRRASLHSKPMKMPTANRRMSIDSRLPISCTADTHKLELPTKSSDSKKQRGKEVLENRNNASLVKAKPLFLSKSNVSSITKTTSQSKTTSKKKETISKSTSGRAKTTSKSTFEVRATELSKKPVIFLNPNTVALKTIPSMDSSESIGGQRSTKIKMEKREGSREVVSPSRASLSSKPSPKINAGNHNSLKIVSRVKNQPEARRVEPKKLNDDVEEKTLYVINVESDNQTSQSDQNGSQDIEPSPEFSSSVSQSISQSDQEKTEYSTVELDEDSSSGNCEAEYIDNKDSLKVQENGNPQKGETVFLEYKECQMLKLKMVGGKLVETQFEKISPKKLKFRRGKMLQENATNVNIDDITDSEKVNLRHLEVEGKKDEEILLNNVIEETASKLVKCEKGKVQALVNAFEAIISLQEKNVFDKHC >OIW02641 pep chromosome:LupAngTanjil_v1.0:LG11:2129218:2129541:-1 gene:TanjilG_24092 transcript:OIW02641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METIFYNVTTKALRFGRQFGRIEELAHQMIDEGTQPDNITYSTIITCAKKCNIFDKVVHWFKRIYKTGLMPGEVTYSAILNLYIMLGKVEEVINLYEKARAFSMLER >OIW02712 pep chromosome:LupAngTanjil_v1.0:LG11:1621783:1626963:1 gene:TanjilG_29488 transcript:OIW02712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSNDEDFDESSSVDSDSSCGGGSSASSTFMSENGGLTSEVRLTERLTDIFVDEGDGDLLIQETNREDRLLQWLQALDMQVIGACRADERLKPLLKMNAACGFAEDPLLTQLSHHFEPSEIGMLARCFCVPLVSIRVGKINKEGTRLCPTANRGNLSLILLPSSDIRISFIGDDGEVERLFTLTSKSQCAAVVVDEIPTDNSGRSFLVRTPDRKTFYFWCSEKSKLLGIELLAKMKGLLKQKPSIAELSGISKSRLDCFATRLHAFLVGSRLASSLESSVCASQSSPSPKYSRSRHNGGQMTKGDTALYQSILSPRSSSFKEVPPRNLSSHSMAVREKIKRRGDSHQSALDNSTNESINILDFSITSDQDKASEVTTNFAFSLCLLGSLGKLAVSSDLGLGGDVAPMVSPLFSPRYCWCPPGLSTFPSLTALPRVSNSSVDSTPPYSGASLLSSTLPASLLQPIQPLSIGTTNVFPPFLPDPFVRMSFPTSQQIPTFTPLMCDPIVHVPVIDVCSSGQGFLFSAGPSMSTSIPPLHHQKLMQPLIAESDPVVKGARETLRLLISGSSQGNQQVVLDPLPAILTNPDDKQNNIFVAGSCGIYTGTRDIDVFANSIAAMGLASLSGVSRDEGDSKARGYYGMLESEVRKPSDSSTTFLDDKGDPPLDSTQ >OIW01447 pep chromosome:LupAngTanjil_v1.0:LG11:29475506:29478241:-1 gene:TanjilG_30921 transcript:OIW01447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSVGRVSEKCLDSQLWHACAGSMVQMPQLNSEVFYFPQGHAEHALCKVDFGNKPISPLILCRVNAIKFMADLDTDEVYLKLRLTPLRDNEIDLKDDCFVGIRGVVESQEKPVSFTKTLTQSDANNGGGFSVPRYCAETIFPKLDYSTVEPPVQTIIAKDVHGECWKFRHIYRGTPKRHLLTTGWSNFVNHKKLVAGDSVLFLRAENGDLCVGIRRAKKGIGGGSELSSSCWNTNQLAPLLFGGGNENNKMLMRRKIGEKLMGKVEAESVIEAVNCAVNGRPFEVVYYPRASKPEFCVKASAVRAAMQIQWCSGMRFKMPFETEDSYRIGWFMGTISSVQVVDPIRWPNSPWRFLQVVWDEPHLLQNVKCVNPWLVEVVSNIPNFHLSPFSPPSKKPRFPQTVDFHLVDQLPISSFSNNLLKHTNSVCKIQGKSSAGIQGTRHAQYGLSPSNFPTKTLQPDVLPSAHPWLNHSVQPRRPPWDTYKSGPKNNVDISCLLTAGNTAQSSKEPVKGNSSHILLFGKLIHTEQEHNSNIFSAGRNGNSSTEATLHKTSNASEGLDSASLQNSHIENSSDGGSPWYKDLQKSDLRTRTKNVNRLCLAL >OIW01502 pep chromosome:LupAngTanjil_v1.0:LG11:27429193:27429354:1 gene:TanjilG_19428 transcript:OIW01502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLLETEKVSIDGRGHGEGERMEEGVDRGMMKEGVERGVEEGVDEVVEESVER >OIW01835 pep chromosome:LupAngTanjil_v1.0:LG11:16886694:16892824:1 gene:TanjilG_15699 transcript:OIW01835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDIHMNKFVSSPGEAIILAQEVVKTMVAKGYVLGKDAFVMARAFDESHNVSSSTTAKVAELSNKIGLTNTINSGIENFKSLDEKYHVTDITKSAVTFTGTTAIVVGTVTSKAAMATGNAIINSSYFAKGALWVSDMLARAAKGVADLGQHNK >OIW02627 pep chromosome:LupAngTanjil_v1.0:LG11:2464575:2466121:-1 gene:TanjilG_24078 transcript:OIW02627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLIELFPKDYGFVAIVLVLYCFLNFYMGFQVGKARKKYNVPYPTLYAIESENKQANLFNCVQRGHQNSLETMPIFFMLMILGGLKHPLTSAALGLLHTVARYFYFTGYATGEPKNRLKLGGFHMLALLGLMLSTLSFGFTLIKQPATL >OIW01797 pep chromosome:LupAngTanjil_v1.0:LG11:17969703:17970054:-1 gene:TanjilG_03935 transcript:OIW01797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSECKGKSSWPELVGVQGTEAEATIERENPLVDAIVLLEGTPVTKDFRCDRVWVWVDTNGIVIKVPIIR >OIW01434 pep chromosome:LupAngTanjil_v1.0:LG11:30670142:30670426:-1 gene:TanjilG_11152 transcript:OIW01434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFATSSTTPFSAYDSQQYYRSIMPSTNIFGIQHTDDEVVVEDVDENEDDSDEEEPQFETRGRARILQRTEQQLRVQPPRTRKPPPCGTSSHRRH >OIW02174 pep chromosome:LupAngTanjil_v1.0:LG11:7606203:7607428:1 gene:TanjilG_02398 transcript:OIW02174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGALTESQAALVKSSFEEFNANIPKHTQRFFILVLEIAPAAKDLFSFLKGTSEVPQNNPELQAHAGKVFKLVYEAAIQLQVTGAVVADATLKNLGSIHVSKGVVDAHFPVVKEAILKTIKEVVGDKWSEELGNAWTIAYDELAIVIKRG >OIW01387 pep chromosome:LupAngTanjil_v1.0:LG11:31718295:31724134:1 gene:TanjilG_12927 transcript:OIW01387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKQMAAKLLTTCLIGSAILGGICYCYYYWNNNNGLLNRRKKKKGIRVYMDGCFDMMHYGHCNALRQARALGDHLFVGVVSDSEIIANKGPPVTPLHERLTMVSAVKWVDEVIPDAPYAITEEFMNKLFNEYKIDYIIHGDDPCVLPDGTDAYALAKQAGRYKQIKRTEGVSSTDIVGRMLLCVRERSVTDNPNPKHSSLQRQFSHGHSHNFEDNTATRISHFLPTSRRIVQFSNGRGPGPDARIVYIDGAFDLFHAGHVEILRIARDLGDFLLVGIHTDQTVSATRGAHRPIMNLHERSLSVLACRYVDEVIIGAPWEVSKDMLTTFNISLVVHGTIAENHDFEKEKSNPYAVPISMGVFKILDSPLDITTTTIIRRILSNHEAYQVCLYLIISYA >OIW01826 pep chromosome:LupAngTanjil_v1.0:LG11:16673305:16678169:1 gene:TanjilG_15690 transcript:OIW01826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYLHKASKTLRQWKYMSSTSFSSFPFIPFTNRITHPRFCSNSRQNNKEQNAIDLNQYPPELVRNFSIIAHVDHGKSTLADRLLELTGTIKKGHGQPQYLDKLQVERERGITVKAQTATMFYKHSVNGDNISDGKESCKFLLNLVDTPGHVDFSYEVSRSLAACQGVLLVVDAAQGVQAQTVANFYLAFESNLTIVPVINKIDQPTANPDLVKAQLKSMFDLDPSDVLLTSAKTGQGLESIIPAVIERIPPPSGSCNSPLRMLLLDSYYDEYKGVICHVAVVDGALRKGDKISTVATGQSYEVFDVGIMHPELKPTGILLTGQVGYVVSGMRSTKEARIGDTIYHTKTAVEPLPGFKPAKHMVFSGLYPADGSDFEALNNAIERLTCNDASVSIAKETSTALGLGFRCGFLGLLHMDVFHQRLEQEYGAHVISTVPTVPYIFEYSDGSKLEVQNPATLPSNPKQKVIACWEPTVLATIIIPSEYVGPVITLVSERRGQQLEHSFIDSQRVFMKYRMPLKEIVIDFYNELKSITSGYASFDYEDADYQQSDLVKLDILLNGQPVDAMATIVHKVKAYRVGRELVEKLKKAIDRQMFEISIQAAIGSKIISRETISALRKNVLAKCYGGDVSRKRKLLEKQKEGKKRMKRVGSVDIPQEAFHELLKVS >OIW02693 pep chromosome:LupAngTanjil_v1.0:LG11:1802667:1803470:-1 gene:TanjilG_29469 transcript:OIW02693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEESEYLMWMKRKQILKSHLEAVGDASINNFYYSSCVERAFAEDAARVLGGSIWPPRSYSCTFCKREFRSAQALGGHMNVHRRDRARLKQNLSPHNGQTQTLLEVDHHKNDRNNSLGNHFSSQISSSHQLDCCLNPNSSLAATITTTRTSPSYSSSIIGSSYSEHQGIVDAREDKFKGFGCDNYVETSLSVGWSSMFGQKSPIIPCEGSRGKTIINGKRLKTNISSLPVMLLKPCSNDRGLAFLSAEVKMEDLDLELRLGKQHKVK >OIW01441 pep chromosome:LupAngTanjil_v1.0:LG11:29335193:29336746:-1 gene:TanjilG_30915 transcript:OIW01441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLQRNLCANTWARSCSLPSPGNGLQTNISSNPPPFPDFGLQHNLDKWDDPSLSDYGVGGETSFKEFNQSSQTQCPLSYDSNNQIKNPNQESRLLSDSSQTIKLPDWDPSVMLNNLSFLEDKIHHLQDLVRLIVSQTGQPFGQPNELVTQEQQLVTADLTSVIVQLISTAGTLLPSVRYTLSNSSPLVGQLSQPRELIAPSASGLSSRSIQPQNNNVSKLPDHSMLNDPPNNCKMEQNCNMEEHELKDEEDGEDGENLLPGSYEILQLEKEEILAPHTHFCTICGKGFKRDANLRMHMRGHGDEYKTPEALAKPHKEPGSKPQLIKRYSCPYGGCKRNKDHKKFQPLKTILCVKNHYKRTHCDRSYICSRCNTKKFSVMADLKTHEKHCGKDKWLCSCGTTFSRKDKLFGHIALFQGHTPAIPLDDIKVPAVAPDQDCKESSMVGSMDFTYGSNPSSVNGVQNAMDVKCNIDDPINYFSPLNFETNFDVFNDSETSFSFLMSGAFKSAGDSSSDNIL >OIW01167 pep chromosome:LupAngTanjil_v1.0:LG11:35423290:35423649:-1 gene:TanjilG_17724 transcript:OIW01167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEKDYNIEELDKVSHQLSSLYVTKNQVGVTTDQEPNPMLTIENLQPSMLKFDIGCNNPSLKSKNKVKMSGYKSQKHDSTSTLTTGSVPQDHIGYLAMKRRSEEFLERKKGKRVCMDQS >OIW02461 pep chromosome:LupAngTanjil_v1.0:LG11:4251855:4252571:-1 gene:TanjilG_05054 transcript:OIW02461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDPRRDQPTISFPLFDGVGLRIHGNESKVKEEHGICSTPLWTRSPPMSPHQKRNYYRSLSPSSKTQAIERGQRELMEMVRNMPESNYELTLKDIVEPPKVDVVEHNKVREKKILSNKNVQKREGLSIKVDKKGSSSSNNKIDSGGLYLKMVFPTSLGSKKNNKKKESSANNNSSKVSPRPSFSDGSSNKGIDKDWWKKNLSASAGDSESGVSSINSGSMKSSGSSSSNSSRSNSRYA >OIW02478 pep chromosome:LupAngTanjil_v1.0:LG11:4502620:4510923:1 gene:TanjilG_05071 transcript:OIW02478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTALALAWCVTVLALAWCVTVMANDSGLGLVQDGLGLGLVQSAYYVCFSLYPGRIPFSYLEDIQMRFMKNYGRVANYAPAYAMNDEFSRVLHQQMEVSSRNHSIDTLNRVKGEIHTIMVDNIEKILERGDRMELLVDKTSTMQDSAFHFRKQSKRLRRAFWIKIFKLLVLLTLLIVLFLYLIIATCCGVTESTTPLQLHHPSLNSRFQFSGGSSSNPNPNRSGGSTSVVVSEKVENNGDFSKAKSDDLSTGNLHFEQNDGSCDDEVVVKKDVKDVDVVKESTGLRIASENEGKSGGSSSLAAGSGSSCPPPPPVPPPVPPPVPPPVPPPKPSAANINSGSIGSSRRASVWPVVSARTSPAVSRPSSPRSHNESDGYNSADEQKPHYVSTYDDLVERERHFVIDIRRAKGYEVKKMMEDGNCLFRAIADQVYGDSELYDLVRQMCIDYMERERDHFSQFITEGFTSYCKRKRRDKEFDIKIYITSGPFSENPYSHDYGNSLNPHSDKKCQLS >OIW01974 pep chromosome:LupAngTanjil_v1.0:LG11:11635350:11635973:-1 gene:TanjilG_14063 transcript:OIW01974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSWSRHNSSTSDSSTSDSGEIVNGENFLGACNQELLAKGSELLKRTRKGNLHWKTVSVYIHRTCQVMLKMKSRHVAGNNTKKKKNVVLDVCVNLAAWPGRHLFDEGEKRKYFRLKT >OIW02853 pep chromosome:LupAngTanjil_v1.0:LG11:624377:626214:1 gene:TanjilG_29629 transcript:OIW02853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVDTSYVLLWTLFPLIAVVYFSFLRHKKCCNRDKKVLPPGDMGLPFIGETMEFFNAQRRNQLFEEFVEPRIQKHGKIFKTRIMGSPTVVVNGAEANKFILSNEFKLVKSSWPSSSVQLMGSDCIMEKDSDKHRYLRGVIGTTLGYAGLEVLVPKICSSVRFYLATNWKGQEKVSLYHSTKVLTFSIVFECLLGINVEPGMLDTFERVLEGVFSPAVEFPGSKFWRAKKARVEIEKMLIKVVRKKRKEMEEKLLSKENGMLLSRLVHGMIQGEISEKEVIDNVVLLVFAAHDTTSIAIAMTFKMLAQNPDCYKKLLQEHVDILNNKKCGENLTIEDTKNMNYTWQVARESMRLFPPIFGSFRKAITDIEYEGLTIPRGWKVLWTTYGTHYNEQYFKDPMSFKPSRFEETIQPYAYVPFGGGPRLCAGYQLAKLNILIFVHYVVTQYDWFLLHPEEPITMDPLPFPSLGMPIRISSKYT >OIW02703 pep chromosome:LupAngTanjil_v1.0:LG11:1706194:1706631:1 gene:TanjilG_29479 transcript:OIW02703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVIPSFFGTGRSLNFLRNPFARPSITNEVSTTLNSHINWKETTEAHVFKVELPGLKNEDVKVEILDRRVVQISGEWSKDNKEKEKKNMLRHMERGGGKFIRRFRLPENANVNQVKACMENGVLTITVQKEEVKKRYVKLVQIQG >OIW02604 pep chromosome:LupAngTanjil_v1.0:LG11:2630355:2633431:1 gene:TanjilG_24055 transcript:OIW02604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKVLSNGISTFLHLSFSGNIYPEPVSKYYRKAEEILNSLKPIIDANHYSDFASDEVLDKLLEALDQTIDELRELIEHWHPLSSKLYFAMQVEPLISRIRALGLNILGQLKGPQECLPDDMGHETSSVIKEAIMEQQESIGPGSEVLAKIAENLGLRTNQAVLIEALALENLKDNAEQTKKTAEAEYIDQLISVVTHMHEHLIMLKQAEYESSIPVPVPADFCCPLSLELMKDPVIVASGQTYERAFINNWINLGLNVCPKTHQTLVHPNLIPNYTVKAFIVNWCESNNVKLVDPIKSTNLNQPSVDNGLVESGLTKLSQVITSDGTNQVGASPSHPLSASEGSLSGMVNGQCVDLVRISSTDSDDRSANTDEGSANSVDQSLMSPSRRESSHAFCSEQSQTHVRTASNSCAISSANFPQGDNNSSPLMSTSPVHGQGASGELNPAPEAATMPILHREPDFPPQLVEPSSRFQIVGRRPLERFVPRIVPSPSIETRADLSGIETEVRKLVENLKSSSLDTQRDATSELRLLAKHNMDNRIVIANSGAISLLVDLLQSTDTVIQENSVTTLLNLSINDNNKTAIANAGAIEALIHVLETGSPEAKENSAATLFSISVIEENKIRIGRSRAIEPLVNLLGNGTPRGKRDAATALFNLSILPENKNRIVEAGAVKHLVDLMDPAAGMVDKAVAVLANLSTISEGSTEIFQQGGIPVLVEVVELASARGKENAAAALVHLCSNNPRYLNIVLQEGAVPPLVALSQSGTPRAKEKALALLNQIRNQRHNGAGRV >OIW02113 pep chromosome:LupAngTanjil_v1.0:LG11:8528446:8529471:-1 gene:TanjilG_26653 transcript:OIW02113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVISKWRDGLVCAANLSGWDCSVNRTELEIVEEIAMDVLQKLNRVDVSDLDHQIKKYEQLAELQHQYFETKPSLENWRNHQATVERITQLKMERNLRLLRLTPEMLSHMGNSTTNTYNYFS >OIW01961 pep chromosome:LupAngTanjil_v1.0:LG11:11822106:11822495:-1 gene:TanjilG_11535 transcript:OIW01961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSSALKFSSFLRLSPFPFHPTLLSCLRRTSPDLRFLSSASSSRRPLKTVQAKRRDEAAAAAAEDGNGSVVVKDSNRNEGGRVVVTELHKEATEAYMAYAMSVLLGRALPDVRDGLKPVHRRILLVLL >OIW01422 pep chromosome:LupAngTanjil_v1.0:LG11:30827654:30829318:1 gene:TanjilG_25718 transcript:OIW01422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFQVKQKKKSTNKWRCAVCNQNQSLRKVFAQGYMAKDIRKFVQEFNFSRKSIDDGEWLLAGTLDPAPEEGEVAVNVAETKDKKTDWTKYLDHHGNQMIQGGQLQQHGDDDSELRVVTELDKSMFKKRRLVENSTGLGGRGSDKLFKKPFPLNSREEQIKDYGRTLAETNAERNNYMRNNNLRSQTCKPATSRAASKWNDYVTDDNDDLNLGKKAAFKDHSNAWNASSVLEAITSDQTVEDYVHPDFL >OIW02253 pep chromosome:LupAngTanjil_v1.0:LG11:6327594:6328730:1 gene:TanjilG_15136 transcript:OIW02253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESTTSDSLSITRSPTTRLLPFTITSNKMSLSPSNHLCCVGSNVNATIESEDGSGTEEESRKLPSSKYKGVVPQPNGRWGAQIYEKHQRVWLGSFNTEDEAAKAYDTAAQLFRGKDAIKNFKLLGGAAVEDGGNDDIETEFLNSHSKSEIIDMLRKHTYNDELEKSKRSRGINEQCLSRGNAATNGGGVVYLSSACGNKAREKLFEKTVTPSDVGKLNRLVIPKHHAEKHFPLQNGVNGIATEKGVLLNFEDGSGKEWRSTELDKRMYIDCKDNEVGFVEPVQMVRLFGVNILKLHGSDANGDNDDNNATTIGGWCHGKRRKMEVITLECTKKPKIIGAL >OIW02869 pep chromosome:LupAngTanjil_v1.0:LG11:494920:503380:-1 gene:TanjilG_29645 transcript:OIW02869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVVGFDIGNENCVIAVVKQRGVDVLLNDESKRETPSVVCFGDKQRFLGSAGAASALMHPMSTISQVKRMIGRKFVDPDVQNELKMFPIETSKGPDGGILIHLKYLEETHTFTPVQILAMLFAHLKTITEKDLGTTVSDCVIGVPSYFTDLQRWAYLNAASIVGLKPLRLIHDCTAIGLGYGIYKTDFPTTDPVNVAFIDIGHCDTQVSIAAFRAGKMKILSHAFDRSLGGRDFDELLFGHFAAKFKEQYSIDVSCSAKACTRLRAGCEKLKKVLSANSEAPLNIECLMDEKDVKGIIKREEFENLASGLLERICIPCNKALADAGLTAEKISSVELVGSGSRIPAISTLLTSVFKREPSRTLNASECVGRGCALQCAMLSPIFSVKEYEVQDSIPFSIGFSSDENPIYTGPNSVLFPKGQTIPSAKTLTFQCKNFLHLEAFYVNPNELPPGTSPKISSFTIGPFHGSHGCKTKARVQFQLNLHGIVCIESATLIEERVDASVTTDDHHSNFEATDTELNSKTVVNDTEDTVNKRCGSPHASAADGTREDKTNRRHHVEVSENIYGGMTKDEILEAQQKELQLTEQDRTMELTKDKKNSLEAYVYEMRPKLFNTYRNFASDQERDDISRGLQETEEWLYDDGEDETLHVYSAKLEYLKQLVVPIENRYKDEEARAQATRDLLSSIVERRMSASSLPLQNKEQIIIECNKAEQWLREKMQQQDCLAKCSDPVFWSSDIQSKTEELNLYDFPFCFPFQTVSIAAFRAGKMKILSHAFDRSLGGRDFDELLFGHFAAKFKEQYSIDVSCSAKACTRLRAGCEKLKKVLSANSEAPLNIECLMDEKDVKGIIKREEFENLASGLLERICIPCNKALADAGLTAEKISSVELVGSGSRIPAISTLLTSVFKREPSRTLNASECVGRGCALQCAMLSPIFSVKEYEVQDSIPFSIGFSSDENPIYTGPNSVLFPKGQTIPSAKTLTFQCKNFLHLEAFYVNPNELPPGTSPKISSFTIGPFHGSHGCKTKARVQFQLNLHGIVCIESATLIEERVDASVTTDDHHSNFEATDTELNSKTVVNDTEDTVNKRCGSPHASAADGTREDKTNRRHHVEVSENIYGGMTKDEILEAQQKELQLTEQDRTMELTKDKKNSLEAYVYEMRPKLFNTYRNFASDQERDDISRGLQETEEWLYDDGEDETLHVYSAKLEYLKQLVVPIENRYKDEEARAQATRDLLSSIVERRMSASSLPLQNKEQIIIECNKAEQWLREKMQQQDCLAKCSDPVFWSSDIQSKTEELNLVCQQILGSKGSPTSEDMQNTPNHQ >OIW01102 pep chromosome:LupAngTanjil_v1.0:LG11:35672792:35681479:1 gene:TanjilG_25210 transcript:OIW01102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEGVTKRVLSLMVDNNHKVASLPSSEDFMFLGAIKQYLCLSLLKNSASTLMIVFQLSCSIFISLVSRFRAGLKAEIGVFFPMIVLRVLENVAQSDFQQKMTVLRFLEKLCVDSQILVDIFINYDCDVNSPNIFERMVNGLLKTAQGITTTVLPPQEATLKLEAMKCLVAVLKSMGDWMNKQLQIPDFHSVRKVEAIDNGHDVGGLPMANGNREEPVEGSDTHSEISSEASEVSTIELRRAYKLELQEGISLFNRKPKKGIEFLINANKVGNSPEDIAAFLKDASGLNKTLIGDYLGEREELSLKVMHAYVDSFDFQGMEFDEAIRAFLEGFRLPGEAQKIDRIMEKFAERYSKCNPKVFSSADTAYVLAYSVILLNTDAHNPTVKKKMSADDFIKNNRGIDDGKDLPEEFLRSLFERISRNEIKMKDVDLAPQQRQAVNSNRLLGLDSILNIVIPKRGEDSHMETSDDLIRRMQEQFKEKARKTESVYYAATDVVILRFMTEVCWAPMLAAFSVPLDQSDDDIVTALCLEGFRYAIHVTSVMSMKTQRDAFVTSLAKFTSLHSPVDIKQKNVDAIKAIITIANEDGNYLQEAWEHILTCVSRFEHLLLLGEGAPPDATFFAFPHNDSEKAKQAKSTILPVLKKGPGRMHYAASTVMRGSYDSAGIGSNTSGAVTSEQVNNLVSNLNMLEQVGSSEMNRIFTRSQKLNSEAIIDFVKALCKVSMEELRSPSDPRVFSLTKIVEITHYNMNRIRFVWSSIWHVLSEFFVNIGCSENLSIAIFAMDSLRQLSMKFLEREELANYNFQNEFMKPFVIVMRKSSAVEIKELIIRCVSQMVLSRVNNVKSGWKSMFMVFTTAAYDGHRNIVLLAFEIIEKIIRDYFPHITETETTTFTDCVNCLIAFTNSRFNKEISLNAIAFLRFCAIKLAEGDLGSSSRTKDKEIPGKNSLSSPQTGKDKQDNGEVTDKDDHLYFWFPLLAGLSELSFDPRPEIRQSALQVLFETLRHHGHLFSLPLWERVFESVLFPIFDYVRHTIDPSGSSSLVNEVETDGELDQDAWLYETCTLVLQLVVDLFVKFYNTVNPLLRKVLMLLVSFIKRPHQSLAGIGIAAFVRLMSNAGELFSDEKWLEVVLSLREAANATLPNFSFLDSVDSVSRNHEHASTAADDRDTAESGSPDNSSRHLYPYLSDAKCRAAVQLLLIQAVMEIYNMFRSQLSARTILVLFDALHDVAFHSHKINSNIILRSKLLEFGPMTQMQDPPLSRLENESYQICLTFLQNLVVDKPPSYEEAEVETHLVRLCYEILEFYIEIASSGRVSESSHGRQQHWLIPLGSGKRRELAAHAPLIVATLNTIRNMGDISFKKNLAHFFPLLSSLISCEHGSTEVQVALTDMLSLSVGPVVLRTC >OIW01461 pep chromosome:LupAngTanjil_v1.0:LG11:30103985:30115157:1 gene:TanjilG_30935 transcript:OIW01461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPEPIPWDRKDFFKERKHERSESLGSVARWRDSSHHGSRDFNRWGSSNFRRPAGHGKQGGWHVLPEESGRGYAHSRSCDKMLADDNFRPVVSRGDVKYSRSSRENRGSFGQRDWRERSWETSNGSQNLPKRQLDANNDQRSVDDDMLTYSSHPHADFVNTWDHHHVKDRHDKMGDLNGLGTGQKCDRESSLGSIDWKPLKWTRAGSLSSRGSCFSHSSSSRNFRVADSYEGKAELPHKSTAGIELPLGEAAACVTSSAPSEDTDSRKKPRLKWGEGLAKFEKKKVEGPDENSDKDGPILFTSSLEPYNALCPSVVDKSPKVTGFSDCASPATPPFVTRSSSPEGVDDRLFLKASNVDNDVSNLCGSPGPGSQDHLQKFCFNLEKLDNGSLASLGSPLNELLHLDDLSSMDSSLVRSTAMNKLVTWKADISKVLEVIETKIDSLENELKLLKSESGGRFPCPVAASSLLVCYNAKSSDGHFGDSDRVTCPKPVHIVSSDDLNHEKMPLSTNFHGIRGNGKEEDINSPGTATSKFMEPLSLVNAVSLSDVGRNDTCAGDLDASQPTAVQFLVPCTQRQVVSVSACGDSCTSMGGVMDANTGASLCSITEDILYNTIFSSNKECANTAYEVFAKLLPKECGNIEATSGSCSHNGSFIMERFAAKKRFARFRERVITLKFKALHHLWKEDMRILSIRKCRLKSHKKVELGVRTVSSGQKSRSSIHSHFPWPVAAGNHVSLVPKSEIISIARKLLSESQVKVQRNNLMMPALILDQKSKFISSNGLVEDPLAVERERAMINPWTSKETEVFLEKFENYGKDFRKIASFLDHKTTADCVKFYYKNHKSDCFKKIKKRDCGKLGKSFSAKTNLMESDKRWNCKMNAASLDILSAASMMVDSIAGNQKMHSRGLLLRGLGRMKASRIKESIAERSSGFDFLQDERVTVAADVLAGICGSLSSEAISSCTSSVDPLEGNKNVKCLELSPLCQQPEIPDVTQDIDDGTFSDESGEEMDPTDWTDEEKAVFLQAVSSFGKDFAMIAQCVGTRSQDQCKVFFSKARKCLGLDLMHPIPENLGSLLNDHTNGGGSDTDDACVVETGSIIGSDKLGTKTDELHLSVMNTNCDVSYPVQAWNMSTDINESEKVNGAEVHHDHVNMVSDAYVIKGKSKLTDDGNKVGLYSSDASGSVMGQKAIIMSDSTEIGKDKNEMEGAVSELASATNIIVPCHCNSDAEVSSGSHENELEGLRVSSPQCLIDRDNKHEAALNALACSRLSFDVESQSQLSLEKSHIPGLSMESRHVATNSLLQNAATAARCEKAASQDQLSCTCDFQESGDTCCHNSTINGGHQLHNPGELLDHVEAASILQGYSLQVPVKKEVNVDTRCSGSSSELPLLTQKIEQADDHYKTKLESLSDSEKRSTNGDVKLFGKILRIPSSTGKPNLTTKGSEENVTHHPMLSSSSSNLTFVGHNNSDGTSSILKYDHNDHTGHLENVPIMSYGYWDGNRIQTGFSSLPDSAVLLVKYPAAFSNYPAYSAKSEQQSLKTLAKNNEHHINGASTLTTREANGNNGVTDDKMCRNSDGPIVQPLMVDVKHHKDVFSEMHKRNGFEAISRLQRQGRGVINGVGKPGTVVVGGVSDPVAAIKIHYSNSGGHTGSITREDESWGGKEEAGSSRCSV >OIW02548 pep chromosome:LupAngTanjil_v1.0:LG11:3268823:3273263:1 gene:TanjilG_12862 transcript:OIW02548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASDSNPFSEIWTQISEKERVRVKRKTLQTVLEQCQRALELINASDNKDVSDCETIEPDQVPRPLDIEADQLCDLLKSRVECPDFLEKLEYAQASVSQNIAEEVNSWDLVSENDLWESGDVDSDQEDYVLVRQEDIVEGIACFMAAYLLSLKQTKDLTPNQLQDALSKTFSMNKKKGKLQKAWDGSKVIYNVASWGATAIGIYQNPVIVRAATKAFTTSCQVISKLL >OIW02210 pep chromosome:LupAngTanjil_v1.0:LG11:6812650:6818782:1 gene:TanjilG_31959 transcript:OIW02210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTFESRSGHIEFNSQTDSFSLGDGGSNALIMPAKKAKKRKGMEQARGKVQSNKKQKLSKPQKRKLKKLESDKEKQLLLEKSIKTLNENTLPEFAYSLLQSSCDINRAETLREKRLRDVQLLKQGLEVPHDDDDEQPQDADFPCTIESEAEEIHLAHEPEENDIVQPIRAERKLLNTSVPLESSQEPVCGHEDVNHKSVTEPQPNDSIEKRPDEIKSSSPIFCSNDGIKRTESKDTTDKNPNSNLKGLTDLSAQRPSTTPTIVHVYRPTEVEEKRKDLPIVMMEQEIMEAINDHSNVIICGETGCGKTTQVPQFLYEAGYGSSKSHVHSGIIGVTQPRRVAVLATAKRVAYELGLRLGKQVGFQVRYDKRIGDNCSIKFMTDGILLREVQNDILLRRYSVIILDEAHERSLNTDILIGMLSRVIKTRLKIYNEQQKMILSGHSISPEEMVFPLKLVLMSATLRVQDFTAGRLFHTSPPVIEVPTRQFPVSVYFSKRTEKTDYIGEAYKKVLAIHKKLPPGGILVFLTGQREVEELCRKLRKASKEFIMRNVKGPVENNSGTMVQETNTVGGISINEINEAFEIPESSAIQQTDRFSGYEEDEGDIDENESDFSYNSETESELEFNDDDEHSENKSNIVDVLGQEANLASLKAAFENLSGQAPLSSLNVEQTLSVNTEGGLDQSKVTGEKRARENSNTSPGALFVLPLYAMLPAASQLRVFEEVKEGERLIVVATNVAETSLTIPGIKYVVDTGREKVKNYDSSNGMETYEIQWISKASAAQRAGRAGRTGPGHCYRLYSSAAFNNEFPEYSPAEVEKVPVHGVVLLLKSMHIKKVANFPFPTSLKAASLLEAENCLKALDALDSKDELTLLGKAMAVFPMSPRHSRMLLTVIKNTRHLHKCNPNLLLAYAVAAAAALSLSNPFIMQYEGSDGSRDSETHEKSGMGDSEKDFDKKEKSKRKKLKETAKVAREKFRVVTSDALSIAYALQCFEHSQKSAEFCDDNALHFKTMDEMSKLRQQLLKLVFYQSSKGGFEEYSWTHGTLEDVEQAWRVSSKQYPLSVVEERLICQSICAGWADRVAKRVAASSRASAEERSSRALRYQSCMVEESVYVHRWSSVSIVLPEFLVYNELLEIKRPDKEGIASATRAYMHGVTSVEPAWLVEHAKSSCIFSPPLTDPRPFYDAQTDQVKCWVIPTFGRFCWELPKHSSPVSNDDFRVQVFAYALLEGQVCPCLKSVRKYMSALPESILKREAFGQRRVGNLFSKLRTRRIDSSAMLRMVWKDNPKELFSEVLDWFQQSFHKHFEELWLQMLSEVLLEAQEPQHKTSKQKLKGKSKSLK >OIW01857 pep chromosome:LupAngTanjil_v1.0:LG11:15982005:15986357:1 gene:TanjilG_07152 transcript:OIW01857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAASSSTPFLGIRQENQSQITQHQPSTADPDAEVIALSPKTLMATNRFICEVCNKGFQREQNLQLHRRGHNLPWKLKQKTTKEPKRKVYLCPEPTCVHHDPSRALGDLTGIKKHYSRKHGEKKWKCEKCSKKYAVQSDWKAHSKTCGTREYRCDCGTLFSRRDSFITHRAFCDALSHETARHPSNLNPLGTHLYGTTNHMSLTLSQVGAQISHLQNQNQETSNSILSLGNASLAAPKFEHMLSPLHHQQQSSYGVFQSPHSMPNNSSAGFFMNDPNQGFHQELQSHHQQGTISSHKQLYSLMQLPNLQGNTNNNNTTSAPTNMFNPSFFPNSNNSSGSIISDQFNNQGTTLYTNDSPSNHHFGSGSLSSLFGNSSLQQETMSPHMSATALLQKAAQMGSTTTNTNASTMLRGLGSSSNNGVESFGSDSREEGIRSSMENDQHHHIHGFMNSLANGNNSIYGNMKGNESNLSQFHNVEEPNKLSQNLGVCFGGSDKLTLDFLGVGGMVRNMSGRFSQREQQHSLDSMSSLDPKMENQSFGSSAPQ >OIW01084 pep chromosome:LupAngTanjil_v1.0:LG11:35486827:35496912:1 gene:TanjilG_25192 transcript:OIW01084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGFSFGSSSSPSPFSFTNNSSSSSSSSSPFSFSSSSSPSTAFSFASSSSLFSSSTTNPTSAAAAAATPAAPSFGFGATPSSFGFGSTPAASASAPASSLFGSSSAASSGSNLFSTSTPSTTPFGGTSISSTTTSFGVSSPASNLFGGTSSSTTPFGGTLVSSTTTPFGASSTTSPFGASSATTAFGASATTTPFGASSTTTPFGASSATTPFGASSATTAFGATSSASTLFGGTASSTTPFGVSSSAATTKPFGGTSSSTTPFGGTTTAATNLFGGSTSATTTTPFGGSTSATTTTPFGGSQTTPFGGTTTAATTLFGGSTSATTTTPFGGSASAAATTTFGGSLAPASNTTPSFSTTPFSAAFAKPSAPATNASSATATATTQSSTFGFSLGATNSSASQPSFGFTTNAASASASTPASVSVSATQASAASSKPSSFTFNTSSAPLFSTVTTTTATTPATSGTVGSASVPAFGVTASSAASAAATSASAASGGSSFAGFGVGSSASTASTASFGSGFAFTNKASGTSTPAVSSITAPAFAASTSASSAPAISSASTSATQTSSSLVVASTKGTTSTVSTSVIAAPKLPSEITGKTVEEIIKEWNAELQERSGKFRKQANAIAEWDRRILQNRDVLLRLEIEVAKVVETQSNLERQLELIETHQQEVDKALLSMEDEAERIYKDERGFLLDDEAASTRDAMYEHSELIERELEQMTEQIKSIIQSLNSNQGGELDALDGVSPLDAVVRILNNQLTSLMWIDEKAEEFSSRIQKLPNQASGSASASNGELTGPRHWKF >OIW02500 pep chromosome:LupAngTanjil_v1.0:LG11:4695592:4698330:1 gene:TanjilG_05093 transcript:OIW02500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSSDEEDDRHNLINQNERTNPRTSPSTAATFHLQDFTSRSPRFNFNLQKRYILAILALILLLVLFFTVTDFHSATNLSSFRFDSLTDRMKESELRAIYLLREQQLNLLTVWNRTFQSNASYSNLEDLKSAMFKQISMNREIQQVLLNPHKLGNSVEPEFDFGNPDLSDLSYDRCRTVDQNLTQRRTIEWNPKNNKFLLAICVSGQMSNHLICLEKHMFFAAVLNRILVIPSSKVDYQYDRVIDIDHINKCLGRKVVISFEEFSKIKKDHLHIDKFLCYFSLPQPCYLDDERVKKLSSLGLSMSKPQSVWEEDIRKPKVKTLQDLLAKFTYDDDVMAIGDVFYAEVEHEWVMQPGGPIAHKCKTLIEPSRLILLTAQRFIQTFLGRNFIALHFRRHGFLKFCNAKKPSCFYPIPQAADCILRVVERADAPVIYLSTDAAETETGLLQSLVVLDGRPVPLVIRPPRNSAEKWDALLYRHGIEGDSQVEAMLDKTICAMSSVFIGAPGSTFTEDILRLRKDWGSASLCDEYLCQGEEPNVIAENE >OIW01866 pep chromosome:LupAngTanjil_v1.0:LG11:15640117:15648326:-1 gene:TanjilG_07161 transcript:OIW01866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKSNSGFDYDDMNSALNQHAISFQFGDGMSSLSEMVPMSNYFGLKNGSSGMMYSVNSSTINNNPMIAEACSTRGSSLVHDSVPGLKHDAGLAVEWSVDEQYKLEESLVQYADEPSILKYIKIAASLRDKTVRDVALRCRWMTRKRRKQEEKNLVKKFNNRKFL >OIW02859 pep chromosome:LupAngTanjil_v1.0:LG11:577085:580464:-1 gene:TanjilG_29635 transcript:OIW02859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFSNHLRNLNTIQRILLQSSSNATQRCFSKLPHHHPHTTPKSSLKDNELAKFAAISETWWDSEGPFKPLHVMNPTRLAFIRSTLCRHFKRDPNSVRPLEGLKIVDVGCGGGILSEPLARMGATVTGVDAVEKNIKIAQLHADMDPTTSNIEFCCTTAEKLVEQGRQFDAVMALEVIEHVAEPADFCKSLSALTIPEGATVISTINRSMRAFATAIVAAEYILGWLPKGTHQWTTFLTPMELVLILQQAGINVEEMAGFVYNPLTGRWSLSDDISVNFIAFATKNNA >OIW01878 pep chromosome:LupAngTanjil_v1.0:LG11:14946077:14949448:1 gene:TanjilG_31060 transcript:OIW01878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNATTRGYFNSAQAIADYADVLLHVKKTLSAQHSPIIVFGGSYGEMLTSWFRLKYLYIALGTLASSAPILYFDGIAPHHGYFYVVTKDFKDTSETCYNTIGKSWYVIDRVAKNPNGLSILSKRFKTCKKLSTSSNLKDYLGSVYSGVAQYNDPNENTLEVICNSIDGAANKTDVLGQIFEGVVAYDSEKQPCYEMEGSEEPSEIDIGWAWQTCSEMVMPIGIDKEDSLFQPDPFNVKEFVNYWSHCLDLGSENPSDPHWLITQRNTEVRIIKSWIDKYQADLRNSTK >OIW01217 pep chromosome:LupAngTanjil_v1.0:LG11:33669944:33672293:-1 gene:TanjilG_10378 transcript:OIW01217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMFENLDDGEFWLPPQFLSDDENNNNNNFESKNKKHNPNNVVVDEAMFRSEFPFGFFGFPSSNLSSPLGGSSETESDEEEQHQIAEFNRLMTRSNLEHDFNNTIKPMGKLVSGSPQSTLCAFGIGCGGCKKCSSQGSPNSVCKLSSARATWDLLHAAAGKVERMRLNQEETYPFQNGPFVSQRKTSSDVAAFYTQQSLSHQQLQIAQFEMLRQQQMVKPQNNGSSSVWGVYQQQRQSKNNNQMVVPNRGGRNNNNTNNNRTLGLSASAWPSLQHAKQIQNQQQQQHQQFGSSNGMRAVFLGGGRRECAGTGVFLPRPAESRIKPACSTALVPDRVVQALNRKMEDMVGGQQQHLHRFNAPSNMEKAAFPRLGRNYGFSQQKSSLMPQPAMNHEIRLPQEWTY >OIW02547 pep chromosome:LupAngTanjil_v1.0:LG11:3254899:3266447:-1 gene:TanjilG_12861 transcript:OIW02547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNKSVEPQGNGNGGASLPSSNSDPQPGPPEHMRSHSAHDNSVIKSGALFISSKGIGWTSWKKRWFILTRSSLVFFRSDPNAVSQKGSEVNLTLGGIDLNNSGSVVVKADKKLLTVQFPDIRDGRAFTLKAETTEDLYEWKNALEDALAQAPSAGNLKGQNSFSRNDDIDSFDISMDQLKEVKDRREPMKSTVTGRPILLALEDADGTPTFLEKALRFIEDHGAKVEGILRQAADVEHVKHRVQEYEEGKVDFLEEEEDAHVIADCVKYVIRELPSSPVPASCCKALLEACRTGRANRVSAMRIAIWDTFPEPNRYLLQRILLMMQAVASHKAVNKMSSSAVAACMAPLLLRPLLAGDCEIENDFDVGGGDDSIQLLQAAAAANHAQAIVITLLEEYSSIFGEGSEYPGPEMFTDSEESGSESEEATDDDDYYDDDEEDESIQESDDEEDIVSQTDSETGDSMANDEYDDKDNGLSRSSSTSSKVSEDLEVDQILSSTSLEVSPPQCEDVKSCENVTSPIKTAYANESSMHIDIVGDLSTDQTTMHNSNCSSPSCMEKSIAMPNEPATRRCTIFGRTAARKNLSMECVNYPDEDEAEIERLEDLKTELQNQITEEVKENTKLQSDVEKRKKALQERHLALERDVARLQEQLQKEKSSRATLEAGLKFPQKPLSDLSSIDEKTKADLEELVLIEVDLANLEQKIDDLGVRLNLQLERNYGPILDCFIQPQQISNHERNLKNKPDTEVTATLQYERSTSKDTYFAGAGNLSERRAESKTKSLSNKHPPTSKKSGTRGEGANSTTSALTKLSSKLNFLKERRSSEGHESPKLEKVSELLLPLPSPKKSRGYEFHLPPPSPSKSRGYEFYLPLLSPKSSKSRGYEIHSPRGPGKEGESLQHPEKFRKSDSQPGHHPDRQNQHPPLYLRRGKSEGHHHS >OIW02047 pep chromosome:LupAngTanjil_v1.0:LG11:9613838:9614593:-1 gene:TanjilG_21096 transcript:OIW02047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISLGRGFYEFSFSSIDDMRSVYVVGSWNLKLGLIRLFLWTPDFNPSIQKLSHAQCWVKLVGLPPEYWSSRILFSIAGGIGVPISLDEATNNRSFGHFANVLVDINLKATLPEQILVEQEGFSFFVSIEYKNLPDLCSGCHYISHLVSNCRKMAKNDGAEEVSKIKNPSTSKPAQSGKDIDIYVHLEIDREANIPEGIEKVTANATRVITDSSEKDINDSSDEDIMETRVEESNLSPIASAKKSGRGGQSS >OIW02414 pep chromosome:LupAngTanjil_v1.0:LG11:3824142:3829735:1 gene:TanjilG_05007 transcript:OIW02414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSKKIRRKPKLDRRNAVKNFDYEGGSEDVSSSGSVLYTRSMDFYDRTSFRVEGVEGEFDRICQSLGLSGPEDFSIPVAVWEAMRFRSSSDVLPRLKQIELEDAEKNEEIEVMGVELSDKFEDKVSIRDIGDCVQAETAETSGSSGGGIKGFRPPMLKPPPGIRISAMDNDSSTWDLLRDFAPQGEGGETENSVLNGDDNEVEEEKAKEEEEEKEECGKREEEENEARFVEIVEENEARFAEIVEELSVSGSCSFTTSHEDDSCSSSTGPRSNNISPNERFRRTITNWQKGDLLGRGSFGSVYEGISGHKFQVLQVSTTSSLISVGHYCRDGFFFAVKEVSLLDQGSQGKQSVCQLEQEIALLSQFEHENIVQYYGTEMDESNLYIFIELVTKGSLASLYRRYNLGDSQVSYYTRQILHGLKYLHDRNVVHRDIKCANILVDANGSVKLADFGLAKATVKLNDIKSCKGTSFWMAPEVVKRKNQGYGLPADIWSLGCTVLEMLTGKIPYSHFEWMQALFRIGKGELPPVPDSLSRDARDFILQCLKVNPDDRPTAAQLLNHSFVQRPLSQSSGSASPYIPRRRV >OIW02889 pep chromosome:LupAngTanjil_v1.0:LG11:382943:384421:1 gene:TanjilG_29665 transcript:OIW02889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYYKVVYYLFIAYILLGRSCTCKKIPAIIVFGDSSVDAGNNNYISTILKSNFEPYGCDFDGRKPTGRFSNGKLPTDFISQALGIKSLIPAYLDPKCNITDFATGVSFASAGTGYDNATSDLFSVIPLWKELEYYKEFQRDLRSYLGHEKANQVLSEAIYIISVGTNDFLEKYSVLSKWTSEYSVENYEQYLLSIAENFIAKLYKLGARKIAVAGLPPMGCLPLERTKNFMFGSGCVEEQNNLVKDFNEKLKASVAMLKHNLVGIKLVLSDTYDILWNIIHNPDSFGFADVRKACCGTGLFEIGYMCDKLNIFTCWDPNNHVFWDALHTTEKTNRIVADDLIKNYLSEFL >OIW01695 pep chromosome:LupAngTanjil_v1.0:LG11:20107571:20108299:1 gene:TanjilG_01202 transcript:OIW01695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFDSSFSQHQTLFSSKPFSWENFFFFNEENNTTLPFNFKESSSYSNSSLSLSSTESQEVSSNSQVLKDTQPPSSYSSTPPKDQSSNKHKRPFRGVRSRPWGKFAAEIRDSTRNGVRVWIGTFDTAEAAALAYDQAALSTRGAMAVLNFPEQVVRASLKDMTYNNNNNNNPNLEDDCSPVLALKRKHTMRRKCKSSGDSNNKRTKRGDRGLEMVSKNVLVLEDLGSDYLEQLLSFTSSQVIW >OIW01590 pep chromosome:LupAngTanjil_v1.0:LG11:23928924:23931332:-1 gene:TanjilG_20490 transcript:OIW01590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTHLENNSCTELVPSRDLNLIIEPGRSLIANTCCLVNRVRGVKTSGSKNFVIDGSMAELIRPSLYDAYQHIELISPAPENAEIANFDVVGPVCESADFLGKDRQLPTPDKAGPFGAPDRAH >OIW01883 pep chromosome:LupAngTanjil_v1.0:LG11:15069201:15086796:1 gene:TanjilG_31065 transcript:OIW01883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQPSRVLPSLQSIKSLPPTFRTTGNQTPDFAEKQGDLKLRRTDVNGSSSAENGALVEEVSEEVVRVDTGDSGLFNEDLAYSRKGVSLEGRPSIADEGVDSVPLAFPSISMSSGERRWSDTTPYASKKSSLFQKLQSWFQLPNGHWELGKIITSSGTESVISLPDGQVLKVKEESLVQANPDILDGVDDLMQLSYLNEPSVLYNLHYRYCQNMIYTKAGPVLVAINPFKTVPLYGNDYIEAYKRKAIESPHVYAIADTAIREMIRDEVNQSIIISGESGAGKTETAKIAMQYLAALGGGSGIEYEILKTNPILEAFGNAKTLRNNNSSRFGKLIEIHFSETGKISGANIQTWKLNLRNAEDYKYLRQSNCYSIAGVNDAEEFRIVMEALDVVHISKGDQESVFAMLAAVLWLGNISFAVIDNDNHVQAVEDEGLFNVAKLIGCDIEDLQLTLSTRKMKVRNDKIVQKLTLTQAMDARDALAKSIYSCLFDWLVEQINRSLAVGKRRTGRSISILDIYGFESFNRNSFEQFCINYANERLQQHFNRHLFKLEQEEYIQDGIDWAKVEFEDNQDCLNLFEKKPLGLLSLLDEESTFPNGTDLTFANKLKQHLSSNSCFKEERDQAFTVCHYAGEVSYDTKGFLEKNRDLLHLDSIQLLSSCTCHLPQIFASLMLTQSEKPIVGPLHKSGGADSQKLSVGTKFKGQLFQLMQRLESTTPHFIRCIKPNNLQSPESYEQGLVLQQLRCCGVLEVVRISRSGFPTRMSHQKFARRYGFLLLESVASQDPLSVSVAILHQFNILPEMYQVGYTKLFFRTGQIGVLEDTRNRTLHGILRVQSCFRGYQVRCSLNEFRGGITTLQSFIRGHKIRKAYAALLQRHRAAVVIQKWIKAVYARNRAKAISDASIVIQSVIRGWLVRRCSGNIGFLKSLDMKTNGSGEVLVKSSFLADLQRRVLKAEAALRVKEEENDILHQRLQQYESRWSEYEMKMKSMEEVWQKQMRSLQSSLSIAKKSLAIDDSERNSDASVNASDERDYSWEVGSNHKRQESNGTRSMSAGLSVISRLAEEFEQRSQVFGDDAKFLVEVKSGQVEANLNPDQELRRLKQMFEAWKKDYGTRLRETKVILNKLGSEDGALDKMKRKWWGRRNSTRIT >OIW02589 pep chromosome:LupAngTanjil_v1.0:LG11:2727415:2728071:-1 gene:TanjilG_24040 transcript:OIW02589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESASGGASPSSTLPLDATNMEAPPVSSAAVGSSQPPSRYESQKRRDWNTFLQYLRSHKPPLTLARCSGAHVIEFLKYLDQFGKTKVHVIGCPYFGHPKPPSSCACPLRQAWGSLDALIGRLRAAYEENGGRPESNPFGTRAVRIYLKEVREGQAKARGIPYDKKKRKRTAMVAAVRGSGAAVSGGGVNDDHKETSTGASVASVSTAPLGLTTSTTTV >OIW01603 pep chromosome:LupAngTanjil_v1.0:LG11:23280582:23282173:-1 gene:TanjilG_08784 transcript:OIW01603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEEGSSVTLDMLKQIMAQFAKDRNWDQYHSPRNLLLAMVGEVGELSEIFQWKGEVAKGLPDWKEEEKIHLGEELSDVLLYLVRLSDMCGVDLGKAALRKVELNAIKYPAKIIKEEEVSSKHEEKNVSGKRVFDSI >OIW01414 pep chromosome:LupAngTanjil_v1.0:LG11:30929335:30931133:-1 gene:TanjilG_25710 transcript:OIW01414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGDMNKKSMAFVAKTISTKLHVPLLQYRFLCSNSSPPSTNKLFVGGLSWSVDEKSLVDAFSSFGDVTEVRIVYDKDSGRSRGFGFVIFSNEDNAKCAKDAMDGKALLGRPLRINFALEKARGSPVVVPRLSDIGHLKRH >OIW01126 pep chromosome:LupAngTanjil_v1.0:LG11:35839297:35846801:-1 gene:TanjilG_25234 transcript:OIW01126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKEEVVEFLGSVPLLQRLPSSSVVKISQLVVLKHYEPGEYVVREGEPGDGLYFILKGEAEVVGTVSDNDQDHPEFQLKRYDYFGFVLSNAVHHADVIAVTKLSCLVLPHEHSTLLQPKSIWNAEESLETSSPMENILHLEPIEVDIFQGITPPYAPKFGKVFGGQLVGQALAAASKSVDYLKVVHSLHAYFLLVGDYNMPIIYRVNRLRDGKSFATRKVDAIQKGNVIFTLLASFQKEETAFEHQEVNIPSVPPPHMLLSMEDLRQQRLTDPRLPRTYRNKVATSEFIPWPIEIRFCEPKPSTNQTKSPPSLKYWFRAKGKLSDDQALHRCVVAYTSDLVFLQVSINPHRQKGLKTHGVSLDHSMWFHRPVRADDWVLFVIFSPSAYNARGIVSGQMFNQKGELLVSLMQEGLIRKANSAIKSKL >OIW02228 pep chromosome:LupAngTanjil_v1.0:LG11:6732687:6734398:-1 gene:TanjilG_23936 transcript:OIW02228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKSRTSSTTIHLSLIVAIVIGNVVFADDNVPIPGNKAQLDDWYKKNVQPLAQRKDTLDPAVIAAEGATSVIKVMQDGSGKFKTINEAIKSIPKGNTKRVIIYIGPGTYKEKIKIEREKPFITLYGAPGKMPNLTYGGNALKYGTVDSATLIVESDYFVAANMIISNSSPKPNPKTQGGQALALRISGDKAAFYKVTLLGFQDTLCEDAEWHIYKDCFIQGTVDFIFGNGKALFLNTELRVMGDSGMSVITAQGRDKKTDDTGYSFVHCDITGTGTRTLLGRAWMSKAIVVFAYCNIGSGVNDTAWSNNNHPEYDKALFFGEYKNKGPGADPKGRYKHTKQLTDAQVKPFITLDYIKGSKWLLPPPTPKV >OIW02673 pep chromosome:LupAngTanjil_v1.0:LG11:1915565:1916008:1 gene:TanjilG_29449 transcript:OIW02673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSPKLTTLLFLFCISLSSIVEATTSSSKLDTEIKCGSCPCGNPCDEQLPPPPPPPPQNPPPQPQPLPEISSPENCDLPPPLLSLPPPPPPPPPSRPPPTPPPPPRFIYITGVPADAYNYDSAAQNRVVGLLVLACLEALLVTMLFG >OIW02639 pep chromosome:LupAngTanjil_v1.0:LG11:2395339:2398685:1 gene:TanjilG_24090 transcript:OIW02639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFQKIKVANPIVEMDGDEMTRVIWKSIKDKLILPFLELDIKYYDLGLPYRDETDDKVTVESAEATLKYNVAIKCATITPDEGRVKEFGLKQMWRSPNGTIRNILNGTVFREPILCKNIPRLLPGWNKPICIGRHAFGDQYRATDSVIKGAGKLKLVFVPEGQSEATELEVYNFTGEGGVALAMYNTDESIRAFAEASMTTAYEKKWPLYLSTKNTILKKYDGRFKDIFQEVYEAGWKSKFEAAGIWYEHRLIDDMVAYAVKSDGGYVWACKNYDGDVQSDFLAQGFGSLGLMTSVLVCPDGKTIEAEAAHGTVTRHFRVHQKGGETSTNSIASIFAWTRGLAHRAKLDDNAKLLDFTLKLEAACIGAVESGKMTKDLALIIHGSKLSREHYLNTEEFIDAVANELKTRLSA >OIW02788 pep chromosome:LupAngTanjil_v1.0:LG11:1086709:1088151:1 gene:TanjilG_29564 transcript:OIW02788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKRKLRSSDSEPAKQPEPESPSQQQQQTLGGEGLEQEQQSVEEYQHIPIEEEEEEPQEDETLEDQNQEHDAQRSNDASELVNVEVNGDDNNNNEEEEEEEDLELEDEPVEKLLEPFTKEQLHSLVKQALEKFPDFVENVRHLADVDPAHRKIFIHGLGWDTTAETLISVFSKYGEIEDCKAVTDKLSGKSKGYAFILFKHRSGARKALKQPQKTIGNRTTSSQLASAGPVPAPPPVAPAVSEYTQRKIFVSNVSADIDPQKLLEFFRQFGEVEDGPLGLDKQTGKPKGFALFVYKSVDSAKKALEDPQKQFEGHVLYCQKAVDGPKGKQGYHQQPHHHHNQQQHHSHHHHQPHYQRKERNKYTSGGPAHGGGHLMAPSGPPVGYNPGVPGVQGLNPVLGQAISALLTTQGAGLGLGNFLGGLGGAPVNQSVPPAGYGNQPAMNYGNQQGMQQGYQNPQMGQSSGGRPHPGAGAPYMGH >OIW02935 pep chromosome:LupAngTanjil_v1.0:LG11:90906:92397:-1 gene:TanjilG_29711 transcript:OIW02935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHKVKITKVSFKNIKGTSTTKEGVILLCSRSVPCQGVELSEINLTYNGALAIAVCANVNPKVSEKIPTCQGIFDGQGAIAWKQNSCGSNKNCASRSMNLGFNFLNHSIVRDITSKDSKYFHVNVLSCNNFTFDGFKVSAPHDSVNTDGIHIGRSNDVKVLNTKIATGDDCVSLGDGNTRLVVKNVECGPGHGISVGSLGMYDNEDPLDDFLVKNVTIKNADNGVRIKSWPSSPVSITVTNMRFEDITMVNVSNPIIIDQEYCPWNECSKKSPSKIQISKVFFKNIKGTSATKEGVILLCSKSVPCQGVELSEINLTYNGAPAIAVCANVSPKILGKAPTCTAAKSIF >OIW01659 pep chromosome:LupAngTanjil_v1.0:LG11:20826928:20827345:1 gene:TanjilG_04627 transcript:OIW01659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWMLLSALFFFSLLILIADQGRKFEANAESDSEEVVDLPKVEDKIGAVPSGLSTDSNVVKREVESISKKSLHSNAEKFEFQAEVSHLMDNILNSLYSNKDIFLRELISNSIDG >OIW01175 pep chromosome:LupAngTanjil_v1.0:LG11:33080489:33095650:-1 gene:TanjilG_10336 transcript:OIW01175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGNFVHRVLSYVVNELVVNGLANNAAGGANVNQEKLASDNGTNDDSGKGKESEGEQDQKSDAGKSVRGGPISWLSFLLLVVTGAGLVLYYDREKKRHIQEIHTASEAVKQGPSAGKAAIGGPFRLINHDGKYVTEKDFFGNWTLLYFGFTHCPDICPDELQKLAAAVDKIKAKAGIEIVPVFISVDPERDTVEQVGEYVKEFHPKLIGLTGSVDEVKSVARAYRVYYMKTAEEDSDYLVDHSIVIYLMDPDMGFVKFFGKNNDVDSLTDGVIKEIKQYKK >OIW02900 pep chromosome:LupAngTanjil_v1.0:LG11:311082:313012:-1 gene:TanjilG_29676 transcript:OIW02900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQQHICITNVKQPRLVATKFFAKPQHEGVGAVVRRSIGRFELKYFDPFLVLDEFSVTAPAGFPDHPHRGFETVTYMLQGAIRHEDFEGHKGRIEGGDIQWMTAGRGIVHSEMSASQGTQKGLQLWINLASQHKMVEPKYQEMLSKDIGEGMKDGIKVRVIAGEALGIKSPIYTRTPTMYLDFSLKPGAHLQQPIPKSWNAFVYVLEGEGVFGNQKSHPASSHHILLLGSGDGLEAWNKSSKQLRFILVGGEPLGEPVVQFGPFVMNTQEEIDQTIDDFDNYANGFEKARHWNSE >OIW02605 pep chromosome:LupAngTanjil_v1.0:LG11:2620296:2621365:1 gene:TanjilG_24056 transcript:OIW02605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGCPETERLDEIAKKVIAEAEASCPMGRKHFYGPASGTTLNTVTPCAACKLLRRRCAEECPFSPYFSPHEPQKFASVHKVFGASNVSKMLMEVPVGQRADAANSLVYEANLRLRDPVYGCTGAISALQQQVQSLQAELNAVRDEILKYKYREAASLISSHAVLVSSGEAPIPVDSSSQGFSQPLPQSTAPPTPLKPLPSPSVVLSSLSSTSTSVYISPKSTMSHGSVSSENIVSYFV >OIW02188 pep chromosome:LupAngTanjil_v1.0:LG11:7465030:7492813:-1 gene:TanjilG_02412 transcript:OIW02188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTVTMHTEKYDHNMKDKQGEIFSEAIYDSGSSKHSDLNSCVTNGSGSSIDSERQVNIMTGDESGKNNYSDTRINGSKSVSVSTRRSSSSSSSESSLDGFFVMDTLNFTTSSASTSKSRKDYVSSSHSSSKSEDDNSVLVPTSTFQVSNVTHSQKGFPPTSSPSIQVMDRSGGYDPARIPSAVFHRNSNPLEWSSASNESLFSLQIENNSFSREHMFGEVSMSPELTKSGEMNLFSRTSSILTEEVDTARKSADVENPQTNKTSDNAFKLEERLSEDQNEKRSIHGHCATILIVAGRSVIHVQPAAIHGQAVSVLIVGGRSATVGTLAIQKAVVMPPPMSFGSLYLRERSDEGASTDGWEEEDTAEPKIGDGGDGGGVALQNVPWGQRAHSIAEEVLTQFTEDIELYAFKTTPRGYVYVRLDKITNEYGCPSMEELERYNQEYRKRLDEVGALGEVPDDLGIEVSSPGAERILKVPDDLSRFKDMPMRVCYTENVESNCPEEDGIFLLDSIDRDSEICIWKLADVKENRDPLKKGKPLNRKQKDWRLKLPFNMHRMVTLYLD >OIW02440 pep chromosome:LupAngTanjil_v1.0:LG11:4063461:4065977:-1 gene:TanjilG_05033 transcript:OIW02440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFPLHISLVSFLLLLSIVNPIFAAEVCKFPAIFNFGASNSDTGGLAASFGALSSPYGETYFHRPVGRFSDGRIILDFIAQSFGLPYVSAYLDSLGTNFSHGANFGTGGSTVKPPYSYPTSPTFNFSFSPFYLDVQYSQFKSFIPRTQFIRKQGGIFANLMPNEKYFSEALYTYDIGQNDLTAGFFGNMTAQQVNATIPDIIDNFSANIKKMYDLGARSFWIHNTGPIGCLPLFLANFPSAEKDEYGCAKEHNEVSQYLNYRLKETLAQLRKDLPDVAITYVDIYSVKFSLFQDPKKYGFEQPHVACCGNGGEYNYNVNALCGTTINTNGTKTIVGSCEKPSERIIWDGTHYTEAANKVIFDKIATGAFTDPPIPLNMTCHRSVTKTL >OIW01921 pep chromosome:LupAngTanjil_v1.0:LG11:14151008:14151376:1 gene:TanjilG_15246 transcript:OIW01921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVSATIPATIIVVGSSTRSSRKIHVNSIKGLNSFGGLRAQNSVTSLGLPVSTEKSFARVVSSLKYPSKGKCGRGGAASSTCNAAGEIFQIAAILNGLVLVGVAIGFVLLRIESSVEEAAE >OIW02023 pep chromosome:LupAngTanjil_v1.0:LG11:10682010:10684221:1 gene:TanjilG_11616 transcript:OIW02023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTSNSSAPQTHLFGRQRLHAVLGSGKIAEILLWKDKKLAGAVLAGFSIIWFLFEVVEYHFVTLLCHILIAIMLILFVWYNAAGLITWNCPSVYDLEIQESTFRFLCKKLNWFLRKFYDISTGKDLTLFFVTITSLWIMSEVGTYVNTLNLVYIMFLCLITLPLMYERYEYEVDYLASKGNQDLRRLFKKFDSQVLNKIPRGPVKEKKFR >OIW01557 pep chromosome:LupAngTanjil_v1.0:LG11:25643308:25673680:-1 gene:TanjilG_10839 transcript:OIW01557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACKTSFSSSPTSQNGIHKRPQYIPNHIPDTNYVRILDTTLRDGEQSPGAGMTPGEKLDIARQLAKLGVDIIEAGFPCASNDDFNAVKMIAQEVGNDVDDDGYVPVICGVARCNEKDLIIAWEAVKYAKRPRLSTFIATSSIHMQYKLKKTKDEVLEIARNMVKFARSLGCNDVQFVPEDAARSDKEFLYQVIGEVIKAGATTVDIPDTVGITMPCEFRKLIADLKANTPGIENVIIATHCHNDLGVATANTLEGACAGARQLEVTINGIGERAGNASLEEVVMALKCRGDQVFGGLYTGINTKHISKTSKMVEEYTGMHLQPHKALVGANAFAHASGIHQDGMLKHKATYEIISPEDIGLERSNGAGIVLGKLSGRQALKKRLGELGYVLKDDEVESLFWRFKAMAEKIKSLSDADLIALVSNEVCHEKPVWKLGDLQVTCGTLGLSTTTVKLVNFDGSTHVACSVGTGPIDSTYKAVNLIVKEPVKLLEYSMNVVTEGIDAIATTRVVIGKEKNVNALREETIHSTFSGTGAGVDIIVSSVEAYINALNKMISLEA >OIW02541 pep chromosome:LupAngTanjil_v1.0:LG11:3216674:3217500:1 gene:TanjilG_12855 transcript:OIW02541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELKLSLCHRLYRGRMRITAAEDFAQWAMLSETLIPSSLPPTTLDAASKLLSTMRPTVAASSDLHDRSSQCGREPRASDDYGPATVQTPGAANDLVCLFVVVHEPLPPIFCPHE >OIW01690 pep chromosome:LupAngTanjil_v1.0:LG11:20015610:20021645:-1 gene:TanjilG_01197 transcript:OIW01690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRDRLLLATVGPPLKRRAGLRRKQAGRGFDFEKNMEMGTNLHQMLRSLCLNTEWKYAIFWKLKHRARMMLTWEDAYYENPVDYDSPENNCCHKTIEPIGGRNFSRDPLGLALAKMSYQVYSLGEGVVGQVAVTGNYRWICADNQITGCGPSSEFSDGWQSQFSAGIRTIIVVAVVPLGVVQLGSLNEVIEDTRVVAHIRSLFLSAQDYTIGYVPSQVQSGPNNSSSPLNVSTKTLSSEVMPAYSHDTGKSMKSERPDILMPFECPGKNSSPPAVYQKMVVDVAEHEGLEFKSDGSSILLQSLSNMMNTEHQKLVEMKPVNERKLEGNNSGFKDTSFEAETHFSSFFHNLVMDNNSFNDLIRPSEKVGVDSASFPSEFLDAVLCESDQLRYVDINQKGVLNVPRPSDANSQVTEKLQTEPCHKDTSYALNFPPGCELHEALGPGFLKGNKYFDWAAQVKQDVKTVEMPDEINCSQLTSESRREPLLEAMVANVCHSNNDINSELSFSTSTQSAIASEKNPEASIHTVNTINSEGYSIDQFSLVREGKHRSLSSSGICDMVSPKVFSSACPSSRREQFERSAEPAKSSKKRARPGESSRPRPRDRQLIQDRIKELRELVPNGAKCSIDTLLECTIKHMLFLHSVTTYADKLSKFGNSKPKLHHMETDIHGSSSYQQGSSWAMEVGGHLKIRSILVENLSKNGQMLVEMLCEECSHFLEIVEAIRSLGLTILKGATEAHGEKTCISFVVEGQNNRNLHRLDILWPLVQLLQSKSTMHS >OIW02195 pep chromosome:LupAngTanjil_v1.0:LG11:7312490:7316225:-1 gene:TanjilG_21848 transcript:OIW02195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLISRQTFYTFSSSPSSFLCIIFLHTTLLYGLAFSSNHEASILFTWLHSSASPPPPSFSNWNIHDTSPCKWSCITCSSLGFVTEINIESIPLQLPIPSNISSFPFLKKLVISDANLTGTIPFDIGDCSSLTALDLSSNNLVGSIPSSIGKLNNLVNLSLNSNQLTGKIPVEISNCIGLKNLLLFDNQLGGSIPLELGKLLQLEVLRAGGNKDIDGKIPEELGECRNLTVLGLADTRISGSLPASLGKLNKLQTLSIYTTMLSGEIPPDLGNCSELVDLFLYENSLSGSLPSELGKLQKLEQLFLWKNSIVGAIPEEIGNCTSLRKFDLSLNSLSGTIPLSLGGLLELEEFMISDNNVSGSIPSSFSNAKNLQQLQVDTNQISGLIPPELGKLSNLLVFFAWQNQLEGSIPSTLGNCSKLQALDLSHNALTGSIPASLFLLQNLTKMLLISNDISGSIPSEIGSCSSLIRLRLGNNRITGSIPKTVCNLKSLNFLDLSGNRLSGSVPDEIGSCTELQMIDFSSNNLEGPLPNSLSSLSSLQVLDASSNKFSGPIASSFGHLVFLSKLILGNNLFSGSIPASLGLCSNLQLLDLSSNQFTGNIPAELGLIESLEIALNLSSNSLSGPIPPQISALNKLSILDLSHNQLEGDLQSLGLLYNLVSLNVSYNKFTGFLPDNKLFRQLSSEDLTGNQGLCNSGQDSCFVNGSGKTSLLSNGNDARKSRRLKLAIGLLITLTVIMIIMGITAVIKARRGIRDEDSELGGDSWAWQFIPFQKLNFSVEQILRCLVDRNVIGKGCSGVVYRAELDNGEVIAVKKLWPITNDAGEASRDEKSGVRDSFSTEVKTLGSIRHKNIVRFLGCCWNKKNRLLIFDYMANGSLSSILHERTGNSLEWELRYRILLGAAEGLAYLHHDCVPPIVHRDIKANNILIGLEFEPYIADFGLAKLVDNGDFGRSSNTVAGSYGYIAPEYGYMMKITEKSDVYSYGIVLLEVLTGKQPIDPTIPDGLHVVDWVRKKRGLEVLDPSLLSRPESEIEEMMQALGIALLCVNSSPDERPTMRDIAAMLKEIRHEREEYAKFDALLKGSPANENKSTSGILATTSVPVMQNLNAKSNNTSFSVSSLLHSSSSSRA >OIW01308 pep chromosome:LupAngTanjil_v1.0:LG11:34850102:34852126:-1 gene:TanjilG_10469 transcript:OIW01308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNLHDNNNHRSLTTPTTVLDFNNLKVISAVGRGAKGVVFLSKLKAKSTTCDDDVPKKEPWIALKLISKALLQKKNRNNGECKRVLFEQQILRRFHHPLLPRLRGVLETEQLTGYGIDFCHGGNMHSLRKKQSEKTFSEEIIRFYAVELVLVLEYLHNLGVVYRDLKPENIMIQETGHIMLVDFDLSKKLNSITPQSLSYNSSPSSDSETQNRRRKFRHRWFSRFYCKCNSGIFPYDSDGQLGTNSPTRSESNSVEKSNSFVGTEDYVAPEVISGNGHNFVVDWWSLGVVLYEMLYGTTPFKGTNRKDTFFRILMKEPDLTGEATSLRDLISKMLEKDPDRRIEVDGIKGHDFFKGVKWNTVLEIARPPYIPHNEVEDRKGFSKIEVESFIHGIFFPNNGVGEKNKEGEKKKCEEENGENNKNYNDKPGWVDKLNHNPTQNNETFVGF >OIW01793 pep chromosome:LupAngTanjil_v1.0:LG11:18021603:18023702:1 gene:TanjilG_03931 transcript:OIW01793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIEDTEICIIKEPDRVIVYSDGISHDSAHETGTDDHNITESYEHTNETTDHHSSEESIKEYVVKECTTEVSVKISDASNIKSSKKLTSDFEGVLSEKSLKPHKTKGNYQPQDALKHDHGSATGNLHSRCTGSVKKKPTVPQPFSLATEKRATVGTRPGFEEDNKGTSKRKSLNKRNVLTPNTLKQNQLKPPLVLRKPLQPDNKKHPDEDDSCSVASIITVNSMRSSKSRATIASVPVFRSTERAEKRREFYSKLEEKHQAMEAEKTQTEARTKEEKDEAIKQLRKSLMFKASPMPSFYHEGPPPKVELKKLPTTRAKSPNLGRRKSNSDAVNSSEAGKVKDVARRKHDTILNNKIASAKGNNCDKSDVNDGIGIYDELRNKTKHIEEINATEATGQADLEIGSQSNFQ >OIW01736 pep chromosome:LupAngTanjil_v1.0:LG11:19037124:19038233:1 gene:TanjilG_03874 transcript:OIW01736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPFRLAIEHHGDQESLDFWVGLPQDMKNLARDLYQTKCRMNETIVVCHSEPGAWYPPLFETFPCPPSVYHNFKFVIGRTMFETDRVNAEHVERCNRMDYVWVPTEFHVSTFVESGVDPSKVVKIVQPIDVKFFDPDKYKPLDLASRAKLVLGLSAVNESFVFLSIFKWEYRKGWDVLLRSYLKEFSKDDKVALYLLTNPYHTDRNFGNKILDFVENSDLREPVNGWAAVYVIDTHIAQSEFPRVYKAADAFVLPTRGEGWGRPIVEAMSMSLPVIATNWSGPTEYLTEDNSYLLPVDRMSEVMEGPFKGHLWAEPCEYKLQVLMRQVVKNLDVAKAKGRKARKDMVTRFSPEIVADIVMDHIQNILVH >OIW01651 pep chromosome:LupAngTanjil_v1.0:LG11:21514567:21514935:1 gene:TanjilG_18222 transcript:OIW01651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIENESNKICIKSLEEDAESKKLSTEGGWKRRRKAGTGRRMEMKKKKKGWMVVEMKNKKARRRLDGSYQNGVKEEEETA >OIW02254 pep chromosome:LupAngTanjil_v1.0:LG11:6341801:6343099:-1 gene:TanjilG_15137 transcript:OIW02254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKHNNVIPNGHFRKHWQNYVKTWFNQPARKTRRRLARQKKAVKIFPRPTAGPLRPVVHGQTLKYNMKVKAGRGFSLEELKAAGIPKRLAPTIGIAVDHRRKNRSLESLQANAQRLKTYKAKLVVFPRRARKVKAGDSTPEELANATQVQGTYLPIPVEKPTVELVKVTEELKAFKAYYKLRLERTNKRHHGARLKRAADAEKEEKK >OIW02068 pep chromosome:LupAngTanjil_v1.0:LG11:9280107:9280682:-1 gene:TanjilG_14591 transcript:OIW02068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAKPLPHTLEITVISGEDISVDRNPTAENVYVVVRPESINCYTTEMVKGEEGLHAWNEKFLLDIPMHAKSITFEVQCKKYKGLHPIGVARIALSELVGGEGKESNCIQMFSYGLRDWDGRRNGVIHFSMRIAATAMEDCSCLDVNHVKGGIKKVSSCGSEHQVMGFQVHKMNSKDVVIGNPVWWSNPSKI >OIW02576 pep chromosome:LupAngTanjil_v1.0:LG11:2850093:2851097:1 gene:TanjilG_24027 transcript:OIW02576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSISSSISEEPLLAPNPDRFCMFPIQYPQIWEMYKKAEASFWTAEEVDLSQDVRHWENLTDGERHFVKHVLAFFAASDGIVLENLAGRFMTEIQVAEARAFYGFQIAIENIHSEMYSLLLETYIKDSADKNRLFHAVETIPCVARKAEWALRWIDGSESFAERLVAFACVEGIFFSGSFCAIFWLKKRGLMPGLTFSNELISRDEGLHCDFACLLYSLMKNKLSEERVKGIVRDAVEIEREFVCDALPCALVGMNGVLMSQYIEFVADRLLGALGCGKVYDVQNPFDWMELISLQGKTNFFEKRVGDYQKASVMSSLNGGDGGAHVFKMDEDF >OIW01247 pep chromosome:LupAngTanjil_v1.0:LG11:34204472:34206097:-1 gene:TanjilG_10408 transcript:OIW01247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCPTRILHHRLRPFSTSVSAAIAATTSPVPDPTLLKSYTVTPPIKPWPHRLTPNLLSSLISRQHDPNLSLQIFLYAQNHHPGFSHNPRTYHAIFLKLSRARAFDQIETLLSHSRESRAVDYSCIDEDHFVTIIRGYGRAGKAELALRAFKRIESFGIRPSVRSLNALLNALVQNKRNDLVHSVFRNCKSVYGVVPNVVSCNILLKALCERSDVDAAIRVLDEMVSIGVVPNVVSYTTILGGYVRKGDMNGAKRVFIEILDKGWVPDATAYTVLMSGFCRNGKLEDAIKVMDEMEENGVEPNEVTYSVMIQAYCEGMKSGEAVNLLDDMIEKGCIPSSTLCCKVVDLLCEEGRVEKACVLWRRLLLKNCCPDNAIASTIIHWLCKKGKVMEARKLFDEFESGSVASLLTYNTLIAGMCETGELCEAARLWDDMVEKGHAPNAFTYNVLIKGFCEVGNAKEGIRILEEMVENGCLPNKSTYTILIEGLSRSGGMKEEINKVVVFAVSTGVDGDLWDLFLKNVVDDWNGNASELDRILLENAP >OIW02506 pep chromosome:LupAngTanjil_v1.0:LG11:2980123:2980302:-1 gene:TanjilG_12820 transcript:OIW02506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMVVVMTEILGEYTEVLARVTERLFPRRHGLSFGTLRNFSFASTTSSSDSSASFLVYF >OIW02899 pep chromosome:LupAngTanjil_v1.0:LG11:315894:323902:-1 gene:TanjilG_29675 transcript:OIW02899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWNSRALHHLLLHSFFLIASVSAQRSNITSAWKTLSGSPPLVIARGGFSGIFPDSSKDAYSFAILTSVPEVTLWCDVQLTKDGAGICLPNIKLENATDISAIFQNKSTNYLVNGIPTSGYFSVDYTLKDLSSVALTQGVFSRSEVFDGMLFAILTVDDLVKELAPPGLWLNVQHDAFYSQHNLSMRNFVLSVSRRVIVSHISSPEIGFLRSITARFNPKTTKLVFRFLGQDDKEPSTNQTYGSLLKNLTFIKTFASGIIVPKGYIWPVDASLYLQQHTSLVSDAHKVGLEVFASDFANDVSFSFNYSYDPLAEYLQFIDNGDFSVDGVLSDFPITPSEAVDVELSSYDADCFAHLGPNAKKIDNTLIISKYGASGDFPACTDVAYKQAILDGVDVLDCPVQVSKDGIPFCSSSIDLIDSTTVAQSSFSTLAATIPEIKPTGSGIFSFSLTWDNIKSLTPSILNPFGTKYKLFRNPKYKSVGSLLTLSEFLSLTKNQTSLSGIVIIIENAAYLADKQGLGVTDSVIDALSKAGYDKPGAQKVFIQSTNSSVLNKFKEKTNYERVYKIDETVGDAATKAVDDIKRFASSVVVNKNSVFPRNTGFIIGSTNTVSKLKSSNLSVFVETFSNEYLSQAWDFFSDATVEINSFIQGSDIDGIITDFPKTANRYRTNKCLNLGKNTPPYMQAVQPGALYGLITDNFLPPAVAPLPPLSDSEVVEAPLPPVSKITPAFSPDSGTKPGTQPKGNAQPKVIGCFFLSSLAVLENVLAESRMMIPDCHKRLEASLADLKGILAELEESGEKEGPEIDEARSTIAEVEKVIETSEA >OIW01169 pep chromosome:LupAngTanjil_v1.0:LG11:33017028:33020634:1 gene:TanjilG_10330 transcript:OIW01169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHSCVKSKSIVFQITLYGFLLLCLNYARANTPTETKNDLQALLDFKSHIVRDPFHVMNLWNDSIHHCNWTGITCNILNGRVIYMNLSSQGFTGIVTPSIGNITFLTRIDLSFNTFHGEFPQEVGHLLHLQQLYINNNNFSGNIPSNLSHCRGLRELDGGQNNLTGTIPTWIGNLTSLVNFFFSRNNLHGNIPHEIGSLSKLRFLGLSINYLYGKVPSSIYNITSMTHFAVAGNHLYGDIPDGVGFTLPNLQVFLCESNNFIGEIPRSLVNASKLETLGFGINGFTGRVPDNLGLLRRLTYLNFQENGLGSGRVGDLSFLDSLVNCTVLEFLGLHYNNFGGELPISIANLSTQLNTFNIGSNRIHGNIPAGIGNLESMASLALELNNLTGSIPDSLGMLQNLQVLFLGDNQFSGRIPFSIGNLSSLTKIHMELNYFEGSIPSSLGNCQKLLVLGLYGNKLTGTIPKEVMGLSSLAAFLDVSYNSLSGTLPTEVGKLVHLGLLDLSENKFSGVIPSSLGSCTSLVTLYLQGNYFEGNIPHTLQNLRALQDLDLSCNNLSGKIPKFLGDFKLLQHLNLSYNDLEGDIPTNGIFKNNATAIAINGNNKLCGGVLELILPACTIKKTSILRKFLAPKVAIPAISAFVILLSVSCFLAIFMVKRARKKSSRTEDLELAISYSTIAKCTGGFSRENLIGSGSFGSVYKGILPHDGPTIAIKVLNLEQRGASRSFIGECQVLRNIRHRNLLKIITAISSVDHQGNDFKALVFEFMPKGSLENWLHPINNVQYHTNTLTFIQRLNIAIDVSCALEYLHKSCQTAIVHCDIKPSNVLLDNDMVAHVGDFGLATFLLEESSNSLSASLKGSIGYIPPEYGMGGKPSVVGDIYSYGILLLEIFTAKRPTDEAFEGGMGIRQFIERALPNHVMEIIDPSMFSRQESDEGEQNAITREFDNEVLMKDCIVSVMQIGVSCSATSPSERMHITDVVKTLHAIKKSFIRIQQNAHLNRIA >OIW01830 pep chromosome:LupAngTanjil_v1.0:LG11:16790099:16794620:-1 gene:TanjilG_15694 transcript:OIW01830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENQPPSSSTPKTFEVDAPLQLIGFQIEYLSPQRVAGHLTVTQKCCQPFKVLHGGVSALIAESLASIGAHIASDYQRVAGFQLSINHLKSAELGDSLFAEATPLNSGKTVQVWEVRMWKIDPSNSEKRSLISSSIVTLLCNMPVPDNAKDAGERLKKHARL >OIW02247 pep chromosome:LupAngTanjil_v1.0:LG11:6237243:6238484:1 gene:TanjilG_15130 transcript:OIW02247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKTPPSSPAELLAADTHRVSALHGRHTVKSPLISGAFTGSGQYFADLRIGSPPQRLFLIADTGSDLVWVKCSACRNCSGNRPGSTFLARHSRTFSSHHCYDLHCKLIPHPQQTRPCTRTRIHTPCRYEYSYADGSITSGFFSKETTTFNTSASREVKIEKLSFGCGFRVSGPSVTGSSFNGAEGVMGLGRGSISFSSQLGKRFGNTFSYCLLDYTISPPPKSYLTIGTSQNDRVSRKFFRYTPLLVNPLSPTFYYIAVNGVNVDSAKLQISPSVWELDQQGNGGTVVDSGTTLSFLAEPAYRQVLGAFRQRVRLPEAVDVGSGFDLCVNVSGVARPKLPKLSFRLAGKSVLSPPARNYFIEVSDRVLCLAIQPVKAGSGFSVIGNLMQQGYLFEFDRDRSQLGFSRHGCALR >OIW02572 pep chromosome:LupAngTanjil_v1.0:LG11:2873981:2874262:1 gene:TanjilG_24023 transcript:OIW02572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMTIMSFAPSTGRVFVATATKGSAGSVKKEKGLLDWIVGGLLKEDQMLETDPILKKVEEKNGRGTTSGRKNSVAVPQKKKGGGFGGLFAKN >OIW02855 pep chromosome:LupAngTanjil_v1.0:LG11:616098:617189:1 gene:TanjilG_29631 transcript:OIW02855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKRGYKSNQRNAAHNCRVSVLVMFLTLMLMLVVLYVLLQTVGEEKYTKTMNDSEKLSLAHELPNPKQKWNTFDSFVQFHPTKEFRNGTDLIWQVPESSPKGVLFVAHGCSGRAINFWDQSPECPDCIGLPEERLFVLHALAQGFAVITISSARRCWTYGKEVLLVENIIEWWISKRKLENLPLMALGASSGGYFVSVLATTMKFSSIAIMIAEGMFHKMDIKRDYPPTLFVHMPKDFGRQQKIDEYVDILKDKEIDVGVVECVEFPLSPNTLSDRIPGIDQNLSGKLFEFFKEKGFVDQNGYMRRDGRVIKWKKALEEKKTVSLDKRLVPHIQEELNLAFAYHEMTSVHSDQIFKWFESHIN >OIW01723 pep chromosome:LupAngTanjil_v1.0:LG11:19195880:19200376:-1 gene:TanjilG_03861 transcript:OIW01723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRETLLLPSPPTPSTATTAVPPPLLSSASGSTALVVVGSASSVPSPTSLAPGFRFHPTDEELVIYYLKRKVGGKCFRFDAISEVDIYRSEPWDLADKSRLKTRDQEWYFFSALDKKYGNGGRMNRATNKGYWKATGNDRPVRHEQRTVGLKKTLVFHSGRAPDGKRTNWVMHEYRLVDEELEKARTVNGSSQKDAFVLCRVFHKNNIGPPNGQRYAPFVEEEWDDESGLVPGAESVEPISVAKQPCVNGNGRVSCIEGRKDVVQDNQSIIKAPFDVNKLPIETQNLLTVCKRESMTEFPSPKKEDSKPMQDDFPSPQSDNHKPFSQIYKRRRYNLNNQLNVSGESIRTSQDPCSSTITTAATTLPTATAITNPTTTNSAPKKHFLSALVEFSLMESLESKEVQPPDFDADNLESTVSPSCLKFIKHLQSEMQKLSIEREAMRFEMMSAQAMINILQSRVDHLNKENEELKMARNR >OIW01549 pep chromosome:LupAngTanjil_v1.0:LG11:25915613:25915768:-1 gene:TanjilG_07758 transcript:OIW01549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKHRGLKGVYVDLWISDPIILVDVIWAVRCLGSSEGMIASVKGCLAREEL >OIW02718 pep chromosome:LupAngTanjil_v1.0:LG11:1579325:1583511:-1 gene:TanjilG_29494 transcript:OIW02718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLRQGEEIPISSLNETIEHEEGENEGNSHSGLKNFLWHGGSAYDAWFSCASNQVAQVLLTLPTSFSQLGMVSGIILQVFYGILGSWTAYLISILYVEYRTRKEKENVNFKNHVIQWFEVLEGLLGPYWKAIGLAFNCTFLLFGSVIQLIACARIWSFIGLGMTTYTAWYITIAALVHGKVENVTHTGPNKLVLYFTGATNILYTFGGHAVTVLTLPSAIAMYWAFGDQLLTQSNAFALLPPSAWRDAAVILMLIHQFITFGFACTPLYFVWEKVIGIHDTKSIFLRALARLPVVIPIWFLAIVFPFFGPINSAVGALLVTFTVYVIPSVAHMLTYKSASARQNAAEKLPFFIPSWTLMYVINAFVVVWVLVVGLGFGGWASVTNFMRQIYTFGLFAKCYQCPPKGPPTNHTLHH >OIW02246 pep chromosome:LupAngTanjil_v1.0:LG11:6197404:6202293:1 gene:TanjilG_15129 transcript:OIW02246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDTTSRSNSAADSYIGSLISLTSKSEIRYEGVLYNINTDESSIGLRNVRSFGTEGRKKDGQQIPPGDKVYEYILFRGSDIKDLQVKSSPPVQPTPQVNNDPAIIQSQYVRPVTTSTSLPNAASGSLADLSSHTTQLGLPGSNFQGPLPLYQPGGNIGSWGASPSAPNANGDGLAMPMYWQGYYGAPNGLPNFHQQSLLRPPPGLLMPPSMQHPMQYPNFSLSLSTGSSNLPELPPSLLQVSNVASSLTSTSLPPSNLYPAPSSLPEAPSALPEAPSVLPHALSALPPAPSTLPPAPSASLAFETFPLSLTDKAPNVSLPSVTPAANLPSLTPLANSGSEMNAVAPPISSKPNAISGSSLAYQTVSQLSPSVVGSSNSTSTETPVPSLVTPGQLLQPGSTVVSSVHHSQTPHKDVEVVQVLSTSSPQPSRSVSAENQPPILPLPVTSQSSHRPGGAPIQNYRGYGYRGRGRGRGTGVLRPATKFTEDFDFNAMNEKFKKDEVWGDLGKSNKSHLKEKDGEENSFDEDYSLDEDNDDSSNSKPVYNKDDFFDSLSSNALDRASQNGRIRYSEQIKIDTETFGDFARHRGGRGGRGPFRGGHPSRGGYYGRGYGYSGRGRGRGMPSRSF >OIW02725 pep chromosome:LupAngTanjil_v1.0:LG11:1529192:1531177:-1 gene:TanjilG_29501 transcript:OIW02725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCGSKNTLSTSNQVMESEFQKILLELSASDDVEAFKREVEEKGLEVNEAGFWYGRRIGSKKMGYEKRTPVMIASLFGSTNVVKYIIEFGMVDVNKVCGSDRVTALHCAVAGGSESSLEIVKLLLDAGADADCLDAIGNKPVNLVAPGFNPSSNSRRKALDLSLRGGKGDQLLYETEEMPSEKKEGADKKEYPVDISLPDINNCVYGTDEFRMYSFKVKPCSRAYSHDWTECPFVHPGENARRRDPRKYPYSCVPCPEFRKGACQKGDSCEYAHGVFESWLHPAQYRTRLCKDETGCNRKVCFFAHKPEELRPVYASTGSAMPSPKSYSASAPDMTLMSPLCLSSSLPMSTVSTPPMSTLAASSPKSGNMWQNQTNLNLSSLQLPSSQLKAALSARDLDFEMELLGLGSPSHACQQQQQQQLIEEIARISSPSFRRRMGDLHPTNLDELLASADASTLSQLHGLSVQPSTSTQMQTLNTLQTRQNMNHLRASYPSNNMPSSPVYKSSPYGFDSSAAVAAATMNSRSAAFARRSQSFIDRGAAANHLGLSSPSSPSCRQSSALSDWGSPTGKLDWGVNEDELSKLRKSASFGFRNNGVSSPMAQSELVEPDVPWVHSLVRDRSERFGAEKKQQQQQQYDLSKEMLPPWVEQLYIEQQEQMVA >OIW01794 pep chromosome:LupAngTanjil_v1.0:LG11:18010681:18015738:1 gene:TanjilG_03932 transcript:OIW01794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQFSGYSIHEPPHSSQPISISSLSLDARALHKQFDELAAFSDSPTPSVTRVLYTDKDVLARRYVKNLMGIAGLSVREDAIGNIFGRWDGLETELAAVATGSHIDAIPYSGKYDGVVGVLGAIEAINVLKRSGFKPRRSLEVILFTSEEPTRFGIGCLGSRLLSGSENLAKALKTATDSQNISFLEAARSAGYAKDEDDLSIVFLKKGTYSAFVELHIEQGPILEDEGLSIGIVTAIAAPASLKVEFEGNGGHAGAVLMPSRNDAGLAAAELALAVEKHVLESGSIDTVGTVGILELHPGAINSIPSKSHIEIDTRDIDEERRNNVIEKIHQSAIRITKTRGVKLSEFSIINQDPPALSSEAVVKAVETATRELNLTSKLMISRAYHDSLFMARLSPTGMIFIPCYKGYSHKPEEFASIEDVANGVKVLALTLAKLSLQ >OIW02481 pep chromosome:LupAngTanjil_v1.0:LG11:4526311:4533651:-1 gene:TanjilG_05074 transcript:OIW02481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSDTLLDYAVLQLSPKRSRCELLVSSNGNTEKLSSGSVTPFLTHLKVAEEQFALAAQSIKLETDRHKNAGTWFTKGTLERFVQFVSMPGVLEMAITFDTEMSQLEAARRIYSQGAGDQHTGSQGGNGTGANAAADATTKELLRAIDVRLGAVRQDLTTSCDHAAAAGFNPHTVSQLQHFAQQFLAHRLNEACTKYMSLYERRQDLVSPWKQGSDDRELRSSVGSDMSIDTDDGTHFRPNHSEAQTNSPEPAKLSTRHPQKSFATIQRHSNNLNQKDEAHNENNQKEEGLGPNESSLPTPSSGLAARRLSVQDRISLFENKQKENSSSTGSGPKPVVGKSIELRRLSSDVSLSMEKSVLRRWSGLSDMSIDVSGEKKDNDSPLCTPSSVSSVSQTKSNEEDKDLNNNSNSNSDVNADQVVRTDQRSSQNTSKVSIFDQERTSGSGGFKDQEERTSEPQLRRSEVVGRKISQLSSGVVTASATPSLIKGSHGHSRTQSAQFEGGVGVKLREVSVQDESGQSTTTTQPSLRSFTRDVEDASFLGKQQMGEDSKVRKMKYQKPQPGSREQFSKPHGKRGEVQGANESNRLGFPGKEVMESLDDGRVTLTAPSEQVQRVSNSKGNQGLHDELKMKADELEKLFAAHKLRVPGDQPGSARTTELADAHVEQAVNSQIRRSGVVESTPPLPSRNTARKLTVNSSNMAISDDAKSLAKTMDTHEYGDALRKSVSDLSFGDDSRGKFYEKYMKKRNAKLKEEWSSNRVEKEARMNAIHDSLEQSRAEMKAKFSGSINKQDSVLGAHRAEKHKYFKPNVNRDQHPIDSLQNEEDDDLSEFSEEKIYDTSRQSRKNLRNRYMPSGTPRTTVTSISRSSGRRRDNPLAQSVPNFSDLRKENTKPSSGLSKTTRLQVRNYARSKSTTEEIQGIQEEKLKRTQYSWKNSANPAEFKDLPPLNADGVVLTPLRFDMDQTDLGPYDQSPRSFLKKGNSIGSGSSDNAIRMKASMASDNQKNEEFEELESEVEDSLHMATKEQDVIETMAVEGSVYNNNGKVRLREESEKSGNSRSEIGDSTRSLSQVDPISVGEIPTAFPSTFNGVGSLQDSPVGSPGSWNSNMHHPFSYLHEFSDIDASADSPIGSPASWNSHSLAQVENDAARMRKKWGSAQKPFLVPNSSQSQPRKDVTRGFKRLLKFGRKSRGSESLADWISATTSEGDDDTEDGRDPANRSSEDLRKSRMGLSHGHPSDDSFNESELFNEHVQSMGSSIPAPPAHFRLRDDHISESSLKDVKNDNLVFAADFST >OIW01896 pep chromosome:LupAngTanjil_v1.0:LG11:14424004:14428412:1 gene:TanjilG_25695 transcript:OIW01896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNKPSKKTSSLRNSGGHESHSHGGVRSNSEGGPSVKFARRTSSGRYVSLSREDIDMSTDLSGGDYMNYTVHIPPTPEHESSDTSVAMKAEEQYVSNSLFTGGFNSVTRAHLMDKVIDSEVTHPQMAGSKGSACAMHACDGKVMKDERGNDVIPCECRFKICRDCFLDAQKENNLCPGCKEPYRVGDYDDDTPDYSSGALPLPAPNGKHDTNMSVMKRNQNGEFDHNRWLFETKGTYGVGNAYWPQDDMYGDDGDDGFQGGMIDPTDKPWKPLSRKTPIPTSIMSPYKLLIAIRLVVLIFFLHWRVMHPNEDAIWLWLMSIVCEIWFGFSWVLDQIPKLCPVNRSTDLEVLHEKFDSPSPSNPTGRSDLPGVDLFVSTADPEKEPVLTTANTILSILSVDYPVEKLACYVSDDGGALLTFEAMAEAASFADLWVPFCRKHKIEPRNPDTYFNLKIDPTKNKSRIDFVKDRRRVKREYDEFKIRINGLPDSIRRRSDAFNAREEMKMMKHMKESGADPSEPIKVLKSTWMADGTHWPGTWASPSSEHSRSDHAGILQVMLKPPSPDPLLGSGDDKIIDFSDVDTRLPMFVYVSREKRPGYDHNKKAGAMNALVRASAILSNGPFILNLDCDHYFYNCKAIREGMCFMMDRGGEDICYIQFPQRFEGIDPSDRYANHNTVFFDGNMRALDGVQGPFYVGTGCMFRRFALYGFEPPVVDKDPKKSEGSETAALNLSELDQDLDINLLPKRFGNSTLLSESIPIAEFQGRPLADHPAVKFGRPPGVLRVPREPLDATAVAEAVSVISCWYEDKTEWGDRVGWIYGSVTEDVVTGYRMHNRGWRSVYCVTKRDAFRGSAPINLTDRLHQVLRWATGSVEIFFSQNNAFLASRKLKFLQRISYLNVGIYPFTSIFLVVYCFLPALSLFSGYFIVQTLSVAFLLYLLTITICLVLLAILEVKWSGVELEQWWRNEQFWLISGTSAHLAAVVQGLLKVIAGIEISFTLTTKSASEEEDDIYADLYIVKWSSLMIPPIVIAMVNIIAIVFAFIKTIYSSTAQWSKFIGGAFFSFWVLVHLYPFAKGLMGRTKKTPTIVFVWSGLIAITISLLWIAISPPKGSGGQGVAGDFQFP >OIW02148 pep chromosome:LupAngTanjil_v1.0:LG11:7919444:7920048:1 gene:TanjilG_02372 transcript:OIW02148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVDSDDVGSYEHSGGLSPPSEDGGNGARNVEGGESDEEGEQMHNDPYEEMSLHRRDRNLFDVTSSRSGPTQDGSQYDNEDYAPPRHSSMW >OIW02696 pep chromosome:LupAngTanjil_v1.0:LG11:1777556:1784164:1 gene:TanjilG_29472 transcript:OIW02696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSRFDMLVIIAAIFLPSNAMTNKFVVTFLFLFFSKQLSQSDGYHHLIFFTSNITTSPSSPSSPIVHVQTTHPPQPSISPPPSISPPLPNSPPPSASPPPPPSASLPPPPPPASPQPPPPASPPPPPSTSPPPPLPTSPPPPPRDLFKKFGIVNENGTMSDEFEVGEFDPEMVDSDQVQNSALPNAPKFKINSFGVCPGSMHNYIPCLERGERFERHCPPHDKGLNCLVPPPKRYRNRIPWPKSRDEVWYNNVAHTKLVDGKRGQNLISNPKAKFKFPREDTQFIHGVDQYLDHISKMVPEITFGQHIRVALDVGCGLASFGAYLLSRNVITMSVASKDVHENQIQFALERGVPAMVAAFATRRLLYPSQAFDLIHCSRCRINWTQDDGILLLEANRILRAGGYFVWDAQPVHKQKEALEEQWEEMVNLTTRLCWKFLKKDGYVTVWKKPSDNSCYLRREAGTKPPLCDPRDDPDNIWYINLKACISPLPENGYGANVTRWPARLQTPPDRLQSIKIDAFLSRKELFKAESKYWNQILEGYVRALHWKKMKLRNVMDMRASFGGFAAALIDRKLDCWVMNVVPVSGPNTLPVIYDRGLIGVMHDWCEPFDTYPRTYDLLHAANLLSVEKKRCNVSSIMLEMDRILRPGGRVYIRDSLVIMDELVEIGKALGWRLTLRNTDEGPHSSYRVLVCDKRIRKF >OIW02866 pep chromosome:LupAngTanjil_v1.0:LG11:519075:524001:1 gene:TanjilG_29642 transcript:OIW02866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHNTLEGIKGGGVSIKLGTTGTIGSLITRELDEISCTQHKQLSSRSEPRTLDAGSFACGITTPKIIQPRKSSHEASSSGSSGNNIKLGSLGISHTNAKNEDRIPILGSENFPEDRTLARKKSIRRKVVHWGVLQRVSFVGQFFRFIWDRFVVCSVGKPVKYQRLPLQGSSSFTEEGFMQEHHTVMNSGYDTDSDLVNLKISLLGDCHIGKTTFVIKYVGDEQEKKSLEMEGLNLMDKTLFVEGARISFSIWDVAGDKNSLDQIPMACKDSVAVLIMFDLTSRSTLNNVVGWYSEARKWNQTAIPILIGTKFDDFVRLPPDLQWTIVTQCINKDKMEEKKQKRDENKFSYSY >OIW02185 pep chromosome:LupAngTanjil_v1.0:LG11:7527397:7529370:-1 gene:TanjilG_02409 transcript:OIW02185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKVLSFLPQRPFSSIPDIPNLYSFLQPSLFSLKTTNQQQQPQNQNQNLPTSPPNSFNQDQISTLETTLHKSIVTNNTDEAWKSFKSITTLHSFPPKPLTNSLITHLSSHSDLHNLKRAFTSAVYIMEKNPMMLELETVASLLESMRCANTAAPAFALVKCMFKNRFFVPFDTWGGVLAEISRKNGNLVAFLRVFEENCRICLDEKVESMKPDVDACNAALEGCCYELQSVSDAERVIEIMSNLGVRPNELSFGFLGYLYALKGFQDKIDELKKLMVGFGYSNKKNLYSNLISGYVKSGNLASVESTILSSLNDGDGKDWNFGEETFCGVVKEYLHRGNIKGLANLIIEAQKLEPSNIEVGQSVGYGIVNACVSIGLSDKAHSILDEMNALVGSVGLGVYVPILKAYCKENRTAEATLLVAEISGSGLLLDVETYDSLIEASMSSQDFQSAFSMFRDMREARVPDLKGSYLTIMTGLMENHRPELMSAFLDEVAEDPRIEVGTHDWNSIIHAFCKAGRLEDARRTFRRMTFLQFEPNDQTYLSMINGYVSAGKYFSVLMLWNEVKRKLSEEEQKGVKFDQNLVDAFLYALVKGGFFDAVMHVVEKSNQKKIFVDKWRYKQAFMETHKKLRVAKLRKRNFRKMEALIAFKNWAGLNT >OIW01905 pep chromosome:LupAngTanjil_v1.0:LG11:13938212:13938913:1 gene:TanjilG_15230 transcript:OIW01905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTPNLNLKPENNSEFSSQVDSIISLQETFYDLTKDTTLRTFTKANPDSGTITLDLTLNFNPNEKLKGATDTSSEEGVEASASAIPRVFSCNYCWRKFFSSQALGGHQNAHKRERIMAKRAMRMGMFTERYTSLASLPVHGSVCRSPSIEAHSTLHKKHMQSVRSPDMRVAAKFQKDCFGRLIIMEDNNVGLFWPGSFRQIDQREHVQNSKTSFVEMVPHAQTSTAPDLTLRL >OIW01693 pep chromosome:LupAngTanjil_v1.0:LG11:20069494:20069853:-1 gene:TanjilG_01200 transcript:OIW01693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQIQVQMQQAQEQTQARLKAIETEQNFQNQQQIACYRGITGVYECLQHVYDGHPYFAGRSFADFITPTQWPEGRPYDRQEESSSHAARAGDGATAGAEPGDGATDDTDDFMRTDDPEV >OIW01484 pep chromosome:LupAngTanjil_v1.0:LG11:26847298:26848338:-1 gene:TanjilG_19410 transcript:OIW01484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSSISCTMNVQCNEKDMNTLLKFKQELTDPSGILSSWFTQHYDCCEWFGVHCDNITGRVIELNLPCHTIPSTYTERDDKSNCLTGELDLSLLDLEFLTYLNLRNNDFKGIHHYNHKCNEMSSVTPHPNDECVKFSNLSHLDLSYNEDIVIGNLHWISNISSLEYLNLNGINLHKETDWLPSLAILPSLVELHLDSCRPQNIYPLLQYVNFTSLRVLSLSENGIGSKLPIWLFNLSCDISYIDLSKNNIFGQLPTIVSNLRSIKFLDLSYNILDGPIPNWLGKLGHLQELYLSDNTFTGPIPPSFGNLSSLIELDLNDNNLNGSLPSNLGKLINLKSLGVASNSLT >OIW02619 pep chromosome:LupAngTanjil_v1.0:LG11:2507442:2510148:-1 gene:TanjilG_24070 transcript:OIW02619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSRWWYGGGLLRQRVSAVVNISHGYGGRFHTFDPPLLGMRDFGLECSGIRKGGISSLSVFMVNQFKRGVSSSPSAGVAYSNTDENGGGGGASNGIEESPISFSEAKKLMRLVNVKSLKMKLGMDGKEVIPYDELLEACERMGVAKNSKEAAAFAKVLDDAGDILLFRDKVYLHPDKVVDLVRRAVPLVLTAENDPIRDELKKLQDKKEEIDVLAHRQVRRILWSGLGGGIITVSLFFRLTFWEFSWDVMEPIAFFTTSTGLVIGYAYFLFTSRDPTYQDFMKRLFFSRQRKLHKRYDFDVERFMELQCKCKTPLDAKTILKNRIGVDLDLDDTLHRD >OIW01812 pep chromosome:LupAngTanjil_v1.0:LG11:17601111:17605241:-1 gene:TanjilG_07529 transcript:OIW01812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSVIPKATSCILFLFLLSCTCFISTDAYDPLDPNGNITIKWDIISWTPDGYVAVVTMSNFQQYRHIATPGWSLGWTWAKKEVIWSMMGGEATEQGDCSKFKGTPPHCCKKDPTIVDLLPGTPFDQQVANCCKGGVLSSWAQDQTNAVSSFQVSVGRAGTTNKTVKVPKNFTLKAPGPGYTCSPAKIVKPTIFIQSDKRRVTQALMTWNVTCTYSQFLAHKGPTCCVSLSSFYNDTIVPCPTCACSCQGNSSQSGTCVNGNTPHLASVVSGNNNLAPLVQCTSHMCPVRIHWHVKLNYVEYWRVKVTVTNFDFMRNYSDWNMVVQHPNFDNVTQLFSFNYKSLTPYENINDTAMLWGVKFYNDFLNQAGPLGNVQSEILFKKDKSTFTFDKGWAFPQRIYFNGDNCVMPPPDAYPWLPNAGSSREVSLLALVMSCLVALVFYAYV >OIW01225 pep chromosome:LupAngTanjil_v1.0:LG11:33810989:33817938:-1 gene:TanjilG_10386 transcript:OIW01225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDSSNNSKKVINLVAALRRGFKRTVIVDDEIEKQHKSSAIENAERPPHLLVGVESLRSQDFIPFKSRSLIYNQLFESLECNYRIGLYGVKGIGKTTLAKEVIVKLHDESIKFDHVIFITVSKVSHMKEIQDIIAGELGVSLKGENEWERTETIMKRLKNGEKILLILDDVKENIDVQELGFPFRDNCRVLVISYSKILCNSMGCKRLIQLEKLSKRDAWMLFKKHVGLNDNSSLDVQHMGRKITKACEGLPTKIVVIASVLKGRPLEDWVKIFITLENDRYDEGLIKAYAIVKFSHDDFNVKKAQSLCFLLCMFPQNRQVSIEMLIRLGIGIGIFGEAEKYSDLRSEVLKIKNILIGSCICFEDKGGHVKMNDLIWCMSRNNEFELCRTRQYKNKWVFSTLENNIHYLYYNDLWVEELEMDFLPNGCECTKVEMLLINVEASNYVEVLHAFFEKMTRLKVMSLSSNNLYPTIALKLPSSLQSLTNIRSLILSHWKLGDISILGVLQSLDTLDFDDCSIYEFPKAISTLKLRLLSLKKCQIERNNPFEVIERCLSLQELYYKDNKVLILDGINKVEENVQSGTFLTLNRYHLQGGKDSTSKCVCLPNIDALISEAAFKYLIRGAEILHLKEMKRGWRNLIPEIVPMEDECMGDLVELSLESCLKVECLVNTQHNRSLVFSKLEELKLSSMDSLKELCIGDIPSDFLESLKSISIEHCAHLQGILFKKNTNLSHLKSVKLFDCQQLTFIFQISIVQSLALLEELRIGEFLRYLPELEVLEIQDCNELKQIIEKDTDLKNHLLSPKPCFPKLEVLVVKDCCNLKCLIHESSDVPKVKTIRIERCAMLQVMFTASNNLRYLPELKNLEIKQCWGLEKITKEDHTNFLQPCFPKLELLVVKDCYSLKHLINASSDVPNIQKIRIERCAMLQVMFPSSNDSRFLPELKYLEIKQCRGLKKITEEDHTNSLQPLFPKLAVLVVNDCSYLKSIINVPNLELLIIIHANELQVLMEGGEPRLKVLICSQLSRATLKERILLKNVRHCFVHNCPKLSLKPTATFKEIREKVLHEFDIDLQILLSELHYKELVQVDEIVQSNVAQTVSWSYLPHTKIGHHTMCWLFVFATVFICGMLVVAR >OIW01863 pep chromosome:LupAngTanjil_v1.0:LG11:15769740:15770777:1 gene:TanjilG_07158 transcript:OIW01863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPRVAQFLQLPISPTPKFPVMVGNGERTYCTGLCKETPINLQQQLFTIPFYLLPIQRADVVLGIEWLSTLGPVTSDFSVPSMTFTHDHKPITLTGQHSHTPTLASFHQLRRLSNMDAIAYIFYITIYPQATMHTLAVPEPDTNNPITDLHPDIQTLLQDFDNVFTNPTGLPPPRPHDYKIILLPNQPPANVKPYRYPHSQKEAMATLLVDMLQQGIVTLSTSPFSSPVLLVRKKDGSCQFCVDYRNLNAITFKNRFPIPTIDELLDELAGASIFSKIDLQLGYHQIRLDPADTHKTAFRTADGHYEFLVMPFRLTNGPSTFQSAMNDLLRPFIRKFVLVFLMTY >OIW01786 pep chromosome:LupAngTanjil_v1.0:LG11:18124359:18124776:-1 gene:TanjilG_03924 transcript:OIW01786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLQVIWSFVLALLDAYALLRKKVLHNPVLVSLFVVGDWVTSMLSLAAASSSAAITVLYFHDLGHCHFGEECQKYQISVVLAFLSWIPISISSLIMLWLLAAG >OIW02061 pep chromosome:LupAngTanjil_v1.0:LG11:9443290:9444784:-1 gene:TanjilG_21110 transcript:OIW02061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFTGTLDKCKACDKTVYVVDLLTLENIPYHKNCFKCTHCNGVLTMNTYSSMDGVLYCRTHFEQLFKESGNFSKNFQAAKPSEKQSELNRTPSKLSSMFSGTLDKCSVCTKTVYPLEKVTLEGECFHKNCFRCAHGGCHLTHSSYAALDGVLYCRVHFAQLFMEKGNYSHVLQAAAHKRNGSSTPPETIELPPQELPPQSEDKKEEAEEETS >OIW01971 pep chromosome:LupAngTanjil_v1.0:LG11:11783314:11783535:1 gene:TanjilG_09081 transcript:OIW01971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPKSLWMKVITSKYESVLSNPYASVVSQWWRDIRRVGSRVDAARDWFSKNVRKVVGDGSQTQLWLDTWFGNC >OIW01924 pep chromosome:LupAngTanjil_v1.0:LG11:14241122:14241652:1 gene:TanjilG_15249 transcript:OIW01924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSSSTHALGETPRFCNACEKSVTGFLYHCFSCGFDLHPCCAKLPMLLDDGETKLYLYRKVSSPCHRCGQKGRSWSYRSKCKKYNLHVACVREMIVESWHEVYVGRSDGKKMVENNGIPSFKNSLVSVHNSSRKKGGKVRKCCEIAGFALQIVVSAVLGDPTALIAGIAGSLMSRA >OIW02018 pep chromosome:LupAngTanjil_v1.0:LG11:10550834:10554857:1 gene:TanjilG_11611 transcript:OIW02018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEKLKEGIMVGKRGSGPCTPSPTWRLELPSQQNGGSNNVQEFLNFPTSSTISARKLCANLWEFQPHQQETSLNGMDKSAATLRCRRRRCKFTEQVAESPDSSSNQPTSASSCRSHVSASFVQRHRSIERNDCAPYCESPASYNSSVDVAPYNHTVTPTNSLGFKNVIGESSHSVKTSTKFVKILNRIWTLEEQHASNISVVKALKMELGHSQAQMKELLREKQMNRQEMENLMKQITVDKLFKKNKERDIIKSTILSIKEELEDERRLRKHSESLHCKLARELSEVKSSFSGCLRNLERDRKTRILLENLCDEFAKGIKAYEQEVSCLRRNSENGQVQENDSPDKLILHISEAWLDERMQMKQTGSSNDLKERNSIVDKLGFDIETFLCAKRSVDLKKYGYSSLKELKEIRRCQHSFDSFPQKEATSTPHNMAPEDSINTVFYEPKMTAGEEVQKLSPGLLQCNNATDVHLEKKGKQVQSKEINKTISCDDNETCFLERKSSEKMEGDNIALIKEDAKQGSQESVLNSSDRADNLIGNSSLSSEGDKVYPESICRADSCAQSSVTASGSSVKVLKSKLSFSDFDMSECSTKLPKGVKENTLMAKLLEARLEGQKSRSIASKSTS >OIW01632 pep chromosome:LupAngTanjil_v1.0:LG11:22188713:22192313:1 gene:TanjilG_14631 transcript:OIW01632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHRLLRDHEADGWERSDFPIICESCLGDSPYVRMTRAEYDKECKICTRPFTVFRWRPGRDARYKKSEICQTCSKLKNVCQVCLLDLEYGLPVQVRDTALSIDSNDAIPKSDVNREYFAEEHDRKARAGMDYESSFGKARPNDTILKLQRTTPYYKRNRAHVCSFYIRGECTRGAECPYRHEMPVTGELSQQNIKDRYYGVNDPVALKLLGKAGEMPSLEAPEDESIKTLYVGGLDARVTEQDLRDNFYAHGEIESIKLVLQRACAFVTYTTREGAEKAAEELSNKLVIKGLRLKLMWGRPQSAKPDSDGSDQARQQASVAHSGLLPRAVISQQQSQDQNQGMVYYTNPPPQQERSYYPSMDPQRMGALVSSQEGPPGGPSGSGENIPNMEKQQMQHYPHPMMPPPPGQYHHQYYPPYGYMPPVPPYQQQYPPPPYNAPMPPSHPPAVSHPYQHSMQPGSSQAGSSQGGSAPAEAGTSSGSQQQ >OIW01663 pep chromosome:LupAngTanjil_v1.0:LG11:20899101:20900603:1 gene:TanjilG_04631 transcript:OIW01663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAALTCSITELNSLLHSANGSTPIAAAEYICQRFDAISNKFTDTTYALDNTYLLFSAYLVFAMQLGFAMLCAGSVRAKNTMNIMLTNVLDAATGGIFYYLFGFAFAFGTPSNVFIGKHFFGLEKFPSISFDYGYFLYQWAFAIAAAGITSGSIAERTQFVSYLIYSSFLTGLVYPIVSHWFWSPDGWGSPARSENLLFGTGVIDFAGSGVVHLVGAVAGFWGAIMEGPRMGRFDHEGRPVPLRGHSGTLVVLGTFLLWFGWYGFNPGSFLNISKIYGKSGDYYGQWSAVGRTAVTTTLAGCSAALTTLFGKRLQTGHWNVTDVCNGLLGGFAAITAGCSVVEPWAAIICGFVAAWVLIGANMLAEKFKYDDPLEAAQLHGGCGTWGIIFTALFATKQYVNEVYPGFPDRPYGLFMGGGGKLLAAHLIQILVILVWVSVTMGTVFFLLHKLKLLRISNEEEMNGLDVTSHGGLAYVYNEEIELAKKYAFGATGTDSSAVA >OIW01346 pep chromosome:LupAngTanjil_v1.0:LG11:32694275:32696343:1 gene:TanjilG_20528 transcript:OIW01346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASLPQMEEQEDEYSTIKSIRNGPTLCEECKSNPSKYKCPGCSIASCSLPCVKSHKARTGCSGVRNQTQFVPLSQFDDNTLLSDYNLLKEMKRVSESARRMRTKLHMHTYFKLPYHLKSLRSAAWIRRTKLMFLPNGMSKREKNQSRYDQRKKFISWTIEWRFHSTDVVLHDHGVNENTNFGSILESHLKPGPWNHKLRQFCEDQLENLKLFIRKYPKGPKSPFKELDMKAPIRQQLANVDILEFPVVFVFLQSHKINFEVIKDANPMIQEPPHNDNEVNQSLEGVSFREEEIEDDNGSADPQVFDLMKDMEASSSTQMITQNESFEQEP >OIW02389 pep chromosome:LupAngTanjil_v1.0:LG11:3559522:3565200:1 gene:TanjilG_04982 transcript:OIW02389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKVKNHNKVGNEMGCGFMSRILQLKSYKLRTSSVHSLPVKPSSNAPQRDHVKNEPKMSPNDESKVLQRSNTDTTALTEQHKLSKDQKPAKKVTFIDQNEKHSDAEINLSTSSSTISIGHQRKPQRDSNENSLVLATISTGHQRDIGALKLTGNLLVNNTPRRKSVDFVPKNKDLSSISNSYSNNAGQVMMGNIIRGNSDDLAKFRSTRNNKMDPEVLKSIGNEAYKQRKFEEALSLYDKAIAIDSNKATYHCNKSAALIALGRFQEAIVECQESIRLEPSYYRAYNRLATTYFRLGQAEKALECNNSTPYPDSFLPFQAQALQNHLKKCIEARKVNEWSVILKETQSAISLGADSSPQVYALRTEALLKLSRYGEAHAAYEKMPKYSVDWCNKIFGLACSAYLLVIGAQVHLAAGRFEDAVTAAQQAAKVDPSNMEVNVVLRRARTVTSARMSGNLLFKASKFMEACSAYNEGLDHDPHNSVLLCNRAACRSKLGQYEKAVEDCNVALIVQPNYSKARFRRADCNAKLERWEAAIKDYEMLIREKPGDEEVARALFEAQLQLKMLRGEDIKDLKFGSNLVFISTADRFRHYVTLPGMAVVLFTNKETNKQVLLMLEKTSKRFPSVNFLKVEIEDHPFLAKSEGVSSVPAFKIYKNGSRVEEISGKNHELLERSVKLFEDAVTAAQQAAKVDPSNMEVNVVLRRARTVTSARMSGNLLFKASKFMEACSAYNEGLDHDPHNSVLLCNRAACRSKLGQYEKAVEDCNVALIVQPNYSKARFRRADCNAKLERWEAAIKDYEMLIREKPGDEEVARALFEAQLQLKMLRGEDIKDLKFGSNLVFISTADRFRHYVTLPGMAVVLFTNKETNKQVLLMLEKTSKRFPSVNFLKVEIEDHPFLAKSEGVSSVPAFKIYKNGSRVEEISGKNHELLERSVKLYSS >OIW01721 pep chromosome:LupAngTanjil_v1.0:LG11:19309904:19315177:1 gene:TanjilG_05174 transcript:OIW01721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDFQPPQKKPEPAEARAEYECGTEEFMSGQLDDCMSFASCSSPRASVDEDDESDQLVRRRRRSDLEGDDLAESSAAQRRHSRILSRWAARQAQEMITTLERRNRESELMALAGLQTVSMLDSSFLRGSQSPTSGQEEAVERPSTQASSIMQMWHELEDEHLLNRARERLRGRLRQQRSSESNTNVSSTMSDSGGSENQRSLRDVSETENDYGTWSHDHIGPRNAHGIGNGSSREQSDLGEVERERVRQIVRGWMESGISDHSSNVSQRNNNRRGEWLGETERERVRIVREWVQMTSQQRGSRGSRRDAQVSDGAQVDRVRDVEADHDDGQPEHVRRDMSRLRGRQALVDLLVRVERERQRELQGLLEHRAVSDFAHRNRIQSLLRGRFLRNERPVEDERPPSVAASELVQLRQRHTVSGLREGFRSRLENIVRGQAVTNPDATSNSNISETRSDEYQGDNLVDGVQENYEQEQIRSLETDVHQLLNQTGPLESSTNESINWHEANNQGGNWQQQIAEDEGGNWQQRTYGPFNQLRDGSPDSDLLQETPRNSTTENPHPQEGQRIWHEDSTREAVGNWSDGPSEASRNRRGVPFRRINRFHPPDDDNVYSMELRELLSRRSVSNLLRSGFRESLDQLIQSYVERQGHAPINWDLHRNLPTQAPASTERDPDHQGDERNEGQHEAIDGPSLMMPSPPVPPPQPLWHQDLHQSGWSRHNMHRSEIEWELVNDLRSDMGRLQQGMNHMQRMLEACMDMQLELQRSVRQEVSAALNRSVGENGLVAETSDDGSKWGHVKKGTCCVCCDSHIDSLLYRCGHMCTCSKCANELVRSGGKCPLCRAHIVEVVRAYSIL >OIW02603 pep chromosome:LupAngTanjil_v1.0:LG11:2635004:2636252:1 gene:TanjilG_24054 transcript:OIW02603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMYSSSRVLAASAISVSSSNAEKDTKDLEASLNHLLHLHNHNSLSPRHRAEKAKNDAIMKAERVSELLVESVNGEVQDSFINQERIEYEIRTLASTITNFMKQTDQWFASTHALNTAVKEIGDFENWMKVMEYECKSITAAIQNIHQE >OIW02282 pep chromosome:LupAngTanjil_v1.0:LG11:5037682:5038101:-1 gene:TanjilG_11176 transcript:OIW02282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTEQASIGQNQVPNTYNVSESNSVSMNVDVPPTQDETHCSSPIDVEEARPTQLSSIWNHFVRRVDGKWKAACNYCGKRLLGDPSQGTNHVHNHFKSCIHRSNSDIKQALLKTIKKVVNQCWLVLMHSIKMLQDAHLQG >OIW01373 pep chromosome:LupAngTanjil_v1.0:LG11:32130268:32132813:-1 gene:TanjilG_12913 transcript:OIW01373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFTVLKSKKKKSDQTTYVKRFSHNDNVPTVLPEPQTHTRSLQSAPSSFRTRVKPIQPVNKVNNNRARALSAPSTLDAAEQDALASVEYEEQEESKQRTGSMKERRSSSPQPLPLPSPQGSVALKAMGSFKSVIVSGPLSASGPLPLPPTGSLRNFQYDEIASACLNFSSDRCMSECLSSTIYKASFGDEVSSSKKFEATVTRLHPSTQGLKEFMNEVYTLASLQHPNLCKLLGFHARDGSEQRMLVYERLYHGSLDRLLYGRSDGPSIDWNTRMKIATCAAQGLTFLHEEGPFQAMYNEFSTANIQIDKDFSAKLSGYGCVGHIPEEEISSSSSAVGNLSMETLEKRMLTPKSNVWSFGIFLLELLTGRKNLDSRHPKEERNLVKWSRPFLADDYRLSLIMDPQLKGRFPSKAARTIADIAQRCLQKEPSERPTMRTVVKHLKMIQDVKYSCRFPLQEPAAISGKHMSRSPSLNGVICPAPRLSFSPSPPSIALVSVSPPRWSGVPIVLPPRACLEELDRQESRKSSSSAPRRASVEGF >OIW02529 pep chromosome:LupAngTanjil_v1.0:LG11:3123865:3127476:1 gene:TanjilG_12843 transcript:OIW02529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAEPSPKPRLVLCPKCRKVLPEPPDVDLYQCGGCGKILQAKKQKNRAVNSESITHRTVASPTNSLDLVPDHKQHSSGEQLVIPQDNGLRQKATISSSGNGEQLVIPQENSLRGKAISSSGECSSDGNGGKGLVENGECHGEQLAISPHNGVKENVTSSFSGECSVTSVDENGGRGQIENGEGCGEQLVISHEDGLRGKAANSSTGESSTDGNGECNREQLRRSGLSDEELENKMDIYKLLHIRRVSGESYSNEQTRSEIEASSELKADNSVENANNANLQLEGEELSNGNMPLEGAGEELGCALDKEGTNNEKSALDLVKSEVNITGSDLEGAEELNNGNLLPEGAKQELIFELNREGVNNDKSAPVGANSEVEITGSNKAAEEINNGNLLEGEEEELNTCASDGEDIKNDQPDLAGAKTEVDITGSASTAKRSSHEKFIYQKGSISQSSPAKLEEGTSSNRVSSPNQQWKQAQKSIHHSFDSVTSVDIFDTTNIMNPSSELTGALEEMRRSSTTRSSHAYEGSVSSNDREDERFCSQQLDSFENNYTVANGVSEGRFRKGKGLVNSMLYGDLDTQQESFLPNGKHHVLKDNRGIQNEVQETTRHGHPHWTRTRREEFPPKIPFHQSGSQSGYESGSPSNQTHDGLYCSSSFLSLDSLDNPDQEKMELLRMIYKLQDQLNRTSYANGETNGRFPTGVSYKGNHVSTNHGHGLHEGRFYHGYDFPRCDGGCDHGTNHHRSPNFSRPYVSGVASSKDHTDYSCVHCYPQEWQRSAELPLTVPFQHEEIYKSHLDQNYCPSHCAYPSSPQCVMPSKLLYVHETKSGDQMHGVPEVMKYIRKKQNLTMRHYRPIAGGAPFVTCHKCLNLLQLPTDFLIFRRAFHQLKCGSCSEVLKFSLQNRSHIVSYAPNAIVPSSGDLDDQNEVINSNSLHSESHANYYHSSHADPISYSDDFGHSISKSHSSESDLVSTTPFHPLHGLKNDNPSVSHGTLEPITKNERIASIGPSTSEDIVETDELDMDSSNMSSEMEAQSAPRSSALHKLMGYSSPIHVIRGIQSIVEGK >OIW02342 pep chromosome:LupAngTanjil_v1.0:LG11:5745345:5748147:-1 gene:TanjilG_11236 transcript:OIW02342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPHILLILNFLVLIITLWSQDSVGSINPKFEACQPKTCGNNSQTISYPFYIEGIQEPFCGYPGFGLSCNKDGFPFLNMSHSQYIIHEIFYNNHSLRVSNAAFSGSNTTSCLPRTQNLTLSGTRLALSPNQKDLFLFFGCEFEWSQLQEHKIGCSAENGTGSVVALYRGDQNLISALMKCKGGVVNMTVESEKGGIQEALRRGFVMTWKVSDCNKCTSTGGKCGFDTEIFSFRCYCPHDTHARKCSSEESGKPVVILATVSAAAGFGLVVIIIYCFRRKLLCRFWKKKSRVNQDFETFLKNYGPIAVRRYSYMEIKKMTNSFKEKLGQGGYGSVFKGKLEDGSLVAVKILSELKGNGEEFINEVASISKTSHVNIVTLIGFCSEESKKALIYEYMANGSLEKFIYETNNLTADCQLNCEMLYQIAIGVGRGLEYLHRGCNTRIFHFDIKPHNILLDEMFCPKISDFGLAKICPRNESVVSMLVARGTIGYIAPEVFCRNIGVVSYKSDVYSFGMLVLEMVGGRRNVNVEVECTSEIYFPYWIYKRIELNEELALRNVMNESDRDKIKKMILASLWCIQTNPSDRPTMHRVVEMLEGNVETLQVPPKPFLSSPSVSPHSSPSH >OIW01730 pep chromosome:LupAngTanjil_v1.0:LG11:19099494:19099745:-1 gene:TanjilG_03868 transcript:OIW01730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAYSTVNPSFASMLAVFLSLLVFFMLVSAAPTSLTLKRSFPNHGMELSKLREMDMKSHRRMSQSHIVSLLVHGTSDPIQAG >OIW01620 pep chromosome:LupAngTanjil_v1.0:LG11:22574081:22576583:-1 gene:TanjilG_22664 transcript:OIW01620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPLMAGLAVAAAAYAGRYGIQAWQAFKARPPTLRKFYEGGFQPTMTRREAALILGVRERTPTDKIKEAHRRVMIANHPDAGGSHYLASKINEAKDMLVGKTKGSGSAF >OIW01558 pep chromosome:LupAngTanjil_v1.0:LG11:25691196:25691417:1 gene:TanjilG_10840 transcript:OIW01558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIPHITFPKQKKANPPATLNTYTTETNLLRHFFHYLARLGYPVLQICGFENSEECSASTLANPIKAKPSQLK >OIW01732 pep chromosome:LupAngTanjil_v1.0:LG11:19084343:19089679:1 gene:TanjilG_03870 transcript:OIW01732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVEIKEEREVGAKAGGEEEEQIVNPWEVSAKDGGKIDYDKLIDRFGCQRLDQSLVERVERLTSRPAHVFLRRGVFFAHRDFNDILDAYERGDKFYLYTGRGPSSEALHLGHLIPFMFTKYLQDAFKVPLVIQLTDDEKFLWKNLTIEESRRLARENAKDIIACGFDVSKTFIFSDFDYVGGAFYRNMVNVAKRVTYNQAVGIFGFTGEDHIGKVSFPPVQAVPSFPSSFPHLFSGKENLRCLIPCAIDQDPYFRMTRDVAPRIGYHKPALIESSFFPALQGENGKMSASDPNSAIYVTDSAKEIKTKVNRYAFSGGQDSVEKHRQLGANLEVDIPIKYLSFFLDDDVELEHIKKEYGAGRMLTGEVKQRLVEVLTELVERHRRARASVTDEVCILHYALFSIDVHVVN >OIW01390 pep chromosome:LupAngTanjil_v1.0:LG11:31581070:31583129:-1 gene:TanjilG_10820 transcript:OIW01390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEENAQPLNYIPEVILKKRKNSEAWALRKKEQFQMRNFQSKKTKDYIKKPEDYVLEYRNKEVDFIRMKRRVKRKLPGVLPTNPKPLIIIRIQGKKDMHPSTRKVLHSLGLRRIFGAVFVRPSAGVLAKLQKVEPFVTYGYANLKSIKDLIYKKGNTRIEKRTVPLTDNNIIEQELGKFGIVCIEDMVHQIDNLGPHFEEVVKFLWPFELNKPAEGLRGSKTLFKDGGDTGNREDLINELINKMN >OIW01426 pep chromosome:LupAngTanjil_v1.0:LG11:30776562:30781713:-1 gene:TanjilG_25722 transcript:OIW01426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLILCSCNCKSLCFPNCTLPLNRIPVFNNTFKNKLNRKQNSFTFTNKDAILSGAHVAFCSRNDKFNGFSSTQLSQQIIEDRIHEHEAFELLNKPSLVPIENGLMEGASEMEDLAPFEKNLTGSNRSLEEVKINGRVLEVSEERDKSEEAKRVKVEYYEPKPGDFVVGVVVSGNEDKLNVNVGAELLGTMLTKEVLPLDSKEMEYLLCDMNKAEENFKVRGRMEILKNDDATSGAPVVGSTVVDIRTILFAEVIGRTLAGRPLLSSRRLFRRIAWQRLRQIKQLNEPIEVRITGWNTGGLLTRIEGLRAFLPKTELMKRVNSFTELKENVGRCMHVQISQVDEAKRNLIISEREAWERLYLQEGTLLEGTVKKILPYGAQIRIGETNRSGLLHVSNISRAGITSISDVLFVDEKVKVLVVKSMLPDKISLSIADLESEPGLFLSNKEKVFLEADIKAKEYKEKLPPAYMTQQSESEPLLTSDIPFENEALYANWKWFKFEK >OIW02925 pep chromosome:LupAngTanjil_v1.0:LG11:178867:179286:1 gene:TanjilG_29701 transcript:OIW02925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHFDSTQYFNGDFTLLTNFSNPNRRIDIKLESLDIVLLFSERIISSQSMKPFTQKARKSRLQTVNLISSLMFLPQDVSVKLQRQVQNNKVVYNVRGTFKVRVNLGLIHWSYWLHSRCQIEMTAPPTAVLISRQCITKR >OIW02746 pep chromosome:LupAngTanjil_v1.0:LG11:1388693:1389277:1 gene:TanjilG_29522 transcript:OIW02746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSDGSNHLSVEKSFRIKEDDRFFSRLMSKETSMANTSSRVFYYRETSVAVPFIWEAQPGTPKHPLSETYLPPLTPPPSYFPISKSLKRERNSKANIISCILPMFSSGSKKSTHHVSSPLSSRSSSPSSSSSTWSLSVYPSSSYSSKDKDKGSHSFSNLKAFGSYFKHRASNGFRGCYAFRKMKNAIISHGGRV >OIW02169 pep chromosome:LupAngTanjil_v1.0:LG11:7689016:7693032:1 gene:TanjilG_02393 transcript:OIW02169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQIPIILLSFIFICTLSISNLAHSKTLKRDVKALNEIKASLGWRVVYAWVGDDPCGDGDLPPWSGVTCSTMGDYRVVTELEVYAVSIVGPFPTAVTSLLDLTRLDLHNNKLTGPIPPQIGRLKHLRILNLRWNKLQDAIPPEIGDLKSLTHLHLSFNNFKGEIPRELANLPDLRYLYLHENRLTGRIPPELGTLQNLRHLDVGNNHLVGTIRELIRIEGCFPALRNLYLNNNYFTGGIPTQLANLSSLEILYLSHNKMAGVIPSGVAHIPKLTYLYLDHNQFSGRIPDHFYKHPFLKEMYIEGNAFRPGVKPVGFHKMLEVSDSDFLV >OIW02406 pep chromosome:LupAngTanjil_v1.0:LG11:3743306:3750554:1 gene:TanjilG_04999 transcript:OIW02406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKSSSCTICENSNLASICSVCVNYRLNEYNASLKSLKDRRDSLYSKLSEVLVLKGTEDDQNNWRVLQHEKLARLREKLRHSKEQVTQGRAKIETISTDLKLKYEVLESALSTLEKNRVEQLEKFYPNLICTQSLGHVAITSELLHKQSVVIKQICRLFQQRRVLIEGDRRDGSSGQYDQICNARLPRALDPHSVPSEELSASLGYMVQLLNLVVHNLAAPVLHNSGFAGSCSRIWQRDSYWDARPSSRSNEYPLFIPRQNYCSTSGENSWSDRSSSNFGVASMESERRPRLDSSGSSSINYSLASSHSVQTHKDLQKAISLLKKSVACITAYCYNSLCLDVPSEASTFEAFAKLLATLSSSKEVRSVFSLKMPRSRTCKQIQQLNKSVWNMNSAISSTTLLESGHSVPTTRIENYLPSSAASFLYTTDLSEGKNECLIEGWDIVEHPKFPPPPSQSEDVEHWTRAMFIDAKRK >OIW02344 pep chromosome:LupAngTanjil_v1.0:LG11:5785427:5787572:1 gene:TanjilG_11238 transcript:OIW02344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLAMNKDNLNRSKIEQWKQKELFFLVAYAIVFYIIFIARSLQLSRDHYSQLYGLRQGWLIPNHLNDMSDSQWRNFRGNLPVLTLVFGIFTLLANLMRAFFNLKVRGMSIVWLLFSLAYLLYLHGACVIFILSIATVNYFLVKIFARKNYFPPLIWSYNIFFLLCNRIYEGYPFTVFSERWAILDNYRGSFRWHICFNFVVLRMISFGFDYHWTNQDSRFDHEVVVMAIY >OIW01661 pep chromosome:LupAngTanjil_v1.0:LG11:20847084:20849120:-1 gene:TanjilG_04629 transcript:OIW01661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRRPMGGALPDLMEKNASKIQNSRICCLASLSAFFWFLLLYFHFVVLADNHNSNNSYDLVQSTVNHESTLIHINKTLDIPQLQTPPRKFAFPKSDDHDHDTRTHSIEKSDDDHDHDIHTRASPIEKSDDDHDRDIHTHASPIEKSDDDHDHDIHMRTYPFEKSDDDHDHDIHTRTSPIEKGDDHHDHGIHTRTSPIEKKTFPFERALRTADNESDPCGGRYIYVHDLPSRFNEDMLKECKSLSLWTNMCKFTTNAGLGPPLENVEGVFSDTGWYATNQFAVDVIFSNRMKQYECLTQDSSMAAAIFVPFYAGFDIARYLWGYNISMRDAASLELVDWLMKRPEWNLMNGRDHFLVAGRITWDFRRLSEEESDWGSKLLFLPAAKNMSMLVVESSPWNANDFGIPYPTYFHPAKDADVFNWQDRMRRLERKWLFSFAGAPRPGNPKSIRGQLIDQCRSSQVGKLLECDFGESKCHSPSSIMQMFQSSHFCLQPQGDSYTRRSAFDSMLAGCIPVFFHPGSAYTQYTWHLPKNYTKYSVFIPENDILKGKISIEERLSQISPEQVKIMREEVISLIPRLVYADPRSKLETLKDAFDVSVQAIIDKVTNLRKDIIEGHTDENFIEENSWKYALLDEGKHEVGPHEWDPFFSKPKDGNGDSSDSSAEAAKNSWKNEQGIHS >OIW02551 pep chromosome:LupAngTanjil_v1.0:LG11:3292242:3294589:1 gene:TanjilG_12865 transcript:OIW02551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFHQPFPDQVLENVLENVLHFLSSRHDRNAASLVCRSWYRAEAITRSELFIGNCYAVSPNRATTRFTRVRSITVKGKPRFSDFDLMPMNWGAHFSPWAIALSKAYPWLEKIHLKRMSVTDDDLAVVAESIAGFRELVLVCCEGFGTRGLATVAGKCRLLRVLELVDSVVEVAEDEEEVDWISCFPESQTHMESLVFDCVECPVNFGALERLVARSPSLKKLRLNSYVSISQLHRLMLRAPQLTHLGTGSFSASEAVAIGDQEPDYASVFAACRSLVCLSGFRDILADYLPAIYPVCTNLTSLNLSYADINAEQLKSVICHCHKLQTFWALDSICDEGLQAVAATCKDLRELRVFPVNAREESEGPVSEVGLEAISQGCRKLESILFFCQRMTNAAVVAMSKNCPDLVVFRLCIIGRYRTDPVTHQPMDEGFGAIVMNCKKLTRLAVSGLLTDRAFEYIGRYGKLVRTLSVAFNGDSDMALKYVLEGCSNLQKLEIRDSPFGDEALRSGLHHYYNMRFLWMSSCKLTRQGCQEVARALPRLVLEVINSDENEADDIEILYMYRSLDGPRDDTPKVVTILH >OIW01286 pep chromosome:LupAngTanjil_v1.0:LG11:34664754:34670707:1 gene:TanjilG_10447 transcript:OIW01286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLKVNEKLLKELESMGIQQPHAIPALYYSGNTSVEKAISWLIHHDTDSDIDEMPLVDVDIPIESTESFPTTEEMRITAEKLRKQMRKRKEEEEKKIEKEREKERIQAGKKLMEAKRIAEEAERKRYLALKKAEKEEEKKARQKVLQKLEQDKNLKPDYTTAKVDHLRECLRSLKRNLQGENARVRRAFETLLIYIGNVAKNPDDERYRMIRLSNPVFQERIGSLKDGVEFLELCGFERRGDFLYIPHKKIDTTLLNSAGYVLNSALTNPFFGALSTCD >OIW01847 pep chromosome:LupAngTanjil_v1.0:LG11:16375770:16377948:-1 gene:TanjilG_07142 transcript:OIW01847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMCLGALGQCVLDAPCQGCENLLYLGVMSRSESACDACDIRSGGLGLLKWGNVGDVIIPNKRDRRGKRFGFVRFKQNEGKDIPLEALYQVWIVNYKVKINSPRFKRLDDKSLNERSAPTRREPILAPSPPFHSFKPGKNNSWKEVLMNGKTNHNSTTSQNRNTLVYEIPEGRMESL >OIW02166 pep chromosome:LupAngTanjil_v1.0:LG11:7716251:7717033:-1 gene:TanjilG_02390 transcript:OIW02166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMWNFASNALSSIRKRSSGDLSQTCAEGSDDDMCSNGSRDEVLECPICWESFNIVENVPYVLWCGHTLCKNCVLGLQWSVLKFPTQQVKVPFFISCPWCHLLSFRLVYKGNLKYPCKNFFLLWMVESRNGDRQKVVSTCVDSQQIWSPKSNLLGSQATTCNLRRASTSHSGSWGSNSDAGGSDGARHHFSLHKSLDFFLHFTSKFPLVIIFLLIAFFVVPCSAVILLIYLLVTILFAIPSFLILYFAYPTIQRLIREITT >OIW02127 pep chromosome:LupAngTanjil_v1.0:LG11:8803991:8811696:1 gene:TanjilG_26667 transcript:OIW02127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTITIEPLFYHCHIKTTQIRIYSSKPKPFIPLFLHCSSSNINISNASSFYSFRQPNRFNSVAVAASSIDTTSTLQCSHDDDDAFFTKSFPINQIQMVEGKITVRLEQGKDLRNWERRVGCNLIGKWILHWGVSHVDDVGREWDQPPRNMIPPESVLIKDHAIETPLKKSFFSDEGDTFHEVRIDLKPINEISAINFVLKDEETGVWYQNKGRDFKVPLLDFIKEDTNIIGPKEGLSLWPGTLGQISNTLHKSDAKVHKGQYNSSDSRDPKQENSELEGFCVEMPITKKVSINNSVSISIKKCYESWAVKNILYFETDLPGDIVLHWGVCRDDSRRWEVPPAPHPPETVAFEDRALRTQLQPRDSGNGSSVLITLGEEFSGFIFVLKQNENAWFKYMGNDFYIPLSSSGREGQSEDVHRGVSEEASQESSFFAFTEGIVNEIRNLVTDISSEKCRKRKSKEAKESILQEIERLAAEAFNFFRSSVPTFSEEAAVGYEASMESETSTPDPKVCSGTGTGYEIVCQGFNWESHKSGRWYRELKEKASELASLGCTVVWLPPPTESVSPEGYMPKDLYNLNSRYGNIDELKDLVKTFHEVGIKTLGDAVLNHRCAHYQNQNGIWNMFGGLLNWDDQAVVADDPHFQGRGNKSSGDNFHAAPNIDHSQEFVRKDLKEWLCWLREEVGYDGWRLDFARGFSGGYVKEYLDASEPYFSVGEYWDSLSYTNGEMDHNQDAHRQRIVDWITATNGTSGAFDVTTKGILHSALERCEYWRLSDQQGKPPGVIGWWPSRAVTFIENHDTGSTQGHWRFPIGKEMQGYAYILTHPGTPSVFYDHIFSHYETEIEKLISLRKRNKIHCRSIVQISKAERDVYAAIMDEKIAMKIGPGDFKPPSGSQKWCLAIEGRDYKIWEAS >OIW01828 pep chromosome:LupAngTanjil_v1.0:LG11:16721965:16722900:1 gene:TanjilG_15692 transcript:OIW01828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLISYAFAGIGFILVGAHEALNTTLFNTNHSSSSSSFFFIFLSIFSSSFVLNSLISLFDANNSNDAVGSAFQLQILAVALIFLFYSVIALLNKHLHLPTALLGLICNFAFVEEFLLFYLQRKDTGGIENRYYDLLLAPLGICIFSNFLELKSSKSNAPRLGRGIGLILQGTWFIQMGLSLFSKNWVAEGCSLHQVSRGNYSLRCNGHPEYHRARAIATLQFNCHLSLMVVVVVALYPLICGKDGGYVDSSKYRPIGAELQSIQNSANFTLDSDGDGDVDDDDHEIKEGHNVADQKAIIVELGLNGHVSHP >OIW01140 pep chromosome:LupAngTanjil_v1.0:LG11:35943260:35946088:-1 gene:TanjilG_25248 transcript:OIW01140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVVLHPLCKTIVTVKSLSYRQHQQHQQHQPLHFSQKPKLCCSLNHFPSLPLCANLCSLSRFSALVLSATQEDQLSVGENTDTKEWALQDFYSLRRDVEITSQRVQEIRGSAGLQLLEQELSDLEEKAASTSFWDDPAKAQHTLSTLADVKDKIKLLTHFKTQIEDAETIVKLTEEMESTDRGLLQEAATLIKDLIKALDRFELTQLLSAPYDKEGAVISITAGAGGTDAQDWADMLLRMYMRWGEKQRYKTRVIEKSPGEEAGIKSATLEIEGRYAYGYLSGEKGTHRIVRQSPFNAKGLRQTSFSGIEVMPLLPEESLNVEIPEEDLDISFSRAGGKGGQNVNKVETAVRITHIPTGVTLRCTEERSQLANKIKALSRLKAKLLVIAEEQRASEIKQIRGDAVKAEWGQQIRNYVFHPYKLVKDVRTGYETPDVTSVMDGELDPFIKSYLKHKYNMALSASGAN >OIW01879 pep chromosome:LupAngTanjil_v1.0:LG11:14966173:14967061:1 gene:TanjilG_31061 transcript:OIW01879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGHGHGGSTTYKGVTLHHPKRWHTVTGKGLCAVMWVSNKKGGLVYEALPTRVDVDRPTLVREEAIATHDLRALSPKAISLMVASILNISWERRFWIMYRAKQDAPVVLGWRHPWEGHGDHDDHDDHGKGH >OIW01294 pep chromosome:LupAngTanjil_v1.0:LG11:34722678:34727364:-1 gene:TanjilG_10455 transcript:OIW01294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLRRRRLLGLCTGSSSFVTPLPLYCENLPGSESAARHAKPKSEQSVVSDHASILDSNTVAQEEPGSSNLSGSSSSKEQLIQQTTGPPVKRRKRHRRKNWQNQEPCLMRGVYFKNMKWQAAIKVDKKQIHLGTVGSQEEAAHLYDRAAFMCGREPNFELPEEEKRELRNFKWDEFLAMTRHAITRKKHKRRLSPGSLNNLELPPLNKDDSDTKQDFTPCEDAEQET >OIW01872 pep chromosome:LupAngTanjil_v1.0:LG11:14619194:14622115:-1 gene:TanjilG_31054 transcript:OIW01872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGNLNYAIEGNKKESRGALVVLEGLDRSGKSSQCSRLVSYLEGHGISAELWRFPDRTTDVGQMISAYLTNASQLDDHTIHLFFSANRWEKRSLMETKLKTGTTLIVDRYSYSGVAFSAAKGLDIEWCKAPEIGLLAPDLVAYLDISPEKASERGGYGGERYEKLDFQKKVAESFKDLHDASWKVVDACQPIEDVEEQLQEIVLNCVTGCQNGKPLSLLWSK >OIW01566 pep chromosome:LupAngTanjil_v1.0:LG11:24867766:24870914:-1 gene:TanjilG_09390 transcript:OIW01566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQKNQNHDSGTISFEAPNERRNKFPNFLLSVRLKYVKVGYHYLISNIMYLVLIPLIGVASAQLSSLSIHDVVKLWENLKFNLVSVTLCSSLIVFLATLYLMSRPRGVYLVDFACYKPQEDCTCTRETFMNRSNLIGSFSEDNLSFQKKILERSGLGQKTYLPPAILSVPPKPCIAEARKEAEEVMFGAIDQVLAKTGVKAKDIGILVVNCSLFNPTPSLSAMIVNHYKLRGNVLSYNLGGMGCSAGLLSIDLAKQLLQVHPNSYALVVSMENITLNWYFGNNRSMLVSNCLFRMGGAAILLSSWSSDRRRAKYQLVHTVRTHKGAEDKSYGCVFQEEDETKRIGVALSKDLMAVAGEALKTNITTLGPLVLPMSEQLLFFATLVARKVFKMNIKPYIPDFKLAFEHFCIHAGGRAVLDELEKNLELTDWHMEPSRMTLYRFGNTSSSSLWYELAYTEAKGRIWKGDRTWQIAFGSGFKCNSAVWRALRTIDPAKDKNPWMDEIHEFPVHVPKVATIGSSTKEGV >OIW01747 pep chromosome:LupAngTanjil_v1.0:LG11:18757658:18769862:-1 gene:TanjilG_03885 transcript:OIW01747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAYSTVNHSFTSMMVVFLSLLVFFMLVSAAPTSLTLKRSFPNHGMELSKLREMDMKRHRKMPQSHVVSLPVHGTSDPIQAGKEKFMKMSKCSGQFTDESLDFQMRIVNGFGLGKNTYLPESMLKIPSSISIADAREETESVIIGAIDELLLKTKVSVYDIGILVTNCSIFNPIPSLSAIVVNHYKLKHNILSYNLAGMGCSAGLIALDLAKQLLQVHPNSYALIVSTENMNSGWYQGNNRSMLVNNCIFRIGGAAILLSNLSSDSSRSKYLLCHTLRTHKASQHDCYNSIMQREDETNTTGISLSLDLIRYASRETIRSNISTLGKFVLPFKEQIKFLATLVGIKYLKINMKRPYNPDFKLAFEHFCVHTGAKEIQDVLKEILQLNDYHLEPSKMTLHRFGNTSSSSVWYVLAYCEAKGRIRKGDRMWQLSFGAGFKCNSAVWRALRTIDPAKETNPWTNEIHHFPVDVSALYK >OIW01290 pep chromosome:LupAngTanjil_v1.0:LG11:34692615:34697822:-1 gene:TanjilG_10451 transcript:OIW01290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKLSCHGINRVHFFTQCGFTDRPTSIFPSRKRNTAVGFHSPATRASPVLAVVSDRNGAVLNRVEPGSGILADRFRLGSLTEDGLSYKEKFIVRSYEVGINKTATVETIANLLQEVGCNHAQSVGYSTDGFATTPTMRKLHLIWVTARMHIEIYKYPAWSDVVEIETWCQGEGRVGTRRDFILKDFATDQVIGRATSKWVMMNQDTRRLQKVSDDVREEYLVFCPREPRLAIPEKNSNSLKKIPKLEDPAQYSKVGLVPRRADLDMNQHVNNVTYIGWVLESIPQEIIDSHELQSITLDYRRECQQNDIVDSLTSVEQIDGVEVIPELKGRNGSTIAKEDKQFLHLLRLSSEGLEINRARTEWRKKAPR >OIW02320 pep chromosome:LupAngTanjil_v1.0:LG11:5548488:5549936:1 gene:TanjilG_11214 transcript:OIW02320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDQQLLITLNEEHPQSPLVVMMPSPGMGHLIPLTELAKRLVLHHNLSVTFIIPTDAPPSKAQTTVLRSLPSAISYIFLPPVTLSDLPPDTKAEPLISLTVLRSLPSLRHALLSLNGTVTALVVDLFGTDAFDVANELNIPSYLYFTSTAMVLSFCFYLPHLDQKVQGEFVDLPEPVRMLGCIPVQGKDLLDPVQDRSNDAYKWFLHHSGRFKLAHGIILNSFQELEPGAIKELQKQEPGDPPVYPVGPLVNIDHAQTGSHECLTWLDGKPRGSVLFVCFGSGGTLSNAQMDELAIGLEMSEQNFLWVVKSPNDKIANASYFTAHTRADPFDFLPKGFVERTKGRAFIVSSWAPQAQVLSHGSIGGFLTHCGWNSILESMVNGVPLVAWPLYAEQKMNAVLVSEDVKVALRPKVGENGLVGREEIASVVKRLMEGEDGKKLCYQMKELKDVAAKILSENGSSTKLISHLALKWQGKTTTFTN >OIW02334 pep chromosome:LupAngTanjil_v1.0:LG11:5687316:5690929:1 gene:TanjilG_11228 transcript:OIW02334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVGFLGLGIMGKAMSINLLRHGFKVTVWNRTLSKCDELVEHGASIGETPAAVIKKCKYTIAMLSDPSAALSVIFDKDGVLEQISNGKGYIDMSTVDDDTSLKISEAIKAKGGDFLEAPVSGSKKPAEDGQLVILAAGDKALYDEIVPAFDVLGKKSFYLGEVGNGAKMKLVVNMIMGSMMNAFSEGLTLAERSGLNPATLLDVLDLGAISNAMFKLKGPTMLKNSYSPAFPLKHQQKDMRLALALGDENAVSMPVAAAANEAFKTARSLGLGDLDFSAVHETLKALDHST >OIW02361 pep chromosome:LupAngTanjil_v1.0:LG11:4890305:4892421:1 gene:TanjilG_08508 transcript:OIW02361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKVEQTNGALAHIDPKDKQLNHKKTIVEEEKSFTYAMQLVNSSVLSMALQSTIELGVFDIINKAGAGAKLSAKDIAEEFSCKNPDAATMLDRILRLLANHSIVDCTVIDDENGPPPHLLRLYSVAPVTKYFVSNGGGGSLGPLLLLTQDKALLESWYQLKDAVLEGGIPFNRVHGKHVFQYANLDSSFNHLFNIAMTNRATLLMNKILESYNGFEHFNKLIDVGGSLGVTLNIITSKYPHIKGINFDLPQVIERAFPYPGVEHVGGNMFDNVPQGDAILMKCVLHDWSDEDCLKVLKNCYAAIPDNGILIVVEGVLPFEPETTDAVRSISQFDVLMMTTNPGGKERSEYEFMTLAKGAGFSGIKYKCFVYDVWVMEFFK >OIW01795 pep chromosome:LupAngTanjil_v1.0:LG11:17988625:17988965:-1 gene:TanjilG_03933 transcript:OIW01795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSECKGKSSWPELVGVQGTEAEATIERENPLVNVIIVPEGNFVTADFKCDRVWVWVDASGNVKRIPIIG >OIW02569 pep chromosome:LupAngTanjil_v1.0:LG11:2891232:2894899:1 gene:TanjilG_24020 transcript:OIW02569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEEVSLDYELSAEKNEAPTMQTLDSEPPICDLVISSDRYVGLQKEIEKKNEEKEVQEGKNKGVELKEDDQKKLMDLGLAEMERNKRLESLIARRRARKLLKLHLENGVVDPVMPREIAPLLIARGNPYDSPREFEGIDGIERPGSAPSALRSPFDIPYDPNEEKPILKGDSFDQEFFTTLQKELQFCRHESFNYFSLEPRQNLAYPRARRFPGKGNEDWFEQLISKERNECEPKALTPLSEREETTNEDDEKGKTETVSVKDEELENANATKSMSDHTSKLDLTTKITNAESSEVIENPGLTIPKPHVRGLNFPRSNIDATNINDSLYESLPSQVNKNQVNALFTGGGISLTPSHSIASDLQVEVSEVGSPTLATVDDSHEINTTTDEESIAYDRDIDKDIITSGSEEMWGASFNSRGVSSVIEQDISEVHNWRDIASPLSPQIIDEENTADVSSMSSISDMPEDTSTHEGSNDGNIFGIVEECIGETDVHHPSNSSDVIARWKRLMRLMDKNVNHLPHETHAEIPEEMSILSEDLITEAQVINNVNNSATIEQDSTNNKESNEYNTLAVQQETSDEVTINSGLSFSPRSVLPHNTIADQVSSSAYNQEMHLGNLQSNSEVMAHATLNGESPLDTMPQNNELSLDYPTLESHNNDFRHSQEWTYRPKNSIEESNMSSKMSDTEVYNMEEEEKLKSDKNSTEKFSPLSREDATTESPKQVEIMDEKSRELFDDKEPLDSVRLEASSDVHRENEDESQTSVRQEAAKEPFTNAEVMDTSSAIHLEGEDHSDLDKNETILSPNDTKEELSNYLQSESKHVVEDHMEKEKLVDLVDICEDSTLTSAEEELNNNERMVLSDSAGESHEVETEQLLHLEDVSAPNPNDSSKEIECFQKELTDLVE >OIW02730 pep chromosome:LupAngTanjil_v1.0:LG11:1493418:1493948:1 gene:TanjilG_29506 transcript:OIW02730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGYEPRSTSSCAACKFLKRRCTPTCIFAPYFRSDECKKFAKVHKVFGASNVSKILIEVPEEQREDTVNSLAYEAEARLKDPVYGVIGAIALLQRKMMELQHDLAIAKDRLARYAAAATTTAPDFYNNHVSLPPFPEFYTCTDFNDSFCHSSSSPTPSFSRHEITVDDFVQIPYIF >OIW02267 pep chromosome:LupAngTanjil_v1.0:LG11:6441742:6446863:-1 gene:TanjilG_15150 transcript:OIW02267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFEDDAKKELKEVSRKISKAFPLSRTSLASMESLSLPMVQEVVLSADMQCEKCLKRITDIIAKMNVAHLSIMAFEDDAKKELKEVSRKISKAFPLSRTSLASMESLSLPMVQEVVLSADMQCEKCLKRITDIIAKMNDNANHLNEEMLHLAMMFSNRFSDEPCCMIMRINVDCDACCRKLRRIILRMKEIETHMIEKQKRTVCVCGRFVPADVAIRIKKKMNRRVEILEVEELGGDVENEHEQLETAEETERPHIPMVVYPGQGPSYHPTMPMGQCM >OIW02134 pep chromosome:LupAngTanjil_v1.0:LG11:8230950:8233877:-1 gene:TanjilG_06729 transcript:OIW02134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPNFSLLFKLLILQYLSGLCLSRDFDFFYFVQQWPGAYCNTKQGCCYPKTGKPTADFGIHGLWPNYKDGSWPSNCDPDSVFDKCQITELMSSMNKKWPTLSCPGSNGVRFWSYEWEKHGTCAESELDQREYFETALNLKEKINLLQILKDADIEPDDGFYSLDRISKAIKKGTGFTPGIECNKDSAHNIQLYQVYMCVDTSGTNLIECPILPRTQCGSDIQFPKF >OIW02147 pep chromosome:LupAngTanjil_v1.0:LG11:7921184:7928317:-1 gene:TanjilG_02371 transcript:OIW02147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRVKLKIKKLENTNGRQATYAKRKNGIIKKASELSILCDIDIILLMFSPTGKPSLCRGRRSHWTDFGKISDAEKLEQMENSLKESLNQIRTCKENIQKQQLASLQCNNNQFNEMRIPFIMNADQHLQPLSWIANSDSQNMVLQEDSRFLQHRDVEGSTSSSFGTYASYLGSSSKTDISNSGQENGVLSDLSSNAPVRLQLNGQFPCQPYNFNVLNDIKFQLEAEMNPHENHVDYHVNGRFEAPRPGYDSNQYNWTSTSGPCAVTMLDEYLISQTSFPQVHFGFT >OIW02035 pep chromosome:LupAngTanjil_v1.0:LG11:9820207:9825452:1 gene:TanjilG_13773 transcript:OIW02035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKISRLVLAIILVALMLSPERCESRKAKIISTSFEYSAINCRAHSVSLTDFGGVGDGNTSNTKAFQSAINHLSQYGSEGGAQLYVPAGKWLTGSFNLTSHFTLYLDKDAVLLASQDISEWPVIEPLPSYGRGRDAAAGRFTSLIFGTNLTDVIVTGDNGTIDGQGEFWWQQFHKKKLKYTRPYLIEIMFSENIQISNLTLLDSPSWNIHPVYSSNIIVKGITIIAPVTSPNTDGINPDSCTNTKIEDCYIVSGDDCVAVKSGWDEYGIKFGWPTKQLVIRRLTCISPFSATIALGSEMSGGIQDVRAEDITAIHTESGIRIKTAVGRGGYVKDIYVQKMTMHTMKWAFWMTGNYGSHADTHYDPNALPEISNINYRDMVADNVTMAARLEGISNDPFKGICISNVTIGMAVKAKKQPWTCTDIEGVTSGVTPQPCNLLPDPGSEKITTCDFPTENLPVDNLELKKCTYSIKHA >OIW02040 pep chromosome:LupAngTanjil_v1.0:LG11:9891072:9893549:1 gene:TanjilG_13778 transcript:OIW02040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKFVLKLDLHDDKDKQKALKTVSTLPGIDAISMDMKEKKLTVIGTVDPVNVVSKLRKYWHTVILAVGPAKEPEKKEEPKKEEAKKEEEKKEEPPKEEGKKEEKKEEKKEEKKEEEKKEEEEKKKEPVPDPVLELVKAYRAYNPHMTTHYYVQSMEENPNACSIC >OIW01091 pep chromosome:LupAngTanjil_v1.0:LG11:35585088:35586272:1 gene:TanjilG_25199 transcript:OIW01091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEKHKCKLCLRSFSNGRALGGHMRSHMMNYPLPTKPQASSSRTIQLSFEADSASSSSSEENSDNNNNNNIVNNNDDKGVICYGLRENPKRSFRLEDPEFSSMILQDRESETESYKNRKWYETSNYRSRMRDDCNNDEGEAMMKKIKLLNKGSKIESWVVDHEPLMSSVSDVSTEEDVAFCLMMMSRDNRWKRQKDQEQEQLMKNDRYYDYDKEEDEMERFSDEQFESDASSEEESRFSKNKVRGGRYKCETCNKVFRSYQALGGHRASHKRMKVKISRVNNEEQELENENGGSFALMVVEKKIHEPVFQPKTGSRQCPICLRVFGSGQALGGHKRTHVIGSTTIIKSTQVSISTKHGNNFIDLNLPAQGDNGDDDINPIENSAVSDADFVKIH >OIW02184 pep chromosome:LupAngTanjil_v1.0:LG11:7530264:7534777:1 gene:TanjilG_02408 transcript:OIW02184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPLPSSSSGSNKSIITLEEWNGSSSTKLSKTFTIKASSSSITIQRSGARFTHGFPTSVTSDYVPFQIWDLLQGLSTYIRTMLSTQALLSAIGVGEKSATVIGATFQWFLRDLTGMLGGILFTFYQGSNLDSNAKMWRLVADLMNDIGMLMDLISPLFPSAFVFIVCLGSISRSFTGVASGATRAALTQHFALQDNAADISAKEGSQETVATMIGMALGMLVARVTIGHPLAIWFSFLSLTLFHMYANYRAVRCLALTSLNPRRSSILLQNFMESGQVLSPKQVSSLEHVLPIQFMSWRSKNTSSLDKAVCLGMRVSSFNNMEIKELLLSAASYYSKGCHLSILSFPFPSSNVNYSIDFGICIFCGNCVEYCPTNCLPYFFFVAHVHSQVLTGGKEGIH >OIW01181 pep chromosome:LupAngTanjil_v1.0:LG11:33152595:33153407:1 gene:TanjilG_10342 transcript:OIW01181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVPATRKDLMIVNMGPHHASMHGVLRLIVTMDGEDVIDCEPILGYLHRGMEKIAENRIIIQYLPYVTCWDYLATMFTEAITVNGPEQLGNIQVPKRASYIRVILLELSRIASHLLWLGPFMADIGAQTPFFYILRERELIYDLFEAATGMRMMQNFFRIGGVAADLSHGWIDKCLDFCDYFLTRVVEYQQLITRNPIFLERVEGVGIVCGEEVINWGLSGPMLRASGIQWDLRKVDNYECYGEFDWEVQWQKEGDSLARYLVRIGXPLL >OIW02482 pep chromosome:LupAngTanjil_v1.0:LG11:4539286:4540278:-1 gene:TanjilG_05075 transcript:OIW02482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFLHKLWDETLAGPAPETGLGKLRKYNSFAVRPPVVTEDDVPISRSITIVRTHSNFRTTATSNPTSSSVPCSPRSPETAGGDFKKLTRRKSTSAGDYECFGSLLREEVIMFCFENHSAEAEGLHFILYIHSNSHRESYHNA >OIW02595 pep chromosome:LupAngTanjil_v1.0:LG11:2685310:2685762:-1 gene:TanjilG_24046 transcript:OIW02595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNSLRCCLACVLPCGALDLIRIVHLSGYVEEITRSITAGEVLHANPNHVLSKPSSQGVVRRILILSPETELKRGSIYFLIPLSSLPAEKKKKKYDHSDLNKKRSSDKCDHVDSTSDDIVSKEKKSSRRHRRHSNSGVWQPHLESITEDL >OIW02612 pep chromosome:LupAngTanjil_v1.0:LG11:2551336:2553762:1 gene:TanjilG_24063 transcript:OIW02612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASGVRVMELELLNFWNLMRRLETMKSHSWWWDSHISSKNSKWLSENLEQMDHHVKRMVKLIDQDADSFAKKADMYYQKRPELVALVEEFYRGYRVLAERYDQVTVDLRKNVSTGLQSQGSSISDVGSEPTSTRPSSIKGNRRIYGYRAAGFDYFLGSGGNGSDVYQKDGDESSTLTDSDQESDDSSSVNNYSGFSGNVSDPGMTRRMVELENELREVKEKLWLQEEGHLEGSLRGPRVENTEDFYDKINAYEQDLMILNEKFRLSKEEITKLKSELKKCRSLDSENVEAGVDLSSTGEYIKIEEIQRSGNLVDKELIEPNNEIEPLGEELRIAKEKLEVSEVQIVSMKFEADKSSERIEQLHDLLDLARKDTATWKTKFNSEKQGNTKLQEIIARLKSSLVDREHEIRELKTAVSDAERKIFPEKAKLKAEISKVMEQQTHLEDEIREWECRGRAFEDDIRKILSEKIEIEEALKDENEMLNAKIGTRENSIEDLHVSLDTIKLERDNLKAKVGSLNEEVNSKDDRIKHQNNHFNQLHMEHLQVIVEMEEAQTQVKELKSKAKQLKEEIEKQKTEMLEGAEKKREAIRQLCFSIEHYRNNYNMLLQHFTRHK >OIW02054 pep chromosome:LupAngTanjil_v1.0:LG11:9504040:9507066:1 gene:TanjilG_21103 transcript:OIW02054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNLKLGVVVVGAYDLMPKDGQGSCSAYVELQFDGQKFRTTTKEKDLNPVWDEKFYFNITDPSKLPSLTLDACIYHHNNSNGSKVFLGKVHLTAISFVQHSDAVVLHYPLEKKAILSRIKGELGLKVFVTGEPSVRSSNPLPSMEPPINTDQHSAQDNTPVSFTNSILNIFSRKKNESSHTFHNLPKSNQEKQQHSSPPAAEKPTENYGMHEMKSELRPSKFVYAAGSSSPFDYALKETSPFLGGGQVVGGRVIRGNRQSNTYDLVEPVQYLYVRVVRARDLPSKDVTGSLDPYVEVRVGNFKGKTKHYEKNQDPEWNQVFAFARDNLQANIIEVVVKDKNMLLDGVVGTATFDLHDIPTRFPSDSPLAPEWYRIDKKGDKKKGEVMLAVWFGTQADEAFPDAWHSDALSPGGISSSAFSHIRSKVYHSPRLWYVRVKVIEAQDLLVSDNSRLPDAYVKVHIGNQILKTKPVQTRTMNPRWDQELMFVAAEPFDEPLVLSVEDRVGPNKEETIGNIVIPLTNVDKRADDRVIRTRWYNLEKYMSSAIDGEEGEKKEKDKFFSRLHLSICLDGGYHVFDESTYYSSDLRATSKQLWKNPIGMLELGILGVNGLHPTKTRDGKGTSDTYCVAKYGQKWVRTRTISDSPSPKYNEQYSWEVFDPATVLTVGVFDNGQLGSSDGHGDSKIGKVRIRISTLETDRVYRHSYPLLMLHPSGVKKMGELHMAIRFSCISMVDMMQLYFKPHLPKMHYKRPLNIVEQEKLRHQAVSVVAARLSRAEPPLRKEVVEYMSDTTSHLWSMRRSKANFYRFMTLFSGILSAGRWLGEVSTWRQPVTTVLVHILFLMLVCFPELILPTIFLYMFVVGMWNWRFRPRYPPHMNTRLSYADAVTQDELDEEFDTFPTSKSSDVIRWRYDRLRSVAGRIQSVVGDIATQGERIQALVSWRDPRATAIFMVFCLVASIVLYVTPVEMPIILAGFYFMRHPKLRNKTPAAPVNFFRRLPALTDSML >OIW02011 pep chromosome:LupAngTanjil_v1.0:LG11:10344820:10346214:1 gene:TanjilG_11604 transcript:OIW02011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKQNANPKLKRCRSVYCLIFLPAALALLLCGVTMSKFLSFKSFIGTDSLYAHLNIGGTSANQNDVMITVQTVIQRIQEEMDRLRGRANDPSSPSVYKQAAFLADILGHLESVNAPHQQSSSFTVHPLLKERKQSDEPADYFLREEIRKYVKIKPNRLGKQNFMGANATFTSIGHACFAMREELEEYMDYDIGEICNDDWKLAQKLMVHGCDPLPRRRCFSRSPKLYTQPFPINESMWKLPDDRNVRWSEYRCKNFSCLASNTARKGFFKCADCFNLTNHELPRWVKLNTDPNQSADFLISDVLGIKPGEIRIGLDFSVGTGTFAARMSEFNVTIVSASINLGAPFSEMIALRGLVPLYLTINQRLPFFDNTLDLIHTTRFLDGWIDFVLLDFILYDWDRVLRPGGLLWIDSFFCLKEDLYDYLQAFKMLRYKRHKWVVVPKLDKHDQEVFFSAVLEKPPRPFR >OIW02862 pep chromosome:LupAngTanjil_v1.0:LG11:543092:544569:1 gene:TanjilG_29638 transcript:OIW02862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQASVEEGRSTSFVAPKTHGAISESPSKARAPCFARPARTKSNFANSMFQPMAQVQEHGFRTPDPPAFARPSRQIRRGDQLHAEKKTRYPVGGIEWSPRMDVAESEGKYVITVEVPGVNTSDIRVEVDDQKLSVKGSRSTRSWTVEGRPNASVSSYHKREILYGPYEVAWPLPTGANKESVSAELLDGFLHIIIPKL >OIW01174 pep chromosome:LupAngTanjil_v1.0:LG11:33068484:33077058:1 gene:TanjilG_10335 transcript:OIW01174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAYALHLAMAALVGASAVAVSAYFLHRKTLSHLLDFARAVDGDVDDDDDEKFDADDYSKSPKRNLRKHGARRRRENGYYRRNSTSLPDVTVIYGGGGVDSVEGIPAGLPRLHTLREAKSAHPGTPKRNILRALSPKSPVASASAFESVEESDDDDIADNAKLDTTYLHTNGDVGPEGKNIYEILPDHINANGDKIQLTAPTSMIRSHSIPGDLHGVQPDPVAADILRKVPEHETFARLKVTPLEGPSPDEVEAYVVLQECLEMRKRYLFREAVAPWEKEVISDPCTPKPNPDPFFFAPEGKSDHYFEMQDGVIHVYPNKDSNEELFPVADATTFFTDLHHVLRVIAAGNIRTLCHYRLNLLEQKFNLHLMLNADREFLAQKSAPHRDFYNVRKVDTHVHHSACMNQKHLLRFIKSKLRKEPDEVVIFRDGTYLTLKEVFESLDLTGYDLNVDLLDVHADKSTFHRFDKFNLKYNPCGQSRLREIFLKQENLIQGRFLGELTKQVFSDLEASKYQMAEYRLSIYGRKQSEWDQLASWIVNNELYSENVVWLIQLPRLYNVYKEMGIVTSFQNILDNIFIPLFEVTVDPDSHPQLHVFLKQVVGLDLVDDESKPERRPTKHMPTPAQWTNVFNPAFSYYVYYCYANLYTLNKLRESKGMTTIKFRPHSGEAGDIDHLAATFLTTHNIAHGINLRKSPVLQYLYYLAQIGLAMSPLSNNSLFLDYHRNPLPVFFSRGLNVSLSTDDPLQIHLTKEPLVEEYSIAASVWKLSACDLCEIARNSVYQSGFSHALKSHWIGKEYYKRGPNGNDIHRTNVPHIRLEFRDMIWRDEMQQVYLGKAIIPEDIDK >OIW01269 pep chromosome:LupAngTanjil_v1.0:LG11:34515226:34520603:1 gene:TanjilG_10430 transcript:OIW01269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTECVASPFCVKLHMLKSPVRERGISHHCSNFKTAHRKYSRNDYKFGHFSTEKTFGLSVFGTSNFKTSPRLKATPLKCVGLGALVDIDSATASGLVPVVDQVLLMASVFLTYMAGVIPVENSYTSHQKTKSDKSVFPESSDVSGSSKKSYQAESKYALDMVRGKLLNSLNALEHEAYSRDIILQSAKQPLSLTAVAEGPKLRLLWAAFQQVEEEAGIVNNMSSISRSVGMDGLFRVFSEVIQRSCHSICATWLENEFSLLKGNTDKELVSMIIEKVKVDNTVVQSITRSGKKDLYSELMWYLSFGSLREECCYESSIFTLHGISILEDLVIALADGVASIYLEFISVDSDVPSKTNSLDISFCALSTRELQKLRNEVTLNQWLHHNIGTVVSMYEDRFDLCTLESQPIDLPDNTQTGKQSWWKRLTQQNSKTVSPELHCISIRPLSMPVKRTKELRALIGWRYYFSLFLELSDITMPLIKVVIEKVSKAISFFLVSLIGRSLGLIYTGIRQSLKWK >OIW02789 pep chromosome:LupAngTanjil_v1.0:LG11:1079401:1082195:-1 gene:TanjilG_29565 transcript:OIW02789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSPPQSPRRSRSPILFGPQVPLAPLQRGNGPHFHNQMWQNEPHGIVNQPPEQGVPVMITWSYGGNNVAVEGSWDNWTSRKSLQRGGKDHSILVVLPSGIYHYRFIVDGEQRYIPDLPFVADEMGHVCNLLDVNDYVPENPESVSEFDAPRSPESSYGQAFPAEEDFAKEPMAVPSQLHLTVLGMDNTDVVSSSKPQHVVLNHVYIEKNMASKSVVALGLTHRFQSKYVTVVLYKPLKR >OIW02090 pep chromosome:LupAngTanjil_v1.0:LG11:9155528:9158829:1 gene:TanjilG_14789 transcript:OIW02090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGDDETSGPMIDEIYANGDGGDNNRARKPIFSGDQLDIEVYASLYAGRTKIMRLLFLADRCGEKNNTTMQLDALRMAHDEIKKGENTQLFREVVQKIDGRLGPDYDMDMAWAENVDRRAEQKKEKLENELNAYRTNLIKESIRMGYNDFGDFYYSHGQLGDAFKSYVRTRDYCTTSKHIIHMCMSAILVSIEMGQFTHVTSYVSKAEQAPESLDLITVAKLRCAAGLANLEAKKYKLAARKFLEVGSELGSHYNDVIASQDVATYGGLCALATFDRSELKSKVIDNINFRNFLELVPEVRELINDFYSSHYASCLEYLGNLKANLLLDIHLHDHVETLYDQIRHKALIQYTHPFVSVDLNMMANAFKTTVTGLEKELEALITDNQIQARIDSHNKILYARHADQRNATFQRVLETGRVFDRDVRDMLLRSNLIKHEHNLRASRKL >OIW01882 pep chromosome:LupAngTanjil_v1.0:LG11:15041339:15042109:-1 gene:TanjilG_31064 transcript:OIW01882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSDGTTNAVINDTIIDSPQPHPPHRDGALAVKKPPSKDRHSKVDGRGRRIRMPIICAARVFQLTRELGHKSDGQTIEWLLRQAEPSIIAATGTGTTPASFSSVSVSVRGGGNSNSLSSPSSSTSASQQHKTFLAPTPFILGKKIRTDEEDPTKDNNGVSVGSLVGPNMPAGLWAFPARPEFGQVWSFAAATPELMSQQHSSFLIQHQQQHQQQQLAMGEASAARLGNYLPGHLNLLASLSGGHNNSGMRDDESN >OIW02290 pep chromosome:LupAngTanjil_v1.0:LG11:5214285:5217007:1 gene:TanjilG_11184 transcript:OIW02290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQYNLLYGKLSLYLNSLPSIEDSDCINLLTGSNQNDIVLSLHANQTINDSFLGARLFWLSEESELDRTGSFVLKIRKADKRRILRPYFNHIHAIVDEIENQRKRELRLFITDGGSAGKSRWRSTPFTHPSTFETIAMESDLKDKIKSDLESFLKAKQYYRRIGRVWKRSFLLYGPSGTGKSSFVAAMANFLSYDVYDVDLSKVKSDSDLKFLFVETTPKSIIVVEDLDRFLEEKSKLTSSFSVSGIQNFMDGILSTCCGEERVMVFTMRLIHTFNKLITTIQDSFHVYQYLKVPEFNENMQYNLLYGKLSLYLNSLPSIEDSDCINLLTGSNQNDIVLSLHANQTINDSFLGARLFWLSEESELDRTGSFVLKIRKADKRRILRPYFNHIHAIVDEIENQRKRELRLFITDGGSAGKSRWRSTPFTHPSTFETIAMESDLKDKIKSDLESFLKAKQYYRRIGRVWKRSFLLYGPSGTGKSSFVAAMANFLSYDVYDVDLSKVKSDSDLKFLFVETTPKSIIVVEDLDRFLEEKSKLTSSFSVSGIQNFMDGILSTCCGEERVMVFTMSNKEQIDPILLRPGRVDVHIHFPVCDFSAFKSLASNYLGVKEHKLFPQVEEIFRQGASLSHSEIGELMIANRDSPTRAIRSVIGALKTDGDGRGSEEMIQRQIRDDDVDSSQERFNTVKDLRRIYSLFRKRGSRRSQASISPMP >OIW01989 pep chromosome:LupAngTanjil_v1.0:LG11:11346105:11349278:1 gene:TanjilG_14020 transcript:OIW01989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLPQGYRPNVGVCLINSEDQTSLSGSLVRRVTLFYNKYNYEPCPGGIEDGEEPKAAAIRELQEETGIISAEIIAEVHNWLTYDFPPAVKAKVSRLWGGEWHGQAQKWFLMRLTKDDSEVNLANGEVDPEFAEWKWANPEEVIEQAVDYKRPTYEEVIRTFKPYFQGNDISAKCKSTKW >OIW01745 pep chromosome:LupAngTanjil_v1.0:LG11:18823535:18823963:-1 gene:TanjilG_03883 transcript:OIW01745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAHFQPRHQSYNQHSPHQQQPKTTQLAKVATAVTAGGSLLILSALLLAGTIIGLAIVTPLFVIFSPVLVPAVVTVALLSLGFLASGGFGVAAMTVLTWLYRYVTGDNPQGSDQFGTARHKLMNRAREIKDYGGGGTYSSS >OIW01481 pep chromosome:LupAngTanjil_v1.0:LG11:26821944:26825625:1 gene:TanjilG_19407 transcript:OIW01481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLLPNNDPGVNVVVSKEEYNLFHNIDRQLFYRFAVGLGCDPSQTTHVMAFIIWLEKFTRNLRMVAYLIQWPNSLLADLADEAVLVLNCIESPQFPYHHVGSNNYEGLLPLIQKITRSRVNLMYFHQKRIYIIPGITRILNDVCTRAFSDIVLQVNYQRAMKDQHSFNAVPAAIPQFYGQNPQPQPRSSFVQPMFYYTPVVHDGVALVPQQPMMVPQQQWHEGSSSTSWVPSGASAGGSGSVLGPYQVINKDDFNQEFQEILASLKISDDVERKEVLAPDDRTVFMTFSKGYPISENEVREFFSRRYGDVIESIFMQEVPEHEQPLYARLVVRPGAIHMIDGLLDGTSRMKFVINGKHVWARRYLRKGNKSPQTSSYDSPFGAAGPSH >OIW01495 pep chromosome:LupAngTanjil_v1.0:LG11:27180725:27191290:1 gene:TanjilG_19421 transcript:OIW01495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFRSSNKHSHLELHRGEPKEKPDLTVMEAELVAREDLSRSISLEEGDLFQRSIREVDEEENLKWVAIERLPTYDRLRKGILRQVLDDGKVNYQEVDITKLGVQEKKNLLETILRIAEEDNEKFLHKIRDRIDRAEIEIPKIEVRFEDLSVEGDAYIGTRALPTLLNATLNTVEGVLGYVKLLPSKQRVIKILKDVSGIVKPSRMALLLGPPGSGKTTLLQALAGKLDQDLRVSGRVTYCGHELSEFVPQRTCAYISQHDLHHGEMTVRETLDFAGRCLGVGTWYDLLEELSRRELVHGIKPDPEIDAFMKAMAIEGQETSLVTDYILKILGMEICADILVGDEMRRGISGGQKKRLTTGEMLVGPAKAFFMDEISTGLDSSTTFQIVSFMRQMVHIMDVTMIISLLQPAPETYNLFDDIILLSEGEIVYQGPRESVLDFFESLGFKCPERKGVADFLQEVTSRKDQEQYWFRRDIPYQYVSVPEFVAHFNNFSIGQQLSEELRVSFDPSKAHRAALVKEKYGISQWELFKACFSREWLLMKRNSFVYIFKTFQITIMSIITTTVFLRTEMKHGQLQDGGKYYGALFFSLINIMFNGTAELALTLISLPVFFKQRDFMFYPAWAFALPIWVLRVPISLLESGLWVILTYHTIGFAPAASRFFGQLLAFFCVNQMALALFRFLAAIGRTKVLATTLASLAILLVFVLSGFIVSRDDIEPWMIWGYYVSPMMYGQNAITINEFLDKRWSAPNTDPRIPEPTVGKALLRARGMYTEEYWYWISIGALIGFSLLFNVAFILALTYLNPLGNSKSIVVEEKDKSKGITASSSMKEMTTGTTGQSSVSVSEWFEGIDIKSGEIAKSKRGMVLPFPSLSLAFENVNYYIDMPMEMKKKGVEESRLQLLRDINGAFRPGILTALVGVSGAGKTTLMDVLAGRKTNGYIEGSISVSGYPKNQATFARISGYCEQNDIHSPNVTVYESLMFSSWLRLGREVKKETRKMFIEEVMELVELHPFRSFIVGLPGISGLTTEQRKRLTIAVELVANPSIIFMDEPTTGVDARAAAVVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLMKKGGQVIYGGPLGRNSQNLIEYFEAITGVPKIKEGYNPATWMLDITTPEFESQLDIDFAELYTKSDLYLKNQELIKELSTPVPGTKELYFPDKYSQSFVTQCKACFWKQRRSYWRNPEYNAIRFFITIVIGVFFGLIFWNKGDKTENEQDLLNLLGAMYAAVIFLGTSNTSTVQPVVATERTVFYRERAAGMYSELPYAFGQVAIEVIYVAIQSLAYSIILYWMIGFEPRVDNFLWFYYFIFMCFLYFTLYGMMTVALTPSHQVAAIVMSFFISFWNLFSGFIIVKMQIPIWWRWYYWASPVSWTLNGLVTSLVGDKNDPIEVLGYKPMTVKEYLERHLGFEHGFLGVVAVTHIAFALLFLFVFAYGIKFLNFQKR >OIW01829 pep chromosome:LupAngTanjil_v1.0:LG11:16739624:16741904:-1 gene:TanjilG_15693 transcript:OIW01829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQGLRPPLRPKPSNIEYEERQEPVSDNIQIRKSCSWLCSRIEKLVLCNKYREAMDLFEIFELQDGFEVDNSTYDALVSACVGLRSVRNVKRVFNYMISTGFEPDLYLMNRVLLMHIKCGMMVDACKLFDEMPERDMVSWMTMIGGLVDSGNYPEAFRLFLCMWEELNDGRPRTFATMVRASAGLGLVQVGRQVHSCALKMGVCDDIFVSCALIDMYSKCGVIEDAHRVFDKILEKTTVSWNNIIAAYALHGYSEEALSMYYDMRDSGAKMDHFTISIVIRICARLASLEHAKQAHAALVRRGYGSDLVANSALVDFYSKWGRMEDARHVFDRMLCKNVISWNALIAGYGNHGMGEEAIEMFEQMLRERMNPNHVTFLAVLSACSYSGLSDQGWEIFHSMIRDHKIKPRAMHYACMIELLGREGQLDEAFALIRSAPFKPTLNMWAAFLTACRMHKNLELGKLAAEKLCAMEPEKLSNYLVLLNIYNSSGKLREAAGVLQALKRKGLRMLPACTWIEVNKQPYAFLCGDKSHSQTPELYRKVDSLMTEISRHEA >OIW01470 pep chromosome:LupAngTanjil_v1.0:LG11:26406356:26406958:1 gene:TanjilG_19396 transcript:OIW01470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTQETCSNLDLIRQHLLGDISDLHPVKLEDPLQDFNFSSYISDHNSFYSFIEEYDLVADMEFIDQSSSSKNVLKSIGTNPRKDTEKCGSHEPVVLSGKKDEKQERGDEGKRYRGVRRRPWGKYAAEIRDPSRKGCRVWLGTFDREIDAAKAYDCAAFKMRGQKAILNFPLEAGESDPKPNNCGRKRRRMGGLEMPRYTD >OIW02255 pep chromosome:LupAngTanjil_v1.0:LG11:6343796:6349394:1 gene:TanjilG_15138 transcript:OIW02255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTTSFSHTSILKHCSGFNGQVSSSNSSSLMGSPVTILHYMLPGNQSHCRKQFVVYSRKLAGLEEAMKIKRERELQVVTKVKRRPPLRRGRVSPHLPVPDQIPRPPYAGSNILPEIASEHQIHDSEGIAHMRAACELAARVLKYAGTLVRPSVTTNEIDKAVHQMIIDAGAYPSPLGYGGFPKSVCTSVNECMCHGIPDSRQLQNGDIINIDVTVYLNGYHGDTSKTFCCGEVGDELKNLIKVNEECLEKGIAACKDGATFRKIGKRISEHAEKYGYGVVERFVGHGVGKVFHSEPIIFHHRNDKSGCMVEGQTFTIEPILTLGGIDSITWPDNWTTLTADGSPSAQCEHTILITRAGAEILTTC >OIW01338 pep chromosome:LupAngTanjil_v1.0:LG11:32582007:32585257:1 gene:TanjilG_20520 transcript:OIW01338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGCCSHDDAVRRKMEMEVDDGDYEHDHSTHVTYECDEARVILKGSSMCVSMFSQQGKKGVNQDSMTVWEDFTGEQDMIFCGVFDGHGPIGHKVSQYIRDNLPLKLSSAIRIAQQKACRFYDANESESGSCYDDIYDDNNIGMSLASWEGCFLKSFDEMDEHLAQEINIDTYCSGSTAVTVVKQGDQLIIGNLGDSRAVLCTRGDRDQLIPVQLTVDLKPDIPIEASRIISCDGRVFAAEEEPDVYRIWMPDDDCPGLAMSRAFGDFCLKDYGLISVPDVFYRKLTKQDEFVVLATDGIWDVLTNSEVINIVSSAPRRSIAAKMLVKRAVRAWRYKYPGSKVDDCAVICLFLDDQPVLSHSKSNKSGSHRIHRNQSKHQFRRSKSTRNEDTETVDGKVDLELNEEWKALGGLVRSNSISRLPRLAKNMSKRQASKYYKGS >OIW02720 pep chromosome:LupAngTanjil_v1.0:LG11:1567227:1571459:1 gene:TanjilG_29496 transcript:OIW02720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRSFRAQESQMQASLKQQLGILRSSLTKEKDDELTLFLEMRNRDKERSNDLLLRASEEFDAPLGSNQGSSTLFNISSSTTGPVRKTGVDDFLNSENDKNDYDWLLTPPGTPLFPSLEMETKKTVMSQLGAPTARPTSLKSRLANPQSDPTGRSNLVSKQPASSPGLGSSSSGNRRGPSSMNSGSRPATPTGRSILSTASKSLRPSTPTSRATLPSTRTIVATSKTTVPATKSTVPSRSSTPLSRSTARSSTPTSRPAVPQSKPTSRASTPTRRPSTPSSAPNISAPSVKTTSITKPAPLTSNQPVQRCGTPTVKPRPWKPSEMPGFSLDAPPNLRTTLSDRPLSATRGRPGAPTSRSSSVEPASIGRPKRQSCSPSRGRSFNGISHATGSSMPAVCRDYSKMNDNVSPVVIGNQMVERVVNMRKLVPPRLDDKNSPHSNLSGKSSSPDSSGFGRTLSKKSLDMAIRHMDIRRRVPGNLRPLMTNIPASSMYSVRSGPQRSRTVSVSDSPHATSSNASSEVSVNQHGICLDSSEIDDDNVSERGGQSPASVRGRLCVRLVYFLSFILDGEFGAMVILLLDDHEVIVSNSGNSFAACESKVVDIYPPHTSKWESRTLSGRLLVTIFHRKIVFRKDWE >OIW02426 pep chromosome:LupAngTanjil_v1.0:LG11:3917924:3922265:-1 gene:TanjilG_05019 transcript:OIW02426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISTTSHFNSFNFSLTLFLTLLLSSTTQAHQQELNTPITRFQNYLRINTAHPTPDYTSAVSYLKAQAQAIGLHHQTLQFVPGKPLLLLSWPGSDPSLPSVLLNSHLDSVPAEPDKWLHHPFSGHRTADGKIFARGAQDDKCIAMQYLEAIRNLKGREFTPTRSVHVSLVPDEEIGGFDGHARFVESKEFDELNVGFALDEGQASPGDEFRVFYADRFPWGLKIKATGQPGHGARMYDNSAMENLMKSVEIVSRFRESEFDVVEAGKAANSEVVSVNPVYVKAGVTSENGFVMNVQPSEAEAGFDLRLTPTTDPDELRRRIAAEWAPTARNMSYEIIEKGPIRDLLGRPLMTVTNDSNPWWLVFKQAITSAGEKLSKPEILASTTDARFIRRKGIPVLGFSPMKNTPILLHDHNEHLQETVYLKGIEVYESLISSLSSFTKASH >OIW02089 pep chromosome:LupAngTanjil_v1.0:LG11:9138989:9145992:-1 gene:TanjilG_14788 transcript:OIW02089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPSPDAVSTFMRITGSTEFVAVQKLEEYGGHLNEAVNAYFVEGDRHMQRQNLVAAPQYEYSDVNNQNRAGSRGILPFLSAARRFRPSLLLDPNYRRELRDTVNGITAPTPTNHAPHTPHPGEAREVPSGFNNAFEMPHYQSGLSSTNANRNGNLISDGRGVYGTENYENDYNLDQSSTSHFLDNEIEEAMIQAAIEASKKDGGEGSSRKQFGALNSSSDSGLPQNNIQQEDDDLAHALSLSKKMAEQEEAIREQQKKEGHRRHELLAKGENTNTSKSISEIGKSPNQNVAQDVVQPVIGHPLNHSTGGHLHGNENVWEGISYDELSEAVLIESALFGEIPTHSSHKISSLPGPPHHPEKAVDPKIQSLSSAASQLSIDTQLLRQQQDAEYLESLFADKQKELNSLKEAETRSLKEEESQKKMLERKELDKMLAEKNVKLSNEPPSDDKNAITIVVRMPDGSRRERRFLKTDKLRLLFDFIDISGVVKPGTYRVVKSYPRRAYGTNDSSSTLNEVGLSSKNEALFLELI >OIW01928 pep chromosome:LupAngTanjil_v1.0:LG11:13016028:13016321:-1 gene:TanjilG_14161 transcript:OIW01928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRSLCHHGHMRNVEVGGSSSTKGGRNFIVDEPYYSPTLQEVSLLKFIGQKHTYILYTDMAWVVEQEFQLHHELEAQGANIFLEWHLNIYPSSVREF >OIW02164 pep chromosome:LupAngTanjil_v1.0:LG11:7758491:7762384:-1 gene:TanjilG_02388 transcript:OIW02164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTKVQNRPGSCSMRDLNEEFSSCGWPLLYGDKTPANRQYYNNYHPSVTADTCSSYDKDVVRRTMLEHEAIFKNQVCELHRLYKTQRDLMNEVKRKELHRNQIPVEASCSTDRLASQIRIEDDQKWHISGFPVGNSTCSKTSVSGVKSINSPLGSIKGISNQGAGLFPSSNGCSSKDIEVLESRPTKVQRKMFDLQLPADEYVDTEESEKLSDGKINGPTLFLPDRNCKNGKESDVKLFCGNGVMMSHKDTSRSEQSSKRMIDLADLNEPVQVEETYDSPYVHHPSHNPYQGATEYSNPSDAAKQHPWFVGLSRDHLHNSNQGSDSWPRKNGYLENSASGQERIMLPAEAGQAKSIMQSVPQALKLEKSLSSSQTMHDTLSKGHEASASDYLAGRNKTDLWREKTAIDLNFGERNRKYSINMHPESAVPLQRCGLFPASPSTDLSRSWSHSASSWEMGNSSLNQKLMSVQTPSYLNAPGAWSRSSQSHKGNRILDDRWPLNINSKPSSGLRCDVPTQNGFHAGSLSMSKEPSTNTSSTSYDYLNHNRGCNAIPEHSFNNGPYNGSNSNFNDMKSRNIDLNVMFSNGSSNNLVSPSCIRIMDGEQKHDEHHAVLPWLRAKTTCKTVSKNADKGISAGESSFSHVASLSKKDETGMGPSENVTHNATLVSCSNDIIRKRTEVGGSSSSKKILGVPIFNMPHPKNQSFDMNLPCEADSLELDKEGANETIVTRERSPTKESNSRNQFDLNICMTEDEASLMTIPSDIVKMRAAIDLESPAVPENVEDSVAEEKQIENSLESAKDPLDTVEQTRDGLMRQAAESIVVLSSLPCEQVDGVNGNPSESPTRDPLSWFVDVIFSCNDNLESKLDNSMGKIDDNEKPSFSDGMDEFEAMTLRLPETKEEDYMPKPFVPENIIVEETTPLPTRTRRGAARRGRQRRDFQRDILPGIASLSRHEVTEDLQTFGGLMRATGHAWNSGSTRRSSTRNGSGRGRKRAQVVPSPPPAAVTNETCTPLMQQLNNIEVGLEDRSLTGWGKTTRRPRRQRCPAGNPRRSG >OIW02891 pep chromosome:LupAngTanjil_v1.0:LG11:375140:377958:1 gene:TanjilG_29667 transcript:OIW02891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWIRACSSMWMLASVSGIIYLGHLYIWAMVVIIQIFMASELFNLLRRATQDKRLPRFKPLNWHFFFTAMLYVYGRILSQQLVNTVTSDKFFYRLVSNLIKYQMVICYFLYIAGFVWFILSLRKRYYKYQFGQYAWTHMILIVVFTQSAFTVANIFEGIFWFLFPASLIAMNDVAAYFFGFFFGRTPLIKLSPKKTWEGFIGASVATMIAAFTFANYLGRFQWLTCPRKDLSTGWLQCDPGPIFKPENIPLPGLLSNLLPWKEIAVLPVQWHALWLGLFASIIAPFGGFFASGFKRAFKIKDFGDSIPGHGGFTDRMDCQMVMAVFVYIYHQSFVYRQEYSVDMFLDQITRNLVLEEQQYLYTKLGMILQERSYMQK >OIW01982 pep chromosome:LupAngTanjil_v1.0:LG11:10993286:10994501:-1 gene:TanjilG_14013 transcript:OIW01982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSGKLNTEIGIETPAEKFFSFFAKQLQHVQNITDRIHEAKVHQGHDWHHHDSVKEWTFTVEGTVTTCKENIEFIDLENKSMTFNLFDGDVTQLYKILKINLQVIDKDDSGAIANCTVEYEKINESVEAPSGYLDYVTKVIKDADHHLLVA >OIW01351 pep chromosome:LupAngTanjil_v1.0:LG11:32822353:32824877:1 gene:TanjilG_20533 transcript:OIW01351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSPKRACIIVLALIPFIFMYECDGASYPSGSHVDNANITAFDSQKVDVSVYYESLCIPCATFIVKNLREFFDHDIISIVNLHLVPWANSHVNNNSIICQNGQDECILNSLEACAINIWNVDKYYYLINCFEFLAIDGSPKNWKSCIDELGLPSEPIRNCYNRGTATELAKAYIIETAQLSPPPTILPWVLVNNKPVGKEYENITSYVCKAYRGTAVPAACNLR >OIW01311 pep chromosome:LupAngTanjil_v1.0:LG11:34875073:34881699:-1 gene:TanjilG_10472 transcript:OIW01311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRVIKKTISNVCALKIQRKSFCTEIVKAAGSTDGQFINEHEKKQTSSNNIQQYDVAIVGGGMVGMALACFLASMPLTKQLSVAIIDSNPALGSGLCIKKDDPPDPRVSTVTPASISFLQDAGAWKYVEQNRHAYFDKMQVWDYTGLGYARYDARDVNKDFLGCVAENKVLHSALLSCIKDSDLKKTIYPLRLTSMTLNPSSTSMVDVSVASKESSAQGQSAKLQLSDGSSIYAKLVVGADGGKSRVRELAGFRTTGWNYSQNAIICTVEHASENRYAWQRFLPTGPIALLPMGDKFSNIVWTMEPTESNNCKSITEEAFLKDVNSALDYGYGPHPTSSLSRNRDILSWFKMDQTLSANEFFEIPPKVVRLASERMVFPLSLRHANSYASKRVVLIGDAAHTVHPLAGQGVNLGFGDAYSLSRIIAEGIALGTDIGEVNLLKKYEADRKPANITMMAILDGLQKAYSIDFGPFNFLRAAAFSGSNYISPLKRSIISYASGEQKLPLFL >OIW01942 pep chromosome:LupAngTanjil_v1.0:LG11:12296898:12321763:1 gene:TanjilG_25098 transcript:OIW01942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTVINAAVEEICAAAQLEEGITLESLWSKLQNSPSLTSSNLSLSSSVKKAIWTNLIRIPSLRFEPQPSSFEDAEAVKIFPQQSLSDNFFGLYDSQTLQQPQMRVLRLLANARSNGITQSQLAKQLRIDANNFHYVLRSLECQGLIVKHSAIEKKKQINNDGEESDHYFPSVATHLVYLHRYAKQQLASHQRFEITKSNSATANDDDDEDADGTRLQTDVMLKDYAPQMKEICDKLAEANDKVLIVSDIKKELGYCGSRSGHRAWRGIFRQLKADGIVEQFDAKVNGKVQSCLRLLDPITSGSANEDKNLNSGKKCQAIDLFVELPVEHQIFDMIDAAGSGGISLKEICERLGIELKKNHTRLINLCYRFGIKVQEEQCLKSKTIRVWTSRNFNPEPEVPLIHKLDENKILDQHMPDSSSKLISEFLTSISNGGPADFKKWEDRGTSAEVSCKSPRNIEVNYVETPTNLQESTTEPRCTGSNKKHDLISLPVEADIAPSGVFPSDILKPSSNGSFKRRASSSFSVDNTRRANRILERLKDERFILRSEISRWLDSFEKGKSTKVDRKTIDRILTKLQEQGQCKCITVHSPVVSEYSRTRDWVVVLHPSISLSPELIDEIQDRARIFSNSHSRSASHQKNEELIPVIEDIQKTRSLVVPDGQGGKAEAMRANGFVLAKMLRAKLLHSFLWDYLHKLESHNDSLSSEKCVYELTNNPHSSSKLFSLEAAIKAIPIELFLQVVGSTQKFEVMIQKCKMGLCLSDLPREEYKCLMDTNATGRLSLVIDILRRLKLIRMVTGMQSRDGVRMPHTFTHMMELKPYIEEPISNNPASLHFISLDLRPRIRHDFSLSNRDAVDEYWRTLEYCYVAADKKAASYAFPGSVVHEVYRFRSWASNRLMTAEQRCELLKRVPKDDYREKISYKDCEKIAKDLNLTLEQVLSVYYSNRRHCYNQLKDEGIENNSLERKGTSSHHRKKDSTELRPAKHARIGAATDAVGMHMEEHGTHSKEQYNLGIHSKEHGTHLHEFEEDDHNEMEGSQDCCPPISQCVLSKMKPTRQRRFIWSDKTDRQLVIQYVRHRAALGAKYHRIDWASLSDLPAPPRVCIRRMNTLNNNLEFREAVNRLCNKLSEQYAKQLDKSQSLSLNKDHCRQFVRSQSSKGVDNNFSPDVDIQKESLNGEAWDDIEKVALDKILRLAKMDSSSKKVNSHYEGWSDANADGYESQENEEIALATPSETIQNHHEKNHILPGQRSHRRRFDKRFTTYLNKRADVYGRVSESLAISNAVELFKLVFLSTSTGPSAPNLLADILRRYSEHDLFAAFNYLREKKIMVGGNGTECFELSQQFLHSVSRSPFPFNTGKRAVKFAEWLQKKNIELTEVGIDLAEDLQCGDIFHLFALVSSGELSISPCLPDNGVGEADDLRSTKRKSDVSESSFSEKAKKLKSSSGVEGEIISRREKGFPGIIISARRATFSSADILDLFKDDEKNGQPFQGNHQLSTGQSSNYSLPDHMMEVFNSCDPVPVEENCSESIWEAMAGYAQRLILVPSNQEQTCAICAEVFRVVYAAIQKAGDQGLSMGEISEVINLSGVDVDELIVDVLQAYGQALKVNAYDSVRVVDALYRHKYFLTPASNVQGGALPSSENTIKKSDDTCKPFKSGKRGTAYAETPKERNTAVDKMHKVTILNLPHVALDTKNQADERNKGCMEERLSSGIDPKKETLKASSDELCVPILPWINGDGTINNLVYKGLKRRVLGIVMQNPGILESCKTLLELMVLDKHLIVKKMHQNESDGVPSLLRNLIGSKYKPPKMICRDHYFANPLSTSLL >OIW01328 pep chromosome:LupAngTanjil_v1.0:LG11:35109145:35111768:-1 gene:TanjilG_10489 transcript:OIW01328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPTTFVEKYGEGLSKSAFLKAPNGGEWKVDLVKGDGKIWFQNGWKEFAEYHSLAEGHVVIFRYEKMTNFEVFIFDMSALEIEYPFKRVEGKRVSKDEGNKPQMVESLNNYRTNQKRKDNSSLEFVQQSKNKSRCVESVSILKLSKETMNHTGKKCNKEGQNTTAMKITALDRARSFKSCKPFCLVFMQPSYILCKANLNLPSKFGIGCFNLGIKRGNINLRSENGKVWPARYLIREHNMAPKFELSSGWKPFVMDNNLKVGDVCIFEFIDRTKLTLQVYIFRKTENSTCSTSEGFKFSVSSPKDEYEMCGFDIASSNFTEKPVIAAKNITALDRDSSFEVCNPSFQVVMQPSFVCSNAHLSLPSEFCERYFDLRNKRGHINLRMSNGRVQRAVYLIAKHDARTTFHLLSGWEAFAKENDLIVGAVCTFELIDRTKLTFQVYISRETNNSNCLTPQESADEPQNHESLMHVYSRKVKGSEVRSVNELGNRAGESVIRYSNLMDTMQQDTEEELAGIM >OIW01309 pep chromosome:LupAngTanjil_v1.0:LG11:34864160:34867154:1 gene:TanjilG_10470 transcript:OIW01309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTNFMGSSYILTIVGGFICDSYLTRFTTFILSGAIELMGFILLAYQAQHSHLRPPENTRPSPVAAAILHIGLYCVAIGVAGVKAALQTHGADQVDDKKQKNLISSFFNWYFFSICSGALLASTIMVWIEENCGWSLSFMICAIVFTFAICIFVAGFPIYRNKRPAGSPLTRMIKVFVAAAKNTRASPSVNVNHNATTQPHAREQSHDKLKFLNKALIDAAIDVTMVDETKSFLSLLPIFATTIMMNCCMAQLLTFSVQQGNIMNRKIHNFIIPTQSLSVFALAIILSFIPIFEQFRHIYRHKDTMQSKTFQPLSRVGFGLVLGIMSMAVAAIVEAKRKQELIMNDITISVFWLVLQYILVSVADTLVMSGMLEFFYSEAHESMRSMCAALSWCSSAMGFFISSVLVAITNSASGKFGKEWLGGKDLNHDRLDLFYALICILNIVNFMFYVYFAKKY >OIW01300 pep chromosome:LupAngTanjil_v1.0:LG11:34785968:34788172:-1 gene:TanjilG_10461 transcript:OIW01300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENCVSNFTTFPSSSSSSQSSFILSSLPKPHLRFSPTFLKKPVTAFCFSLRNIPNRPLNIKASAEPSHCEFSSLNSPLAPRSLVGKFLSGVMINHPQLFHVVVKEELKLLNDDRDAAFARMLVNQGSDEDMLHRRIAQVKENECQIAIEDIMHCLILHKFSEIRVPLVPKLSSCLYNGRLEILPSKDWELESIHNVEVLDIIREHISTLIGLRANFSVNESWATTKIRQSYLARIYVASILYGYFLKSVSLRYRLEQSLFSGTQNRNLGHRTALSPLSFHDMCPYGSKDAIFGDKCDMQSAWQGIITRHEEEVEDLKCYIMRFHLDSLQRCAKLRSKEAMHLVESYSDALFWNMEFGLVENDVILTSFSTLRRLVLEAIAFGSFLWDTEDYIDNVYKLKDHDS >OIW01187 pep chromosome:LupAngTanjil_v1.0:LG11:33291612:33292580:-1 gene:TanjilG_10348 transcript:OIW01187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDSNVASAFEAFLQGWLMRQRCHLDELISAQQEMTDFDSIRGLINRVICHYGQYYEEKSKVAQNNILLVFSPPWFSSLERMFLWMAGFKPGMTFEVVNTALEDELSQEQKHRLIQIQQETKFKERELNDELAKVQESIAAPPLLEMARSHGRLCLEQIQGGPSVDAESNISDHAVPNTLKVALENLVIRADALRRNTTLKVVQVLRPTQVVTFFVAVAELQLRVRAWGLEKDAQGGC >OIW01884 pep chromosome:LupAngTanjil_v1.0:LG11:15088058:15092746:-1 gene:TanjilG_31066 transcript:OIW01884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAIGSSITSIHTSTTCNALLTELEKIWNDIGESEVDKDRMLFELERECLEVYRRKVDEAVNTKARFHQTVAAKEAELATLVAALGEHDIHSPIKTEKRSASLKEKLASVTPLVEDLKKRKDERLKQFADIKVQIEKISGEISGLHPVNNAVSNTTAAEEQDLSLRRLNEYQTHLHTLQKEKSGRLQKVLQCVNEVHSLCGVLGLDFGQTVGDVHPSLHGTQVEQSTNISNSTLESLERTILKLKTERKARIQKLRDVVVELFELWNLMDSSKEERNSFLRITSILGSSESEITERGVLSTAIIEKASEEVERLSKLKASRMKELVSKRRSELEEICRLTHMEPDTSTAAEKATALIDSGLVDPSELLANIEAQIVKAKDDALSRKEITDRIDKWLFACEEENWLEDYSQDDNRYSAGRGAHINLKRAERARITINKIPVMVDNLINKTLVWEDEKKNCFLYDGVRLVSILEDYKLAREQKEEEKRRCRDQKKMQDLLLNQKEAMYGSKPSPKKSNSFRTTNGYRANGNGTASVPPTPRRSSVSGGTSEVHTPHSFSARQNGYHKEMRRLSTAPLNNYVAISKEDTLSYASLCGSDESPPLS >OIW02785 pep chromosome:LupAngTanjil_v1.0:LG11:1107928:1108725:1 gene:TanjilG_29561 transcript:OIW02785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERVHPHNSPSHNSPSHNSPPHNSPPLSPPTKPSSPPSATYVIKIPKDQVYRIPPPDNAINHRNNHRRNCRCCCCLFGILFILILILAIVAAVFYLVFRPKALDYSIQRIAVRGLNLTSPSSSMSPEFDFTVKANNPNDKIGIRYLKESSVEIFFKDVMLGNGVMPAFYQPSNNVTVFKTVLKGNGIELRGSDRKTLTEAQKKLEVPLSIKVRVAVRVKVGSVESGKMTVTAECDVTVDKLTAQAKIVSRHCNYGVVIFWVVKI >OIW01945 pep chromosome:LupAngTanjil_v1.0:LG11:12378760:12387913:1 gene:TanjilG_25101 transcript:OIW01945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTEKATVSASNEDSIADVMEQHDQFLSSMQGRIAKLKVVFRYWERNDVKGVIGAMEKMDDHAVIADVVDIIKEKKDIVTLDICTGLMPLLASLLHSQMDRLERCNLCFIVLEKVKHILPSLMRRGGSIAKNAQELNLSLQHVS >OIW02401 pep chromosome:LupAngTanjil_v1.0:LG11:3706287:3710666:-1 gene:TanjilG_04994 transcript:OIW02401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAINFFIFLLLANGLAFDGVDALTFSSKLIHRFSDEAKVHLASKGDSVWVQSWPKRNSSEYLRLLLSSDMTRQRMRLVSQYDSLYPSEGSNTFSYGEVLVWLHYTWIDIGTPNVSFLVALDTGSDLLWVPCDCIECASLSAVYYNVLDKDLNEYSPSLSNTSRHLPCSHQLCDSSSNCKGPKDPCPYEVQYTSANTSTSGFLIEDRLNLAPGGRNATQSSVQASIVLGCGRKQSGSYLHGAAPDGVLGLGPGSISVPSLVAKAGLIPNSFSICLTENDSGRILFGDQGHINQRSTPFLPVDGKFFKYVVGVESICVRSICLKQTGFQTLIDTGTSFTYLPGEVYKKVVAEFDKHVNATRITDEENPWEYCYNASSQELNNFPEMKFTFSKNQTFLIQNPMLNSPEYTAFCLTLLQTVEDYGTIGQDFLKGYRMVFDRENLQFGWSSSNCQDIMGDRANFTSPSHGGSSNPLSANQQQTIPNTSTIPPAIAGKASSKPFAATTSRFTSLHLLSSVSLICHFFFNGNLVKAY >OIW02082 pep chromosome:LupAngTanjil_v1.0:LG11:8997114:9010044:1 gene:TanjilG_14781 transcript:OIW02082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHNKSFVKKTKAGRVMKQVREHYLRDDIFCGAPFCKVCDTSTARLSASPSTILVLDTNVVLHQIDLLENLAIDDVVVLSIVLEEVKNKNLSVYNRIRAICSNTKRNFFVFSNEYHRDTYIKEMSGETKNDRNDRAIRVATQWYQNHLGSGVKVLLITNDKENKRKANEEGIHAETVETYVKSLDQPDLLDLLVRPSEDVDMEEVEDLRPSKRKVIYIEHKPMSEITSGLHRGIYHQGKLRVNRYNPFEAYVGSESIGDEIIIYGRSNMNRAFDGDIVAVELLPQDQWQEEKSLSIACGDEDEDEDVHLAPNSADDAPRTIPQQGSSGEINAVSSRPSGRIVGIIKRNWHSYCGSLEPMPMPAGSGGIVHALFVSKDRRFPKIRIQTRQLENLLDKRIVVAVDSWDRQSRYPSGHYVRTIGEIGDRDTESEVVLIENDINSRPFSSQVLACLPPLPWSVSSEDLSNPIRQDLRNVRVFSVDPPGCKDIDDALHCSALPNGNFEVGVHIADVTNFVHPGSPLDDEASQRGTSVYLVERRIDMLPKPLTEDICSLRSDVERLAFSVIWEMTPEADFVSSRFTKSVIKSSAAMSYVEAQARMDDSRLMDPLTTDLRNMNSLAKKMRLRRIERGALTLASAEVKFQIDTETHDPLDIGMRHPTPTKEMLEPLLRTAAAIGLHLDVSTSKAMADSLDHAVGDDPYFNKLIRILATRCMSQAVYFCSGELSPPEYHHYGLAAPLYTHFTSPIRRYADVIVHRLLAASLGIVKLPPIFQDRGQLTGISDNLNYRHRNAQMAGRASVELHTLIYFRKRPTDTEARIVKIRSNGFFVFVPKYGIEGPVYLTTRSEKGGGEWYIDEQQQKIKKMDGSISYSILQSVQIHMEVVEPQPNRPKLQLSLI >OIW01952 pep chromosome:LupAngTanjil_v1.0:LG11:11942482:11943422:1 gene:TanjilG_13816 transcript:OIW01952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENMMGNYKDTACDVARLTLCQTGIIWSVHQLAFSPHTDCKGIMDLVKFLSPKHVMLVHGEKPKMISLKEKIHSELGIPCYYPANNETVRIPSTHYENVETSDSFVRSCSNPNFKFQKCSSLDTCNSTLSDRNLMPELQVKDERVAEGILVVDRNKKAKIVHKDELLLTLSEK >OIW02736 pep chromosome:LupAngTanjil_v1.0:LG11:1443282:1446230:1 gene:TanjilG_29512 transcript:OIW02736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGWADGPEFVTQCPIRPGESYTYRFTIEGQEGTLWWHAHSSWLRATVYGALIIHPKQGESYPFTNPKRETPILLGEWWDANPIDVVRQATQTGGAPNVSDAYTINGQPGDLYKCSTQGTTIVPIDSGETNLLRVINAALNQPLFFTIANHKFTVVGADASYLKPFTTTVLMLGPGQTTDILIKGDQPPSRYYIAARAYQSAQNAGFDNTTTTAILEYKSAPCPSKGVATIKPLMPSLPAYNDTNTVTAFSKSLRSPRRVEVPTEIDENLFFTIGLGLNNCPPNFNSNQCQGPNGTRFTASMNNVSFVLPSNISILQAQHLGVQGVFTTDFPTNPPLQFDYTGNVSRSLWQPISGTKVTKLKFGSRVQIVLQDTSIVTSENHPIHLHGYDFYVVAEGFGNFDPKKDTSKFNLVDPPQRNTVAVPVNGWSVIRFVADNPGAWIMHCHLDVHIGWGLATVLLVDNGIGLLESIEAPPEDLPLC >OIW01100 pep chromosome:LupAngTanjil_v1.0:LG11:35656698:35665380:-1 gene:TanjilG_25208 transcript:OIW01100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPVLPIVALSLLLGAIIAFLFFNNRQPETRPVSIPDPIPFSDPNKKKNLSSYPLSKKPYSKPHSSDKDQNKRHHPLDLNTLKGHSDAVTGICFSPDGRNLATACADGIVRVFKLEDASSKSFKFFRINLPAGGHPTAVAFSDDASSIVVASHTLSGSSLYMYGEEKPKSSENKPQTKLPLPEIKWENHKVHDRKTIITMFGAKASYGSADGSTIIASSSEGTDIILWHGKTGKSVGHVDTNQLKNNMAAISPNGRFIAAAAFTADVKIWEIVYAKDGSAKEVSNVMQLKGHKSAVTWLCFTPNSEQIITASKDGSLRIWNINVRYHLDEDPKTLKVFPIPLHDSAGTALHYDRLSVSPDGKILAATHGSTLQWLCVETGKVLDTAEKAHDDDIACISWAPKAIPMGNDQVLVLATASADKKVKLWASPSLP >OIW02519 pep chromosome:LupAngTanjil_v1.0:LG11:3050247:3050540:-1 gene:TanjilG_12833 transcript:OIW02519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMATEADETASSELKKRNEELEEQLRQSREREEHMQRQFHAAREQLRVAEEAEERLCTQLGELEAEAVQQAREYHDRIVFLMDQLSHANSLLNNTIN >OIW01652 pep chromosome:LupAngTanjil_v1.0:LG11:21630447:21631494:-1 gene:TanjilG_18223 transcript:OIW01652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPQVHVSHYANLMPYRQFLSPVYVPPMGMPGYSSNQSYPHPSNGSSYVLMPGGGSHLNANSLKYGVQQFKPVPAGNPAGFGNYANPTGYAIIPPGVVGAATALEDSSRVKYKDNLYVPNPQAETSEIWLQNQRDMQSTPYYNMQGQAAHAAYMPSHTGHASFNAAAVQSSHMQFPGMYHAPPQPATIPGPHHLGPPMGNNVGVGVAAAAPGAQVGAYQQPQMGHLNWTTNF >OIW02799 pep chromosome:LupAngTanjil_v1.0:LG11:1014731:1017222:1 gene:TanjilG_29575 transcript:OIW02799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIAHSNVVAEPQHPKGENKQKNEGRNRRVLKDIGNLVVKQVDPVANVPKRITRNHGCQMLANAQAAAEKNKKPNSDVGNLVAVTKVEQTKKPTEPEVIIISSDDEEEQKEKEVVKGGRKTREKVAVRGKNNKAFSAVLSARSKAACGFTHKPKDLVLVDDIDIADIANELEAVEYLDDIYKFYKLTEDDGRVHDYMPSQPDINIKMRSILFDWLIEVHRKFELMPETLYLTLNIVDRFLSMKAVPRRELQLVGISSMLMACKYEEIWAPEVNDFVCISDNAYVRENILIMEKTILSKLEWYLTVPTTYVFLVRYIKASTPSDKKIEDMVFFLAELSLMDYPLVISYCPSMIAASAVYAARCTLGRSPYWTETLEHYTGYYEEQLRDCAKLMVNFHSFAPESKLRAVYKKFSSLEHSAVALVTPTKNLLA >OIW02509 pep chromosome:LupAngTanjil_v1.0:LG11:3000699:3002195:1 gene:TanjilG_12823 transcript:OIW02509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVLTFGHSKLSAATLPCNCVRRVRLITRLQYSVADRTSMTSGSALELDSGRVSRKRGGSSSSLYTLPGLEERKKDKEVMRKKVYKFLQGIGIVPEELDGLDLPVTVDVMRERIDFLHNLGLTVEDINNYPLVLGCSVKKNMVPVLDYLGKLGVRKSTFTQFLQRYPQVLHASVVVDLDPVVKYLRGMDIKPEDIPRVLESYPEVLGFKLEGTMSTSVAYLIGIGVGRREIGGVLTRYPEILGMRVGRVIKPFVDFMENLGIPRLATARLIEKKPYILGFGLEEKVKPNVKSLEEFNVRRTSLASIIAQYPDIVGTDLKQKLGNQRSLLNSVLDLDPEDFGRVVEKMPQVISLSRGPVQKHIDFLKDCGFSMQQMRKMILGCPQLLALNIDIMKPSFDYFRMKMKRPLEDLVTFPAFFTYGLESTVKPRHKMVTKKGLKCSLAWMLNCSDEKFEQRMDYDTIDMEEMEKEPSFDMNSLMQPRAGESDSDYEDSDDDID >OIW01867 pep chromosome:LupAngTanjil_v1.0:LG11:15630105:15636376:1 gene:TanjilG_07162 transcript:OIW01867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPLQKDKRTGRPKIWLYRDKETNEPKGDATVTYEDPHAALAAVEWFNNKDFHGSTIGVYIAESKNKDDQTFNPVVEPLVSDNVGVEETPADVNGGSGRGRGRNETSGKAWKQDGDWMCTNTSCNNVNFAFRGACNRCGTARPAGAPGAAGGRGRGKGRGGQDTGSAGRPGGGLFGPNDWSCPMCANINWAKRNKCNICNTNKPGTSEGGVRGGRAGGYKELDEEELEETKRRRREAEDDGELYDEFGNLKKKFRAKAQQTEAARVLPGSGRAGWEVEELGIDRDARERSRDRGREHNDGYNRHKERNDKERQRSRSRERDRGRARDWDYDDRDRDYGRDRDGSRRHY >OIW01419 pep chromosome:LupAngTanjil_v1.0:LG11:30847037:30850688:-1 gene:TanjilG_25715 transcript:OIW01419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFRRRTLLKVIVLGDSGYVHKKFSQQYKATIGADFVTKELQIDDRLVTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNVMKSFDTLDNWHDEFLRQANPSDPRTFPFILLGNKVDIDGGNSRVVSEKKAKDWCASKENIPYFETSAKEDYNVDAAFLSIAKTALANQHDQDIYFQPVPEPVPEPEQRGGCAC >OIW02337 pep chromosome:LupAngTanjil_v1.0:LG11:5706035:5710158:-1 gene:TanjilG_11231 transcript:OIW02337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNKEEEKSVKTEKPSSLGQANQANQPGVHVYPDWAAMQAYYGPRFSMPPYYNPDMASGHAPHPYMWAPPQPMMSPYGPPYAAIYPHGGVYTHPAVAMGPHSHGQGVSSSPAAGTPSSIETPTKFSGNTDKGLMKKLKGFDGLAMSIGNDNAGSAGRGAENRLSQSVDTEGSSDGSDGNTSGANQSRKRSREETPTTDGEGKTEMQDNPVSKETPSLKVMPVTPTSFAGKLVGPTVSSGMTTALELRNPSAVNFKANSTRAPQPCEVLPLEACLQNERELKRERRKQSNRESARRSRLRKQAEAEELARKVDNLTAENVSLKSEINHLAESSEKLRVENATMMEKLKNVQVGQADEIILNSNNIQRTIPISTENLLSRVNNSGSDDRTATEDENDFGENKPKSGAKLYQLLDNRRPNAVAAG >OIW01576 pep chromosome:LupAngTanjil_v1.0:LG11:24558993:24566252:-1 gene:TanjilG_21156 transcript:OIW01576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFSLSLSSLSPFYKTTTFTHTLKPYQPHTLKPYPLHTLTVKSSIDKNSNTTSKQTSWVSPDWLTSLSRTLISGKDDDSGIPIASAKLDDVSDLLGGALFLPLFKWMNDYGPIYRLAAGPRNFVVVSDPAIAKHVLKNYGKYAKGLVAEVSEFLFGSGFAIAEGPLWTARRRAVVPSLHKRYLSIVVDRVFCKCAERLVEKLQTDALNGTAVNMEEKFSQLTLDVIGLSVFNYNFDALNKDSPVIEAVYTSLKEAEARSTDLLPYWKIGFLCKIIPRQIKAEKAVGVIRKAVEDLIEKCKQIVEAEGERIDVDEYVNDTDPSILRFLLASREEVSSVQLRDDLLSLLVAGHETTGSVLTWTLYLLSKDSSALAKAQEEVDRVLQGRRPAYEDIKDLKFLTCCIMESLRLYPHPPVLIRRAQVPDELPGAYKVGAGQDIMISVYNIHHSSKVWDRAEEFLPERFDLDGPMPNETNTDFRFIPFSGGPRKCVGDQFALLEAIVALAIFLQNMTFELVPDQNINMTTGATIHTTNGLYMKLSRR >OIW02820 pep chromosome:LupAngTanjil_v1.0:LG11:874145:876322:-1 gene:TanjilG_29596 transcript:OIW02820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLKLVLFCMFVILVTNHVLVNGANEDRKVYIIYMGSLPKENYSPQSEHINILQQVLGDDFDSNHLVTSYKRSFNGFAAKLTDQEVKNIGRMRGVVSIFESKTLQLHTTRSWDFIGLQEKTKTSPIESDMIIGVFDTGIWPESESFDDEGFGPPPKKWKGTCAGGKNFTCNNKIIGARYYSENVSARDSVGHGTHTASTAAGNKVKGTSFYGIAEGTARGGVPSARIAVYKVCDDSQCSDAAILAAFDDAIADGVDLVTISIGGSTPRSFTEDPNAIGSFHAMEKGILTVNSGGNGGPIKTSTASLAPWLFSVAASNTDRRIIDKVSLGNGVTLTGQSVNTFTPNGTKIPLVLAEKVSKPNCPIPSSCFPNCLDPEKVEGKIVLCSSLAGLDTGVEAGAFGSIIQDDQNVTHVVPFPATILDTNTYLLAHKYVTSTTSPLAEILRSETINNTNSPSVTDFSSRGPNAIVPEIMKPDITAPGLEILAAYPPNLPPSGKYGDKRSSKYTFLSGTSMACPHVAAIAAYVKSFHPDWSPAAIKSSIMTTTTPLKDSEDKEYAYGSGLVNPVKAINPGLVFDLSKDDYINLLCNIGYDTPKIRKISGENSTCPSSTPQKSVVKDFNYPALATHVQPDQPFVFNFTRTVTNVGFANSTYKVSVPTSSDLNITVVPQVISFKSLNEKQSFVVKVVGGKFPDGSVPSSSLQWTDGTHNVRCPIVVDVSRPQT >OIW01825 pep chromosome:LupAngTanjil_v1.0:LG11:16653684:16654515:-1 gene:TanjilG_15689 transcript:OIW01825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGGGADYPNEGGLSSTERTVRAAHMMCSSCEALCSNHHGHSGESQGSVPPNMHMLLGSILRRAWANVNLKLVELLNCLALARIMRNEPKEVIILTLGSGTSFDDKGESSCRSLGRIEITDQTDVVRTLGDVCCHRQLWMWLTSLGDDMNSS >OIW02679 pep chromosome:LupAngTanjil_v1.0:LG11:1895820:1899198:-1 gene:TanjilG_29455 transcript:OIW02679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSISMALLHHCSTPFARAVRPPPPTRARFSSTMAMASEAAPSPTRLSQSQQQQVLLGLSEKELQELALNLGQQGFRGKQLYHLIYKRKIKEIQDFIQLPQAFRNDLEKSGWKVGRSPIFQTVTAADGTIKLLLKLEDNRLIETVGIPVEDDGNSVRLTACVSSQVGCPLRCSFCATGKGGFARNLRSHEIVEQVLAIEEVFNRRVTNVVFMGMGEPMLNLKAVLEAHHCLNKDVQIGQRMMTISTVGVPNTIKKLASHKLQSTLAVSLHAPNQQLRETIVPSAKAYPLSALMSDCREYVRETNRRISFEYALLAGINDSVDQAVELAQLLREWGHGYHVNLIPFNPIEGSEYKRPYRKAVQAFANALESSKITVSVRQTRGLDASAACGQLRNNFQKTPLVTDPDNLETQLPNTDLAVTC >OIW02754 pep chromosome:LupAngTanjil_v1.0:LG11:1339717:1340025:1 gene:TanjilG_29530 transcript:OIW02754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIYSRGIVVFGVGILVLISFCCMKTIEAGDDDSGAKIHAIRREDPPVGCNSHSDAGEECTGEDDKLGLYSDVDDTFKVVKHVAVNDDSPHILHNNVDVLGH >OIW02750 pep chromosome:LupAngTanjil_v1.0:LG11:1357293:1357592:1 gene:TanjilG_29526 transcript:OIW02750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLILFFVLGFSWYINYESVYEDLMIQVKILLALVPLFLLLVVHCLSSGGSFPIPLPEERNSLHRAGGSPWGVALLLVFLLFMMAHQSSFHQRWFPLATK >OIW02948 pep chromosome:LupAngTanjil_v1.0:LG11:8312:9373:1 gene:TanjilG_29724 transcript:OIW02948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASQSLGGTSRCGRVVGPSLDKIIKNAAWRKHSHLVSACKSTLDKLESISDSSTNTSSSVLLGLSSSDAESILQPLYLALDSAYPKVVEPALECTFKLFSLGLVHVEIETSSQSGAGIILNMVDAICKSAGLGEEAIELGVLRVLLSAVRSPCILIRADSLIQIVRTCYNIYLGGVNGTNQICAKSVLAQIITIVFTRVEEDCLDVSVKKISVSELLEFTDKNLNEGNSINFCQNFINDVVEPVEGVPLKPYSVSPVDETGGSKIREDGFLLFKNLCKLSMKFSSQQYPDDRILLRGKILSLELLKVVMDNAGSLWRLNERQVLSYLTAHLPPLFICCHHYIISTPKDDKIA >OIW02809 pep chromosome:LupAngTanjil_v1.0:LG11:948155:951329:1 gene:TanjilG_29585 transcript:OIW02809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCCSGGAEDPVDNSNKGETNNQQANNDHNEDSATTPPPSSSKPSPPAPSPKPSKQSPIGPVLGRPMEDVKTTYSIGKELGRGQFGVTHLCTHKASGKQYACKTIAKRKLVNKEDLEDVKREVQIMHHLTGQPNIVELVGAFEDKQSVNLVMELCAGGELFDRMIAKGHYTERGAASLLRTIVQTVHTCHSMGVIHRDLKPENFLLLNKDDDSPLKATDFGLSVFYKQGEVFKDLVGSAYYIAPEVLKRKYGPEVDIWSVGVILYILLCGVPPFWAESETGIFNSILRGHVDFTSDPWPSISPQAKDLVRKMLNTDPKQRLTAYEVLNHPWIKEDGEAPDTPLDNAVLNRLKQFRAMDQFKKVALKVIAGCLSEEEIMGLKQMFRGMDTDNSGTITIEELKQGLAKQGTKLSEQEVKQLMEAADADGNGIIDYDEFITATMHMNRMNRADHVYTAFQYFDKDNSGYITIEELEQALHEYNMHDGRDIKEIIAEVDADNDGRINYDEFVAMMSKGHQEAVPTKKRRDSFVS >OIW01276 pep chromosome:LupAngTanjil_v1.0:LG11:34570698:34575367:-1 gene:TanjilG_10437 transcript:OIW01276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMFLTSSDRLSNEVQVNGKKGNNKVEISFLAIIAIALGVAVTLTIASIAQQPTGGSSFGLQILSEGSSSSAVAPTTAGFTFKAFGYRIILPECAPGWIYFWLLMAAACGLFISEEALNIWVGISIARLLSLDGTWKSFAESFSRNAPYIVSTIFWVYWGVCISDLIPFYLGKLFRQSGASDDVTSRLGVGKEKAMQITDAVQKYGNLIGFVERFSFGVRNPTAFLAGALGIWTLFPYAVAASTALFLYLRRRYFS >OIW01665 pep chromosome:LupAngTanjil_v1.0:LG11:20969873:20972322:-1 gene:TanjilG_04633 transcript:OIW01665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASCNMASIASGFVLLNVVTNSSSLRSSNMVTFPTKNVNGSSSRLVVRAADEAAAASAAAPATVNPVVEGEAAKKPKPPPIGPKRGTKVKILRRESYWYKESGSVVAVDQDPKTRYPVVVRFNKVNYANVSTNNYALDEIEEVK >OIW01124 pep chromosome:LupAngTanjil_v1.0:LG11:35820153:35830903:-1 gene:TanjilG_25232 transcript:OIW01124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNRARYPPGIGLGRGGGSGGGAGLNLNPGFQQRPPQQHVQRHIMQQQQYQQQQQQQQQWLRRTQLGGNTNTNVVEEVEKTVQSEAVDESSQDWKTKLKIPPADTRYRTEDVTATKGNEFEDYFLKRELLMGIYEKGFERPSPIQEESIPIALTGSDILARAKNGTGKTAAFCIPALEKIDQDNNVIQVVILVPTRELALQTSQVCKELGKHLNIQVMVTTGGTSLKDDIMRLYQPVHLLVGTPGRILDLARKGVCIMKDCSMLVMDEADKLLSPEFQPSIEQLIQFLPGNRQILMFSATFPVTVKDFKDRYLRKPYVINLMDELTLKGITQFYAFVEERQKVHCLNTLFSKLQINQSIIFCNSVNRVELLAKKITELGYSCFYIHAKMLQDHRNRVFHDFRNGACRNLVCTDLFTRGIDIQAVNVVINFDFPKNSETYLHRVCLISTFSFPRVLIISCMCSYGFPDDIQVGRSGRFGHLGLAVNLITYEDRFNLHHFAQLFMEKGNYNHVLQAAQAHKKNTTPPPEPLDDESSKQPQESEEKKQDDEDS >OIW02903 pep chromosome:LupAngTanjil_v1.0:LG11:295075:296295:-1 gene:TanjilG_29679 transcript:OIW02903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSPQSVVSPFKSSGALSKDIEVNGKEAVVSNHDESIGVVDVFIHQARDIQNICIYHKQDVYAKICLTSDPENTVSTKTINGGGRNPVFNENLRLSVRTVDSALKCEIWMLSRVKNYLEDQLLGFALVPLSEVLVKKGAKLEKEFSVSSTDLFHSPAGFIQLSLAYIGASPDVMAISAMPMDLATERDLESETSESMVRDLDKIEFPDPKILNEDHLMVSEYYGIPCDESHCTNNSLVNTDAENHSSEAGVQLVESFSACGAESVQPPKVDNDSPPSSVSTNGVSSPSAAARSDSFDAAASKSSIQEQVSDTKEKDGDSNSSNGVASESFPKPFVTVNIEPEPKVVQQDIVDMYMKSMQQSLANMKLPLDFESGPNSSGSSTSEQKLQPSKNGNSSRVFYGSRAFF >OIW01684 pep chromosome:LupAngTanjil_v1.0:LG11:19843753:19848202:1 gene:TanjilG_01191 transcript:OIW01684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKTKRCLSLPVLAVFLLMIFFYYTTIFVFIDYWLGLQSSSGIFNASIFTLLAFLTLFSFFVCVLSDPGHVPPSYLPDVEDITHESVKDNAEEKKCDKCFAYKPPRTHHCRVCRRCVLKMDHHCLWINNCVGYWNYKAFFVFALYATIASIHSMVLFICCVYQEDWDSDQGSSLKIFYVMYGTILMGMMVTLLTLSGWHVYLILQNMTTIEYYEGKRAKWLAAKSGKSYRHPFNVGAYTNITSILGPNMLKWLCPTAVSHLKDGVSFPTIRDNS >OIW01634 pep chromosome:LupAngTanjil_v1.0:LG11:22238365:22240662:1 gene:TanjilG_14633 transcript:OIW01634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNKKRRRNNPTADDDSNHLPFKNILKPDSSILETLKTFSASSSSASSAATTLTLQDLTLPFPCREVSDLSLSSVQHNIESLVLSITRSILSGNGFSFDVPSRSAANQLYVPELDRIVLKDKTSVRPFANISTVRKTAITARIMQLIHQLCLKGIHVTKRDLFYTDVKLFQDQMQSDAVLDDVSCMLGCTRSSLNVVAAEKGVVVGRLIFSDNGDMIDCTKMGMGGKAIPPNIDRVGDMQSDALFILLVEKDAAYMRLAEDRFYNRFPCIIVTAKGQPDVATRLFLRKMKTELKLPVLALVDSDPYGLKILSVYGCGSKNMSYDSANLTTPDIKWLGVRPSDLDKYKIPEQCRLPMTEQDIKTGKDLLEEDFVKKNPGWVEELTLMVKTKQKAEIQALSTFGFQYLSEVYLPLKLQQKDWL >OIW02660 pep chromosome:LupAngTanjil_v1.0:LG11:1977696:1979205:1 gene:TanjilG_29436 transcript:OIW02660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMADLMFPFKEDTIPMWAVPIYSIVIPIFIFVAFYLVRKDIYDLHHAILGLMFASLITGVITDSIKDAVGRPRPNFFLRCFPDKIPVFDVDTGDVLCSGDAKVIKEGYKSFPSGHTSWSFAGLGFLTWYLSGKVRVFDRRGHIAKLCISLFPLLIASLVGVSRVDDYWHHWTDVFAGGLIG >OIW01443 pep chromosome:LupAngTanjil_v1.0:LG11:29356685:29359913:-1 gene:TanjilG_30917 transcript:OIW01443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITLSKHSLTSNNFMNVLCQIETLEYLDVSNNQITSIPDGFLTECGKNKGLNLLNFTRNNLHGVLPTFHGFDGLEYLDMSFNNLGGTIGLELDELVSLKSLNLSFNSFNGSLPIHLGKLMILEKLMLSQNNFSGKIPDEILTYKKLTVIDLSGNHLSGTIPSNIGELPKLESLILSCNSLDGGIPISLRNITTLTRFAANQNNFIGAVPIGITTYLTSLDLSYNKLESIPEDLLSPSKLQVVDFSTNKLSGPLPVNISSSLTRLRLGGNFFTGSIPSGSFPRAKNLTYLELENNHLTGVIPVGLGSCQNLALLSLAKNQLSGVLPAELGNLRQLQVLKLQMNKFNGTIPVQIGQLQKLSTLNLSCNSLEGSIPSVMSSLSSLTVLNLQQNNLSGSIPTSIGNLRFLIELQLGKNQLSGVIPSMPLSLQIALNLSSNLFSGPIPSSLGYLTTLEILDLSNNRFSGEIPKSLGGMPALTQIILSNNQLSGMIPKFSPYVHVESKGNTNLVNNTGQDNTTPNVAPRSTKTGISVVAAVLISIAASIFLIALVALIIVLVSRNMYKVNDEHIQPSEDSHLPRVIHSNLFTSHVIHRANIDFSKAMEAVAVTSNVTLKTRFSTYYKAIMPSGSIYFVKKLNWSDKISAAASSNDKFVIQLEMLAKLNNSNVMTPLAYVISNDIAYVLYEFVSNGSLFDVLHGSMAGSLDWASRYSIAVGVAQGISFLHGHASSSILLLDLSSKSIMLKSFKEPLVGDIEHYKVIDPSKSTGNFSAVAGSVGYIPPEYAYTMTVTMAGNVYSFGVILLELLTGKPAVTEGTELVKWVLHHSTNKDYILDFNVKRTSQAVRNQMLAVLKIALVCVSTSPEARPKMKSVLRMLLNAR >OIW02325 pep chromosome:LupAngTanjil_v1.0:LG11:5605935:5608405:-1 gene:TanjilG_11219 transcript:OIW02325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSENLEEYTNKVIEEFEAVSKDAERVQRETLKSILEDNASAEYLLSLGLNGRTDPESFKACVPLVTHEDLEPYINRILAGEKSSILTRKPITHMAFSSGTTQGKSKYIPWDPDSIMVHSKLIFHTAFAFRNRAFPIKNGKVLSFSYRKNPTENEAGIKVATATALVTGDEQYTPIMAAINSQVCSPQEVTLCPDFEQGLYCHLLCGLIFRDQIQFLYTPFAHSLVNAFRTLEQVWEELCNDLREGVLSSRVTDPSVRTAMSKILKPDPELANLIHKICTGLNNWYGVVQELFPNAKYLLGIMTGTMLPYVERLRHYAGEVPVLTSDYGASEGWIAVNVHPTVPPELASYTMLPQIGYFEFLPLAQNSIAKPVGLTEVKVGEEYEIVMTTPAGLYRYKVGDVVKVTGFYNSTPEFNFVRRSNLLLSINVDKNTENDLYISVMAASKVLAKEKLDVIDFTSHVDLSIEPGHYVIFLEISGEASEEVLSECCNCLDKSFIEAGYVTNRAINLIGPLELRVVRRGTFHKILESSVGRGAAVSQFKTPRCVPSTNTQVMQILTENVEKKYSSTHYN >OIW01733 pep chromosome:LupAngTanjil_v1.0:LG11:19082216:19082443:-1 gene:TanjilG_03871 transcript:OIW01733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANQTNSFDPHVHPYFLHSNENPAVVLVTPLLNEKNCQSWSRSMKLVLESKNKLDFITKGIPQPPPNDPLNGSWK >OIW02710 pep chromosome:LupAngTanjil_v1.0:LG11:1650398:1652981:-1 gene:TanjilG_29486 transcript:OIW02710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METRLVALAILMVALTFPPCVLSLVRHYKFDVVLKKTTKLCSTKSIVTINEKCPGPTLHAREGDTVIVRVTNHVKHNITIHWHGIKQFRTGWSDGPAYVTQCPIQPGQSYVYNFSITGQRGTLLWHAHITWLRATVYGAIVILPKKGVSYPFPKPHKEKIIIVGEWWKTDVEAMVNRAAKSGLAPNISDAHTINGHPGPVSGCISRGYTLHVKHGKTYLLRIVNAALNDELFFKIAGHKLTVVEADASYVKPFETDTLFLSPGQTTNALLTANTGVGKYRIAVTPFMDAPIGFDNLTAFATLRYKGAPRYGKTILTSIPPLNATPITSAFIDSLRSLNSNDYPARVPLTIDHSLFFAITVGLNPCDTCVFGNKLVSAINNITFVLPTKTSLLEAHYYNIKGVFTDDFPATPPIVFNYTGTQPANIQTNNGTKLYRLNFNSTVQIVLQGTAMIAPENHPFHLHGFNFFVVGQGLGNFDPKKDPLSFNLVDPVERNTVGVPNGGWTAIRFRADNPGVWFLHCHLEVHTTWGLKTAFLVDNGIGSNESPIPPPNDLPKC >OIW02524 pep chromosome:LupAngTanjil_v1.0:LG11:3093764:3098777:-1 gene:TanjilG_12838 transcript:OIW02524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLDNNSNQYATISSNSSSTSSSGGLNSNDNNANSNSSAGTVTWYGMNFPSVRTLRSPLSYLLERSGILTSGPEPEAAIVNNGVTGSELRSQLQTQSLNGGGGAAAADIETLCTNSTGEVAIRIIGAGENDHNVVGSSSLTSSSWNPRGEVGYGDMMSDRDGRPGLVDDGGGDDHGEIANGERVHRVSSSSSLAGNGQVGGDAAGNGVESNGRESSSYQRFDIQQIAKWIEQILPFSLLLFVVFIRQHLQGFFVTIWISAVMFKSNEIVKRQTALKGDRRVSILVGISIAFMLHVMCIYWWYRNDDLLCPLVMIPPKATPFWHSIFIILVNDTLVRQAAMAFKCFLLIYYKNGRGHNFRRQAQMLTLVEYTLLLYRALLPTPVWYRFFLNKDYGSLFSSLITGLYLTFKLTSVVEKVKCFFSAVKALSRKDVNYGVCATMEQVNAAGDLCAICQEKMHAPILLHCKHIFCEECVSEWFERERTCPLCRALVRPADLKTFGDGSTSLLFQLF >OIW02937 pep chromosome:LupAngTanjil_v1.0:LG11:71260:72646:1 gene:TanjilG_29713 transcript:OIW02937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLLTFSTLILFSLIIILHSSSTTKAHNITRILAKHPAFSTYNHYLSVTHLADEINRRRTITVLAIDNAGMQSLLDKHLSISTLKNVLSLHILVDYFGAIKLHKITNGTTLVSSVFQATGAAAGTAGYVNITNLKGGKVGFGAEDNDGSLHSFYVKSIDEVSYDISVLQISQPLSSADAEAPTSAPSAINLISIMSKQGCKAFADLLRVSKALSTFEENIDGGLTVFCPTDSAVNDFTPKYKNLTDAKKVSLLLYHGIPVYESLQMLKSGNGVVNTLATEGANKYDLTVQNNGEDVKVQTKVVTASIVGTLIDQDPFVAYKINKVLLPRELFKVSEMAPAESPKGSSGSKKKKSSSGNKKGKEESSSSSSSDAPADGPSADDEEADDQKAADHNIGAKEGVTFIIFFFTFFIGILLL >OIW02396 pep chromosome:LupAngTanjil_v1.0:LG11:3646543:3647643:1 gene:TanjilG_04989 transcript:OIW02396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKFFTIFACLLLACSYSTCDASFSSSRFSSNDDKSKSIPSSAPAPASTPDCDSTIDDVMSCISFLYSDDSSKPDAGCCAGFIAAASTNMRCLCAIINSHELKPQRSKAITIPSGCGIKSPLGQCGESQVSKPAPPKASCRSHAPYLKPEPIKSPVSPPSSVPSVKPVPSETPAAPSPSVASTAPAPAPKKALAAPAAYPISISLIAISSIFFVYFF >OIW02452 pep chromosome:LupAngTanjil_v1.0:LG11:4169870:4178926:1 gene:TanjilG_05045 transcript:OIW02452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMKILWCYLAILLAVAFGSVQGWNNVTYDGRSLIIDHKHRILFSGSIHYPRSTPEMWPSLIAKAKKGGIDVIQTYVFWNLHEPIQGQFDFSGRRDLVKFIKEIQKKGLYVTLRIGPFIESEWTYGGLPLWLSHIPGIVLRSDNEPFKFHMQDFTTKIVDMMKLNNLYASQGGPIILSQIENEYSMVERAFHEKGPSYVNWAVEMAVKTQTGVPWIMCKQDDAPDPAINTCNGKRCGKTFQGPNSPNKPSLWTENWTSFYQAFGDEPPHRSAEDIAYNVALFVAKNGSYVNYYMYHGGTNFDRTASAFVTTAYYDESALDEYGLVRQPLWGHLKKLHAAIRSCSHTLLYETQTTFSLGQGQEAYVFGMNSTKDQCAAFLENNDLSEATIQFRNVTYKLPPKSISILPRCKKVVFNTANVSTKNDTRVRKSRLNFNSSEKWKVYEEAIPNYDNTSLKANTLLNQIITTNDTSDYLWYTLSILASSSIQSVLSVYSRGHVLHAFVNGVLVGSAHGSKKIPEFEMESNVTFINGINKISLLSATVGMPDSGAYLERKVAGLRRVKVNGTNLTDQSWGYQVGLLGEILQIYTDIGSKKVQWKSLGSSPKPLTWYQATFDAPKGNSPVALNLGSMGKGEAWINGQSIGRYWVSFHTPSGIPSQQWYHIPRSLLKHSGNLLVLFEEETGNPLGITLDTVHYIRSTITPHQ >OIW02249 pep chromosome:LupAngTanjil_v1.0:LG11:6269549:6272541:1 gene:TanjilG_15132 transcript:OIW02249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKEDQGQSSSKAINNNNIQSYQEQLLLQQQQQQMHNHQQSNDMNNIFVEGGRGLMFPSEISPLSMPPVHSFNPNLVRDQDHFLVPPSVPSPYANFINRRVPSLQFAYHEGSSDHLRILSDTLGPMVQPGSVPFGLHAELGKMTAQEIMDAKALAQSKSHSEAERRRRERINNHLAKLRSLLPNTTKTDKASLLAEVIQHVKELKRQTSLIAETSPVPTESDELTVDTAEEEGKFVIKASLCCEDRSDLLPELIKTLKALRLRTLKAEITTLGGRVRNVLFITGDNEDSSSSGEQCMQHQQQYCISSIQEALKAVMEKNGGDESASGNVKRQRTNNINIL >OIW02257 pep chromosome:LupAngTanjil_v1.0:LG11:6378143:6380067:1 gene:TanjilG_15140 transcript:OIW02257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPQNMEFRDYIEALEEERKKIQVFNKELPLSLELVTRGFLILYGEFDDAAIEACKQQLSGTTTEYNLNGLSECSEQTSTEEGPVFEEFIPIKRRASSPDYDDDDEEQQHSHKQKIPKDSTNSDWLRSVQLWNPDPPSTKEDVPRKASVVEVKRNGGGAFQPFHREESTNGKANDASNDKAPSSPQVPATSSTGPVTKDHVDGSSNKEEKGLRKQRRSWSQELHKRFLHALHQLGGADSATPKQIRELMKVDGLTNDEVKSHLQKFRLHNRRPNLMIHKNNTNSQAAPLFLVGNIFVQPQEYATAIATSSGELTTVTAPTGIYAPVASHPPIVTHKPEASIQKLQFKQLELSEHSNSEERANHSEGAGNSNSPTSSSSTHITTTSPAC >OIW02251 pep chromosome:LupAngTanjil_v1.0:LG11:6291915:6300345:-1 gene:TanjilG_15134 transcript:OIW02251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTAKSRNFRRRGGGSTDNNDDSNDTPSTNLPSKPPSSSAKPKKPQAPKLLSFADETETPPPRTSSSKHHRSATTNTSTSSKPSSSHKITSHRDRISHSSSPSVPSNVQPQAGFYTKEALLELQKNTRTLVTSSTSSRFSSDSKPSSEPVIVLKGLVKPSASDAEARDSDSDGENEKAEATVSNGKGSSGGSGSFYPDEDTIKAIRAKRERLRQARPAAADFISLDGGSNHGAAEGLSDEEPEFRGRIAMFGEKMEGGKKGVFEYVDERRFKGIAGREDDQIDGDGDFNDEDEEEKLWEEEQFRKGLGKRMDEGSATRADVVPVVVQSAQQHSFLAVPNAYNAVPSASVSPGIGGIMGAAPLSSLDVIHISQQAQVAKRAMKENLTRLKESHGRTVQLLNETDRNLSTSLLNITDLENSLVLSDEKYRFMQKLQNYVTNICDFLQHKASYIEELEEQMKKLHEDRASAISGRRDTNNDDEMVEVEAAVKAAMSVLSRKGNSNSEAAKIAAQDALTALRKQRDLPVELDEFGRDLNLEKRMKMKVRAKSRQSKRSQTFDYSKLASMELNDHKIEGESSTDESDSESQAYQSQCDLLLQAADEIFSDACEEYGQLSLVKRRFEEWKREYLTSYKDAYISLSLPLIFSPYVRLELLRWDPLHKGLDFQEMKWYKLLFTYGLPEDGKDFVNDDDDVDLVPNLVEKVALPILHYEISHCWDMLSQEETANAIASTKLIVQHLSHESEALADLLVSIRMRLADAVSKLTVPTWSPPILAAVPDAARVAAYRFGLSVRLLRNICLWKDIFAMTVLEKLALDELLCGKVLPHFRSISENVHDAIRRTERIIASLSGVWAGPNVTGDRSKKLQPLVTYVLSLGRILEKRNVAESDTSNLARRLKKILVDLNEYDHARNIARTFRLKEAL >OIW01837 pep chromosome:LupAngTanjil_v1.0:LG11:16905253:16905648:-1 gene:TanjilG_15701 transcript:OIW01837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECGERVQCLKSGAISNGVEVANGNASAEEAQHLKSEAFNNGVAFSDGNDVTEVAFCLKSKGINNGVAIPSGNDEASCLKSEAINNGVAMKNENVVTEEALYLKNKSIRIVVAIANGFDSADRGSGGLECL >OIW01525 pep chromosome:LupAngTanjil_v1.0:LG11:28029564:28034864:1 gene:TanjilG_19451 transcript:OIW01525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSAEDLGAPESWEVADLDESMNRFNLMLSSSSSNNKDFNVSDDSALSSSSAPPGSASSTGDKLYDDVINQVDQFLREAIQNPRERVSVLRIEQDVEKFIRNPNQQQLEFEQLPTSYLRLAAHRVAQHYSLQSMVLLDNSLPDGSGSRIIVRKTAECKLPVIRLADIPVKLSSENNAVMKVAIKQRPQKQTHILSNANSNSGNSSNSKSVEERKEEYNRARARIFSLSNNGGTIGEKPESGSRQQDSSLRGSLGVPRLEDKPVSVSDVSSCRGLVESSINISRGAVESSTSTSRGAIAYSTNTSRGLVEFSPNATRARNRTEKEPVGSRYRQSNRVAIFRDRDVDRKDPDYDRSYDRYMQRFDPGFGFNGGSYTMQPMYTAVVNYNTEFPQLGSAHGPELSTEHQPQPLPQHIPGSWVPQPIPPGSGYGHPETMMSPFNPSQVGAHSSSAMYLHSPQYPCQRPGMPFLHHEHVHQPFAQTCSAQFFGVINSALCTSFALEDVSFIVICFWVQFTIAML >OIW02833 pep chromosome:LupAngTanjil_v1.0:LG11:795507:799055:-1 gene:TanjilG_29609 transcript:OIW02833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGVGDEEPALKRMKLSSNGLVALSNGSTLKEPVEGSSSGLMARPISSKGDEQVVGSRGVIKRVEFVRIIAKALYSLGYRKSGEHLEEESGIPLHTSALNLFMQQILDGNWDESVASLHKIGLEDESIVKYASFLILEQKFFELLDGEKVTEALKTLRTEIAPLCSSSSRVHELTSCMVSPSSRQDTVRMGSRPKLLEELQKLLPPTVMIPDNRLEHLVEQALILQQEACPFHNSSDKEMSLYSDHRCGKDQIPSRTFQILEEHDDEVWFVQFSHNGKYLASASNDRSAIIWEVDVNGGLSVKHKLSGHQRPISSVSWSPNDQELLTCGVEEGIRRWDVSTGKCLQTYERTGAGLVSCAWFPTGKYILSGLNDKSICMWELDGKEAESWKGQKTLKISDLEMTGNGEEIISICKDNAVLLLNRETKDERFIEEYQPITSFSLSKDNKFLLVNLLNQEIHLWNIEGDPNLVGKYKGHRRTRFVVRSCFGGLKQGFIASGSEDSQVYIWHRSSGELIEALAGHSGSVNCVSWNPANPHMLASASDDHTIRIWGLNCMKLGYQNGHSNGIHYCNGGT >OIW02882 pep chromosome:LupAngTanjil_v1.0:LG11:415828:418539:1 gene:TanjilG_29658 transcript:OIW02882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATWNDAIALGDDSTASHSNSLVMSFSEKRLPLHEVDFFAQNNEKKSHDDHHKMVQHIELSEDTSLDLQMKNTGGKRSIKKYGDERNELAALVAELHDMNAENHRLRVLVDQVNNDYNALHMQLIKLMQTQHRNRGDQAIEKKGKKEGMVPRQFLEMGGFSERDKAFQQYLEGSKLRESKSMIELMESNTVQALEPTKDNSSKARTMEENPGDQAFQGCLSNKVPKLNSLMGHVDQASETMAIIKKARVSVRTRSESSMIADGCQWRKYGQKMAKGNPCPRAYYRCTMCTGCPVQRCGEDRSVLITTYEGQHNHPLPPTAMAMASTTSAAASMLLSGSMPSADGLINPTILESAAFPCSHNNMATLSASAPFPTITLDLTKQSDTNSSSQLQRDQLSLLAPLLSEKFMSVNPSLTDTVNAATAAITADPNFTSALVAAITSIIGTSHPNNNGNYNTSGDQQCNNA >OIW01123 pep chromosome:LupAngTanjil_v1.0:LG11:35816619:35817884:-1 gene:TanjilG_25231 transcript:OIW01123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFREVLGAFEAMKVAGVMCDAVTMVKVVLACCFLGEWGVADDVVRYIEENEVKIDVYLGNTLIDMYGQRGMVNQARDVFDRMQQRNMVSWNAMIMGYGKVGNLVAAQELFDAMPQRDVISWTSMLTGYSQAKQFTEAVSLFKEMMEAKVKPDEITVASVLSACAHLGSLDVGEAVHQYICKYDVKADVYVGNALIDMYCKCGVVEKALEVFKDMKKKDSVSWNSVIAGLAVNGFADTALDYYSQMLKEGFKSSHGAFVGILLACAHAGLVDKGLEYFERMETVYGLKPEMKHYGCVVDLLSRSGNLQRAYEFIKNMPIVPDVIVWRILLSASQVHGNIPFAEIATKKLLELDPSNSSNYVLSSHTYAGSNRWEDVIKMRGLMEESNVQKPTGSSSIETNDSNGSLDSHFVKLQEKSNLTAT >OIW01332 pep chromosome:LupAngTanjil_v1.0:LG11:35139148:35143799:1 gene:TanjilG_10493 transcript:OIW01332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHTNLNLLDEALGLHNTKNNLWPLCGRFVLVEDSVDTTASFVLHHIIKRSLSSHPSSSLIFLSFSHPFSHYDRILRKLGCNLAAQRDNSRFFFIDMLMLQCPDERKPNHDVLAAIFEKVERVISALHPDNKKFLTIMIDDISLLEVAANGSSNAVLDFLHYCHTLTSEFGCALVALDHKDIYSNDERPALILEVEYLADILVNAEPLSTGLAKDVHGQLMVLDKGSEHQHGISSHKTHNFHFKIKENSIEYFYPGTITMR >OIW01146 pep chromosome:LupAngTanjil_v1.0:LG11:35257060:35261853:1 gene:TanjilG_17703 transcript:OIW01146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPRVVKRGAARRMLIAAKESSNATIQNQKKNHEADEEFLNHEQSPVVEEEKDKNSVVAVEDKIIDVKNDVEKVNESIDEYEKDEQLDLEDNYPEYENEEDGGVDYDEKGIEEDDGQEVGYEVEEDPEEDVGEGESDTGDEEVEYVYEEVEEDDDDGEHDEHAGEEHEPEKTADADEVVHHELVTERRKQREFEVFVGGLDKDATEDDLRKVFSEVGVVIEVRLVMNPHTKKNKGFAFLRFETVEQAKRAIADLKNPVINGKQCGVAPCQDNDTLHLGNICKRWTKEALKEKLKHYGVENVDELTLVEDSNNEGMNRGFAFLDFSSHSDAKVAYKRLQKRDVMFGVDKPAKVSFADSFTELGDGIVREAKTVFIDPLPPSWDEEYVRNHLKKYGEIEKIELARNMPASRRKNYGYVTFGTHAAAVECVDSIASEGLGEGDKVKVRAKLSRPLRRRRGKHVSHGPHTSGRKPKKTARPSWSQPAPISRPAPRSRPPRTKPARVVRGIGSRVSPVRPVRVRDRHPVMSMPVRARPVAPPTRSYNRRPAAPAYPKHSTEVDYGRREDLPPPSRASMDYNYRVSSQRQPSYRDYPPRSPGYYELPRSTSHAAPRRGYVDDSYGQRFERPSPPPPSHLSYREGHHHDHDTLPELKRPYSAVGDVPPRYVDTGVRHSRARLDYDYAGSAPQYGDAYGDRFGRSSRGYNGSRGSISSQDTHGMYSSRQGVNNGEGSFGTTHSSGYGNDDYMSRESDVGGSSYSSIYSRRDLGGSSSYMSGGGSRSYY >OIW02181 pep chromosome:LupAngTanjil_v1.0:LG11:7556417:7559704:1 gene:TanjilG_02405 transcript:OIW02181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSCVKPLMQRKETPVVLLHGFDSSCLEWRYAYPLLEESGFETWAIDILGWGFSDLEKLPPCDVVSKRDHFYQFWKSYIKRPMILVGPSLGSAVAIDFTVNYPEAVEKLVLIDASVYAEGTGNLATLPKAVAYAGVNLLKSFPLRLYVNYLNASTNKSFGTTLDWTNVGRLHCLMPWWADATVDFMTSGGYNVASLIGKVKQKTLIIWGENDRIISNRLAVQLHCELPDAIIRQIPNCGHLPHVERPDSVVKLIVEFVQNQREFQKLSLCLSQV >OIW02028 pep chromosome:LupAngTanjil_v1.0:LG11:9709096:9711615:-1 gene:TanjilG_13766 transcript:OIW02028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHYYHLIFILLLTLTTKHSHSTSNILITSNTTIFSDNKTFELGFFNFTPTSKHYYLAIRYASLTNPFCTWLANRQNPLSTLSHSSLQLTQTGRLILTDSSTSFQINTNTDNSNNNFSGSTLRLLENGNLLLLTQNGTVLWQSFDYPTDTWLPGMNLTRSRSLISWRTKTDPSPGFYSLRLRPPDYGEFELVFNNTESFWSTGNWTGQSFLNVPEMTIPYIYRFDFEDPFSPSASFGFSERALENGLEPPTLFRVEPFGQVRQYTWSKESRSWNMFWSKPEKICQVRGLCGRFGICSSDETTETAISVSRFCDCVKGYEPVDVEGWRNGEYFGGCKREDGEICNVSDGFDDLGFVVKFDMFNVSSLMMKTRKICEHECLNSCNCVGLSYNEGSGICRNFYGSLFDFKNSTSSSDGALYVRVPKEGSLIKKRFNGKVLVAVVIGSALVLGVLVVSLLVLIKMRLKKKGNEENVSVPILNLQVFSYKELQLATRGFSDKVGHGGFGTVFLGELSDSSLVAVKRLERPGGGEKEFRAEVSTIGNIQHVNLVTLRGFCSENSHRLLVYEYMQNGALSAYLRKEGPSLSWDVRFRVAIGTAKGIAYLHEECRCCIIHCDIKPENILLDNDFTAKVSDFGLAKLIGRDFSRVLATMRGTWGYVAPEWISGVAITTKADVYSYGMTLLELISGRRNVLAPPSAGGGENNGCETGDKWFFPPWAAQQIIEGNMTDVFDKRLGNVYNIEEARRVALVAVWCIQDDETVRPTMNMVVKMLEGLVEVNVPPPPKLLQALVTGESFHGVKADDSETGVSTGGSLSVTNLEVSIADSESYIGDILDMNVNVR >OIW01330 pep chromosome:LupAngTanjil_v1.0:LG11:35123796:35123963:-1 gene:TanjilG_10491 transcript:OIW01330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLMLILSKFDPFEKKVEEVPSTEYDWFSDFHPTISHLCLISYRAKAVRVPGDRV >OIW01314 pep chromosome:LupAngTanjil_v1.0:LG11:34914687:34918009:1 gene:TanjilG_10475 transcript:OIW01314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMISQTSLLFLISIIFTTFISLSTSSPILTQYSILGPNLDKYPSHEDAIKLFQLWKKEHGRVYNDLQEMAKRFDIFISNMNDIIESNSKRTSSSDYLLGLNKFADWSNKEFEETYLNNNVDMPEDSDMKLNDDSTCYVPSRLDWRKKGVVTEVKDQGSCGSCWAFATVAGIEGINAIATRNLISLSEQQLVSCDKNSHGCKGGKVKNGLDWVLNNGGIASEEDYPYIAKNGTCRKTYKVRNSAYIDGYRRLANSDNALLRATSIQPIIACLNATAFKHYQGGIFNGRYCKKTTHTSHCVLIVGYNSNKNGVDYWIAKNSWGNDWGRDGYILIKRNTGLPYGVCAINSKAFQPIIDKSVSKPLKPSISFM >OIW02512 pep chromosome:LupAngTanjil_v1.0:LG11:3020435:3020767:1 gene:TanjilG_12826 transcript:OIW02512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMQAEAGRKKRAQILESEEQAYINIADGKKSSVVLPSEPANRHINSLIWLCCANPLYDLQL >OIW02077 pep chromosome:LupAngTanjil_v1.0:LG11:8943069:8946059:-1 gene:TanjilG_14776 transcript:OIW02077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEAEQGLSTLLGVFGQSYLSDQQFCAVTTILGIITAVNEHIIDTAPTRNNTGSTEKYSFPYPLCLSALKDLEMLVEVVLQHYYGNDKKWNFLAITEGIKQRLESTEKVLEPLPIIEFLAGVINTFASLLLSYFYLCILPSSHFGRLIYVHFAAKIVELIIGAQTRYTYMSAS >OIW01822 pep chromosome:LupAngTanjil_v1.0:LG11:17500484:17501626:-1 gene:TanjilG_28885 transcript:OIW01822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKYAIANVLILLLNLSTLLNVLACPYCPYPSPTKPPKHPKVPPKHHNIVKPPVHKAPKQPPYHGKPQPCPPPQSSPKPPHVPKPPVVHPPHVPKPPIVKPPIVHPPHVPKPPTVKPPIVHPPHVPKPPIVKPPIVHPPYVPKPPVVKPPPYPKPPVVKPPYVPKPPVSPPYVPKPPVSPPYVPKPPVSPPYVPKPPVVPVTPPYVPKPPVVVPVTPPYVPKPPVVKPPIVYPPPFVPLPPVIPTPPIISPPYVPQPPPTVTPPSPTPPVVTPPTPPTETPYPPPPPPTTPAQGTCPINTLKLSTCVDVLGGLIHIGIGGSATQTCCPVLEGLLGLDAAVCLCTTIRAKLLNINIVIPIALQLLLDCGKTPPDGFKCSEY >OIW02225 pep chromosome:LupAngTanjil_v1.0:LG11:6757629:6758696:1 gene:TanjilG_23933 transcript:OIW02225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTASAIGFEGFEKRLELCFFHPGLFSDPEGKGLRSLSKSQLDEFLAPAECTIVSSLSNEDVDSYVLSESSLFIYAYKIIIKTCGTTKLLLSIPPILRLAKSLSLNVKSVRYTRGSFIFPGAQSFPHRNFSEEVAILDSYFAKLGSGSVAYVMGGSKKEQKWHVYSASADQVNPSDSIYTLEMCMTGLDRENALVFYKEQSGSAAVMTVDSGIRKILPDSKICDFEFEPCGYSMNAVEGAAVSTIHVTPEDGFSYASFEAVGYDFTKMSLNKLVKRVLACFKPTEFSIAVHVDHANKSFEQSCLIDVKGFSREERSHEGLGMGGTVLYQKFEKTFDCGSPRSTLKCWKDEDEEE >OIW02654 pep chromosome:LupAngTanjil_v1.0:LG11:2026767:2027641:-1 gene:TanjilG_29430 transcript:OIW02654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTRKFLSLSFFITFLLSLAFFPFQSLSSSHTKTYLYGGCTQQSYTPNSPYESNLDSLLTSLVNSATYSSYNNFTILGSTQQDAVYGLYQCRGDLSMPDCATCVASAVTRTGDLCRQTCGGAMQLDGCFVKYDNATFLGVEDKTVVLKKCGPSVGYNPEAMGSRDAVLAGLVGSSGPFREGGSGGVRGIAQCTGDLSFGECQDCLSEAISRLKSDCGTADYGDMFLGKCYARYSTGGAHDTSKAHEPVRGSNKPGVVA >OIW02014 pep chromosome:LupAngTanjil_v1.0:LG11:10433672:10442454:1 gene:TanjilG_11607 transcript:OIW02014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSESMIPSKKGSLFGSQPSRKEKHPFSQRFRSSFSRLMFKKLDYIQWICTVVVFLCLVVVFQMFLPISVVEKSGNSFRAVRMRSGNVSYYKDFKNYVFDIENYALDIGEDAIFVPKISEKIRRKDVGRDMNLLNQTVLHFGYRKPQLALVFGELLVDSQALLMATIAAALLEIGYGIQVFSIEDGPVRNVWISLKVPVTVIQTCGKADGAVDWLNYDGIIVSSLEAKGAFSCFLQEPFKSIPLIWIIHENSLAYRSKQYTASGKTGLLNDWRRSFNRSTVVVFPNYALPMIYSTFDAGNFYVIPGSPAESLDADAFMAIQKHDLRIRMGHGREDLVVAIVGSQFLYKGMWLGHAIVLQALSPLLADFPSGKDNSSAQLRIIVHSGELTNNYSVALETMAHSLKYPRGIIEHIAGDLNTNSVLATADVVIYGSLLEEQSFPDILTKAMCFEKPIVAPDIPMIRKYVDDRVNGYLFPKDSSRLLRQIVSEVISNGKISPQARHIASIGRSTAKNLMVSEAIEEYASLIQNILRFPSELKDRTDHSHGTWEEAYRNAKKVDRLKNDLHERDDGELERTGQPLCIMGHGREDLVVAIVGSQFLYKGMWLGHAIVLQALSPLLADFPSGKDNSSAQLRIIVHSGELTNNYSVALETMAHSLKYPRGIIEHIAGDLNTNSVLATADVVIYGSLLEEQSFPDILTKAMCFEKPIVAPDIPMIRKYVDDRVNGYLFPKDSSRLLRQIVSEVISNGKISPQARHIASIGRSTAKNLMVSEAIEEYASLIQNILRFPSEVVPPKAVSEISPNIKEQWQWHLFEADPKLTYENKTTRSHTFLDKYEDKWNLSQNNRSTIIVSSNDSFVYSIWEEEKLIQMAITTKRREDEELKDRTDHSHGTWEEAYRNAKKVDRLKNDLHERDDGELERTGQPLCMYEPYYGEGSWPFLHRRSLYRGVSLSTKGRRPGRDDVDAPSRLPLLTNTYYRDVLGEYGAFFAIANIIDRLHKNAWVGFQSWRATARKASLSRTAENALLGAIQSKKFGDALYFWVRMDTDPRNPLRKDFWSFCDTINAGNCKFAFSEAMRRMYGLKDDMHSLPPMPIDGDTWSVMQSWTLPTRSFLEFVMFSRMFVDALDMQMYDEHHSTGHCPLSLSKDKHCYSRLLELLVNVWAYHSARRMVYVNPETGVMQEQHKFKSRRGKMWIKWFSYSILKSMDEDLAELSDSRDPNRHWLWPLTGEVFWQGLYERERNLRQKQREKRKQNSLEKQDRMRRRHRQQVIGKYVKPPPEGEESSNSTLLAAKASRSTINTTLK >OIW01626 pep chromosome:LupAngTanjil_v1.0:LG11:21752932:21753825:-1 gene:TanjilG_14625 transcript:OIW01626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQEGVREREIDMPKWQRQSWRQNEMQQPQKCPRCESMNTKFCYYNNNSLTQPRYFCKTCRRHWTLGGTLRNVPFGGGDWYFSSLAKIHSMNHLQSKAFDQSLKAKSDVIGSSSNLGLASTFNGGYAASQTQFLPSQFYQMGSREREELSLDMAKQALINPSNMDNRNNNPTSHNEWTQSFINNANNRSSDAFSWSDINTMYVGGNTERDTNVVGSSPYILNQWPAFQGYGPVL >OIW01279 pep chromosome:LupAngTanjil_v1.0:LG11:34618577:34621306:-1 gene:TanjilG_10440 transcript:OIW01279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDATTGMFCGENDLVNPLSSIGMQVSCILVVSHFFNVVLRTVGQPGPISQILAGLVLGPMSHIDYIKKIFFPTSSINYYEVVSFFCRILFMFLFGLEMNPQFAMRNLRLVSLVACGGASVGAIFGLSVSFYLYQEFDTNNGPMFYFCVIIMLVVSYTSSPMVIRLASELRFAASDVGRIAVAAALITEMGVLLCFSVTINWRREFYLLRGLTCFIMTSMVCFINKYLAIWLNKRNRNQKYLKACELLLILFLLLVSSMIVEICGYNSIINCFIIGLLFPKEGKSARTLLHKLGYSIYNFALPVYFGYMGLQCDLIFIFKRLDSIANMAILILLSIGGKLSGTLIICRYLGIPTSEAIFLGFLLNTRGYADVLLFGAAAKSVITFSTEAYNVLLVSIVLNTIISGMIVAFLARGEERMFANNHTAIEPQKLDDELRILACVYDPRQLSAILATVLAIHGSRASPSTTYLMHLIELVKKIKSNLLFHEEENADISDDEDYGGNDVVEINNALDVFTADTKILVHQRREVSPFPSLYEDVCNDAEDLQVSIILLPFHKHQRIDGKLECGKEGIRKTNQKVLRYAPCSVGVIVERGLSKVPGFSSLVASETIKNVATLFFGGSDDREALAWSLRISKNPRVNLTIIRFLLNSSLPQSEHIKSGESDDNEILMSLSGEETVNAVDNAYMVDFYNRYVASGQVGYMEKFVSNGAQTVECLKEIGDLYSLFIVGKGGRGHCSLTIGMSDWEECPELGTVGDVLASSDFEIHGSVLVVQQHKNAKKGLVND >OIW02050 pep chromosome:LupAngTanjil_v1.0:LG11:9587103:9587255:1 gene:TanjilG_21099 transcript:OIW02050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMEVSLRILESFTFPSVWIQTHEGDVGSSVKGFHLVSSEVNACLLKLWK >OIW02465 pep chromosome:LupAngTanjil_v1.0:LG11:4289974:4291723:1 gene:TanjilG_05058 transcript:OIW02465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SSTHGNIEKEKTLAIIKPDGLLGNYTDDIKRTILEYGFRIFKEKTVQFDEATLKRFYAEHSSKSFFSSLTKYMTSGPVLVMVLEKDNAIADWRALMGPTDSSKAKITHPHSVRAMCGLDTQKNCVHGSDSTKSAEREISFFFRELSADIITEHDEL >OIW02142 pep chromosome:LupAngTanjil_v1.0:LG11:8147109:8150886:-1 gene:TanjilG_06737 transcript:OIW02142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDHLVLSVDRLARPVPVDPVAQPPQLPSDTPPSPPQSQAEGAVAGTSESAPPVNHDDEAESENGEGGDEEEPLIQMAECRICQEEDGVSNLESPCACSGSLKYAHRKCVQHWCNEKGDITCEICHQPYQSGYTAPPPRLNPDETTIDIGGWTISGTPLDLRDPRLLAIAEAERQFLDAEYDEYAASNASGAAFCRSAFLILMALLILRHALSVTDGDTSDDDPSNFFSLFLLRAAGFLLPCYIMAWAISVLQRRRQRQEAAALAATQVAFVLQSGQRRGLQFGIPPGPTVHPEQA >OIW01646 pep chromosome:LupAngTanjil_v1.0:LG11:21273135:21277320:-1 gene:TanjilG_18217 transcript:OIW01646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKAIRFFGLNTGAKIPSVGLGTWQAEPGVVASAVTTAIQVGYKHIDCAQAYSNQAEIGSALKKLFDGGVVRREDLWITSKLWCTDHAPEDVPKALDKTLKDLQLEYLDLYLIHWPVRVKPGEFKPENLDHTDIPSTWKAMEALYDSGKARAIGVSNFSSKKLQDLLEIARVPPAVNQVELHPAWQQPKLRAFCESKGIHLSAYSPLGSPGNLKSDILQNPVITEVAEKLGKSPAQVALRWGLQTGYSVLPKSTNEARIKENFDVFNWSIPEDLIAKVGYLRVLNLLMRPVDSIRPLKNSGMENCELDAYLDVYK >OIW02497 pep chromosome:LupAngTanjil_v1.0:LG11:4676016:4679041:-1 gene:TanjilG_05090 transcript:OIW02497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESIQSFKGYGKVDELEQQAFQKKTRKRMIILIVSSVVLLTVIVAAVAGIMIHKRNNSSSSSSNSVPPTELTPAASLKAVCDVTQYPNSCFNAISSLPNSNTTDPKLLFKLSLRVAIDELSKLSSFIPKLRDNVKNDTRLQKAIGVCGTVFEDALDQLNDSISSVGNNGAGKVLPLSDVSDVETWLSSVMTNQETCIDAVGELNSTAARSVLRELESATRNSTEFVSNSLAIVTRILGLLSKFDATIHRRRLLGFGYSDSDFPAWVGAAERRVLQANETTPDAVVANDGSEQYKTIGEALKLVKKKSLKRFIVYVKEGTYVENIDLDKNTWNVMIIGDGKDKTIVSGSRNFYDGTPTFETATFAVKGKGFIAKDIGFHNTAGAEKHQAVALRSGSDQSVFYRCSFVGFQDTLYAHSNRQFYRECDITGTIDFIFGNAAVVFQNCKIMPRQPMSNQFNTITAQGKKDPNQNTGIVIQKSTITTLSNDILTAPTYLGRPWKDFSTTIIMQTAIGSFLKPVGWISWVPNVDPVSTIFYAEYQNSGPASDVSERVKWAGYKPTLTDDEAVKFTVQTFIQGPEWLPDTAVEFESAL >OIW01624 pep chromosome:LupAngTanjil_v1.0:LG11:22494940:22495314:1 gene:TanjilG_22668 transcript:OIW01624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQKHYDMHQNDEEHEHKIEQHELRIGRQHRDGKLEGRHHKLEEYGPLVKYGDEGSLTKACIDDHALIELYRQIHQPWSNFLTEIHQNKTRHATLIHEYKARHDLDQIGRIMMHQYFSQVLLVQ >OIW01972 pep chromosome:LupAngTanjil_v1.0:LG11:11799453:11801224:1 gene:TanjilG_09082 transcript:OIW01972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCLVSRTGRELQRYNSKGGRQVVGCIPYRYRKDINGDKSNELEVLLVSSQKGQALMFPKGGWELDESIEEAACRESLEEAGVTGIIESELGQWSFISKRHGTYYEGHMLPLFVNEQLDMWPEKDLRRRVWMTIAEAREICQHWWMKEALDIFVKRLTPQQNI >OIW01335 pep chromosome:LupAngTanjil_v1.0:LG11:35171172:35171984:-1 gene:TanjilG_10496 transcript:OIW01335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCKNHTSDLTSTFAVCATCLRERLTLLLQAQAQARLTRVVSRASDESSTNSSNLNHDNLPPPLIFPRSVSPYHYGRNEKLFDCTPQVGSTFYGGGVDVHGDGKRWKKCLRKLWSLSDIFRSRPEKFRSDQSCKKSSSVSPSWFSKILDDRRKNCDRFGMSPARGENFAGECNQTTSTSGCSSDSTPIWRRTPPDATAMNSTRRSRLGLEKSVSDSGMAFWLSPLVRLNHNGLPPEIAVAAAKPHLSAAASFSANRSRKLADFGKVNYNR >OIW01548 pep chromosome:LupAngTanjil_v1.0:LG11:25899126:25900539:-1 gene:TanjilG_07757 transcript:OIW01548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLVNSKPDWTERASKILDKLTGRVAPHSKWVSTVGALISFESDESSGGRSLMLHCYVFGTELYNILLDQEGIDSPYFWVDREVLGIVNSFPLRAIIMKLLKILVPRFSIPSSSKVHRPPAHTTYDRSSSKLNKDNSHAKKSFGSRSTSDRPMRTMGEGRVSQTID >OIW02006 pep chromosome:LupAngTanjil_v1.0:LG11:10076364:10079716:1 gene:TanjilG_11599 transcript:OIW02006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAANVSYASITNPHNTSLIFPHKHHNPRIHSLRFRCNGTDQNQEPPTKKSNAFLKVAWYSSEFLGIAASVLRSPSSKEAPMRLLDIVDRDAVVETIKQDFQRSYFVTGDLTLNAYEEDCEFADPAGSFKGLRRFKRNCTNFGSLLEKSNMKLMKWEDFEDKGIGHWRFSCILSFPWKPILSATGYTEYYFAAQSGKVCRHVEHWNVPKMALFKQILRPSRGFGLRDYMSKWLKAVQVKL >OIW02651 pep chromosome:LupAngTanjil_v1.0:LG11:2041909:2042994:-1 gene:TanjilG_29427 transcript:OIW02651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALIVSLPIGTPPQIQQMVLDTGSQLSWIQCHNKAPKKTPPTTSFDPSLSSSFSIIPCTHPLCKPRVPDFTLPTTCDQNRLCHYSYFYADGTYAEGNLVREKLTFSPSQTTPPLTLGCATESSDSRGILGMNLGRLSFPSQAKITKFSYCVPVRQPRPGSATGSFYLGNNPVSNRFRYVNMLTFTQSQRMPNLDPLAYTVPMQGIRIGGKKLNIPPYVFKPNAGGSGQTMVDSGSEFTFLVDEAYDRVREEVVRLVGPKLKKDYVYGDVADMCFDGDVIEIGRLLGDMVFEFEKGVEIVIPKERMIADVEGGVHCLGIGRSERLGAAGNIIGNFHQQNLWVEFDLANHRVGFGEAECSRLG >OIW01101 pep chromosome:LupAngTanjil_v1.0:LG11:35667865:35669971:1 gene:TanjilG_25209 transcript:OIW01101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSEADSRLSQLIVPALEKIIKNASWRKHAKLTHECKSVIETLTSRKQQLHEAAEDASEPETFVPGPLHDGGPVEYSFAESESILSPLINAAGSGFLRIAEPAVDAVQKLIAHGYLRGQVEVVGDGHEAKLLANLIESVCKCYELGDDGLELLVLKTLLSAVTSISLRIHGDCLLLIVRTCYDIYVCSKNLVNQTTAKASLIQMLVIVFRRMEADSSTVPIQPIVVAELVDPVEKSDADNSNMFAQGFMTKIMQDIDGVLNPGTPSSKGGHDGAFETTLAQTTNPSDLLDSTDKDMLDAKYWEISMYKTALEGRKEELVDGEVVERDDDLEIQIGNKLRRDAFLVFRALSKLSMKTLPKDVSTDPQLMKGKIVALELLKILLENAGAVFRTNESEGQVVDSEVEEWINTIALPPVASWSGWLERKLWSLSWPSCGRNNGHLNF >OIW01198 pep chromosome:LupAngTanjil_v1.0:LG11:33391574:33397123:1 gene:TanjilG_10359 transcript:OIW01198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIDRIFKDEAMEEKGERARMASFVGAMAIADLVKTTLGPKGMKAVDNKDDTEKFRSDLMNIARTTLSSKILSQDKEHFAKLAVDAVMRLKGSTNLESIQIIKKPGGSLTDSFLDEGFILDKKIGIGQPKRIENAKILVANTAMDTDKVKIYGARVRVDSMSKVAEIEGAEKEKMREKVQKIIGHGINCFVNRQLIYNFPEELFADAGILAIEHADFDGIERLALVTGGEIASTFDNPESVKLGHCNLIEEIMIGEDKLIHFSGVALGQACTIVLRGASHHVLDEAERSLHDALCVLSQTINDSRVVLGGGWPEMVMAKEVDELARKTPGKKSLAIEAFSRALSAIPTIIADNAGLDSAELISQLRAEHQNEGCTSGIDVISGSVGDMAERGISEAFKVKQAVLLSATEAAEMILRVDEIITCAPRRREDRM >OIW01244 pep chromosome:LupAngTanjil_v1.0:LG11:34174117:34178232:-1 gene:TanjilG_10405 transcript:OIW01244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSEERCQENKQSTAASCSSISEGCGSAIRKSTTIRSPALTSPSHRRTTGPIRRAKGGWTAEEDETLRNAVAAFKGKSWKKIAEYFPDRSEVQCLHRWQKVLNPELVKGPWTQEEDDKIVELVSEHGPTKWSLIAKSLPGRIGKQCRERWHNHLNPDIKKDAWTMEEEMTLMNAHSIHGNKWAEIAKVLPGRTDNAIKNHWNSSLKKKLDFYTATGRLPPISKNNTQIAGKDSIRQSVNKTQLVSSNKELNAAVETSSETTAISKLDDSSKNSSGTVRVGYSSSVPVNESADSDSVECNPGSSNVDLSCSYSEPVSRANCGITSGPKIENYSLNRKATIEHCINNGEMSSSRLIITSFPQESPSCGSLCYEPPYLDGSVPLDSLDLNYTCRHNESVLSPIGFRTPTSMKSRECRESPESILRKAASTYPNTPSILRRRKTEVQTHTSPTKILKLDNGLHASNEPARDKDDSGSEVGICSESPAIVVNESDGLSNTAFNASPPYQLRFKRKAVIKCVEKQLEFAFDEEKNDDNDIAMEKSVKRNNVISEDCLHETNLVVT >OIW02502 pep chromosome:LupAngTanjil_v1.0:LG11:4710762:4717741:-1 gene:TanjilG_05095 transcript:OIW02502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVENRRLVLVLISTCILGLIPIPTNGDTDPNDVASLKVMFQSMNSPSQLNWPQNGNDPCGQSWKGITCSGNRVTEIKLPGLQLSGNLGYQLQSLTSVTTLDLSDNNLGGTIPYQLPPNVKHLNLANNNFNGAVPYSLSDMASLVDLNLGHNQLQQALSVNFQKLTSLTTLDLSFNSLTGDLPQTLSSLSSISTMNLQNNQFTGTIGVLANLPLENLNVENNKFSGWVPERLKSINLKTDGNTWVSGPAPPPPPGTPPPGTPPVTKKQNQKSGGQSTPSDSGTSDGGKKSGIGGGAIAGIVISILVVGAIVAFFLVKRKSKKSSFDMEKLDSQPLAPLTSNEVHEMNSMQSSFVNDLKTFDPPPAPPINLKPPPVDRHKSADENEFSSKPVIAKKTVPARANVKSYSIADLQIATGSFSADQLVGEGSFGRVYRAHFDDGKVLAVKKIDSSVLSNDRSEGFTEIVSSISHLHHPNVTELVGYCSEHGQHLLVYEFHKNGSLHDFLHLPDEYSNPLIWTTRVKIALGTARALEYLHEACSPSVVHRNIKSANILLDSDLNPHLSDSGLASYIPNANQVLNNNAGSGYDAPEVDLSGHCSLKSDVYSFGVVMLELLSGRKPFDSSRPRFEQSVVRWATPQLHDIDALAKMVDPALEGLYPIKSLSRFADVIALCVQPEPEFRPPMSEVVQALVRLVQRANTSKRTYGADQAGSSRWGDDQEDM >OIW01255 pep chromosome:LupAngTanjil_v1.0:LG11:34398102:34401662:1 gene:TanjilG_10416 transcript:OIW01255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFLFYISAWKVSRDGIEAATDLVPNSVPRPIARISVTFVAFSVALFLLKSFISTAFFVLATMGLAYFAFLAFNKDQGPSKNGGTTSTPVDDPVEEAKRIMEKYKTKNVLVDDFFVAKLIDFDLDKLVIWTDVYAFGILLLEILIGKKLGKSGRNGEVVDLPSIVKIVVLKEITMEVFDVELLKGIRNPMEDGLVPALKLAMGCCALVASVRPSMEEVVRQLQENRPRNMSALCSPTETRSGSITRF >OIW01622 pep chromosome:LupAngTanjil_v1.0:LG11:22521145:22521782:-1 gene:TanjilG_22666 transcript:OIW01622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAARKLKSHRRRQRWADKSYKKSHLGNEWKKPFAGSSHAKGIVLEKMVQLIKNGKKIAAFVPNDGCLNYIEENDEVLIAGFGRKGHAVRDIPGVRFKVVKVSGVSLLALFKEKKEKPRS >OIW02623 pep chromosome:LupAngTanjil_v1.0:LG11:2491355:2493424:-1 gene:TanjilG_24074 transcript:OIW02623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSPNIYMRSNFDVDSQYLTELLEEYQKLGPFMQVLPLCSRLLNQEILRVSGKNGLIQKQGFRDHDRVQLGSPKHSPMLSSDMTQNFTGWNSLSHEILGGVQGLNVDWLTTPIVKRILRLDIPYDSYPNFQFNFVGRLLGPRGNSLRRVEATTGCRVYIRGRGSIKDQEKEELLRGRPGYEHLNDPLHILIEAELPANVVDIRMRQAQEIIEELLKPVDESQDFYKRQQLRELAMLNSNFREEGPQLSGSISPFTSNEIKRVKTDQ >OIW02136 pep chromosome:LupAngTanjil_v1.0:LG11:8217558:8219271:1 gene:TanjilG_06731 transcript:OIW02136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQVNAPVLQFKNSAVFGIHPKLFICFQTRCRGLNLAKKPLVIEARARTRTESAKTLNIRRLKKFNGTAKNPRLSVFCSDRQLYAMLVDDKNKKCLFYGSTLQKMFRENPPCGTVEAAQRVGEALIKVCTDLNINEISSYDRNGVRRGERLQAFEIAISSYGFLPK >OIW01808 pep chromosome:LupAngTanjil_v1.0:LG11:17840803:17841374:1 gene:TanjilG_03946 transcript:OIW01808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEFKGPEEISSESAAGNSTKPLEDNKGNSGKVDGSRHHSRRSKHSSKENQSNSPSREQNQDGSVSKNSRRHHRSSDSSESSRRHSRHSNNNESGTEDEKPLTSTKHSHRRKSKTLEDKDGSVKRSSRTSRRGSKESSLTDSEVS >OIW01331 pep chromosome:LupAngTanjil_v1.0:LG11:35133851:35137058:1 gene:TanjilG_10492 transcript:OIW01331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQESHNPSMEQVNAMSEVHPLHLVVDKELHDQIVTFLTEQMIELIKESEKENNKKKDVALVEPPISMVGAVDVGATINKRKRIQIQDNKPYGETIGTLQLPNNCILNNIDLDNVQLLTQSGDETSMVNKNPKFSVTERLMNWKIKYEIQNDEAADDIYYYHSQSNLKFGSKLEVIKFVLSETYPKESGSGSKVETWSHEPIDNKRVKVENVESENLVEPVLTENVAEDAIKNHIGEDVITENENVTESVEANQLDEAVINNHLVEPVGRNQLAEPVVGNQGPKLTSNSSTPYPYPDEEIYELMSTEDMLKEFELHCEGGLN >OIW01740 pep chromosome:LupAngTanjil_v1.0:LG11:18970774:18972622:-1 gene:TanjilG_03878 transcript:OIW01740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLSVLLNIIFPPPPSLFVSAMSLISFVSLANAGFSEIKGKHLNYSKFWNSNTTPQKQIKLSSKSGMLLLYTPAFLAAAASFWVFPHEGIRSTLLQGAVTIHFFKRVFEVLFVHKYSGAMLLDSALPISLSYFLSSATMVYAQHLTQGLPEPRIDLLYPGVALFLVGIVGNFYHHYLLSNLRGKGEKEYKIPKGGLFEFVICPHYLFEIIGFVGVSFISQTLYPISFTVGTTFYLMGRSYATRRWYLSKFEDFPKDVKAIFPFVF >OIW02501 pep chromosome:LupAngTanjil_v1.0:LG11:4699449:4708023:1 gene:TanjilG_05094 transcript:OIW02501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAKLIVFPIRGRNWCFTRSIHPKIPASATSSQSPSTLKDLWRNVNVPDKPINAKAELFADYIANKMSKAWIGLENAKDGSLKKKVHSLNAQLVRRRLRHIAMRGTIIHRKYFYGSISMIPLTSAFSGSEKLLQLVSDSSKTSDTSTGKKETEHKDSKSESHSSDEPQWLFDRRQFSLISIFSLVLVKICWFVQGLGPYSTSIKKVEKEIKDMAKKVNDLCGIKESDTGLAAPSQWDLVSDKQMMQEEQPLQVARCTKIINPNSEDAKYIINVKQIAKFVVGLGDKVSPTDIEEGMRVGVDRNKYQIQIPLPPKIDPSVTMMTVEEKPDVTYNDVGGCKEQIEKMREVVELPMLHPEKFVKLGIDPPKGVLCYGPPGTGKTLLARAVANRTDACFIRVIGSELVQKYVGEGARMVRELFQMARSKKACIVFFDEVDAIGGARFDDGVGGDNEVQRTMLEIVNQLDGFDARGNIKVLMATNRPDTLDPALLRPGRLDRKVEFGLPDLESRTQIFKIHTRTMNCERDIRFELLARLCPNSTGKNT >OIW02159 pep chromosome:LupAngTanjil_v1.0:LG11:7822703:7824381:1 gene:TanjilG_02383 transcript:OIW02159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDEEHHFEAKGDAGASKTFPQQAGTIRKNGYIVIKNRPCKVVEVSTSKTGKHGHAKCHFVGIDIFTGKKLEDIVPSSHNCDVPHVNRIDYQLIDISEDGFVSLLTDNGGTKDDLKLPSDDNLLSQIKDGFAEGKDLVVSVMSAMGEEQINALKDIGPK >OIW02773 pep chromosome:LupAngTanjil_v1.0:LG11:1179390:1181341:-1 gene:TanjilG_29549 transcript:OIW02773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLNQQKVQPAERVFEDFEPPTEWVHDESSDTLILMLPGFKKEQLKVQIASTRVLRLSGERQISDNKWRQFRKEFPVPNESDTSGVSAKFENGMLYIKLPKYITPIKTQPPIIQQAPKNPQQPSTPNANNQQKPMDKASFEPKTEKTTNPPPPTPIAPRQKEPKVDEESQKKQKPVEKTAEPYKAEKTKAAAPTTPVAPLKEPKKEVDDSVKKTQKEKGKSEASTSRVEGVSEVNNVTKRSQTLELLSRQSQEYMNAVSGLVEEVKKQKKLVNLIMLIFLVLVFGFYVKNAIKSSFGGPKIEEL >OIW02699 pep chromosome:LupAngTanjil_v1.0:LG11:1758755:1764391:1 gene:TanjilG_29475 transcript:OIW02699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLAASGGDTVKLFDASVKPGDPCTLSFTPSHGSQVNSVKWNHTNLVVASAGDDKKITLWHKNGNSMGTIPVAGTDTGDSIEESISAISFSNKASRYMCSGGSGQIVRIWDLQRKRCIKWLKGHTNTVTGVMYNCKDEHLASISLSGDLILHNLASGARAAELKDPNQQMLRVLDYSRVSRHLLVTAGDDGTVHLWDTTGRSPKVSWLKQHSAPTAGISFSPSNDKIIASVGLDKKLYTYDSGSRKPSFCISYEAPFSSLAFRDDGLMLAAGTSNGHVAFYDVRGKPKPFVVLHAYGSSEAVTSLCWQRSKPVIVNERNCTPETALVGDAVEDSILMPDPLPSATSSSVSLFTSVSTIRNPDLLGASFGASSHTTSNSEFSPTLLNVSAGEETPHRNSLWTAGTLSRLRAQSSSYNFKDDMDLFSPLVDVQPFTPSLWDQNGTKKDGMFADRKSPMTLFPSSSRRFPNSEEGSIDHPVLEWKSGSIAKQDITSFPLVGSTPLPSSKNEDSSITPPEAWGGDKLSEKYNFSRQTVNAPSHYGILASGGQTAGSMFSGLQDTSLSMGIGSYTGSSLSYANLCSKDISTSQETSLGFSDHLLSTSSLSINTKTSIGQANIDSPRMTPFPRRFSTYVERISTASAFGDGVSLSVSSPKIKKYGAEIREELLNSLLSKPDISAPIESSSLPLTNGVISQHKASQSDAQQGSSFTLQLFQRTLEETLDSFQKFIHEDMRNLHIEILRQFHLQEMEMSTAMKSVLENQAEMINEVKSLRKENQQLRQML >OIW02158 pep chromosome:LupAngTanjil_v1.0:LG11:7826128:7831096:-1 gene:TanjilG_02382 transcript:OIW02158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINEETLHKVSEQLRQAMITSSEKPPGMDWSKRPGSSEICCNPEEFGDHSVVDFSRFIVVHGAGSFGHFQASKSSVHKGQLNKPLVKAGFVATRISVTTLTLEIIRALAREGIPSVGISPFSCGWFTRERQVSSADLSSVAKAIDSGFTPVLHGDAVLDEILGCTILSGDVIISHLAAYSKPEYVVFLTDVHGVYDRPPTEPDAILLKEIAVSEDGSWSVLKPKLQNSIELTVAAHDTTGGMQTKISEAAMIAKLGIDVYIVKAATSHSLRALTGDLRRDIPDDWLGTVVRSSR >OIW01147 pep chromosome:LupAngTanjil_v1.0:LG11:35270192:35270572:1 gene:TanjilG_17704 transcript:OIW01147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGRFRELIKKYGKVAIGVHVTISAASISGLYVAIRNNVDVEAILQKFHMAAASEKDTAAADPAAAPEPAPKNRTAQLAATAGGAFTLALLCNKALIPVRVPITLALTPPIARFLARRKIIKSGV >OIW02106 pep chromosome:LupAngTanjil_v1.0:LG11:8451339:8452166:1 gene:TanjilG_26646 transcript:OIW02106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRFSILRGTIEKMGSTLVFKRCTHSVPNSPPLAGSIDLGVPSTPQPVLPEYSAPSFSFGGSMELMAVPKKKTSPHKRGIRNGPKALKPIPVIVLCK >OIW02311 pep chromosome:LupAngTanjil_v1.0:LG11:5439718:5446652:1 gene:TanjilG_11205 transcript:OIW02311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSLHKATSSAGDNPPGKRIRRSKAICSCNSPRPPFLSAHSTFSWYEEDMWTEIAKFLDGKSLVMLAATNRWFRRAIMEDTIWKFVCLRDLQVPPSPCVAFKWSKLYTSAFDGSHSYMFRQQEKHIDWMRIGAFSFDSSEAILAERLAFPGKIRTKEAMEKMLQSQGCCMLENVKPGIWIADLQLVRCPVCDLNMCDGTMQTLDARHIELFLCEDYQNGSWEYELVGSHDVKKRADGAAGAIFDPKHLEDSSTAAVFDYKSWIGKHNDWQPKAMIAFHAVAVNTNLQENEEGDQNPYCGYPGFKLSCTNENKTVLKLPYSGEFYVHDINYLEQQIQVIDPDECLPKRILSLNFSGSPFTAIFHRNYSFLSCPYQNAGSQFIPIECLSNSTNFISAIPSVKLADSLPESCYVSRSLSVPVARSGLLEETLTLTWDKPDCTNCEFQELMCGFESNNSSQVLCFPNHRTGKSQRVLVTLRTITLTIVGPAALCVIVIVCCMSYKDRIANAALQSSAQAAIVPHHAANAGSTGLDESIIESYEKLVLGESRRVPGPNDVSCWICLSEYNSKDIIRCIPECKHCFHVECIDKWLHMNTTCPVCRNPPSPSIVHVITSDP >OIW01473 pep chromosome:LupAngTanjil_v1.0:LG11:26414064:26414495:1 gene:TanjilG_19399 transcript:OIW01473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRKNGRIGSRKHARKIPKGVIHVRARKIPKVVIHVQVSFNNTIVIVTDVRGRVISWSSAGTCGFKGTRRGTPFAAQTAAANAILTVADQDMQRAEVMIKGPGLGRDAALRAIRRSGILLKFIRDVTPMPHNGCRSPKKRRV >OIW02276 pep chromosome:LupAngTanjil_v1.0:LG11:6597927:6598226:-1 gene:TanjilG_15159 transcript:OIW02276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKSCENMSTKKPIVFSELEIEVAKLLIQLSISNNSTCSNNKADDIESFIVATITRDVDEDEQEDIAYGSKIRYHDIEDIYNVTELVPENKANSAKYPI >OIW01498 pep chromosome:LupAngTanjil_v1.0:LG11:27243128:27243589:1 gene:TanjilG_19424 transcript:OIW01498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGFTITSLFKPSLTLLSNPCTFSSSASSFSSNLQPFPQKPKPLLVLASNNNNSDLKEQEKQQQQPTNGEEQDVNNNNSNSSKQKIPIFSFNWRAILDPDPENILALGLTGLLTWASVQVLWQLLFISLAILLSALKYSFIAALLLFILIALL >OIW01350 pep chromosome:LupAngTanjil_v1.0:LG11:32764064:32764950:-1 gene:TanjilG_20532 transcript:OIW01350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDGLTEVQNLLHPTHNQEDGSKREDNKENKDGFVSIEKVEKVKDEDLDEKDIGKGCVTSDGGGIFNNFISSLVTPSSPRIDDEVIEHENGNEEGVVAASKGEHGEKRRVENSVEGGLISNFVSNFFHQSEGEKSEVENEKEKEKEEDDEVVEKIKRMKTEKEGNSGGGSIIHNIVSHLPNSLPGCYTKKINKARLIPMFLTSKCISLSGDR >OIW01164 pep chromosome:LupAngTanjil_v1.0:LG11:35392820:35396505:-1 gene:TanjilG_17721 transcript:OIW01164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEKNDVLGLSLRLSFGACGMVNLPPSISKVNHNMHKHLHDLSKIPVATDCVNDNGVSSPNSTLSSLSVCKQSERDDNEAVVRASCSQGSDDEDGGGDGDGTRKKLRLTKEQAYVLEETFKEHNTLNPKQKQTLAMELSLRPRQVEVWFQNRRARNKLKQTEVDCESLKRCSKNLTEENKRLQKEVQELRALKLSPHLYMHMNPPTTLTMCPSCERVAISSASSSSSTTSQSIVASSNHNPLDLTLRLGAGGACQT >OIW01705 pep chromosome:LupAngTanjil_v1.0:LG11:19572636:19577636:1 gene:TanjilG_12197 transcript:OIW01705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVEASNEVTRGVVVEASNEGTCVFVVGKNGSCGEYDGGGCAYVLNIVVVEYGAFVLEMVVVYNIAIVQESGMVLEEVDEGTCGMVVEASNEVTRGVVVEASNEGTCVFVVGKNGSCGEYDGGGCAYVLNIVVVEYGAFVLEMVVVYNIAIVQESGMVLYELVL >OIW02570 pep chromosome:LupAngTanjil_v1.0:LG11:2878704:2885652:-1 gene:TanjilG_24021 transcript:OIW02570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLEYLSPLTHTKTYLNFHHWRNSPTSFRQNATRFVPYSVPNRVLRPANSAIDFGSFRFDLWLGFKRGYCAATKASSSSSGQESDSGEKSEAKTGEGQGVEKGEPGPGSNRRREKEGKGGWWWFGSKSKRGGGGNGGKWRWQPIVQAQEVGFLLLQLGIVIFVMRLLRPGIPLPGSEPRVTTSFVSVPYSEFLSRINSDQVQKVEVDGVHIMFKLKPGVVGTSGQDSGEVASGTSSSRLQDSELAIKSVAPTKRIVYTTTRPSDIRTPYEKMLDNEVEFGSPDKRSGGFFNSALIAMFYVAVLAGLLHRFPVSFSQHTAGQIRNRKSGTPAGTKSSDQGEAITFADVAGVDEAKEELEEIVEFLRNPDRYVRLGARPPRGVLLVGLPGTGKTLLAKAVAGEADVPFISCSASEFVELYVGMGASRVRDLFARAKKEAPSIIFIDEIDAVAKSRDGKFRMVSNDEREQTLNQLLTEMDGFDSNSQVIVLGATNRSDVLDPALRRPGRFDRVVMVETPDRIGRESILKVHIKKKELPLAKDVEVADVAFMTTGFTGADLANLVNEAALLAGRQNKVVVEKIDFIQAVERSIAGIEKKTARLQGSEKAVVARHEAGHAVVGTAVAKLLLGQPRVEDITVMLLICELRNCLTDNSVPYYLVYAITNKAYLFIIQQKLSILPRSGGALGFTYIPPTTEDRYLLFIDELYGRLVTLLGGRAAEEVIYSGRVSTGALDDIRRATDMAYKAIAEYGLNQTIGPMSISTLSNGGMDESGSGLWGKDQGHLVDLVQGEVKALLQSAMEVSLSVVRANPTVLEGLGAHLEEKEKVEGEELQKWLRLVVAPTELAIFIKGKQESLLPLQTIPLQTSS >OIW02677 pep chromosome:LupAngTanjil_v1.0:LG11:1906070:1908476:1 gene:TanjilG_29453 transcript:OIW02677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNSIGRSRKAKVMKIDGETFKVKTPAIANDVVKDHPGHVLLDSQAVKYFGLRAKPLEPHQELKPKKIYFLVELPKIQAEDDDDNKGTLHRRVRSSGISRMNAKERLDLLMLSKRSVSDFGVVREPPLNNMGVDHGPMRVKMRIPKAQLEKMMEESNDGAEVAEKIMSLYLGTNGDGAAVEDGGVNVAHQLNSKRRGKRVSFSPMEDSGEIHVEAASQ >OIW01754 pep chromosome:LupAngTanjil_v1.0:LG11:18648458:18653814:-1 gene:TanjilG_03892 transcript:OIW01754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNENEAPGVSGDQYNDTLSFGSGDRISSDNDVDNICLQTGEEFSAEFLRDRVGSRRFPVTPDADQRLPNRLDFNTNNNNYQLVYEDLNHVLGLRRMDSDGNSDLSEFGLARYVAEVDNGAYHNNLSGYQCENVGIRQVSGTFSRQVSGKFSEGICCDRVTSGLTAPPIYVFDSPQSCYPYGSQFSEGSFYKKIKFLCSFGGRILPRPNDGKLRYVGGETRIISIRKNITWEEFMRKTSAVCNQAHIIKYQLPGEDLDALISVCSDEDLHHMIEEYEELERAGGTQRLRIFLIPSNEPESPNSNEARVNQPSDADYHYVVAVNGMLDPSPRKNSGQSLASHSSQFGNSPDYSPSFRRDSPTSAFALEMKDCNPTSANLVSILSKPGHHVLSALQIPGKSFNQTPHLSPIHKDPKISNIRLYHQPYNAVNESIAPFAMEKVPSDNSLYVDNANYVDPIAYYNNIGQGSPCVNYHPSNQYFVEADQFKKPDGDFRIHIRNHSKDLVSSATRGQNNMMFERPLVTNEGSYQFEKVVSHPHESSSLFPVSNDRDGSQYRRLRSPSGSPLQEIVEKSQVHLQFPHRVERDKLLETSNSSEQCPILPGETIDWKEQVGMYQIFPTFGMADSCKGASNNGKEKLQNKDKSNDWFDENVESLSRKCAIDIKHSQCMNYQHGVSLSSPDLQSSECDVSAAPFSSLESARNPREQPHGLPLEITGSEFSMRSQMSSMHDQYAMPETKDGQPFPLGSYELQHIESQTKNESILPISYMGVSSTGDVIIPDEGHACYLHHKKENTVDKQQSSTNIDEFYVNKPETGAVLKGSNDCTSSGIQSCSQVASNVREEIEVGPTSPGKEETESVSPESENEHAKAGSGNFNKPDDDTSTAETEAEIFGLQIIENADVEELQELGSGTFGTVYHGKWRGTDVAIKRIKSSCFSGRLSEQERLTKDFWREAKILSALHHPNVVAFYGVVPNGPGGTLATVTEYMVHGSLRNVLMKKEKVLDRRKRLMIAMDAAFGMEYLHLKNIVHFDLKCDNFLVNLGDPERPVCKVGDFGLSRIKRNTLVSGGVRGTLPWMAPELLYGNSSRVSEKVDIFSFGIAMWEILTGEEPYANMHCGAIIGGIVNNTLRPPIPKRCDSEWKKLMEECWSPDPEARPSFTEIKDRLCNMSAALQKKRHHLGHR >OIW02832 pep chromosome:LupAngTanjil_v1.0:LG11:800943:802445:-1 gene:TanjilG_29608 transcript:OIW02832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTQCLLHQHALTTLARSSSSSSSQRRVVNTKPNHLVCMAQKNEVVEDGNNTVSRRLALTVLIGAAAVASKVSSADAAYGEAANVFGKPKTDTDFLPINGDGFKLLIPSKWNQSKEVEYVGQVLRYEDNFDTTSNLVVTVTPTDKKSIKDYGAPEDFLSKVDYLLGKQAFFGETVSEGGFDANAVAAANILETSTPVVGGKEYYFLSVLTRTADGDEGGKHQLISATVKDGKLYICKAQAGDKRWFKGARKFVESAASSFSVA >OIW01462 pep chromosome:LupAngTanjil_v1.0:LG11:30130636:30136181:-1 gene:TanjilG_30936 transcript:OIW01462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEQGSSNINTKQHHNQATTTNNNNTYVDTTKAERAVWLLKCPSIVSRSLRSSPSDDPSLPIAKVVLSIDPLNSNDDDSPQFTMELAGTEAGNIPKCYDMDMTTDFIPMSVFSDTPQGKISVEGKILNKFDMRPRNQSLELYGKLCRERTNKYMVKNRQIQVIDNDNGAHMRPMPGMIIMASGPSDKKKTPARGTEMKRTRRDRGEMEEIVFKLFERQPNWSLRNLIQETDQPEQFLKDILKDLCVYNNKGANQGTYELKPEYRKSGD >OIW02792 pep chromosome:LupAngTanjil_v1.0:LG11:1055375:1056382:-1 gene:TanjilG_29568 transcript:OIW02792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGATHWCYACRQPIVLEGRDVICPYCDGGFIQELNEIQETAPQFTVPSQSGESRQMPDLFDAIHAFMGRRGSDPRFELIGAVDNVMRQRMSGRHPNFDVRGRYGSVPVPPEQGWGVYSSGPYLIFHGQVPGFALSNGGPRGGPRRVDFGDYFMGPGLEELIEQLTTNDRHGPPPAARSSIDAMPTIRITQAHLRSDSHCPVCKDKFELGSEAREMPCNHIYHSDCIVPWLIQHNSCPVCRVELPPQGHACARRSRSLAGTNANSNSGSDSGSSGRENTHQNQGRRNPLSFLWPFRSSNSNNNNHYTQTRGSSSSSTTPDQHDGTSYSGWPFDY >OIW02321 pep chromosome:LupAngTanjil_v1.0:LG11:5551683:5552705:1 gene:TanjilG_11215 transcript:OIW02321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIYRKWSLLTGPATIFGGIVATVAVANFIFVKNDPFVKPDERKYENQPTTK >OIW02702 pep chromosome:LupAngTanjil_v1.0:LG11:1718752:1720178:-1 gene:TanjilG_29478 transcript:OIW02702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPSHSFTLTESETELGFPSEFPYEFDSFALEHLISPLENVVCSTETASSVQEDLFAGLTRRLSQASLHENRPSQYAVRINTSNKTEIQKKARVISGSPESTLIGSVGWSGRSPGSGLTNPNTSSRILSSDTTAFSNDAWDTIHAAAEQVARSKIIGDVSNLYYHNKVHRGFPPHVAVENHTDHLLNSNNLNQAPHFLYLQLKQEQINTTLQMLKQQCGVVRGLETEPYLSSYQQQLEVQNNSCEFGYGSVKCKHHMPKSTWHPPQVKHKNQHVQPNRRSGSGPVLNGGSRDKRVCTGTGVFLPRSYMDPHEPHCTPLNLNIVDLNATTQQRFANAYDELLAKRNAIQMQQKLCLRREDARSYEIRLPQEWTY >OIW02306 pep chromosome:LupAngTanjil_v1.0:LG11:5398171:5400411:1 gene:TanjilG_11200 transcript:OIW02306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGSIDSSVSSLIMMDEDVNLHHFSSITTKLHSNGPTASSVYQLLECPVCTNSMYPPIHQCHNGHTLCSTCKTRVHNRCPTCRQELGDIRCLALEKIAESLELPCRYSSLGCPDVFPYYSKLKHESICNFRPYNCPYAGSDCSVVGDIPYLVTHLRDDHRVDMHSGCTFNHRYVKSNPMEVENATWMLTVFHCFGQYFCLHFEAFQLGTAPVYMAFLRFMGDEREARTYGYSLEVGGNGRKLTYEGSPRSIRDSHKKVRDSHDGLVVYRNMALFFSGTDRKELKLRVTGRIWKEQQNPEAGVCIPNLCS >OIW02680 pep chromosome:LupAngTanjil_v1.0:LG11:1890089:1893985:-1 gene:TanjilG_29456 transcript:OIW02680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRNSPGYYSPPRRGYGGRGRSPPPPSPPYRRGHGGGGGRRRESNNGSLLVRNIPLDCRPDELRVPFERFGPVRDVYIPKDYYSGQPRGFAFVQFVDPYDASEAQYHMNGQIFAGREVTVVVAAETRKRPEEMRHRTSRFRGPGSYGGRRSSPYGRYRSRSISRSRSRSPPYHSGSRSRYHSRSHSPAPRRQNDYSVSPRRPVERPRSPKSPLGERDGDQKRRSYSPGEQDGEHNRRSYSPAYGADQNPSNGYAENSIYKSEADRVQWKSRRASRSPAGSRSRSPIELSPRHDR >OIW02405 pep chromosome:LupAngTanjil_v1.0:LG11:3735749:3740573:-1 gene:TanjilG_04998 transcript:OIW02405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKEELAETKDTSPQAVEKLKGDSFIVQHEDQDKESKDVETLNIAHDGPEFDHQRDDISDQINSQVDENLDEDAFTTQNEDRDEESKDVKTQNVGHDDPEFDNEQDGIPDKVISQAEEKLEGDTFIIQNEDQDKESREVKTKNVGHDGPEFVKEEDGIPDKVISQSDEELEGIIQNENQDRESEEVKTQNIGHDGLEFASEHDISNKINEMTNVNSNSDEEIKGNEAGESKLAQRIDESRDLQCRTEGDNFGTSNVPGELFQMDECNSTKSEIQFNDVGQERFGVKVDDDEDFESKSLDNNSGQNDFDKEKDGTKSMKSIEMNQNTNDTPNEEINMDPVFDGTEVPGIEVSQSTSTHNLDANQENPRVVEKAVALKNFVREKSIVAVSILLRGLSLKRDGCPMSNSEDQDKDGSNLSKISESKEVSEKTDQREDSITEGPPQPIAMKGRIIVYTRLACQECKEVRQFLYVKRLRYVEINIDVYPSRKMELEKNSGSTSVPKVFFNEILIGGLSEIKTLNESGKFDEKIDFLITEAPPFEAPLPPLSGEDDVSTSGALDEMALIVRKMKESIVVKDRFSKMRRFTNCFLGFEAVDFLSADQYLERKEAVEFARKLASKLFFQHVLDEILFEDGNHLYRFLDDDPIVASQCHNIPRGIITVKPKSMTEIASRLRLISYAMFEAYASEDGRHVDYRSIHGSEEFARYLRIVETLQRVEVWDLSREDKLAFFINLYNMMTIHAILVWGHPAGALERRKLFGEFKYVIGASTYSLSAIQNGILRGNQRPPYNLMKLFGAKDKRSKVALPYPEPLIHFALVCGTRSGPALRCYSPGDIDKELMDAARSFLRSGGVLIDSTAKVAYASKILKWFSVDFGKNEAEILKHVSNYLDPADSQVLLDLLASSELKVIYQPYDWGLNC >OIW02224 pep chromosome:LupAngTanjil_v1.0:LG11:6770088:6777931:1 gene:TanjilG_23932 transcript:OIW02224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERRGDIVFFCLALFFLWTSVSGLLNPKGVNYEVEALMAIKYSLKDPHSVLSNWDDDAVDPCNWATVTCSPDHFVIALGIPSQNISGTLSPSIGNLTNLQTVLMQDNNISGPIPYDIGKLQKLQTLDLSDNFFIGQLPDSLSNMKGLHYLRLNNNSLFGTIPSSLANMTQLTFLDISYNNLSGPVPKLNAKTFNIVGNPQICATGIEENCFRTTLIPSAINNSQDSQSSSKPKSHKVALAFASSLSCIFLLILGFGFLLWWRQRYNKQIFFDVNDQHREELCLGNLKKFNFRELQVATNNFCSKNLIGKGGFGNVYKGYLSDGSVIAVKRLKDGNAIGGEIQFQTEVEMISLAVHRNLLRLYGFCMTATERLLVYPYMSNGSVASRLKAKPALDWNTRKRIALGAGRGLLYLHEQCDPKIIHRDVKAANILLDDYCEAVVGDFGLAKLLDHQDSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELISGQKALEFGKAANQKGAMLDWVKKIHKEKKIDLLVDKDLRNNYDRIELDEIVQVALLCTQYLPSHRPKMSEVVRMLEGDGLAEKWEASQSAESTRSRGNELSSSEHYADHTVSGLLNPKGVNYEVEALMAIKYSLKDPHSVLSNWDDDAVDPCNWATVTCSPDHFVIALLMQDNNISGPIPYDIGKLQKLQTLDLSDNFFIGQLPDSLSNMKGLHYLDISYNNLSGPVPKLNAKTFNIVGNPQICATGIEENCFRTTLIPSAINNSQDQHREELCLGNLKKFNFRELQVATNNFCSKNLIGKGGFGNVYKGYLSDGSVIAVKRLKDGNAIGGEIQFQTEVEMISLAVHRNLLRLYGFCMTATERLLVYPYMSNGSVASRLKAKPALDWNTRKRIALGAGRGLLYLHEQCDPKIIHRDVKAANILLDDYCEAVVGDFGLAKLLDHQDSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELISGQKALEFGKAANQKGAMLDWVKKIHKEKKIDLLVDKDLRNNYDRIELDEIVQVALLCTQYLPSHRPKMSEVVRMLEGDGLAEKWEASQSAESTRSRGNELSSSEHYADHTGDSSLLAQAMELSGPR >OIW02652 pep chromosome:LupAngTanjil_v1.0:LG11:2037216:2039045:1 gene:TanjilG_29428 transcript:OIW02652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTTLKPKLCSQLLRSSSKIITFSFSSWFKPPPPSPSPSSSHKHKHKNKDYDILYTISEAIINSSLDSSLKRILPSLTPNDVINLINLNPLSLSPSSLFSFFNWLSSHPPFRHSLLSYSAMVHHLATHQMLPQVHSLFLFLISRKGHNSASDLFSSILQTMPTQYPIHHSSLLFDALITAYADSGFISDAVHCFRLVKKHNFCVSLHACWYLFDHMMKHKSIEDCWEFYLEILNSGYPPKVYNFNVLMHRFCKEGDVKNAQWVFDEIPKRGLRPTVVSFNTLINGYCKSGSLEEGFRLKSVMESVRVFPDVFTFSTLINGLCKEGRVDEADLLFNEMCNRGLVPNGVTFTTLIDGQLKNGKFDLALRNFQIMVAKGIKPDLVLYNALINGLCKVGKLKEARKVIDEMYAGGLKPDKITFTTLIDGFCKDGNVESAMEIKKGMIEKGIELDDVAFTALISGLCKDGRVHDAERMLRDMLNAGFIPDDPTYNMVIDGFCKSGDVKMGFKLLKMMQSDGHVPGVVTYNVLMNGLCKQGQMKNAKMLLNAMLNLGVVPDDITFNILLDGHCKHGSSDDFNIFNSEKGLVTDYASYTALVNESNKALKDRLKR >OIW02275 pep chromosome:LupAngTanjil_v1.0:LG11:6583287:6583571:1 gene:TanjilG_15158 transcript:OIW02275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWFTGGRRLLYPSMVVLLVVTVFQNMSQVEAIRVFPSNAEAEVKFSFSNVDKKNKEDLLYKYFSGRTFGLGNTTQKGFDESKRRVPSSPDPLHN >OIW02626 pep chromosome:LupAngTanjil_v1.0:LG11:2468882:2469373:-1 gene:TanjilG_24077 transcript:OIW02626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQLLRLQLAVIIAVIALSVTATARPSRTFLISSYSFSDPSTSATVTEIQSFIPVYIATFKPSFSGQIFVDHHRRAPYGFYAYDFSSLRQRTNDILSVVVALLFGVGCGALTATTMYLAWSIFANRYEDYSSSYDHFLDDSDEKIESPKKIGFQKIPASREAV >OIW02489 pep chromosome:LupAngTanjil_v1.0:LG11:4580689:4588931:-1 gene:TanjilG_05082 transcript:OIW02489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESAMEKVQSYVVENGVDLKSCHMQKFKLYETRSRFYLFGRDKSRTLWKVLKIDRLEPSELNITEDTTLYSETECCDLLRRIKEGNKSTGGLKFVTTCYGIIGFIKFLEPYYMLLITKRMKIGTICGHTIYAIAKSELVPIPHATVRTKMAYSKDENRYKKLLCSVDLTKDFFFSYSYNVMFSLQKNLSGHNMTGKSLYETMFVWNEFLTRGIQNDLQNTSWTVALVYLKRGVNEKGRVANDVETEQIVFADAHDGCPMQISSMVQIRGSIPLFWSQEASPLNLKPDIILSKKDQNFEATRLHFGNLAERYGNPIIILNLIKTSEKKPRETILRAEFANAVRSINNSLRGGNRLRFLHWDLHRHSRRKATIVLSQLGKVATYALKLTGIFYCPVTPNLRLEGLFGDSYSENINVIGDCVAEQVSINNDNVDKETEVSDCYNSGGESKDYYVKPRMLQAGVLRTNCIDCLDRTNVAQYAYGLAAFGSQLQALGFSESPFISPDNPLAKELMTMYESMGDTLAFQYGGSAAHNKIFCKKRGQWKAATQSQELIRTLQRYYNNTYADGNKQKAINLFLGHFQAQQGKPALWELDSDQHHTMGKGGPYPEVDNVRSTIKRSLSASNILCESDTTVTELGVKNYQHPSEKTNKSSLSESTRDIVTCGSEICHCRKIHGGMFKDQHCDCDHVCYDEHGDACDCSNFLDVNWLYSSGNSFDTELLERSTTISSEKIVNELTNDTTPYANESGSSIKEKQSQPELEKGAEYTESFECWQIAVTLAEKLSFDEGKSSFKLSFHIEILHSL >OIW02031 pep chromosome:LupAngTanjil_v1.0:LG11:9740983:9741498:-1 gene:TanjilG_13769 transcript:OIW02031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFPVGYTQVFFPNLFLHILIFLGFLRNLVFILFHYLGLSDLLETDVVWPEPTRIPDTKKSPSLSAILIRELLPAIQFSDLDSTSAAVTAAESGCAVCLYEFSGEDEIRCLRNCKHIFHRGCVDRWIDHDQKTCPLCRTPFVPDEMIDDYNQRLWAASGVAEFYAEYSTSF >OIW01105 pep chromosome:LupAngTanjil_v1.0:LG11:35700799:35700972:1 gene:TanjilG_25213 transcript:OIW01105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDKKKKAQMFVKLVSAAGTGFFYVKRKPRQVTEKLEFRKFDPRVNRHVLFTEAKMK >OIW02133 pep chromosome:LupAngTanjil_v1.0:LG11:8240639:8242029:-1 gene:TanjilG_06728 transcript:OIW02133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFKGSNLIKLLLMTQCLTVLYASEDFDFFYFVEQWPGSYCDLKKACCYPTSGKPAADFGIHGLWPNRKDGSYPSNCDPNSPFNPSQISSITSTLQSDWPTLACPSNDGLKFWAHEWEKHGTCSESKLKQLAYFESALKLKQKANLKQTLRNAGINPDGKSYTLSNIEGAIKKAVGFTPHIDCNVDASGNNQLYQVYMCVNAAGSNFIECPVFPKGSKCKPSIVFPAF >OIW02076 pep chromosome:LupAngTanjil_v1.0:LG11:8935181:8936080:-1 gene:TanjilG_14775 transcript:OIW02076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPKEEPETEAAIRVMPTEMPMQVNKALVQVQPTQKRSSTKDRHTKVEGRGRRIRMPATCAARIFQLTRELGHKSDGETIRWLLEHAEPAIIAATGTGTVPAIAMSVNGTLKIPTTTHSSAIASSDPEPGDPQEKKKRKRPANSAYVDINDGVVSVSAGLTTSSHANTAIPLQNAIPLPQGMVPVWAIPSNAVVPAAGAFFVVPSPMAAGPSNQPQFFTLARPISAFVSSMVPTPVQLQQHPTSTNTCSASPSSKSPPIATAMAPTTTATTTTQMLRDFSLEIYDKQELQFMSRSSSKQ >OIW01765 pep chromosome:LupAngTanjil_v1.0:LG11:18464834:18466492:1 gene:TanjilG_03903 transcript:OIW01765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTMSRFVFESIETKNVYLWNSLINGYVKNHDFDEALVLFHEMGRRSVVPDDYTLATISKVCGEVQDLGFGKLVHGKSIRIGFVSDIVVGNSVMAMYSRCGMFVDAMKVFDEMPHRNVGSFNVIISGCAALGDCNPTSGDDLWKFFVRMLSEGFKPDAFTIASLLPMCCAGKWDYGRELHCYLVKNGLGLKMGSDVHVGSSLIDMYSRNNKVFLSRRVFDQMESRNIYVWTALVNGYVQNGAPEYALILLRDMQMFDGIRPNKVSLVSVLPACSTLAGLIGGKQIHAFSIKMELSNDISLCNALIDMYAKCGSLDYARLVFENDSRIKDAISWSSMISAYGLHGRGEEAVIMYYKMLDQGIKPDIITVVGILSACSKSGLVDEGIGIYNSLMAKYEMKPTIEICACVVDLLGRSGKLDQALEFINEMPLDPGPSVWGSLLSASITHGNSMTRDLAYRRLLELEPENPSNYISLSNTYASYTRWDVVAEVRTEMKERGLRKVPGCSWITISGKTHSFLVADKAHPSSSLIYEMLEDLVSIMIGEVSADIDVLT >OIW02804 pep chromosome:LupAngTanjil_v1.0:LG11:975025:978169:1 gene:TanjilG_29580 transcript:OIW02804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLGKETTLTKKVENVEDTQNMSVLDLPELVLECILERLPPASLCQMAGVCRSMRERCVSDHLWERHMKQKWGRVIGPVAFREWKWHVASKRSIGSARHGKQRSFMRLVSLPWPFSWMRVKVDTNNSIKQYSSLPVDSAMAWYLALESGNFLFPAQVYNRENGLVGFMLSCYDAELSYDLHSDTFQARYPPHGRRAVSIEHAIPWERLRAPPVDTPPHDLHISDCLNDLHPGDHIEIQWRRNKEFPYGWWYGVVGHLESCDGNENYCRCQISDTVVLEFNQYTPDSRWRRTTISKKDHREEGNEADGFYGGIRKIKNANEISIWKNLWPSEVLD >OIW02260 pep chromosome:LupAngTanjil_v1.0:LG11:6406745:6410590:1 gene:TanjilG_15143 transcript:OIW02260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDREWGSKPGSGGAATAQNEAIDRRERLRRLALETIDLAKDPYFMRNHLGSYECKLCLTLHNNEGNYLAHTQGKRHQTNLAKRAAREAKDAPANPQPHKRNVSVRRTVKIGRPGYRVTKQYDPETKQRSLLFQIEYPEIEDLSKPRHRFMSSYEQRVQPFDKSYQYLLFAAEPYEIVAFKVPSTEIDKSTPKFFSHWDPDSKMFTLQLYFKTKPPEANKPQPPTIANGTEAPGVPPRPLAPPPQAPPPPPPQGLPPGAPMGNPPRAPPPPMSGSFPPPPPMAANGPRPAPPGGMPSIPPPPPSAASFNMGNRPPSMPPPPQGFPGQQMQGHGVRPPPPPPNMGQ >OIW01453 pep chromosome:LupAngTanjil_v1.0:LG11:29698472:29698943:1 gene:TanjilG_30927 transcript:OIW01453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLWPSKNLRDSFKFSYLKNLEWNYRRMEKEQQSSNEQKLLDEDENKQDQRKKTQNMKYEPGVVASVCQELLLVFSCCYCCFCCGDSRVVKGISEF >OIW01703 pep chromosome:LupAngTanjil_v1.0:LG11:19498366:19498608:1 gene:TanjilG_12195 transcript:OIW01703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVEESDEGTCGVVVEASDEGTCILVVLKNGSCGEYDGGACALVLDIVDVKGGAFVLEMVVVYSVVVVQKSGVAFYESVV >OIW02523 pep chromosome:LupAngTanjil_v1.0:LG11:3083586:3085236:1 gene:TanjilG_12837 transcript:OIW02523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKIWVEVCLISARGVQASFSLWKRQWYAVGWVDPNNKYCTKVDASGNANPIWRTKFAIQVDDSEPNFQDLALNLEVYSRDPFFLTEKLHGSANVVLKEFLVKNSEISRARDEEVGSYQLRRKKSNKPRGFIDVSIRVSEDKEEPNSHQGDGGGIELIDRGNNIHLNTEGGFGQANMHQLPQSSLHGPQKQQHSNVPYNSHHPMQFPTNYSNPYVGGPNYPASAGPSYYQPPRPPPPPPQLPSNFGYVPTNFLPSNYGMAPSYINMPSSSSSSGAVPPRQRGPPPAGFAMGAGAGALAAGAVMFGDDFLSGFGVPSGIGDPTLVIATDPLF >OIW02212 pep chromosome:LupAngTanjil_v1.0:LG11:6853889:6855598:1 gene:TanjilG_31961 transcript:OIW02212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMNTFKVLFFTTVTLFFVAASAAECESKYEGGCHDKTMALKYKLIAIASILVTSMIGVCLPIFSRSVPALHPDRDMFVLVKAFASGVILATGYMHVMPDSFEDLTSDCLPDQPWKKFPFTTFIAMLSAVFTLMTDSFSIAYFKKKLNITSSKSGGESKELEHFGHGSVLENVKGDAKEVSAEQLLRYRVVAQVLELGIVVHSVVIGLSMGASQNPCTIRPLIAALCFHQLFEGMGLGGCILQAEYGTKMKAIMIFFFSVTTPFGIALGIGLSNVYSDTSKTALIVEGVLNAVSAGLLNYMALVDLLAADFMGSKLQSRMKLQCWAYVAVLLGAGGMSVMAIWA >OIW02241 pep chromosome:LupAngTanjil_v1.0:LG11:6143798:6149386:1 gene:TanjilG_15124 transcript:OIW02241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNTYFSSTIYAVRCKESFTLSSNGYTNFSYERFDRSPSHCSLCSGCCDCCSFSTCKVPVNSSILNGLRQSTLLQLPASRRLILGNGYLYFSRVPSFDLHRGCFELNCSVNDRTDCNRSTRRTKGKCFSAAPQKGREICHTIDSDDVESVLSLLSEEADKDCSSIKLKNASSPKIMEAEKKRKNVMKERNLSLSKKLEAEKKGNLKLHETSTIDLRRENEKTNKERETFNKSENNRKRRDVSSCSSFYSLSSGDLPSDLEVQNKHDSEELSAVYEKDYANHEGQVKDKLNRQRNYSQKLHGFSNQERTAFSANNIDWNLRKKSEKKPTDVTMQETLSTKEHKDRHSRASRTHESSYWNTSILRKQVECEEDNLSFVKDLDKKMEKAYIKAREIRNHQSTDTQESGYDEVETTLASKKTFSGREGNLEISGTLLRERSDEHKKFVGSTSTIGKVTSKSNKTFSGREENPEISETLLHEAREERKNIVGSTSTIEKNVINRNSQKYMGKTKVEDTERTLNTRMKNLREEKVSILSSAQGVEEQQHQKGEKIVTQAKERRKSQRFSEVSQIHESNVEDTSIVKSRTRINDQEGNSNSSTDARVTWRQTDKRTNQSIQHGKGFEHDSTLSEGYGSDEKQVSSSQRISRKVRFVPKSKSMSVVKTRESYCQTDERITNIDLYSEGQRPMNLSVSDETVSGEEASFHGSLNLVSEAGKRVILAPGDEQSSERMLTPSSSRLVGQSSAHVEFNAEITNPGIVIESSDSGSSALYDNAGRSPIFLSESYSTDGIHQAYTEPSNIIALEGGALGSADRLEKSSKQFVDEFVERVRHEVTTSETQELDVTGKRLAIEDEGNQIFSSRKEGTQNESQLKERGSSHSSGFPGTKGPSDEMWDVNEPSVEQILVAEEPEISKETEKTILSRTGRSMWSMIADVVRLRWGSRTGSSNSAGRSGERNSPNKSDSETWFSGQEHEETSKSNVIKETSVLPQTMTSSKPSTLYTQSEGEVSDTKRLKDKGKNLEFRSSSPNTLESGSTSLGASYASGDEHANWSEDGKDLKVSTSDIKNVEFPIPLPARGPPFVGKIVNVGGSNMSGSEPIMPIKEPVAPVQFEPPGLGKKDEELKQRKFQRNTQVLRDRFDDWEEAYNVEFEQRRVDEMFMKEALLEAMKAADTWEVPVGAVLVQNGKVIARGCNLVEELRDSTAHAEMICIREASGLLRTWRLSDTTLYVTLEPCPMCAGAILQARIDTVVWGAPNKLLGADGSWIRLFPDGGESSSEPRDIPPAPVHPFHPRIKIRRGVLASECADAMQQFFQLRRKKKKEESSNDPSSLPITHHHPSKFLNKIHDIFHVMFCL >OIW02521 pep chromosome:LupAngTanjil_v1.0:LG11:3063506:3065358:1 gene:TanjilG_12835 transcript:OIW02521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHFLCLSKKEKYKVLCYQSVAYIVLYHILQSEQADSGLHFDKTGGDYNIWCGGVGPGRNNGEWKMDEKISCLVDLQRRSEFHKVEAGLMALLRSCLESEGENSRTILSGYVDHFHSIESEDVGWGCGWRNIQMLSSHLLTQRPEARDMLFGGSGFVPDIPSLQRWLEIAWERGFDASGSDQFNHAIYGMKKWIGTTECAALFRSFGLRTRIVDFGPKESGSFYLSVPGSIVGGQQLVGIDAGRKRKAFEVYGPMDRYLSHEVSQASCSRDDKSCSSLTQLDGTIKKESGDDRVINSNTNKSEGHRVLMDFVWNYFSNKSSTEFGNRRVIVSEKSPLYFQHDGHSRTIVGIQVKHQRAGNLQYNLLILDPSHLCYVDPGIASKEEMEKLKTIDSVFLEF >OIW02338 pep chromosome:LupAngTanjil_v1.0:LG11:5714854:5717416:-1 gene:TanjilG_11232 transcript:OIW02338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAAATTVVLPHRIPTTTNVARCSVLPYLPPRVSFFSPSLKHFQESQKSSLLQIRASSDETSTSPDPNELISDLKEKWDAVENKSTIVLYGGGSIVFVWLTSIVLGAINSVPLLPKLLELVGLGYTGWFVYRYLLFKSSRKELATDIETLKKKIAGTE >OIW01597 pep chromosome:LupAngTanjil_v1.0:LG11:23559778:23564484:1 gene:TanjilG_08778 transcript:OIW01597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGGGGHKKPSKSDDPSAANVIVNHASRGGGAGGVNGASAGTNLIDPAATPLPILPPSGPMEPLPMFRDVPVSEKQNLFIRKLHVCCYILDFLDTMKNVREKEIKRQALMDLVDFIRSGSGKITENCQEEMIRMISVNIFRCLPPASHENTGQEVTDPEEEEPCLEPSWPHLQLVYELLLRYVVSSDTDTKVAKRYIDHSFVLKLLDLFDSEDPREREYLKTILHRIYGKFMVHRPFIRKVINNIFYRFIYETERHCGIGELLEILGSIINGFALPMKEEHKLFLVRALLPLHKPKSVGVYHQQLSYCITQFVEKDFKLADTVIRGLLKYWPVTNCQKEVLFLGELEEVLEATQAAEFQRCTVPLFKQISRCLNSYHFQVAERALFLWNNEHIVSLIAQNRTVVLPIIFEAFEKNIHSHWNQAVHGLTVNVKKMFLEMDAELVEECQRQHTEKESKAKEVAEQRELNWKRLADAAAQNGADDMVTI >OIW02918 pep chromosome:LupAngTanjil_v1.0:LG11:210595:210852:1 gene:TanjilG_29694 transcript:OIW02918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKLTTFFALLVCMGINMMHCESYYSESSSNHYTQELTEKESHLHFYYFDIHAGENASAVVVVMVLKTHHQQHHLEECGPLTTL >OIW01696 pep chromosome:LupAngTanjil_v1.0:LG11:20111141:20112712:1 gene:TanjilG_01203 transcript:OIW01696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKEQIEVLNALDVAKTQWYHFTAIIIAGMGFFTDAYDLFCISLVTKLLGRIYYHHEGAEKPGSLPPNVAAAVNGVALVGTLSGQLFFGWLGDKMGRKKVYGMTLMLMVLCSIASGLSFGRDPKTVMTTLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGFGILAGGIFAIVISSTFKSNFSAPAYEVDPLGSTVPQADYVWRIILMFGAIPAAMTFYSRSKMPETARYTALVAKNTEQAAKDMAKVMQMEIGAEPKREEEQTQSKQFGLFSKEFFARHGLHLLGTCSTWFLLDIAFYSQNLFQKDIFTAIGWIPPAKTMNALEEVYRIARAQTLIALCSTVPGYWFTVAFIDRIGRFAIQLMGFFFMTVFMFALAIPYDHWTHKENRIGFVVMYSLTFFFANFGPNATTFVVPAEIFPARFRSTCHGISSASGKLGAMIGAFGFLYLAQNQDKTKAEAGYPAGIGVRNSLLLLGVVNILGFLFTFLVPESNGKSLEEMSGENEEEETKA >OIW02379 pep chromosome:LupAngTanjil_v1.0:LG11:3443452:3447831:-1 gene:TanjilG_04972 transcript:OIW02379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYQHYRSQFGDTTFTKVFVGGLAWETPTEEMRKYFEQFGDILEAVIITDKNTGKSKGYGFVTFRDHESARRACADPNPVIDGRRANCNIASLGRPRPSPPRGRGTFQGGGTGTVQGAGTYSGVPAAGPPALSPPLLYPQPYGYPTYTPDYGYHQATMYNPQIQQAQYYQQLYGPSTSTIGSPYYYGYSVQAPRGTFSTPQPHRLPAGPSYIYYPTSPMEGSSAFRPPYHPPTRQIPLSPGDSQSQQQRTSSETASGVVVTSESSNIQGKS >OIW02358 pep chromosome:LupAngTanjil_v1.0:LG11:4914588:4917900:-1 gene:TanjilG_08505 transcript:OIW02358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIQSLSDNHIRGYFMVVGLLSEITAVPDGLKAWQALKRKAPDIDLILTEVQLPAISGFPLLTLIMEHDICKNIPVIMMSSQDSVSMVLKCMSKGAADFLIKPVRRNELGNLWQHVWRRHAIRSPPQNTTLLQKRLKTASEDNSASNQYSGFVASAQKNNERSEAQSTYRLPFLEAESTYMENMPDASQMKSSLKLSNIDVVKHEESTTFESESAKHDNGSGEESITFVLEGASCSKTFKSADLRLEQDLCCTENEDKDDVLGVMLSRIDPYINFEIQGSSEELVEPSRAAINLIGTFDNRRNYPDENCRLSDGNTTKFDFDTRLELSLRCSSMSSCKQANEASEERQRMDHSNTSAFSWYTGSKLLQPLFPSPPITSAIVNNTVGGSHKSHKLSRNSVDTSYQYGGTNQNQENMTTLVIGQFEHVEPRLSNKQFGIFSGNGVTSDLPSMGHDNVFTSVLCAQSDVHSICNPKPVCQEESSSFPTSQSSQSSPESQNSEQHCHHCDEATYTSRDKNVHDKSNLNHARLDSPAASQTAGNTSLCHDGANHINSAHGNIGSGSDGNATSSVVTKNNPQIFSDSSCPDYGGFRGTKSYDSSQREAALEKFRLKRKERCYEKKVRYQSRKRLAEQRPRVKGQFVRQVDS >OIW01170 pep chromosome:LupAngTanjil_v1.0:LG11:33023226:33027469:-1 gene:TanjilG_10331 transcript:OIW01170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDDERDEKELDLSSHEVVTKYKTAAEIVNKALKLVISECKPKAKIVDICEKGDLFIREQTGNVYKNVKRKIERGVAFPTCLSVNSTVCHFSPLASDETVLEEGDILKIDMACHIDGFIAAVAHTHVLQEGPVTGRAADVLAAANTAAEVATRLIRPGKKNKDVTDAIQKVAAAYDCKIVEGVLSHQMKQFVIDGNKVVLSVTNPDTRVDEAEFEENEVYAIDIVTSTGDGKPKLLDEKQTTIYKRAVDRSYHLKMKASRFIFSEISQKFPIMPFSARALEEKRARLGLVECMNHELLQPYPVLHEKPGDFVAHVKFTVLLMPNGSDRLSSYPLQELQPSKTVDDPEIKAWLALGTKTKKKGGGKRKKDKKGDKADELPEAAEPTNTTNEATPQD >OIW02659 pep chromosome:LupAngTanjil_v1.0:LG11:1982555:1984197:1 gene:TanjilG_29435 transcript:OIW02659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSAQVVTYTFGDSLTDVGNNNFLRYSLAKCDYPWYGIDYNGGQATGRFTNGRTIGDIISEKLGISSPPAYLSVPQNTDALLKGVNYASGGAGILNDTGLYFIQRLSFDDQINYFKKTKEAISTKIGDEAANMHCNEAIYFIGIGSNDYINNYLQPFLADGQQYTPDEFGDLLISTLDQQLTTLYQLGARKMVFNGLGPLGCIPSQRVKSKTGQCLKQVNIWIRKFNSKLQNLIMTLNNRLPSAKLIFADTYPSVLELIDNPTAYANVVLGDKFFSSLFSSAPSPAPGPSPTLGPSPAPGPSL >OIW02026 pep chromosome:LupAngTanjil_v1.0:LG11:10763790:10764185:1 gene:TanjilG_11619 transcript:OIW02026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSATFPAADVVGELNPGINTEVEVDPISSEVAASKEEAEAKQKKKERKKNDTLQTLKSGIIISAIIVAVAGAAFAINKKLREK >OIW02579 pep chromosome:LupAngTanjil_v1.0:LG11:2832547:2834170:-1 gene:TanjilG_24030 transcript:OIW02579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDCILFRGTAVTMKNMLEIAFPGTEVVLANYPPPLPKRLLSKVVPVLQFGVIGVVIGGEQIFPMLGFVAPPPWYNSLRANKFGTVASTWLLGNALQSFLHSSGAFEVYFNGELVFSKLKEGRFPGEIELKDLISRRMTNSKLDAFHGEGDLYLTRQE >OIW01321 pep chromosome:LupAngTanjil_v1.0:LG11:34996347:35001388:1 gene:TanjilG_10482 transcript:OIW01321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NNMPSPSSSFFTILVLSFFYVSFSGDPFVSYDWTVSYKSVSPLGVKQKVIAINGKFPGPTLNVTTNWNVVVNVKNDLDESLLLTWDGVQHRKNSWQDGVLGTNCPIPAGWNWTYEFQVKDQIGSFFYFPSLNFQRAAGGYGGIIINNRAVIPVPFGYPDGDITILLSDWYTKSHKDLRKDVENGIDLGIPDGVLINGLGSYRYDDTLVPSGISYQIINVEPGKTYRVRVHNVGISTSLNFRIQNHNLLLVETEGSYTVQQNYTNMDIHVGQSYSFLVTMDQNASTDYYIVASPRFVNSSWARATGVAILHYSNSQGTASGPLPSLSDQDDPYFSMNQARSIRWNVSAGAARPNPQGSFRYSDITVTDVYVILNRPPELINGKWRTTLNGISYLPPSTPLKLAEQFKILGVYKLDFPNKLMNRPPKVDTSLINGTYRGFVEIIFQNNDTTVQTYHMDGYAFFVVGMDFGVWTENSRSTYNKWDSVARCTTQVFPGAWTAVLVSLDNAGMWNLRTENLNSWYLGQELYVQVDNPEIDNTEDSMPQNAIYCGLLSSLQNAEL >OIW01304 pep chromosome:LupAngTanjil_v1.0:LG11:34806484:34808009:1 gene:TanjilG_10465 transcript:OIW01304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISNALKERYELGRQPANTKLSSNKTVRRIRVRGGNVKWRALRLDTGNFSWGSEAVARKTRLLDVVYNASNNELVRTQTLVKGAIVQVDAAPFKQWYLQHYGVEVGRKKKTASKKDTAEEGEAAAAEETKKSNHVQRKIEKRQTDRNLDSHIEEQFGGGRLLAAISSRPGQCGRADGYILEGKELEFYLKKLQKKKGKGAA >OIW01910 pep chromosome:LupAngTanjil_v1.0:LG11:13994893:13997184:-1 gene:TanjilG_15235 transcript:OIW01910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKTTMLFLKPNNNQRWISSLSSSSSPNDVVLGDKAILYLKRHPQNLSSLSPHFTPEAASYVLLKSQFDQTLTLKFLSWAHTRPFLTLHCKCVALHILTRFKYYNSAQTLAQDVARTARNDTDGAVVFKHLRDSYHACNSSSAVFDLVVKSYSKINLIDKAFNIVNLAKEHGFMPSVLSYNVILDAILRFRGSLKDAECVFRDMVRNGVSPNVYTYNVMIRGFVVGGELERGFSVMREMEGNGCLPNVVTYNTLIDACCKAKRVGDAFELLRAMARKGVRANLISYNAVLNGLCREGRMSETLEVLEEMNDRGLAPDEVTYNTLVNGYCKAGNFHQALVLHAEMARKGLSPDVVTYTTLINSMCKAKNLSRAMEFLDQMCARGLRPNERTFTTIIDGFCQQGLLNEAYKVLSEMIVSGFSPSIITYNALIHGYCFLGRMEEAMRILRDMVERGLSPDVISYSTIISGFCRNLALEKAFQMKMEMVEKGILPDVITYSSLIQGLCQQRKLVEAFDLFQEMLNRDIRPDEFTYTSLINAYCGEGELSKALHLHDEMIRKGFWPDDVTYSVLINGLNKKARTREAKKLLLKLFYEESIPNDVTYNTLIENCSDNEFKSVVGLVKGFCTKGLMNEAGQVFETMLQRNYKPNGAVYNLIIHGHCRSGNVHKAYNLYKEMMHCGFVSHPVTIIALIKELSREGMDDELNQVVQNILTNCRLHDAELAKALVENNFREGNMDTVLNILTEMAKDGLLPDGVKYSYAPTSA >OIW02768 pep chromosome:LupAngTanjil_v1.0:LG11:1225509:1228151:-1 gene:TanjilG_29544 transcript:OIW02768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLKFVFFLLLECVCFIVESKCIKGCDLALASYYVSVWPSISLGNITNFMHSNVLTNSDVIISYNKGKIFNGDVLLSLTRTNVPFPCDCIGGEFLGHVFQYSSVAGDTYDTIAMKSYSNLTTVEFLKRFNSYDPNHIPVNSKVNVTINCSCGNSLISKDYGLFTTYPLRPGNNLQELSKETNIDAKLLQSYNPGANFSQESRIVFIPGRDQNGVYVPLYPRIGGSGSGEYEASGSSGHAVGLTSIMVAKSLEFSYQELAKATNNFSLDNKIGQGGFGAVYYAELRGEKTAIKKMDVQASSEFLAELKVLTHIHHSNLVRLIGYCVEGSLFLVYEYIDNGNLGQYLHGKGRDPLPWSTRLQIALDSARGLEYIHEHTVPLYIHRDVKSANILIDKNLRGKVADFGLTKLIEVGTSSFHTRLVGTFGYMPPEYDLFHCCISDTHTKLTLMEYAKS >OIW01391 pep chromosome:LupAngTanjil_v1.0:LG11:31590585:31606694:-1 gene:TanjilG_10821 transcript:OIW01391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPFSFSTSHFSLPTPPLFSSSSSSNHHHYHHSFISFSLKPPLDSNNFRRPTKPLKTTTTTTVTPSPNPTKPPSNNPLKQKTPSPNPLTSKLWLTSKLSPPPPPPPPLQELVNQVEALERHEEDENQNDVVSDDNKDLPLSRQLGKIFVANLPLWVKKPEVFDFFRQFGPIKNVILIKGHNETKRNAGFGFVIYDGDETGAENSAMKAVEFDGVEFHGRVLTVKLDDGKRLRDKELERERWVKGNGDKGEYRSKWHEERDGSRKEFQKVLETEPENWQAVVSAFERIKKPARKEYGLMVKYYARRGDMHHARQAFESMRARGIEPSSHVYSSLIHAYAVGRDMEEALHCVKKMKEEGIEMTIVTYSIIVGGFARMGNADAADQWFNEAKERLTDLNAIIYGNIIYAHCQACHMDRAEALVREMEEQGIDAPIDIYHTMMDGYTMIGNEDKCLIVFDRLKECGFSPSVISYGCLINLYTKIGKVSKALEISKIMKMAGIKHNMKTYSMLIHGFLKLKDWANAFSIFEDITKDGLKPDVVLYNNIVSAFCGMGNMDRAICIVKKMQKERHRPTTRTFLPIIHGFARAGEMRRALEIFDMMRRTGCIPTVHTYNALLLGLVEKRQMEKAVRILDEMNLAGISPNERTYTNLMHGYASLGDTEKAFQYFTIMKNAGLEIDVYTYEALLKACCKSGRMQTALAVTKEMSARKIPRNTFVYNILIDGWARRGDVWEAADLMQQMKNEEVQPDIHTYTSFINACSKAGDMQKATEIIKEMEASGIKPNLKTYTTLIHGWARASLPEKALRCFEEMKVAGLKPDKAVYHCLMTSLLSRATFAQSYIYSGLLSVCGEMIESEMTVDMGTAVHWSRCLLKIERTGGELTEALQKTFPPDWTSHHVDVNSGTETADPEIAVVDDDVYYADGISNDEDNDDEDIDNDI >OIW01090 pep chromosome:LupAngTanjil_v1.0:LG11:35573956:35575318:-1 gene:TanjilG_25198 transcript:OIW01090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKLFDSSLRLCVVPLSVATIWVTVTNQQDNSIYGMLKYSNILGLKYMVFVSALCAVYALVAVASSWVRYLILAYLMITSVSASMEIYSLAYNGAKNISWSEACSSYGRFCSKVKLAIILHVFTFCCFLVLAVISASRVFSVYDPPSVISIEMEEERG >OIW01111 pep chromosome:LupAngTanjil_v1.0:LG11:35736586:35738205:1 gene:TanjilG_25219 transcript:OIW01111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVKPSTSSYSSSYTLDFLKIMGIDETVPESCNISGFYSKFYASFIKVDHIQRGRISSTITVKPPTCNSYGTLHGGSVGSYAEVLSTACARTVVAEDKDIFLGEISVSYLSAAPIDSEVVADVSVVKSGRNVTVIAMEFKLKKTGTLIYIAHATFYNTPVAKL >OIW02560 pep chromosome:LupAngTanjil_v1.0:LG11:3372731:3374651:1 gene:TanjilG_12874 transcript:OIW02560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVYANIPSGAPLPVDPNSPGHWKIWILGTIVTILLSFSRGKWGSLLQLKDKIGTTIDEAEEIADIVEEVSEKVDKVAEEAEKKLPEGKLQDAAEFIEKVAEDINKHTRNAEDALEKVEGMEKELESLIESTPRPEKTTVVATKAQDQK >OIW01089 pep chromosome:LupAngTanjil_v1.0:LG11:35560533:35566640:-1 gene:TanjilG_25197 transcript:OIW01089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAVAESSESETASAPVNNSTKTTNTRFLDETAPFLDYALQQVQLYHKALNDAVESALDASISRFSQIRSTSSAHFNQTLDSLDDIKSQYNAYEGILIGKIKEGVLVAASHPMITCGATAALGLVVLKRPRRILYYNTLRLFVSEESLISRASVELKELRKSIDLLKAEGEKLEKSAVHAEEQLLRGRTKLRQAGKQIRNVINSAHKIEKKAGGLKDILGELPRREASYFRSQVSKLASEAKQEKKSLTKEVSKISNYGISV >OIW01506 pep chromosome:LupAngTanjil_v1.0:LG11:27496140:27501863:1 gene:TanjilG_19432 transcript:OIW01506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVLIIWPDLELKGSIIGYHFAEKPTYVRFLVSNSAAGSVIGKGGSTITDFQSQSGARIHLSRSHEFFPGTTDRIIMVSGAVNEILKAVELILSKLLSEIPSENDNDAEPKSKVRLVVPNGSCGGIIGKGGATIKSFIDESQAGIKISPQDNNYFGLNDRLVTVTGTLDEQMHAVDLIVSKLSEDAHYSQSINSPMSYPGVSPSGYQGAPYVLPSGSAPAYNPSSHITNGAGRKFENNKEDRSNSVTIGVLDGHIGFVVGRGGRNIMEISQTSGARVKISDRGDYISGTTERKVTITGSQRAIRTAESLILKKVAYAAEKAIEVE >OIW02934 pep chromosome:LupAngTanjil_v1.0:LG11:107604:109085:-1 gene:TanjilG_29710 transcript:OIW02934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKFSIITLFSSLLLLAAICKAQPVTIDIKKFGAQNGDITQAFINAWKEACAATSNVKIVIPKGTYKMSGVEVKGPCKAPIEIQVDGTIQAPADPSQLKGAEQWVTIGYVSHFTLSGHGIFDGQGATAWKQNKCGSNKSCARRAMNLGFNFLNHSIVRDITSKDSKYFHVNVLSCNNFTFDGFKVSAPHDSVNTDGIHIGRSNDVKVLNTKIATGDDCVSLGDGNTRLVVKNVECGPGHGISVGSLGMYDNEDPLDDFLVKNVTIKNADNGVRIKSWPSSPVSITVTNMRFEDITMVNVSNPIIIDQEYCPWNECSKKSPSKIQISKVFFKNIKGTSATKEGVILLCSKSVPCQGVELSEINLTYNGAPAIAVCANVSPKILGKAPTCTAAKSIF >OIW02084 pep chromosome:LupAngTanjil_v1.0:LG11:9080889:9082355:1 gene:TanjilG_14783 transcript:OIW02084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQHRNHDDFATVAGVVVLLGRFAFIYMEDERDADYAIRRLDRTEFGRKGRQIRVEWSKQDRDNRRPGGDSKKSSTNTRPSKTLFVINFDPVHTRTRDLENHFDPYGKISNIRIRRNFAFIQFETQEDATRALDATNLSKFLDRVITVEYAIKDDDDRRNGYSPDRRGRDSPDGRYDRRRSPSPYRRGRGSPDYGHGSNPASRPEPRGSPKYERAESPINGRYDRFDLIELVVLILIFGVSLISFYFDYFTAYAAGPRQPEKGRDPEE >OIW02349 pep chromosome:LupAngTanjil_v1.0:LG11:5862281:5864134:-1 gene:TanjilG_11243 transcript:OIW02349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDEYSRDTKQLLQASSSSGCSRKKKSGVKDGKDDCGKVYECRFCSLKFCKSQALGGHMNRHRQERETETLNHARQLVFRNDHNLTTQVAPRLGCCQTVPTGSYLPTSNMGDPIKPLKLPKHFSTSSSINISPSPPPPQPFHSHYSHHYSVNDCYVGHVMSSSSSQKYGHNLDSSYTCIGAPVGQAFPGGGKDMSLLNQEERMNWVRSYSGTKKCFNPNSVTNRFHDHGF >OIW02436 pep chromosome:LupAngTanjil_v1.0:LG11:4017798:4032570:1 gene:TanjilG_05029 transcript:OIW02436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHIATDSPLSRRIVRSFLHFLNSVEPGSGVDVEGIDVARECLAEAFKLNNHESDDHANPDSLIDLFKSLDANNQCETIKPDIAPPQQPHSVDAAAASSSSFSPQNPAQTQNRSEAPKSPDEDSIRRRNTFESKDELGVQFFAALEKIDYFRTNVDGIDDPLQLEKASCLLNDAFMDMEKSGCQEFSLKNLAESLKSLGNKAMQSKNYLDAIELYNCAIAVYEKSAVYYCNRAAAYTQIDRYTEAIQDCLKSIEIDPNYSKAYSRLGLAYYAQGNYRDAIDKGFDKALQLDPTNESVKENIRSSRPRSNAMPSSFTYTGDDIPEIFRNITSNSGHPRESEESISYVSRSFINMYGGGGGDDAPSRQP >OIW01677 pep chromosome:LupAngTanjil_v1.0:LG11:20506459:20511556:1 gene:TanjilG_19785 transcript:OIW01677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGCGRLWRKVFMMSSGATELNTFYPIRPECHNDVPPTRFKPRAGKTLSARRWHASFSADGRLNIAKVLRRIQRGGVHPSIKGVVWEFLLGCYDPNSTFDERNELMQRRRGQYDMWKAECQKMVPAIGSGKFITTPLIGDDGKPTDPSLISAPTSDTKVLQWMQVLHQIGLDVVRTDRALEFYESEANQAKLWDVLAVFAWLNNDIGYVQGMNDICSPLIILIENEADCFWCFERAMRRLRENFRCSASSMGVQSQLSTLSQIMKTVDPKLHQHLEDLDGGEYLFAFRMLMVLFRREFSFADTLYLWELMWGMEYNPNIFSKYEDPDRTKGKESLSAINDKMLKQYGKFERTNVKTGHTEESCSLAIFLVASVLEIKNRRILNEAKGVDDVVQILGDITSNLDAKKACTEALKIQKKYLSKAKKA >OIW02586 pep chromosome:LupAngTanjil_v1.0:LG11:2748176:2749760:-1 gene:TanjilG_24037 transcript:OIW02586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVQKRQQTLESSASPMIKIMVSHGSYYHEIDVPAQSTFGHVKSVLTSETGLEPKEQRLLFKGKEKEDGECLHTVGAKHMSKIVLFEDPASKEKKLEEMQRSEEYILKACEAVSIIKSEVDKLYQKVLTLERTICGGTKVEDKEFVMLTELLMVQLLKLDSIVANGEAKTRRRVEVGRIQSYVETIDNLKARNSATFSNAANNEISVITKWKAFDSGVVERLNSPTPFQLSTVITQDWELFE >OIW02596 pep chromosome:LupAngTanjil_v1.0:LG11:2674437:2677418:1 gene:TanjilG_24047 transcript:OIW02596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSGSIDTSANSYNNFTFSTHPFMTTSFSDLLSSPIDDINNNNNKTRGGGGGLSDRIAQRTGSGVPKFKSIQPPSLPLSPPLFSPSSYFAIPPGLSPAELLDSPVLLNSSHILPSPTTGAFAAKGYNDRKYNSGGNQQIIKEEEKNFSNFYFQTQAGPPPASTATFQSSNATVQTQQPWSYQQATKQDSFSSGMSMMKTENSSSMQSFSPDIAGVRTNKNNSYQQQPQVQTLSRRSDDGYNWRKYGQKQVKGSENPRSYYKCTYPNCPTKKKVERSLDGQITEIVYKGSHNHPKPQNTRRNSSSSSSVSIIPSNLITSDIQDQSYTTHGSGQMDSVATPENSSISIGDEDYEQSSQKGRSGGDEYDEDEPDSKRWKVEGDSEGISGPGNRTVREPRVVVQTTSDIDILDDGYRWRKYGQKVVKGNPNPRSYYKCTHPGCPVRKHVERASHDLRAVITTYEGKHNHDVPAARGSGNHSVNRPMPNNNTSNPTNIAATTALRPTALNHPTNNNSLQSLRPEGQSPFTLDMLQSPGSFGFSGFGNPMGSYINKQQLSDNVFSSRAKEEPRDDMFLDSLLC >OIW01567 pep chromosome:LupAngTanjil_v1.0:LG11:24945662:24945880:-1 gene:TanjilG_09391 transcript:OIW01567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENKVGYENDLNLKATELRLGLPGTEEKEEKTIQGGVKNNKRQLPETSEESVSISKHSTGQHAESHADPPAK >OIW02137 pep chromosome:LupAngTanjil_v1.0:LG11:8215071:8215697:-1 gene:TanjilG_06732 transcript:OIW02137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLFLPFIFGSPESSPPSGNNEDPYQENDDNEDSHRQRIILVNPFTQGMVVVDGASSLENLFRELGSSKSGHPPASKESIEAIPSVEIVGSDDLDECVVCLEEFEVGGVAKVMPCKHKFHPNCIEKWLGIHGNCPVCRYQMPVEEKDGGMKRDEEEGRGERRRVGGGEVWVSFSINRGRRRSHDSNQAPSGDSSDNSYSPSDAAEVEN >OIW01249 pep chromosome:LupAngTanjil_v1.0:LG11:34256439:34260252:-1 gene:TanjilG_10410 transcript:OIW01249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKLATAAIVSSWVVPITIMVNRIVPEPYMDEIFHIPQAQQYCKGNFGSWDPMITTPPGLYYLSLAHVASLFPGFYGVQAASSFSDMCSPTFLRSINGVLAVICSIIIYDIITHLKPTLDDRQATLHAVVLSMYPLHWFFTFLYYTDVASVTAVLAMYLASLKHNYWFSALVGAFAVVIRQTNIIWVLFVACTAIIDISLMHRKDNARTTESDLSSKHGLTYNTSTSSGGLNLRKRKFIKSVDAVEHSFPSSPVSSPSFSSGLVDEIWEILLTLWHMKWELLISFSPFLVVLVAFLLFVWWNGSVVLGAKEAHAVAPHFAQILYFSLVSVLALAPMHFTITQARELFQVFCKSWPLLIFQVSVALIAGVLSVHLFSIAHPYLLADNRHYPFYLWRKVIMAHWSIKYLLVPVYVCSWFSIIHMLGAVLVPTPLIEFRYYTLPFYFLVLHCDNRDGKSWFLTGMLYIGVNIFTMMMFLFKPFHWDHESGIQRFIW >OIW02111 pep chromosome:LupAngTanjil_v1.0:LG11:8481581:8512819:-1 gene:TanjilG_26651 transcript:OIW02111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSAITVTTTAQMALLKALHRHFTAPPHTILLRRNLNHALTSYNRCHRVSASFASAPPSRSLCFFGSLFRPTPFHLRCVSSSAASFASSAGGGNDGAGAGVGGGGSGGEFGDGNLKLVVDAVAQDLSVLSTDVIILDVSGMACGGCAANVKRILESQPQVSSASVNLTTETAIVWPVSEAKTEPNWQKQLGEALAEHLTGCGFNSSLRDSVGDNFLQIFQRKIEEKRKQLRESGRELAVSWALCAVCLVGHLSHFCAAKAPWVHAFHSTGFHLSLSLFTLLGPGRQLILDGLKSLLKRAPNMNTLVALGALSSFTVSSFAAFLPKLGWKAFFEEPIMLIAFVLLGRNLEQRAKIKATSDMTGLLSMLPSKARLLVNNGETEVDSIVEVPSGTLSVGDQIIVLPGDCIPADGVVRAGRSTVDESSFTGEPLPVTKLPGSEVAAGSINLNGTLTIEVQRPGGETAIGDIVRLVEEAQSRETPVQRLADKVAGHFTYGVMAVSFTTFTFWSLFGTNILHASVYQGSAISLALQLACSVLVIACPCALGLATPTAVLVGTSLGATKGLLLRGGNILEKFAMVNAVVFDKTGTLTVGRPVVTKIVTPTCRQNANSSQTEENTWSDVEVLRLAAAVESNSVHPVGKAIVDAAKEFNCHNTKVADGTFLEEPGSGAVATIDNKKVSVGTWEWITRHGINNSIEQEVEECKNQSVVYIGVDDTLAGLIYFEDEIREDARHVVDTLSKQNIDVYMLSGDKRNAAEYVASLVGIPKEKVLSGVKPDEKKKFINELQKGPNIVAMVGDGINDAAALAASHIGIALGGGVGAASEVSSIVLMRNQLSQEQLDMILEGFPEERNNIWFMWLLLHTLMVIEADLKISEAAVAVILLLDALELSRLTMNTVKQNLWWAFIYNIVGIPIAAGVLFPVNGTMLTPSIAGALMGLSSIGVMSNSLLLRYKFSSNQKQTHGTVPKIKIHADSDLPRQNQKIKYSS >OIW02791 pep chromosome:LupAngTanjil_v1.0:LG11:1058704:1064513:1 gene:TanjilG_29567 transcript:OIW02791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIAAPGQLNVTESPSWGSRSVDCFEKLEQIGEGTYGQVYMAKEIKTGEIVALKKIRMDNEREGFPITAIREIKILKKLHHENVIKLKEIVTSPDGNKYKGGIYMVFEYMDHDLTGLADRPGMRFTVPQIKCYMKQLLTGLHYCHVNQVLHRDIKGSNLLIDNEGNLKLADFGLARSFSNDHNANLTNRVITLWYRPPELLLGTTKYGPAVDMWSVGCIFAELLHGKPIFPGKDEPEQLNKIFELCGAPDEVIWPGVSKIPWYNQFKPTRPMKRRLREVFRQIFFLRVEYSFDRHALELLERMLALDPAQRITAKDALDAEYFWTDPLPCDPKSLPKYESSHEFQTKKKRQQQRQNEEMAKRQKMQHPQQHTRLPPIQQSGQHAQMRSGPNQQVHGSQQVHAGGPGHHYGKPRGPSGGPGKYPPGGNPGGGYNHPNRGGQGGAGGYGSGPYPPPGRGAPYGSSGMPGGSGGFGVGAPNYTQQGPYGGSAAGRGSNMMGGNRNQQYGWQQ >OIW01614 pep chromosome:LupAngTanjil_v1.0:LG11:23014765:23015175:-1 gene:TanjilG_31204 transcript:OIW01614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQSMNLTERTDLSVSRPSFLVDGPRPVDVPDLGIDAPSLTGTDMPSAPRLAEDDAPRLTEDDAPCLVDADAPRLTEDDAPCLVDDAPHLVDDAPRLVDADVPSLTETHAPHPSDVDAPSLTKTSAPHMPDANVNL >OIW02427 pep chromosome:LupAngTanjil_v1.0:LG11:3923960:3926060:1 gene:TanjilG_05020 transcript:OIW02427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMDMNVKSFGTRMSPMLLFLSFTILCVVLPQTHAIWIHIPNSEPKCISEEIHSNVVVLGDYFIFNDHSKGEHASVSATVTSPYGNTLHHHENATHGQFAFTTAETGTYVACFRLGGNIPANGSNLILDWKIGISTKDWDSVAKKEKIEGVELEIRKLEDAVEVIHAFLEYLKEKEDNMMEASEKTYAKVAHYTFVSLGVCIFVGALQVWHLKRFFQKKKLI >OIW01155 pep chromosome:LupAngTanjil_v1.0:LG11:35316293:35319746:-1 gene:TanjilG_17712 transcript:OIW01155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLNPFDLLGDDAEDPSQQIEAEQLKAAAAAAAAPKKGQEQGKGASRGGQPPQGKAAQLPSKPTPPAQAVREARSEPFRGGRGRGYGRGRGGFNRDFSHGENTFSATEAPANQGAFEGDAEKLSERRGYGAPRVPYRGGGARRGGFSTGEGDEEGRPRRVFERRSGTGRGNGFKREGAGRGNWGTQDDEIAKVTDEVPNETEKNLGDEKPAAEEDAADAKKESPANETEEKEPEDKEMTLEEYEKVLEEKRKALQALKTEQRKVDTKEFESMQPLSSKKNNHEIFAKLGSDKDKRKDGIEKEEKSKKSVSINEFLKPAAGESFYNPGGRGRGRGRGARSSGGFRGNSTSNAPAPAIEDPGHFPTLGGK >OIW01128 pep chromosome:LupAngTanjil_v1.0:LG11:35857624:35865707:1 gene:TanjilG_25236 transcript:OIW01128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATIPSLLHSTLSIHFPFSHSPFSSPSLNNHATVLFPVKASGAAVLVEKSEAENVNRLKTTYTQKIIPLLMEEFSYTNKHQVPKIEKIVVNCGIGEAAQNAKGLEAAMNDLAKITGQRPIKTRARASVATFKIREGQPLGIAATLRGSTMYSFLDRVVNLGLPRTRDFQGVNPNSFDGHGNYSIGVKDQSVFPEIRYDAVGKPRGMDICIVTTANTDQESQRLLALMGMPFREGGGSAITVRKKKLKSHHFDAKSKGKGVRKSCAAMPHRNNPTSLPLVITLNCIEDCSLEFDSLTGVAAVEHVPLSRLSDGKIESASAILLHSLAYLPRAAQRRLRPYHLVLCLGSADRGVDSALAADLGLRLVHVDSSRAEEIADTVMALFLGLLRRTHLLSRHSLSASGWLGSVQPLCRGMRRCRGLVLGIVGRSASARSLANRSLAFKMTVLYFDVYQEKGKVNFPPAARRMDTLNDLLAASDLISLHCALTNETMQILSAECLQHVKPGAFLVNTGSSQLLDDCAVKQLLIDGTLAGCALDGAEGPQWMEAWVKEMPNVLILPRSADYSEEVWMEIREKAISILQTFFIDGIIPKDAMSDMEEESEVDDENEQCDQEYRENALQIIVSEQTDDVHISPESSHKKGGNLVKVSSSQNHVSSLTQSTSTRSDRRGSRSGKKAKKRHTHQKSQQKLDPSAPEKEGTSQRDDTAMSGTDQALSSSSEDSRSRKTPVESIQEQTASQALKSSMRLSGSCTQLLRDGYVIALYARDFPALHVSRQRVKGGCWILDSMSNVSKRDPAAQFLIIFRSKDTIGLRSLAAGGKLLQINRKMEFVFASHSFDVWENWTLEGSLQECRLVNCRNPTAVLDIRIEILATVGGDGVTRWLE >OIW02343 pep chromosome:LupAngTanjil_v1.0:LG11:5773704:5774720:1 gene:TanjilG_11237 transcript:OIW02343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLPGSSEGRSASPTTESISTINPRRSNRLHNFTLPPSLTWGTQRHLRCSKSSIISNNFSSNGDGVRSSTSRFEDNYEGIDAMREKLVNDLKNAAEKMKNVMLRNEKEEEEKRENSRETMMMMEGEKPWNVRTKREEFKASVGGKGKGKGVKIDEKKHNVSSQMKINSNGNSATKLPNLRKKSEKLEPRMKFSLTLTKKEIEEDFLAMTGHKPPKKPLKRPKAVQKQMDDLYPGSWLKEVNADSYKVHEAPESGKARKGKGKMHHLFESDDEKP >OIW02268 pep chromosome:LupAngTanjil_v1.0:LG11:6450943:6454752:-1 gene:TanjilG_15151 transcript:OIW02268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERLVGSSAATTSYQGYVSWEEVFVSSEKGRRVVHYFLKRQSGDSDLAVIGKEKSLRHMSYRYALRNPSLGPYLKLRSRREVIDWLDSIISADVSHAADAIMVGEHGCGPEIQTWKDNQTQKVRLFAKEFTWFGSPWTCRKRRNHYPSFKRNGFQISVNDFVYVLAEEDKRLVAYLEDLYEDSRGNKMVVVRWFHKIDEVGIVLPHSFSDREVFFSHYLQDLSIECIDGLASVLSPQHYVKFHNETRDTHLQPFMCEHQFDDDDVKPFDITQIKGYWKQEVLGYMYTLSDSKSDGSPGQSKFSGSPGQSMSNGSSGQSDDSSELEKNFQCSTGVRPNKRQRCIKVDVKETIDLAVSKLENPSNSKFNMKTSTGNNSLKPVGPTPLATIKETNDKASLYLVVGCQVEVLSQDSGIRGCWFRASVIKKHKDKLKVQYQDVQDAVDEAKNLEEWVPASRVAVPDDLGLRMHGRTKIRPAPEANKCGTSWVGGVGSVVDVWWHDGWWEGIVVKKESEANFHVYFPGEKVVSTFGPDKLRHSHDWSGNGWVNMNERPDLVTSILSSLKTKQESSKSYDSKSTIASGDGIQSKQSDTCSDSKRDKPRKSEVVPELLKNDLFPQLSWKSYKKRSRGGSPCQKSPKIVVSDSADSFVIPASLKVDHEDYNHGGDPSIFSSSVVPSLTNLVMCR >OIW01582 pep chromosome:LupAngTanjil_v1.0:LG11:24124351:24128732:-1 gene:TanjilG_23893 transcript:OIW01582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNILRIGSTKRVDGVREKDKLLDAADMKAALLDKKANGSGQLYDDDKNGKKMSKPDSIVVLNHPGVGRVPKASEGEQVAAGWPTWLSSVAGEAIYGWIPRSANSFERFHKIGQGTYSNVYKARDEIHRKIVALKRVRFDNLDPESVKFMAREILVLRRLDHPNVIKLDGLITSQTSRSLYLVFEYMEHDLSGLASGPGIKFSETQVKCYMQQLLSGLDHCHRRGVLHRDIKGSNLLIDNNGILKIADFGLATFYNPHHSVQMTSRVVTLWYRPPELLLGASQYGVAVDLWSTGCILGELYSGRPILRGKTEVEQLHKIFKLCGSPSEDYWLKLRLRHSTAFRPPHHYRRRVSETFKEYPSAAVKLIETLLSVDPAHRGTAATALKNEFFSSEPYPCDPSSLPKYPPSKEIDSKLQDEAKKRQGDVGGKEQKVGSGVRQEKEPRALASMKDNAESRISMQKGHHKSRSGLLNLHRESVSGFLVFPHKQSEDVKEIQNYFAGTLIKRPSHSGSLVPGSVASRTSFSGGQKEKPVPLRSKTAIIVQNHFDSTNRSESARRHDKKRLSQRIDLSQIENGTEKLIQDGHGSRGKNIYVSGPLLVPSNNVDQMLKDRDRKIQEYSRRARIDKSKGEKVHAQR >OIW02653 pep chromosome:LupAngTanjil_v1.0:LG11:2033145:2036475:1 gene:TanjilG_29429 transcript:OIW02653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMLSDLINLDFYDTTEKIIAEYIWVGGSGVDMRSKARTLSGPVNEPSKLPKWSYDGSSTGQASGKDSEVILYPQAIFKDPFRKGNNILVMCDAYTPDGEPIPTNKRHAAAKIFSHPNVAAEEPWFGIEQEYTLLQKDIHWPIGWPLGGFPGPQGPYYCGAGAEKTFGRDIVDSHYKACLYAGINISGINAEVMPGQWEFQVGPSVGISASDELWIARYILERVTEIAGVVLSLDPKPIPGDWNGAGAHTNYSTKSMRSDGGYEVIKRSIEKLGKRHNEHIAAYGEGNERRLSGRHETADISTFLWGVGNRGASVRVGRDTEKEGKGYFEDRRPASNMDPYVVTSMIAETTLLWKP >OIW02350 pep chromosome:LupAngTanjil_v1.0:LG11:5867774:5870617:-1 gene:TanjilG_11244 transcript:OIW02350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEYCVTGGTGFIAAYLVKDLLEKGHTVRTTVRNPEDVDKVGFLRELNGAKERLKIFKADLLLEGSFNEAVTGVDGVFHTASPVIVPYDENVQATLIDPCIKGTLNVLNACVKANVKRVVLTSSCSTIRYRDDVQQVSPLNESHWSDPEYCKRYNLWYAYAKTVAEREAWKIAKESRMDLVVVNPSFVVGPLLAPQPTSTLLLILSIVKGLKGEYPNTTVGFVHIDDVIVAHLLAMEEPKASGRLICSSSVAHWSHIIEMLRAQYPSYPYENKSSIQEGDNNPHSMDTTKITQLGFPQFKTLQQMFDDCIKSFQDKGFL >OIW02449 pep chromosome:LupAngTanjil_v1.0:LG11:4131940:4135734:1 gene:TanjilG_05042 transcript:OIW02449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLGESSLQWKYHVFLSFRGGDTRLSFTNHLYAALVRKGIITFRDDKQLHKGDAISQHLHQSIQQSLAAIVVISENYASSTWCLDELKLILESRIDVFPVFYGVTPSDVRYQKNSFAEAFNKHVVRFEQDEEKVQKWRDCLKEVADFSGWESKDMAEAELIEDVIEKVWIKLQPKLPSYNEGVVGFDSRVKKMISLLSIGSQDIRFIGIWGMAGTGKTILARVIYETISSQFEIKCFLLNVREVSQTSDGLVSLQRKLLSTLKISNLEIDDLYDGKKKIMNLLCNKSVLLVLDDISHLSQLENLAKTKGWFGPCSRVIITTKDMHLLVSHGACEKYEMRILNESSSFQLFSQKAFRRDKPPEGYLEITKSMVKYAGGLPLALKVLGSFVCGRSLSQWKDALDKIKQVLPKDILNTLIIGYDGLEDAEKTLFLDIAFFFTGRSKIEVIQVLADCGLNPTIGISLLIERSLVSCCGGILEMHDLLQEMGRNIVYQESPDDASRRSRLCSLEDINRVFRKNKGTNIIQGIVLKSSDPCEAYWHPEAFSKMDNLRVLIILCDLHLPLGLKCLSSSLKLLEWKGYPLEYLPFGLQLLELVHLKMHCSKLKQLWNGTQIFRELKSIDLSDSRDLIQTPDISEVPCLESLVLKGCKNLVEVHQSVAKHKNVAILDLEGCISLKTLPRKLEMNALEKFILSGCSQIKNLPEFGESMECLSMLNLRDCTSLVSLPQSVRNMKSFRDLNIHGCSKLFKLTNNSNENNVVEEIDETETGRREVHSSAIDLKSLNMLLNKGYDWLITNSWSFSLLTEKVFDFVKYPVSMDSKLPSLSSFPRLKKLDMGNCNLSDGPIIDHIGHLTSLEVLYLAGNNFVDLTASIGNLSRLQRLGLYKCRRLRTLPELPPSVCQLLMNDCTQLEPMLFDTQIILKIFEANRWSLTRELWFLIPGSEIPAWFEHQDYFSLKPSLAPFDYHEEYAFIVSTIVNIPDYCLSSDWIGIIVCFLLESGLKADLHRHIRRSPVTIGWSFKDPDAETVYPLRFTKRRWTHFKGNHLLITTFGSDHRIYKHYLTCGKSKVQLIFCGENICKCGKLKLKNCGIRVICKEDGVSRRGEETSEVEVPSTSVESDVHKQSRITEITDEYE >OIW01955 pep chromosome:LupAngTanjil_v1.0:LG11:11983430:11983786:1 gene:TanjilG_13819 transcript:OIW01955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PGAVVILQCKDKITNKIVYKKLVRTDSSGSYTILVDAFHENQICDAKLVTRPMHHCNEPTLGRDQSPVILNRHNGIITYDRFVNNIGFMTKEVASDCAKILRQYQEFDNDKNELSHYN >OIW01671 pep chromosome:LupAngTanjil_v1.0:LG11:21111864:21114678:-1 gene:TanjilG_04639 transcript:OIW01671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKGEGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVGFTDTERLIGDAAKNQVAMNPINTVFDAKRLIGRRLNDASVQSDMKLWPFKVVAGPSDKPLIQVNYKGEDKQFAAEEISSMVLIKMREISEAYLGTTIKNAVVTVPAYFNDSQRQATKDAGVIAGLNVMRIINEPTAAAIAYGLDKKATSVGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKNKKDISGNPRALRRLRTACERAKRTLSSTAQTTIEIDSLFEGIDFYSPITRARFEELNMDLFRKCMEPVEKCLRDAKMDKRSVDDVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILTGEGNEKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERTRTKDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEDIEKMVQEAEKYKSEDEEHKKKVEAKNALENYAYNMRNTVNDEKIAGKLDPADKKKIEDAVDGAIQWLDANQLGEVDEFEDKMKELEGICNPIIAKMYQGGAGPDFGGVGENAPAGGSGAGPKIEEVD >OIW02203 pep chromosome:LupAngTanjil_v1.0:LG11:7185181:7186507:-1 gene:TanjilG_21856 transcript:OIW02203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRGTRLPGFCLNRIRPHVRMRSPPIQAKLDTSASKTDQKIENPCSACEDKSGEEVKNGFVVGRKIMIVVDSSLEAKSAVQWALTHTVQNQDVIILLHVIKSSKQANEEVSNKEIDPKANELASSFKNMCHVKRPEVQTEIAVVEAEGKEKGPKIVQEAKKQGVTLLILGQKKRSTTWRLLMMWAGQRVTEGVVEYCIQNAQCMAIAVRRKSKIGGYMITTKRHKDFWLLA >OIW02854 pep chromosome:LupAngTanjil_v1.0:LG11:619161:621880:-1 gene:TanjilG_29630 transcript:OIW02854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTLLALLSQNLIIPVISTTIEDQNNYYFPNPSHARSSNNTALSNSLCENSSHNSPPSHHGSRGSYGSTPPSHLTPSNGHGGCGSYNPTPSPTSGGNCGSPPQDSTPPSTALPPSGGGSYNPTPSTPPSDESYNPTPSIPPGGGSYDPTPIPPSGSGSGSYYPTPPTPPGGGSYDPTPSPPSGSGGSYNPTPLTPPGGGSYDPTPSPPLDSGSGGSYNPTPSTPPGGGSYNPTPSPPLGSGNGGSYDPTPSPPSSSGSGDSYNPTPSTTPGGGSYNPTPSPPSGSGNGGSYDPTPSPPSSSGSGDSYNPTPSTTCSYNPTPSTPPGGGSYDPTPSPPLDSGSGGSYNPTPSTPPSGGSYDLTPSPPLDSGSGGSYNPTPSTPPGGGSYNPTPSPPLGSGNGGSYDPTPSPPSSSGSGDSYNPTPSTTPGGGSYNPTPSPPSGSGNGGSYDPTPSPPSSRGSGGSYNPTPSTPLGGGSYNPTPSPPSGSGNGGSYDPTPSPSSGSGSGGSYNPTPSTSPGDGSYDPTPSPPSGNGSYDPTPSPPSGSGSDGSYNPTPSIPPSEGSYNPTPSPPSGSGSGGSYDPTLSPPSCSGSGGSYNPTPSPPSGSGDGSYNPTPSPPSGSNCGSPTQDPASPSTPTNPPSGGGGNYNSPPTYGGDSPPTPIIVSPPSTPINPGTPSIPPFLPSPSPFTGTCNYWRNHQGIIWKLLGWWGTLGNAFSVPSMPGFGSSLTLPQALSNTRTDGLGALYREGTASFLNSLVNNKFPYTTQQVRDRFTASLTSNKVAAAQARLFRMANEGKMKLHQ >OIW01923 pep chromosome:LupAngTanjil_v1.0:LG11:14192176:14194919:1 gene:TanjilG_15248 transcript:OIW01923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWNPKSYLAMRTDFEDESTQFISSHFMNLGLASNNLTQHGLSGFGISLFGLIASVAAIYLLILDRTNWKTNILTSLLIPYIFFSLPSWIFSVFRGEVGKWIAVIAVVLRLFLPRHFPDWLELPAALVLLIVVAPNLFASTFRSNAVGVAVCLVIACYLLQEHIRASGGFRNSFTKAHGISNTIGIILLLVYPIWALVVYIV >OIW02665 pep chromosome:LupAngTanjil_v1.0:LG11:1953494:1954050:1 gene:TanjilG_29441 transcript:OIW02665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLSYTTFIVLSLLLLVTFSNVAEVYGSANLRPSDCKPKCSYRCSATSHKKPCMFFCQKCCATCLCVPPGTYGNKQTCPCYNSWKTKEGAPKCP >OIW02469 pep chromosome:LupAngTanjil_v1.0:LG11:4331539:4334135:-1 gene:TanjilG_05062 transcript:OIW02469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYSISEAEALLSLKKSFSNAEALDTWVASTSPCSEDDQWEGLVCNNGQVTGIRLGDMGLQGEIDVDALLELKALRTISLVKNSFNGSIPKLSKIGFLKAIYLSGNKISGEIPEDYFQEMRSLKKLWLDGNEFTGQIPSSLSKMPHLMELHLENNQFNGTIPDLDNPALVDFNVSNNKLEGGIPASLLKFNESSFGGNSGVCGEKFETKCDEKGKKEAPSPVPAEKNENTIITDNGNASQTDKGKISTAAIAGIVAACIVVILLVAYLFVRSRKKKGDEDFDGIIGKETNEDAVEVQVTAPVKREAPAESMRKSTSSRKSGSGHHHVKGVGELVMINEEKGIFGLPDLMKAAAEVLGNGAFGSSYKAVMANGVAVVVKRTREMNALEKDSFDAEMKKFASLKHWNILTPLAYHYRKDEKLVISEYVPRGSLLFLLHGDRGPSHAELGWPARLKIVKGIAEGMHYLHMELASFDLPHGNLKSSNILLGPDYEPLIVDYGFSHLINPANATQTLFAYKAPEAAQGQISHKCDVYCLGVVILEILTGKFPSQYLSNGKGGTDVVQWVASAISEGRELELLDPQIATSRNNSQGEIEQLIHIGVACTESNPRQRLDMAEANRRIEEIRNEGGKGSRTIEVIPSLRDGYADSHNVLGAQEHHSGQSRRSNGSNSSGRKDNFEFGIS >OIW01711 pep chromosome:LupAngTanjil_v1.0:LG11:19445560:19452907:1 gene:TanjilG_05164 transcript:OIW01711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKFIRPASLSRLTGICDSSLKSKWIDVRCFSSADFSHEVLGMPALSPTMTQGNIAKWRKKEGDKIEVGDVLCEIETDKATLEFESLEEGFLAKILVPEGSKDVPVGQPIAITVEDENDIQNVPASVGGETGVEEKKPTGQDTTNEETKLESTSTKINASELPPHILLEMPALSPTMDQGNIVKWRKKEGDKIEVGDILCEIETDKATLEFETLEEGYLAKILVPEGSKEVAVGQAIAITVEDANDIDAVKNSVSSSSTTQQKVTQSETKSEVKAHKSNTIRISPAAKLLIAEYGLDASTLNASGPLGTLLKGDVLSAIKSGKLSPKPASSKEKTSHQQVTASQESKSDLKQSNVYEDFPNSQIRKVIAKRLLESKQNTPHLYLSSDVILDPLLSLRKDLKEQYDIKVSVNDIIIKVVASALRNVPEANAYWNDEKGEVILSDSVDISIAVATEKGLMTPIIRNADQKTISAISSEVKELAAKARAGKLAPHEFQGGTFSISNLGMFPVDKFCAIINPPQACILAVGRGNKVVEPVIGSDGIEKPSVVNKMNLTLSADHRVFDGKVGGHEASNLAVAPRLIL >OIW02370 pep chromosome:LupAngTanjil_v1.0:LG11:4786184:4787775:-1 gene:TanjilG_08517 transcript:OIW02370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAFASSAIAAIAISTPSSQKNGSPLGTTKAGFLSGRKVKVNHFAAPVGGRSSTTVCAVADPDRPLWFPGSTPPAWLDGSLPGDFGFDPLGLSSDPESLRWNVQAELVHGRWAMLGAAGIFIPEFLTKIGILNTPSWYTAGEQEYFTDTTTLFIVELIFIGWAEGRRWADIIKPGSVNTDPIFPNNKLTGTDVGYPGGLWFDPLGWGSGSPQKLKELRTKEIKNGRLAMLAVIGAWFQHIYTGTGPIDNLFAHLADPGHATIFSAFSPK >OIW01097 pep chromosome:LupAngTanjil_v1.0:LG11:35633220:35637035:1 gene:TanjilG_25205 transcript:OIW01097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSSLSLSQSLFFIKPTSPKPSSFSLSIPTNHGFSSKSSLSSSSSLRRRTITASTAAPTTEGTTTLVDKSVNSIRFLAIDAVEKANSGHPGLPMGCAPMGHILYDEVMKYNPKNPKWFNRDRFVLSAGHGCMLQYALLHLAGYDSVKEEDLKEFRQWSSKTPGHPENFETPGVEVTTGPLGQGIANAVGLALAEKHLAARFNKPDNEIIDHYTYAILGDGCQMEGIANEACSLAGHWGLGKLIAFYDDNHISIDGDTEIAFTESVDSRFEGLGWHVIWVKNGNNGYDDIRAAIKEAKAVKDKPTLIKVTTTIGYGSPNKSNSYSVHGSALGAKEVDATRKNLGWPHEPFQVPEEVKKHWSRHIPEGAALETEWNAKFAEYEKKYEDDAAVLKSIISGELPAGWEKELPTYTPESPADATRNLSQHNLNALAKVLPGLLGGSADLASSNMTLLKAFGNFQKDTPEERNVRFGVREHGMGAICNGIALHSPGLIPYCATFFVFTDYMRGAIRLSALSEAGVIYVMTHDSIGLGEDGPTHQPIEHLASFRAMPNILMLRPADGNETAGAYKVAVLNRKRPSILALSRQKLPNLPGTSIEGVEKGGYTISDNSSGNKPDVILIGTGSELEIAAQAADDLRKEGKAVRVVSFVSWELFDEQSDAYKESVLPASVSARVSIEAGSTFGWAKIVGSKGKAIGIDGFGASAPAGRIYKEFGITKEAVIAAAKELL >OIW01407 pep chromosome:LupAngTanjil_v1.0:LG11:31110858:31112865:-1 gene:TanjilG_25703 transcript:OIW01407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKDMKNHVAHCLVIAYPAQGHINPLLQFSKRLVHRGIKITLVSTVSIWNIIGNNINLNSIDIDSISDGYDNGGLAEAESIDIYKETFWKVGPQTLYKLLQNLASTNNPVDCVIYDSFLPWALDVAKKLGILGAAFFTQTCSVQNIYFHAYQKLLELPLSQREYVLPGLPKLGASELPSFLYKYGTFPGYFDIVVNQFSNIDKVDWVLANTFYELEKEVIDWLVKIWPVKTIGPTVPSMFLDKRLQDDKDYGISIYNQNTEACNKWLNDKPKGSVVYVSFGSMAGLSEEQTEEVAWGLRDSDCYFIWVVRTIDQEKLPKEFVGTLEKGLILTWCPQLEVLTHEAVACFVTHCGWNSTLEALSLGVPMIAMPQWTDQTTNAKYIVDVWEVGVKAVADEKEIVRRETIKDCIKEMLEAEKGNEMKKNAMKWKNLVKNSVDEGGSSDKNIAEFVAKLAQR >OIW02812 pep chromosome:LupAngTanjil_v1.0:LG11:925744:930240:-1 gene:TanjilG_29588 transcript:OIW02812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAPSPQERAQRLYEKNLELENKRRRSAQAQVPSDPNAWSQMRENYEAIILEDHAFSEKHNIEYALWQLHYKRIEELRAFLNAALTSVSSKSSQGGKGPVRPDRITKIRLQFKTFLSEATGFYHDLIMKIRSKYGLPLGYFEDSENQIVKEKDGKKSADMKKGLISCHRCLIYLGDLARYKGLYGKGDSIKREFAAASSYYLQAASLWPSSGNPHHQLALLASYSGDELGTIYRYFRSLAVDSPFTTARDNLIVAFEKNRQSYSQLCGDVKALAARESSGQLTSKGRGKEEAKLATRGTGVEACPRKDGASNIQETLKSFWQDEELNFGMDTLENGLAIVRIVSIVIFTVHSVNKESESQTYAEIVQRAVLLQNAFTASFELMGFIVERCIQLQDPSSSYLLPGILVFVEWLACHPDFAAGNDVDENQATVRSKFWVHCISFLNKLLSVGPTSIESDADETCFNNMSRYEEGETENRLALWEDIELRGFVPLLPAQIILDFSRKHSLGSGGEKERKARVKRVLAAGKALANVVRINQKMIYFDSKGKKFTIGLEPQVSDDFVLVSYSDIPDAEKLKENTADRSKVGIVRPDQHQHIEGEEDDEVIVFKPIVAEKRVDTVVVPSWAPYEGLESVPTASGGDMKFHTNFANNSINNVNHQTSLPPVSGMWPQHLQSDQPHSLRWLEETTLANSLKSLRFSENGQVMEPDLPLQEAVAISNYTARPIPTQQPVSAGTGVLDGLSKAEDFVISSKVDAIIPSGVIADNSVVKTSSALQVGLKKSPVSRPSRHLGPPPGFGRVSPKLDTEPTVSDLIIANPIMDGYSWLDGYQLPSTNGLGPNGSLAYPNGSLAYPNGSLAHPSGSLAHPSGSLAHPSGSLAHPSGSLAHPSGSLAHPNGSLAYPNGSLAYPLMNLQQVSNNGLSGTASFPFPGKNVPSALQMEKQNGWQDYLSSELLKTHHDQQLDPQHQLTAGNQQFTTLPEQYQGQPIWTVSNNGLSGTASFPFPGKNVPSALQMEKQNGWQDYLSSELLKTHHDQQLDPQHQLTAGNQQFTTLPEQYQGQPIWTGRYFV >OIW01569 pep chromosome:LupAngTanjil_v1.0:LG11:25175228:25175497:-1 gene:TanjilG_09393 transcript:OIW01569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVAIILVGNKSDLKDVREVSIAEGKALAKEEGLIFTETSALDSSNVAAAFQKVIKEIYNILSRKVMISQEFNKQDVPLVGSGKTRIVN >OIW01977 pep chromosome:LupAngTanjil_v1.0:LG11:11668679:11669245:-1 gene:TanjilG_14066 transcript:OIW01977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELKDNEEPTFTSKRKPEDTEDVSKKIPKPAIDQTLQPSLASNNYSNSEKTESDVALNDDDDVVDEDADDEDEDGDEDEDEDDNDDDDDEEESNEVDRKGKKVIRDIKGKGKLIEEEEDDSDDNDDDSGGGLSGDDSDFSDDPLAEVDLNNILPSRTRRRTAHPGVHIAGDRGNADVDDDDSDDSDA >OIW02357 pep chromosome:LupAngTanjil_v1.0:LG11:4920809:4923985:-1 gene:TanjilG_08504 transcript:OIW02357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLGRSFEDMCAQMYYRGKMFGFVHLYNGQEAVSTGFIRLLKKEDYIVSTYRDHVHALSKGVPARSVMSELYGKATGICRGQGGSMHMFSKEHNLIGGFAFIGEGIPVATGTAFTCKYRREVLKEPGADNVTVAFFGDGTCNNGQFFECLNMAALWKLPIIFVVENNLWAIGMSHLRSTSDPQIWKKGPAFGMPGVHVDGMDVLKVRDVAKEAIERARRGEGPTLVECETYRFRGHSLADPDELRDPAEKAKYATRDPIAALTKYILENKLASEQELKSIDKKIEELLDEAVDFADASPHPERSQLLENVFADPKGFGIGPDGKYRCEDPKFTQGTAHV >OIW01648 pep chromosome:LupAngTanjil_v1.0:LG11:21383681:21384052:-1 gene:TanjilG_18219 transcript:OIW01648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHDHGASGTSSMHGHGASSMHGLGALGASNMHSHGASGASSIHGQTGRLAHQRRTRRHGQGKSDTPQGHDQAHGLVHEHSMLAHQMVHQLAFIPRSTQTPWNTRSIISRPSLTTLQYIFSTCV >OIW02196 pep chromosome:LupAngTanjil_v1.0:LG11:7289972:7292927:-1 gene:TanjilG_21849 transcript:OIW02196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEASHKPVPLLKDELDIVIPTIRNLDFLEMWRPFFQPYHLIIVQDGDPTKVIKVPEGFDYELYNRNDINRILGPKASCISFKDSACRCFGYMVSKKKYIYTIDDDCFVAKDPSGKDINALEQHIKNLLTPSTPHFFNTLYDPYREGADFVRGYPFSLREGVPTAVSHGLWLNIPDYDAPTQLVKPRERNTRYVDAVLTIPKGTLFPMCGMNLAFDRELIGPAMYFGLMGDGQPIGRYDDMWAGWCIKVIVDHLGLGVKTGLPYIWHSKASNPFVNLKKEYKGIFWQEDIIPFFQSATLSKDSTSVQQCYIELSKQVKEKLGPIDPYFTKLGDAMVTWIEAWDELNTPSEAKSTKPANGVAAK >OIW01594 pep chromosome:LupAngTanjil_v1.0:LG11:23616450:23618777:1 gene:TanjilG_08775 transcript:OIW01594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVIGRRNGLNEEEEEDNALFEENGIIDLVDSDTPPHLRDLSAAAQLGDVVALRLALDNLTGSIDEPVEDGDTALHLTCLYGHLECVQLLLERGANLEAKDDDGAIPLHDACAGGFTEIVQVLLNRANDVEHIKRMLETVDSEGDTPLHHAARGEHVDVIRLLLSNGASLTKTNLYGKTPAELPDHGTDARRLLESSDTDMAC >OIW01214 pep chromosome:LupAngTanjil_v1.0:LG11:33631613:33632077:-1 gene:TanjilG_10375 transcript:OIW01214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTTATANSVPAASSPYNSTVATRLGRRRIPIHTTFYWGHKMDILFHCWPGDSAAMYAVALIVVFAMAVVVEWLSYSNIVKVKNDVVKGLLRTGLYGVRTAFSYMVMLAVMSFNGGVFVVAIGGHVIGFMIFGIILKKNNKNDGLELDSSKLEH >OIW02364 pep chromosome:LupAngTanjil_v1.0:LG11:4858854:4861127:-1 gene:TanjilG_08511 transcript:OIW02364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFVKLFLLGLRVLLHFCFQLEKEALVKGSPIGQALDIDIPPPRPKRKPSNPYPRKTNVGAPTYQGGAKDRKLISVESSHVKQTLDFEKVPLPEKYNDGERQTHVKDNKDENCSKVFPVLQEAPCSSVSSGNKSSISMSAPLRNSCTLREIISSMKEAISQDEINESLVTIEPENRKLEVDDGKQINGTRTESQLENCDASQVKLVQSEKTDGLNCTLTIDGMQGNQSYPKHVTVHVVNGNLGTSTQNPSLDMLFRDSMFQPIGKVNGQPNLFTNSAASNISENQNNTAPSSIHQPDIPYPPFAQHNRHDCQPFLHLSTTFSNLTVSTLLQNPAAHAATSFAAAFLPYENAETSANSPACFQGSFPSRQIGSSPNVEAIAAATVAAATAWWAAHGLLPVCAPLYTAFVCPTASMTAVPLMNIDEAPAPNTELEESTLQNPPSQDQMLDPEHPEAQHSASKPSTVISSDSKENGDGSLNTSLKATTDHEMNTTISENFDSDKTKSRKQVDRSSCGSNTTSSSDVETDALEKNGKGNEQPVAPEPDANHLITESSNRRSRSIVNLIDPWKEVSEEGRLAFRALFSREVLPQSFSLHDLKNKDHQMDNIKDNNQNVDDKYEDLNSKKCCSDCEGMQKNLPFLENEERLLTMGLGQGNLKTRPTGFIPYKRCSVEAKENNVGTSNNQAEEKGSKRIRLEGEASI >OIW01717 pep chromosome:LupAngTanjil_v1.0:LG11:19348331:19355194:-1 gene:TanjilG_05170 transcript:OIW01717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LQSTSGSIPSADTSSTDVEEEKSEIYSNNMTEAMGAVLTYRHELGMNYNFIRPDLIVGSCLQSPEDVDKLRKIGVKTVFCLQQDSDLEYFGVDINAIREYAKTFNDIQHLRAEIRDFDSFDLRMRLPAVLSELYRAVNSNGGVTYIHCTAGLGRAPAVALAYMFWVQGYKLNDALGLLLSKRSCFPKLDAIKSATADILTGLIKKPVTLSWGHNNCSTVEISGLDIGWGQRIPLNFDDKQGRWFLNRELPEGHYEYKYIVDGEWTCNNDELVTSPNKDGHVNNYVQVLDDPNSVRSSLWDRLTGDDPDLTKDERLRIREFLQASPNDSQQ >OIW01824 pep chromosome:LupAngTanjil_v1.0:LG11:17566319:17567393:-1 gene:TanjilG_28887 transcript:OIW01824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSGAHVLRINVAILYIVLLASTLFPLSDAINLGRAFDEGQKISRSTFIRSWKSLKSLNRLNKYSNFDSYGSPSSLSIPPFNSLAPQPTPETPSPASIYPPYPSLTPTTTPTPTSTYSPIPNPPHNNFEPPSSYGNVNPPPHNNFAPPSSYGNVNPPPQNNFAPPSSYSNVNPPPHNNFAPPSSYGNVNPPPQNNFEPPNSVVNVSPPPPYGNTSPPKHSLSPPPPPPPPSPSPPPHNKRPQSAVWCVAKPTVPDPVVQEAMDYACGCGADCKLIQPNGSCYQPNTLLAHASYAFNSYWQKTKFGGGTCDFGGTAMLVTVDPSK >OIW01861 pep chromosome:LupAngTanjil_v1.0:LG11:15871746:15875496:-1 gene:TanjilG_07156 transcript:OIW01861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATFIGERILSRNGGRIAIYVYAKINLSYLKFNPAFPLFSPKCSCLRPHSKPDPNSINIVPKSIYYCLVAACLICSRATAFLCAMVLPSQLTEIPLQKIPSKFTRKYGVGLSNPVLLKPPDGTEWKVYWTKHVGDIWFQKGWKEFAAYYSIDYGHLLLFKYEETSHFNVHIFDMSTLEINYPFHGTQDEKNNLEQIIDDSVEILDELAPCRKSPMSCPQPYKKLRTDRRDVERSSNLEKLHLSVETKGSHSQRTNFEKSPFAYAGKEPNCPPSQESIEGDGVNCLEHERIPVAESKVNVTRKGEKETQKTSLPISPQTHITGALKDAKKFTSQNPFFMVDIVQGYLGQNRPCVPVDFTRKYFNKKKQNVTLQLGNKFWPLKLLCYPYNTPSKLSSGWSLFVEESKLQVRDICIFELINREDAIFDVHIFRGGSYP >OIW01163 pep chromosome:LupAngTanjil_v1.0:LG11:35386082:35391439:1 gene:TanjilG_17720 transcript:OIW01163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGDYGTSSSSSSSVFSHFLSSALRTKRLLSPSEKAAVDGSDHGLSRRLGVFDLILLGVGASIGAGIFVVTGTVARDVGPGVTISFILAGASCVINALCYAELASRFPAVVGGAYLYAYTAFNELTAFLVFAQLMLDYHIGAASIARSLASYVVNILELFPIFKDNIPNWIGHGENIGDVLSINVLAPILLMLLTLILCRGVEESSVVNSLMTITKVVIVIIVIFAGAFEVDVSNWSPFVPNGMGSVFTGATVVFFAYVGFDAVANSAEESKKPQRDLPIGIIGSLIICIALYIGVCLVITGMVPYTFLGEDAPLAEAFESKGLKFVSVLISVGAVAGLTTTLLVGLYVQSRLYLGLGRDGLLPSIFAKVHIIRHTPIHSQVWAGIVASVLAGLFNVRMLSHILSVGTLTGYSVVSACVVALRWKDRANSQVSSSSKQEGVICLIAVAVLGFGAGLFFRYDASFIFLILTIVLALGVSFALVFRQVYAVAPGFSCPGVPLLPAICIFFNMFLFAQLHSEAWVRFVIVSVVMIGVYAVYGQYHADPNANENIYHEAPYEEA >OIW02221 pep chromosome:LupAngTanjil_v1.0:LG11:6803680:6804102:1 gene:TanjilG_23929 transcript:OIW02221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSRDLASEGEFNGSESGWTTYIGSHNYNEDEQSVDVDEYGYNYKNGHGKVDNYDDNKGTGDEESDDDSMASDASSGPSHFQLVCINSERKHTENDNEKILSAKQTNKEVTMKTRYEGMVEKEEEDSLQLIADSVCSHA >OIW02499 pep chromosome:LupAngTanjil_v1.0:LG11:4691967:4692905:-1 gene:TanjilG_05092 transcript:OIW02499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSASSNTLNESPTNEISTKIIVASVIFLFMVVLFVILLNLYSRWFWWRIEEETTAPPPRRHRRFVFAPGQDSVTSVGTQQLGLDPEILKSLPLLVFKPEEFKDGLECTVCLSEIVEGEITRLLPKCNHGFHVYCIDMWFHSHSTCPLCRNLVASESESSKDIISTSNAEENLLSNSESLEAENSSAMSDLESQSFPTNVLVWGNPNQVSTYVNCFIEEGTSEQPPNSSISTPSTSSSDDDIVNSGCHEMLMIDISSELSSSSLSPSSETRCVEDELKSPMSTKLRSFKRLLSRDKKLSTFSPASMDVEQA >OIW01371 pep chromosome:LupAngTanjil_v1.0:LG11:32178421:32179067:-1 gene:TanjilG_12911 transcript:OIW01371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMKSILLLFLLSFHSIAFAYRPGDIVPMSRMGQYHSSRTVWHDLIGRHCPIFAVNREVLLPIPMPTGYTGADAYKL >OIW01388 pep chromosome:LupAngTanjil_v1.0:LG11:31515821:31516048:-1 gene:TanjilG_10818 transcript:OIW01388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRINIHHHIHKGATYKLVIYSQIFSTFVSFYEHNYHKTRKLKSLRHGVRMPITVAIDQFSHPILATAKREWQSYH >OIW02817 pep chromosome:LupAngTanjil_v1.0:LG11:900754:902586:1 gene:TanjilG_29593 transcript:OIW02817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHVLVRSKKLPEAQSLVLRMIRKSGVTRVQIIESLASVTDYGDLNLNCVFDLLVRTYVQARKLREGSEAFHILRSKGFCVSINACNALLGALVKVGWVDLALNVYESVVTSGIHMNVYTLNIMVNALCKDGRMDHVKGFLSKMEVKGVFPDSVTYNTLINGHCRRGLMSEAFELMDAMLSKGLKPGLFTYNAIINGLCKKGDYERGKEVLDEMLGIGLSPDAATFNPLLVESCRKDDICEAEKIFNEILRRGVVPDVISFSSVIGVFSRNGYLDRALAYFRNMKGAGLVPDTVIYTILIDGYCRNGNMSEALKMRNEMVEQGCVMDVVTYNTLLNGLCRGKMLVDADELFKEMVERGVFPDFYTLTTLIHGYCKDGNMTKALSLFESMTQRNLKADVVTYNTLIDGFCKIGEMKKAKELWSDMINRDILPNYISFSILINGFCSLGLVSEAFRLWDEMVEKGIKPTMVTCNTIIKGYLRAGNVSKADDFLNKMSLEGVLPDCVTYNTLINGFVKEENLDRAFDLINDMEEHGLLPDVFTYNAILSGYCRQGKMQDAEMVLRKMIDKGINPDRSTYTSLMNGHVSQDNLKEAFRFHDEMLQRGFVPDDKF >OIW02097 pep chromosome:LupAngTanjil_v1.0:LG11:8867113:8869490:1 gene:TanjilG_14746 transcript:OIW02097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKFTLILYVLTILAIDLSHAASPHHAPAPSVDCSTLVLTMADCLSFVTNDSTTTKPEGSCCSGLKSVLKTAPSCLCEAFKSSAQFGVVLNVTKATTLPSACKVSAPSAANCGLSETETPASAPVGAISPQSSISPATVSSVNDPENELSHSPAPSPSLGNTASTLFPTSAGSFIVCLLVATIFSGF >OIW01702 pep chromosome:LupAngTanjil_v1.0:LG11:20240946:20242064:1 gene:TanjilG_01209 transcript:OIW01702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSIQEFMDSDNSNTCNLTNSINNNNNNLVGSGSNSFGSSNSPSGSSTNTSSRYENQKRRDWNTFGQYLKNHRPPLSLSRCSGAHVLEFLRYLDQFGKTKVHTPICPFYGHPNPPAPCPCPLRQAWGSLDALIGRLRAAFEENGGKPDTNPFGARAVRLYLREVRDLQSKARGISYEKKKRKRPLPQQQQPQSMSLASPFNHHHQLPPPSGATQ >OIW02565 pep chromosome:LupAngTanjil_v1.0:LG11:2916827:2918389:-1 gene:TanjilG_24016 transcript:OIW02565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSKSNCLSTCYRHPSVPITGFCASCLSERLAGIQSPVAEVSHSTPPKLRRTKSCSGNRDASSDVSSAYEPRRWSCDVRGRSTLSDLFSVDVEKKKRRIRSGFEIGEVEEEHANEEGIRVCEEAEEEEETKTMKEFIDLELKNRKNESKDSKSLWHAASVLSNKLRKWTWKHKLKKKHGRFDGNGNESGNRNAGFKKPRARRYRETQSEVGEYELGRRSCDVGRISVDNSSRLSFDAPRASWDGYLIGNKASHRLSPMISVVEDENHRVLVVQENGSNNAASFSPGGSAQTQDYYSCRRSFDRSNSHRRKSIAEVDELKLMSSNAKVSPATTELFYGAKLLITEKELMDRNVKSLSDVQSDSVPESASKDDSDVATVDSQKGSKKLQNLRKVWNKLGLVQRKEDTKLECDAGGNMLNKPLAESWQKLRRVVNVQPNESVSQKLIRSYSVSCRNPCKMAGLINSLGAPEARGNVLNGRREFALQRNRSARYSPHNLDNGLLRFYLTPLKSYRRSKSGKSSL >OIW01561 pep chromosome:LupAngTanjil_v1.0:LG11:24692051:24692419:1 gene:TanjilG_09385 transcript:OIW01561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSEVAETLPRKGFSMFTIGKVSLANMRLRTIAQTMNEKPRRSLTDLYPTKKLVSKVVELALQAPSIVVVVPIPSISNRAQKLVLAMNEKSDDDDNLLISCKIQKVDARTIASTLNKNKRST >OIW01086 pep chromosome:LupAngTanjil_v1.0:LG11:35522875:35524700:-1 gene:TanjilG_25194 transcript:OIW01086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEYEWDTPSTTTTMLTGNEGGATTKPDQNHHQTLDQNYTFLHEPNQFSSPTLTTTNFSHYHLFNFNQQQNHPFFHPRAFHSASSPSFSTVPPSMLSFNQFPPLNHTNYEPEPESGRITASESDNVYWPMRFSGSTGLGLDLGRKTYFPSSSNDFVNPVHHRPNLVEPGLTTSSSMSNSPRCQAQGCNADLSDAKHYHRRHKVCEFHSKAATVIAAGLTQRFCQQCSRFHLLSEFDNGKRSCRKRLADHNRRRRKTQQQDQENQNY >OIW02138 pep chromosome:LupAngTanjil_v1.0:LG11:8209210:8212658:1 gene:TanjilG_06733 transcript:OIW02138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQQNMQNQSVHSVLSTDAKPRLKWTPELHQRFIEATNQLGGAEKATPKSLMRVMGIPGLTLLGKSQQVETCSDNNQQDYKEFKCSDDDHCSKEISVGVQNHIPENLQITQALQMQMEVQRKLYEQIEVQKNLQLKIEAQGQYLQSVLKKAQEALGGYNSSTVGIELTRAELSHLETIINNASPSSPISELTEAKGLSLHCGKRKKDRGTMCSLESSLTSSESCGRKKEKNPKELPLVDIKHEDKGSNGGSSNDEASGRKISGASDSDGSCVEQPCGKTCGNKLKKPKLLELIDLNNQYESDMDSGKIEVESKVVFPPLFLTPWQVVAEFSLFEAGILPYNSSSLEHAKELRFLGVCLMNYRKGTQTPYILTVLLNATVKNIIFHHNGNKTEARVKGIRFIQSNDSLDEVQHSTGA >OIW02046 pep chromosome:LupAngTanjil_v1.0:LG11:9623282:9624590:1 gene:TanjilG_21095 transcript:OIW02046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDSKPYVVELPILDISQPFQPSSLSVLSKACKKWGFFHIINHGISKDLCSRLYSLSKDLFSLPSDTKLKLGPLSSIKSYTPHFIASPFFESLRVDGPNFYVSAKCSEDILFDKQNSKFSETLQEYCSKMADLSEKIIKLVLMSLGDGLDKLFYDSEFNKCHGYLRINSYSAPEQSLEDQIEGLGMHTDMSCITVLYQDEIGGLQVRSHDGKWIDINPSEGTLVVNIGDMLQAWSNDKLRSSEHRVVLKQHVNRFSFAFFWCFENEKVILAPNEVVGEENKRVYNSFVCLDYLKFRENNQIGKFEKVGFTVRDFAGTQSQV >OIW01809 pep chromosome:LupAngTanjil_v1.0:LG11:17816612:17824313:1 gene:TanjilG_03947 transcript:OIW01809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEETLKQQFNHICSVCEVKQCSRVLYLQGKERDAEALIQDSIKMLEMYLKSHRLADAEMVQRKILHIMELSKVAMKLAPDRKKFMDVVGGTGDINADIEKFCSAFSPLLEENHKFLGRGIRSVDRLTRVQWAVHVLLDGWAAWGQRQKSRLGVEQLAVGVQGTVQFLLISPLMSCLDPM >OIW02527 pep chromosome:LupAngTanjil_v1.0:LG11:3113377:3116404:1 gene:TanjilG_12841 transcript:OIW02527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMEPPACYFESVLGSLRFWQSGNVLAMDLPSMAIMIAFVTCTSAYLPSLLMVLYPVEEVINVEVLCNIGLIYYAFVSGLEMNIDTILHAKKKAASIAVAGIIFPLVTGPAFYYLHRKLYAVCPLPLEQPSNTVILLWSVILTITGFPVLAHTLSELKLLYTGLGKTALTAAMISDTYGWILFAIVNPITVNGEHAVYAIISTLIFILVCVFLVRPIICGYINRKTEQDAWDDHRLLYVFMGVFLCSLITDVLGCHAVVGAFVYGLILPHGKFADLVTSVSDDFGNGFLTPLFFAGCGNRINFKSIFTQSNWPLVMMLIGSFSLPKILSTIFATSFFGMQTRDGLALGLLLNTKGAVFSVPTYSVLTSGVFLMTAIVPPIINIIYKPRKRFEQNKLKTIQKLRPDAELRILACCHNTKHAPSMINIIHTFNPTRFCPMQVFGLYLVELKGRGAVALVADHIQRPSGQLGEHNLTRTQAELESISNTFEAFGEAYDAVRVETLNVVSSYATIHEDIYNTASDKHTSIILIPFHKQLTSDGVLQTTSVVYKEINQNIMQGAPCSVGIFVDRDLGSFSKMDFRIVMIFVGGPDDREALAVAGRMAGHPGVRLAVVRMVLFDEAAEVDTSSHAEAKGILFAVIDNEKQKELDEEYVSSFRLTQVNNDDSISYSEIDVHTAEHIPTMLNEVEKSGCDLYIVGQGNCRNLKVFTHLSEWCDCSELGVIGDILASNEFASHASVLVVQQYGYGGMVIEKQIKRIASTSNDGFESLVVKSE >OIW02072 pep chromosome:LupAngTanjil_v1.0:LG11:9319292:9325217:1 gene:TanjilG_14595 transcript:OIW02072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATCSSRSLCASSFTSISRPSYQPQFIPFNLPHRTGTSRFKCSAGQTGFFTKLGRLLKEKAKSDVEKIFSGFSKTRNNLAVIDELLLYWNLSDTDRVLDQLEEALLVSDFGPKITIKIVDNLREDILSGKLKSGNEIKEALKRNVLELLTSKGSKTELQLGFRKPAVIMIVGVNGGGKTTSLGKLAYRLKNGGAKILMAAGDTFRAAASDQLEIWAERTGCEIVVAESEKAKASSVLVQAVKKGKELGFDIVLCDTSGRLHTNYSLMEELISCKKAVGKVVSGAPNEILLVLDGTTGLNMLPQAREFNEVVGVTGLILTKLDGSARGGCVVSVVDELGIPVKFVGVGEGVEDLQPFDAEAFVDAIFA >OIW02308 pep chromosome:LupAngTanjil_v1.0:LG11:5412064:5416429:-1 gene:TanjilG_11202 transcript:OIW02308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCMPSKLAENKSLPFRVVEKKLLPSKVAEKKLKHRTSNEDFSILASETPFTISKVEKLHELYKKLSNIIIKDGFIHKLEEMVLAILNELDLALSHEVIETIVDKTFVEADSKGDGRIDLEEWEEYVGKNPSILKNMTLPYLLYVLNSMS >OIW02861 pep chromosome:LupAngTanjil_v1.0:LG11:555281:556334:1 gene:TanjilG_29637 transcript:OIW02861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHIAVPHAKYTLVRTGDLSIFTFQRYDVKVMFLRNAFLVDTVTESIGRVLRLDSIETGDIWDFIEDGSNRYRDMNRLVAYEKGLKTWSKWVDENIDTNKTMLFFQGVSPDHLNGAKWGQAGAKYCEGQMRPVSGLNYPGGAHPAELVLEKVLRSMSKPIYLLNITTLSQLRKDGHPSVYGHGGHNDMDCSHWCLPGVPDTWNLLLYASLLHNYAL >OIW01287 pep chromosome:LupAngTanjil_v1.0:LG11:34671228:34672672:-1 gene:TanjilG_10448 transcript:OIW01287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKFELVEKVVIVPEKPTPYKRIFLSNIDLTLVVYQDSASFFDPPSCEMSFYEIYSKLFSALSKMLVHYGFMAGRLVPSSEDNLRFEIDCNDAGIVVAAARTDRKLSEFGVISAPNPELRELIVFLVDEGEEETELKDKPLASLQLTQFGCGSLALASHYNHCILDGLAVRDFEANLAALTCGDDFIIIPNPNRIPLRSRIPPKISHPHYEYSKSTDIVNLFTVRGTSGTNARHSMTENQVHVLHLSMQNIASLKKKALKDSNLKNSTTFHVVAAKIWKARSIATKMPEDKVSTMLFPVDARKRVVPELPDGFAGNALVPGYARATVRELMELEDAYHIRKVQEGIERLNDEYIKSGIDWLEVNKGVPCREDSFSLVAWWRLGLEEQHFAWGRLKCATPLAVKPGLVILLPGPQDQGGLSICLDLPDDQMQEFSRIMLEV >OIW01201 pep chromosome:LupAngTanjil_v1.0:LG11:33431925:33435299:1 gene:TanjilG_10362 transcript:OIW01201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAFRHFEPISKCNTQQRSNQSVASDLDGTLLVSRSAFPYYMLIALEVGSFLRGLVLLIFVPFIYFAYIFISETIAIKTLIFIAFAGLKIKDVEMVSRSVLPKFYAEDVHPMTWKVFNSFGKRYIVTASPRVMVEHFARTFLGVDKVIGTELEVTKTGRVTGFVKEPGVLVGEHKKVAVIKEFQSQVPDLGLGDSESDHDFMSICKEGYMVPRIKCEPLPRNKLLSPIIFQEGRFVQRPTPPSALMTFLWLPIGIILSILRVYLNIPLPERIAWYNYKLLGISVTVKGTPPPAPKKGQKGVLFVCNHRTVLDPVVTAVALGRKISCVTYSISKFTEIISPIQAVALSRDREKDAANIRRLLEEGDLVICPEGTTCREPFLLRFSALFAELTDRIVPVAINTKQSVFYGTTTRGHKLLDPYFVFMNPMPTYEITFLNQLPTELTCSGGKSSIEVANHIQRVLAGTLGFECTNLTRKDKYAMLAGTDGIVPSKKEKA >OIW01513 pep chromosome:LupAngTanjil_v1.0:LG11:27641919:27642536:1 gene:TanjilG_19439 transcript:OIW01513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSSTQRHRDHKLVPKQCEAFFKYLSSRKTPFFSSSSFSPPSSFESLYLGDETHLSPATPHRFYGVPFSWEHLPGIPKNQISKNQESSLKLLPLPPTTTTTTIQSSKKLNHEEPRIRKKNNSIQSNSQRDPFFDAMVKCSKDDHEEKTCRNLWNDAKVSRSFSDRFGFINLNASCKRTCAVSESIVYIPSSRSSTYQHFSPRSL >OIW01956 pep chromosome:LupAngTanjil_v1.0:LG11:12023231:12034307:1 gene:TanjilG_13820 transcript:OIW01956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADALSVVPAAVLRNLADKLYERRKNAALEVEGIVKQLAVNGEHDKITAVIKLLATEFTYSPQANHRKGGLIGLAAATVGLTTEAAQHLEQIVPPVLNSFSDQDSRVRYYACEALYNIAKVVRGDFIIFFNQIFDALCKLSADSDANVQSAAHLLDRLVKDIVTESDQFSIEEFIPLLRERMNVLNPYVRQFLVGWITVLDSVPDIDMLGFLPDFLDGLFNMLSDSSHEIRQQADSALSEFLQEIKNSPSVDYGRMAEILVQRAGSPDEFTRLTAITWINEFVKLGGDQLVPYYADILGAILPCISDKEEKIRVVARETNEELRAIKADPSEAFDVGAILSIARRQLSSEWEATRIEALHWISTLLNRHRTEVLTFLNDIFDTLLKALSDRSDEVVLLVLDVHACIAKDPQHFRQLVVFLVRNFQIDNSLLEKRGALIIRRLCVLLNAERVYRELSTILEGECDLDFASIMVQALNLILLTSSELSEIRDLLKQSLVNPAGKDLYIALYASWCHSPMAIISLCFIAQTYQHASVVIQSLLEEDINPKFLVQLDKLIRLLETPIFAYLRLQQSAAFKILKTRLKVVPSHSFNDLPSKRMPSGDPYQFHHMSDGSQITEDGDLTKDYESSHNGINFAARLQQFQQMQHLHQMHFKAQAKPHNKSIPVPKEAQIEEEPSRLQSIDLNVPPPRSSKRGPGMLQL >OIW02787 pep chromosome:LupAngTanjil_v1.0:LG11:1093739:1095844:1 gene:TanjilG_29563 transcript:OIW02787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESPPNFTPLFFFISLTLTLTISTIVSANSEGDALYTLKRTLSDPYNVLQSWDPTLVSPCTWFHVTCNQNNRVTRLDLGNSNLSGHLVPELGKLEHLQYLELYKNNIHGVIPPELGNLKNLISLDLYNNNISGTVPPSLGNLKNLVFLRLNDNRLTGPIPKELVGLPNLKVVDVSSNDLCGTIPTSGPFEHIPWNNGENEHLYTAMSILLLRFVLL >OIW02455 pep chromosome:LupAngTanjil_v1.0:LG11:4196441:4205240:1 gene:TanjilG_05048 transcript:OIW02455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQHHYLRTKYAPTSSHHHNPNHLPPPPTLPPPPPPPPSHPYPSSYRATPPPPYHNNTYPPQFAPNYPIQQNRPISNNHHHPHSCPNSHSFSDNNDLPRRALPDLDQSSWNPNPRVTSENRHPRNYSPVYFDMELHHRPVDRLPPPPPPPLYQPIDNLQFDHDDGSSRLRMERMDVYELNPRERVRQREESVWGWGGDGNYHRTDFGPNYDSPSQQGVRDIGLKPEGCVHVYDVEGKVELSRGGSGIRDEGHGESKRWVNERNGPRVLRESLLHECPSFEFGKNEIGATGKNGNDFRIVCGKRDYYDNELGRYNSRGNSGDCAHEFTHTPLEKQIQKKSALLRLQTVKPNHRNHENEKFCNTGYAAENKSNFFRGKEQHGYIGHGHGVKAEERKESPVELDISFESNSLVAKAIVAPSSSAVVCDTNMTTVSYTDMSSTERRKKVSVSETDCSGLPLFKQSTGSVSLDSSPCKANATSSSAKDLSLQKNVSDTCSPPCTSFSDMSHCKNEATLSNGTTNLCSEKSSSIAVQKKKLVQRVVKKVVKNRNSTVSHSPSINTHHGTVQTDSLTTKLPSYPALDKIETSLKEKSTTGDKVSTTDSLHSLPNEGNVLPEDMKKGLSLLSFEPHSRSHDYKTGEDSDIGKLARFEGGRNISNSPSRVSASNDDKHNDFDDLVANNSVHELNGSTSEINGMVYDNKQLCQNEVSPSLINYSNIGCSQNSYLVDLGDEINCSLVCSADNIVNTDLIKTRESENDRVYHFNSNDLTGSEVNHTENLTGSGENFTVSESGNDGIAGKALRITQNTNLERNQDTDVPISEVIAISSSVNNRIQEDPNCIQHTSVLKQGSANESANSEDNITTHCCDTGKLVPPSDATISLENCDTEETLSNFNISVGFDTYKIKEREVKSHLNILSSKIEGISPDPVNPVSYASDVGIATNVLKLPSLSQDFDQSVQSLDFNSKSSADEVTTLHGKIEVSETEIYVENNGNDVANKVSRVSKREKVTARHPTFSNAVVVTTSCAVDLTSFSDNQAHKKGGTLSSMSTLSISQSIPYSEGTAKLPDNILVGGSFESMSADRGFMSSEHSEIQHADIASYSLCENVPIPHLQFAMLQGEKKENSIQAVPVSRTQTDTLVMGNSKGEKTDLQVVEESYQYRDLVQISPIADMESNDLNTKDDLLPQQNLMPCHNDGDGVNASILDVELIEDVPDALSNMCSKGMASEVPAGKILNYITSSQDYSEVKSHGLSSGGVIPKTFQGHSFTFSKTKTSASSYYISKPRTCLRTLNTSHTSLPGIKPLVATVPPTRLILERKGNTQNTSYIRKGNSLVRKPSLVSASPQISSAEQSPSFSSDELPINTRSESRVDLTEPILKIGITNAPQQRQRTPPAPIDINSKENMSPPLVEPPSSVCYDSPNYFENAMKHYETPDDQTDPSNNEENEVEANDENISSLKPKRIVYIKPKTNQLVATSNYCNVSVSTDNNTQIAFSDGYYKRSKNQLVRTTFESHINQTVAMPNSTVNSNGQGVRKVLSSKRFSKRRSHKVAGTSCKPLRASLVWTLKGKNSSKNVCDSWYYQKVLPHLFPWKRTPYLRGFVNNYASSSNHSSLSAISKKLLLFRKRDTVYTRSTHGFSLWKSKVLGVGRSSLKWSKSTEKHSKLANEEATLAVAAVERKKREQENAACIGSRAKRERIFRIGSVRYRMDPSRRTLKRISDDEPMSSASVPSGLTAKRAYIPRRLVIGNDEYIQIGNGNQLIRDPKKRTRKLANEKDGGKCPYIHDPSKIAVCTKFLNGLCSTPNCTLTHKVIPERMPDCSYFLQGLCTNRDCPCRHVNVNPKASICEGFLKGYCADGNEKHSCVCPTFEATGTCSHGTKCKLHHPVRQSKGKKRKRSEDQESRGRYFGSSPVHVSEPGMMVAPRQCHRHVDVELPDYIGLDVDVDKEVAESVDQSFEQAMLCDSDSLDLELDNFDELIEPVLIMKSSQS >OIW02830 pep chromosome:LupAngTanjil_v1.0:LG11:814212:816359:-1 gene:TanjilG_29606 transcript:OIW02830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKTFKSSSHLTTTTLIRFKIQSFPLSTTTLDNNDWINDNSIDTDWERLLKPFDHKQLLRSLNPISPIQLSKLLQLPLDIPTSMELFEKVGAQKGYFHTFNVYHILIHKLGAAGEFKIIDNLLKQMKQEKVVFKESLFILIMRFYGKAGLPGQATRLLLDMWTVYSCFPTFKSYNVVLEILVAGDCPRVAPNVFYDMLSRGISPTVYTFGVVMKALCMIDEVDSACSLLRDMTKHGCVPNSVIYQTLIHALSQNNRVNEAMKLLEEMFLMGCEPDAQTFNDVIHGFCRAGRIHEAAKLLDRMLLRGFSADALTYGFLMHALCTNGQIDEARALLDKIPNPNTVLYNTLINGYVVSGRFDEAKDLLYNNMVVTGYEPDTFTFNILISGLCKKGFLVSALEFLHENEMKGFEPNVVTYTTLINGFCKQDRLEDAAEVVNSMSAKGLSLNTVGYNCLISALCKDGRIQEALHMFGEMSSKGSKPDIYTFNSLIYGLCKNGEMEKALGLYQDMFVEGVIANTVTYNTLIHAFLRRDSIQQAFTLVDEMLFRGCPLDNITYNGLIKALCKTGAVEKGLGLFEEMLGKGIFPNVSSCNILINAFCRVGKVNDALQFLRDMIHRGLTPDIVTYNCLINGLCKIDRVQEALNLFNRLQGEGIRPDAVTYNTLLSRHCYEGLSDEACLLLYKGVNNGFIPNEVTWNILINNFVKERVKGSKTFF >OIW01313 pep chromosome:LupAngTanjil_v1.0:LG11:34901569:34903949:1 gene:TanjilG_10474 transcript:OIW01313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISQSSLLFLTSFIFTTLISICSSSPILTQYSILGPNLDKYPSEEDAMKLFQLWKKEHGRVYNNLQDMAKRFDIFISNMNDIIESNSKRISSSDYLLGLNKFADWSKKEFEETYLNNKVSMPEDSDMKVNEDLTCYVPPRLDWRKKGAVTKVKNQGGCGSCWAFATVAGIEGINAIATGNLISLSEQQLVSCDKKSDGCEGGEIKDGLDWVLNHGGIASEEDYPYIAKNGTCRTHKVRNSAYIDGYKRLAKSDNALLCATSIQPIIACLNATAFQHYQGGIFNGRYCKKSKFTDHCVLIVGYDSNKNGIDYWIAKNSWGKDWGRDGYIWIKRNTDLPYGVCGINYEAFQPIIDESVSKPLKPSINFM >OIW01891 pep chromosome:LupAngTanjil_v1.0:LG11:15452201:15457445:-1 gene:TanjilG_31073 transcript:OIW01891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSAAVSRLNNLRRHLDSNLPDTTIKASRLNAIKVKVAAEVSEALSLGRPVVALESTIISHGMPYPQNLETAKQVEAIVRENGAIPATIAILDGTPCVGLTIEELERLATLGTKAQKTARRDIAHVVASSGNGATTVSASMFLASLVGIPVFVTGGIGGVHRHGEHTMDISSDLTELGRTPVAVICAGVKSILDIPRTLEYLETQGVCVAAYKTNEFPAFFTESSGCKVSCRVDTPEDEANIKLNLGTGVLIAVPIPREHSTSGHIIESAIQKALKEARENKITGNAETPFLLARVNELTGGASLASNIALVKNNALVGAKIATALAQLREHCPR >OIW01591 pep chromosome:LupAngTanjil_v1.0:LG11:24051287:24053726:-1 gene:TanjilG_20491 transcript:OIW01591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSATSLSLLSLSLRTLKQQQQQPQCFSSLSLLNPNSNPISISATFLRSHAAPRTSLLSSRFVSKVSLSEFDQEEGTFSEEDDEPSYKNNNNNSNEFKLFVGNLPFGVDSAQLAEIFEGAGEVERVEVIYDKLTGRSRGFGFVNMSSAEGVEAAVQQLNGYEVDGRALRVNAGPPPPKDESSQFGSSRFDGNSRFDGNSRFGSGPPRGGGSAADNGNRVHVGNLAWGVDEGALEELFGEQGKVLEAKVIYDRETGRSRGFGFVTYGSPEEVKKAVQSLDGADLNGRAIRVSLADAKPPRRNSELGGCHSLVFPTVCEKFLPMRCGCMSASKK >OIW01800 pep chromosome:LupAngTanjil_v1.0:LG11:17947852:17948415:-1 gene:TanjilG_03938 transcript:OIW01800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSEKLVSNEISSEESDHQEEAQDDTEGAKRSYECTFCKRGFTNAQALGGHMNIHRKDRAKAKKVTNQTNEEYSMAPPFASGFSNQTTMHYSILESQSNCNINFHPPPAPNLFRDQPPYAPNTQLQYDQCHNLGSRPMNLNQLELRGANLSLQIGPSHVDNNTNQVRRGTQEDDEVDLELRLGHDQY >OIW02146 pep chromosome:LupAngTanjil_v1.0:LG11:7968949:7973409:1 gene:TanjilG_02370 transcript:OIW02146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQQSRRESYSGPISSTCSRNDQHLHYHHHHHHYYAQGQHRPLELDDKSDNIVLPFGKLEGLSLDDMRETAYEIFFTASRSTPGFSGRHSLSFNPNNHENGGDGGKPSNVVMSPTSRVKRALGLKMLKRSPSRRMVSGGSGGTTPAGGGSPMHYTMPPARPRRPMTSAEIMRQQMKVTEHNDNRLRKTIMRTLVGQLGKRVETIILPLELLRHLKPSEFSDSREYHLWQKRQLKILELGLLQYPSVTLEKNNTFSMRLRDIIHSGESKPIDTGKNSDTTRTLCNSVVSLSWRSNNGTPTDVCHWADGYPFNIHLYTTLLQAIFDFRDDTLVLDEVDELLELIKKTWSILGITRPIHNVCLTWVLFQQYIVTGQIESDLLCASHAMLNEVANDAKREKESFYVKILASVLSSMQGWAEKRLLNYHDHFNRGNVGQIGHLLPVMLAVSKILGEDLTISDEEGGGKGDITIVDSSGDRIDYYIQSSIKKAFDKVVEEVKSKSAELEMKGELSEILVHLAQETEDLAVKEKETFTPILNKWNSTAGAVAALMLHNCYGHLLRQYLNELTSLTSETVNVLQRAEKVEKVLIQMVVDYTSDGEDNGKTVVKEMVPYEVDSIIFKLLRQWIQESVYKGKEYLQRAKETETWNPKSKSEPYAQSAAELMKLAKTTVEQFFQIPIGNTEDLVQDLADGLESLFQDYMMFVAACGTKQSYIPSLPPLTRCNRDSKFSKLWKRAAPCGSSLTDLNRIHGTNEGHHPRPSTSRGTQRLYIRLNTLHYLLSHIQSLEKTLTQIQSPGVTVPSNRHRFATNRKNQNSGSLSYFEVVNSSIPAACQHVSEVAAYRLIFLDSNSTFYDTLYVGDVANARIRPALRILKQNITLMTAILADRAQPLAIKEVMKATFDAFLMVLLAGGSSRVFNRSDHVVIQEDFESLNRIFCTCGEGLIAENVVEREAAVGEGVIALMGQSTEQLVEDFSIVTCETSGIGKMGSGQKLPMPPTTGKWNRSDPNTILRVLCHRNDRAANHFLKRTFQLAKRR >OIW01510 pep chromosome:LupAngTanjil_v1.0:LG11:27607026:27607403:-1 gene:TanjilG_19436 transcript:OIW01510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVSSHPLLVSPSLKNIPKATEENKSSSYEWNKVLVTQDTKQKGTKKSVKGRKQGKILRKKRTLLVEGSRRQAKEIQRRVRTLKRLIPNNQYMGLDGLYRETFDYILSLQIKVKAMQLMVQTLTG >OIW01664 pep chromosome:LupAngTanjil_v1.0:LG11:20939641:20967941:1 gene:TanjilG_04632 transcript:OIW01664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLMAAVANPIVGSQVWVEDSDVAWIDGEVLEVKGDEIKVLCTSGKQVVVKVSKVYHKDTEAPACGVDDMTKLAYLHEPGVLDNLRSRYDINEIYTYTGSILIAVNPFIRLPHLYDSNMMAQYKGAGFGELSPHPFAVADATYRLMVNEGVSQSILVSGESGAGKTESTKLLMQYLAYMGGRATVGEGRTVEQKVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDQKGRISGAAIRTYLLERSRVCQLSDLERNYHCFYMLCAAPPEDVQKLKLGNPRTFHYLNQSNCFELEGLDESKEYHDTRRAMDVVGINSAEQEAIFRVVAAILHLGNIEFTKGTEIDTSMPKDEKSRFHLQTAAELFMCDAKALQDSLCERIIVTRDETITKCLDPEAAALSRDALAKTVYTRLFDWLVDRINSSIGQDPESKSLIGVLDIYGFESFKTNSFEQFCINLTNEKLQQHFNQHVFKMEQEEYKKEEIDWSYIEFVDNQDILDLIEKKPGGIIALLDEACMFPRSTHETFSQKLYQTFKNHSRFSKPKLARSDFTICHYAGDVTYQTELFLDKNKDYVVAEHQHLLYASKCPFISGLFVPSTEVSSKQSKFSSIGTRFKQQLISLLETLSATEPHYIRCVKPNNLLKPGIFENKNVLQQLRCGGVMEAIRISCAGYPTRKTFDEFVDRFGLLAPKALDGRSDEVTACKRILEEVELKGYQIGKTKVFLRAGQMAELDTRRSELLGKSASIIQRKVRSYLACRSFVLLRSSARQIQAACRGHLARHAYEGLRQEASSLIIQQYIRMYIARKTYTDLFSSAVSIQTGMRGMAARCNLHFRKQARAAIVIQSHCRKYLAQHHFRNLKKAAARETGALQAAKNKLEKQVEDLTLRLQLEKRIRVDMEVLKSQETQRLQSALQEMQLQFKETKELLQKEREAAKREAERVPVIQEVPVVDNTLVENLTSENEKLKTLVTLLEKKIDETEKKYEEASKISEERLKQALDAETKIVQLKTAMQRLEEKFSDIESENQVLRQQSLANSSLKTMSKDLSTQVSEKLENGHHSTEEQKAVETVTPVKKFGTESDSLRRSYIERQHEYVDTLVKCVIKSTGFHLGKPVAAFTIYKCLLHWKSFEAERTSVFDRLIQMIGSAIENQEDNDLMAYWLLNTSALLFLLQQSLRSGGAIDATPARKPPNPTSLFGRMAMGFRSSPSSANLTVVSLDVVRKVEAKYPALLFKQQLTAYVEKIYGILRDNLKKELASLLSLCIQAPRTSKGVLKSGRSFAKDSPMGHWLSIIESLNTLLCTLKENFVPPVLIQKIFTQAFSYINVQLFNSNGEYVKAGLAELELWCVQAREEYAGSSWDELKHIRQAVGFLVIHQKYRISYDEIINDLCPVMSVQQLYRICALYWDDNYNTRSVSPDVLSSMRVLMTEDSNNAQSDSFLLDDNSSIPFSVDDLSTSLQEKDFSEVKPADELLQNPAFQFLNE >OIW02278 pep chromosome:LupAngTanjil_v1.0:LG11:4997655:4999890:1 gene:TanjilG_11172 transcript:OIW02278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKGSSSWLTAVKRAFRSPTKDSDKRSSRRREDYDHEEDEEKKREKRRWIFRKNHETANIQQSPTKSKHVVASTTNASVASRIEQNQKHALEMAMATAEAAMATAQAAVEVARLTKPSTTTNHHSRDHFAAIVIQTAFRGYLARRALCALKGLVKLQALVRGHNVRKQAKMTLRCMQALVRVQARVLDQRIRSSLDGSRKSTFSDTASVSELRYLQEIYDRKSVSREGSSIVDDWDERPHTVEEVKAMLQQRKEAAMKREKSLSQAFSQQIWRNGRKSSIGNEDELEERPKWLDRWMATKPWEGRGRASTDQRDPIKTVEIDTAQPYSYLGGSNYRRSHPNYQYNPHHQPQRHSIASPIHRTHQNGSIRQSPSTPSPAKSRPVQVRSASPRCVREDRNLHISQTPSLRSTYSYTGNLYQNGRAGTSNSGAAALPNYMAATESAKARIRSQSAPRQRPSTPERERGAGSVKKRLSFPAPDPYNVGAGYGNYGQNLRSPSFKSVCASHFGMEQQSNYSSCYTESIGGEISPSSTSDLRRWLR >OIW02841 pep chromosome:LupAngTanjil_v1.0:LG11:731353:734427:1 gene:TanjilG_29617 transcript:OIW02841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGDSIVYHDEEYSSLEEDLNFPLLSSHSLAVARVNLSLHDEVDRVNEENGLVSGQSSEENRPTSSKFDFEVIERNRLCVYQQILQNYEELKIHSKNLREEKEKILSYIPGVWTDKVRDYDVPTTTCLILVGPRASGKSTLVNRITKALEDDKFAPTRAQVSYNSLLGDENGTYFLQEYMIPRDSTSICLYDTRSLSYNSHENENNRMLKSWMTKGVRHGELVVRKTDDRRLRKSLKCKAHNKGFSPGKIRKVNFVIYVVNGLSILKALENTDGSETQYTETIVSTFSCPYLSFKDDKPVLVFTHGDLLSLSDRARVRAYLGELLGIPPTKQIFDIPDCDDLVTETTIIEMLRYSLEHADRNFPQKQVVMDKIHKASISLCMILLILVLGVAIALSQNKSIDPFHALQHQACMRKDHAKCPKEKIHKMHPKLDWHKIRHIW >OIW01988 pep chromosome:LupAngTanjil_v1.0:LG11:11335697:11339405:1 gene:TanjilG_14019 transcript:OIW01988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLVPLILLLIVLVAHLVKFLHSNFWVPFKTQHHFHKQGISGPGYRPILGNSSEIRRLYKEAKSKSTSVDQDDILKSVVPFYHKWSCMYGKTFLYWFGSTPRLAISDPDMIKEVLMNKGGEYGKVKFNPQSKLLFGQGLVGLEGDQWVFHRRIINLAFNMELVKGWVPDIVASVTKMLEKWENEREGRDEFEIDVHRELHDLSAEVISKTAFGSSYKEGKHIFNLQEQQMHLFSAAVRSVYIPGFRYLPTKKNRDRWRLDKETRESILKLIETKSNTRENERNVLNSLMSSYKNDVGGEDRLGVEEIIDECKTIYFAGKDTTANLLTWALLLLANHQEWQSKAREEVLRVIGHTRFLVTDKLNDLKIVSMIINETLRLYPPAVMLMRQTSQNVMLGSLSVPAKTQLYLALTAVHHDREIWGEDCNKFNPLRFSEPRKHLAAFFPFGLGPRTCVGQNLAMVEAKIVLASIIQRYSFEVSPSYIHAPILFITLQPQYGAQIHFRRISY >OIW01850 pep chromosome:LupAngTanjil_v1.0:LG11:16251911:16261703:1 gene:TanjilG_07145 transcript:OIW01850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATIDGTIRLGTVPLSHSQSQWFIRSRTRKAYSINNNLLFSRNSHSHFPLSSSSSHTKHYLSCSSHSTPHTHDELQQQKHADTDVGDVKRELLLLSLPALAGQAIDPLTQLMETAYIGRLGTLELASAGVSITIFNIISKLFNIPLLSVATSFVAQDLANLSSSTSSEQGSLIEFSGNGKPMDTIPQRKQLPSVSTALVLALGIGVFEALALYLGSGMFLRLIGVSTQNPTHIAARHFLSLRALGAPAVVLSLALQGIFRGFKDTKTPVLCLGIGNLSAVFLFPLLMYYFQLGVTGAAISTLISQYIGMLLMIWHLNKRTELLPPKMRDLQFGGYIKSGGFVLGRTVAVLSTMTLGTSMAARQGPVAMAAHQICMQVWLAVSLLTDALAASAQALIASSLSRHEYKVVKEITNFVLRIGLLTGICLTAILGASFGSLATIFTQDAEVLGVVRTVALFVCASQPFNALAYIFDGLHYGVSDFPYAAFSMMSVGAISSVFLAFAPSHFGLQGVWMGLTLFMALRTVAGFVRLLSKNGPWSFLHRDLEAGKENGTLPEFQLALDGVDQAQLAGQLFYKELEANKIPLSHVRICYSPFSRTIHTAQLVATVLNLPFQSPDCKVIEDLRERYFGPTFELLSHDKYSEVWDMDAKDPFVGPEGGESVKDVASRLARAMTIMESEFEGCAILVVSHGDTLQILQTILLAANEHKETTDGDLASVLEAVQVAPILSQHRKYSLLTGELRGVI >OIW01598 pep chromosome:LupAngTanjil_v1.0:LG11:23511743:23513885:1 gene:TanjilG_08779 transcript:OIW01598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALIYIFAILFLMAPSSNASWPPSPGYWPSSKFRSMNFYKGFRNLWGPQHQKLDQSALTIWLDRTSGSGFKSVRPFRSGYFGASIKLHPGYTAGVITAFYLSNNEAHPGFHDEVDIEFLGTTFGKPYTLQTNVYIRGSGDGKIIGREMKFHLWFDPTKDFHHYAILWSPKEIIFLVDDVPIRRYQRKSGATFPLRPMWLYGSIWDASSWATEDGKYKADYKYQPFVAKYTNFKASGCSAYASLWCHPVSASPYRSGGLSRQQYRAMRWVQRYYMVYNYCQDKKRDHRLTPECWG >OIW02867 pep chromosome:LupAngTanjil_v1.0:LG11:510494:511628:-1 gene:TanjilG_29643 transcript:OIW02867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSNAIQHLNYEYDVYHSYGRCLSLRSFPYSLHSVMNQKGLTYNHNNEYYMSIRRNDNIPISPPRLKAMQESRIFIVSIGANYASSVFCLNELVEILNCIKFRNNQLLCPVFVNLDPSDLRYLRNRVGEAMAQHEHRFGRNSQEVKKWKTALFELSNLSGWHIQTQGLEESYKFAEKVVSWITRSLNRYDIFLSFSESDTRYSFTGYLYNALHRDGFKTFMEDEGWVNAEKNSKFHIQAIEESKFSVIIFSKNYAHSTCHLDALIKILECHKLKKQRVLFPIFYKVQPSDVRHQRNYYHEAMTIHENRFGKESEKVQKWKAALSEVASFKGWSFENGYIVVYITFN >OIW01252 pep chromosome:LupAngTanjil_v1.0:LG11:34279118:34282180:1 gene:TanjilG_10413 transcript:OIW01252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYPVVDDKDLDDAALWAVIDSATASHSSSKCTTKTLAIKYQSSSPVSNPSPPPPKFRSYSGDGGEVVHEPFVYRPPRKVARTNSFSASGEISPLALVRNVQRTPPAPAKVYSSPEAYLSPEIGKFGGHELSSYMTEVSPQCFGRNEEENESGSMRHGLSGRFPSVSLFKEYQNAAMAILEKSDYTLISGNPFIKKSGWRKISCFFNISYEIRDKNIEFDDNRNVQRAEFVIRAYMQGGRFSDGWGSCERREKRFQKPNHDIPSTAETRAKNKACQDLLGIGEYRPGATSQVR >OIW01260 pep chromosome:LupAngTanjil_v1.0:LG11:34440942:34442099:1 gene:TanjilG_10421 transcript:OIW01260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETARDSKIKLTIKFHSSSRTPKEYVLCVPRSKKRELSDPSSSDLRCRKRCKVVASEDLAKATSKTDSEYSSVTRVETTSAMIVKDSRTVDEEVAETKKVATDRDVSVYPIKIGGSTGKCGVVKEKWRVGNATVCEEKEKKKEPLMRRRVVKKDSRIIKEGKDCVNNSCTKNMMKKVAIAVNTDEVSAVNIRKQSLAVVKNGTTTQYCGIVNEKGRVGCKISRTVREEDCEVKKNEKVQKNARVGLVMDHCKKMQCWVMLKRLMTGRDSWVFKEPLMGFEILDKDYTVENSSMSKFSIKSEIVCNETLKKPTCLKDIESKLNKLLYTNPDEFADDIRVILSYGLLHPPRNDIYKIARRVNEDFEVSWKSLKEKWLSGERKGKKI >OIW01183 pep chromosome:LupAngTanjil_v1.0:LG11:33226859:33230346:1 gene:TanjilG_10344 transcript:OIW01183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVDYYKVLQVDRSASDDDLKKAYRKLAMKWHPDKNPNNKKDAEAKFKQISEAYDVLSDPQKRAVYDQYGEEGLMGQVPPPGAGGFPGGSNGSQTSFRFNSRSADDIFSEFFGFSSPFGGMGDMGSRAGPSGFSRGGVFGDDIFAQFRGGAGDGSGHMPRKGAAIERTLLCSLEDLYKGITKKMKISRDVIDSSGRPTTVEEILSIVIKPGWKKGTKITFPEKGNEQRGVIPADLVFIIDEKPHSVFKRDGNDLVITQKTSLVEALTGYTAQLTTLDGRNLTVPINSIISPTYEEVVNGEGMPIPKDPSKKGNLRIKFNIKFPSRLTSEQKSGIKRLLTSP >OIW01667 pep chromosome:LupAngTanjil_v1.0:LG11:21034697:21037663:-1 gene:TanjilG_04635 transcript:OIW01667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPNCCHNSLAFLLKFLNFLQAFIGVSIILYSTWMFNQWNHHIPKPPLPNSITFSFHSHPSLRDAFGFDVDSINLPAPWFIHAFMGVGIVVCCVTFFGCIAAEMINGCCLCFYTLLISILLLVEAALVGFITIDRHWQEDIPIDPTGQLESLRSFIEENMDICKWVGIVVLVIQALSLLLALILRATVSTRRSDFDYEDEYDARGRSWEPLINPQSGQPAGSSKVDSRANHSDIWSSRMREKYGLNNGDTHSYQA >OIW02719 pep chromosome:LupAngTanjil_v1.0:LG11:1572736:1576120:-1 gene:TanjilG_29495 transcript:OIW02719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRGRQPRKNRIGVGNTRMDAALDSMRQFGFHDQVVRETVDELLEVYDGTQGWPFIEEASYKLLIETILAKQQSPAAEQQEKNLHIVGATMEENPLQDHTAGEVIETSATPTAEITDVGSSTLVAQDSLLQINDSLDSASQTDDRDLASLGNETGAKEDSSVLDGRGGHEQEDIRVESRKNEPNSVANVTGSSSKSTFQPCYGWSGMDDYRSIINEPKPVDNATGSGSAFGNNAKNTFIKPPMIESSKSRHGNRKPSYNDNDKSKKKELKPVDNVIGSWSSAFGNNVNSTFVKPPRIESSKSSMHGNRKPSYSDNDKYEKKEPKPAHNVTGSRSSAFVNNAKSTFAKTPMIESSKSPYHNRKPSYRCSGSGSNDSKSKKNEQKPADNVTRSSRGAFISNVESTFVRNPVVESPKSFKNPHYCHRKPFCGWIDDDDEVELITLPTPLLPEHIEKLVAKKKEAPQSCRERRRKSRWDEMPEGM >OIW01674 pep chromosome:LupAngTanjil_v1.0:LG11:20573930:20574445:1 gene:TanjilG_19782 transcript:OIW01674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTKTMRLPPRRILTPNGSTHKRKERDDTWPKPITSTKLHKPEKLIPMPNSEPIKSSLATSSGRGKVVIEPTPSNHLLAGYLAHEYLTKGTLMGQPWAPPQTEGGEKLTCKDEVFNKQGGVAVETPCRRSAEDGKVHEKERYAQVAGLLKDGRSHLPSIMNPTHLVHFLHI >OIW01439 pep chromosome:LupAngTanjil_v1.0:LG11:29249564:29250143:-1 gene:TanjilG_30913 transcript:OIW01439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSKQKHLILKGYGSLPISLDVADSEPQPPRTVTNQRRFALNRELPEAKKKMTLGFHHCRVGTASSVPAVDSLSLPPKKRKNRHGQSLVTVAAVEEEWRREDGGDDHTLFSNHQWLPLPARFATTTSPCIGEIEDGIREWEKRLDLGISPFFSSDLGIFPFSNPFHVC >OIW02511 pep chromosome:LupAngTanjil_v1.0:LG11:3017373:3019415:1 gene:TanjilG_12825 transcript:OIW02511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFLHCFFCIFWIINFLASINQYHVAVCSASDFPNPINVTKHFSFPDFSFTNNPRLVHDVKLLGSAKFSNEKGALQIPNESQDTDIRHQAGRGIYSFPIRLLDPSTKTPASFETTFSFHFNNSTINQTDYDGGSSGLTFIIVPDEFTVGRSGPWLGMLNDACENDYKAVAIEFDTRMNPEFGDPNDNHVGINLGTIVSTKTINVSGVGVSLKDGFVHHAWITYDGPNKRLDIRLGLPNQEVYPSKPIFSESIDLFPYLNEYMFVGFSASTGNHTQIHNILSWNFTSTSQAFLRFPSSETCQGKVLLQNSRTEEEPAKKSSKDKTPQSFLIFVAVVALVLAVLIGFYFINKYRRNAAKSKNSLEVEIRRPRPPNKPRRFSFSEFSSATRSFSEIELLGGDSRGEYYRGKLSNGSNVAVKRFSAQFLNTHGSDRKRLLKEIKTICQARHPNLLPVKGWCQDNNEVMVAYEFVHNGSLDKWLFGAGVLPWTRRFKVIKDVADGLNFLHTKQLAHKNLKCSSVFLDVSFRAVLGDFGFVLLGAESKQFESLVCHGADVFEFGVLVLEVIAGRRRVEGEIEELKAEEKNLLDFAWNLHETNDKVKLVDRRMGALINLDQANRVLEIGLLCTLNENKGRPSMEEVVEFLLNMDKPIPKLPATRPVALFPYNSANTGLCNTYSCTFK >OIW01806 pep chromosome:LupAngTanjil_v1.0:LG11:17854219:17856622:-1 gene:TanjilG_03944 transcript:OIW01806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMSTLNLLPHPAPLSYSSSSSFSKGFVPCSNIHVFIPKGTLQRKGSLKPTLVVKSAASGSFVADPIASITGGPISDSISSLKFKLLSAVSGLNRGLAANEDDLRKADAAAKELEAAAGVIDLSTDLDILQGRWKLIYSSAFSSRTIGGSRPGPSTGRLLPITLGQVFQRIDILNKDFDNIVELQLGTPWPLPPIEATATLAHKFELIGSSKIKIVFDKTTVKATGNLSQLPQLEVPRLPDGLRPQSNKGNSQFEVTYADSDTRVTRGDRGELRVFVVA >OIW02494 pep chromosome:LupAngTanjil_v1.0:LG11:4636567:4637280:1 gene:TanjilG_05087 transcript:OIW02494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSKKNIATTTIQHNKRKIEKLEPNTNKFHVTFSKRKLGLFNKVTEISILCQAETALILSSQQGNLYACGYPGPDVVIRRFLTNGSPVQHSRTSKKEQQEFVETLRLEFEAAHNKLKEEKKRLDEIREAHNGRLDLTPWWNQDIEKMGLEDLEHFITSLETLKLNLVATAEAKKINPMSHMVMTNAIGPSPRFSNSSLMNGYFTGNHQAWNWMGSSSSSNLTVPKFQPGYYIRHS >OIW01990 pep chromosome:LupAngTanjil_v1.0:LG11:11371694:11392957:1 gene:TanjilG_14021 transcript:OIW01990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYNLPGNGQKKSEKNLKDALDDLDISLICKRFPSITLGNAPQVDLYDGTTPYSEMTSILATDDFGKYFSEPSATRWVQNPLSEALPSLYVNHSSVTSSTLRTEDSFPCPLSPDSMSSIYEEHLDNQVTREISQEKEGLQSSSNVQLNELFLDKPISFLPRLSKRLYQQLDNSGFHTLRKMLHHFPRSYANLQNAHAEIDDGQYLIFVGKVLSSRGVRASCSFSFLEVVVGCQVSDSESTSDHVTGNANERPEKTIYLHLKKFFRGARFTFTPFLKSLEKKYQEGDIACVSGKVRTMRSRDHYEMREYNIDVLEDGKDLSFCARERPYPIYPSKGGLKPLFLRDIIARALQALPVNVDPIPKDIIEQFGLLTLHDAYFGIHKPNNIKEADLARKRLIFDEFFYLQGDVGCGKTIVAFLACMEVIGSGYQAAFMVPTELLAIQHHEHLLNLLENLGEVKFKPTVALLTGSTPLKQSRMIRKGIQTGEISMVIGTHSLIAEKVEFSALRIAVVDEQHRFGVIQRGRFNSKLYYSSSILSMEEAVTDSSSKSDAYMAPHVLAMSATPIPRTLALALYGDMSLTQITDLPPGRIPVKTYTIEGDDKGFENVYKMMLDELEDGGKVYLVYPIIELSEQLPQLRAAAADLEVISSQFQGYNCGLLHGKMKGDEKEETLRKFRAGELDILLATQVIEIGVDVPDASMMVVMNSERFGIAQLHQLRGRVGRGVKASKCILVASTSSSLSRLKILERSSDGFHLANVDLLLRGPGDLLGKKQSGHLPEFPVARLEADGNILQDAHTAALMMLDELEDGGKVYLVYPIIELSEQLPQLRAAAADLEVISSQFQGYNCGLLHGKMKGDEKEETLRKFRAGELDILLATQVIEIGVDVPDASMMVVMNSERFGIAQLHQLRGRVGRGVKASKCILVASTSSSLSRLKILERSSDGFHLANVDLLLRGPGDLLGKKQSGHLPEFPVARLEADGNILQDAHTAALKVLSASHDLEQFPALKLELSMRQPLCLLGD >OIW02215 pep chromosome:LupAngTanjil_v1.0:LG11:6909451:6916144:-1 gene:TanjilG_31964 transcript:OIW02215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVLSRSIINASLSSLPSLTPTNSKRGSKLRSAFLPPQHGPIKCFYPLKWNQKIINKRVSLKCEAAVVEKEETTDDAPGEKFEYQAEVSRLMDLIVHSLYSHKEVFLRELVSNASDALDKLRFLSVTEPSLLGDAGELEIRIKPDPDNGTITITDTGIGMTKEELIDCLGTIAQSGTSKFLKALKENKDLGADNGLIGQFGVGFYSAFLVAERVVVSTKSPRSDKQYVWEALADTSSYVIREETNPEKLLPRGTQITLYLREDDKYEFSETTKIQNLVKNYSQFVSFPIYTWQEKSRTVEVIEHAFYLLIGYGTDKVIMICGLWFSPSAFVILNEIHSIPTVPLSWPVNVDCCLVLVEEEEEPKEGEEPQPEGEKKKTKKTKTEKYWDWELANETKPIWMRNAKEVQKDEYNEFYKKTFNEFLEPLAHTHFTTEGEVEFRSILYVPGMGPLNNEEVVNPKTKNIRLYVKRVFISDDFDGELFPRYLSFVKGVVDSNDLPLNVSREILQESRIVRIMRKRLVRKAFDMIQDISEGENKEDYKKFWENFGRFIKLGCIEDTGNHKRITPLLRFHTSKSEEDLKSLDEYVENMGENQKAIYYLATDSLKSAKTAPFLEKLVQKDIEVLYLVEPIDEVAIQNLQTYKEKKFVDISKEDLELGDDDEVKDRETKQEFNLLCDWIKQQLGDKVAKVQVSKRLSSSPCVLVSGKFGWSANMERLMKAQALGDTSSLEFMRGRRILEVNPDHPIIKDLNAASKNAPDSSEAKRAVELLYDTALISSGFSPDSPSELGNKIYEMMALALGGRWGRSEEEGNANVTVEADSNTGEAAPEPEVFEPSEVIAESDPWTTD >OIW01686 pep chromosome:LupAngTanjil_v1.0:LG11:19949356:19951377:1 gene:TanjilG_01193 transcript:OIW01686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANWCRNCIYLKPKLEKLAAEYYPRLQFYSVDVNAVSHKLVACAGVTKMPTIQLWKDGRKQAEVIGGRKAHWVISEVQEMIENECTM >OIW01660 pep chromosome:LupAngTanjil_v1.0:LG11:20835568:20842701:1 gene:TanjilG_04628 transcript:OIW01660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGAAQVGSYFVGQYYQVLPQHPHLVHQFYSEKSSMIRVDGDSIQTAHDLLQVHELVMSLNFVSIEIKTINSLDSWDGGLVVMVSGFVKIKDISGRRKFVQSFFLAPQEKGYFVLNDIFHLIDDELTYPNVGSVASEKYGTQPHPSNSVAEPHASDYGLEDEAREYVDSVHIEDDPVDKYSLPEHQQLEQDFETEVVVVETPVEETSPPISSAVHTIHDPPVALVEEPLKEPPKKTWASIVQVSKGQPVSSAALQSSVKSARATTELDHVAQPAVQQSSSPSTFSPEYGVDAAEDGYGAVEEGEVKSVYVRNLPATVTEAEIEQEFKNFGRIKPDGVFIRVRQDIGVCYAFVDFEDILGVHNSLQASPIQLAGRQVYIEERRPNSGIASRGGMTTRSRVQILETISPLVGEEGEEAEAVIKLMLQGGVLVAGARAGEVIRILLTITDQEEMVILSAVHDSKMA >OIW01273 pep chromosome:LupAngTanjil_v1.0:LG11:34556452:34556727:1 gene:TanjilG_10434 transcript:OIW01273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLDSVVSSPHRKSPSFRRKNELGSWSTLVQRHRFLLSALVLLTFLCTVYLYFAVTFEAISSCSGLTKAEKVSCQLEHAKSFMRKGRPKFL >OIW01537 pep chromosome:LupAngTanjil_v1.0:LG11:28447908:28455038:-1 gene:TanjilG_19463 transcript:OIW01537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRGTLPEEGEGAEGEGEEGEGEEGEGEEGGEGEGEGEGEGEGEEGEGEEGEGEGEGEEEEEGEGEGEGEGEEGEGEEGGEGEGEGEEEEEEGEEGEEGEEGGEEGEGEEGGEEGEEEEEEGEGEEGGEEGEGEEGGEEGEGGEEEGGEGGEEGGEGGVGGEGGVGEEEGVGGGGGGGGEGEGEGEEEGEEEEEALKEQRR >OIW02887 pep chromosome:LupAngTanjil_v1.0:LG11:391795:392700:1 gene:TanjilG_29663 transcript:OIW02887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSQKVETGHQDTVHDVAMDYYGKRLATASSDHTIKIIGVSNTASQHLATLVGHQGPVWQVAWAHPKFGSLLASCSYDGHVIIWKEGNQNEWTQAHVFDEHKSSVNSVAWAPHELGLSLACGSSDGNISVFTARADGDWDTVKVDQAHPVGVTSVSWAPSTAPGALVGAGLLDPVQKLCSGGCDNTVKVWKLTNGIWKMDCFPSLNMHTDWVRDVAWAPNLGLPKSTIASASQDGNVIIWTVAKEGEQWEGKILNDFKTPVWRVSWSLTGNILAVADGNNNVTLWKEAVDGDWQQVTTVEP >OIW02274 pep chromosome:LupAngTanjil_v1.0:LG11:6569381:6571587:-1 gene:TanjilG_15157 transcript:OIW02274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSMVSSAVVATVSRVSPVQSNMVAPFTGLKSSSAFPVTQKSTLDITSITSNGGRVQCMKVWPPVGLKKYETLSYLPPLSIESLAKEVDYLIRNGWVPCLEFELEHGFVYREHNSSPGYYDGRYWTMWKLPMFGCTDSAQVLKELDEAKTAYPKSFIRIIGFDNKRQVQCVSFIAYKPPGF >OIW01644 pep chromosome:LupAngTanjil_v1.0:LG11:21250232:21251777:-1 gene:TanjilG_18215 transcript:OIW01644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMHSPVNAEDGNFLSNLECTFSESLHIEDAQKSDLVSKEDDNCTMREGKGNLCEGFEEQETKLNMKCLKKCSTFPDMMLPRSSSDQKDDKSLADSLSDQSPCQSSSCSVSVLAPSTLVSAMKGSRVKQRASQLDLTVKWAPGVYDPAPTLLSHTVKGKKQHRSRHKSEKKYVKKGQKGYPSKGSSGKDKKHYHNRKSGETSDMWWLDSHHDRVIGASTELDDVNVVTHDSHCGTSFLKKSIEKVHYPVGEVR >OIW02756 pep chromosome:LupAngTanjil_v1.0:LG11:1321171:1327139:-1 gene:TanjilG_29532 transcript:OIW02756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLFGTINVRDLLSAEDLSDPNSPLSAPDLRLLIHRLESHSLQIRSQVQSYLISHQNDFQNLFSLCNDAVFQTNQVYDDVAGILRLLSDNPIDVEVRTVVEEMKAKKEELRVKTELLGLVKSVVGVNQRLESVREGLKNGRLQFAAQGLKELKVALRIRDDDSVGGDDIEPLVYGLLRKEWFQCFEEIQRVLVKIMEKAVRFDGGLNQVEVKYHLEVDNANGIKLQAVLEAMDEVDILEYGLAKVADLMIKHVISSFVNCERPVSFVEEFNQESAVLKIVTSVNSKPEYLDGEFLYSGIVLFVKFISRSICFQNSSWIRCFGRLTWPRISELIISSFLSKVVPTDASKLPDFQKIVKCASDFETALKELLFISASDDKDNRLSNFAENVEVHFAFKKKTEILSKARSLLLECDFSIPQEYTRDSSFWKNDGTSIQSFSHVVDLLFLSERFLVSKAAKQLMELVHQTLQDVCLSSTRVALEFYHAARDAILLYEVVVPVKLERQLDGINQVAVLMHNDCLYLSQEILGFAFEYRTDFPSSMKEHAVFVDLAPRYQLLAEEILQRQVHLVIHNLKEALAGADGFQNTHQMQQFESAKFSIDQVVFILEKVHIIWEPLLLPSTYKKSMFTVLESVFSIITRDILLLDDIAADETLQLQRLILIMLENLSSLFESLTMGDQNLHEFSVELLDMPLRSITSSWENNDLLSCGFTITEMEDFIKAIFADSPLRKDCLWRIQNASF >OIW02248 pep chromosome:LupAngTanjil_v1.0:LG11:6247067:6247652:1 gene:TanjilG_15131 transcript:OIW02248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLVAPRTEDTIFANVERVNVEQFTLTYGAIVCQLLTDLEEVDEGYNIGIHLIDEFLAKSNVSKSVDFREATDVIANVLVYMLPKKLSEMICFI >OIW02293 pep chromosome:LupAngTanjil_v1.0:LG11:5235286:5241650:1 gene:TanjilG_11187 transcript:OIW02293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTYHFFHWKKGTPFADDQGIYNRLTWWEQIDNGKQLTRNRKFLTVVPLVLYLIASHTTDYQHPMLFYNTFAVIVLVVAKFPNMHKVRIFGINADK >OIW01900 pep chromosome:LupAngTanjil_v1.0:LG11:13775127:13782615:1 gene:TanjilG_15225 transcript:OIW01900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNGSRRSKRKANLEPPLNINKKLRSKLPRRRRCHISPVLIVSSKIKVSGENTRFSFISVDSSCSSDFAGAGGEVSCDSSRASAVFARDGSYNKSKNRLAREIEVSNKVERAADLKRNQRFEKRNVNEVELSESSCVDSNSGFHERSRSLILKLNSGNVIKNLQENDEVSEACTKSEISNDEIFSSKNSNSGNGNLNLKVSSEINKNDVISVSVSSAFPATSFEDEKTRCKENRASEFEYSPGSKNDVVSDLACTEKLQFSYYDDESEYGSTQETSSFCDLHSEIFVGSSELELSDYSPSLFIDSGSQFTQGSGEETETPSPTYSFFLQYRKEFSTLTSQISNASSVEDEVTLKYKYERFEDLDDEESYQMLRKRERKQVFMSNYAETYISTTEVGELVLQQRSQMVRWITEQSYRKQLRPETLFLGVSLLDRFLSKGYFKTKRSLQLVGIACLTLATRIEENQQNNRVGQKNFYIGCSVCSRIEVVAMEWMVQEVLQFQCFLPTIHNFLWFYLKAAKADAVMEKRVKNLSVLALSAHEHLCYWPSTVAAALVILACLEFNQEASSKVIAIHVRSKDENLHECMEDQHLKTQSASPCKFMKAAFAIVLKALDTRHAPVNSNGRLCQFVFSET >OIW01448 pep chromosome:LupAngTanjil_v1.0:LG11:29494504:29495275:1 gene:TanjilG_30922 transcript:OIW01448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESIWRTCMLKNHRRQREDLEKGFVEDDPKDLLSGVDEMVGFSVKASVYDEHSSKKKEVERMEIGEGEPMDRPSRLDCHDFLLPTHCPLSTSSKAPPGPSNLVDKVTFEEMGNDSGPQTDFTSKPKMNKQKTK >OIW01574 pep chromosome:LupAngTanjil_v1.0:LG11:24514291:24514566:-1 gene:TanjilG_21154 transcript:OIW01574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTYIGGVSCPLICSRRRLNHGVLLVGYAAKGFSILRLSNKPYWIIKNSWGEKWGEHGYYKLCRGHGICGMNTMVSAAMVAQTQANNHAAY >OIW01562 pep chromosome:LupAngTanjil_v1.0:LG11:24727871:24728440:-1 gene:TanjilG_09386 transcript:OIW01562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSISALISSSLIKRTVPFHNTNLFFTPKTQSLHVPVNDRTTTNFLIFAEYPGKAPPEIPNVPRTEPPFPPKEDPAVPSRGPEVDPAAIPPEIVVDPPPENPIHNPNPNPTPDAPKPPLSPPGPDTPLPPKPPDVVPPRPPGPDIVPPRPPGPDIVPPRPPGPEIVPPTTFPPDITPPTAPGIGPTIIV >OIW02742 pep chromosome:LupAngTanjil_v1.0:LG11:1411025:1415143:-1 gene:TanjilG_29518 transcript:OIW02742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLGSMAIHNVALNSQTRFFINTLPICNNHIHSQINFKRTSLLLSRAKTAEPINATKSDASLDSRNESLEKKPTTFPNGFEALVMDVCDETQIAELKVKVGGFEMHLKRNIGATKLPLSNISSTTPPPVPTEPVVELTPATPPPSPLKSSEKTKPFVNVPKESSQKLAALEASGTNNYVLVPSPTVGSFRSGRTIKGKKHPPVCKEGDLIKEGQVIGYLDQFGTSLPVKSDVAGEVLKLLFQDGEAVGYGDPLIAVLPSFHNINIM >OIW01862 pep chromosome:LupAngTanjil_v1.0:LG11:15850200:15852220:-1 gene:TanjilG_07157 transcript:OIW01862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWKEFAKYYSLDHGHMVMFEYNRSSQFVVHIFDKSATEIDYTFDVTRGQKDNLNQIGEDAVEILDAKSPCEKTRLKSTMSCPQPCKTMRTSRIRDVGGSSNPKNLHPCVQAKGNQSECTNFEMAIVNSVKHEFDEDMVEGNFNTECSKAEQLTSETTETSITATTFRSKYPHFILVMKTSHVNGYSLVMDERTWSVVYIAPSITTGWNKFASDNNLSIGNVCVFELITRKERLSFKVFIFPVAEEPNCPRSKGRNGNQSVIIPLLISPCLGMIRPMKEAKKFNSENPIFIVKLKQSLMSRHGPNVPLLFVRKYFEKRKQTVIIQFGKKLWPVSFLHYEYKSSGQLSSGWSLFAEESKLQAGDVCIFELIYREIAVLGVHVFRGHG >OIW01658 pep chromosome:LupAngTanjil_v1.0:LG11:20820665:20820994:-1 gene:TanjilG_04626 transcript:OIW01658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQARRGGVSLPERPNHSKSDQSLSLITKITRSPIVSRGKQAAGDASFVAKKLLKSTGKAAWIAGTTFLILVVPLIIEMDREQQFNEIELQQASILGTAAIAAPQSAK >OIW02895 pep chromosome:LupAngTanjil_v1.0:LG11:344909:348985:1 gene:TanjilG_29671 transcript:OIW02895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSELDRQIEQLKKCEPLKESEVKSLCLKAIEILVEESNVQRVDAPVTICGDIHGQFYDMKELFKVGGDCPKTNYLFLGDFVDRGYYSVETFLLLLALKVRYPDRITLIRGNHESRQITQVYGFYDECLRKYGSTNVWRYCTDVFDYLSLSALIENKIFSVHGGLSPAISTLDQIRTIDRKQEVPHDGAMCDLLWSDPEDIVDGWGLSPRGAGFLFGGSVVTSFNHSNNIDYICRAHQLVMEGYKWMFNNQIVTVWSAPNYCYRCGNVAAILELDGNLNKQFRVFDAAPQLQEGEGALPEQGEVPLLSVVGLISSQMSESRGTPLKKPAPDYFL >OIW01488 pep chromosome:LupAngTanjil_v1.0:LG11:27070808:27071889:1 gene:TanjilG_19414 transcript:OIW01488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSTFTCKEDDDTTYRKTVHLHPSNCLDQKPEWVIYNEFVLISRNFIRTVTDIKGEW >OIW02397 pep chromosome:LupAngTanjil_v1.0:LG11:3648925:3652381:-1 gene:TanjilG_04990 transcript:OIW02397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGERSLSNSRSQVPYLHKVGVPPRQNLFKEFQATVKETFFSDDPLRSFKDQTKSRKFILGIEAIFPILSWGRNYTFKKFRGDLIAGLTIASLCIPQDIGYAKLANLDPQYGLYSSFVPPLIYAVMGSSRDIAIGPVAVVSLLLGTLLQNEIDPVANPTDYRRLAFTATFFAGITQAILGVFRLGFLIDFLSHAAIVGFMGGAAITIALQQLKGFLGIVKFTKKSDIISVMQSVFSSAHHGWNWQTIVIGASFLTFLLFAKHIGKNRKLFWVPAIAPLISVILATFFVYITRADKAGVAIVKHIDKGINPSSVKEIYWSGDYLGKGFRIGIVAGMIALTEAIAIGRTFASKKDYQIDGNKEMVALGAMNVVGSMTSCYVATGSFSRSAVNYMAGCETAVSNIVMSIVVFLTLLFITPLFQYTPNAILSAIIISAVINLVDYQAAILIWKIDKFDFVACMGAFFGVVFGSVEIGLLIAVSISFAKILLQVTRPRTAILGKIPRTTVYRNIQQYPEATKVPGVVIVRVDSAIYFSNSNYVKERILRWLMDEEEQIKGDYQTKIQFLIVEMSPVTDIDTSGIHALEELYKSLQKREIQLVLANPGSLVIDKLHTSNFADLLGENKIFLTVAEAVAYCYPKLAEDP >OIW02416 pep chromosome:LupAngTanjil_v1.0:LG11:3843857:3847550:1 gene:TanjilG_05009 transcript:OIW02416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASVNNRQYGVVCNPSVPTSTYRSNSPIHNGTTFSSNHDLHRIYLDSSGDDENDDVSNFDDLIQKANTELESSILDPRDEGTADHWVHRNASMVRLTGKHPFNSEPPLPRLMHHGFITPVPLHYVRNHGPVPKINWEDWTVEITGLVKRPTLFTMDQLVHQFPIREFPVTLVCAGNRRREQNMVRQSIGFNWGSAGVSTSVWRGVPLRHVLRKCGIYTRTKGALHVCFEGAEDLPGGGGSKYGTSIKREFAMDPSRDIILAYMQNGEFLVPDHGFPLRVIIPGFIGGRMVKWLKRIIVTTKECDNYYHYKDNRVLPSHVDAELANAEAWWYKPEYIINELNINSVITTPCHEEILPINSWTTQSPYVMRGYAYSGGGRKVTRVEVTMDGGEMWYVCTLEHQEKPTKYGKYWCWCFWSLEVEVLDLLGAKEIAVRAWDESHNTQPHKLIWNVMGMMNNCWFKVKTNVCKPHKGEIGIVFEHPTQPGNQSGGWMAKERHLEKSSEPTHPTLKKSVSTPFMNTSSKMYSISEVKKHTNPDSAWIIVHGHVYDCTRFLKDHPGGTDSILINAGTDCTEEFDAIHSDKAKKMLEDYRIGQLITTGYNSDSSPNNSMHGNSDMITHLSLTTIQEITTPLRSVALNPREKIPCKLVSKISISHDVRLFRFALPYEDQLLGLPVGKHIFLCANIDGKLCMRAYTPTSSVDEVGYFELVVKIYFKGMHPKFPNGGTMSQHLDSLPIGSVLEVKGPLGHIEYNGRGNFLVHGKDKFAKRLAMLAGGTGITPIYQVVQAILKDPEDQTEMHVVYANRTEDDILLREELDAWAKKYHDRLKVWYVVQETIREGWEYSVGFITDSIMREHVPKASKDTLALACGPPPMIQFAVQPNLEKMGYDIKNDLLVF >OIW01248 pep chromosome:LupAngTanjil_v1.0:LG11:34216140:34217384:-1 gene:TanjilG_10409 transcript:OIW01248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDARKSTITFEQTNKENYANQVAKKHRKGESSTLSCLPLFNEKWDSLEGTQGHVAFHVTNDIAKDHNCGWKDSSHLSDSIVSLHEMQGRVFDATNDREKYCIVCCEDYSHLNDFDESDEEFLIELNRIKQGDLKKYSEISKNKRSYMIETSIKEKSNSKLFKELKPLVNEDFDVSNSRNSYSLILGKENCKIGQPLPFHSNRCPKLAIPIGPRFQAEIPQWEDLNNTRQYNIDDDDDSKWFGNEIWPMPNIMETNTKGVGNGRPKVCSCDIPGSIDCVQLHISEAREWLKLEIGATFSTWKFDEMGEDVSKSWTMDEQKEFELLTRLCKQSKNMDFWKNAMEKFPSKPLKNMINYYYNVCIPRRMRTMTRASFGTIDSDDDNLVGYERKDKYSSTRMQMVPICKLSKSKSTKYL >OIW02470 pep chromosome:LupAngTanjil_v1.0:LG11:4338245:4341015:1 gene:TanjilG_05063 transcript:OIW02470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSPDAVVGRRRSGKSPEKSNFSQTCSLLSHLLKEKRSSGASTLGIGEENEHKANREDLQNSDGGLRLNASALDFLPHLVENPCIKKSNDRTPGPESPQLTIFYGGKMLVFDGFQPEKATQIMELATKLASDNPSIKESLPSAPEIMKESKVPQTNTASETPRPGNQAVGPDMRYPRRASLLKFLEKRKERVMAKGPYQINNPNPMHEGNSSGNGEPEDQCLDLTL >OIW02285 pep chromosome:LupAngTanjil_v1.0:LG11:5092615:5093575:1 gene:TanjilG_11179 transcript:OIW02285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNHMEYTYSAEAATDAENYSSITPPSAMRKKKNKNTRRFSDEQIRSLESMFETESKLEPGKKLKLARELGLQPRQIAIWFQNRRARWKSKQLERDCSILRSNHNNLASKFEALKKEKQALLVQLQKLNDIIQKPQEEAQSSTSTQVEASNIMNSNSENGDTIKCEAEVKARTSMEKSEHVLGVLSDDDTSIKVEYFGMDDEPDLLNFVEHAEGSLTSPEEWSTFESDHLLAQSTSDFQWWDFWS >OIW01518 pep chromosome:LupAngTanjil_v1.0:LG11:27795454:27797105:1 gene:TanjilG_19444 transcript:OIW01518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSNSHPLSNSSPLCSQSPQPFSSRSQSPPSATPPPQSPPPPPVVVSPCAACKILRRRCVERCVLAPYFPPNDPIKFTIAHRVFGASNIIKFLQELPESQREDAVSSMVYEANARIRDPIYGCAGAISQLQNQINELQAQLAKAQAEIINMHFQHSNLVALICMEMSESQEKQLFQSQQHIDMSCFLDDNSLGCSWEPLWT >OIW02690 pep chromosome:LupAngTanjil_v1.0:LG11:1822400:1823059:1 gene:TanjilG_29466 transcript:OIW02690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNNCKESVWPGVLGSAGHPSPKNGGFHLCSGEETVVEVPEGWSGRIWGRQGCCFDNKTGKGSCETGDCGGLLKCQGIGGVPPATLVEMTLGTPQSPLHFYDVSLVDGFNLPVSMKPVGGCVGCGVASCETNLNIYCPSALVVQRQGKVVGCKSACLAKKSDRYCCTGEFASPKSCKPSEFARLFKTNCPQAYSYAYDDSEGLKTCKAPRYVITFCPPK >OIW02190 pep chromosome:LupAngTanjil_v1.0:LG11:7453891:7456521:1 gene:TanjilG_02414 transcript:OIW02190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMMQGVQKNTLYVGGLAEEVNESILHAAFIPFGDIKDVKTPLDQATQKHRSFGFVTFLEREDASAAMDNMDGAELYGRVLTVNYALPEKIKGGEQGWAAQPIWADADTWFERQQQEEEMRRIEAENRATMQAAEELHRKKAAEEREGEKEEDIEIKDDPMAKAEAEVIQQN >OIW02518 pep chromosome:LupAngTanjil_v1.0:LG11:3049039:3049191:1 gene:TanjilG_12832 transcript:OIW02518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHNLSHSTQSPVHWATPYTLRCRHTFTSNDRILAGARPKCQTYKCSRVVR >OIW02755 pep chromosome:LupAngTanjil_v1.0:LG11:1330307:1332190:-1 gene:TanjilG_29531 transcript:OIW02755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYNCIYIATTWLLLTNILLAIIVVSEANNKKVSALIVFGDSTVDSGNNNQIRTVLKSNFRPYGRDFEGGNNPTGRFCNGRVPSDFIAEAFGIKNTIPAYSDPAYTIKDFATGVSFASAGTGYDNATAAILNVIPMWKELENYKEYQAKLRAYVGIEKANEIIGEALYQISLGTNDFLENYYIFPTRRSHFTVSQYQDFLVGIAENFVKDLYALGGRKISITGLVPMGCLPLERTINIFGHHACKEEYNNVALGFNEKLDRLISNLNKKLPLLKAVSANAYYIFNDIITRPSAYGYEVVEKACCSTGTIEMSYLCNEKNPLTCPDPNKYVFWDAFHPTEKTYRIVSNYLIPKLLATFR >OIW02368 pep chromosome:LupAngTanjil_v1.0:LG11:4800809:4804867:1 gene:TanjilG_08515 transcript:OIW02368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGIQVVAAGSKVAKLKLRKGNSSAHNVMNGDNSDGDLSRMNSSVCLPSIAEVERDPSCVSFTTFNILAPIYKRIDPQNPGLRESDFRSLWLARNERILDSLLSESPSIMCLQEFWVGNEELVHMYEERLGDAGYHLFKLARTNNRGDGLLTAVHKEYLRVVNYRELLLNDFGDRVAQLLHIQSVSPFLKNQKGGFHQEFLMVNTHLLFPHDSSFCIVRLHQVYQILQYVELYQRENRLKPMPIILCGDWNGSKRGHVYKFLTSQGFVSSYDIASQYTDSYADSNKQWISHRNHRGNICGVDFIWLCNPNQARKPLKTSWAEAVFSMLKYQLRKASLSEDNAFAFLKGDHCVDSVTYFSFSEALRKVKLFDAPYGLCFQQLQDLWNQADVDGNGVIDYEEFKLKIWNSTCPEHVLENLIGSIEDTNTDQEQETIGFKVNNAMLSPHEVEKGLWPEDYSLSDHARLSAVFSPARMRCCVTKL >OIW02479 pep chromosome:LupAngTanjil_v1.0:LG11:4514335:4514496:1 gene:TanjilG_05072 transcript:OIW02479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMSIWHYVHKEIYMFELNNKVSLDYLTELTNNPNCKKIKEMKKILLKSYTLQ >OIW01507 pep chromosome:LupAngTanjil_v1.0:LG11:27536273:27541856:-1 gene:TanjilG_19433 transcript:OIW01507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGKEENRIFVGGLSSDVSERQLHRAFDRYGKILECQIMVERDTGRPRGFGFITFADRRGMEDAMKEMHGRELGDRAISVNKAQPKMGSDDADHGYRSSYSSGGRGSYRVGDRTGQDDCFKCGRPGHWARDCPMSGGGRGGGGSFSSRPRFGAAGGLGDHLSNERDRYADDRYDGRRYGDGDRYDDRYGSHDRHTTDRYPTTGDRFGTGRNGGSDRYPQNGHGKDRGYDRLGGPRGGAADRYGGGIPARDEGRNYRSSRPGPYDRPSRGGRPSSFDRY >OIW01080 pep chromosome:LupAngTanjil_v1.0:LG11:35425629:35426690:-1 gene:TanjilG_25188 transcript:OIW01080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPKNCLIGKLFSYKPQAHTNIASIFYTMWNKPKGFICEEVKDRIYLFYFQNEFDANMKMRRQPWNYKGQLLVLRPWKKGQDLEAYNFDNVPFSVQVWGLPFTLRSANVAEKLCTHAGNVLEVGIYNDHGSHNFIPKALVLIDISKPLKLGAYLDTDDDYGKIWADFKYENLPIFCYYCGKIGHEEHECMERVKDLMENNVKSCKYGPSLRAGQIGKNIGKFDDGHNNHQNQLLMLEKDYNIEELDKVSHQLSSLYVTKNQVGVTTDQEPNPMLTIENLQPSMLKFDIGCNNPSLKSKNKVKMSGYKSQKHDSTSTLTTGSVPQDHIGYLAMKRRSEEFLERKKGKRVCMDQS >OIW01292 pep chromosome:LupAngTanjil_v1.0:LG11:34706787:34709794:1 gene:TanjilG_10453 transcript:OIW01292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVWNCSSLEDMWTTLRALRLLTVTATPTLAETVDVGFLNACDNRDAENEIWRFHHKKPEGRSRIDRDADNLQLHQLEEKGVVSSVATVLSDLCGPGEWMPMEKLHAELVEQYSSIWHHSRVRRYLTSEEWPGPESKGKPWYGLLMLLRKYPEHFVINTRSKGRVTLEFVSLVSLLS >OIW01456 pep chromosome:LupAngTanjil_v1.0:LG11:30002252:30002485:1 gene:TanjilG_30930 transcript:OIW01456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTIVPLRLEKMIVPLPLEKMKTKTNGEDEEKHDYTSGEDEDKNEWRRGKQNGDVGLSVRGTSIACVVVQLCVWEKQ >OIW02573 pep chromosome:LupAngTanjil_v1.0:LG11:2869705:2869986:1 gene:TanjilG_24024 transcript:OIW02573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMTIMSFAPSTGRVFVATATKGSAGSVKKEKGLLDWIVGGLLKEDQMLETDPILKKVEEKNGRGTTSGRKNSVAVPQKKKGGGFGGLFAKN >OIW01893 pep chromosome:LupAngTanjil_v1.0:LG11:15541541:15542766:1 gene:TanjilG_31075 transcript:OIW01893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSDLHSADGAQNAEWLPIIDTDDENENMEVDSDDVGSDEHSGGLSPPSEDGGNDARNVEGGESDEEGEQMHNDPYEEMPLHRRDRNLIDLTTPRSRMVHSSDSFNDNNRIESQSRRGKKKQNITLEDASSSSLAQSFSDFSIGETSQSSQGYNPMHPVYYPHGYYIHPQYESGQVSSHQSSLMNYQEPYYQQSSHGFFDYVFGQGPTQDGSQYDNEDYAPPRHSSMW >OIW02556 pep chromosome:LupAngTanjil_v1.0:LG11:3332068:3335996:-1 gene:TanjilG_12870 transcript:OIW02556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSVKGLVESNDLRSVPSNYIWSTNPEDPILHETENVPTIDFSQLISSNPCEQSMAVQKLGDACRDWGFFMLINHGMPETLRREFLRSSQNFFDMSEEEKKEYAGGNICDPINCGTSFNVTVDKKLFWRDYLKCLVHPQFQAPSKPLGFSETSEEYVTKCREIIQQLLKGISKSLGQEENFIHERMNVESGSQLLVINFYPACPNPELVMGLPAHTDHGLLTLLTQNELGGLQIQYNGQWIPVNPLPNSFLINTGDHLEILTNGKIKSVIHRVLVNNKGARISVATAQGPPLEAVVSPAPELVGDDNPAAYRAITYRDYLTIQKSNELDGKSCLDRIRI >OIW01888 pep chromosome:LupAngTanjil_v1.0:LG11:15337173:15343377:1 gene:TanjilG_31070 transcript:OIW01888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKASILIYITLSFLLFLFLSQPNHPSTTLHHRHRRLKLRSNFTLPHHLHTRHHDPVPFDPLLAELERRREDREFEKQHIEQSHSELAASAAAQGEEAQPEWEEFMNAEDYINDDDRFNVTSRLVLLFPKVDLDPIDGFLSESELTEWNVQQAQKEVLHRSQREMVVHDKNHDGFVSFSEYDPPSWVQKTDNNSFGYDMGWWKEEHFNASDVDGDGLLNLTEYNDFLHPADSKNPKLLEWLCKEEVRERDTDRDGKLNFKEFFHGLFDLVRNYDEESHDDSHHSDDSRDAPARMLFAQLDKDGDGYLSDVELLPVVGKIHPSEHYYAKQQAAYIISQADVDKDGRLTLDEMIENPYAFYSAIFNDDEDEFDYHDEFR >OIW01801 pep chromosome:LupAngTanjil_v1.0:LG11:17923814:17924949:-1 gene:TanjilG_03939 transcript:OIW01801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLFSTTTTATSIIKPPPLPFLSLPIRRSLLPLSNATTRFGGIRCRVNRAGDSAYSPLNSGSSFSDRPPTEMAPLFPGCDYQHWLIVMDKPGGEGATKQQMIDCYIQTLAKVLGSEEEAIKKIYNVSCERYFGFGCEIDEETSNKLEGLPGVLFVLPDSYVDPEYKDYGGKDLRLT >OIW02093 pep chromosome:LupAngTanjil_v1.0:LG11:9214938:9219330:1 gene:TanjilG_14792 transcript:OIW02093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMMRRLKSIASGRTSISSDHSTDSSTKRAKFDQETDGKVNEETNTVERGSKDQAESVGTSQETTVDTSNVSTVARTGKPTYDQLPKELHEMKIRDDKANKNNEKDIEATIVSGHGTETGQIITTAIGGRDGQPKQTISYMAERVVGTGSFGVVFQAKCLETGEAVAIKKVLQDKRYKNRELQVIRMLEHPNVVQLKHCFFSTTDKDELYLNLVLEYVPETVYKVSKNFIRTHHHMPIIYVQLYTYQICRALNYLHQVIGVCHRDIKPHNLLVNPQTHQLKICDFGSAKMLVPGEPNISYICSRYYRAPELIFGATEYTTAIDMWSVGCVLAEFLIGQPLFPGESGVDQLVEIIKILGTPTREEIKCMNPNYNEFKFPQIKAHPWHKIFDKRMPPEAVDLVSRLLQYSPNLRCTALAACAHPFFDSLRDPSFSLPNGRPVPPLFNFTDQELADAPDELRQRLIPAHART >OIW01178 pep chromosome:LupAngTanjil_v1.0:LG11:33117460:33122047:-1 gene:TanjilG_10339 transcript:OIW01178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRYLLHLQAVGVGSFSGSTAAASAAAIRWVSKDGIGAVGRLFIGGRFGNLFDDDPKQWRMYADFIGSAGSIFDLTTQLYPAYFLPLASLGNLTKAIARGLKDPSFRVIQNHFALSGNLGEVAAKEEVWEVVAQLAGLGLGILILDTPGLVKSYPVLLSTWMSVRLLHLWLRYESLSVLQFNTINLKRARILVKSHVLHSTVPGCKDCNREENILTWSQFMKPEIIFGFPLEKMNDVDRSHFMVKAFLKLYANEKYILMVNQQPQDLKFYVSFKVGATSVSVLRSVWQSFWLSENWDNKGNVCDQLGTSLMELENKFEDFLQKLKVAEWDTQKLNLKTNWVVLYYGHPPCDIGFMPASCSLQSEDRFLELAHPRGTVIVNKIVSCR >OIW02684 pep chromosome:LupAngTanjil_v1.0:LG11:1863659:1866337:1 gene:TanjilG_29460 transcript:OIW02684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMESPEAKKWGLKLPATMSGTSLRLDTFSCSSSSPLSISNLSSPSKTNLSSPSSTSKSSSTCSDRFIPCRSSSRLYAFALLDKPSPVKDNNGVGGGNSINNEAYSRLLRAELFGSDFASPSSSHAGHGSGSGSPSIMSPSKNILRFKTDSCGPTSPFSSPSTLGGHRNELSSDTSTPPKPPRKILDAPSLQDDFYLNLVDWSSQNVLAVTRLCDLGPHDGVCSVQWTKEGSYISIGTCLGQVQIWDGTRCKRVRTLGGHQTRTGVLAWNSRILASGSRDRNILQHDMRVSNDYISQLVGHKSEVCGLKWSSDDRELASGGNDNQLLVWNQHSKQPALRLTEHTAAVKAISWSPHQSNLLASGGGTADRCIRFWNTTNGHQLNYIDTGSQVCNLAWSKNVNELVSTHGYSQNQIMVWKYPSLAKVTTLTGHSMRVLYLAMSPDGQTIVTGAGDETLRFWNIFPSMKAPTPVKDTALWSLGRTQIR >OIW01662 pep chromosome:LupAngTanjil_v1.0:LG11:20888113:20895735:1 gene:TanjilG_04630 transcript:OIW01662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLMEKGSEPFTDTEKADLEAIAAIKESAAIELKEKGNEYVKKGKKHYSDAIDCYTRAINQNALSDSENSILFSNRAHVNLLLGNHRRALTDCQEALKLNPSNIKAIYRAVKASLSLDLLDEAQDYCQKGLQLDPNNEELKKFDQKIGVKIAEIKKREAEVSKAVTEAKELVSAIENRGLKIGKEMYRELTGLRKPVLDKNNILHWPVLLLYAEVMSSDFIEDFCETDMFSLHLDMISFLLPNIFAEDQPLPWDPERNYKREFVELYYEAGSGLLSKEKILQGLLEGTAASHGQESGDEEKDSVEDFKHNTGSPKWIKVNERKTLHDVLRGPNFIIPWIPVSSDSVVFSVFYVVSKQSSFYGKFKAGKWAPPSI >OIW02463 pep chromosome:LupAngTanjil_v1.0:LG11:4271400:4276141:-1 gene:TanjilG_05056 transcript:OIW02463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATATMATAAGAAALLYYTINRKLQSRTIGDDEHISDAPTNVPLGIDRVSHRLIQAPATWSETISTLSETLRFTYSETLGKWPLGDLAFGISFLLKRQGNYHVDSVFAGKDSSQLKGSDIIAELKYLLNLLTLCWHFSKKPFPLFLEETGYTEENVLFQEPKAGILKPAFTIMVDHNMGCFLLLIRGTHSIKDTLTAVTGTVIPFHHSVVYQGGVSELVLGYAHCGMVAAARWIAKLATPCLLEALRHHPDYEVKIVGHSLGGGTAALLTYILREQKELSMTTCVTFAPAACMTWELAESGNGFITSVINGADLVPTFSAASIDDLRSEVTASAWINDLRNQIEQTRILSTVYRSASALGSRLPSIATARAKVAGAGAILQPVSNGTQVVMKRAKSMAQAAWARPNLNLSSWSCMGPRRRAVGSRSNTKGENGSPRSSSSSVNEESSDQLICSPKKGVNAKNMKLPVSSSVEEWSSEIERANERASDAEGNVVGHNDSEHMTDHDRYEDQMSEVELWQRLEHELFDRPDGEVEEIREEEEAAIAEVTDGQTQSSAPEMKEVHRFFPAGKIMHIVTFHSDADEHENDSSSSSTSSDSSEPDETKIGIFLTPRSLYSKIRLSQTMISDHFMPVYRKQIESLIKELEEESAEDHRTQVML >OIW01596 pep chromosome:LupAngTanjil_v1.0:LG11:23566939:23568955:1 gene:TanjilG_08777 transcript:OIW01596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGEYLRKGPWFQEEDETLTRFVNHLGGRRWDSLAKVAGLRRSGKSCRLRWKNYLRPNLKHGPFSDEEKQLIIQLQQLWGDNHKLENSTQDFSQKSIDDMVSKDYNHENLKCQEHKWESNDSTIDTYPLSDWGYGSSPYEVRILDWTAELQNGYGEKELVKDSNITGINDYNSQGFSSGFDSWDYTVSSLWDMN >OIW02717 pep chromosome:LupAngTanjil_v1.0:LG11:1590041:1591834:1 gene:TanjilG_29493 transcript:OIW02717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKATKAEKKIAYDGKLCELLDEYTQILVVNADNVGSKQLQNIRQGLRGDSVVLMGKNTMMKRSVRIHAEKTGNQAFLNLIPLLIGNVGLIFTKGDLKEVSEEVAKYKVGAPARVGLVAPIDVVVPPGNTGLDPSQTSFFQVLNIPTKINKGTVEIITPVELIKKGDKVGSSEAALLAKLGIRPFSYGLVVLSVYDNGSVFKPEVLDLTEDDLLEKFAIGVSQVTSLSLAISYPTLAAAPHMFINAYKNALAVAVATEYSFPQADEVKEYLKDPSKFAAVAAAAAPAADTGAAPAAAAKVEKEEEPAEESDDDIGFGLFDE >OIW01098 pep chromosome:LupAngTanjil_v1.0:LG11:35637949:35639121:-1 gene:TanjilG_25206 transcript:OIW01098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLPSLHHHHHQLPCSSFHYYSPSPTPSSSSPLFLSPPISLQSSPSIRASPSPSLPLSYDPPMQNPEPKSPQILNPLPKVSTFQQNHEDPESETAQILNSLPKVSTFEQNNQDPESETAQILNSLPKVSTFEQNNQDPESETAQILNSLPKVSTFEQNNQDPESETAQILNSLPKVSTFDQNNEDPESETVQFFNKVPSFATITAASVFLFLGFCQNRFTNKSLTALSSIVSIQALEDDDEINLEEFQGRKSDDIQTILHLKLKEKVPIVHSFKKTKTDDDEAWQVLKAQVSSCSVELELVKVGFEEILEKKEHGCNQAYYDCVLEYLEMIDECKSLLKGIKFAMNRCERENRDVKNHLRFFNKVVDRIRLLEGDMIGALKHFQELEKE >OIW02650 pep chromosome:LupAngTanjil_v1.0:LG11:2052614:2056133:1 gene:TanjilG_29426 transcript:OIW02650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAQAKKKAFRAKLNAQKKEKRIESPLVRYNEVDQPVCRVCDVVLKSESLWDAHQVSRKHREAISNLKANAAGLTQDSKAKPVTDANFPKVKPGQPAESRSKKPEISQEMPRPQSSSVLPPNFFDNNDTRKTTSGKDSSNSVDLGRKVGTSAQSQVANLENEKGRSHGNNVAGSKLSQATSETRQTSPKTTDAEINQAKGALPEGFFDNKEADLRARGIKLVKPDVKDEYKEFEKLIQEDLKEVDNRLEEDEIDAAEMIEEAESVEQKILREKVEMLKRKRLELKAARAAKRSKSSPEEVVTKASGHEDSSSDDESGENFAVDWRAQHL >OIW02102 pep chromosome:LupAngTanjil_v1.0:LG11:8418377:8421086:1 gene:TanjilG_26642 transcript:OIW02102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSLIQGYSSASEEEEQDHNSSSDNDGEDVNAGEPSSAANHPSLYDRSIFKVPQPSSASGLPSAFEVFSEISGPPQFLNNSVEEYNPARDVDQQQGRHGSRRRHRKEKKDLPTGAVVEAKPQLVGIHERVRSDMDGGSQPPTPAASSASETVKRVPTASNPNAEDAAELLRMCLQCGIPKTFSNARGMVCPVCGDRPPVDPSSESKKKGSTVKDKEKSKRMRGQSSHATWKSETEMQLRQTFD >OIW02644 pep chromosome:LupAngTanjil_v1.0:LG11:2113047:2114585:-1 gene:TanjilG_29420 transcript:OIW02644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGESGMFMVQQTIGSVLCCKCGIPMQPNAANMCVKCLRSEVDITEGLLKRLMIVHCPECESYLQPPRTWIKLQLESKELLTFCLKKLQKNLNSNKVRMIHAEFIWTEPHSKRIKVKVKVQKEVMNGAILEQTYPIEYVQQDHMCESCSRVQANPDQWVAAVQLRQHVSHRRTFFYLEQLILKHGAAASAIRITQMDQGIDFFFSNRSHGIKFVDFVGKVTPVRSRNDKQLVSHDTKSNNYNYKFTFSVEICPICREDLICLPPKVAVSLGNLGPLVICTKVTNSIALLDPFTLRHCFLDAEQYWRTSFRSLLTSRQLVEYIVLDIEAVSSEVNIGGTKYVLADAQVARVSDFGKNDTMFSIRTHLGHLLNPGDYALGYDLYGANNNDDELEKYRGLVIPEAILIKKSFEEKRQKKRGKPRSWKLKSLNMEVDDKVKVDEEKMNSEYEQFLKDLEENPELRFNISLYRNREYQPSEMASVTDGEEVPSVPLDELLADLDLSEAGSDDDSMAE >OIW01325 pep chromosome:LupAngTanjil_v1.0:LG11:35077118:35082403:1 gene:TanjilG_10486 transcript:OIW01325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANTNRMVYFLVLLQISLSIVLTQSAPESSLVTQLPGFNGTLPSKHYAGYVTVDQNSERNLFYYFVASESNPSKDPVVLWLNGGPGCSSFDGFVYEHGPFNFEKPKTKGTLPKLHLNPYSWSKVSNIIYLDSPAGVGFSYSKNKSDYKTGDLKTAIDSHTFLLEWFKLYPEFLSNPLFLAGESFAGIYVPTLAQQIVQGFNAGAKPKLNFKGYLIGNGVTDDTFDGNAMVPFAHGMGLISDQIFEDTKSQCNGKYYGKLNKTCLQMLLKVDKVLDGLNIYDILEPCYHGSENTTYDNRLPLSFRKLGETDKPMPVRKRMFGHAWPLREPVKSGNVPTWPELSSSGSVPCFDDEVATEWLNNESVRKAIHTVEKSVVSKWDLCTDNIVYTHNQGSMLKFHKNLTSNGYRALIFSGDHDLCVPYTGSEAWTSSLGYKIIDEWRAWLVDGQVAGIQ >OIW02153 pep chromosome:LupAngTanjil_v1.0:LG11:7873771:7878567:1 gene:TanjilG_02377 transcript:OIW02153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVKSEGIQLRCDKLQSPVIPRTRLRIWFIRVCSSIVIWTCLVQLVTVSELWQSHFISGITSSISRITQVQLPLRSDDGVAVVLPPLAPARNYTSNGFLRVSCNGGLNQMRAAICDMVTVARLLNLTLVVPELDKTSFWADPSNFEDIFNVKHFIDSLRDEVRIVKRVPKKFSRKEGYSTLAMPPVSWSNEKYYLEQILPLFGKHKVLHFNRTDTRLTNNGLPLDLQKLRCRVNYQALKFTSEIENLGQKLIQILQGNGPFVALHLRYEMDMLAFSGCTQGCTDNEAEELKQMRYAFPWWREKEIVSKERRSQGLCPLTPEEAALVLRALGFGRETQIYIAAGEIYNGERRLAELRAAFPRIVKKETLLTRDDLQQFQNHSSQMAALDFMVSAASNTFIPTFDGNMAKLVEGHRRYSGFKKTILLDRRKLVELLDMHQNRTLAWNEFADAVRQFHESRIVRPTRRRVILDKPKEEDYFYANPHECLCEETNCDDLPGPRNSSDV >OIW02776 pep chromosome:LupAngTanjil_v1.0:LG11:1158324:1162178:-1 gene:TanjilG_29552 transcript:OIW02776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATVTPENPRTSPTISRSVTHTVNGSHKFVIQGYSLAKGMGVGKHIASDLFAVGGYHWAIYFYPDGKNPEDNSTYVSVFIALANDGSDVRALFELTLVDQSGHGKHKVHSHFDRSLESGPYTLKYKGSMWGYKRFFRRSLLESSDFLKNDCLKINCTVGVVVSAIENLQLHSINVTESDIGSHFGALLDNMEGSDVTFNVAGEKFPAHKLVLAARSPEFRSKFFDGLDVEQQEIIVTDLDTKVFKALLHFIYRDTLTEEVDEVSSTTSSDFLISETLTAKLLAAADKYGLERLRMMCESRLCKDICVNSVSIILTLADSCHATELKSVCLKFAAENLAAVMMSEGFESMKENCPRLQSEILMTIAGCEVDSCSAGEKSQSVWAQLSDGGDDAE >OIW02439 pep chromosome:LupAngTanjil_v1.0:LG11:4054221:4057023:-1 gene:TanjilG_05032 transcript:OIW02439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSMEFSLHISLVSFLVLLSIVNPLFATKVCNFPAIFNFGASNSDTGGLAASFAVVKSPYGETYFHRPAGRFSDGRIILDFIAQSFGLPYVSAYLDSLGTNFSHGANFATAGSTIKPPSSYPTSLISNVRFSPFYLDVQYSQFKNFIPRTQFIRHQGGIFANLMPHKKYFSEALYTYDIGQNDITAGFFGNMTVQQVIATIPDIVNNFSANIKKIYNLGARSFWIHNTGPIGCLPLILANFPSAEKDEYGCAKEHNEVSQYFNHRLKETLAQLRKDNPDLAITYVDIYSAKFSLFQDPKKYGFEKPHVACCGYGGEYNYNVNVSCGSTINTNGTENLVGSCGKPSVRIVWDGTHYTEAANKVVFDKIATGAFTDPPVPLNMACHRSFTKEL >OIW01604 pep chromosome:LupAngTanjil_v1.0:LG11:23275172:23275582:1 gene:TanjilG_10913 transcript:OIW01604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEASPSTSSKIYKPRDEELIKDFLYNKVHGHAESMPNNLTVLEYDLHGDRNPWEIWEAFEGSSYDGRELYIFTTLKKKSLNGSRFLRIIGCGSWEAEDTGKKVVAEGINQCIGLKKRFRFEKSGTEHDGTRLDSA >OIW01230 pep chromosome:LupAngTanjil_v1.0:LG11:33946042:33948509:-1 gene:TanjilG_10391 transcript:OIW01230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSQIRILETAYPLAGIRLHISTIPEPTKWAGYRHIDCARVYDNEKEIGEALKTLFSTGVVQRSEMFITSKLWISDCAPEDVSKALTRTLEDLQLDYIDLYLMHWPFRTKPGSRGWDPEIMAPLCLEETWNAMEGLYASGQARAIGVSNFSTKKLQDIIRCAKIPPAVNQVECHPVWQQPALHNLCKSTDVHLTAYSPLGSPGSWVKGEILKEPVLIEIAEKLNKSPAQVALRWGIQSGHSVLPKSVNESRIKENLSLFDWSIPPELFSKFSQIHQQRLLRGDFAVHETCSPYKSLEELWDGEI >OIW02670 pep chromosome:LupAngTanjil_v1.0:LG11:1926864:1927289:1 gene:TanjilG_29446 transcript:OIW02670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDEVREIFNKFDKNGDGKISCSELKEMLIALGSKTTSDEVRLMMEIIDQNGDGYIDLKEFADFHCTKDSGADDSKELRDAFDLYDIDKNGLISANELHSVLKKLGETCSLGDCRRMIRNVDADGDGSVNFEEFKKMMARS >OIW02220 pep chromosome:LupAngTanjil_v1.0:LG11:7052765:7056604:1 gene:TanjilG_31969 transcript:OIW02220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAGKGNMEEDEYEEDEFGSSKKQGHSSAPNPNNTNKDAKAVDKASAVRSKHSVTEQRRRSKINERFQILRDLIPQCDQKRDTASFLLEVIEYVQYLQEKVQKYEGSYPGWSPEPSKLMPWRNSHWRVQNFVGQPQAVKNGSGPVSPFPGKFDESNTSISPTMPCGSHNMIDPDQSRDIANKIIERQPDLASKGLPLPMAMHSNMSVPVRSDDLLAHPLQGTVSDAQSIEYPATSEPQTRQEELTVEGGTISISSVYSQGLLNNLTQALQSAGIDLSQASISVQINLGKRANEGPSCGTSSPKVYYGILSSLMLVPFC >OIW01497 pep chromosome:LupAngTanjil_v1.0:LG11:27211741:27214201:-1 gene:TanjilG_19423 transcript:OIW01497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLRQLISPSTHVCCKPNFPEPLLSTHHCTKAVTASQSNSYDPTMVSHSFYSPGLTQFSHDYSSSTMIPTTNTTIAAITGSDHDATACFSLKETGWLGFDAGNKRWPRQETLSLIEIRSRMNSKFRETSHKGPLWNDISRIMMEEYGYERSGKKCKEKFENLYKYYKKTSKEGRHDGKRYRFFNHLQAIYGEIQTNTHARNIHIVHETPSSINNQTSDVFSNHKGSDQRLSLSKSSSEFETSSSENNEESISEIALIMEKEHKRQSNGRVRRKSLRVIVEEVVESCMRKIIEAQDSWMEKVLSVVEQREKEMMYKEDERKKKETMVFDKKVHELRAKERAWVEARDAALMEVVKKHSGREFEAELFIEEAQDYKNKREGNDSKEYPCQGSDYRRKWTEMEISNLIQLRFGFEHRFQENGYLENGQWDDIAEKMVCLGYNKSATECMQIWDEISISLSKA >OIW01092 pep chromosome:LupAngTanjil_v1.0:LG11:35597272:35600274:1 gene:TanjilG_25200 transcript:OIW01092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDALKACYGDGSSDSDSESVPSSSTLAQPKVLTPLPPPPISLLDPPNFIGSLDLQIGQTTRVRSFPHVDGNYALHVYIPINISSPSKKELATFLKKVSCREPTLNVVDVDVPLNILCKNDDKLEQVALGREFHISLGRTVPIRVHQIDSVVSMLRQKLQTQNHYWIDFNKWEIFVNDERTRTFVSLEVVQGGLAQITKQIEAVNAIYKLHNLPEFYKDPRPHISLAWAPSDISNSLKKVVDEEMKKSVAGKPLKKCIFSCKFSGIECKIGQKTYAVCKISDGK >OIW01781 pep chromosome:LupAngTanjil_v1.0:LG11:18232139:18233468:-1 gene:TanjilG_03919 transcript:OIW01781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSINSEVRSSVASGLRGFECLTVIGPTGYSFQVIILVLNMPDLDSFEVTTRIRKFRSRIWPMIVVLTSSTEDLWDRCMQIGINGVIRKPVLLQGIASELRRILMQENEIL >OIW01381 pep chromosome:LupAngTanjil_v1.0:LG11:31930409:31932723:1 gene:TanjilG_12921 transcript:OIW01381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAEAKGSNVSNMELLNFPGGHQTFELAMKFCYGMNFEITTFNVARLHCAAEFLEMTEEYKEQNLISRTQTYLNDIVFQSLQKSVEVLSTCEIMLPTIVDEIEIISNGCVEAIAMHACKEQLVSTLSKLDCDDESRELITDTNCVAWWVEDLSVLHIDSFQRVICAMLRKGVRSNSIIASLMHYAQSSLKGIGKSQFLNNPSSLENDQSVIVETLVTLLPIDKNKNSSTIPLTFLFGMLKMAIMLGATIQCRLELERRIALRMEMVSLDDLLIPSVQSGDSLFDVDTVHRLLENFLKRIEEEETEDYGYESDGFGSYTGHGSLLKVGQLIDAYLAEIAPDPYLGLQKFVALIEILPNYARVIDDGLYRAVDIYLKAHASLTEEEIKKLCKLIECEKLSEEACNHAAQNERVPLQMVVQVLYLEQLRLKNSLSASSGDILMSQRISSGVPSAAMSPRDNYASLRRENRELKLEISRMRMRLSELEKEQMVMKEGMGDKGGNGKTFLKNISKGIGRIAMFSGQAEGKKQKSSRKTDRSSRRFSHIDR >OIW01750 pep chromosome:LupAngTanjil_v1.0:LG11:18708823:18711324:1 gene:TanjilG_03888 transcript:OIW01750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVTLQLLHTTTYFHTPVFTSANGNTYPLTVSISLSPSDKPEQVSHRTTRPRDHIRRDNRFNKDTQLKKQSLEKIPDDGVLKKSKLGHESKVHTNCSMKWASYGGSIPAMLKALDTVPDVGEALRPWDGRISNKERSIILKEQRRWDRALEIFEWFKKNDYELNVIHYNIMIRMLGRVRKWDHVESLWNDMNARGIAATNSTYGTLIDVYSKGGLKEDAFFWLERMLGQGMEPDEITMVIVVQLYKKVGEFQKAESFFKKWKLGKPLRPNNKNLASAELNERVSHKDASLSSHTYNTLIDTYGKAGQLKEASETFHKMLQQGIKPTTVTFNTMIHICGNHDQLDEVSSLLKKMEELQCSPNTRTFNILISLHTKHNDVDMATKYFRRMKEACVEPDLVSYRTLLYAYSIRKMVHEAEELISELDERGLEVDEFTQSALTRMYIEAGMLEKSLLWFRRFHLAGNMTSECYAANIDAYGEHGYTSEAEKVFNWCQERKKLSVLEFNVMIKAYGIGNCYDKACELFDSMEKHGVVADRCSYGSLIQILVSADKPHVAKPYMQKMQEAGLVSDCVLYCAMISSFAKLGQLEMAEETYKEMIIHGVQPDVIVYGVLINAFADVGRVEEAVNYVDEMKRVGLPGNTVIYNTLIKLYTKVGDLKIAQETYKLLQSSEEGPAVYSSNCMIDLFTKRSMVDEAKEIFETLKKTGAANEFTYSMMLGLYKKIERFDEALQIAKQMRKMGLLKDVLSYNNVLDLYAMAGRPKEAIETLKEMVKDDIKPYNSSLKSLGILLLRYGVSRQAICKLEVLMKKDSSNGLQAWISTLSSLFEVDDYGNE >OIW01458 pep chromosome:LupAngTanjil_v1.0:LG11:30026103:30029325:-1 gene:TanjilG_30932 transcript:OIW01458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNTLMSSAISAFPSLLSSSKSRFTTSFPLSCIGSNASSRFSMSAEWMPGEPRPPYLDGSAPGDFGFDPLRLGEVPENLERFKESELIHCRWAMLAVPGILVPEALGLGNWVQAQEWAAVPGGQATYLGNPVPWGTLPTVLAIEFLSIAFVEHQRSIEKDPEKKKYPGGAFDPLGYSKDPKKFAENKVKEVKNGRLALLAFVGFIVQQSAYPGTGPLENLATHLADPWHNNIGNILIPK >OIW02883 pep chromosome:LupAngTanjil_v1.0:LG11:410484:413195:-1 gene:TanjilG_29659 transcript:OIW02883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATWNDAIALGDDSTASHSNSLVMSFSEKRLPLHEVDFFAQNNEKKSHDDHHKMVQHIELSEDTSLDLQMKNTGGKRSIKKYGDERNELAALVAELHDMNAENHRLRVLVDQVNNDYNALHMQLIKLMQTQHRNRGDQAIEKKGKKEGMVPRQFLEMGGFSERDKAFQQYLEGSKLRESKSMIELMESNTVQALEPTKDNSSKARTMEENPGDQAFQGCLSNKVPKLNSLMGHVDQASETMAIIKKARVSVRTRSESSMIADGCQWRKYGQKMAKGNPCPRAYYRCTMCTGCPVQRCGEDRSVLITTYEGQHNHPLPPTAMAMASTTSAAASMLLSGSMPSADGLINPTILESAAFPCSHNNMATLSASAPFPTITLDLTKQSDTNSSSQLQRDQLSLLAPLLSEKFMSVNPSLTDTVNAATAAITADPNFTSALVAAITSIIGTSHPNNNGNYNTSGDQQCNNA >OIW01094 pep chromosome:LupAngTanjil_v1.0:LG11:35606346:35615639:1 gene:TanjilG_25202 transcript:OIW01094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEELRKLEKVQRMIKFMDSKNLLTSSSSNSSNRFLANLFLFLLEPCGEELRMNDKFCLVSNLMSNLSDSVLEEASLWLTQDLLTKEENSGFEQKVVGNALPCCDQIKEYSFSQSCNENMAMVGLDSMQRANSTLEDFLDKWNENLLPTSTNAESVSGGKDEEATQFLVSYSNDPIKPLVTLLERKDLLTERITEELRHGVEYWALERKLCFALINGEEILVEDVMKAIHLKSFDYRVLNLLLYQLRGAMDDVLENNFNILRMFVRIYGASIAPSMLPEELHMEFLSISEFLVEVSDDLYDYEDDVLENNFNILRMFVRIYGASIAPSMLAKCISEAEDKYKTLLESLDPQLSLSYRKRCEEATREGGQVSEHPLGAWTIPTVIVDEEMYRSKKKETRERRQNNLKKKPNICYSRIFTITLVGHSPAEISDTGEQAGQHGGREPEPPDLFFLTISVVAVQASVVMQSTPPYPPEPEDVFAEPAGSRTESGVGCHQTVVEP >OIW01110 pep chromosome:LupAngTanjil_v1.0:LG11:35730666:35732671:-1 gene:TanjilG_25218 transcript:OIW01110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRGTLEVILVSAKNLPNADFLKKMDPYVVLTYRTQEHKSSVAEGSDPIWNESFLFTVSDNVSELKLRFMDKDDFSDDDYLGETNIFLEPVFYEKSIGETVYNVVKEEKYFGEMEAILVDEENHSGSKELIAKYA >OIW01202 pep chromosome:LupAngTanjil_v1.0:LG11:33447888:33449969:-1 gene:TanjilG_10363 transcript:OIW01202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPTTTTQLTPPPPPPPPHAPPHAPLTSCHKHPLQYFTSFCPLCLCDRLSFLHPNNNNNKNASSSRKPPTSSTAAAAVKTIFRPHAARYNKPISSSFLPELRRAQSFSASKNEGFSSVLEPQRKSCDVRGRSTLCSLFNQDDERKISKNNVASSSSVEFETRNLASSSSTPFNESKEDEESYEDNVVLEIEEEEDENSEVIRASEEPNNVAFISSDVIENTVHEIVEKEPVFNSEENFSKPLKEQMDLDSQAKKGSVSSFWSAASVFSKKLQKWRQKQKMKKQRNGIVVGSTTLPVEKSLGRQFRETQSEIADYGFGRRSCDTDPRFSLDAGRMSFEDLRYSFDEPRASWDGYLIGRGFSGMPTMLSVVEDAPVLPQVMRTDSLIPVVEPMMNAINENEDDNLPGGSAQTREYYSDSSSKSRKSLDRSSSIRKTAAAVVAEMDEFKPVSSSIGSSNVNVNNVKANAKVTPATGDYFHGQKMVFPDRDLRDTNSNSLRDDCSETFELGFRDSASVIGNGVGEKKGLSKKWSRWSKAWNIWGLINRRGGGNKDEDEENRYNSRGNGNGVERSFSESWQEYRRERNGDAIRNGSFNPKLLRSNSSVSWRNVQNIGGSFGTMRKSNVQTNVYGRKGRDNFVLERNQSARYSPNHFDNGLLRLYMNPMQVIRGSRRNGSTKGRTNQAPSIARSALRLY >OIW02235 pep chromosome:LupAngTanjil_v1.0:LG11:5910164:5911363:-1 gene:TanjilG_15118 transcript:OIW02235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFETDKKGLLFNNPIIVQQIQHLKQSLSSTLAFFPPLSGRLVILQHDEDNTVSSHILCNNAGALFVHAVVSDNTCVSDILHSKYVPPILHSFFPLSGAKNYQGTSHPLLAVQITELVDGIFIGISMNHLVADGTSLWHFINSWAEISRGCDVVSKLPLLEHWFLHPNRCPIRFPFNEEAQTENSDDCTNYERVFHFTKEKIADIKSKANEEADTDKISSLQALLTHLWRTVIRNQQLDPEKECSYCLVIGVRERIVPPLPDSYFGNALIIDAVRMKAGELLQEGGLGKGALEMHKMIASHSDEKLKILYESWVRPLSILEAGGLSNMLGTSSSPRFNVYGNDFGWGKPVAVRNGISMNGVTTLFAGAEEGSIDITVCLPYEVLEAVGNDPHFIDPFSI >OIW01877 pep chromosome:LupAngTanjil_v1.0:LG11:14892507:14893187:-1 gene:TanjilG_31059 transcript:OIW01877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLDFDALKHLHNSANDLLHSPMVQQAFVNQREDKWVNEVSESSLKMLEVCGISKDVLLLIKEHLQQLQFTLRRASIGEPGTEEKISEYNCYRKKLKKDTLKCLKCLKGMKSKATTLDHPFEEQKLMVVVDVLREVRMTSISIVESLFSLISTPWLDSKSGKWSFTSRLMNLQSSNDIYDVIALQSANKRLLGVQMAIEDLEVELECMFRRLIHTRVLLLNILTN >OIW01496 pep chromosome:LupAngTanjil_v1.0:LG11:27193601:27194068:-1 gene:TanjilG_19422 transcript:OIW01496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSPVKPSHHASAEGEKHKQQLGKEIRDMVFAITHGVTGFQKQGSTNQHLENDDEHGLSMITLAGTNNGATMRSEIDEKYGNSSHDDDDDEVEALNTYVNSNFQAINNSIMLGGSYSANDPGVHMDISDFFTEPQSHHHKAEKQGKKKEKEASKS >OIW02430 pep chromosome:LupAngTanjil_v1.0:LG11:3978600:3981869:1 gene:TanjilG_05023 transcript:OIW02430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMWVFGYGSLIWKAGFHFDERVVGFIKGYRRVFYQGSTDHRGTPEYPGRTVTLEPAEGEICWGAAYKITKKEDEEIAITYLEVREKQYDKKEYLDLFTDLTATTPAISGVMVYIASPNKKDNVNYLGPASVEDIARQIVHAEGPSGPNRDYLFQLEKALVQIGCRDKHVIDLANEVRRILSEEH >OIW01894 pep chromosome:LupAngTanjil_v1.0:LG11:14401000:14406126:1 gene:TanjilG_25693 transcript:OIW01894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLDQWISKVKSGQHLLEDELQLLCEYVKEILIEESNVQPVNSPVTVCGDIHGQFHDLMKLFQTGGHVPETNYIFMGDFVDRGYNSLEVFTILLLLKARYPANITLLRGNHESRQLTQVYGFYDECQRKYGNANAWRYCTDVFDYLTLSAIIDGTVLCVHGGLSPDIRTIDQVLYLPSQLLIEHKIVEV >OIW01668 pep chromosome:LupAngTanjil_v1.0:LG11:21058781:21065800:1 gene:TanjilG_04636 transcript:OIW01668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHCVPDFDIQMDDEEDYQISHPNKPSMQNDEIMELLWQNGQVVMQRQNQRQIRKLTPAAAAESTGDVIAEGSSSAREIRSSDGEENFHSQNLFMQEDEMASWLHYSIHDEDPAPLDHHNFCTGILYASPNQNGAVDTVVNMAEIQQPPVTVASRPPIPPPRIQNFSHFSKHNMTRVEPALISKAADARESTVVDSCDTVAAGSRMSENVRSSAEHAETGRGSMIAAGKAPATSGGGETGTCDMTVTSSQGGSSGSAEPGQREPALDRKRKGRELEESDFQSEDVDFESPEAKKQVRGSTSTKKSRAAEVHNLSERRRRDRINEKMRALQELIPRCNKSDKASMLDEAIEYLKSLQLQVQMMSMGCGMVPMMFPGIQQYMPPMGMGIGMGMGMEMGMNRPVMPFPNMLVNSALPSANAAAHLGPRFPMPPFHMPHVPAPESSRMQAPNQSDNMLPSVGSAPDSDQSRIPNFGDPYQQYLQLQLMQKKDDHRYYNQALTIQMVMLTIVSPVPVTLISAFQVFEVILNKTRMTILNSGLVCIAGLNQGIM >OIW02631 pep chromosome:LupAngTanjil_v1.0:LG11:2431828:2437421:1 gene:TanjilG_24082 transcript:OIW02631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEAQKLVWEGSIPLQIHLHQSQVTTLPPPPPALVLAPRIGYLPLLISLIKPYFSSTLPPGVDTIWFEYKGLPLKWYIPTGVLFDLLCTEPERPWNLTVHFRGYPSNLLLPCEGEDSVKWSFINSLKEAAYVMNGNSKNIMNMSQPDQVELWHSVFSGNLESYHRVSSKLKLGTFENEYTDSINSAISQRSTEETHGAGQMKTGRIPVRLYAWTVGEDFDDLEDAPQIDNWDKVSYINRPVEIHKEDGKHFSLNDAVKSILPEFFPASTFVNEGDASINQNTGEEGDDTCDPVSSFHPLDKAEIKLVRIQGIEPKLEIPFSWVVSNLMNPEYFLHMCVCLKVSEANAMQ >OIW01401 pep chromosome:LupAngTanjil_v1.0:LG11:31257391:31261190:1 gene:TanjilG_25697 transcript:OIW01401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDNKDQDFFSHKFPTTSQVVEELKELWSMALPITAMNMLVFVRAVVSVLFLGWLGSLELAGGALSIGFTNITGYSVLVGLAAGLEPVCSQAYGCKNWDLLSLSLQRMVLILLMAIMPISLLWINLEKIMLFMGQNIDITRMASIYCFYSLPDLLTNTLLQPLRVFLRSQKVTKPMMYCSLVAVTFHVPLNYLLVVVMDLGVPGVAMASVITNLNMVVLMAGYVCLWRKNEMVLKWGCLGGGGGGMVVMGVVCGGLGQLMKLAVPSCFMICLEWWWYEIVTVMAGYLPNPTLAVAATGILIQTTSMMYTVPMALAGCVSARVGNELGAGKPYKAKLAAMVALGCAFGLGFINVTWTAIFGHRWAGLFTKDEAVKALVSSVMPIMGLCELGNYPQTTGCGILRGTARPVIGAHINLGSFYIVGTPVAMGLAFLLKVGFSGLWFGLLSAQLACVVSILYVVMARTDWEAEALKAEKLTRVEMGSCNEHRIIESEKDEENKWLLVNGNTNKDDIC >OIW01339 pep chromosome:LupAngTanjil_v1.0:LG11:32588296:32592085:-1 gene:TanjilG_20521 transcript:OIW01339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQAVKPHEPKTQSFDLLSGHAIPAVGLGTWKSGSQAINSVFTAIVQAGYRHIDTASQYGVQEEVGHALQSAMQAGVERKDLFVTSKLWCTDLTPERVRPALQNTLQELKLDYLDLYLIHWPFRLRDGANRPPKEGDVLEFDIEGVWREMEKLVKENLIKDIGICNFTLKKLDKLLTTAQIMPSVCQMEMHPGWRNDKMLEACKKNAIHVTAYSPLGSSDGGRDLINDQTVDRIAKKLNKTPGQVLVKWAMQRGTSVIPKSTNPDRIRENASVFNWEIPEQDFKHLSNIPDQRRVLDGEDLFVNKESGPFRSAAEIWDNED >OIW01095 pep chromosome:LupAngTanjil_v1.0:LG11:35620191:35622002:-1 gene:TanjilG_25203 transcript:OIW01095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLLRERANIHGSISHIDDVISQAQATRAVLGSQKALFGDVQGKVKVLSDKFPIIRSLLGSIRRKRSRDTLILSAVIAACTLFLIIYWLSK >OIW02905 pep chromosome:LupAngTanjil_v1.0:LG11:281049:284953:1 gene:TanjilG_29681 transcript:OIW02905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDASTTNETHSASQPNDPNRIGPGQNNSARYKLMSPSKLPISRSHCITIPPGLTSTSFLDSPLLISNIKVEPSPTTGSFSKLLQTVHGSMSSAESATFPVTTTCFNNNTIEERESNIFEFKPHNISNMVPADFNNHVSEQSIQAECPGKVQSFASSPLVESETCFPSNELSISSSPVQMVSSGASTPVEVDSDELNHKGNTATVLQASQIEEVKGSGLSVAPERAYDDGYNWRKYGQKLVKGSEFPRSYYKCTHPNCEVKKLFERSHDGQMTEIVYKGTHDHPKPQPSRRYPVSSIVSMHEEKSAEPNSTADLSPVATNDDSREGADRTNDEVDDDDPFSKRRKMELEFADTTPVVKPIREPRVVVQTVSEVDILDDGYRWRKYGQKVVRGNPNPRSYYKCTNVGCPVRKHVERASHDPKAVITTYEGKHNHDVPPSRNSSHDVAGPASVGCGQTRIKLQESDTISLDLGMGISSAAENRSNGQGKMLLSEFGSIQTQTSNSNFNFVHTTAGPGYFGVLNNGANPYSSIETRSDGPSLNHASSYPCSQNVGRILTGP >OIW02608 pep chromosome:LupAngTanjil_v1.0:LG11:2594736:2594957:1 gene:TanjilG_24059 transcript:OIW02608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSQGNAEIHMDWPRRLLLGGGPGSFPPRCTSKCGNCTPCKPVHVPVPPGTPVTAEYYPEAWRCKCGNKYYMP >OIW02109 pep chromosome:LupAngTanjil_v1.0:LG11:8465569:8468748:-1 gene:TanjilG_26649 transcript:OIW02109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHFGRSGPPDISDTYSLLVLNITFRTTADDLFPLFDKYGKVVDIFIPKDRRTGDSRGFAFVRYKYADEASKAVDRLDGRMVDGREITVQFAKYGPNAERIHKGRIIETSPKPISRHGSRSRSPRKRHRDEYRDRDYRRRSRSRSYDRYERDRYHGRDRDYRRRSRSRSASPDYKNRRRGRYDDERDSRSPSRSVDSRSPARHSPSPRRSPSPKKSISPRRSPSPKKSISPRRSPSSKKSISPRRSPSPRKSLRDESPDYRSRDGRSASPRSVSPRGRAYASRSPSPRKSNGDMLVDMVAVSAVSISLMIGREFDADCKSMLVCRSMIVLPVNWFDRQLVVDLVFS >OIW01773 pep chromosome:LupAngTanjil_v1.0:LG11:18354695:18355926:-1 gene:TanjilG_03911 transcript:OIW01773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QEEKMVKTLGCSLVFICFLIPMTFADWNILNQKTHSGLKISLKNYCESWRMNVELHNIRDFEVVPEECTDYIGKYVRSIQYKVDSERATEECLVYLSTTCNLKKDGRDAWVFDIDDTLLSTIPYYKNNLYGGNKLNVSDLEDWMSKGKSPALEYSLQLFNDLKSRGIQIILISTRREYLRSVTIDNLVNVGYHGWAGLILRDSANELVSVAKYKSDARKQLIKNGYRIWGIVGDQYSSFEAPPSSTRGFKLPNPMYYVA >OIW02747 pep chromosome:LupAngTanjil_v1.0:LG11:1372401:1379641:-1 gene:TanjilG_29523 transcript:OIW02747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETSEMGIMVDGIRRVSLRAEIDTSSPFGSVKEAVTRFGGSGPWIPFYKLGEAYNNIEDFDIRKVEEQATKLEKDLIVKELETLDVLEELGATKRIVEELKQQLHREAMKCLVTQDINSHEQVETAPVIKEMNQEFRGNIFNNEEHISQNPCSMPTPGPMLMELKLAKMQLHKTINDLGVIQSSVESLNKKMKKERLFLQRTREKLALKFAAVSAREKAQEEARSNPPTAERSCTYDNPRIFMENFKPYTEKNNRMIKTRCSEVSKPLHGSKIDENGISIKTTEMRFFAAKKMEEAAIAAEADALAKIKALSGAEISYKFAQPEPKNVTFALGEGSHLNPNALIPEESTLKKVIDSKFQIDEINTLELTILKRLEEATEEVVHSKQLLTEALNSVETASRKQHAAKEALRKWIPMDDPNGQAVFNSIKPNKFDQAENCLDSSVVKVTKSITMNNNQKKPFLRSTISMRDVLSRKQVPEEYNATKEMEEHNERHKVALSQMLQTLKEDITLPTIPEKDESDRKQFTSQRKKFGFIKISLPLGKLRRAKELNFIHQIMSTFTSIIPSTNLPRTISSFNSSFKSKHLISCSLPGTVAEPKATIAASEPLLLNAVRGLDVERPPVWLMRQAGRYMKSYQTLCEKYPSFRERSENVDLVVEISLQPWNVFKPDGVILFSDILTPLSGMNIPFDIVKGKGPIIFDPIRTAAQVDEVQEFVPEDSVPYVGKALSILRKEVDNKAAVLGFVGAPFTLASYVVEGGSSKHFSKIKRLAFSESKVLHSLLQKFTTSMARYIQYQADNGAQAVQIFDSWATELSPTDFEEFSLPYLKQIVDTVKKTHPELPLILYASGSGGLLERLALTGVDVVSLDWTVDMADGRKRLGPNITVQGNVDPGVLFGSKEFITDRINDTVRKAGRGKHILNLGHGIVVGTPEENVAHFFEVAKGIRY >OIW01962 pep chromosome:LupAngTanjil_v1.0:LG11:11838495:11845303:1 gene:TanjilG_11536 transcript:OIW01962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLASTIPLTPSTSNLNLSHFFTTHAPPEFLQRNPKSRVTLFSKSNSKLVVVARVNSNGRDGAIDATSQQQQQQESSPPPGIDTVNSTSSGLGDGYVALFVRMLGLDHDPLDREQAVDTLWKYSLGGKKCIDTLMQFPGCINLIVNLLRSESNSACEAAAGLLRSLSSVNIYRNHVADSGAIEEINRLLRQPSLASEVKEQSLSTLWNLSFDEKLCTKIANSDILLLTIKYLDDEDIKVKEAAGGILANLALSRVNHNIMVEAGVIPKLAKFLTSNSEGSKVIKKEAKNALLELVKDEYYRILVIEEGLVPVPLVGAAAYKSFTPSLHLWPTLPDGSEIERTSRKPSRFGASELLLGLNINDKNANIEEAKANAIIGRTQQQFLARIGAIEMEEKTIPNSECSNDLRITLLPWVDGVARLVLILELQDKSAIVRAAESIAGASINEHMRIAFKEAGAVKHLVRLLNCDDNAVQWAVIQALEKLSPSNVVCHAIEGEGVLGPLVSILKCSEPDGTIVEQSLNILARILDPSKEMQLKFYDGPVKGSEKTLDGAKNGDDSTGLSGTEQATSKSNTRNNIMLDSVFIEHLLQILKSSSPRVQEKAASVLEYVALIDPTLAPIISVDIESGLNSVFQQKVLKISADMESDVEDQFSEAYIVEFQEAGLAISAASRLMTRLLDSEQFSLKIDSSYFIDLLLGILRSSIPLHNKDWVAACLVKLSSVSGHNTKYNPIDVEVTIHETIPRLIEQIKTSFSLEAQEDAAVELNRIISEGVVDSTGAIISEGAIYPLVKLIQEGSEKGVEASLAILYNLSMDSENHSALVAAGIVPALKRIVLANRPQWERALHLLRTLQI >OIW01096 pep chromosome:LupAngTanjil_v1.0:LG11:35622069:35626757:-1 gene:TanjilG_25204 transcript:OIW01096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTVLDIQESGWEELRKEARKIEGDIDVKLSSYAKLGTRFTQRGYVDSGSPPLASSRSWKSMEMEIQSLLEKLLDINDSMSRCATSAAPATSVNQKLARHRDILHEFTQEFRRIKGNMNSMREHAELLTSVRDDISEYKASLVHDPNLII >OIW02628 pep chromosome:LupAngTanjil_v1.0:LG11:2457200:2462499:-1 gene:TanjilG_24079 transcript:OIW02628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGKSSLVLRFVKGQFLEFQESTIGAAFFSQTLAVNDATVKFEIWDTAGQERYHSLAPMYYRGAAAAIIVYDITNSDSFTRAKKWVQELQKQGNPNMVVALAGNKADLEDKRKVTAEEARAYAEENALFFLETSAKTAANVNDVFHEIAKRLPRAQPAQKPAGMVLVDRPADGARAASCCS >OIW01284 pep chromosome:LupAngTanjil_v1.0:LG11:34648883:34650855:-1 gene:TanjilG_10445 transcript:OIW01284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLKNTNQIIVAATENDSTYDRKAEVKAFDESKAGVRGLVESGVKKIPLMFHSNNLVDAIENSENDFKFSVPIIDLKDIQNNPKLHTQVVAEIRSACCECGFFQIINHGIPSSIMDEMVDGIRRFHEQDIDVRKQFYTRDLGKKFMYYSNTSLFRDKFANWRDTIGCPMAPDPPKPEVLPEVCRDIMIEYSKKIRELGFRVFELLSEALGLNRSYLEKLGCSEGLFIQGHYYPECPEPELTMGTSKHTDTAFLNILLQDQIGGLQVLHENQWFHVPPVYGAFVVNIGDLLQLITNDSFKSVYHRVLSSYKGPRVSVSSFFMNMQDPKIYGPIKEILSEENPPIYRDISIKDFMAHHFAKGLDGNYALHPFRL >OIW01185 pep chromosome:LupAngTanjil_v1.0:LG11:33266600:33271177:-1 gene:TanjilG_10346 transcript:OIW01185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCCRSPAAVAREDVKSTDHTKPSAATTKKKHTTPTTVITAVPSSNIEDKYLVDRELGRGEFGVTYLCIDRGTRELLACKSISKRKLRTAIDVEDVRREVAIMRHLPESRSIVAFREACEDDNAVHLVMELCEGGELFDRIVARGHYTERAAAAVTRTIVEVVQLCHKHGVIHRDLKPENFLFANKKENSPLKAIDFGLSIFFKPGERFSEIVGSPYYMAPEVLKRNYGPEIDIWSAGVILYILLCGVPPFWAESEQGVAQAILRGLIDFKREPWPSISESAKSLVKQMLEPDPKLRLTAKQVIEHPWLQNAKKAPNVPLGDVVKSRLKQFSMMNRFKRKALRVIADFLSNEEVEDIKDMFKKMDSDNDGIVSIEELKAGFQNFESQLAESEVKMLIEAVDGNGRGTLDYGEFVAVFLHLKRMANDEHLRKAFSYFDKDGNGYIEPDELQNALMEDGAEDCADVANDIFQEVDTDKDGRISYEEFVAMMKTGTDWRKASRHYSRGRFNSLSLKLMKDGSLNLGAE >OIW01270 pep chromosome:LupAngTanjil_v1.0:LG11:34524469:34529142:-1 gene:TanjilG_10431 transcript:OIW01270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLFSLLHTPTWNFQWGTHPLPKQSIPINQNLFLRRVLLFSLKTPNPFHCVSSSNDGGGRVEEKGSKTLPFVVKGPTNVSRYLWDGEGLKIVTVGDGADDVPSSDNGFCRFFCTIVRDFFIPRHVRNDYLEFVKWKLLHRVFSSALQVLATQAMFTAIGVGFSSSLPSAAALNWVSKDGLGRLSRCIYTASLASAFDTNLKRVRFCTSVIFVASIGLELLTPTFPRYFLLLATIANIAKQISLACYLATRSFAIIDNLGEISAKAQDRLEIILNTWIECGYVPSPAEVSEKEGIDILGVKGKNSWPIRIGCLNSKNQIPKWSMKTIQFVTDEDYYFVCMEIFKGLKRTRQACYIRRTLLENNSKCEFSIEEINCSRSALSDWSEILEDSKKFAEGDLSNLIDKMVEMGWVVKSILLSTQEQVRYSFVCD >OIW01995 pep chromosome:LupAngTanjil_v1.0:LG11:11482294:11483587:-1 gene:TanjilG_14026 transcript:OIW01995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNSLGGKKTTKVMKIDGETFKLKTPVKASEVLKNHPGLVLLESEAVKHYGIRAKPLEGHKDLQPKRLYFLVELPKECKVIPRRVHSGISMSAKDRLESLVLSRRSASDLTIMKQQCNMQNGGVRLKMRLPKKEVEKLIQCSKNEAEVGEKIMGLCMGSNGGSDSKHEIEEIGEKMMMKEKFLMHWKHGKDKVGESSKKRVSFMPISEGGGPMVVVS >OIW01467 pep chromosome:LupAngTanjil_v1.0:LG11:26284722:26290482:1 gene:TanjilG_19393 transcript:OIW01467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNTCVGPSIPKNGFLQSVSAAMWWSQSSEGSVSNSNRGTGNLETTKEPESPFPVQSKPPEQITMPKPEAKPVAKHETEPEREKEKKKAKKPGHMKRVSSAGLRVDSVLQTETGNFKEFFTLGRKLGQGQFGTTLLCIEKATGREYACKSIAKRKLVSDEDVEDVRREIQIMHHLAGHPNVISIKGAYEDSVAVHVVMELCAGGELFDRIIQRGHYTERQAADLIRTIVDVVEACHSLGVMHRDLKPENFLFINKQEDSSLKTIDFGLSVFFKPGEIFMDVVGSPYYVAPEVLGKWYGPEADVWSAGVILYILLSGVPPFWAESEQEIFEQVLHGDLDFTSDPWPQISESAKDLVKKMLVRDPRRRLKAHQVLCHPWIQVDGVAADKPLDSAVLSRLKQFSAMNKLKKMALIVIAESLSEEEIAGLKEMFKMIDTDNSGQITFDELKAGLKRVGANVKESEIYDLMQAADIDNSGTIDYCEFIAATLHLNKIEREDHLFAAFSYFDKDGSGYITPDELQQACDEFGIGDIRLEEIMGEIDEDNDGRIDYNEFVAMMQKGNAPMVGRKGLEHSFSIGFREAFKQ >OIW02419 pep chromosome:LupAngTanjil_v1.0:LG11:3866554:3867981:-1 gene:TanjilG_05012 transcript:OIW02419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALHPQMMITISLVITIVLLGQRTCESARVFTIVNYCKETLWPAAIPGDNFNGGGFVLKPGQSSVFTAPVGWSGRIWARTGCNFDKSGNGNCQTGSCGTSLKCAGAGKTPASLAEFTLAQLDFYDVSLVDGFNVPISIKPLHGKGNCTTAGCDSDLRTTCPKELSLKSNDKTVGCRSACDVFNTDEYCCRGNFGNPSTCRPTFYSKKFKDACPTSYSYAYDDPSSICTCQGTDYIIAFCASRKKQVCTYHNKKLHCSGSQGLKSLIGSWWIVMLTGFSLLTLGIVF >OIW02176 pep chromosome:LupAngTanjil_v1.0:LG11:7596536:7599663:-1 gene:TanjilG_02400 transcript:OIW02176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAEKERETQVYLAKLSEQAERYEEMVECMKKVAKLDLELTVEERNLLSVGYKNVIGARRASWRIMSSIEQKEESKGNEHNVKLIKGYCQKVEDELAKICSDILAIIDGHLIPSSTSAEANVFYYKMKGDYFRYLAEFKTDQERKEAAEQSLKGYEAASATANTDLPSTHPIRLGLALNFSVFYYEIMNSPERACHLAKQAFDEAIAELDTLSEESYKDSTLIMQLLRDNLTLWTSDLPEDGGEDNIKADEAKPAEPESDIQGTVERGKISAFLAMDLDRTMHRPSLLCSKKSDQPQ >OIW02752 pep chromosome:LupAngTanjil_v1.0:LG11:1346174:1350661:-1 gene:TanjilG_29528 transcript:OIW02752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQISLTNSAFKTPQIFPFCQPFISQKPSTHQLSLQQNLHVNALKGSSSYLSDISKAIDHEEQYLQAQQKVNRKGVNLEGITIEGVSVGGQETCIIVPQFKCAFDIGRCPKRAIQQDFVFITNAHLDHIGGLPLYVASRGLYNLKPPTVFVPSWIKEDVEQLIDIYRKLGQVELNVELVALDAGETYEMQNNLVVRPFRTQHVIPSQGYVIYSIRKKLKKEYTHLNENQVEKLKESGEEITDNILSPEVAFTGDTTSDFYHDPLNADALKAKVLITEATFLDDSSSIDHARQYGHTHIFEIMEKGNRISNKAVLLTHFSSRYNIEDIRQAASKLQSKLSAKVVPLTEGFKSKHS >OIW02327 pep chromosome:LupAngTanjil_v1.0:LG11:5631012:5631619:-1 gene:TanjilG_11221 transcript:OIW02327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETGKERDPEIDKVKENEEGEDNGESSLSQEPNKSLAELNMLLVSLSLASFSVDDDCMEHEESEHNNVKHKVSAAKTSMSRLQTMNGDLHEPKLARRTTSTKLHTTIRDCRHGRKM >OIW01685 pep chromosome:LupAngTanjil_v1.0:LG11:19904559:19911561:1 gene:TanjilG_01192 transcript:OIW01685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNSISENSIYIESDDEDEEKDLNKAEGDGYDSDSSNYSGENPPQRKPSSYNISWPQSYRQSIDLYSSVPSPNLGFLGTPSLTRLSSSFLSTSLTRRHTPEALHSATKPLIQPTEEEQHRHSSHALLPPLPRRSSSLNKGSKVSHEGPISRHCSFGQAVLNGINCLCGVGILSTPYAAQQGGWVGLSILFIFALISFYTGMLLRSCLDSEPGIETYPDIGQAAFGTTGRIVISIVLYVELYACCIEYIILESDNLSSLFPNAYLNLGGIELNSHTLFALIATLAVLPTVWLRDLSVLSYISAGGVIASILVVLCLFWAGVEEVGFHSKGTTLNLTTFPVAVGLYGYCYSGHAVFPNIYTSMANPNQFPAVLLACFGICTFLYAGAAVMGYTMFGEAILSQFTLNMPHDLVASKIAVWTTAVLCITIITVGSVSAVFGTYSALSEIVKSLSG >OIW01775 pep chromosome:LupAngTanjil_v1.0:LG11:18349380:18350707:1 gene:TanjilG_03913 transcript:OIW01775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFLACFGLPNKRKRRKTLYNVIGGHQKYGKYQVLAITEKSIAPYSDFRDKDGCKEKNSVKIKKKKKKVTFNLNVQIFEPSPTTYQALDNEEEENENNNAEPEGEGSEALTMRYPSNYRYYNCMDGYDEVDEIVCDEYEIEDYDNDNDDDDDEYDSDDGGSSDESLESDKAEVGDSNIEPKKLSQLKDQNDYELKYKYSGRDRSINKHSVLIPVENLTQWKEIKAKVASSKNIRKENVQPSFSFSPCSLESNVLQSKSLLKEIAVDASLSNWLVSPNYDVSKATIHCQ >OIW02271 pep chromosome:LupAngTanjil_v1.0:LG11:6508659:6515497:-1 gene:TanjilG_15154 transcript:OIW02271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVFVKTLKGTHFEIEVNPSDTVCPSIVLAEGLNLRVTRVSEVKKNIETVQGADVYPAAQQMLIHQGKVLKDGTTLEENKVAENTFIVIMLSKTTSSTGEGSTATAASVKTSAAATPTSVPQAPAMSGATPVAVTAPTPAPASALASAPSSALAPAPASALAPAPASALDLDPTLSSSAVPGSDVYGLAASNLVAGSNLEGTIQQIVDMGGGSWDRDTVTRALRAAYNNPERAIEYLYSGIPEQAEAPPVAQVPGSTQPANPPAAAPQAAQPAPITSSGPNANPLDLFPQGLPNIGAAGPGSLDFLHNSQQFQALRAMMQANPQLLQVFFFALPMLQELGKQNPELMRSTQAHQEDFLCLINQPGEGGGEGNISGQLEGAMPQAVTVTPEEQQAIERLEAMGFGHAIVLEVFYACNKNEELAANYLLDHIHEFDD >OIW01550 pep chromosome:LupAngTanjil_v1.0:LG11:25458788:25459167:-1 gene:TanjilG_10832 transcript:OIW01550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRSQKSDLGQEVVQAKQASGQTKFLTELTRSRAIWPRQMHQDVGQEYQGASGPDLIKVLSAWNQTLGVGPSLNETGRTRLGVAHRPTGRGQALSQALASLA >OIW01802 pep chromosome:LupAngTanjil_v1.0:LG11:17918124:17920565:-1 gene:TanjilG_03940 transcript:OIW01802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRPVSSSFLRLTTATRIRCQFTLMLLGTSTYSTVSNSQSTLAMDLASLVREQQQESSQTKSIKVRTRMELKNFLQHRIKKRVKEHYSNGKFHHLMKNVIFNPLTLSDAYNCIRLNSNVDNVHADSSYDRDFLHDMAEQLSQGTFDVSANTFSISTRGSNKDKQLLVLPNLKLKVVQEAMRIALEVVYKPHFSKISHGCRSGRGRTLALKYISKGVVNPDWWFTLLVAKKLDAAVLAKMISTMEDKIEDPILYDVIRSMFDAQVLNLEFGGFQKGHGLPQEGVLSPILMNIYLDLFDSEFYRLSMKYEAINDEISNEKDRSRSKLRGWFRGQLDGIEENAGVKVYCCRFMDEMFFAISGSKDSAVNFRSEVQSYLKNSLLLDVGHETKILPCEGPHGIQFLGTLVRRSIRDSPAVKAVHKLKEKIELFTMQKVEAWNYGTVRIGKKWLGHGLKKVKESEIRHLADSSSLLNRVSYFRKPGMKTDHWYKHLLKIWVQDVQAKSAKSEESILSKCVAEPALPKELNDSFYEFIKQSEKYIASEAASILKLLPNSNGSTEHVTAKTEIIAPVYAIKKRLLRYGLTTSKGFPRSANLLITQDTTEIIDWFSGIVCRWLKWYEGCANFNEIKLLISDQVRKSCIRTLAVKYRIHETEIEKKFDVELSRLPSTQDTENEMTNEAVDDFQAFDNDEALMYGISYSGLCLLSLARIVTEARPCNCFVIGCSSSAPRVYNLHVMEMQKPPSWTTGFSTCIHPSLNKRRVGLCKQHLRDLYLGHISLQSIDFGSWK >OIW02875 pep chromosome:LupAngTanjil_v1.0:LG11:465258:468918:1 gene:TanjilG_29651 transcript:OIW02875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSESQVQPSPSSELSKKAAKKEAAKQEKLRRKQDSAAVSSTAVNDDNDPLAANYGDIPLVELQSKTLVDVNVWTRVEALETSMEGKNVTIRGRVQTIRPVGKKMVFLVIRENGYTVQCLVQSQPELVSIQMVKFAASLSRESIVDVEGYVSVPDAAIKAATQQQVEIQVRKLYCVSRAVPNLPINIEDAARSEVEIEKALQEGEQFVRVNQDTRLNFRALDLRTPANQGIFRIQSQVGNVFRQFLLSEGFVEIHTPKLIAGSSEGGAAVFRLDYKGQPACLAQSPQLHKQMSICGDLGRVFEIGPVFRAEDSYTHRHLCEFTGLDVEMEIKSHYFEVMDIVDRLFVAMFDNLNQNCEKELEAVRKQYPFEPLKYLRQTLRLTYEEGVQMLKDVGIEIEPFGDLNTEAERKLGQLVSEKYGTEFYILYRYPLAIRPFYTMPCYDNPKEYSNSFDVFIRGEEIISGAQRVHKPDLLEERAVACGIDVKTISTYIDSFRYGAPLHGGFGVGLERVIMLFCGLNNIRKTSLYPRDPLRLAP >OIW02033 pep chromosome:LupAngTanjil_v1.0:LG11:9771290:9776240:-1 gene:TanjilG_13771 transcript:OIW02033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTMKNHHKDPEHLFYSSWTFSKLMAFFFLLISISYLFYSLRFVSHSYDCDQNPHISISHVSETTTTSTTSSLEEERETPKEQAFEETNISHIVFGIGASAKLWKQRKEYIKLWWKPNEMRGIVWLEQKVKNEVSDENLLPSLKISEDTSKFKYKNSKGHRSAIRISRIVSETLRLGMEGVRWFVMGDDDTVFVTENLVKVLQKYDHNQYYYIGSSSESHLQNIYFSYNMAYGGGGFAISYPLAVALEKIQDRCIQRYPGLYGSDDRIQACMAELGVPLTKERGFHQFDVYGNLFGLLAAHPVAPLVSLHHLDVVEPIFPNVSRVQALKRLKVPMKLDPAGLMQQSICYDKTRTWTISVSWGYAVQIFRGIFSAREMEMPARTFLNWYKRADYTAYSFNTRPVSRNVCQKPFVYHLSNAIHNADTNETMSRYVRTQSNPACKWKMADPTQITIVEVHKKPDLHLWDKSPRRNCCRVQRTKKKGTMVIDVGECREDEVVEL >OIW01412 pep chromosome:LupAngTanjil_v1.0:LG11:31039560:31044604:-1 gene:TanjilG_25708 transcript:OIW01412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGEFKQELREGFIEACLHLVNRDFDALAKDFVTLGLLPATADKEAVTKALTGVFQNAVSKGIRNISFGDLLGNLGTTMYKFKFRIPSYFSLVVRSLAVLEGIAISFNPEYKVLGSTYPWIARKVLTDRSPQLKSSLETLLYKDGVFRIDRLESLLTESLRAKTKKTIVKQQTEDANSRMVVKEILSFTLTEKGEFVREIIVQEFAKGLDALGLATLETAAARVPFNGFFFPSLMTEEDMTNLRNFQRLLLLLLGTRREENSNAQSGPVSDDQNQIPDMEELSLVLNQPRSIQEILPVLSVIPELSPESQQQLLQLPSDLVRQLISRAAARTIRRVFL >OIW01254 pep chromosome:LupAngTanjil_v1.0:LG11:34331362:34332622:-1 gene:TanjilG_10415 transcript:OIW01254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYTSIPAYNIDPANWQQQQPNHQIGNNSASSHLLPPPPPPSSQPNGAGNTSSIRPGSMADRARMANIPMQEIPQKCPRCESTNTKFCYFNNYSLSQPRHFCKTCRRYWTRGGALRTVPVGGGCRRNKRSKGSSSNNNSSMSSPASSDRQTLSANSNNSISSSDMVGLGPPVPPPFRFMSPLHQLGDNFASGGDISLNYGMNYGNIPSQMGGVGVGDLNFHMGNALGHGGGRGGGGGSILSAAGLEQWRVPQTHQFPFLTGLEASSHGLYHQFEGTSTNPTTGYGDVRPKASTSSVISQMASVKMEESGQQLNLSREFLGMNSSASGQYWNTSDVGGSTAPAWTNLSGFSSTSNQL >OIW01119 pep chromosome:LupAngTanjil_v1.0:LG11:35787121:35788606:1 gene:TanjilG_25227 transcript:OIW01119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGAADHGGNGDFRAKVWSMTGGPYCRPKHWKRNTAVAMFGIFLVCIPIAIKSAELEEDGSEEEMQEIHSIQSGKRAAPPPFAPAVEKGSTIYSPMG >OIW02367 pep chromosome:LupAngTanjil_v1.0:LG11:4822278:4823573:-1 gene:TanjilG_08514 transcript:OIW02367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHHHHHESSDATSHNSSSGLGLHHQQEDYAAAASSNFMNHHTKQIDFMDLSLRGSNNINNKDTIIMNGEVEANFQQGSQSSSQVITEKEHMFDKVVTPSDVGKLNRLVIPKQHAEKYFPLDSTSNEKGLLLSFEDRNGKLWRFRYSYWNSSQSYVMTKGWSRFVKEKKLDAGDIVSFQRGIGELYRHRLFIDWRRRPDQYHGGVGVPDPNSATFITPLFLPNQPHLSPYSIRWGGRLYSLPSTPPTQQPRHHHHEHFQCPNYNMYPFHNQHQHLGGGGGNGSHHYMNNYNEVCNSGSGSLYNLRSTTPSMQRSDLQSFMTRQQQHEEGGGNNISPMIIDSVPVSHHHQQQHGAYKNGPINTNSASKTLRLFGVNMECGSSPTEDPKCCSIPHASSSLPPLSTSTRFGDNQREEASSMHFDLDPSLQCHRQ >OIW01799 pep chromosome:LupAngTanjil_v1.0:LG11:17954926:17955234:-1 gene:TanjilG_03937 transcript:OIW01799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGLDNEDEAGEGPHRATPPPYHTTVTPLEPHSGRTEVHDEEVEYRETFVDEQGRRVGEEENTSRWEKEETEVEITTVGGEKKANDKVINQVGKVHTSSDS >OIW01630 pep chromosome:LupAngTanjil_v1.0:LG11:22068969:22069865:1 gene:TanjilG_14629 transcript:OIW01630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPFIIAISFCSICLLFVAATNVSAQAQLQTQTQPQPSTPSGTSPSPPNTNLQAPGRGHHGPNAGHHRRRRGGHRRRGGHRRRGGHRRRGGHRRVPTTGVPTQNPPSSPLANQNQNPNQTTHDAPPSQGNENNAHPGKVFGYVPRSDTSEKNTLLMDEFLHAHNWVRSKYKLPPLIWDAKLASFSSSYLMSRYNDCKMIHSSSEYGENLFWGKRLHWTPSDAVYYWYNEYNWYDLNTLKCLPNKICGHFTQLVWKDSMRVGCALQHCNDRSLGMLIACEYDPPGNYPDENPLVQHNQR >OIW02209 pep chromosome:LupAngTanjil_v1.0:LG11:7065635:7071753:-1 gene:TanjilG_21862 transcript:OIW02209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFRTLAVLRHSTTSLTKSCRTIPSSFFSSSCHRFTLPPEAPPSPKKIPFTVSVHRKTWQDPYHWMSNTNDPHLLDHLHRENTYADAFMADTLKMQSTLSSEMKSRIPATISTPPERWGSWLYYQYIPEGKEFPVLCRKLETGGTVIVDNIISIAGYVHVGTCRVSPDHNYLAYTLDISGGERVLCRKLGSDYVNDFPVFTESDSSFCVDITSTKDGKFITVYIIDSANPMDGLQRICKRTSAVQYFVEHHSGLFYILTNAPMPDDGQWFGEDYYLVRCRVEDIESAKWENTILPDNDMSICDMDIFNGHLVLSLNKKGLPLLCSVDFPIQIDSKQQIYIQDLKPWYFPMPSNACNVVPGSNHDFLNDVYRVVLSSPVMPDVIVDYDMSRQTYSIVHQEEVICDSVRQSCTEIFEQSTVETQEAPSSDKIECSTNSGSQLWNEFSQVFCCQREEVISHDGVRVPLTIVYSRESWQKGLSPGLLVGYGAYGEDLDKSWCSDRLSLLERGWVVAFADVRGGGLGDSSWHKSGSGLNKHNSIFDFVSCGNYLVNEGYVQSDQLGAIGWSAGGFLIGAAMNMSPQLFRAAILKVPFLDVCNTLLDPSLPLTILDYEEFGNPQIQSNFDSIFSYSPYDNIPQGSCFPSVLVTAAVNDSRQPYSCSSSSRCMVGVWEGAKWVAKVRDSTCSNCSRAVIMKTSMIGGHFGEGGHYGQCDETAYEYAFLMKAFGISKE >OIW02434 pep chromosome:LupAngTanjil_v1.0:LG11:3995333:3996958:-1 gene:TanjilG_05027 transcript:OIW02434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFVFCINIVLGNVSLRYIPVSFMQTIKSFTPATTVILQWLVWRKYFDWRIWASLIPIVGGILLASFTELSFNMFGFCAALFGCLATSTKTILAESLLHGYKFDSINTVYYMAPFATMILAIPAMLLEGNGILEWLSIYPYPWSALIIILTSGILAFCLNFSIFYVIHSTTAVTFNVAGNLKVAVAVLVSWLIFRNPISYLNSVGCAVTLVGCTFYGYVRHKISQQPSVPGTPRTPRTPRTPMSKMELLPLVNDKLDDKV >OIW01521 pep chromosome:LupAngTanjil_v1.0:LG11:27852548:27855386:1 gene:TanjilG_19447 transcript:OIW01521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSAEDLGAPESWEVADLDESMNRFNLMLSSSSSNNKDFNVSDDSALSSSSAPPGSASSTGDKLYDDVINQVDQFLREAIQNPRERVSVLRIEQDVEKFIRNPNQQQLEFEQLPTSYLRLAAHRVAQHYSLQSMVLLDNSLPDGSGSRIIVRKTAECKLPVIRLADIPVKLSSENNAVMKVAIKQRPQKQTHILSNANSNSGNSSNSKSVEERKEEYNRARARIFSLSNNGGTIGEKPESGSRQQDSSLRGSLGVPRLEDKPVSVSDVSSCRGLVESSINISRGAVESSTSTSRGAIAYSTNTSRGLVEFSPNATRARNRTEKEPVGSRYRQSNRVAIFRDRDVDRKDPDYDRSYDRGGVGTSSSALDYLSRAVSFTWYCILMRPAGIEFEGLALSESIRKRKNLKYYLPSNEKSSTKWLTA >OIW01289 pep chromosome:LupAngTanjil_v1.0:LG11:34690290:34691428:1 gene:TanjilG_10450 transcript:OIW01289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNILMEVMRVKMNNLSVIQHELQRSHVPKFGNWESEDNDPEESLDSAIDNSSSARVDSEDPSAKGSVKSTDELQKSREDSDPKHFTDSPARQNNVGNRGAGQGVGSADTRRRPSRQSTGSEYSVERSPLHRQARAPAKDSPSREGKSSYDSSHGTPGRSRLRPVHRGDESPDRGAAVPKFGDWNENNPAAADGYTHIFNKVREERQVAAGNAPGTPNGRSYAVKNQAANDKGQSCCFWWGRK >OIW01914 pep chromosome:LupAngTanjil_v1.0:LG11:14085228:14090737:1 gene:TanjilG_15239 transcript:OIW01914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPPPKTAARAISQEAFDEVVKENIDDLGMDPTEALQDAIETLTLQGVDLSGIVTCVPGDGNVKDNPVIQSLDRLKQIDSESEVPIGGEVLDEVVELFNKLNELCAAQGSGNVNANVAIATKNGAVELVCSLCSKIVSGSEVALVSSLNVVALLLHDLHSTGTFQTCNGPQIVIRFLNDNKQNLYILNSGFGVVASAATGNEIVKESFMELKVGELIMEIMSIHKNMGIQSLYDAIRVLLTPDDNRVVASQVYGYARKFAKIGIAEALVESLHLGLSSPDLVSACISLKAIAVNDEICKSIAEKGGIDAILRCIDDSGEQGNKAVAKVCCSLLSKVAGSDANKSAIVETGGMDKLIKLSARFSDDPSVIQEVMAIISVLSLRSPENAARAIEAGAGDLAIRAMQKFPAAHQMQRNSCLMIRNLVARNPENRTILLNNGIEKYIRKAKQTHGNCKEAATDALRDLGVDGYNL >OIW01229 pep chromosome:LupAngTanjil_v1.0:LG11:33935666:33944462:1 gene:TanjilG_10390 transcript:OIW01229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIMDPTREFVKSVKRVVVKVGTAVVTRSDGRLALGRLGDLCEQLKELNNKGYEVILVTSGAVGVGRQRLRYRRLANSSFSDLQRVQGDDHDGKACASVGQSSLMALYDTMFSQLDVTSSQLLVNDGFFRDADFRKQLSETVNSLLNLRVIPIFNENDAVSTRKAPYEDSSGIFWDNDSLAGLLALELKADLLVLLSDVEGLYNGPPSDPKSKLIHTYVKEIHESEITFGDKSRLGRGGMTAKVNAAVCAAYAGTPVIIISGCVTDNIIRVLHGERIGTVFHKDAHLWINTQEVSAREMAVAARDSSRRLQVLSSEERSQILLAVADALEKNESIIKSENEADIVAAEEAGYEKSLVSRLALKPEKISSLVRSVRMLADMEEPIGQILKRTELADNLTLEKITCPLGVILIIFESRPDALVQIAALAIRSGNGLLLKGGKEAKRSNEILHKVITSAFPDTVGDKLIGLVTSREAIPDLLKLNDVIDLVVPRGSNKLVSQIKDTTKIPVLGHSDGICHVYVDKSANIDIAKKIVKDAKTDYPAACNAMETLLIHKDLSSNGGLDQLVTELKIEGVELYGGPRASALLNIPETSSFHHEYSSLALAIEIVDDVFAAIEHIHEYGSSHTECIVTEDAEVAEAFLHQVDSAAVFHNASTRFCDGARFGLGAEVGISTSRIHARGPVGVEGLLTTKWILRGNGQVVDADHGVTYTHKELPLKA >OIW01149 pep chromosome:LupAngTanjil_v1.0:LG11:35281085:35283224:1 gene:TanjilG_17706 transcript:OIW01149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKMVRSSRIFSDCVIVSFLMLISFGMTYATESDIFCLRNVKDSLKDPYNYLWSWDFTNKTEGFICKFNGVECWHPDENRVLNLKLSNMGLKGQFPRAIQNCSSLTGLDLSINKLSLTIPGDISTLLAFVTSLDLSSNDFSGEIPVSLANCTYLNHLTLDKNQLTGQIPAEFSLLPRLKSFSVANNFLTGPVPIFRQNIVTEESYANNSGLCGPPLDPCQAESSKSNTAVIAGAAVGGVTVAILGIGIGLFFYVRRFPFKKKEEDPEENKWARSLKGTKAVSMFENSVSKMKLSDLMKATSNFSKSNIIGSGRTGTVYKAVLDDGTTLMIKRLQQSQHSDKEFMSEMATLGTVKHRNLVPLLGFCQTKKERLLVYKNMPIGILHDLLHPAEGGSTIEWSFRLKIAIGAAKGLAWLHHSCNPRIIHRNISSKCILLDEDFEPKISDFGLARLMNPIDTHLSTFVNGEFGDIGYVAPEYARTLVATPKGDVYSFGTVLLELVTGERPNHVAKAPETFKGNLVEWVTELSSNAKLQDAIDESLVGKGVDAEIFQCLKVACNCVRATPKERPSMFEVYQLLRAIGSKYNFTIEDEIMVPIDTGDAGNLEELIVAREEK >OIW01108 pep chromosome:LupAngTanjil_v1.0:LG11:35722812:35725031:1 gene:TanjilG_25216 transcript:OIW01108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKTKILASIHSLDSTKPWFESVIDFIAEATMEEDEQEETLAPQLLYAYETSMFGFAASLSETQLKYLNQVDGFLTAIPDELLTLHTTYTSHFLGLQNGIGLWSAPNLASDVIIGVLDSGIWPEHISFKDSGLSAVPHRWKGVCEQGTNFSISNCNKKLIGARAYFKGYEKSVGKINRTVDYLSPRDSQGHGTHTASTAAGDIVQNAEFLGQARGSASGMRYSSRIAAYKVCWPSGCANSDILAAMDQAVSDGVDVLSLSLGGFPRPFYNDSIAISSFGATQKGVFVSCSAGNSGPYESTVGNGAPWIMTVAASYTDRTFPTKVKLGNRKIFTGSSLYKGKQTNQLPLVYGKSAGTKREAQFCTKGSLDSKLVHRKIVACERGLNGRTEKGEAVKMAGGAGMILLNSKSQGEELFADPHILPATSIGASTSDIIRSYINSSKNPTASISFIGTKYGDPAPIIAAFSSRGPSIAGPDVIKPDITAPGVNILAAWPSKTSPSMLKSDKRSVLFNIISGTSMSCPHVSGIAALLKSVHKDWSPAAIKSALMTTAYTFNNKGTPITDIGSNNSYANPFAFGSGHVKPESASDPGLVYDISTKDYLNYLCSLNYTSSQIGLLSKGNFACPKKPAFQVGDLNYPSFSVLLGKKSAMNASVTYKRVVTNVGKQQSNYVVKVEQPKGVSVSVVPRNLRFEKMGQKLSYHVTFFAFGRAKTSGVSSFGSLIWVSGKYKVQSPIAVTWQ >OIW02698 pep chromosome:LupAngTanjil_v1.0:LG11:1765949:1768813:1 gene:TanjilG_29474 transcript:OIW02698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESYTGLPTSHLLGSVPAVVAEENNATRHEVPDANMEIFPPNNGGGGGRGRGGGGGGYQTLGSPTPDTFEQQPTNNWRGVFNVSSYTQYFNIDTDVVVTRLLSSLNPVGGDFFSKIDANPDLYGLIWISTTLVFVLASLGNLATYLMQKHADSSIAWSFDVSYVNMAACSIYGYAIVVPLAYYFFLQYMGSNASLIRFWCMWGYSLTIFIISSFLLMIPFEFLRWTIIIATGASSASFVALNLRSYIEGNDLSVAIVAAFLLQIALAVFIKVWFFV >OIW02810 pep chromosome:LupAngTanjil_v1.0:LG11:944775:945356:-1 gene:TanjilG_29586 transcript:OIW02810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKFSSFVVLCLLSCVVTLGQSEALLVTPPSQFSLKKCFTDVAIEYKCMREIASSFWLPSATIDQECCMVLDEFNEDYCSNVVYAPLQSPILKNALKNHCTKQTPTPTPTPTILNVPPKAPLINLRKCFDDVAVEYRCMKEIMSSFWLPSVTTIHKDCCEVVDQFNEDCSIVVYAPLHGSLLKNVFKNLCSKT >OIW02129 pep chromosome:LupAngTanjil_v1.0:LG11:8296866:8299449:1 gene:TanjilG_25413 transcript:OIW02129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAFRGIRTCISTAKQFTVSTNSPFVVSSTRFWSSSSRNGDDEWNEAWESAWLPEDLTPKTRAPWEADVNFASSSSPESTAVVTATAVDAETKAFVEEMNENWEERRRRTKEKEKVEEKGALYSVENMKKDYRLKKQRVHAGLWIKEIEKMEETKLGDDGGGGDIEKLLDSCSEIFDSGNNDLNNAQVPYSEFKNMPDGWETISKNQDGNIWEMSQREEDILLQEFERRIAYSKFQIASFIKTHIFSRRRPIDGWKYMIEVVGPNAKRGKGSVPRVPSLADPSTQPFKEERTSSDNKTFMPLQRRAFPGDMVKVHNPA >OIW02446 pep chromosome:LupAngTanjil_v1.0:LG11:4114676:4116996:1 gene:TanjilG_05039 transcript:OIW02446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFSGDETAPFFGFLGAAAALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKSYYLFDGYAHLSSGLACGLAGLSAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRAD >OIW02264 pep chromosome:LupAngTanjil_v1.0:LG11:6431016:6431392:-1 gene:TanjilG_15147 transcript:OIW02264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METKYDDDRFYADIRRQILLLTSEDDEDLLERKSTGVFIPQPVTSEDNASPLVWPLNLWKRRNEKEKGTGVFIPQATYKRKQKPVWRQIYRPVVKK >OIW01382 pep chromosome:LupAngTanjil_v1.0:LG11:31850580:31860243:-1 gene:TanjilG_12922 transcript:OIW01382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGLRIHGYALAVSFCFIIFIAASQRIHPSEGNALIKIKKSLIDPKDNLRNWNKGDPCKSNWTGVCCLNKVGNDGYFHVHELYLMTLNLSGSLAPQLGQLSHLVILLLNGNNLSGKLPDELGNLLKLDRIQVDQNQLSGPIPESFSNLVNVKHLHMTNNSFSGHIPPTLSKLRNLRHLLIDNNNLSGYLPPEFSMLQNLRIIQLDNNNFSGNGIPSTYANLSKLAKLSLRNCTLQGAVPNFSSLPKLKFLDLSWNCFTGHIPLNKLADGMTTIDLSNNYLNGTIPQSFSYLPHLQKLSLKNNNLSGSIPASMWQNITFIMSAKLLIDLRNNSLLDVLGSLNPPENVTLRLSGNPICINSNMQNIGQYCEPEEHVSVELTTNSTVVCPVQACPVDNFYEYAPSSPVPCFCAAPIRIGYRLKSPSFSYFPTYRTSFQSYITQSLNMDFYQLSIDSYSWEEGPRLNMYLKFFLSTMSHTPVCSMHVKRFHKLSRNHTSSSISIKIDGLKTFTLKELARATDKFNDTNKVGQGGYGNVYKGILSDETFVAVKRAEEGSLQGQKEFLTEIELLSRLHHRNLVSLIGYCDEAGEQMLVYEFMPNGTLRDWISGRREKKESLSFGMRLQIAKGAAKGILYLHTEANPPIFHRDIKASNILLDSNFIAKVADFGLSRLAPILDDEGHLPNYVSTVVKGTPGYLDPEYLLTRKLTDKSDVYSLGIVYLELLTGMQPISHGKNIVREVNMARQSGMIYSIVDSRMGFYQSGCLDKFLNLALSCCEDNRELRPSMVDVVRELDDIIAMFPETNDTNSFSDFTSDNSFCKLPPSSSSLASTSSNVTREDEQQQQMTWYVSGSDLVSGVVPTIAPR >OIW02554 pep chromosome:LupAngTanjil_v1.0:LG11:3314089:3322658:1 gene:TanjilG_12868 transcript:OIW02554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRFAWLTPYRCHLLSSLTSKKFVDKGESFTKFLPSRQPSIFSRALASYNFVTRIDEFLIPRVPLRYSYINARVFSSSVERDYYKSLGVPENASQDEIKKAFHALAKKYHPDANKNNPSAKRKFQDIREAYETLRDSTKRAEYDKMRAYGSENIEFGDDDTERFRNAYRSHFSDSFHKVFSEIFEEATTQFSSNIEVECSLNFPEAVRGCTKHLSFDALVPCDNCDGLGYPVDAKAKVCPTCRGLGRVTIPPFTSTCITCKGLGRVIKDFCMSCRGSGVVEGIKEVQVTIPAGVDSGDTIHVPEAGNAAGRGGRPGSLYIKIKVAEDSVFVRDGADIYVESNISFTQAILGGKVEVPTLSGKMQLNIPKGVQPGQLLVLRGKGLPKHGFLVHHGDQYVRFRVNFPT >OIW01939 pep chromosome:LupAngTanjil_v1.0:LG11:12188712:12194853:1 gene:TanjilG_25095 transcript:OIW01939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADHGTVAAIAIGILFPVLFSALFLGKKRRKVRGVPVDVGGEAGYAIRNARKPELAEVPWEGATTMAHLFEQSCKKYTHNRFLGTRKQIGKELVTASDGRKFEKVHLGDYEWETYEEVFTRVSNFASGLIKLGHNIDRHVAIFSDTRAEWLISLQGCFRQNITVVTIYASLGEDALIHSLNETQVSTLICDSKQLRKLDSIKSRLTSVQNIIYFEDDDVEENAFRGSLSDWTIASFSEVQKLGKENPVEPSLPSKNGIAVVMYTSGSTGLPKGVMITHGNIVATTAAVMTVIPNMGSKDVYLAYLPLAHVFEMAAESVMLAAGVAIGYGSPLTLTDTSSKIKKGTKGDATVLKPTLLTAVPAILDRIRDGVLKKIEEKGGIVKNIFQIAYKRRLAAVEGSWLGAWGLEKWVWDAIVFKNVRTLLGGHIRFMLCGGAPLSGDSQRFINICIGSPIGQGYGLTETFAGAAFSEADDYSVGRVGPPPPCSYLKLVSWEEGGYRTSDKPLPRGEVVVGGFSVTAGYFKNEEKTNEVYKVDERGMRWFYTGDIGQFHPDGCLEIVDRKKDIVKLQHGEYISLGKVEAALSSCDYVDSVMVHADPFHSYCVALVVASRHSLEKWAQEAGIEYKDFSELCNKPEAVTEVLQSIFKVAKAGKLQKTEIPAKIKLLPDPWTPESGLVTAALKIKREQLKARFKDDLHKLYA >OIW01261 pep chromosome:LupAngTanjil_v1.0:LG11:34445385:34445660:1 gene:TanjilG_10422 transcript:OIW01261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDGNRTIEIVRGSRLRANPIAWRRQRRPAFNPTAVSLIICLVFVIITMSMLVKMILPFQGGVDTCFGQGPMKINHESCQHLCGKVHSSVS >OIW02063 pep chromosome:LupAngTanjil_v1.0:LG11:9429370:9429762:-1 gene:TanjilG_21112 transcript:OIW02063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPTMMLRVIQKQFIGIMGNAATDAANVIVKKPPPPPVWRKPAFIDAFLHKMKKNKALLNNKTIWSRRSTILPEFVDTTVKIYNGKTTVRCKITEGKVGHKFGEFALTRKRKARDQANAKTKQLKLKKKK >OIW02116 pep chromosome:LupAngTanjil_v1.0:LG11:8562377:8563000:-1 gene:TanjilG_26656 transcript:OIW02116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSNLFNVDESSESSNNIITFDSTAKWNAHFNALEETHNLMVVDFTAKWCRPCKLMDPTIQDFAAKYTNVEFIKIDVDELMAVSRAFQVQALPTFILIKRGKVADKVVGVRKEELQRMIEKHTKLNV >OIW01349 pep chromosome:LupAngTanjil_v1.0:LG11:32744328:32745398:-1 gene:TanjilG_20531 transcript:OIW01349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKSEETNTELPSDMLETIYKKLDFDELFQFGGVCEDWRKVHKNERENVMKSRAPLVIQTSSYAKKIYFFFSISKHEMYSTKLANFWRLSYLGFSSGSVIMENYQSDKILIMNPFIRRKIEISTRSLISYPIPSSCNVTLAFVKGTQDFIIAFLCKSNFSLDIYDSRKSSWFTYSRYGRPFMIADLVVLNHTMFFITNTAKIGELRLNRNHGKELFLELKNAPNITSSTLRLISCDGNLLVVNFRPRIFLEVYKIDMLRMEWVRLESLGDFALFLTLQRKCYTLRNPEKWGYEKNHLYYINGESAKCFVYSMENELVKSNMPYRALPASSNSKSKLYWLDWCFPNERDEIDYSIMQ >OIW02318 pep chromosome:LupAngTanjil_v1.0:LG11:5531589:5535963:1 gene:TanjilG_11212 transcript:OIW02318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLNAASLTPLSVFSDRRKEPRKLSSLPTISACKVSNFSTSTNNKKQTLKECLSGGLLSLAASFLNSGFAKALTYEEALGQSVTTSSGDFDANGVVDSVISFATENPAILAGGVAILAVPLVLSQVLNKPKPWGVESAKNAYAKLGADENAQLLDIREPVEIRQVGTPDVGVLKKKSVAIGYKSDNKIGFLKKLSLKFQEPENTTLFILDKFDGNSELVAELVTANGFKAAYAIKDGAEGPRGWKNSSLPWTAPRKGLSLDFGSLTEAISDSIGDTSDGLAVVLGIAAATGLGLLAFTEIEAILEVVGSAALIQFASKKLLFAEDRKQTLQQVDEFLNTKVAPKELVDEIKQIGKALLPASTNSKALPASTGNSPNLATVDSVQKAEATPVTVSEPKVDAAVPEPAPETEKGPDLVTADSTLQKVEAIPDTVSESKVDGVAEPVPEINSVPKAEAKADSLPVQPKPLSPYPYYPDFKPPTSPTPSQS >OIW01532 pep chromosome:LupAngTanjil_v1.0:LG11:28203532:28206908:1 gene:TanjilG_19458 transcript:OIW01532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVGRNVAAPLLFVNLIMYFLVLGFASWCLNRFINGTTYHPSFGGNGATMFFLTFSILAAVLGIVSKFIGGNHIRMWRSDSLASAGATALIAWAVTALAFGLACKQINIGGHRGWRLRIVEAFIMILTFTQLLYLLLIHAGLFNSRYGPGYRDNDYGVGDPMHKGSAIPATGTRV >OIW01457 pep chromosome:LupAngTanjil_v1.0:LG11:30014747:30016737:-1 gene:TanjilG_30931 transcript:OIW01457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSISTVPSVTGILNHTSNSNSYSKSKSYDIPNLRKNIINLLHQNHNNLKHVQSIHAKAIKNATQKDPFVLFQLLRLYCKLNSIDHATKLFHCTQNPNVYLYTSLIDGYVSYGLYHDALKLYTHMANHDVLLDNYAVTSVLKACVFQRALGNGREVHGQVFKNGLGLDRSIALKLVELYGKCGELDDARKVFDKMPERDFVARTILMGLCFDHGMVEEGVREFDEINTRDAVCWTAVIDGLVRNGELNKGLEMFREMQVKGVKPNEVTFVCVLSACSQLGALELGRWIHMYMRRCNVKVNRFVAGALINMYSRCGDIDEALVLFNEMKVKDVPTYNSMIVGLALHGKSIAAIELFREMLYMNLRPNSITFVGVLNACSHGGRWNYAAQVRETMGKGGISKEPGCSSIEVNSVLHEFFAGDVRHPERERIYKKLKELNYLTKLEGYSPSTETQLLQTLECIIEF >OIW02909 pep chromosome:LupAngTanjil_v1.0:LG11:258420:260164:1 gene:TanjilG_29685 transcript:OIW02909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHHSMRDLAAKYGPIMHLKLGEVSNIIVSSAEIASQIMKTHDANFSYRPESLFAKIFSYNASDIEFSQYGDYWRQLRKICTVELLSAKRVQSFRFIREEEVSKLAKIICTSEGSIVNLSPMISSLLHGISARSAFVLQKLSKTKAKLEKLHIEADKILQEILDDHKNKKSSESEDLIDVLLKFQNDKDSQPPLTDDNIKAIGQEMFGGGGETTSSTVVWCLSEMIKKPKVMEEAQAEVRKVYDNKGYVDESELHQLIYLKAVIKETLRLHPPVPLLMPRENKDSSKINGYDIPPKCKVLINAFAIGRDPKYWNEPESFNPERFLNSSVDYKGTDFEFIPFGAGRRICPGITFAIPNMELPLATLLYHFDWKLPNGMKNEELDMDESSGLAIKRKNDLCLIPIVTRMP >OIW02728 pep chromosome:LupAngTanjil_v1.0:LG11:1507306:1508100:-1 gene:TanjilG_29504 transcript:OIW02728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNSTSKFNSEDSVKGSWSPQEDARLLKLVARHGPGNWSAISSSIPGRSGKSCRLRWCNQLSPDVKHRPFTPAEDSIIIDAHALHGNRWATISRLLPGRTDNAIKNHWNSTLRRRRLAQGTSSSDSDAAVIKKRTTNDFLLRSNIGLLDHNYPFKRPCRERCSYENKDSGSGSGLGSEKITLENNNNSHVITSLSLLPPGTAEEEEEEEEEEEEEEEEEEVEGREGNDEMVNEQSRMMEMLRRMIADEVRNYVDSLGLHQPLPK >OIW02513 pep chromosome:LupAngTanjil_v1.0:LG11:3021700:3022110:-1 gene:TanjilG_12827 transcript:OIW02513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKFSVPRFLFAIFALSTILLAVMAEHDNPSSSSVLRLPSQTAASVAGEGPYRLCAGTKTSSCPAKCFRTDPVCGVNGVTYWCGCAEAACDGVEVAKLSFCEVGNGGSASLPGQALLLVHIVWLIVLGFSVLFGLF >OIW01336 pep chromosome:LupAngTanjil_v1.0:LG11:35176900:35178765:1 gene:TanjilG_10497 transcript:OIW01336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQGDSKEATQNPPEVNSNAQSSEKDDSKKDTSLPEGSSKPESSKNTPQSLKAKSKVVKKSKAGKLKTSTNNGSQPFRGRKRIRQNKKVLDNGGELPKEKSLDAEKIKDKEIQDRSTTDNTPIGKKHQAKKSKAIELGKSEQKQKSEAFDKGARSGTNKGKRRGMENAESSEKKREKLGGFIFMCNAKTKPDCFQYRVMGVSIAKKDIVLGIKPGMKLFLYDFDLKLLYGIYKASSSGGMKLEPRAFGGNFPVQVRFTIASDCFPLPESTFKKAIKENYDERNKFKTELTVRQVRKLTELFRPVGIRSGVQHVHSPPRAVIRDREARDGDRESWLRSHRERAAGDPYTNSNVNIYDLISHERDHQTERREEIPRDLFLTEKSYRTYGLQGDRRNLSSTSQVNPIREPYERNYESEQLYHRDPIYRHNAPSHVDSLRANPLHLNESEYQTYHRGAISGRTEDPYHAYRYGASPRDPYLPPLSREEIPSSPYLVGGRTLIGTDHLQRREALQDRLYSTYSASDALSEYNRMQHSQPYHGERLETTTVPVSSRYSFAGPSYSFR >OIW02927 pep chromosome:LupAngTanjil_v1.0:LG11:172068:174069:-1 gene:TanjilG_29703 transcript:OIW02927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAIDQSSLNQSLSPFNHSAEPSKRTGNVWSASAHIITGVLGAGVLSLAWSMAQLGWVGGILCILLFALTTILSSNLLSDCYRFPHPQYGHIRNSSYAAAVNLYLGETRQIICGLLVNASLYGCTVAFVITSAASITAIFKSNCYHKEGHEALCNHGDTVYMILFGLVQVILSFIPDLHNMAWISATAALASLTYSFIELGLGIATVIKNGRIKGSVRGVAASNPADKIWLVFQALGDVAFAYPYTVILLEIQDTIKSPPPENKTMKKASLIAMSIVTFFYLCCGSFGYAAFGDQTPGNLLTGFGFYEPYWLIDLANVCIILQLVGCYQIFCQPIYGGVDRWCSKKYPNNGFVNNLYQLKLPLLPAFELNSFRICFRTAYVISTTGLGILFPYFNQVIGVLGALSFWPLAIYFPVEMYFVQEKIEAWSSKWIVLKTFSLVCFLLSVMGLIGSIEGIISQKLG >OIW02447 pep chromosome:LupAngTanjil_v1.0:LG11:4121533:4122978:1 gene:TanjilG_05040 transcript:OIW02447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTTTTTKSSETQLVFVPSPGMGHLVSTVEFAKLLINRDHRISVTILVMKTPYDTVTSSYTNSLSSSQRLHVITLPQPQTNPDPNDSSSPITTLIDSNKPHVRDHVSKLVLDSPRLGAFVVDMFCTAMIDVAREFHVPAFVFFTSGVAFLGLVLHLHTLRERDNINVTELKDTDTEFVIPSFANSVPAKAFPNIVLTKEWDPFFLAYAEGLKKADGILVNSFDELEPHAIQSFSENNNNSIPLYPVGPILNIKDKEGDDVTDIIMKWLDEQPRCSVIFLCFGSMGSFDVDQVREIARALEASEARFIWSLRKPPQKGLNIMAPPSIYTAQELVEVLPEGFLDRTAEIGRVIGWAPQAQVLAHKATAGFVSHCGWNSTLESIYFGVPVATWPLYAEQQTNAFELVRELNMAVEITLEYRVEFKVGSSSVLSAEKIEKGIRELLQNDEVRKKVKEMSEKSRETLNQGGSSYSHLGRFIDYIVN >OIW01858 pep chromosome:LupAngTanjil_v1.0:LG11:15934199:15953494:1 gene:TanjilG_07153 transcript:OIW01858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVHNANFATVLLDEQDGLSMSYIYKKEAYEWENHTTSFKPSRVLKAPRLSSSSSSSSSRLPPPPIESFAFGSNNKPEVSDHGDDDCVEIAPSSTIFQESNGSLDDLEDEDVDDSTTAPAPNRGRRFIIDDEDDDVSDRDVAEVYEIESTEEEVEEVEDLNEGDVVGKALHKCSKISTELRKELFGSSGTACERYSEVESSSVKIVTQEDVDEACGSEDSDFQPLLKPYQLVGVNFLLLLHRKGIGGAILADEMGLGKTVQAITYLTLLKHLHNDPGPHLIVCPASVLENWERELKKWCPTFSVLQYHGAGRAAYCKELNSLSKAGLPPPFNVLLVCYSLFERHSPQQKDDRKVLKRWRWSCVLMDEAHALKDKNSYRWKNLMSVARNANQRLMLTGTPLQNDLHELWSLLEFMLPNIFDSEDVDLKKLLNAEDRDLIGRMKSILGPFILRRLKSDVMQQLVQKIQQVEYVVMEKQQEHAYKEAIEDYRAVSQARIAKCSDFKSKNVLEVLPKRQINNYFVQFRKIANHPLLIRRIYNDEDVIRFARKLHPVGAFGYECTLDRVIEELKSYNDFSIHRLLLHYGVKDKKGILSDKHVLLSAKCRALAKLLPSLKKGGHRVLIFSQWTSMLDILEWTLDVIGFTYRRLDGSTQVAERQTIVDTFNNDTSIFACLLSTRAGGQGLNLTGADTVVIHDMDFNPQIDRQAEDRCHRIGQTKPVTIYRLVTKGTVDENVYEIAKRKLVLDAAVLESMDVVNEGDMPEKTMGEILSAILLS >OIW02347 pep chromosome:LupAngTanjil_v1.0:LG11:5805759:5808397:-1 gene:TanjilG_11241 transcript:OIW02347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLFSNTQGWGQDGHAIVCKIAQARLSDKTAEAVKKLLPKSAEDLASKCSWADNLRVVFPWSSALHFADTPQNVCSYQNNRDCVDHKTGLKGRCVVGAITNYTNQLLDYGSDTKPKYNLTQALMFLSHFMGDIHQPLHCGFTSDRGGNDIEVHWYRRKQNLHHIWDTSIIETQLERFYDSDLGEFIYAIQKNITKVWGDQVEEWENCSSSDIPCPKIYASESVEDACKYAYKDAPEGSVLEDDYFLSRLPIVNLRLAQGGVRLAATLNRIFDSQTMMSV >OIW02571 pep chromosome:LupAngTanjil_v1.0:LG11:2875640:2876023:-1 gene:TanjilG_24022 transcript:OIW02571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQISYFNEKTSLSWKQNSSRKVGRNRHVRSCMFRIPWQTEFSPIVLVKDLAERVTSALRFVSLRRCLHRDSSSSSASPVGKSKLEGSTIDSYRTAAVEDCIEFIHSSFSRSNSSATTPGLDSTHVP >OIW02269 pep chromosome:LupAngTanjil_v1.0:LG11:6475163:6479439:1 gene:TanjilG_15152 transcript:OIW02269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLFLPTTLAATSNSKTTLSFSSSLSSTPFPLRRFSVAKTRRRQSSAIQSKIREIFMPALSSTMTEGKIVSWIKSEGDVLSKGESVVVVESDKADMDVETFYDGILAAIVVGEGETAPVGAPIGLLAETEAEVAEAKAKAAKSASASAPAAPIPQETSNPAPSISPPPSKAVSDGPRKTVATPNAKKIAKQHKVNIESVVGTGPFGRVTAADVEAAAGITPTVSNVASPAVTSAPRKAAAALVASTSAPAPIPGSSVVPFTTMQSAVAKNMVESLSVPTFRVGFPLTSDALDAFYEKVKPKGVTMTAILAKAAAMALVQHPVVNATCKDGKSFTYNSNINIAVAVAINGGLITPVLPDADKLDLYLLSKKWKELVNKARAKQLQPQEYNSGTFTLSNLGMFGVDRFDAILPPGQGAIMAVGASKPTVLADKDGFFSVKNKMLVNVTADHRIIYGADLAAFLQTFSKIVENPESLTL >OIW02876 pep chromosome:LupAngTanjil_v1.0:LG11:455933:462816:1 gene:TanjilG_29652 transcript:OIW02876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTCTLKRVSLLGYQQRVKPPVFVHTLARAVVVPSLIKRLNREMNTMASEDAFKGILIALPKPGGGEYGKFYSLHALNDPRIDRLPYSIRILVESAIRNCDNFRVTKDDVEKIIDWEKTSIKQTEIPFMPARVILQDATGVPALVDLASMRDAMKNLGNDPNKISPLVPVELVIDHSVQVDVARSEDALQANMEFEIQRNKERFGFLKWGSSAFDNVLVIPPGSGIVHQVNLEYLGRVVFNTDGILYPDSVIGTDSHTPMIDGLGVAGWGVGGIEAEAAMLGQPMSMILPGVVGFKLSGKLQDGVTATDLVLTVTQMLRKHGVVAKFVEFNGEGMREMSLADRATIANMCPEYGATMAFFPVDDVTLEYLRLTGRSEEAVSMIESYLRANRLFVDYKKAHHEIVYTSRLELDLGEVESCVSGPKRPIDRVPLKDMKADWHACLDNKVGLKGFGIPKEEKHKVVNFSFQGHPAELKHGSIVIAAITSCTNTSNPSVMLGAGLVAKKACELGLEVKQWIKTSLAPGSRVVTEYLWQSGLQKYLNQLGFHTVGYGCTTCIGNSGELDESVASAISENDIIAVSVLSGNRNFEGRVHPITRANYLASPPLVVAYALAGTVDIDFSKEPIGTGKNGKDMYLKDIWPSNEEVSEALQTYVLPGMFKSVYKAITKGNPLWDQLSIPASSMYSWDPNSTYIHEPPYFKNMTMELPGLHRIKDSYCLLNFKDGVTTDQISPPGSIHKDSPAAKYLLERGVDSKGFNSYSSRRGNDEVMVRGTFANARLVNKLLNGEVGPKTIHIPTGEKLTVYDAAMRYKNAGQDTIILAGADYGIGSSRDWAAKGPLLLGVKAVIAKSFERIHRSNLVGMGIIPLSFKNGEDAETLQLTGHELFTINLPGKVGEIEPAQDVDVTTNTGKTFTCKLCLETKVELTYIDHGGILSYVIRNLIKQ >OIW02042 pep chromosome:LupAngTanjil_v1.0:LG11:9659441:9673909:-1 gene:TanjilG_21091 transcript:OIW02042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRYHAGSCTNAVNNGAVGGPSHRDTGRSDLSSLPANFPVNSRRQQPQLAPYKLKCDKEPLNSRLGVPDFNPQTPNCLEETLTREYLQSGYRDTIEGLEEAREISLTQVPNFNKTVVLNCKEAIRKRLRAINESRAQKRKAGQVYGVPLSGSQLAKPGIFPEIRPCGEDFRKKWIEGLSQPHKRLRSLADQVPHGYKGTLLLDVLIRNNVPLLRATWFIKVTYLNQVRPDSVGICSGTADKIHLSRSEVWSKDVINYLQTLLDEFFSKNSSHSTLRSTHTRERSLQMPYMGSMQHKSNRLLSVSDDEPSLHFRWWYIVRLLQWHHAEGLLLPSLVIDWVLSQLQENLFEVWQLLLPIIYGFLETVVLSQNYVRTIAEIALRVICGPAPGGSDLVDNSRRAYTIYALIEMLRYLILAVPDTFVGLDCFPLPSSVVSNTVSDGNFVLKSTEASGRIENSRDDFGYVFSSIHKNAEYLARAASPGYPGRCLAKVAKTLDKSLVLGDLRGAYKFLFEDLCDGTVSDDWVAKVSPCLRLSLKWFETISLSLIYSVFFLCEWATCDFRDFRTNPPCDIKFTGRKDLSQVHIAVRLLKMKMREMKISPRLTNGRNQRVSHLAKCSNQQQHNQNHLGNVSRSKSSSKCAGWSIFESPGPLHDIIVCWIDQHVMHKGEGYKRLHLFIVELVRAGIFYPIAYVRQLIVSGIMDMNVYVVDLGRKKRHYHILKQLPRCFMHEALEESGIVEGPQLDKAVQIYLNERRLILRSVPSDSEYRDDASISAKKRKQYPASTKNGASTVSTAQWKSILTNTVSSKSAMGDVNIEELKADIVLILQLPNSLSNLSTAGCDDSEGSIRRPVRSYKSKIDPVEGTPGCEECRGAKRQKISEERCSVVQGHSAVVPDDDDAWWVKRGLKSSEPLKTEQPPKSIKQVNKTRQKNVRKTQSLAQLQASRIKGSQGASSSHVCDNKVNCSHHRAALDGDKQRYVDSQTCHCGDIVSIGKALKRLRFVEKRAMAVWLMTVVKQVVEENEKNVGQFGRPFSIVDDRSSIRWELGEDELSLILYLMDISDDLVAASKFLIWLVPKVLNSSNQTIQSGRNVKMLPRNVENQICDVGEAFLISSLRRYENILVAADLIPEALSSIMYRAASIIASNGRVSGLGSMVFARYLLKKYSNVASVSEWEKTFKATSDARLSSELESGRSVDGELGLSLGVPVGVEDPDDFFRQKISGGRLPSRVGSGMKDVVQRNVDEAFHYFFGKDRKVIAAGGTPKGPALEKRDNGYQIAQHIVMGLIDCIRQTGGAAQEGDPSLICSAVSAIVSSVGPTLAKIPDFPSGNNHSNTMLASTSLNYARCILRMHITCLCLLKEALGERQSRVFEVALATEASAAIAGVFAPSKASRAQFQLSPDAHDSSTTLPNDVGGNSSKIVVARTTKIAAAVCALVVGAVIYGVTSLERMVTVLRLKEGLDVVQFARSTRSSSNGSARSIGAFKVDGSIEVHLHWFRLLVGNCRTICEGLVVELLGEPHIIALSRMQRMLPLSLVFPPAYLIFAFVKWWPCILNPNVAVREDMNQLHQSLTIAISDAIKHLPFRDVCFRDSQGLYDLMASDPSDTEFANLLEVNGSDMHLKSMAFVPLRSRLFLNAMIDCEMTQSIYTNGEGNNRLSGTGESKLKDMLVHVLDTLQPAKFHWQWVALRLLLNEQALIEKLETHDMSLAEAIQLSSSNPEKSAAASENENNFIEILLTRLLVRPDAAPLFSELVHLIGRSLEDSMLLQVKWFLGGQDVLFGRKTIRQRLRNIAESRELSVKTQFWEPWGWCSPCTDLATIKGDRKKFDTAFLEEREVVEVGMDPKRCLKGSSQVLDSESSSSNQLQVTERALIELLLPCIDQSSDESHSSFANDLIKQLNAIEQQITAVTHGASKPVGNTPPGVEGQKNKVNTRRTIKGGSPGLTRRANVATDSSPPSPAALRASISLRLQLLLRFLPILCTDREPSLRNMRHALSPIILRLLGSRVVHEDKDISMHCSLMRRKVVSSSEAASAAFVDSSAEGLFDLLLLVLHGLLSSYPPSWLRQKPDLKTINEHMRKLNGFDREILETMQNDLDHMQLPETIRWRIQAAMPILFPNTRFSFYCQPLHVPVSAVSCLQQSTINPGFKSSNSTAPQRNMVPLSRTATRTPGKAKQEDNDFQVDPWMLLEDGAGSCPSASNAASIGSGDHVNIRAASWLKGGIRVRRTDLTYVGAVDDDS >OIW01545 pep chromosome:LupAngTanjil_v1.0:LG11:29066152:29066574:-1 gene:TanjilG_19471 transcript:OIW01545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEEHDEDSYGVVVEEHDEGYCGVVEENDEASCGVVVGMALVRWCLWRMVFVLVLKRRWWWCVVLVFVFWRGCGREWCLCLCSGDGGGGAWCLCSGDGGGGEWCIGLGMWGGLV >OIW01117 pep chromosome:LupAngTanjil_v1.0:LG11:35773058:35777151:-1 gene:TanjilG_25225 transcript:OIW01117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKKRHIAIFTTASLPWLTGTAVNPLFRAAYLAKDGERDVTLVIPWLTLKDQGLVYPNNIAFSSPLEHEKFIRQWLDERVGFTPGFSIQFYPGKFSKDKRSILAVGDISEIIPDEKADIAVLEEPEHLTWYHHGKRWKTKFRLVIGIIHTNYLEYVKREKNGMLASFLLKYLNNWVVGIYCHKVIRLSAATQDYNGSIVCNVHGVNPKFLEIGKKKRDQQQNGDKAFTKGAYFIGKMVWSKGYKELLQLLRDHEKELTELEVDLFGSGEDSDEVQDAAKKLELAIQVHPARDHADSLFHDYKLFLNPSTTDVVCTTTAEALAMGKIIVCANHCSNDFFKQFTNCWTYDDSDGFVKLTLKALAEEPAQLTDAERHDLSWEAATERFLKAVELDKPYERRLSRSTSIYMSTSLNLQQTVEDASAFVHHVASGFEVSRRIFGAIPGSLQPDEQLRKELGLTDAASKQDKN >OIW02508 pep chromosome:LupAngTanjil_v1.0:LG11:2994363:2997754:-1 gene:TanjilG_12822 transcript:OIW02508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEARGEDAPALSLNSLPLGFRFRPTDEELIDYYLRQKVNGNGDDVWVIREIDVCKWEPWDLPDLSVVRNKDPEWFFFCPQDRKYPNGHRLNRATTHGYWKATGKDRKIKSGSTLIGMKKTLVFYTGRAPKGKRTNWVMHEYRPTLKELDGTNPGQNPYVICRLFKKQDESLEVSNCDEVEHTASTPTTANHTPEEIHSDPSLVPVSSSQVTEDDKQLAVIAENSEEAISDIITSVNFHGDRCDVYGAHNQVAEPSAEAAQPFNWDLLNPPLEQLDDKLFSPARVHVLPEFYYQANNESDGSCELQHQYGTNEINVTDYYNSFIDAYCYNESISQKVNPALFNVYDSGLFIKSDVQIANTTHIQAFHAAYPEEAIDKMTPLLPSTGNDFCSSIASDHRGNDHKSNVGLLQNDSYLFSSNNVSMGEVYNGVNGYEQARNFDTVVNGDTGIRIRTRQVRNEQPNINTMVQLQGFANRRILLGGFVMHPHGCSDETAKDGSYSPEDHSSKSTIAGKSEASENHAAGESSAVTGDDELEKALAEFPDMSRICLLQVSSAESMGVEDLTLKRMVFDASKTSSNRVTWSFVFAVSAIVLVLLVFLFNIWGYLQY >OIW01172 pep chromosome:LupAngTanjil_v1.0:LG11:33041548:33042371:1 gene:TanjilG_10333 transcript:OIW01172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRKQNREELEEKARHGETVVPGGTGGKSLEAQEHLAEGRSRGGQTRKEQLGSEGYHELGVKGGQTRKEQLGSEGYHEMGRKGGLSTMDKSGGERAAEEGIEIDESKFKNT >OIW01267 pep chromosome:LupAngTanjil_v1.0:LG11:34504603:34507080:1 gene:TanjilG_10428 transcript:OIW01267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALHKEPQVITDKDQMRKWSRSMRSQGKTIALVPTMGYLHQGHLSLVKEAHKHAHVVAVSIYVNPSQFSPNEDLSTYPSDFHGDIQKLMSFPGGVDVVFHPYNLYDYGNAAKESVGDVVVESDGGVDGVVSCIENSGLGHETWVRVQKLEKGLCGLSRPVFFRGVATIVTKLFNIVEPDVAVFGKKDYQQWRVIQRMVRDLDFSIKVIGSEVVRDSDGLAMSSRNVHLSPEEREKALSINKSLLRAKSAAEDGQVDCEKLRNLVIQCIAEAGGKIDYAEIVDQESLEKVEMIKNPVVFCIAALFGKVRLIDNMEIKL >OIW01378 pep chromosome:LupAngTanjil_v1.0:LG11:31979745:31986251:-1 gene:TanjilG_12918 transcript:OIW01378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEKNNLKVTSPKSLKGVYECATGNFGIPKYGGTLIGSVVYPKVNQKGCKSFSDVDTSFQSKPGGFPTFVLVDRGDCYFTLKAWNAQNGGAAAILVADDKAEQLITMDTPEGGSAVNDEYVEKITIPSALISKSLGDSIKKALSDGEMVNVNLDWREALPHPDDRVEYELWTNSNDECGPKCDTQINFLKSFKGSAQLLEKQGFTKFTPHYITWFCPEAFLLTKQCKSQCINNGRYCAPDPEQDFNKGYDGKDVVIQNLRQACFFKVASESGKPWQWWDYVTDFSIRCPMKDNKYTEECSDDVIKSLGFDLKKIKDCVGDPHADVENPVLKAEQDAQIGKDSRGDVTILPTVVINDRQYRGKLTRAAVLKAICSGFQEATEPPMCLTTDMETNECLENNGGCWQDKSTNITACQDTFRGRVCECPLVQNVKFVGDGYTHCEASGALRCEINNGGCWKGAEGGKAYSACIDAHTKGCTCPPGFRGDGVNSCEDIDECKEKSACQCPECRCRNTWGSYECLCSSGLFYMQENDMCIGKYSASVSSGSFIWMLILMLGVAGAGGYAFYKYRIQSYMDSEIRAIMAQYMPLDNQPENSNHQVHHDI >OIW02484 pep chromosome:LupAngTanjil_v1.0:LG11:4551856:4552260:-1 gene:TanjilG_05077 transcript:OIW02484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLGKLTKLKSAIKRWPSLTKLSRNNSCVSAASTDSNKGGASSSSSNEVEQQQQQHGLHTIYVGKSRRQYLVNSDIIDHPVFQELVDKSCSNSDGVVVSCEVVLFEHLLWMLESNETQMGSMDELVEFYNCAC >OIW01437 pep chromosome:LupAngTanjil_v1.0:LG11:30293053:30293355:1 gene:TanjilG_11155 transcript:OIW01437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADANRNKSSAGNRRQQPSRLQSRAPSSLQINRNVNWNVAIPLLSPLASSPPPLDLKAEEPPHPPPRRQSGEQEKVVFKKWQHPAAPFCYEPPKAVPRFC >OIW01324 pep chromosome:LupAngTanjil_v1.0:LG11:35056786:35060751:1 gene:TanjilG_10485 transcript:OIW01324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDFMALVWDIEESNPSKDPVVLWLNGGPGCSSMDGFVFEHGPFNFEKPKTKGTLPNLHLNPYSWSKVSNIIYLDSPAGVGFSYSKNKADYRTGDVKTAIDSHNFLLEWFKLYPEFLSNPLFLAGESFAGIYIPTLAQQIVQGFNAGAKPKLNFKGYLIGNGVTDNEFDGNAIVPYAHGMGLISDQIFEDIKGQCLGRYHGRINNITCLQMMLKVDKVLGSLNPYDTLEPCYHGSENTKSDNRLPLSFRKLGETDKPMPVRRRMFGRAWPLGQHVKSGIVPTWPELSYTGSVPCFDDEVGTVWLNNEAVRKAIHTVDKSVVDEWKLCTNNIEYNHDEGSMIEFHKKLTSNGYRALIYSGDHDLCVPYTGSEAWTSSLGYKIIDEWRAWLVDGQVAGFTQGYEKNLTFLTIKGAGHTVPEYKPKESLYFYKRFLDGLPM >OIW01911 pep chromosome:LupAngTanjil_v1.0:LG11:14032836:14034589:-1 gene:TanjilG_15236 transcript:OIW01911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKFAQEMIILVIIASMFAVSMANKDWSFGSNNTDWWSRFGNHHNATHQQPKKIVVGGSEHWHFGYNYTGWAIKNAPFYVNDTLVFKYDAPNATTFPHSVYMFQNFWSFLKCDLKRAKKLSNPTQGGGEGFKFVLKRWQPHYFACGERNGFHCNNGTMKFSVMPMLRPFSQWP >OIW02167 pep chromosome:LupAngTanjil_v1.0:LG11:7704331:7706847:-1 gene:TanjilG_02391 transcript:OIW02167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMYTKAFFSCILLLSLLFSLSSAENVKLSVKSVTSIANTDENFICATLDWWPSNKCDYNQCPWGQAGILNLDLNNTILSNALKAFNTLRIRLGGSLQDQIVYQFGEQKECPEMRKKNGGLFGFSEGCLPRKRWDEINDLFNKTGVKLTFGLNALAGKNNSGKDKKEWLGNWNHTNAASLMEYTASKGYKIDSYELGNELCDQGIAAKVSSSQYAIDMKRLRSEVNRIYPNASTRPRVVGPGGFFGKEWFSSFLQNTGPGVLDGVTHHIYNLGAGVDKDLIKKVQDPFYLSQSAQLFESVSETVKTYTPWAGAWVGESGGAYNSGGKDVSHTFVNGFWYLDQLGMASTFNHRVYCRQTLIGGNYALLNTTSFIPNPDYYGALLWHRLMGSNVLSVSHEGSPYLRTYAHCSKKRPGITVLLINMSNSTSFNVSLVNDMNMNLPTEAKSISMDAQTREEYHLTPKDGNIQSDVVLLNGTPLLLTESLDIPDMKPKLVSLSSPIHVVPDSIVYVSLKSFNAPACS >OIW02069 pep chromosome:LupAngTanjil_v1.0:LG11:9286399:9286929:-1 gene:TanjilG_14592 transcript:OIW02069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQPSKSRTLEVTVLSAEGLRINGNPATNNIYVVVRAESITSHTTATTGDGSGGGFHSWNEKFTVDLAMHAKSIAFEVKCNTEIGVRDVGVARIAVSDFFGGSVPDQVLQFFSYRLRDWDGSRNGVINFSVRVVEPALEEAEAVAASEKMVDATSSSDNVVLGIPVCWNNSTSSNI >OIW02348 pep chromosome:LupAngTanjil_v1.0:LG11:5831033:5831944:1 gene:TanjilG_11242 transcript:OIW02348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVPLIDRLNDLQLGLNSLHNPSQIISPLSNSIAYNLCKWGAVILALVATFGTFINKVTIFIIRFRNKAATSLPSIPYLDDYEDSDDDDDYDFSNDDDDATSSEFEEDEENDESSASASSPGFYFTVSGSDDDEFQNESGTHKRRRNIGDIFSFSEIANSKSVVKLWDSIGFGLGLDFDDYEEPVTQRCKSPAILTSSPSVVLSAGEGACGNLAVEVWDTRLRCRKPSVVAEWGTNIGKTVRVESGGVQKVYVRDNGRYSVMVGDMRNVRTPLGNVTESDADIWWDADANESYGEEQSLLHPT >OIW01886 pep chromosome:LupAngTanjil_v1.0:LG11:15216439:15217996:1 gene:TanjilG_31068 transcript:OIW01886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NSIMSRIECSQKVLEKGSSKEDVLDFLKNGKEWCESVFWLTAKWELQSGPSERLVWIRTIGVLLQVWCEEFFKLLTQQLDLKGKDKLEGMTTSTGEDGTGIAVTPFIECFSESLGGDNNGVDKGILMLANFVVLEVGQSSLHPKGVKYVILDRDNSTIRKGKKKENLFLKPSYHRSIIGGKNGVGQKKKVLNSDDSNFNQANRWHFQGNDQIAAMLWNIGQKIGVTFFGKESEMVHNIGLLEKNDRVGSLKSN >OIW01272 pep chromosome:LupAngTanjil_v1.0:LG11:34536905:34537273:-1 gene:TanjilG_10433 transcript:OIW01272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANQPKNENLQATNSSQPIQKTEEDHVAANAAFALGLKDSLEKRYLKIKEHAETYPYVWASYIVVYGGFALWTGYRWRKLRRTENSVRNLQERLRKLVEADESATSIKAVEKGSSSSEKPSK >OIW02360 pep chromosome:LupAngTanjil_v1.0:LG11:4895081:4897339:1 gene:TanjilG_08507 transcript:OIW02360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKVEQTNVALSHVDPKEEQFNHKIITVEEKSFTYAMQLVNSSVVSMATKSAIELGVFDIINKAGAGAKLSAKDIAEEFSCKNPDAATMLDRILRLLANHSIVDCTVIDDENGPPPHLQRLYSMAPVTKYFVSSGDDGSLGPLMVLTQDKAVLESWYELKDAILEGGIPFNRVHGKHVFQYANSNLSFNHLFNIAMINRATLVMNKIVESYKGFEHINKLIDVGGGLGVTLNIITSKYPHIQGINFDLPQVIEHASPYPGVEHVGGNMFENVPQGDAILMKCVLHDWSDEDCLKVLKNCYAAIPDNGKVIVVEGVLPFEPETTDTVKSISQFDVLMMTTNPGGKERSEYEFMTLAKGAGFSGISYKCFVYEFWVMEFFK >OIW01881 pep chromosome:LupAngTanjil_v1.0:LG11:15015590:15016099:1 gene:TanjilG_31063 transcript:OIW01881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNYFSSMATPILHNINTTISLCRRASIPVIFTRHLHKSPSDYGMLGEWCSGELVFHGTPEAELLAALDVHEDDAVVEKNTYSAFRNTGLEEKLVEMGVEEVIVTGVMTNVCCETTAREAFIRGFRVFFSTDATATLDEDLHEATLKNMAFGFAYLLDCQKLKQVLSSN >OIW01724 pep chromosome:LupAngTanjil_v1.0:LG11:19190122:19191189:1 gene:TanjilG_03862 transcript:OIW01724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPEDVSLGGSKEQNNIVKGKRTKRVRPQSPIPFSITAISSTAEKEDCYNVDNNNNNNKDFNNNNNNSTHATSSAGLQDSTDEEEDMANCLILLAQGQPRESPKHAAACGGEDLTRGMNYSKYSSRKFLEAAGIGGSARAGYYVYECKTCNRTFPSFQALGGHRASHKKPKAIASAAIAIGTQEKKQEEEFQFKTNNNASFSLQLNGRGNLYSNENIKSKVHECSICGAEFTSGQALGGHMRRHRAPVGTNTTLSLTPSALEPEEGHPPRKRRNVLSLDLDLNLPAPEPEHDPREPNFALASKQQQRQTPPQQQQRQTPPPQQQQQQQQQQQQQQQQQQSSIVFSTPALVDCHY >OIW02017 pep chromosome:LupAngTanjil_v1.0:LG11:10526989:10528206:1 gene:TanjilG_11610 transcript:OIW02017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGETSWTSDFNYAPRWETRVYDSFSEFDEEIDNEEPGVSMDELLPDELLERIFTYLPTGSIFRAGCVCKRWHEIVASRRFLWSPSHVIPQKPWYFMFTNSDEPDGYAYDHVLRKWHGIELPCINSSNWFVASSYGLVCFMDNDSRTKLYICNPITKSRRKLKEPPGLKFSDYSALAISVSRESHSYNVAIVKSKEVPDNFFQWDISIHIYSSEKKTWETTLTEVFMGWRGGDESVICNGVLYFLVYSTGGGPPGSRHALVAYNIANRSSQGSLKRSFIDIPYSLTCGRLMNLNEKLVMVGGIGKQVRPDIIKGIGIWLLNDRKWEEVAKMPHKYFQGFGELDDVFASSGADDLIYIQSYGSPALLIFDMNIKQWKWSHKCPVQKRFPLQLFTGFCFEPRLEISP >OIW01519 pep chromosome:LupAngTanjil_v1.0:LG11:27806710:27812858:-1 gene:TanjilG_19445 transcript:OIW01519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMLGNDPVESFFNSINGIKEAFLPLEVGIRKAKSDFEHCWLGTIKNKENGVRLIAHEIEGGNFQICGVKNQKKGYESVVVGNERNKGLLEKVNLKSFFGVFSQNVENGNSGDLLNEKKEVVIAKEDGSCVNCLKFSMTWSLMVNGFLQGMADPVKPGRKWFQKFGDEGKLCSCMKPNVSSEVKQNGSKVQFITTIGDTGVIEKEGKHASLECLLGFIFDQFPRIPQSFDQGVQENGNDRGKTCQAPPHILTHFSDMNGLKHILEGNKVYVDRFLGNLRFAKMGGVPSNVIEISPSTSEGGDSSATVVNRKDTGGNSPQTFATSILSVTLSNMARIRSTLSTVSLTELVEMLPQLGQTSTEYPDKKKLFSVQDFFRYTETEGRRFFDELDRDGDGQVTLEDLEIAMTNRKLPRKYAHELMRHTRSHLFAKSFGLKRFLSLMEHKEPTILRAYTSLCLSKSGMLEKSEILESLQNARLPANEDDAVAMMQFLNANTEGSISYGHFRNFMLLLPSDRLQEDPRSIWFEAATVVAVPPPVELPLGSVLRSALASGFSCALSCALMHPVDTVKTQVQASTLSFPEIISKLPQIGARGLYRGSIPAILGQFTSHGLRTGVFEASKMVLINVAPTLPQMQVHSMLSFCSTILGTVLRIPSEVLKQRLQAGLYENVGEALVGTWRHDGLKGFFRGTGATLCREVPFYVAGMGLYDESKKAVSKLLRRELEPWEMVLVGAITGGLASVTTTPFDVVKTRMMTSQGQSVSMSMVAFSIIRQEGPLGLFKGAVPRFFWIAPLGAMNFAGYELARKALNKYAELAGNKSSQKKAEKVASSENV >OIW02442 pep chromosome:LupAngTanjil_v1.0:LG11:4076334:4081250:-1 gene:TanjilG_05035 transcript:OIW02442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLMGFPSTTKFNNLNVSFLVLPFIAITILNLVLATKGCNFPAIFNFGDSNSDTGGLAATLLAPTPPYGETYFHRPSGRFSDGRLIIDFIAQSFGLPYLSAYLDSLGTNFSHGANFATAGSTIRLPPSIIRMHGRFSPFFLDVQYTQFRDFKSRTQLIRSQGGISATLMPKEEYFAKALYTFDIGQNDITAGFLGNMTVQQVNTSVPDIVKSFSANVKNIYDLGARSFWIHNTGPGCIPFILVNVLSTETDSYGCLKAYNDVAQYFNHRLKEAVVQLRKDLPLAAITYVDVYSVKYSLFRSPKKYGFEHPLVACCGYGGEYNYSSIVGCGGTTEINGTKVFAGSCEKPSVRVIWDGIHYTEAANKFIFDKISTGAFSDPPLPLNMSCHRRSHDFISAQENFLNMKCYDSHVFIQRAIDNEINPLGYNGRCYLSQSFGLPYLSAYLDSLGTNFSHGANFATSASTIRPPPSIIPQGGFSPFYLDVQYTQFKDFKPRTQFIRHQGGIFASLMPREEYFSKALYTFDIGQNDLGAGFFGNMTTQQVNASVPDIVNSFSANVKNIYDLGARSFWIHNTGPIGCLPYILANFLSVERDEYGCAKPYNEVAHYFNQKLKQAVVQLRKDLPLSAITYVDIYSVKYSLFSNPKKYGFEHPLVACCGYGGEYNYSSIVGCGGTIEINGTNVFVGSCDRPSIRVNWDGVHYTEAANKFIFDKISTGAFSDPPLPLNMACHRNSTNI >OIW01694 pep chromosome:LupAngTanjil_v1.0:LG11:20085514:20089606:1 gene:TanjilG_01201 transcript:OIW01694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKLSNSISLFSLLIRRNPNPSSSRFLSKSHSSFNHVSPFLIRPNKFLTTPFQYINTLVQNPPQIPSRQRKIKEKSHLEEAFESAETTEEMLNAFKHMEGAFDERELGLASLKIGLKIDQEGEDPEKALFFANRALKGLDRENKPSLPVAMALQLLGSVNYGLKRFSDSLGYLNKANRVLGRLQDEGVSVDDVRPVLHAVQLELANVKTAMGRREEALENLKKCLEIKEMTFEEDGVELGKGNRDLAEAYVAVLNFKEAMPFCLKALEIHQKRLGQNSVEVAHDRKLLGIIYSGLEEHEKALDQNVLAQRILKNWNLSSDLLRAEIDAANMMIALGRYDEAVGTLKNVVQQTDKESETRALVLVSMAKALCNQEKYADCKRCLEISLGILDKREQISPVEVSEAYSEISMQYETMSEFETAISLLKRTLALLEKLPQEQHSEGSVSARIGWLLLLTGKVQQAIPYLESAAERLKESFGPKHFGVGYIYNNLGAAYLELDRPQSAAQMFAVAKDIMDVSLGPHHVDTIEACQNLSKAYGEMKSYVLAAEFQQQVIDAWESHGASAEEELREARRLLEQLKRQARDASANESPMKALPLRHTPATSRNSQPEISLPQSMKD >OIW01503 pep chromosome:LupAngTanjil_v1.0:LG11:27449299:27449625:-1 gene:TanjilG_19429 transcript:OIW01503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTDLQLHHELPKLRISNAKVIHSNHVKAKNDDDSSNNDDDDDGYLTPTSKESKIPTIIECPPAPRKAKQVSCKRKLMDEYRFFEVENKEEMDAFFMSNFPKKSCHFT >OIW01728 pep chromosome:LupAngTanjil_v1.0:LG11:19151388:19153556:1 gene:TanjilG_03866 transcript:OIW01728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSGLYSLIDSREIWWNAIIPYLIHLLNSLNILITRAVMYLLSAHIRILISLVFQIVLIFCGFQRKHVANVTLQYSVWVAYLAAEGIAISALSVLSAASKESSSDPSFITEMSWAPFVLLHLGGPDTISALALEDNELWLRHVVQLAQQLLAARLVVKSSWIGSSLNYVTIPIFIAGLIKYGERIWAQRLGSSENLRNSIIPPADPGPNYAKFMDEYTARKDEGYNVCLGDVIDTTPIIAYHSQGAIANDTIPDASAIHDGYTFFQIFKCLFADLSLSYQDREKSRNFFQHDKQTWEHAFKVTEVELELMYERLYTKSVITNSISGIVLKTVSFFCTLSAFITFCLLDKGQVDIDKIIITIVLFTAAILFEIYGFIVLLCSSWSMWRLSDIKNWKVDLLYWLITWYQRLFKLSHTKRWSNEMKQFNFISFCLKDKPFKCIQKLPFINKFLKKSYYQPSKTVPWELKRLIFYQLKLKSKDASDMKACKELCARRGDGVLQNFRVHENLDKEYYEIIDWSINGVEFDQSILLWHIATDLCCCEDEGSSSNNTKLQSCETSILLSNYMLYHLVVSPSMLPTGIGQTRFEDTLFEANELLQEREYISESVKACKMILRVNTEILPSLVKGDKNKSALFDACRLAKSLQDLVSKENWTNEKKWEMISYVWVEMLCHAACNCRGFLHAKQLCKGGELLTHVWLLMAHFGITEQFQMSKGHTRAKLLLS >OIW01354 pep chromosome:LupAngTanjil_v1.0:LG11:32929780:32931129:1 gene:TanjilG_20536 transcript:OIW01354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHDMEEEEEHDEGIVERENKGKQNMLIGKDDEYSKWTHIPTDILEMIMKRLKLIDYLRTSAVCSSWKATFTEVIANKHIKPLPELPLVILQSQKIPTSASSVLRIKSEEICSKMEFSSVINKNTMLHDINHIYHGIVEGWMILSISLFVGTAKIIFFLNPITSDVVIVPSPLKFPSNSPIPSTSNLKMGRMVASSSPKCKDCVLVCLFTDYAHIAYCRVNFDKSWKMIEAKGDVENFLDVEIFNGKLYVTTYMPNIAILVYDLPDSTENPPKPIVLAEIPPIRPLPESRTHENQIHVKGNVIRFLTTGYAAEELLLIYLFNNYVFENDNVAYMNVVKQYASPPQVTKCEVFKLDTRSNKWVKLDHLGDRVIFLGYDKSYVMSRTLLNCSEELIAENSVYFALYFPCPEPWSKAQLGRLCLTDNKIKYFSLEEFGVELYAYPSWFLPSAW >OIW02329 pep chromosome:LupAngTanjil_v1.0:LG11:5648408:5649929:-1 gene:TanjilG_11223 transcript:OIW02329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSEGNKFGGGPRELTSAVDLISHFKLQPHFEFFCKRPLPVSIADTHYLHNVVGDTEIRKGDGMQLDQLIQNTSSFRDTSARIQPFDLDILKEAFQLRETGPIDLPPGEKGIPTIAGKSKSGSKDKEKKHKKHKDRDKDKDKEHKKHKHRHKDRSKDKDKDKDKKKDKSGHRDSSADHSKKHHDKKRKHDGDDDINDVHKHKKSKHKSSKIDELGAIRVAG >OIW01305 pep chromosome:LupAngTanjil_v1.0:LG11:34815916:34818299:-1 gene:TanjilG_10466 transcript:OIW01305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWQSSLSSSAMDFNNKTLDKSNDHSLQFDSALSSMVSSPAASNSNISNDNFIIRELIGKLGNINNNNNNSTNNTSCYNTPLSSPPKVNNNNNNNINNNNNSYLVKPMMSLNSSTVGEFSSDSGFAERAAKFSCFGSRSFNGRRTQLGLKNNDELAQRSNTFMENGKYTRVSSSPSLQVLGVGSQMGIQENKNSPMEVANSQEESTISEQIPNFDNGVKPSSYMNSRKRKGSSSKGKTKETSTSANPVIGGEDSNAKRYKKNEGDGNENGALKEEEESKGDASNGGDEKQKKGNSKSSEPPKDYIHVRARRGQATDSHSLAERVRREKISERMKLLQDLVPGCNKVTGKALMLDEIINYVQSLQRQVEFLSMKLATVNTRLDFSIENLISKDIFQSNNSLDPTFPLNSSAEGFYGAIHNNIPNETVTHNSVDPLDTSLCQTISTMQLPSLSGFNENGFQYPIAFSEDDLHTIVEMGFGQIPNRKSPQSQSFNGTKIWKLHYFIYMKYIIFIRPNKIDIYIKLFLSNAGSNQVHL >OIW02375 pep chromosome:LupAngTanjil_v1.0:LG11:4751728:4756975:-1 gene:TanjilG_08522 transcript:OIW02375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQHELTVLLCLIWALTLLYGEMFAFWVPSFFTCSWPHLTHSSSSSSKAENGSYQADYVKVAVIADPQLMDRTSLRLPAKSLALEIAEFYTDLNMRRSFFASVLPFKPDVVLFLGDYFDGGPYLSDEEWQESFSRFKHIFGLNAKGKYTDIQVYYIPGNHDIGYGSLHSLKPEVIQRYEETFGIRNYNFSVGKVNFITVDAQTIDGPPQKHLTSQTWEFVKNISVDGVVHPRVLLTHIPLYRPDDTYCGPDRGSPVINQRISRTVNGNTNDIAYQNYVFEDSSKNLLDTIKPKLILSGHDHDQCTVTHESKFGPVNESVQQTLGTISWQQGNLYPSFMLLSVSNSAVPNASIPEEALLTQLCYLPMQTHIYIWYIVLYILSLLALLLWPTSGTSLWNKCLGLLGYCKQGIASILSRSETKEKDEDANYEYEMMWDAEGSMHLVKKPLKASIVNSNEGSLGERGNAVIRPSARKNTAQEADLSVKVDMADPLGRVPPKTGKSKTKFIVQRLLRTIRAVVIIAAVNVPLYMMLLFKDWVDK >OIW02840 pep chromosome:LupAngTanjil_v1.0:LG11:759527:759733:1 gene:TanjilG_29616 transcript:OIW02840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLRKKSRFWWLKKVMRKEYLSCAFRWKPLHLQTTIMDTIVFKMLSIVEALVLLSTLCFFYFCYGGHF >OIW01913 pep chromosome:LupAngTanjil_v1.0:LG11:14075487:14076785:-1 gene:TanjilG_15238 transcript:OIW01913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKFAQELIILIIIGSMFAVSMANKDWSFGSNNTDWWSRFGNHHNTTHQQPKKIVVGGSEHWHFGYNYTDWAIKNAPFYVNDTLVFKYDAPNAITFPHSVYMFQNFWSFLKCDLKRAKKLSNPTQGGGEGFKFVLKRWQPHYFACGERNGFHCNNGTMKFSVMPMLRPFSQWP >OIW01933 pep chromosome:LupAngTanjil_v1.0:LG11:13211975:13213224:1 gene:TanjilG_14166 transcript:OIW01933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGIPTHIKAWTYSEYGKSADVLKFDPAVPIPEVKDDQVLIKVAAASINPIDYKRLEGGFKDTDSPLPTAPGYDVAGVVVKVGSYVNKFKVGDEVYGDINGNALVHPKVIGSLAEYTTAEEKLLSHKPQNLSFAEAASLPLTIETAYEGLERAGFSAGKSILVLGGAGGVGTHVIQVRI >OIW02945 pep chromosome:LupAngTanjil_v1.0:LG11:26799:30073:-1 gene:TanjilG_29721 transcript:OIW02945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIGCSVWQQHNPTLLASFCINHIEEEHAHAVTLTTPTTTTTPPRSLFSLSRSFTIINNNGRITRMRSPFCIPQSQKTQDDYDNDNDNDSDSDSDNEVIEWKQNIRNSGIISSCLVGLLTGVAVVLFNSIETWTRVILVPTFGGVIVSLLNSITQRLHHSAASRPFFKAFAASITLGTGNSLGPEGPSVDIGTSIAKAVGSFFQNNNNNNNKNNNNNNKRMLSLRAAGSAAGLSSGFNAAVAGCFFAVESVLWPSPAASDDDDDDAPSLPLTNTTSMVILSAVIASVVSEIGLGSQPAFRVPEYDFRSPGELPLYLLLGILCGLVSLALSRCTSYMLTIVDNLPKTTGIPRASFPVLGGLSVGIIALLYPEILYWGFENVDILLESRPFFKGLSTDLLLQLIAVKIVATSLCRASGLVGGYYAPSLFIGAATGMAYGKLIGLAVAEFNPMINLSGLEVASPQAYGLVGMAATLAGVCQVPLTAVLLLFELTQDYRIVLPLLGAVGLSTWISSVQTKRGDARRTKKLQLENSNSAILPETSSCCSIESSPGNSIDEGVPYLSSLYEVDSSLRVEDDNAETAYPVRRTFVSEAMKTRYVTVSMCTLLIEVIDLMLSEKESCAVIVDTDGSLISLLTLRDIQEYGKIAKARSKKPKKLLVSELCLLNGGICSIPWTATADMELGYAQKNMKKHGMDHVPVVRNINERSYPVGILDRDSISITCRFCYYAVILKCFIRTK >OIW02732 pep chromosome:LupAngTanjil_v1.0:LG11:1479696:1484773:1 gene:TanjilG_29508 transcript:OIW02732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATMHAKIDRKKLNKLNIIKICEEILNPKVPMALRLSGILMGGVAIVYERKVKLLYGELLILVLIFNIKTIEFTFIAQQFGRAFLNYIFTFFLILLADDVTRLMVEINEAWKVKNVPDPNVLSKGKSKAKKEAITLPENQEINMGDIEESLQFSNTAPTMGFQHDAYFTMRLDNVNEDLDFNNGAREEEDPSKRLHQVDVENITLFERFESFQANENLYNRFERFDIEGDDETFLNVTSTTFTQIPTTLVPSPPRQDEPSRADISQDHHPEHPVIQHSDEGVKEGQPTNIMSSTKIAKLMKIPPVALISDLYNNETGDIYYPAPLLDLWNKSTQPPHDSPSARASGHHPPEPSFSSPPVVHSEGFMRFPSQDFDGGLDNQFLAPPTEKPRDKVEHAEIFMDELRANLDFGLRAPLISSWKSGDSIHSFGSGSEHGSASHSDIGKGRFTKKRHSLSGNISGGLEPVAENAHVKLPRVSEMDPTPDQGPTQIQPIINQPRDVIADTIQAQMKAHFETPGVPPVESLDILAAGMTRKSAAALFYQTCVLASRDALKVEQKEPYGEILISRGSKM >OIW02542 pep chromosome:LupAngTanjil_v1.0:LG11:3224489:3225369:1 gene:TanjilG_12856 transcript:OIW02542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPFGRFYGLESWVDINPEPSRSLMKGMEMNDSRSKSERKSMEACKSHRDAERRRRQRINDHLTTLRSLLPNNTLKSDKASLLAEVVQHVKQLRKQADDVAHGDSYCSGNSEPCSVSSPAEDVEPWPFPGVFDEATVSYCDGEPNRMKVTLCCEDRPGLNQDLIQAIRSVRAKAVHAEMTTIGGRTKNVVVIQWDTKEEVGALERALKAVIENRAFMDPWMGRVVVGQKRARDCYGSFVEVD >OIW02213 pep chromosome:LupAngTanjil_v1.0:LG11:6857915:6860600:-1 gene:TanjilG_31962 transcript:OIW02213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVDSILSSPLGPPACEKDAKALQFIEEMTRNADTVQERVLAEILSRNAETEWLKRFKLGGATDRETFKSKIPVITYEDVQPEIQRIANGDRSKILSAHPISEFLTSSGTSGGERKLMPTIKEELDRRQLLYSLLMPVMNLYVPGLDKGKGLYFLFVKSETKTPSGLLARPVLTSYYKSEHFKTRPFDPYMVYTSPNEAILCPDSFQSMYIQMLCGLIERNQVLRLGAVFASGLLRAIRFLQLHWTELAHDIQTGTLNSKIKDLTLKDHMKNVLKPDPELASFVRKECSKENWEGIIIRIWPNTKYLDVIVTGAMAQYIPTLNYYSGGLPMACTMYASSECYFGLNLNPMCTPSEVSYTIMPNMAYFEFLPHSSTMNSVGFTRDSSLRLADLANVEVGKEYELVITTYAGLYRYRVGDILRVTGFHNSTPQFHFVRRKNVLLSIDSDKTDESELQSAVDNASKLLAQFNTSVVEYTSYADTRTIPGHYVIYWELLTKDSSINSLSHDVLTQCCLEMEESLNSVYRQCRVADHSIGPLEIRVVKGGTFEELMDYAISRGASINQYKVPRCVNFSPIMELLDSRVVSVHFSQDLPHWTPERRR >OIW02078 pep chromosome:LupAngTanjil_v1.0:LG11:8947567:8948265:1 gene:TanjilG_14777 transcript:OIW02078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEIFMFLYSGGLELLCDSKKIHNVNVEQQNGEDKLIMKDLLSWVRTNLIKERPEMFMKGDTVRPGVLVLVNDCDWELSGQLSTTLEDKDVVVFISTLHGG >OIW02165 pep chromosome:LupAngTanjil_v1.0:LG11:7732434:7734993:1 gene:TanjilG_02389 transcript:OIW02165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMEVDTQEPGKQQDTIKDKDLFKAAETGDSSTFESLSPETLSKALSLRNEDARSLLHVAASSGHSKVVKILLSADASASVVNSVDEEGWAPLHSAASIGNLEIVEALLNNGADVNMKNSGGRTALHYAASKGRLKIAEILISHDAKINIKDKVGCTPLHRAASTGNSELCELLIEEGADVDAFDRAGQTPLMNAVICYNKEASLLLIRHGADVDVVDKEGYTVLGRATVDFRPLLIDAAKAMLE >OIW01468 pep chromosome:LupAngTanjil_v1.0:LG11:26359848:26371793:1 gene:TanjilG_19394 transcript:OIW01468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATARQENPYETGGCGGEAGFGKSRKRPFRRSQTTPYDRPATSLRNPNKNNGWLSKVVDPAQRFIAYSASKLFSSVFRKRLPPPSSEAVHEVRDNHQEATVFVANDFSAIFESDVQVNTSDGGESTDLEKLLKQKTFTGEEINHLTALMHSRTVESALREEGKRAEVVLPSDPVLHSNLKNDYPKTPEPENGIQNRVLSYPYVTTNAPIEDVASPAELAKAYMGSRPSKVSPSMLRLRSLPREDQALLKSQHFTSNSPIMSIVPRATTSLARVHDNGFLTPRSRGRSAIYSMARTPYARAYPSSTLGVGVALGEPSSSAQYALDHDMLSRSKQGALKRRSSVLQNDIGSFGPIRRIRHKSNLLSSKGLALHHSDSPLSIARSSDGTDTAQQPSYSTQKPILLGEVKQSHMKLSAENIDDRKPTASFPPLPSRSSEMASKILQQLDKLVSPKEKSFESRLSILNDKSPMKLSSSMLRGQALRSMETVDSSKFLDNIQENELDGTLGNSSASAEKLSSQIDKVENGPLKLVASTDGSVSVINDADATVQRQQVISIAKLGHSSLTKPISYPHKKRAFHISAHEDYLEMDEDAYPNGAASSFPLSGKETTGSTAVADKTTATSLQKPLGSAIVMPSNNFAVDGKPHVCTVDGVKVDVLTSRTCSISDLTKPVIAAATVATQTNIGSDKSAPPNGSLANPPIFNFGKKVVPSMEPTAANSPPKESTNSGPVFGLEKVVSPKEPGADASLVNFRINKNVVNVPQVPFTFSSSVGGDFNGVKFGAASDSNLKSSISSTTVTSVVDSIPKVLQSDDADADAKTNTITAASEVAVSSAALTPLSTSPMNVFTFDNSSNQNGPVALSPSFSSSLPSMVTNKSTSLNMFSSSSLAASSSSYITETAASTSISLTTSTPAVIASSNINSSTPEVASPSSTPSIFKFGSTPPPSTGLPISSTSGSEPVETKKDTGIGILGSTSFGSSSAAVGSTGSGIFGFSSSAMTTVNSQSQVSAFGTTSDSVSGAMAPPATSGFASSTKIQSVASGSSASSPLFGFTGNTSFSSGSSLFPSSNPATNIFNSGTPFGQSTTAFSSEANPVSSNSGKSSTPFGLSSWQSTSFGSSFNSPSSSSPGFSFGAASVASTSSPMMFGSTTSASSAPQFSFTSAAPTTNLQSAFGSSSPVSAFGSAPVNNDQMGTEDSMAEDTVQATPPVTPAFGQQPAPPQSNFVFGAPTPTGVSPFQFSSQQSSAPPNPSPFQATGSVEFNSGGSFSLGPGGSDKSGRKIVRVNRNKLRKK >OIW01263 pep chromosome:LupAngTanjil_v1.0:LG11:34455111:34458516:1 gene:TanjilG_10424 transcript:OIW01263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRYQKVDKPKPDSPINPNEIRITTQGAIRNYITYATSLLQEKNAREIVLKAMGQAISKTVAIAEILKKRIPQLHQDTAISSVSITDVWEPIEEGLVPVEMTRHVSMISITMSTSELNKDAPGYQAPNDVEQPKPNFSYQQQPIKPDHGPHNAVNEDSYGQGRGRGRGRGRGRNWGRGGYGYQGGYENYQGGYGFYQGGYADQGNGGYRVRGGGRGRGWGYHGYEGGRGGGAGYEGGRGTDYEGGRGTGYEGGRGGGIGYEGGRDGGGTGYGRGRGGGIGYEGGRGGRGGDTGYGGRGGDTGYGGRGGDTGYGGRGGGTGYGGRGGGRGYERRGGGGGGTGYERRGGGGAGYEGGRGGVSSYERRGSGGGGAGYDEGRGGGAGYDGGRGGGAGYERGGRGGGYDGGRGGGNGYERGGRGGGNGYERGGRGGGRGYGRGRGRMGGHTTRGGGNQA >OIW01520 pep chromosome:LupAngTanjil_v1.0:LG11:27825645:27826226:1 gene:TanjilG_19446 transcript:OIW01520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTITIAQNVGEESSDPRLSKCYNDAIEEYTCMRQIVASFYFRRVIVEPQCCKVVEDFNDECSDTMYARVQSPLYKDILHNHCSNSNKSPPPPKTLTPPKTPTPTPPKTQKPTPPKTPTPPKTPTPTPTPPKTPNPPKTPAPMPPKTPTPAPPKTPTPAPPKTPTPTQPTVPTPTPITYPPKGQCQCKPKHNF >OIW02879 pep chromosome:LupAngTanjil_v1.0:LG11:439347:444219:-1 gene:TanjilG_29655 transcript:OIW02879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGVIRRRVASGSPSPLFLGQPVQKFRSGSSVPATVSSIVEKEIAFHSRGCGNVRSFCHISSGCWINSKATREVFCQQVLMQTWRRPFSSDSGDSVDVVVPPLAESISDGTLAKFLKRPGDRVNIDEPIAQIETDKVTIDVSSPESGVILKLLVNEGDTVEPGNKIAIISRSADATTHVAPSEATPEKAAPQPTQKISEEKKAPKAEAASVTEKPKAPPAPHSSHSEPQLPPKERERRVPMTRLRKRVATRLKDSQNTFALLTTFNEVDMTNLMKLRADYKDAFVEKHGVKLGLMSGFIKAAVNALQYQPIVNAVIDGDDIIYRDYIDISVAVGTSKGLVVPVIRNADTMNFADIEKQINTFAKKANDGTLSIDEMAGGTLTISNGGVYGSLLSTPIINPPQSAILGMHSIVSRPMVVGGNVVPRPMMYVALTYDHRIIDGREAVFFLRRIKDIVEDPRRLLLDI >OIW02815 pep chromosome:LupAngTanjil_v1.0:LG11:909900:911510:1 gene:TanjilG_29591 transcript:OIW02815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFTATTTTITIHLASSVIIDEPNIYTNSVSVLFHNSEDLLIGYKTRFEAITQVIMDSFNHSHQNTRFRYNPNFSTDGDDGAEFQGILDIFVHHARNIHNICIYDNQDVYAKFSLTYNPDETLSTRIINGGGKNPTFNENLRMKITQMDAVLKCEIWMFSRARNHLEDQLLGFSLVQISQIFGKGKVTEDYSISSTDLFHSPAGTVQLTLSLDTSFAANSSMNLVSESAKNSSISSEVVLLDRKISQVMLDSAETEYSRIEFPDLSVVKQNQQMVAEYFNLASHGSMSIPFLHLGASSQLDDYEMTMSSPAESISPNDSIRNSGFLSSTTTSLSGDRNSADSVEKKNHLASNSVDVSVTIETSHNFGACPDTPTSKKEVEAKDDTKAKFSSKEKESKNDRSNIEAAKFGEVFSTPLGNINMEAEQNIMQKQIVDMYMRSMQQFTESLAKMKLPMNLDKSEREKDHGDVIQNHENRKLDNDKNKKKDGSRVFYGSRAFF >OIW02056 pep chromosome:LupAngTanjil_v1.0:LG11:9486543:9488053:-1 gene:TanjilG_21105 transcript:OIW02056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGGLKKLLSLAITEGVTEARARIFGHQINTTGQKSAHKLLRKKLIGEKVAQWYPYDIKKDDPLVMARQEQERLSKLEMLKRRGKGPPKKGQGRRAAKRNK >OIW01575 pep chromosome:LupAngTanjil_v1.0:LG11:24527987:24533817:-1 gene:TanjilG_21155 transcript:OIW01575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKQSLSLTSHARVSIFLCALTLFTSLHGSSEYNHKTMIQDIARKLKMEENELLGTEKKFKNFIVDYEKKYSTKEEYLHRLQIFAKNMVRAAEHQALDPTAVHGVTQFSDLDEVEFEKHYTGMKGGFGLHGLNNGGEGPSLKVEGLPENFDWREKGAVTPVKMQGICGSCWAFSTTGSVEGANFIATGKLLSLSEQQLVDCDNKCDMTEKSTCDNGCNGGLMTNAYNYLIEAGGLEEETSYPYTGERGECKFDQEKIAARVTNFTNIPLDESQIAAYLVKHGPLAIGINAIFMQTYIGGVSCPLICSRRRLNHGVLLVGYAAKGFSILRLSNKPYWIIKNSWGEKWGEHGYYKLCRGHGICGMNTMVSAAMVAQTQANNHAAY >OIW01425 pep chromosome:LupAngTanjil_v1.0:LG11:30784436:30789127:-1 gene:TanjilG_25721 transcript:OIW01425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFHIVLERNMQLHPRYFGRNLRDNLVSKLMKDVEGTCSGSSDNGLVLAHCAMEEEGLCPQVVAQPVSLVAHGEEEFADLLGCGGLDASEFPVFEDSFGRHGFVVAVTGIENVGKGLIRDGTGFVTFPVKYQCVVFRPFKGEILEAVVSMVNKMGFFAEAGPVQIFVSNHLIPDDMEFQSGDIPNYTTSDGSVKIQKDSEVRLKIIGTRVDATEIFCIGTIKDDFLGVINDPTTV >OIW02462 pep chromosome:LupAngTanjil_v1.0:LG11:4259306:4259791:-1 gene:TanjilG_05055 transcript:OIW02462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSATMISDQMAISAPETQSSATSSILVAQNEVEFAICDCCGLTEECTPAYIERIRERYHGKWVCGLCAEAVEDEIVRSERFIGTEEALNRHMNFFQKFKTSGPPTNPTMHLISAMRQILRRSLDNSPRVRSTPATPTKIHIEIGGSGLNRSESCFSTLSSS >OIW02468 pep chromosome:LupAngTanjil_v1.0:LG11:4320584:4322458:-1 gene:TanjilG_05061 transcript:OIW02468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSPPPMTPEKLPFSEAEKIILRWDSTASEEAREKMIFDGDRHEVDRYLQAVDEIQRSISSTSLSDDQNKVNSAVQIAMARLEDEFRNILISNTIPIEADSITEQEQEQQPPSSSSSSSSPVHHEAANDSNHCNDEANKLFRFNSEGTGSSVSVNSSYRSTSSIREIDLIPSEVVYDLRCIAERMISSGYLRECIQVYGSVRKSAVDASFRKLGIEKLSIGDVQRLEWEQLETKIRRWIRAAKVCVRTLFASEKKLCEQIFDGVGTCIDDACFMETVKGPAIQLFNFAEAISISRRSPEKLFKILDLHDALMDLIPDIDLVFDSKSSDSIRVQAAEILSRLAEAARGILSEFENAVLREPSKVPVPGGTIHPLTRYVMNYISLISDYKMTLNELIVSKPSTGSRYSGDPSTPDMDFEELEGKAPLSIHLIWIIVILQFNLDGKSTHYKDTSLSHLFIMNNVHYIVQKVRGSTELREMIGDDYLRKLTGKFRQAATSYQRATWVRVLYCLRDEGLHVSGGFSSGVSKSALRERFKAFNAMFEEVHRTQCVWLIPDSQLREELRISISEKLIPAYRSFLGRFRCHIESGRHPENYIKYSVEDLEDAVLDFFEGIPVSQHLRRRSN >OIW01784 pep chromosome:LupAngTanjil_v1.0:LG11:18168474:18168749:1 gene:TanjilG_03922 transcript:OIW01784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPKKQHQPSRLQKRAPSSLQINREVEWNVAIPLLSPLASSPPPLPVETKAQEPKPAETEKVAVTFKKWQHPAAPFCYEPAPMVPSFVPV >OIW01546 pep chromosome:LupAngTanjil_v1.0:LG11:29142960:29143559:1 gene:TanjilG_19472 transcript:OIW01546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLALGGGERGALGGRERGGVERGALGGREWGALGGRERGLDLLMVSALGGGEWRLNLLMVYALCGGERGALGGGEWGLSLLMMSALGGGERGALGG >OIW01395 pep chromosome:LupAngTanjil_v1.0:LG11:31383461:31397470:1 gene:TanjilG_02551 transcript:OIW01395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLCYESSINDSISGGSNFNVGLVMQDFDPSVSSNLRLDVYIYDYLVKKKLHNTAKTFMTEGNVSPDPVAIDAPGGFLFEWWSVFWDIFIARTNDKHSEPAAAYLELQAQQIKAKEQQLQMQQILMRQAQMQRRDSNHPPVGGPVSAITTEGVLGQSTATALAAKMYEDRMKHSNPMETETSQPLVDARMALLKSTNHPGQMVQGNSGSVTAALQQMQARTQQIPEIKSEVNMGTMQRSLSMDRSSIYEQGAMQSKSGISNAGLNPGVGGLTLKGWPLTGIDQIRPGFGAQVQKPLLQSPNQFQLLPQQQQQQLLAQVQAQGNIGNSPMYGDMDPQRLRGLARGSLNVKDGQPIANDGSIGSPMQSTSSKNNRKRKGPTSSGAANSTGTGNTLGPSNSQPSTPSTHTPGEGVTMPGNLQNVAGVSKGLIMYGTDGAGGLASSTNQLDDMEHFGDVGSLDENVESFLSQDDGDGRDLFGTLKRNQSEHTTDASKGFSFSEVGSIRKSNNKVVCCHFSSDGKLLASAGHDKKVVLWNMETLQTESTQEEHNLIITDVRFRPNSTQLATSSFDTTVRLWDAADPSFSLQAYTGHTAHVMSLDFHPKKNDLFCSCDANNEIRFWNISQYSCTRVSKGGSAQVRFQPRIGHLLAAAAGNVVSLFDVESDRQMQSLQGHSTDVHSVCWDTNGDYLASVSQDSVKVWSVASGECIHELSSSGNMFHSCVFHPSYSTLLVIGGYQSLELWNMAENKCMTIPAHECVISALAQSPVTGMVASASHDKSVKIWK >OIW02324 pep chromosome:LupAngTanjil_v1.0:LG11:5588120:5590534:-1 gene:TanjilG_11218 transcript:OIW02324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLENLEEYTEKLIEEFEAISKDAERVQKETLRSILEENASAEYLQSLGLNGKTDPESFKAIIPLVTHDDLEPYIKRIFDGDNSPILTGKPIPAITLSSGTTKGKSKYVPWNETFLDSGVQILHTAFAIRDRELPIKNGKCLSIVYLSAQSQTKGGLKLGTIASHVIGNKRYTEAMAAIKSEMCSPQEVISAPDFQQAMYCHLLCGLIFRDQIQLLEALFIHSIVQAFRTFEQVWEELCNDIREGVLNSRITIPSVRAAMSKILKPDPEKANLIHKICTGLNNWYGVIQELFPNAKYLLAIATGSMEAYLPSVRHYAGELPVVTNDYGASEGWVGTNLHPTVPAEFASYTVFPNCGYYEFIPLSEDAIACVDPKPVGLTEVKVGEEYEIVMTTRTGLYRYKLGDVVKVTGFYNSTPELKFIRRSGTLLSINIDKNTENDLRLAVVAASKVLAEEKLEVVDYTSHVDSSQGLGHYVILFEISGEASEEVLGECCNSLEKSFVDAGYTSSRKVNNIGPLELRVVRPGTFQKIFDHFIGMGASPTQLKTPRCVPPTSTKVMQILNDNVLNKYISTVFN >OIW01291 pep chromosome:LupAngTanjil_v1.0:LG11:34704714:34705370:1 gene:TanjilG_10452 transcript:OIW01291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTASTGDVEAGFAKLQGEDFEYYMQTYSIVLGRNSKKSTVDVDLSSLGGGMNISRHHARIFYDFTRRRFALEVLGKNGCLVEGVLHLPGNPPVKLDSQDLLQIGDKEFYFLLPVRSILGGPAGPRHYPNHVAPPALVSGGPVAPHYNYHLAASAAAAAGAGAMVKKGRREYYEDEYDDDDDDVGGSSGKKGRREGYEGYGYGGVSSGGKALLPGGLG >OIW01480 pep chromosome:LupAngTanjil_v1.0:LG11:26763982:26769688:-1 gene:TanjilG_19406 transcript:OIW01480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKMWRTLDTTTAQLTLTVRALRLRSHHILSSSSSPTHSKLSHSSSYYSPSSLSSFRTIVTLTHSHSFLSSAPKNTRYHDFGSKFVFCVKRCVSSSLSSSPSLDWNDAVSCSEVDDGVDPVGGDEHDVKPSSIPVRAFFFSTSVDLKSLVEQNKPNFVTPSSRMTNYVVLRFGDLSDSRGLGTAFLSGNSGCYMVVFQYGSIVLFNVPEHELDSYLKIVKSHASGLLPEMRKDEYEVREKQALSTWMQGGLDYIMLQYLNIDGIRTIGSVLGQSIALDYYGRQVDGMVAEFTDINREMEATGKFKMQRKKLFQLVGKANSNLADVILKLGLFERSDIAWKDAKYAQIWEYLRDEFELTQRFASLDFKLKFVEHNIRFLQEILQNRKSDFLEWLIIALIGAEILLSLYDIIHRGAMQL >OIW02431 pep chromosome:LupAngTanjil_v1.0:LG11:3983292:3985048:1 gene:TanjilG_05024 transcript:OIW02431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSVAFSTSLYTSQTCLLSSEKSNNLFLKTCSLFPSQTRKKLLFQPLRYNGFSTERSSCVGIVKAIQFENWFKNVEVFTKEHVAVLLAHDIAQHSNRFIRERGAFTVVLSGGSLIKYLRKLVELPHAESIDWSKWHVFWVDERVVPKDHLESNYKLAYDGFLSKVPIPPVNIYSIDDALEADGAADVYETTLRRLVKNGVIATSPNGFPKFDLMLLGMGQDGHVASLFPRHSLLKEDQKWVSFIKDSPKPPSDRITLTFPVINSSSNIAMVVTGAGKNNAVFSALRGDEKSDKLPVGLVSSEGEIKWYLDIGAASKLFKD >OIW01357 pep chromosome:LupAngTanjil_v1.0:LG11:32521338:32521511:-1 gene:TanjilG_12897 transcript:OIW01357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIETFECTKYLRVNNQFICSSFVYMMMDIEPHLDLMYANYTFVDVTFIATIEDGTR >OIW02240 pep chromosome:LupAngTanjil_v1.0:LG11:6084615:6090742:-1 gene:TanjilG_15123 transcript:OIW02240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGDGKERKQLHLSNIYSFTCGKQSFKGDHSQIGGRGYSRVVFCNDLDNFEVWLRDYADNAVRSTKYTIATFLPKSLFEQFRRVANFYFLVTGILSFTELAPYTAGSAILPLIVVIGATMIKEGIEDWRRKKQDIEVNNRRVKVHKGDGTFEYTEWKNLRVGHIVKIEKDEFFPADLLLLSSSYEDAVCYVETMNLDGETNLKLKQGLDVTCSLHEDFKFRDFKATIKCEDPNANLYSFIGSIEFEEKQYPLSLQQLLLRDSKLRNTDYVFGAVVFTGHDTKVIQNSTDPPSKRSKVERKMDRVIYFLFCILFLMAVAGSIFFGVITKDDLDNGMMKRWYLRPDDSTIFFDPKRAPAAALYHCLTALMLYGFFIPISLYVSIEFVKVLQSIFINQDIHMYYEEADKPAHARTSNLNEELGQVDTILSDKTGTLTCNSMEFIKCSIAGVAYGRGVTEVERAMNRRNGSHVIDENVSLSESGDLKQSPDNRARVKGFNFTDERIMNGNWVNEPYAGVIQKFFRLLAICHTAMPEVHEDTGNVSYEAESPDEAAFVIAAREVGFEFFKRTQTSLTMYELDPVSGNKVERMYKLLNVLEFNSSRKRMSVIVKDEEGRILLLCKGADSVMFERLRKNGREFEENTLEHVHEYADAGLRTLILAYRELNKEEYKEFYNKISDAKKSVSADQQSLIEEVSDKIERDLILLGATAVEDKLQNGVPNCIDKLAQAGIKIWILTGDKMETAINVGYACSLLRQGMKQIIIHLETPEIQAVEKLRDKRAIAKASRESVHNQIYEGAQQVIASRRTSDQAFALIIDGKSLAYALDDDMKNMFLDLAVRCASVICCRTSPKQKALVTRLVKSGTRKITLAIGDGANDVGMLQEADIGVGISGVEGMQAVMSSDIAIAQFRYLERLLLVHGHWCYRRISSMICYFFYKNVTFGFTVFLYEVYASFSGQPAYNDWFLSLYNVFFSSLPVIALGVFDQDVSARYCLKFPMLYQEGVQNILFSWRRILTWMLNGFISAIIIFFFCTKAMEIQAFDDKGRTAERDILGATMYTCVVWVVNLQMGLAISYFTLIQHIFLWGSIALWYFFLIVYGTMTPTFSTIAYKVFIECLAPAPSYWIVIFCVVISTLTPYFSFSAIQMQFFPTYHEMVQWIRYEGKTDDPELCEMLVQQRA >OIW02412 pep chromosome:LupAngTanjil_v1.0:LG11:3810436:3811002:1 gene:TanjilG_05005 transcript:OIW02412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLPMLCSRPSIKDVSLPNSRDPFWSFSNDPLSPRIGCTGQVKRHNKITGLPISHKLRLTPKNNTTTAPSSVVKYSKLKRLFSGKSVYINTIATVPTASSCETRPRARVKSPDVPRNNRCGNENFVPISIENMDPPLPVIKRVHKSEEGSKVDSVWKRRSGGAALKSLQVQQIHQPRHHLQLTSVFDG >OIW02705 pep chromosome:LupAngTanjil_v1.0:LG11:1675319:1681531:-1 gene:TanjilG_29481 transcript:OIW02705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRGNKNIVQRGEVIEVSTHKIADHDLEDEREKVTLAANKDNPEEEEEETLLSDVDGGDVEIPDTAHQISTDSWFQVGFVLTTGINSAYVLGYSRTMMVPLGWVGGIVGLILATAISLYANALIAMLHEFGGQRHIRYKDLAGYIYGRKVYSLTWVLQSVNLFMINAGYIILAGSALKAIYVLFRDDDQMKLPYFIAIAGFVCALFAICIPHLSALGIWLGFSTIFSLVYFVIAFVLSLRDGMLPEIQATIRQPVVKNMMKALYFQFTVGVLPLYLITFTGYWAYGSSTATYLLNDANGLVWVKVMANITAFLQSVIALHIFASPMYEYLDTRHGIKGSAMSFKNLSFRVVVRGGYLTLTTFISALLPFLGDFMSLTGAICTFPLTFILGNHMYLVANENKLTTIQKLWHWLNICFFAIMSVAATIASFLHPSTTKMVKGRQGERVRLYVRGTILGYKRSKSNQYPNTSLLQIEGVNTKEEVAWYAGKRLAYIYKAKVKTNGSHYRCIWGRVTRSHGNSGIVRAKFKSNLPPKSMGSRVRVFLYPSNI >OIW01337 pep chromosome:LupAngTanjil_v1.0:LG11:35181961:35182953:-1 gene:TanjilG_10498 transcript:OIW01337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIINSKPFAPNTVFKDCSQGICSIYCPQWCYIIFSPPPSSSITLGDNDLDNDDNSSSFHFSPLIVAVIGILASIFILVTYYTIISRFCKNSYRTNNEVTNFNIEHHEDGVNNNELSQVSSSFGLDETLIKSITVCKYKKDSVLVDGIDCSVCLSEFQENESLRLLPKCNHAFHIPCIDPWLKSHSSCPLCRSNIDPTQRDGSSSILMDAPATMNMSINALEYQQRSEDVVIVIPSSESSIDHDVLSFDNDALPKWPVESATADDDDDDDGGGGENVRRVSVRDILNDNEHDVELQMEGSDIGSSRGVVNMVKIYASKGRSMFIRYGSK >OIW01115 pep chromosome:LupAngTanjil_v1.0:LG11:35760737:35762994:1 gene:TanjilG_25223 transcript:OIW01115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDERGGSSGGSTTTRLLRAVEGGGGGGERNNERPKEPWKGEYAKSVLVLGFSNLVADAITMGVGDFVSVITEHDVIKDERRVREWDVMNNLNNEQAVLVRHYEALGMDYNDATMVVNIFTKYKNMLVDQTMVATTKQEMKPLKNGVVTFISFILFGFTPLLSFTILIPYTNNDSVKFNIVACIVCAIALSLLGVAKARICSQNYMFSMAMTLFTGAIAAFVAYFLGWLLKHVTGLEG >OIW01954 pep chromosome:LupAngTanjil_v1.0:LG11:11958033:11961051:1 gene:TanjilG_13818 transcript:OIW01954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALIITTFVVVLCVLPAMVVAIRSLTMKPFIVKGRVYCDPCRLGFETPITTYIPSAVVILQCKDKITNKIVYKKLVRTDSSGSYTILVDAFHENQICDAKLVTRPMHHCNEPTLGRDQSPVILNRHNGIITYDRFVNNIGFMTKEVASDCAKILRQYQEFDNDKNELSHYN >OIW02417 pep chromosome:LupAngTanjil_v1.0:LG11:3854399:3854866:1 gene:TanjilG_05010 transcript:OIW02417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSFLSAEIETLDHVLQLIEAFRAFDADNDARITEAELGGIMASLGYKASEQEVRAMMQNGDKNKDGLLSISEFLEMNTEDLESGNLSNVLSTTFEALDEDGSEILTGEELHEVMGNLGLGLSLEKCQNIVTSLDMDGDGAVSLDEFRLIVDSLL >OIW02304 pep chromosome:LupAngTanjil_v1.0:LG11:5370106:5372001:1 gene:TanjilG_11198 transcript:OIW02304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIEMGLGGVGIWNDEEKSMVAKVLGTHAFDYLFSNSFSNENLLMTIGGGENLQNKLSDLVERPNESNFSWNYAVFWQLSQSKYGDLVLGWGDGCCREPREGESGGDGRGVRGILSLGMNDEMQQKMRKRVLQKLHTTFGDSDDEDNYAFGLDRVTDTEMFFLASMYFSFPRGHGGPGKCFASGKHLWLNDVMKSSSYDYCVRSFLAKSAGIHTVVLVPTDLGVVELGSMKMVRENFDLLHAVKSVFSAQSSFPRVNKPVSPVNVVNNEKRDENALFPGLALEEGNNKNSANKVEANGASKIFGQDLNSLNKGRSTHFREKLAVRKMEERPPWRGHPNGNSSIGFPNAQNGIHGSSWGVSSQGVRPPPSPVEIFAPKSSTSNVPMLANGARHDFLLKNYHQLQRPGQMQIDFSGATSRPAVIAESELSDIEASCKEDNSSAVDEKRPRKRGRKPANGREEPLNHVEAERQRREKLNQRFYALRAVVPNISKMDKASLLGDAIAYINELQAKLKIMESQRGGDRFGSTSRDKSVSEVANSKAETPFVDIEAVQDGIIVKVSCSIHAHPISKVIQAFKEAEISVVESKLAAANDTVLHTFMIKSQRPDQLTKEKLIAAFSRESSSLQTLPSVS >OIW01475 pep chromosome:LupAngTanjil_v1.0:LG11:26464789:26469561:-1 gene:TanjilG_19401 transcript:OIW01475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLPVALSPQESGLSYVPEAYLIPSLGKRGSVPKAHIPVIDIAALRSDSGEERSRVTQEIKHACLRWGFFQVVNHGMTEPVLDDALSACLKFFESPQEAKQKYLSTNVFNPTRYSTGLKTANDSVQFWRMFLKHYGTPLSKWAETWPEDPSDYREKMGNFLEEFKRVSLDVIPAVIESLGVNPTKLTEKFKEGMDVVTVNCYPPCPQPELALGLPPHTDFGCVTILHQSDHGLEVFDEEDQTWKSVPAVPGALQVHIGDQFEALSNGIYKSVLHRATLNNVKTRISIAVQYSLDVDEQIHTAEELIDDEHPRKYKPSSLREFLNFLSRTDVAASGKNYGETLKIEEN >OIW02112 pep chromosome:LupAngTanjil_v1.0:LG11:8514423:8515487:-1 gene:TanjilG_26652 transcript:OIW02112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSENDSGKGMHVPFARPFKNGVGVGYSCISVNKKVWLLTLSTMPPPPAGEQNFMHKNIPLYREQHSRHNRIDEVMVPPELEELPQAVVHAQTLSQLTNLSQQVDGCEILKSDRARVPFVDDSQNQNHHPSLLHDWGLLRGLSKNCSKCMELVLVA >OIW01987 pep chromosome:LupAngTanjil_v1.0:LG11:11313280:11324518:-1 gene:TanjilG_14018 transcript:OIW01987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPYNRLPASGYSTPSPPSSPLRSPRLRPGRSKTGRFSPAPPPPPVRTVSQRLAWLFLSVILRRQGVFLFAPLIYISCMLVYMGTVSFDIVPIIKHRPAPGSVYRSPELYSKLRDEMDADDSSADTILTLWKSTYEGGEWKPCVNRSSEGLPESNGYIYVEANGGLNQQRTSICNAVAVAGYLNATLVIPNFRYHSIWKDPSKFSDIYDREYFVNTLRNDVRVVEEIPKYLMERFGSNMTNVYNFRIMAWSSIQYYTDVVLPKLLEEKVIRISPFANRLSFDAPPVVQRLRCLANYEALRFSSPILTVGESLVARMRKRGALNGGKYVSIHLRFEEDMVAFSCCVFDGGKQEREDMAAARERGWKGKFTRPGRVIRPGAIRINGKCPLTPLEVGLMLRGMGFTKNTPIYLASGKIYNAEKTMAPLLEMFPNLHTKETLASEEELALFKNYSSRMAAIDYTVCLHSEVFVTTQGGNFPHFLLGHRRYLYDGHSKTIKPDKRKLALLFDSPNIGWKSFKHHLLNMRSHSDSKGVALKRPNDSIYSFPCPDCMCHTNRTDDSRSSSAT >OIW01760 pep chromosome:LupAngTanjil_v1.0:LG11:18559248:18568772:1 gene:TanjilG_03898 transcript:OIW01760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSPPTADTSDADRRLREAEERLRDAIHELQLRQRRAAASQHHHNKLDSPPCDHGPDESCVAHAIGNLCQTFLLSYGVRVGIGILLRAFKLARRQSYSSLLDLKMLAKKVEKERDTGECCAYNSAKSKNKFHFWGSHWRHGDSLLFAVACAQVMYVFVMRPESLPKAYQDFIQKTGPVAEPVYKAVRDSCRGNSVDVASLHAYLSHIGKSDYVKLEEFPSIIPCSIIHPGTNSCLVHQGKATSATFKKTFPLYFSLTFVPFVVLHLQKFTAAPFRTFWFAIKGAIRSTSFLSAFVGLFQTFLLSYGVRVGIGILLRAFKLARRQSYSSLLDLKMLAKKVEKERDTGECCAYNSAKSKNKFHFWGSHWRHGDSLLFAVACAQVMYVFVMRPESLPKAYQDFIQKTGPVAEPVYKAVRDSCRGNSVDVASLHAYLSHIGKSDYVKLEEFPSIIPCSIIHPGTNSCLVHQGKATSATFKKTFPLYFSLTFVPFVVLHLQKFTAAPFRTFWFAIKGAIRSTSFLSAFVGLFQVVICLHRKVASRDNKFVYWIAGGVAALSVLLEKKARRGELALYVLPRAVDSLWYILVNRHLLPHIRNAEVFLFSMCMGGIMYYLEHEPETMAPFLRGLIRRFLASRISNPSPPSNTTATTYLQALDDITKPKLQEKRSTESSSEKLNLESIPGL >OIW01586 pep chromosome:LupAngTanjil_v1.0:LG11:24208171:24208674:-1 gene:TanjilG_23897 transcript:OIW01586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAPKRKITISLKTCDGDVFEVSPAIAKQMQTIQSFVEDDSFVATTTVIPLPNVTSFQLTKIIDYLNYHHNGKAVAVDRKVAAKKFDEEFVKELDHEQLKELLLAANYLNVKDVLDFLCQAVADLIQDKSVKFVRNFFGVVNDYTTAEEKEIRKTRAWAFEGVDEE >OIW01192 pep chromosome:LupAngTanjil_v1.0:LG11:33341482:33346659:1 gene:TanjilG_10353 transcript:OIW01192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMKERDEELALFLEMRRREKENEKNNLLLLQNSEELDLSDLGSNHGVANISKVASSMPKSKTGVEELLNSENDKSDYDWLLAPPDSPLFSTLEESHISMKSETEIRNARPTALRPRVSNIQVELASRSNIASKHHAPKPVLGSSTNGNRRPSPCRGTTPATSRSSTPSGKPIIPSSNKSSRPSTPTSRATITASVKPTAPPVRSSTPTRSTVRASTPLIYRPSMEAPKVSQRSATPMLRSSTPSRAFGVSAPPTRPSSASKARPAVAKNPVQSRGISPSVKCRPWEPSKMPGFSHDAPPNLKTSLPERPASVTRTRPGVPNPRSSTVEAGSNGKSKKQSSTPLKGRASSGYGQSNESSMHVLSRARFTDNDIESPVLIGSKMVERVVNMRKLAPPKNEDHHFAHNNSHGKSSSSDSSGFGLTLSKKSLDMAMRHMDIKRSMKGNNLRPVVTSIPASSTHGARSASSSKSRTISVSDSPLATSSTASSDPSVNNNSTSYAGSEGDSIPTQANGTIAAPIPPRPFGNVVAPPAIPALRLPPPQAAAAFQPMPITGQPAWHQQQPGQMPHAMPPPPRMQQQFRPPHPGMPMRPPPQGVSAPPRPLPPEAVMVSQPPQQQLKECNPYRHVLTPNHREFYPIVIDRLY >OIW01353 pep chromosome:LupAngTanjil_v1.0:LG11:32906639:32908326:1 gene:TanjilG_20535 transcript:OIW01353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHDMEEKEEHNEGIVERENKGKQNMLIEKDEEHSKWTHIPSDILEMIMKRLNLIDFLRTSAVCSSWNATFTEAIANKHIKPLPELPLVILQSHRISISASSILSIKLEEIKSVCSSWNATFTEAIANKHIKPLPELPLVILQSHRISISASSILSIKLEEVCSVINKNTMLLDSHHIYHGIVEGWMILSISLFVGTAKIIFFLNPITSDVVIVPSPLKFPSNSPIPSTSNLKMGRMVASSSPKCKDCVLVCLFTDYAHIAYCRVNYDKSWTMIEAKGDACNFRDMEFFNGKLYVRTYMSSNSMLVYNLQDSTDNPPNPIVLGEIQPIRPLPESRTHENQTHVKGNVFRFLTTGHAAEELLLIYLFMNSVFETDKVGYMNDIKQYTSPPQVTNCEVFKLDTRSNKWVKLDHLGERVIFLGSNKSYVMSRTLLNCSEELIAENSVYFALYFRCPEPWLNPQLGRLCLTDNKIKYFSLEESGVELDAYPSWFIPSVW >OIW02192 pep chromosome:LupAngTanjil_v1.0:LG11:7402145:7405840:-1 gene:TanjilG_02416 transcript:OIW02192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIPEIVYYVFGLVLCAFFALWKWNDLKYRRKGLPPGTMGLPFIGETSLFLKGPDFMKLRRSMYGNIFKTHALGSPMIVCMDPEINRYLLLNEAKIGLTLAYPDSIKNIIGVNMAQVNSTVHKRVRGTLLSLIGPAAHRDHLVPKLDRCMRSFLHNWAGKTIDIQQKARQMAFMGSLEQIVENEPNSFYESFEDLFVKMSLGSMSPLIKIPGTKYYQGLKARTKVNALLEELFAKRKASSVTHDDFLEQLIRNSDSNYNSTEEIIEQIITIIYAGYDSISSTAMMFVKYLHDNPRALQAIREEHFALQQNKRPEELINWNDYKKMSFTRAVIFETLRLASIVNGLARRTTSDLELNGFIIPKGWKIYLYKREIGLDPFLYQEPLTFNPWRWLEKGVESHNYNLLYGTGGRECPGKELSISRFCLFLHHFVTKYRWEEVQGNELLLYPRVIAPKGLHIRVSDY >OIW02024 pep chromosome:LupAngTanjil_v1.0:LG11:10750703:10755101:1 gene:TanjilG_11617 transcript:OIW02024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVKKQKRHRKILTFYTACFGFRKPFRVLCDGTFVHHIHVNRITPADKALTNILTAPVKLYTTRCVLAELKRLGRSYSEALEAAQQLIIARCEHEKCAKADACITELIGQNNSEHFFVASQDTELRKKLQEIPGVPLIFGLRNALFLEPPSAFQRQHVKASEEGRSQMTKKEYQILKNRVMNRLAGEEDENTVTEAIEHEDSGYQPINLQSENRSITARNQMGIKDKPQFKRKRAKGPNPLSCKKKKGGENKNNGHLKETKEGESTVKRSRKRKRTHKGQTPVGTGN >OIW02839 pep chromosome:LupAngTanjil_v1.0:LG11:761415:769020:-1 gene:TanjilG_29615 transcript:OIW02839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQNWMASWIIDQLMSRLSAMSSPDDLFNFFSDIRGILGGPESGAVEDEQVILDLNSNLGIFLRRCVLAFNFLSFEGVCHLLTNLGIYCKEEFSNCPPYEEPSLDGSSSNLETYSEYENMDLENFVYEKVSEEIEARKEASERVPFHLHAPNTFLGLVDDIDVPADSVCTQSEKVRIASPYGDPPSNMLQDIDPCSAVFLRTTWQVQGYLQEQADSIEKNGSTVSLSGFENVLRQLQKVAPELHRVHFLSYLNGLSHNDYIVALESLHRYFDYSAGTEGFDYIPPVGGHSSGRYEIALLCLGMMHFHFGHPKLALEVLTEAVRVCQQHNNDTCLAYTLAAISNLLFENGISSTRGILGSSYSPLTSIGISLSVQQQLFVLLRGSLKRAENFKLKRLVASNHLAIAKFDLTHVQRPLLSFGPKTSMKLSTCPVKVCKELRLSSHLISDFSFESSAMTIDGAFSTMWLRNLQKPIGSLVLCQENGSGNNSNVSQFCAQPTSIPGSVLQVLGSSYILRATSWELYGSAPLSRINALLRATCFADASSSSDAAIAYVKLIQHLAVFKGYKDAFSALRVAEEKFLSVSKSQILLLKLQLLHEHALHRGRLKLAQKLCDELGVLASPVTGVDMDLKTEASLRHARTLLAANQFREAATVAHSLFCMCYKYNLQVENASVLLLLAEIHKKSGNAVLGLPYALASLSFCQSFNLDLLKASATLTLAELWLSLGSSHATRALSLIHGAFPMILGHGGLELRSRAYIVEAKCYLSDPSFKVFENYEIVIDSLRQASDELQLLEFHELAAEAFYLMAMVYDKVGKLDDREEASASFQKHILALNNHQDEDHHPLVSNF >OIW02723 pep chromosome:LupAngTanjil_v1.0:LG11:1545171:1547564:1 gene:TanjilG_29499 transcript:OIW02723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTTKSPSSAIILTVTAVLTFSLLLSLCDALGSGTTLAVSDASATVCGVVASQPTQRIECYRSGYVINVVPNVSFSAISGGRKYFCGLRSGNYSLFCWDTVSSNVTFESRRLYNNDTVLFENLSVGDTQVCATIVGAGTVSCWRINSAFELSPWYNHFASISSGSGFSCGIVKNSSRVWCWGNGSIARKIESEFGNMSMLSIVAGGSHVCGLNSNGFLVCRGSNNFGQIDVPKGGSFEYSGLALGAEHSCAIRRWNGSVVCWGGRGLFSVNVTQGVSFETIVSGSNFTCGLTTNNFSVMCWGPGWSNSDGYSSRFELPLSPILPGPCVQSSCSDCGIYPGSQILCSGQGNICKPRPCSPQMPVSAVPLALPPSQASSPLRSKALTSGLLVLAIVGSVGAVSGICTLIYCLWTGVCLGKKKVYNSVQPTITRGGSSNGGVNRLASRSSTIMRQGSRIMRRQRSGTSSMKLPDRAEEFTLAELVAATNNFSLENKIGAGSYGVVYKCKLADGREVAIKRGDTGTKTKKFQEKECAFEAELGFLSRLHHKHLVRLVGYCQEKDERLLVYEYMKNGALYDHLHDKNNVDRNSSALNSWRMRIRIALDASRGIEYLHDYAVPSIIHRDIKSSNILIDANWTARVSDFGLSLMSPDSGHDYRPTKAAGTVGYIDPEYYGLNVLTSKSDVYGLGVVLLELLTGKRAIFKHGENGGTPMSVVDYAVPAIMGGELLQILDRRVEPPQLNETEAVELVAYTAMHCVNLEGKDRPTMADIVANLERALTLCDSSHGSISSGIISNVSD >OIW02086 pep chromosome:LupAngTanjil_v1.0:LG11:9099126:9103750:1 gene:TanjilG_14785 transcript:OIW02086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLDATRAELALLVLYLNKAEARDKICRAIQYGSKFVSNGEPGPAQNVDKTTSLARKVFRLLKFVNGLQSLISPTPPGTPLSLTLLGKSKDALIATFLFLDQFVWLGRTGIYQNKERVERIGRISLFCWLGSTVCTTLVEIGELERLSASIKKLEEELKNSNKYENELYRAKLKKSNDRTLSLVKAGIDTVVAVGLLQLAPTKVTPRVTGALGFISSLISCYQFVNGLQSLISPTPPGTPLSLTLLGKSKDALIATFLFLDQFVWLGRTGIYQNKERVERIGRISLFCWLGSTVCTTLVEIGELERLSASIKKLEEELKNSNKYENELYRAKLKKSNDRTLSLVKAGIDTVVAVGLLQLAPTKVTPRVTGALGFISSLISCYQLLPPPTKSKTL >OIW02352 pep chromosome:LupAngTanjil_v1.0:LG11:5880137:5881418:-1 gene:TanjilG_11246 transcript:OIW02352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLSCNGCRILRKGCSDDCTIRPCLEWINSPESQANATLFLAKFYGRAGLLNLINAAPLPLRPAVFKSLMYEACGRIVNPAFGSLGLFWTGEWAQCQAAVDAVLNGSEISAVDLSDWQVTPGSKHVFPAHDIRHVSRDSHVDQLRGGKPRFKRTGNVIKPKARVGSFDSARLWKPASASSHGLRNKEGWETESDQTVEASLMSQDEPSRTAEANVDLELTLG >OIW01476 pep chromosome:LupAngTanjil_v1.0:LG11:26579921:26580100:-1 gene:TanjilG_19402 transcript:OIW01476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGHGHDEPYYVHAKHMYNLDRMKHQGLKMSLAVFTAFSIGVAVPVYAVIFQQKKTTSG >OIW02907 pep chromosome:LupAngTanjil_v1.0:LG11:271746:274898:1 gene:TanjilG_29683 transcript:OIW02907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDISDYRRVVFDKIHKFEPEYALKILGYLLLQDNGEQEMAKLASCHDHFIREVAFKAKMVLQGLAAKPVLFPISPPLNNEQGLSHLSAISPTTPTSPPSFQVPSPYWNPHSVSNTNPDTVKKQSQVFSLESCIEPVNTEIGGIGHDYFGLDASAVNFGVEVGRRHSRLSEFPVKTCHYFNKGFCKHGSSCRYYHGLLVSESFPQMYGNDAINEGQMFSPGSLAQLETEIVELLKPRRGSPLSIALLPMAYHEKYNKVLQADGYLTESQRHGKSGFSLTKLLARLKNSIQLIDRPHGQHAVVLAEDAPKYMQKGDFGQSISASRQIYLTFPAESTFTEEDVSNYFNTFGWVEDVRIPCQQRRMFGFVTFVDPETVQTILEKGNPHYVHGSRVLVKPYREKAKVVDRKFPDRIKHPICYSPHYVDIDQEYPLISRSCGNHSSLMTQLMEEDDKALQQKRCLAELHFAKISLSSSPQFSLSMDGSRVSDDGFNFKPAESFSYALNEKPKQTYSNSSDENSSQQLNLPDSPFAFPMESGTEAVM >OIW01676 pep chromosome:LupAngTanjil_v1.0:LG11:20514496:20515532:-1 gene:TanjilG_19784 transcript:OIW01676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEFKSSPQFASAPLNLNGESQNIGQDNSVKWVSEDSRRSSRQVNSRGLPELPKGPKRSSNNTTDSPIRERSNKPRQSRKTSKLKDSSDESNSTEQIIQMETVQGDGSPARNLSDIPKKSHRKKSKDSSCGSESSKLRSKGQSMEPESPSGFVSKSKNNQRPLEENEHYQRGVSEIS >OIW02214 pep chromosome:LupAngTanjil_v1.0:LG11:6877551:6880273:-1 gene:TanjilG_31963 transcript:OIW02214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKFSDKAQDGLYAAEVPFSKLFSVEDEGYSNKGNDIQEMEIAQLALTEIDFRLAYSSEKLVNLHVLYIYLLAQENDLEAMDSKNSGILTNFFEKAMTFDLLSGILDSEVRELDNFMDTLQEEIVDARRKIFSCRHLTEVFIMMEKKLRDSEESVKQFQQQLLELKIQSSQLQKVLVAFQHESWEIGNALNLSENDQLMDMKSKSNHQLVENRRYILQMLEKSLSRELELEKKLAELRKNEELKLKLHYTEQVAFHMEEAAEVVWGRFLESDNAAEVLMGISKGLIGRVQITEFNLNGSIQRENDLNSKVQTLIEQIKTKDAALEKLERSHIENIKENSAEVLALKKKALFLEEERKDFEHQLNAVISENDVCHEQLIEMENFVESLKENIDIAENRAESAEAKVTQLSETNLELTEELNFLKGSASTAEKKVGSLEKQLRELDLQVQNAKVSSEASQEQQNMLYSAIWDMEILIEELKSKVAKAESNKESAEEQCTMLSETNFKLNKELDLLRSNFVSLKTSLDQATNSKLSSAKEINTRSKVIMDMAMQLATERERINKQVDALKRENKSLEEKLKDTKIGTPLKICNNGINNRNEDIASNIDSSKSSCARSSDEEGTNAFNKTFEAGDGIRKPLRALFVSMMKIWKQKYPC >OIW01469 pep chromosome:LupAngTanjil_v1.0:LG11:26387071:26391743:1 gene:TanjilG_19395 transcript:OIW01469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFMGKKDVGIFESVDGGCDVWSSKSSADHLVVMVNGIIGSATDWQYAAEQFVKEIPDKVFVHCSERNVSNLTLDGVDVMGERLAEEVLEVIQSKPNLRKISFVAHSVGGLVARYVIGRLYRSSVTEPLEDSCNRENKEDSMGTLGGLEAMNFITVATPHLGSRGNKQVPFLFGVTALEIAARYIINVIFRRTGQHLFLTDHDEGKAPLLKRMVEDYDGYYFISALCTFKRRVLYSNVRYDYIVGWRTSCIRRDNELPTWEDAIIEKYPHVVYEEHCTACDAEQSDLVEDTDCDKIEDPVLLLFLLFHLIFHHDIISRMLI >OIW02920 pep chromosome:LupAngTanjil_v1.0:LG11:198158:204500:-1 gene:TanjilG_29696 transcript:OIW02920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGGGYSNSMVPIPHNVRNTIHAIREITGKQHTDHEIYAVLRDCSMDPNDTAHKLFYLDTFHEVKRRRDQKEGLSSRASEDSRSKQGGQGRGGRGASGGYSSNFLNGGGRRNHAIRKANGVNHIAEKSHAPSTLPVLLKTKTNTTSQGTRVSAAANQSNVKPGHGSDGQSLVGAVTGILKGSSDSNETDNEENVQPQAAAAAAASPPKTFSLVTGIDHGKSLSSSDQFQSSTSVSGVSGAINREVGSNRISVGPNHVKVNKILHEADDLPASQNGEYGSVNLTSKKNASQKSNKVENNLLLQPSHLSSSSSLNGSSRPSSSFCLQPSLANATEVSTGEASVQSSAEPRQHVTFPNHFQVPKALKSGLTFGSFDTSDPNERFYSGTGGDNNPSALESALGNDESVTSSNQSASLTAQEDHRDYPHSSSYLIEKTPPSEGNSITHSDSNGDQPKPEVLLTPEGHPIQTVLSAQNYGLHFMPTMFGTQQLQFEGSEAQVQEMSHFPYFVSASSQAVSSPSQTPPLQNSIPVSPQTVSVFRPPYSTNFFPYGHYYPPIYMSPMHQFLGHNGFPQQPSAASMYLPAAAAAAAAGIKFPALQFKGEANTGNTAHTGIPSGSFIMPPIGYAASPTANTGSSTGNEDIAVSQLKENQIYTTGQLSEGSAVWIPALGGLGQDISSLQVNSLYNLTPHGHLTFPPTQAGHEAFAGLYQPGQPVTSPLTLLQQSQSVAGPVENVGHPSGSYQQPQSALINWNSNF >OIW02204 pep chromosome:LupAngTanjil_v1.0:LG11:7180195:7184346:1 gene:TanjilG_21857 transcript:OIW02204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGKGAASENFQPQSCSGKKSPRTNQVKGKLGNVITIDLDNDDDDVVILEFSDFLQQSLHGSGAPSKERVCTPQSVISIDDDDDESDDAINPGNIAEGVGESDSDASSNKRFYPDPSCKEKIVHVDVDDSHVDEKESKKQKNRQTCSAKTARRNRYGLDGSESESSKSDCSDCEVMEVCELWEMASVKRKWRWFNDQFGQDQHASSSGIPSNIYTDSGAEPRSKQHSGIPVYSGPSNGKYVESQPPFSTKSDSQVNGINSNPGTENPVKDSAKKVDQEISKTCRSECMEEMNSLHRSADTGHEERTKSKDLPPCSKYKYLDFCDFCKVVTGGSMSEKELGGKESKTTSLDQEEAYEGQVDNSGSGLRDKDGGASVSEKELGGKKSKATSLCHESYERQVDNNRSGLSKDGNLLEVNTVYSNRASFDDRRVNGDGLVLHDENVGFNASNQTDIINEREKLKETDEYKRAIEEEWAARQQQLKIQAEEAQRLRKRKKAEAQRLLEMQRRQKERIDEDEEFMNLKEQVRVEIQRGLSILETQCRDMASLLRGLGIHVGGGIPLADEASCVRAAYKRALLKFHPDRASKADIRGQVEAEEKFKLISRMKDKLSLIS >OIW01474 pep chromosome:LupAngTanjil_v1.0:LG11:26444275:26444544:1 gene:TanjilG_19400 transcript:OIW01474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRGETPSMQLLSSFMEVQFMGEKDTLVVHGEQRFKGTSVENANNFVSGEEVADRCNREFSGFMAKKPGIRERETTYSDMEFTSCIEDT >OIW02836 pep chromosome:LupAngTanjil_v1.0:LG11:780842:781880:-1 gene:TanjilG_29612 transcript:OIW02836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVKVYGPMCACAKRVMVCLTEKEVEFETIPIDVFKGKHKDPEYLKLQPFGVIPVIQDGDYTLYESRAIMRYYSEKYRSQGVELLGKTIEERGIVEQWLEVEAQNFHSHAYNLSIHLLFAKPFGITPDLKLIEESEAKLEKVLNIYEERLSKNKYLAGDFFSLADMSHLPFTDYIVNTEKGYLIRDRKHVSAWWNDISNRPSWKKVVEMDKAPI >OIW02232 pep chromosome:LupAngTanjil_v1.0:LG11:6706749:6708407:-1 gene:TanjilG_23940 transcript:OIW02232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVTKPLSLYKKSPEALSQNPPEGPNSGILVIQDVDLEPTSCFGLGQYHEVKELPFPQNMNLELFYRSGISLNRATHYHHVAFIPVLNQPLSSNKYYVIQHNGKHKGEAYINSKEEDLDTFCFYNSVSDEQLHPLDTKNTYQEFEIYHRRSKVTFRSGFSAKSVAPDGYPPRFLSTRWKLSASASDDSSIIGEASGVNDALRASQPDLKFSLANKSSESVVVGKWYCPFMFIKERTHKTLKEEMRKWMFYEMTLEQKWEQIYYCENVHNGMIRNNNVNVDAVVQKEVVVVAGWEAEIDEIDVAEGVLWFNSFSNVGEKNSVGLNTAIVERMKWEQERVAWIEGKEKQIRVKKVEVFEGTNGWKKFGCYVLVETFVVKRLDGSIVLSYAFKHHHQLRSKWE >OIW02016 pep chromosome:LupAngTanjil_v1.0:LG11:10454842:10458961:-1 gene:TanjilG_11609 transcript:OIW02016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFPDCYHMKVDPSKSPFPYEQSWPYAATYGQSIPMHSCCSHNNFPGYYSYRPYPPHAPAPSPSPIYHSGCYPVYGEPFFVPYSLEPHYAMEMPRYEYDKYMPRGHHCCGCPNHLCHRRGDQSVRIEEQEPEAGKKVNDALVPTQLKNYPYPFVVMPPEYANSRELKSPHVRPELGEQDMISSDRKPPGNGCFRADAQEPKVWNGLLPFGMYGVPDLVHEGGGKRNLDFEMESNRSETENGRISQKQESEHKRPEFPFPIFWMPNYKKQEEEGSMTNNQKSASAPTSIEVVPSTLKSDQANSHADEVVTKATESHQDKSTNASGLDVTEKVNNEIIIPVEQMELRQGKVDTEGSGKKVKNIPVIQREDILKNEYHSSGERQSRSPRKTSKLPPVCLRVDPLPKKKNGNGNGSSRSPSPPASKGHSPSAAGETSNTPSGGMNDKARPNSNFQNAPNIGDNVKPKEKTIQVSENKTNASSQSPSFPASNEHSQAAASETSKTFSGMNDKAQPNLQNALSISEKDKPQEKTIQVAENRTSEYRGAEICAESDKQEIKAEKGAGNKMEEATELNSVRDSSNPTGEGRKRVKALTNVDAAVLIQAAYRGYQVRKWEPLKKLKQLADVRTEVTDVKARVEAFEDSSNVQSDDKQRIAIGETIMRLLLKCDTVQGLHSSLREVRKSLARELISLQERLDSMKATKPHQQMQELIDSKPVEKTSMDEQNEEYVQDQQQEEVAVPRGYSSEDISLEECQDHQSCMKDCINSFAAHDVGSESHSPMDLTSDEGTEPILLQNEDDSQVVIVDACNLASDISEADKMVVEHEAKSNASGISIDEVHKSDKTSWKELHMRVVDEDTTEKEKVENMEGSLPAMVYDEMIALPVGLPDGDTAAPEFEKHNETQVSTGEFGTEDEKCIMELPGGLLDEDTTTPDLHKDDSATISKEEPRAEDRECIMELPVGLLDEDTKTPDLQKDDSATISKEEPRAEYQECVMELPVGLLDEDTTTPNLQKDDSTKISKEEPRAEDRECVVELPVGLLDDDGIAKFESEKHDETKISEEVLPDEGNADNSSTDDTAKEIQVEQEEVQPYGESDSRVMVEFQKEGEMRRNATLDLEVETQPGKEITIDIKVPLLITQHNCHEQDNGNEYLEVNDAKNIQPEDMLIECAENVAQKETQIEGHDLVAKCTETLARDGAGLSGECDGGSDGDRRVLEENEKLRKMMQKLVDAGNEQLNVISKLSGRVKELEKKLARSKKRVRTKRCRPATSKTGSNNAVLSHS >OIW02211 pep chromosome:LupAngTanjil_v1.0:LG11:6822660:6823265:-1 gene:TanjilG_31960 transcript:OIW02211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPSEMRGTHYLAPENQNPFLVPTTNFSLMQSEIPNFHLNSLLNNLPNYHYPSLGHAHELVPLSYLSSNSTTSDEADELNLNIIDERKQRRMISNRESARRSRMRKQKHLDELWSQVVRLRTENHKLVDKLNHVSESHDKVLQENVLLKEQTSDLRQMISDMQIGNSFASTFRDFDEVPIHASQLKAESSNQSNTSLDLIN >OIW01560 pep chromosome:LupAngTanjil_v1.0:LG11:25728835:25731384:-1 gene:TanjilG_10842 transcript:OIW01560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPEEKLDIARQLAKLGVDIIEAGFPCASNDDFNAVKKIAQEVGNDEDCDGYVPVIAGVCRCNEKDIAIAWEAVKYAKRPRLCTFIATSPIHMEYKLKKGKDEVLEIARNMVKFARSLGCNDVQFGPEDAARSDKEFLYQIIGEVIKAGATTVNITDTVGIAMPVEFGKLIADIKANTDGIESVVIATHCHNDLGLATANTLEGARFGARQLEVTINGIGERAGNASLEEVEEYSSMHLQPHKAIVGINAFVHESGIHQVRNLHMVMAMGFPSNVYTEALHKFQL >OIW02922 pep chromosome:LupAngTanjil_v1.0:LG11:188372:193042:-1 gene:TanjilG_29698 transcript:OIW02922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTENGREDEIEDMSMSPPSVGSMQIAGSNGFGHSMEFMSQAYLPNRYSQIDIKLEDSNFNQQPPLPIYLKFEDVEYKVRNSEAASDNPVKTMVSKVATQHMREDRYKKILKGITGSIGPGEILALMGPSGSGKTTLLRVIGGRLVDNVKGNITYNDVRYNPAVKQRIGFVMQEDVLFPQLTVEETLIFSAFLRLPTNMSKQQKYARVETTIKELGLERCRHTKLGGGFLKGISGGERKRTSIGYEILVDPSLLLLDEPTSGLDSTSANRLLLTLQGLAKTGRTIITTIHQPSSRIFHMFDKLLLISEGYPIYYGKARESIEYFSSLSFIPEIPMNPAEFMLDLATGQVNDISVPQDILKDQESADTSTAVINYLQLKYKDTLEPKEKEENHGAANTPENLQLAIQVKKEWTLSWPDQFIILYKRTFRARCKDYFDKLRLVQAFGIALLLGMLWWKSSTNTEAQLRDQVGLMFYICIYWTSSCIFGAVYVFPFEKVYLVKERKADMYGLSVYYACSTLCDMVAHIFYPTFFMCILYFMAGFKRTVACFFLTLFAVQLIAITSQGAGELFGAAVMSIQRAGMVASLILMLFLLTGGYYVQHIPKFMQWLKYLSFLYYGFRLLLKVQYSGDELYECESKGGCRPLQSSPSFDTVNLKGGLTEITITTSTLLISNKERPVWPIRIWASGYDIGCVLNLLLLYGRYHQIYPSQGGYVTLSDLEQQRNNEETRMARLMNKCRSSVEVLFGIWFVMGNVWMFDSSRFGSFHKAPKLHVLCITLLGWNAICYSLPFLLFVLLCCCVPLITHILGFNINMPASDKQLHTNLEECCCICLAKYKEKEQVTELPCSHIFHQNCIDQWLKIISCCPLCKQGLET >OIW02848 pep chromosome:LupAngTanjil_v1.0:LG11:660109:666233:1 gene:TanjilG_29624 transcript:OIW02848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKHSGDSMPTHGAKGSPEILSLNVAKKVGSNTWGIPSGADAFHASSDVSLFSSSLPILPHGKVNFTDYEHYGQSADDKSPILDKVHKEDEGQDPLKDITANAMGKMLPDDEDDLLAGIMDDFDLSRLPSQLEDLDENDLFGSGGGLEMDFEPQESLSIGISKISLSDGVPSNGFGHFAIPNCIGAVAGEHPYGEHPSRTLFVRNINSNVEDSELRSLFEQYGDIRTLYTACKHRGFVMISYYDIRAARTAMRALQNKPLRRRKLDIHFSIPKDNPSEKDINQGTLVVFNLDPSVSNDDLRQIFGAYGEVKEIRETPHKRHHKFIEFYDVRAAEVALKALNRIDIAGKRIKLEPSRPGGARRNLMQQMSELEQDETRTFRHQVGSPIANSPPGNWAHFGSPVEHNPLGSFSKSPGLSYGSPMNTSHLPGLGAILSPHLSSSPKIAPIGKDLGRANNANQIFASTGSSQGAAFQHSMSFPDHKVSPMPISTFGESKSSSSSIGTLSGPQFLWGSPIPNSEHSNTSAWSSTSGSLPFTSSGQRQGFPYTSQLNSFLDSHPHHHVGSAPSGLPFDRNFSYYPESPETSVKSSVAYGSLNHGDGNFFMNMGARASVGVGVGFSENTTGISSLNFRMMSQPRHGSLFLGNGLYSGPGATNTDGLVERGRNKRPDNNGNQIDSKKQYQLDLDKIMKGEDTRTTLMIKNIPNKYTSNLLLAAIDENHKGSYDFLYLPIDFKNKCNVGYAFINMVSPSFIIPFYKAFNGKKWEKFNSEKVASMAYARIQGKSALVTHFQNSSLMNEDKRCRPILFHSEGQEIGDQEHFLSSNLNICIRQPDGSYSDDLLESPKGNSIEKLC >OIW02824 pep chromosome:LupAngTanjil_v1.0:LG11:857815:858866:1 gene:TanjilG_29600 transcript:OIW02824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEWRVDVALTGSQKALSLPTGLGIVCASAKALEASKTAKSVRVFFDWNDYLKFYKLGTYWPYTPSIQLLYGLREALDLIFEEGLDNVILRHKRLGTATRLAVKAWGLENCTEKEEWHSDTVTAVVVPPYIDSSEIVRRAWNRYNLSLGLGLNKVAGKVFRIGHLGHLNELQLLGCLGGVEMILKDVGYTVKLGSGVAAASAYLHNNIPLIPSRI >OIW02598 pep chromosome:LupAngTanjil_v1.0:LG11:2664904:2665697:1 gene:TanjilG_24049 transcript:OIW02598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELETSYPGSIDHSHIPIDLFGSKKHAGVPRGVLAFVDASSNIVFKVNNPHPQKLLLDASANPLFSIHRLPNGCWKCYKGDSNEEKELVFSVQRTLKTLSRVELEVFFKGEKDGACDLKVKGSPFKRSCNIYKHNELVAQVRCLITLSLMSLAAKFLD >OIW02517 pep chromosome:LupAngTanjil_v1.0:LG11:3046291:3046728:1 gene:TanjilG_12831 transcript:OIW02517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVYGKSLVAAPANVIYLSSILGHDGPVPVHKCNWKCENEHVCGNMYRCKLTRLTHICDKNCNQRILYDNHTSLCLASGQMFPLTQAEEQAVRGVCRKLDAENSTTDGYSFKRRRDAQFHPSPFERSFSAVSPICSQVGDGMDMS >OIW02822 pep chromosome:LupAngTanjil_v1.0:LG11:863775:865961:-1 gene:TanjilG_29598 transcript:OIW02822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNLKLVLFCMFVILGTNNVLVNGANEDRKVYIIYMGSLPKENYSPQSEHINILQQVLGDDFDTNHLVRNYKRSFNGFAAKLTDQEVKNIGRMRGVVSVFESKQLELHTTRSWDFLGLQETTKTSPIESDMIIGVFDTGVWPESESFDDEGFGPPPKKWKGTCAGGDYFTCNNKIIGARYYSKDNNVSARDVAGHGTHTASTAAGNKVKGTSFYGIAEGTARGGVPSARIAVYKVCANGCSDAAVLAAFDDAIADGVDLITISLGSNTPRNFTEDPIAIGSFHAMEKGILTVNSGGNYGPLQGSTVSLAPWLFSVAASSTDRRIIDKVSLGNGVTLTGQSVNTFTPNGTKVPLVLGENVSKPNCKGPLAASCFMGCLDPKQVEGKIVLCSSPAALETGFQSGAYGSIIQYDQNISLVVPIPTTILDTNTYTVALSYANSTTSPQAEILKSETINDPNAPSIADFSSRGPNVIIPEIMKPDITAPGLEILAAYPPIASPSGIVGDKRSSKYTFLSGTSMACPHVAAIAAYVKSFHPDWSPAAIKSSIMTTSTPMKGTDDKEYAYGSGLVNPVKAINPGLVFDISKDDYINLLCNIGYDTPKIRKISGENSSCPSSNLQRSTVRDFNYPALATHVQPNQTFVFNFTRTVTNVGFANSTYKVRVRKSLHLRIKVVPRVISFKSLNEKQSFVVKVVGGKFPDGSVPSSSLEWTDGTHNVRCPIVVDVTKWRT >OIW02880 pep chromosome:LupAngTanjil_v1.0:LG11:431446:437968:1 gene:TanjilG_29656 transcript:OIW02880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METSLFQFSSLSPSSSSSSSSSFLFLRKKQSFRFTNVKHPNNLSVRASSSSGDSVVVTLLDYGAGNVRSVRNAIRHLGFEIKDVHSPQDILNASKLIFPGVGAFAPAMDVLKNTGMAEALCAYIEKDRPFLGICLGLQLLFESSEENGPVTGLGLIPGTVGRFDSSKGFRVPHIGWNALQITKESEILDDIGNHHVYFVHSYRAMPSDENKEWVSSTCNYGDNFIASIRRGNVHAVQFHPEKSGEVGLSVLRRFLYPKSSITKKPDEGKASKLAQRVIACLDVRANDNGDLVVTKGDQYDVRENTNENEVRNLGKPVELAGQYYIDGADEVSFLNITGFRDFPLGDLPMLQVLRHTSENVFVPLTVGGGIRDFTDSTGRHYSSLEVASEYFRSGADKISVGSDAVYAAEEYLRTGVKTGKTSLEQISRVYGNQAVVVSIDPRRVYVKDPEDVQFKTVRVSTLGPNGEQYAWYQCTVSGGREGRPIGAYELAKAVEELGAGEILLNCIDCDGQGKGFDTDLVQLISDAVSIPVIASSGAGSVEHFSEVFTKTNASAALAAGIFHRKEVPIRSVKEHLLKEGIEVRI >OIW01868 pep chromosome:LupAngTanjil_v1.0:LG11:15610013:15613827:-1 gene:TanjilG_07163 transcript:OIW01868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSESNAVANGLAGAGGGIIAQILTYPLQTVNTRQQTERTLKRNNNQSLQLQSSSNTTTTVPAPGTFHQILHVIGSEGWGGLYSGLKPSLLGTAVSQGIYYYFYQVFKNRAVAIAAARKIKGRGDGTVGMFGWLIVAAMAGSLNVLLTNPIWVLVTRMQTHTQAERKIIEEKKEALRREASESSIAGSTLQDKVAELESIKSQPFGTIHAANEVYNEAGVVGFWKGVIPALIMVCNPSIQFMIYESSLKHLRAKRTAKKQGNQSVTALEVFLLGALAKLGATVSTYPLLVVKSRLQAKQEIGGSNSYRYSGYAGNALQNL >OIW02794 pep chromosome:LupAngTanjil_v1.0:LG11:1044600:1046546:1 gene:TanjilG_29570 transcript:OIW02794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPISLSKSKDKKASKEAQKASAKPAVSGNTVAGIPASAYNPLLGTFHALEMSASSSTSPIHSNSRFRNIDETDEHLAGSMVAGIEYDSVSNNDSWSGESEDHKEKTSNIHVRLESAPGADNDKREKIRQKNERKHQRQKERRAQELHERCNGYLMSRKLEALAQQLVAMGFSHERATVALILNEGRVEESVAWLFEAGEEDNHKDKNIGSDNLKIDISEELARVAAMEIRYGCSKQEVERVIVICEGDLDKAAESLRETRQNPLLAPPKPEETGEHLIINNAKLSGVVSQTQRPVTKPVPSPNQIKKDEKEFNYAESAVTNGVSPESSNINIQPLKITQPKSEWVKSQQATIPADKRWPSAGSNPPVSLALPLQVSPQPAKIEAHHYMAVGGEYKNLQHGTAGEQLVVMQRPQTVNAKQVPATSMGSSSGIAANWYPTNSVEAMRSNAFISHTPSVGTLNQNYHVSNQIYHQLRYQPQQFVSDSSYSVDPQATSQGNIMWNKNSASPTLAAAASLGLFSGLGSAATSGASSPVDWSTGGSMHFDYTNIDWSVDRSIASPRSKALWPGVAPFSKSTAQLYGSNASGVVPQSSFRPVPSNGSMGRMSGLQDGGVASAETAAAASRDWSSPFEGKDLFSLPRHFVSSPSL >OIW02476 pep chromosome:LupAngTanjil_v1.0:LG11:4445259:4446235:1 gene:TanjilG_05069 transcript:OIW02476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGRVSFEEDEGSGNDESWLGKESCWGENLLHEEDEDDADEVGMCPRVEGRSHLSHLGDTGVKRVSGIPLPLSCPNLRVQPAEEKIPATKSVLLKRKKVAKIKKVKCRGGWKKGHVLPSVGPCLEAQQAIENSVEEVLLLKLGDNVEPLCYSAQSSATGSFPQIITVDSIPPFLNQNSPDRQGRPKRKGIIKKRFPNPLFKMAPISDRRKPKKIKKMSSKVKLHQMDVLPEEASAHVDSSISNSISDSHVRKVRTK >OIW01194 pep chromosome:LupAngTanjil_v1.0:LG11:33360687:33369058:1 gene:TanjilG_10355 transcript:OIW01194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRLGTKTDVYDGFTRLGEIDVVWGNFQFPNNEIRIRHVSPKSDRCPPLSVLQTISPFSVRCKLESSLPIEQPLLLTLHASCFHELKTAVAIIGDEEIHLVAMPSKRKKFPCFWCYSVPKGLYNACLGMLNMRCLSIVFDLDETLIVANTMKSFEDRIDTLRNWLLRETDPLRVQGMSAELKRYLEDRLLLKQYTESDCIVDNGKFYRAQMEEVPFLSNNHDRVVRPVLRMQERNIVLTRINPEIRDTSVLVRLRPAWEDLRCYLTAKGRKRFEVYVCTMAEREYALEIWRLLDPGAHLIGSKQILDRVICVKSGSRKSLLNVFQNGICHPKMAMVIDDRSNVWEDKDQPRVHVVPAFTPYYAPQAETSNAVPVLCVARNVACNVRGCFFKEFDENLLQRVAEIFYEDEIASLPHPPDVSNYLMSEDGPNGSTNAPTSEGMNAAEVERRLNQPDDKSSVDLVTRPMANSVEVRHEISQPTAGISSNVIGPGSSKTQILSQKPGLLGPPLRHDGSSIDRDHDMKKGLLAMRHGPDIRGQSSAEPPLISRPPTQASPLMQPVGGSLAQDDINSKVQTNNRPFASVKESNIVKSDKHLAQQKSFSLPNALPPQVSIPKTEELFAEDGTSLNHASSNSNDFQNEAGKSNFLPSLSMGVLQEIAKRCSSKVEFKTVVSTSKELQFSVEVIFTGERIGTGMGKSRKDAQQQAAENALRNLAEKYVAHVEPQCRAVDNEFDKLSLGNENGFVWDAVNPESSELQRKDEPPKGSVSEASVAETRLNAPNAVNLQMEKRIISPSVNFPDYFIPLPLFVNFFSLSLDADYSFSENWIYILSFIVVLSSVTT >OIW02860 pep chromosome:LupAngTanjil_v1.0:LG11:559836:568362:1 gene:TanjilG_29636 transcript:OIW02860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFCYGYSILHFLSLLISFTVFISLSYLASAATPKLIIQEVKALEEIGKKIGKKEWDFGVDPCNWSVSQFDNRKGSENYVLCDCTFNNNSSCHVVTIYLKSQNLSGTLSPEFSKLHYLNALDLSRNLITGSIPQQWATMHLVELSVMGNKLSGPFPKALTNITTLRNLSIEGNLFSGIIPSEIGKLVNLEKIVLSSNAFTGALPVALSKLTNLTDMRISDNNFSGRIPNFISKWTLIEKMHIEGCSFEGPIPSSISALTSLSDLRITDLKGSKLSVFPPLSNMKYMKQLVLRKCNIIGHIPDYIGTMDKLKILDLSFNGLSGKIPESFALLKKVDNMYLTGNKISGTIPGWVLLENKNVDISSNNFTWDSSSPRGDCQRGNINLVESYSSSMDKESKIHPCLKRNFPCPASTDDYHSSVHINCGGKEANIHSLDYDADIEQRGASTYYSSQSSWALSSTGNFMDNDIDFDSYIVINTSRLVNVSVPNSKLYTTARVSPLALTYYGLCLINGNYTVKLHFSEIIFINDKSYNSIGRRIFDVYIQGNLVLQDFDIEREAGGTGKPVVKTFNATVTQHTLKIHFYWAGKGTTGIPTRGVYGPLVSAISVDPNFKPPLKDEKRHYVTLAVGIVAAVIVVVLIVLGVMWRKGWIGGKESVYKELRGIDLQTGLFTLRQIKAATENFDAANKIGEGGFGSVYKGLLSDGTVIAVKQLSSKSKQGNREFVNEIGMISGLHHPNLVKLYGCCAEGNQLILVYEYMENNCLSRILFGKDPDSKLKLDWSTRKTICIGIARALAYLHEESRIKIIHRDIKASNVLLDKDFSAKVSDFGLARLNEDDKTHISTRIAGTIGYMAPEYAMRGYLTDKADVYSFGVVALEIVSGKSNTNYRPDEEFFYLLDWAYVLQERGSLLELVDPDLGSEYSSKEAIVMLNVALLCTNASPTLRPSMSQAVSMLEGWTDIQDLLSDPGYSAVSSNSKNRSIRNHFWQNPGTTQSMSAHSIYTDSSSSHVETGESCHLVTVSSDRSDE >OIW01168 pep chromosome:LupAngTanjil_v1.0:LG11:32990679:33012918:1 gene:TanjilG_10329 transcript:OIW01168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSASDVAAMYSLLSNSMNADHLLRRPAEEALAQSESRPGFCSCLIEVITAKDLASQVDVRLMATVYFKNNVNRYWRQRRNSSGISNEEKMHLRQKLLMHLREEDDQIALMLAVLISKIARIDYPKEWPDIFLVLSQQLQSADVLASHRIFMILFRTLKELSTKRLTSDQRNFAEISSRFFDYSWRLWQSDVQTILHGFSALSQSYNSNAEDQHHELYLTYSSFQKQYPKLWDFVMRASTKLMKILVAFQGRHPYSFGDKFVISSVMDFCLNKITDPEPYLLSFEQFLIQCMIMIKNILECNQYKPSLTGRVIDENGITLEQMKKNISGAVGGVLTSLLPNERIVFLCNVLITREPFVLPNKLAGEVRNEIDCGFDNSAQLGLNLLTLDVQPQVKICLVCMIVLVDLSGVVGEVMTRPWASEKTALVKSVTRIFTCVMWRYFVLTARDLEEWYRNPEAFHHEQDMVQWTEKLRPCAEALYIILFENNSQLLAPVVVSLLQETMNNCPTSVTEITPALLLKDAAYGAASYVYYELSNYLSFKDWFNGALSHELSNEHPNLRIIHRKVAVILGQWVSEIKDDTKRPVYCALIRLLQDKDLSVRLAACRSLCLHIEDANFSEREFIDLLPICWDSCFTLVEEVQEFDSKVQVLNLISILIGHVTEVIPFANKLVQFFQKVWEESSGESLLQIQLLVALRNFVIALGYQSPICYSILLPILEHGIDINNPDELNLLEDSMLVAVNIIEDYIILGGNDFLGMHATSIAKILDLVVGNVNDKGLLSVLPVIDILIQCFPMEVPPFISSTLQKLIVICLSGGDDCDPSKTSVKASSAAILARLLVMNTNSLAQLASDSSTSLLLQAASIPVQENILLCLVDIWVDKVDHVSSIQKKTIGLALSIILTLRLPEVIDKVDQILSVCTSVILGGKDDLTEEESRLAFCA >OIW01231 pep chromosome:LupAngTanjil_v1.0:LG11:33951213:33954335:-1 gene:TanjilG_10392 transcript:OIW01231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIYAVLRRASSTVLSLASRRAASSVLPLASRSALTSSSSVSASSSSRNFHTLLFNRFGNVNLSHMRITTIECKNFATKTNADDNLVQVLDSEIKCAFEDDKAQRQVEIPDDFPFEIEDNPGERTIQLKRQYQDETIKVQVDIPNVAPEENEDEDDHEGEKNDYGTSIPLVVSVFKGGNGVSLEFGVTAFPDEVSIDSLSVKQPEDSEEQLAYEGPDFTDLDENLQKAFHKYLEIRGIKPSTTNFLQEYMFSKDNNEYLDWLKNLKNFIEK >OIW02802 pep chromosome:LupAngTanjil_v1.0:LG11:982446:982640:1 gene:TanjilG_29578 transcript:OIW02802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKSKVLFEPDSKGSLKTHRKVKIRGRKWYTLKEGFDVVPFFFLFFLANLYVDCVVFYIKLKSV >OIW02568 pep chromosome:LupAngTanjil_v1.0:LG11:2898033:2899854:-1 gene:TanjilG_24019 transcript:OIW02568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIPSSSPYTSNSNWYVEDNMNNTKWTASENKLFENALAVYDKETPDRWHKLAATIPGKTVSDVMRQYKELEVDVCNIEAGLVPVPGYDSATTTTTTITTTTSPFTLNYMINTHGHGYDEFKGGLSGKRSSGRPPEQERKKGVPWTEEEHKLFLLGLKKYGKGDWRNISRNFVITRTPTQVASHAQKYFIRQLSGGKDKRRASIHDITTVNITETTLTSSDDTKNSTSPEHSMMLSQVQQQPNSNATSPRAHLQWNLQPNAGAAMSMNHAHEKLFMSPYDASSYGLKMQGQNLHHESSYLQPQTQNMVFQMQQSSEHFSHA >OIW01530 pep chromosome:LupAngTanjil_v1.0:LG11:28157944:28162451:-1 gene:TanjilG_19456 transcript:OIW01530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSDTASRSSSSAADSYIGCLISLTSKSEIRYEGVLYNINTDESSIGLRNVRSFGTEGRKKDGPQIPPGDKVYEYILFRGTDIKDLQVKSSPPVHPTPSVNTDPAIIQSQYSRSVTTTTGLPSLSGPLTGPSSHSPQLGVPGSNFQAPLPLYQPGGSWGASPPAPNANGGGLAMPMYWQGYYGAPNGLPQLQQQSLLRPPHGLSMPSSMQQPMQYPNFNPSLPTGPSHLPDIPSSLLPVSTSSHSVSTSVPPSNLPVTLPPAPSAISAPEPLPVSVPNKAPTVSFSAVSLPANSPSLTPFSNDSLDIGAAVPSVNKPTAISGSSLPYQTVSQMTPANVGSSNSIHTETPAPSLVTPGQLLQSRPAVASSSQPLQTSHKDVEVIQVSSTLPPEASVPVSAETQPPILQLPVNSRPSHRHGGAPTQTHHGYGYRGRGRGRGAGGMRPVARFAEDFDFLAMNEKFNKDEVWGHLGKSKQSNEKDGEEIASDEYGSEDEGDGHVSKLDAKPVYNKDDFFDSLSSNALDRDSQNGRIRYSEQIKIDTETFGEFSRYRGGWGGRGQWRGGRARGGYYGRGYGYGGRGRGRGGRG >OIW02540 pep chromosome:LupAngTanjil_v1.0:LG11:3208317:3213087:-1 gene:TanjilG_12854 transcript:OIW02540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRQKIKIKKIDNLTARQVTFSKRRRGIFKKAQELSVLCDAKVGLLVFSATGKLYEYASSSMKDVLTRYNQHFHDINQRERPLELQLENSINHAELSKEVADKTQLLRRMKGEDFEGLNLDELQQLEKRLEAGLKRVIKTKEKLITDEIVALQKRGMKLEDESRQLKQKMSMMYKGKSPSMVDKDIAIQEAVSLDSMNNVCSCNSCPSLDDDSSDISLKLGLSFPD >OIW02578 pep chromosome:LupAngTanjil_v1.0:LG11:2836499:2843306:-1 gene:TanjilG_24029 transcript:OIW02578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQNLIVIPNKSRPPLSLLPHSSTHFECHRIHASSINVSVTKRPHPKLVDAHLNQLCINGPLSEAVAILDSMAQQGSKVRPLTYMNLLQSCIDKDCILVGRELHARIGIVGNVDPFVETKLVSMYAKCGHLEEARKVFDEMRERNLFTWSAMIGACARDRSWEEVMDLFYDMMRDGVLPDEFLIPKIVQACGKCQDIETVKLIHSLVIRCGMRSSMRVNNSILAVYAKYGDMNCAKMLFKSMDMRDSVAWNAIITGFCQKGEIKQAQNYFDAMQEKGIDPSLVTWNILIASYNQLGHCDIAMNLMRKMESFGITPDVYTWTSMISGFTQKGRIYHAFNLLKEMFIAGIEPNSFTIASAASACASLKSIHTGLEIHSVAVKMGLVDDVLIGNSLIDIYSKCGSLEDAQSIFDKMLRRDVYSWNSIIGGYIQSGFCSKAHELFMKMQESDSPPNIVTWNVMITGYMQNGDDDRAYDLFQRIEKDGKIKPNTASWNSLISGYLQSGQKDRALQMFRKMQSFRIAPNSVTMLSILPACANLLFGKKVKEIHCCAVRRNLVSELSVSNIFIDTYAKSGNILYSRSIFDGLSLKDIISWNSLIAGYVLHGCSVSALGIFYQMGKEGLQPRRGTFASIISAFGHAGMVDEGKKAFSSLSEEFHIIPGVEHYIAMVNLFGRSGKLAEALELIQNMPFEPNSFVWGALLTASRIHRKFGLAILAGERMLQLEPGNTITQHLLSQAYSLCGKSWEAPKFSKLGQEKAAKKPVGQCWIEKNNLVYTFVVGDQPKTYLDKLHSWLKRIASFEDSAKKPESVGPWGGSGGSHWDDGVYSGVRQLVIAHGAGVDSIQIEYDKKGCSIWSMKHGGNGGHKTDKVNLDYPEEFLTSIHGYQGSLTHWGANFVRSLSFQSNKKTYGPFGVQQGTQFSIPMTGVKIVGFHGRCGWYLDAIGVYVKPLKQPNPHKTLAHSNNITNTTEDFGGYSIIHGSVGQNYDIVLALKQKDNFSKPIPNNVSWNTPEPNNFKHREGKISDIKESIKFEHKEKKISDIKEPNNYEHKEKKISDIKEPNNFEHKEKISHLEKSPSKVKGAVTYKAWGGVGGYTFDDGSYTGIRQINLSRNVGIVWIRVLYDFEGETIWGSKQGGTGGFKHDKIVFDFPHEVLTHITGYYGSLMYMGPETIRSLTFHTNRRKYGPFGDEQGTYFTTKQKEGKIIGIHGRKGLFLDAIGVHVLEGKVIVPSTPCKETIPRETSTAENDSAQWPTKLVLAKPSPAEEVSYGVMKAPAPCGPGPWGGEGGRPWDDGVFSGIQQIYLTKRPEGICSIQIEYDRSRQSVWSVKHGGTGNGGEGETMHRIKLEDPHEVLTCISGYYGSITKDEKHIIIIKSLTFYTSRGQYGPYGEEVGKYFTSNTTEGKVVGFHGRSSLYLDAIGVHMQHWLGSNKTSRSLFKLF >OIW01776 pep chromosome:LupAngTanjil_v1.0:LG11:18342842:18345234:-1 gene:TanjilG_03914 transcript:OIW01776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDEGKLFIGGISWETTEDKLKEHFTNYGDVLNASVMRDKNTGKPRGFAFVVFSDPAILDRVLQDKHVIDGRTVDAKRALSREDQQISGNSRAGNPNSALHSGNGGNMKTKKIFVGGLPPTLSEENFRQYFESYGNVTDVVVMYDQSTGRPRGFGFISFDTEDAVERVLHKTFHDLNGKQVEVKRALPKDANPGSGGRMMGGSSGGGGYQGYGASGGNQNAYDGRVDSSRYMQSQSNAGGFPPYASSGYSAPGYGYGPANNGMGYGAYGSYGAAAAGYGGPAGATYGNPNVPNAAYAGGPPGGPRSSWPAQAPSGYGSVGYGNTAPWGAQSGGGGGPGSAAAGQSPSGAAGYGSQGHGYGGYGGYDGSDSSYGNPGVYGAVGGRTGSASNTNASGPDGSELQGSGGSGSYVGTGYGDSNGNSGYGNAAWRSEHSQASGNYGTHGNGSHGGQVGYGGGYGGAQSRQSQQQ >OIW02322 pep chromosome:LupAngTanjil_v1.0:LG11:5553640:5557063:-1 gene:TanjilG_11216 transcript:OIW02322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSDPTKFSLQKQNHVCVKFCASFLLVGLAFRLLLWDSLSFSSVIETSTPIAKEKAESSVFPFPFEVSDSVVVPGNNHSQTYQNDLVTEKCDIFVGEWVPDQTGPIYTNESCHEIQHHQNCMKNGRSDLGYLYWRWRPRECEIPRFNPNKFLLLMRNKSLAFIGDSISRNHVQSLLCILSQVEPAVEIYHDKEHRSKIWRFPSHNFTLSVIWAPFLIEAAMFEDKTGVTSSDIHLHLDKLDNKWTNQYNNFDYVVIGAGKWFLKTAIYHENNIVTGCHYCPGKNLTEQGFEYAYRKALQQVFNFFTNSNHRATVFFRTTTPDHFENGEWFSGGYCNRTVPFKEGEIDMIDVDSIMRGIELEEFERVSSLGSDKSVNLKLMDTTLLSLLRPDGHPGPYMQLQPFAKDKKGKIQNDCLHWCLPGPIDSWNDMIMEMLVNGSQ >OIW01288 pep chromosome:LupAngTanjil_v1.0:LG11:34673933:34677452:-1 gene:TanjilG_10449 transcript:OIW01288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGPATVKTIAGTMFVILLSNLMSIVKIQNKGSKLGIMSPMDQVLWRTNLLEASLIGFTLFLGFLIDRVHHYLQKLINLRSNAGASKEELENLKKETVNLKEKEEKSSKEIKQLSESLKKARLESKEKDKRIETAEVHVASLQKQAADLLLEYDRLLEDNQNLQAQTLRH >OIW01762 pep chromosome:LupAngTanjil_v1.0:LG11:18526221:18528368:-1 gene:TanjilG_03900 transcript:OIW01762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKRKNGAAMLLELRGQPDVVPQDSQDRNYDNRGPETEDASCHQSNGDDNSLMGQKRKSSRVALLQERRAQQNRRAQPNGGPQDLQEQIYDSKRKSGAGMLIKLTRKLNAEPQDFQEQNYDNRGPENEDELSPRSNGGNSLMARKHKSGATMLQKLSGQPNAVPPDFHEINDDNWEPETEDASCPQFNCDNNSVVSEKLTSSGEEMLKKLIAQLNAAPRGLQEEDDNNNREVETEDAPCTQPNGDNNSLMSQRSKRAEAAMLQNLRVQHSAAPNYSQEQNFDNGELETEDAPSLSNANNSVRGQKHKSGTAMLRRVRGQPNAVPQDLPEQNFDNREPQTEGASGSQFSGDSSLMGQKHESSRASMVQKLRGQLNAVPQGLHGAAMLQNLRAQPNAMPQDLQEQIPDNGEPGNEDEPPPRSTGGDSFMGRKHKTGAALLQKLKGQLDAVSQDLQEQGYHNREPQTAGASCSQFSGDNNSLICRKSKSEGTAMLQKLRGQLNAVPQGLQEEDYDNMELEAEDAPCPQLNGDNNSLTSQKRKSAEASMLQRLRGQPNAVLQDLQGQCYDNKEPGNEDASCPQFNGDNNSLMSQKHKSAAAGLLQKLRGQLSAGPQGLQEQNYDNREHETENGPPQSNAYIPLMGQKRKRGIAMLQKPREQPIAVSQDLQLPNSDTESEDAFPPLSDDDNFIASSNQSLAKKQKKIDPLLVKIIRKGK >OIW01327 pep chromosome:LupAngTanjil_v1.0:LG11:35096534:35102205:1 gene:TanjilG_10488 transcript:OIW01327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMISSSPTTFFNSHNNTLSSTFTHHHHHHSISFSSNKNKVGPFCASASRSFDVVIVGAGVIGLTIAQQLLKDSDLSVALVDKDIPCSGATGAGQGYLWMSHKTPGSATWDLTWRSHRLWKMFAESLREQGLNPLVELGWKKTGSLLVGRSRAESDMLKGRVKQLNEAGLKAEYLSSSDLFKQEPDLLVDEDSTAAFLPDDCQLDAHLTVAHIEKANRNFASEGRYAEFYNEPVKCFIRSDSNGEVNAVQTFKNTLHSKKAIVVAAGCWTGCLIQDLFRNWGFELDVPVKPRKGHLLELQNLNFLQLNHGLMEAGYVDHAAMSGLESSDHGRDLSVSMTATIDAGGNLLIGSSREFVGFNTDLDESVVSHIWKRVGEFFPKLKTLSLSDLSASRKVRIGLRPYMPDGKPVIGPVPGLKNVYIAAGHEGGGLSMALGTAEMVVDMILGSPGKVDSAPYAVHRVIDQ >OIW01204 pep chromosome:LupAngTanjil_v1.0:LG11:33474157:33474933:1 gene:TanjilG_10365 transcript:OIW01204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSDESLFHNSLMSLYLIAPPTFISLIFLQAPYGKHHRPGWGPNLPPPLAWFLMESPTLWLTLLLFPHGQHSSNPKSIILITPFLIHYFNRTCLYPLRLFNSPSKKTSNGFPLSVALMAFGYNLLNSYLQSRFVSHYKIYDVGCCFWFKFFGGVVVFLSGLWINVWSDKVLLRLKGEGKGYVVPKGGLFEFVTCPNYFGEIVEWLGWALMTWSWVGLGFFFYTCANLVPRALANHKWYLEKFGEDYPKNRKAVIPYLY >OIW02474 pep chromosome:LupAngTanjil_v1.0:LG11:4398045:4399218:1 gene:TanjilG_05067 transcript:OIW02474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMIMSEKPFDGTVAMHYGVIDDDDLVDVEDHLPTLPNTISFTHAADLLAEQFCSPMAREGYVKEDDQVQVKQNRVSLPSGNQSSLPANNSLANQRMLPPGNPQVFQMSQGLLAGVSMASRPQQLDSQQAVHQQQQLQ >OIW02206 pep chromosome:LupAngTanjil_v1.0:LG11:7111028:7112300:-1 gene:TanjilG_21859 transcript:OIW02206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTPKVELYDRVIDFKTDYEVQVKDIVKDICVDEGVPTKGKFLFEISVEEKAYDSFPCNEDKATKKDNIGINVMNLPGTEKSDQALANRDQSKDLISKDEAVIRTLSGNANEENLPGDKVLLQEQGKQEPWASDDVDEQVSHEPESHSQSTESEGVVDEALLTNPTLALAVVEPNCDTMLPEKGSFIYMLNPPVLGAACGKDECHQDGGCKSKETHHTPEPVDEKLNDEVILRQIHNSLNEPSFSAVDHGSRHISYSGHVPYSGSISLRSDSSTTSTRSFAFPV >OIW01122 pep chromosome:LupAngTanjil_v1.0:LG11:35802655:35813870:-1 gene:TanjilG_25230 transcript:OIW01122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMACSLTQSRMLHGGVVGYSNKHNKSLGRFDSRGRCFSTSVSKLRFSGRNRINGVSVGEINVRNSRTTLSCKNNNNNILLLGSRVVWSKCQGSDSLAYVDGNGRNVDIVEGSGEDSGLGSVSSAELDAPLEEGEEGEKEGVEEQNVVELKEALQKALKELEVAQVNSTMFEEKVKKISETAISLHDEAESALNDVNSAINAVQEIASEEQIAKEAVHNATMALSLAKARLQVDLESLEDTKEVHDSAESSNERNDETVNGITEENKELLIAQEDAKEFQTKLATYEEELRRVQNRKEELQKEVDRLHEIAEKAQLNAVKAEEDVTNIMHLAEQAVAFELEATQRVNDAEIALQQAEKSVSNFNADTIETVQVQDVPEVEKVVQGSSGDVTVERDGDSPTDDGSLLAKLSPETKSDKTSQTLDDTTQSDYLSDNENAVQAKKQEAQKDTSSSFAPKTLLKKSSRFFSASFFSSNEEESEFSPASVFQGLMLSAQKQLPKLVLGLLLMGAGVEEVSSSAKPLIRLLKKLPKKIKKIIASLPHQEVNEEEASLFDMLWLLLASVIFVPIFQKLPGGSPVLGYLAAGILIGPYGLSIIRHVHGTKAIAEFGVVFLLFNIGLELSVERLSSMKKYVFGLGSAQVLATAVVVGLVAHYICGIPGPAAIVIGNGLALSSTAVVLQVLQERGESTSRHGRATFSVLLFQDLAVVVLLILIPLISPNSSKGGIGFQAIAEALGLAAVKAAVAITAIIAGGRLLLRPIYKQVAENQNAEIFSANTLLVILGTSLLTARAGLSMALGAFLAGLLLAETEFSLQVESDIAPYRGLLLGLFFMTVGMSIDPKLLLSNFPVITGTLGLLICGKTILISLIGKIFGISLISALRVGLLLAPGGEFAFVAFGDAVNQGIMSSKLSSLLFLVVGISMAITPWLAAGGQLIASRFEQHDVRSLLPVESETDDLQGHIIICGFGRVGQIIAQLLSERLIPFVALDVRSDRVAIGRAMDLPVYFGDAGSREVLHKIGAERACAAAITLDTPGANYRTVWALSKYFPNVKTFVRAHDVDHGLNLEKAGATAVVPETLEPSLQLAAAVLAQAKLPTSEIAATVNEFRSRHLSELSELCEESGSSLGYGYNRIMSKPKSQTQDSSDETQLSQGTLAI >OIW01166 pep chromosome:LupAngTanjil_v1.0:LG11:35418137:35419991:1 gene:TanjilG_17723 transcript:OIW01166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQLSTVYSDNQWKSATFLSPKFELGPGSVARKEYLDIQFPKGHISIKSVEAELVCEGGNPIPLDEAYLDHWSIIKYDEHKYKSEVSEGGRVNQQPNFILSRNNGPCNDEVLPQFWGLGSETRGTTTNVPDPFGIEAGNPNQVPYPYEEKWKIDIMVIDMRGVVDRVGCIECRCDLYNGTKDLKPGYKGGSLCCQDNTQCKVKCGFVAPRKTLQLRYTVRWVDWDQFQVPAKVYILDVTDNAGTSANHNCQVEYDIEAKVGSTYSDAKKGSFPMATGGFVVYAVAHQHSGGLGSTLYGQDGRILCTSTPKYGTGNEESYIVGMSTCYPQPGSIKINNGEILTLQSNYNNSISHTGVVGHFYVLVAEQLPH >OIW02222 pep chromosome:LupAngTanjil_v1.0:LG11:6788834:6792572:-1 gene:TanjilG_23930 transcript:OIW02222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWLQKQLSSVDWEQESYPNFHDFSVLPFFALFFPTIRFILDRFLFEKVGRRLIFGNGHDMLDFQTDENRKKIRKFKESAWKCVYFLSAEILALSVTYDEPWFTNTKCFWVGPGSQTWPDQKIKLKLKGLYMYAAGFYSYSILALIFWETRRSDFGVSMCHHVASIILIVLSYIFRFVRVGSIVLALHDASDVFLETGKMSIYSGAEKIASIAFIGFVLSWTILRLIYYPFWVLWSTSYEVVFAFDNEKYRVHGPIYYYVFNTLLFSLLVLNIYWWVLMLRMLVKQVQSKGKVSEDIRSDSEDEHEHEE >OIW01898 pep chromosome:LupAngTanjil_v1.0:LG11:13723849:13726042:-1 gene:TanjilG_15223 transcript:OIW01898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRISSNVVKSNIEEEIYKKLDVKKLASTSNIIRVVDLGCATGPNTFMTIQNLLESMKHKYQIQCPNTPTKIPEFHVFFNDQLSNDFNTLFTTLPKDIQYFAAGVPGSFHGRLFPESSLHFAYTSYAIHFLSKSPQELQQKNSPTWNKGRVHYTSACKEVVDAYAAQFGKDVRDFLDARAIELVPGGMLVMVMQGIPNGMHHSDTVNGMMYDYMGSILMEIAKEGMFDESKVDSFNLPYYGPNPEEMTKLVETNGRFSIERMELTNPAPWLKSIRQIIPEWTVHVRASMEGTFNRQFGNEVTHEMFQRLIKQLTQNIEILETKLWDMTQLFVVLKRKE >OIW01669 pep chromosome:LupAngTanjil_v1.0:LG11:21086591:21088079:-1 gene:TanjilG_04637 transcript:OIW01669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVESTVWVYAMQPYLAFARAVVSESPVVKPLTVGKSNLVLQKSQLEAIASSSEIRDALNDKSLEDLIRRIDSSPNAENELEKAMAEEAFHLFTEKVMLSVLMCFLSHCFANPLFYQLSTLNHNCNNLLLKEESEEN >OIW01553 pep chromosome:LupAngTanjil_v1.0:LG11:25519628:25520268:1 gene:TanjilG_10835 transcript:OIW01553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAQQNKQELDEKAKQGETVVPGGTGGKSFEAQKNLAEGRSRGGQTRKEQLGSEGYQQMGRKGGLSTTDMSGGERAEEEGIDIDESKFRTRNMDQNK >OIW01222 pep chromosome:LupAngTanjil_v1.0:LG11:33784629:33788550:1 gene:TanjilG_10383 transcript:OIW01222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELRHCSHDVHFIQAIKGGLVSKELNVTCGRPTLSFKQVTDIYDDAKAEPDASVCKANDNRSCDLDDNDLENITLKQFHVRCKTRKRKYPHDIDSSKTNNEIEVPSSPENNREKQMSPDDPDFLETLSTLKSKLSKKIKRIKEILNTYTQESIPVVKSKETHIGQEFAPSCGDLLALVEENPEVPETDCLDHSMELRNVSDSSSACDGPDYYSGIVAREEADIAHECYIENDNNNNQALIPLGGECCLENELSHVREDHDDFIPLQMAPASCKDIVVSNPEFTSNQSPNFPAIEFASEDCIILPDVHHISPQAISLVEDHHSNVYDNEPDGDTSVSLPNVATPECLDCMDLSYRDGRTLLSDCSKNEFTTDAEVHAKTSSTSEHDFNPGGSLVSSSNDSPESMEKLSFSSIHDDEGEHKTEATNELISLNEHCSSDLDHPKGLLSNRKTLLPSSEDSFCKAMQLIDTDNKDFLKCREKLYNGEQSGIKNGTASGLDETRSARFVDNPKKVSNSMKTSKGDFHPKGILKFPYCSRPERDLSTGCSSFQSYPFTTSITFSKRQMHDVEMLTTKLTKELKTMKDILDDMLRSEFCLNTSLRYKVNEKKAQHGMSKGKGNVSFADQVGGTLCEVRLFKSDQTSLLPSITGKESSCNNLLSITEKESSCNNLPSASEKESSCNNLPSTSEKESSCNNLPSTSEKEKRLQ >OIW01113 pep chromosome:LupAngTanjil_v1.0:LG11:35742828:35751983:-1 gene:TanjilG_25221 transcript:OIW01113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLDATRAELALLVLYLNKAEARDKICRAIQYGSKFLSDGQPGTAQNVDKSTSLARKVFRLFKFVNDLHGLISPTPQGTPLPLILLGKSKNALLSTFLFLDQFVWLGRSGIYQNKERIELIGRISLFCWLGSSVFSTLVELGELGRLSASIKNIEKELKNSNKYDNDQYRAKLKTSNERTLALIKAGMDIVVAVGLLQLAPKKVTPRVTGAFGFITSLISCYQVGDSITNNKKFDQENEGKVNEETNPVERDDKDQEQHVDASRETTVGTIVETTEKSGYVQLPKESNEMKIRDEKCKINNDKDMETGVVNGNGTETGQIITTTIGGRDGQPKKKISYMAERVVGTGSFGVVYQAKCLETGEEVAIKKVLQDKRYKNRELQVMVMLDHTNVLKLKHCFYSTADKDELYLNLVLEYVPETVYRVSKHYIRMHQSMPILLVQLYIYQICRGLNYMHHVIGVCHRDIKPQNLLVNPQSHHLKICDFGSAKKLVPGEPNISYICSRYYRAPELIFGATEYTTAIDIWSVGCVLAELLLGQPMFPGESGVDQLVEIIKILGTPTREEIRCMNPNNYNEFKFPQIKAHPWHKVFRKRMPPEVVDLISRMLQYSPNLRCTALEACAHPFFDDLRDPKVSLPNGRAMPPLFDFTPQELADAPDELRQRLIPEHARS >OIW01397 pep chromosome:LupAngTanjil_v1.0:LG11:31410397:31412470:-1 gene:TanjilG_02553 transcript:OIW01397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKRITKELKDLQKDPPVSCSAGPVGEDLFHWQATIMGPADSPYSGGVFLVTIHFPPDYPFKPPKVAFKTKVFHPNINSNGSICLDILKEQWSPALTVSKVLLSICSLLTDPNPDDPLVPDIAHMYKTDRTKYESTARSWTQKYGMN >OIW01145 pep chromosome:LupAngTanjil_v1.0:LG11:35247523:35253434:1 gene:TanjilG_17702 transcript:OIW01145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPATLFSTLKGAEPFFLLAGPNVIESEEHIMRMAKHIKTIASKVGIPLVFKSSFDKANRTSSKSFRGPGMAEGLKILEKVKIAYDIPIVTDVHESIQCEPVGKVADIIQIPAFLCRQTDLLVAAAKTGKIINIKKGQFCAPSVMANSAEKVRLAGNPNVMVCERGTMFGYNDLIVDPRNLEWLREANCPVVADITHSLQQPAGKKLDGGGVASGGLRELIPCIARTAVAVGVDGIFMEVHDDPLNAPVDGPTQWPLRHFEELLEELVAISVSLLLEIHDPRVLITLN >OIW01257 pep chromosome:LupAngTanjil_v1.0:LG11:34412925:34414053:1 gene:TanjilG_10418 transcript:OIW01257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGHRSSRDSLCTDENEINDLVSRLQVLLPQLNQRNGLRQPVSKILQETCCHIKNLQKEVEELSEKLTKLMDSIDISDIDRRAIEDFLQL >OIW01640 pep chromosome:LupAngTanjil_v1.0:LG11:21149849:21153819:-1 gene:TanjilG_18211 transcript:OIW01640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAARRLRDLQSQPGNKICVDCSQKNPQWASVSYGIFMCLECSGKHRGLGVHISFVRSVTMDSWSEIQIKKMEAGGNDKLNTFFTRYEIPKETDIVTKYNTKASSVYRDRIQAIAEERAWRDPPVVKESLKGNQSGGGGGGGSRRNNGGWDDDWGNDDGFGNSGEFRRNHSTGEFRSGGGGGAAPMRSRSTTDFTRTELQASAANKESFFAKKIAENESRPEGLPPSKGGKYVGFGSSPAPSSQRSNPQNDYFSVVSQGIGKLSLVAASAAQEITTKVKEGGYDDKVNETVNVVSQKTSEIGQRTWGIMKGVLAMASQKVEELAGDGPNSKTDNWQQNENNRNGSSQDFNQENKGWNSSMGREQSSSWQSKTHSASSLDEWGNKDSRKEEPAKGSTLSSSGQFNSSNSSSWDDWDHKDSKKEEPAKGSALNSSDGWAGWDDAKDDGFDDVPSHKKGAGDGKSQSTWTGGGFL >OIW02533 pep chromosome:LupAngTanjil_v1.0:LG11:3143957:3145572:-1 gene:TanjilG_12847 transcript:OIW02533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHLSIDDVMKAFIGAKERLLLMMMLSQQDQTITASSYAPMLSTHDFAMQHATTSMLTSYMNPLFQVQQLPFDHVRALHENKIIGGVDLQEIRYKGTLHIGEKGVRDVERSVRSKSEGNVHGIEASSSPRPRKCRRNDSVKKTMMVPAPEVGNTEIPPEDGFTWRKYGQKEILGSKYPRGYYRCTHQKLYGCQAKKQVQKLDHNSNIFEVTYRGEHTCHMSSTAPSSFPLTQSIISPHLSPLSTSVPST >OIW01118 pep chromosome:LupAngTanjil_v1.0:LG11:35780623:35783625:-1 gene:TanjilG_25226 transcript:OIW01118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLVEFVFHGTVTERQLFDGFVLKINKALTCVHFELRGCIDQYDSQLYYGVVNTVSDEQSKLGTKYKVPQIAFYKAIVTIVTNQQSQESQSHVPSALKNFSLADKEKTLHELVKDQWLDLTTDGNIKLGVKSFLDLRSWFRSNDVPSCYVCSEAGVKASKVCPSCATPWPYAFTKAEAVQIEDENEHRESQRATGSKEKKRRNNRIVEDGNAVQNEDDNERRGSQQATGSNEKRRRTNRIVEDANIGGSGASQPSSANFRRVTRSSAGLM >OIW02779 pep chromosome:LupAngTanjil_v1.0:LG11:1142146:1148049:-1 gene:TanjilG_29555 transcript:OIW02779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSMMQPQIILLKEGTDTSQGKAQLVSNINACTAVADVVRTTLGPRGMDKLIHDDKGSVTISNDGATIMKLLDIVHPAAKILVDIAKSQDSEVGDGTTTVVLLAAEFLREAKPFIEDGVHSQNLIKSYRAASTLAIEKIKDLATSIEGKSLEEKKSLLAKCAATTLSSKLIGGEKEFFASMVVDAVIAIGYDDRLNMIGIKKVPGGTMRDSFLVNGVAFKKTFSYAGFEQQPKKFLNPKILLLNIELELKSEKENAEIRLSDPSQYQSIVDAEWNIIYDKLDKCVNSGAKVVLSRLAIGDLATQYFADRDIFCAGRVTEEDLKRVAAATGGTVQTSVNNVIDEVLGTCEVFEEKQVGNERFNLFSGCPSGQTATIVLRGGADQFIEEAERSLHDAIMIVRRALKNSTVVAGGGAIDMEISRYLRQHARTIAGKSQLFINSYAKALEVIPRQLCDNAGFDATDVLNKLRQKHALPSGEGAPYGVDIATGGIADSFANFVWEPAVVKINAINAATEAACLILSVDETVKNPKSESAQGDAAASAMGGRGRGGFRGRGRGMRR >OIW01807 pep chromosome:LupAngTanjil_v1.0:LG11:17842316:17845369:-1 gene:TanjilG_03945 transcript:OIW01807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTPSSKPNHTTQFKTPHSKHRLNFNGFKPQTPQQQQPHPSENPNSTPHEHPVEVIARIRDYPDKKDKPLSILQTNSNSSSIRVRTDFGYRDFTLDGVSESEEDDLGSFYKKFVESRINGVKLGEKCTIMMYGPTGSGKSHTMFGSSKQAGIVYRALRDILGDGDGEGEHLGLGMGTFIQVTVLEIYNEEIYDLLSTNGGGRGFGLGWPKGSASKVKLEVMGKKAKNATYISGTEAGKISKEIQKLEKRRIVKSTLCNDRSSRSHCMVILDVPTVGGRLMLVDMAGSENIEQAGQTGFEAKMQTAKINQGNTALKRVVESIANGDSHVPFRDSKLTMLLQDSFEDDKSKILMILCASPDPKEIHKTVTTLEYGAKAKCIVRGPHTPVKDEDSSSAVILGSRVAAMDEFILKLQMEKKLREKERNDAHEKLLKKEEEIAVLRAKVEITEGKGTAASEEEINLKVNERTRLLRQELEKKLEECQRMTNEFVELERRRMEERILQQQEEVEILRKRLEEIELQLSRSREGCNEENESKDMETSGFVRRLMHVYKSVEDPGMVKSMDLDMDDQEPLGREVKIVGGVTFKSDDYNVMQDFSFSNHPDPNTSNGVQDAGQACALSFGQRLCLSTVYEDEEGEEDHEDKGEEDEEVEKEVIEEKRVLCTINKASPDREDEKDSDSSRLVRIQNIFTLCGNRRELSQHIGTSKPTKKSSNGTSDFSFSPVKSSDKDSSILKVSNKENMQPYNAVEI >OIW01511 pep chromosome:LupAngTanjil_v1.0:LG11:27617378:27619625:-1 gene:TanjilG_19437 transcript:OIW01511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDSAATPIASVASPTSSAPTVNIVFTEKPEGEDPELYYIRILSAVLGSEEAAKEALLYSYKNAASGFSAKLTPQQVIEISNQPGVLKVVPSRTLQIHSGGSRPHSVQ >OIW02027 pep chromosome:LupAngTanjil_v1.0:LG11:10769487:10771725:1 gene:TanjilG_11620 transcript:OIW02027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPLVLFLFLLYNSLVAPVNPLSTEGYVLLTLKNSITNDPEGSMSNWNSSDETPCSWNGITCKDQSVVSISIPKKKLYGFLPSAIGYLSQLRHVNFRNNNLFGNLPKKLFEVQGLQSLVLYGNSLSGSVPNEIGKLRYLQTLDLSQNLFNGSLPATIVQCKRLKALVLSHNNFSGTLPDDGFGSNLLSLEKLDLSFNQFNGSIPSDIGNLSSLQGTVDLSHNHFSGSIPPSLGNLPEKVYIDLSYNNLSGPIPLTGALMNRGPTAFIGNSGLCGPPLKNPCALDNPGSATAPSSFPFLPDNYPSQGNGSGKSEKNKGLSKGVMIGIVVGDVIGICIFGLLFSYFYSRVCGFNQDPNEDGSDKEGEVMRKEFLCFGKGESETLSDYVEQYDLVPLDTQVAFDLDELLKASAFVLGKSGIGIVYKVVLEDGLTLAVRRLGEGGCQRFKDFQTEIEAIGKLRHPNVVTLRAYYWSVDEKLLIYDYIPNGILNTAIHGKAGHVTFTPLSWSERMKIMKGIAKGLVYLHEFSPKKYVHGDLKPSNILLGHNMEPHISDFGLGRLANIASGGSPTLQSNRMAAEKQQEGQKSLSTKATANILGNGYHAPETLKAVKPSQKWDVYSYGVILLEMITGRLPIVQVGNSEMDLVHWIQFCIDDKTPLSDVLDPYLEYDSDKEEDIIAVLKIAIACVNSSPEKRPIMRHVLDILDRLSISTD >OIW02051 pep chromosome:LupAngTanjil_v1.0:LG11:9580378:9584343:1 gene:TanjilG_21100 transcript:OIW02051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIQCSTLIPCCLNSQVKASVVETPVAENEDRSEVSNSPIFREFTLEQLKNATSGFAVENIVSEHGEKAPNVVYKGKLENQMRIAVKRFNRNAWPDSRQFLEEARSVGQLRNQRLANLLGCCCEGDERLLVAEYMPNETLAKHLFHWEAQPMKWAMRLRVVLHLAQALEYCTSKGRALYHDLNAYRILFDEDGNPRLSSFGLMKNSRDGKSYSTNLAFTPPEYLRTGRVTPESVIYSFGTLLLDLLSGKHIPPSHALDLIRDRNLQMLTDSCLEGQFSDDDGTELVRLASRCLQYEPRERPNSKSLVAALAPLQKETEVPSHVLMGIPHSASFASLSPLGEACSRKDLTAIHEVLESLGYKDDEGVTNELSFQMWTDQMQDTLNCKKRGDAAFQQKEFKPAIECYTQFIDAGTMVSPTVYARRSLCHLISDMPQEALNDAMQAQVISPVWHIASYLQSVALAGLGMENEAQAALKDGATLEAKRIGMSKQK >OIW02376 pep chromosome:LupAngTanjil_v1.0:LG11:3376487:3376798:-1 gene:TanjilG_04969 transcript:OIW02376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEAAGFRDWKDLLPDLQGAIFTRLFLEERLSVVSSVCKSWASTLAGPYCWQEIDLEEWCNQTEPDKIDRMLVLLITRSSGSLRKLTVSFVQSEKTFTFIAEK >OIW02128 pep chromosome:LupAngTanjil_v1.0:LG11:8814078:8818515:1 gene:TanjilG_26668 transcript:OIW02128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLADSFLADLDELSDNEAEIPEDNNVDTADMEEDIDGDLADLENLNYDDLDSVSKLQKTQRYIDIMQKVEEALQTGSNVSIQGVGLEDDPEYQLIVECNALSVDIENEIVIIHNFIRDKYRLKFPELESLVHHPIDYARVVKKIGNEMDLTLVDLEGLLPSAIIMVVSVTASTTTGKPLPEEVLGKTVDACDRALELDSAKKKVLDFVESRMGYIAPNVSAIVGSAVAAKLMGTAGGLTALAKMPACNVQLLGAKKKNLAGFSTATSQFRVGYIEQTEIFQTTPPPLRMRACRLLAAKSTLAARVDSIRGDPSGNTGRLFKDEILKKIEKWQEPPPAKQPKPLPVPDSEPKKKRGGRRLRKMKERYAITDMRKLANRMQFGIPEESSLGDGLGEGYGMLGQAGSGKLRVSAGQSKLAAKVAKKFKEKHYGSSGATSGLTSSLAFTPVQGIELTNPQAHAHQLGSGTQSTYFSETGTFSKIKRT >OIW02101 pep chromosome:LupAngTanjil_v1.0:LG11:8415744:8416425:1 gene:TanjilG_26641 transcript:OIW02101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTINDSTSKDHDQHGSSMNQREIGLVGIIAMCHEPNKTRNMFDQDRMHQLALSRSGSMHQVRIRQPRSEWLHQMHMCQSRSLHQMRMGNEHQLSLSRSGQGMAHQLALSRTGHGAPVGSVSVRTGLGAPVRSASVRTGLGAPIDVSVRQGHGASSQP >OIW01679 pep chromosome:LupAngTanjil_v1.0:LG11:20495390:20497648:1 gene:TanjilG_19787 transcript:OIW01679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFEEESFEHTLLVVREVSVYKIPPRTTSGGYKCAEWLQSDKIWSGRIRVVSRNDRCEIRLEDPNSGDLFAACFVYPGQRDVAVEPVLDSSRYFVLKIEDGQGKHAFVGLGFAERNEAFDFNVALSDHDKYVRREHEKESGGGDVAGEESQIDIHPAVNHRLKEGETIRINVKHKISSGTGMLSAAGLTGGHAGTPKPKILSLAPPPSGAGKIRSPLPPPPNDPVAARIASTSRSTGLKGTNDSVKHSTDSLSDFSQLQKNLPSTATSGSNTASGWAAF >OIW01137 pep chromosome:LupAngTanjil_v1.0:LG11:35919164:35921323:-1 gene:TanjilG_25245 transcript:OIW01137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPTWVLLLLLLCLILLSSNPSHSVDIHPQEKTSLLSFKSWLHDPNQSLSNWVSSNSNCTTWTGTTCDNITGKVVSINLTNMNLSGQINPTFCHLSSLNKVVFSHNNFTSPIPVCFGKLLSLRTIDLSHNRFHGRIPNSFITLKYLTELLLNGNSDLGGLLPSWIGNFSANLERIHLGFSSFSGAIPESLLYLKSLKYLNLEGNLLSGNLVDFYQPLVLLNLASNRFSGTLPCFAASAESLNVLNLSNNSIVGGIPACIASFQALTHLNLSGNYLRYRISPRLVFSEKLLVLDLSNNDLYGPIPSIIAQTTEKFGLVFLDFSHNQFSGEIPLKITELKSLQALFLSHNLLSGEIPARIGNLTYLQVIDLSHNSLSGTIPLNIVGCFQLLALILNNNNLSGVIQPEFDALDSLKILDISNNRFSGDIPLTLAGCKSLEIVDFSSNYLSGSLNDAMTKWTNLRYLSLAQNKFTGTLPSWLFTFEAIEMLDFSYNKFSGFIPDINFKGSLLFNARDVTVKEPLVAASKVQLRISVVVSDSNQSSFTYDLSSMVGIDLSNNLLHGEIPRGLFGLAGLEYLNLSCNFLDGLLPGLQKMQGLRALDLSHNSLSGHLPGNISSLQDLTLLNLSYNCFSGYVPQKQGYGRFPGAFAGNPDLCLEFSSGRCDGGKVPSAQGSSFEEDGMDGPISVGIFFISAFISFDFGVIVLFCSAHARNYILQTKG >OIW01623 pep chromosome:LupAngTanjil_v1.0:LG11:22500726:22503455:1 gene:TanjilG_22667 transcript:OIW01623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAINSGQFDLNCDAVLFDGENEVLGFNPLGFNVNVGASHSETLTGGEGLVPDYKLVHGGDDEGGVNDAVEPLSRVVSGEFRVDNGCKKEGFGKVANLVDRGNGLEVVDVRGCDGKTGEISCGFVKNEDDKSMNESSGGPLFVYGGAETWKGLVFEGNVGVRNELECAENSLLGTNASSKNEAHGVYNNLSEGKKMKNAALVDLSETVEDGNQIKAAESLVTKDALEDSQALEITTYQLQNGIQFMDVMNVNAKTESLHLEDSFTRNHLGLETSDELKQPAFQADAQVAMMQTGTSDFTVAGAELFQNTQNEYRGLNLIVDFNSYRKLLEGDLCSESMCSEVNFCVSDLVWGKVMGHPWWPGQVFDPSAASEKAKRHLKKDSYLIAYFGDRTFAWNDLSSIKPFQMHFSQMVKQSSLESFHHAVGCALDEVSRRVEFGLSCPCISEDVFSKVKTQVTINAGIQKESSRRNGGDRFINAMSFEPKNLFNYVKSLAQSPLVESDRLDFAIVRAQLSAFYRSKGYSELPEFPLLGGLLDYDMEILLMGEKEQRDNQIDGQQLKIHLGFPEKPKRGRGRPRKKLKLVTDLMSEKSLCVLNGEYAMERKAKNESISGSSIRKRKAAYNTSDDYFHDPPKRKLAELKYVSVDDIWSKLCVAATNPMGERCLSDMVYFFSEVRNFISLGDSASMEQEMSLEQMHAGENGVTPIEAVAHMTYAMEPCNDSYWTDRIVQSISEEQPVSKNQNEGEKLLPETRIESCSHPFKSPPAGEISINLDFTEQVTDRNLGPGPSKVAEHLAESSTQDFSPTALSLKFTDLDSIPSTENLNKIFGRFGPLIESKTELLTKTKRAKVVFKRRSDAETAFSSAGKYSTFGPALVSYRLKILPRIPEKVKGKRGRKSREEKSSLDGAAV >OIW01361 pep chromosome:LupAngTanjil_v1.0:LG11:32470433:32485667:1 gene:TanjilG_12901 transcript:OIW01361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGDLMASSRFSHSTVLFVPNHDSSNSSSSTITAEVDSHHRRDSEPSPLLPAVVGATSMAYLPHTLVLSELRHHAFEASIPTGPSHTGLVSKWRPKDRMKTGCVALVLCLNISVDPPDVIKISPCARMECWIDPFSMAPQKALDSIGKTLSSQYERWQPKARYKCQLDPTIDEVKKLCTTCRRYAKSERVLFHYNGHGVPKPTPNGEIWVFNKSYTQYIPLPISDLDSWLKTPSIYVFDCSAAGMIVNSFIELHEWSASNSSGSTRDCILLAACEAHETLPQSAEFPADVFTSCLTTPIKMALRWFCTRSLLRESLDYSLIDKIPGRPNDRKTLLGELNWIFTAVTDTIAWNVLPHELFQRLFRQDLLVASLFRNFLLAERIMRSANCSPVSHPTLPPTHQHHMWDAWDMAAELCLSQLPSLVEDPNAEFQPSTFFTEQLTAFEVWLDHGAEHKKQPEQLPIVLQVLLSQCHRFRALVLLGRFLDMGPWAVDLALSVGIFPYVLKLLQTTTPELRQILVFIWTKILALDKSCQVDLVKDGGHIYFIKFLDSMEAYPEQRAMAAFVLAVIVDGHRRGQEACIEAGLIQVCLKHLQCSSPNDSQTESLFLQWLCLCLGKLWEDFTEAQTIGLQEDAPSIYALLLSEPQPEVRAAAVFALGTLLDVGFRSCRGVGGDEECDDDDKFRAEVSIVKSLLSVASDGSPLVRAEVAVALARFAFGHNKHLKSIAATYWKPQTNSLLNSLPSLTNINGSGGGYPSQNQHTPHGSILSSQIGPVLRVGNDSSSAVRDGRVTSSSPLPSSGVMHGSPLSDTSSHHSDSGILHNGFSNGVVNQTGPRPLDNALYSQCVLAMCTLAKDPSPRIANLGRRVLSIIGIEQVVAKPSKPTVVRSSELAASPSFAGLARSSSWFDMNGGHLPLTFRTPPVSPPRPSYITGMRRVCSLEFRPHLMSSPDSGLADPLLGSSGAPGTSDRSFLPQSTIYNWSCGHFSKPLLTAADDSEEVLVRREEREKLALEHIATCQHSVVSRLTNPIAKWDIKGIQTALLQPFSPIVIAADENERIRIWNHEEATLLNSFDNHDFPDKGISKLCLVNELDDSLLLAASSDGNIRIWKDYSLKGKQKLVTAFSSFHGHKPGVRSLNAVVDWQQQSGYLYASGEISSIMLWDLDKEQLLNAIPSSSDCSISALAASQVHGGQFAAGFVDGSVRLYDVRTPEMLVCGLRPHTQRVEKVVGIGFQPGLDPGKIISASQAGDIQFLDIRNHSSAYLTIEAHRGSLTALAIHRHAPIIASGSAKQLIKVFSLEGDQLGTIRYYPTLMAQKIGAVSCLAFHPYQALLAAGAADACVSLYADEHIQAR >OIW01210 pep chromosome:LupAngTanjil_v1.0:LG11:33582667:33585185:-1 gene:TanjilG_10371 transcript:OIW01210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATMAAATASSKLIFSPKTSSPSRICPFQLCVFDTKYSLLLSNKRKNVVGGGVRCMALKEVAATTETKKRSGYELQTLTSWLLRQEQEGVIDAELTIVLSSISLACKQIASLVQRANISNLTGVQGAVNVQGEDQKKLDVISNEVFSNCLRSSGRTGIIASEEEDVPVAVEESYSGNYIVVFDPLDGSSNIDAAVSTGSIFGIYSPNDECLADIDDDSTLDSSTQKCIVNVCQPGNNLLAAGYCMYSSSIIFVLTIGKGVFVFTLDPLYGEFVLTQENLQIPKAGKIYAFNEGNYQLWDDKLRKYIDDLKDPGPSGKPYSSRYIGSLVGDFHRTLLYGGIYGYPRDKKNKNGKLRLLYECAPMSFIVEQAGGKGSDGYQRVLDIQPTEVHQRVPLYIGSTEEVEKVEKFLA >OIW02175 pep chromosome:LupAngTanjil_v1.0:LG11:7601355:7602860:1 gene:TanjilG_02399 transcript:OIW02175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLRRTTILTAQLLRRLLTTTTTTTTTNPISPVNQDHLLSVCTILFQQQNSPESRLHSKLTSTEFQLTHEFFLQVCNTFPYSWRPVYLFFLYTQKTNPTFTHTTVSFNKMLDVIGRSKNIDLFWNVLQDMSRRRVANDKTLLIALRTLGGARELKKCVELFHLMSSNGFEYNVGTLNKVVEAMCEWKLVEEAKYLVLKLREWVRPNEVTYKHLIRGYCDKGNLIEASKIWNLMADEGFKPDIDAVEKMMEIFFKRNEYGEALKLFHTMRFKRMDELGLSTYRLAIKWLCKKGMMSEAQEAYEEMHTRGIQIDNLTLGSVVYGLLTKRRVNEAYKIVNGIDVPDLSVYHGLIKGLLKLRRASEATEVFREMIRRGCEPIMHTYVMLLQGHLGRRGRKGSDPLINFDTIFVGGLVTAGKSKEATKYVERVMNRGLEVPRFDYNKFLHYFSNEEGVFMFEEVGKKLREVGLVDLADILERYGQKMATRDRRRERPPVTEDTNDL >OIW01838 pep chromosome:LupAngTanjil_v1.0:LG11:16931408:16940495:-1 gene:TanjilG_15702 transcript:OIW01838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVNSKKAVAGDNSSPPRLPSRPQSQSSSRNRSNASSRHRTTVAEAVSAATVAVQSSRGSGNSVAVVLEGKESEAKSEQRVIENEGSVRAKGSLNMRIGICYRSVEGEQNAAGWPPWLTSLAGEAIQGWVPLKTGSYERLDKIGEGTYSSVFQARETETGRIVALKKVRFNNFQPESIRFMAREIIILRALDHPNIMKLEGIITSPLSSSIYLVFEYMEHDLAGLLSSPNIKFSDSQIKCYMRQLLSGIEHCHLRGIMHRDIKVSNILVNNEGVLKIGDFGLANAVSPNNKHPLTSRVVTLWYRPPELLMGSTQYGVSVDLWSVGCVFAELFLGKPILKGRTEVEQLHKIFKLCGSPPAEFWKKCKLPLARMFKPQTNYESTFQERCNGFPVTAVKLIETLLSIDPYKRGTASSALISKYFNTEPYACSPSMLPKYPPSKEMDAKIREDAQRKKNGGKVGEAVTSRKQRQVYKFLQDPNNYSKPAIKEEMQNISQNASRNDGKTHLTKGKVGSMHQSHQLNRLYSGPAPVTGSSGFTWTKRRKPAASSTLSDSSRSKISALDPTFAKGTYDLTKHGIDVTKRKYSSNSRRQDENSKHVVHKNLNRHDRRGSFDSADTYHSNHYMDFVPTQKTDTQLSNEGHRKHDEPMEQSVPIVIQENKNDELFHWDENAMRRSVRKSRFGKAMTSLAKPWLVVFGHDFESQSDSNHG >OIW02178 pep chromosome:LupAngTanjil_v1.0:LG11:7577378:7582144:-1 gene:TanjilG_02402 transcript:OIW02178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSVTTTNPIHISVQRPKISSLFLSPIRVSTSYGLFNSRALQHEVKESNLVFSSLAKKHVVPVYSSLSMLGLKQRRFHVVEALAADAGDSEIQIPNGSVQSSKSFGEKFPVLVTGFFFFMWYFLNVIFNILNKKVYNYFPYPYFVSVVHLLVGVIYCLVGWSLGLPKCAPIDKEFLLLLTPVAFCHALGHVMSNVSFAAVAVSFTHTIKALEPFFSASASQFVLGHQIPLSLWLSLAPVVIGVSMASLTELSFNWTGFISAMISNIAFTYRSIYSKKAMNGMDSTNVYAYTSVIAFFFCIPPAILIEGPKLMEFGFANAIAKVGLVKFLSDLFWIGMFYHLYNQLATNTLERVAPLTHAVGNVLKRVFVIGFSIVVFGNKISTQTGIGTAIAIAGVAIYSLIKANIEEQKQKGAAALAN >OIW02496 pep chromosome:LupAngTanjil_v1.0:LG11:4654266:4655135:-1 gene:TanjilG_05089 transcript:OIW02496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAINFISSSQISIQKYGSSLKYANKKLISKRSSFPQKKAPVLPVVLRITASIKNKVYEDESRGILCYQDESGEIICEGYDEGPRYQRISGPTYHPRDVQIMNLLLQQSWLQIIIGEDINHAVEGVCLKEQEDYLNCNDWL >OIW01433 pep chromosome:LupAngTanjil_v1.0:LG11:30673017:30673433:-1 gene:TanjilG_11151 transcript:OIW01433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFEHKEDTINAIREFHIKNSFDYIVAESRPDRYVAKCKHFGAGCDWRIRASYNVKRDDWEIRKINGTHSCVSLLVSQDHSKLNSSFISDLIVNLVSADPIILVKALVKEIVSRFGYTVTYRKAWTAKQMAMAKIYGD >OIW02888 pep chromosome:LupAngTanjil_v1.0:LG11:385001:390288:-1 gene:TanjilG_29664 transcript:OIW02888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFDSIPIDSDGRITGSDATKFFSMSNLSRQDLKQVWAIADSKREGYLGFTEFIIAMQLVSLAQSGLPVTHDLLTSDVDLKNLEPPAMEGLDALLAKKKHKQKDLDVNVSSQLKPSPSSSWFSSNSTKKVPLSSVTSINDGLKRLYVQKLKPLEVTYHFNDFVSPLLTNSDFDCKPMVMLLGQYSTGKTTFIKHLLKRAHIGPEPTTDRFVVVMSGPDERSIPGNTIAVQADMPFSGLTTFGTAFLSKFECSQMPHPLLEHITFVDSPGVLSGEKQRTQRAYDFTGVTSWFASKCDLILLLFDPHKLDVSDEFKRVISSLRGHDDKIRVVLNKADQVDTQQLMRVYGALMWSLGKVLNTPEVVRVYIGSFNDKPINDAVSGPIGKELFEKEQDDLLSNLKDIPKKACDRRINEFVKRARAAKIHAYIVSHLKKEMPSMIGKAKAQQKLIDNLDGEFGKVQKEFHLPPGDFPNVEHFREILNGYNIDKFERLKPKMIQAVDDMLGYDIPNLLKNFRNPYD >OIW02692 pep chromosome:LupAngTanjil_v1.0:LG11:1813558:1814514:1 gene:TanjilG_29468 transcript:OIW02692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSWWAGNVAMQQTEANSSSPPLQLRNQTEEEQVELIRVKPRQEQEFMNKNNGNNNLPTLTNSTNSNNNSTEDDENNNYGDDNNNHGTPDQGRRRRPRGRPPGSKNKPKPPVVITKESPNALRSHVLEISSGNDVAECIATFANRRHRGVSVLSGNGVVNNVTLRQAAAPGGVITLHGRFEILSLSGAFLPAPSPPGATGLTVYLAGGQGQVVGGVVGGPLVSVGPVMVIAATFANATYERLSLEDDQGEEEVQLQQQVNETGDGTQVSQGLVEQQVPMPVYNLNPSLVPTTNGQNQMVHDAFWGPPPHPSPPQLDL >OIW02029 pep chromosome:LupAngTanjil_v1.0:LG11:9719258:9720326:-1 gene:TanjilG_13767 transcript:OIW02029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPSVMSYHIDKYPPSLAERESLNTTDGSSASLTAGLLVGLDLESSTPDTYQSPPAPLPYDTVLGVPASTYSEAGRETPSGTSFETSVRCEDLIESECKAQADSEPMSPKKPELSKSNESHALATEEEDVCPICLEEYDVENPKNLTNCDHQFHLSCILEWMERSDSCPICDQDMIFDEMTLN >OIW02331 pep chromosome:LupAngTanjil_v1.0:LG11:5666875:5668519:1 gene:TanjilG_11225 transcript:OIW02331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSGELRHSSPPITANNNSSAATAAFEDDAWVWAQIKAEARRDAESEPALASYLYSTILSHSSLERSLSFHLGNKLCSSTLLSTLLYDLFLNAFTSDPSLRSAAIADLRAARERDPACISFSHCLLNYKGFLACQAQRVAHRLWQQSRQPLALALHSRIADVFAVDIHPAARIGKGVLFDHATGVVVGETAVIGNNVSILHHVTLGGTGKVGGDRHPKIEDGVLIGAGATILGNVKIGEGAKIGAGSVVLIDVPPRTTAVGNPARLVGGKETPSKHKDVPGESMDHTSFISEWSDYII >OIW02229 pep chromosome:LupAngTanjil_v1.0:LG11:6725283:6728514:1 gene:TanjilG_23937 transcript:OIW02229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPLTTNQHSFFLSISKPTPLSFHPHFLQLKSPFSFFSLSSPTDSNLVRVSNFEVSVFNSDHEEEKDKGVSIELHDLSPNGPVYQKTLQLVECSMFAALTGLVYFLSNSLAIENYFSCFFSLPIVISSMRWGVDAGRKTLVATTILLFVLSGPVKALTYLLKHGIVGYTMGTLWRSGASWNLSIFLCTIVRALGAVGFVLISSFLIRENILALITINIHASLTFVLTASGVTSIPSMNLIYTLFGILVLLNSGCFMFLLHLLYSVFLTRMGMKSSLRLPRWLERNI >OIW02530 pep chromosome:LupAngTanjil_v1.0:LG11:3128313:3133154:-1 gene:TanjilG_12844 transcript:OIW02530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSALRSVCSLQLPFFNHRHHHHHFRFSPNHLNVHSKSKGFTLFARYAQPQPQDLSSSSRRFQDRIENFPKLVEDIVQTSINTGPRGVLRLAQGFQAFIGVGQEWLTDVSKSTNSTAGLPTEMPLGLLSPFYVRRLFERLGATYIKLGQFIASAPTLFPPEYVQEFQNCFDRAPPISFEEIQSILRKELGRPIESVYEYVDPTPLASASIAQVHGARLKGSREDVVIKVLKPGIEDILVADLNFVYVVARIFEFLSPEISRTSLVGIVKDIRDSMLEEVDFYKEAANIEAFRKYLEDMGLTRNATAPKVYQYCSTQKVLTMERLYGVPITDLDSIRSFVSNPEASLITALNVWFGSLLACESFHADVHAGNLWLLRDGRIGFLDFGIVGRISPKTWAAMEVFLGSIAIEDYDSMASSLIQMGATNKDVNAKAFALDLKKELDSEIVVAARSGTATRAPAVAANVVVDERQMNALFLDVVQVSESYGLKFPREFALLLKQLLYFDRYTRLLAPNLNMLQDQRISISSNRRNRDRDSF >OIW02714 pep chromosome:LupAngTanjil_v1.0:LG11:1611153:1612457:1 gene:TanjilG_29490 transcript:OIW02714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKEQDVSLGANKFPERQPLGIAAQSQDETKDYQEPPPAPLFEPSELTSWSFYRAGIAEFVATFLFLYITILTVFGVQRSPTKCQSVGIQGIAWAFGGMIFALVYCTAGISGGHINPAVTFGLFLARKLSLTRALYYIVMQVLGAIVGAGVVKGFEGKHRYAQYNGGANFVAPGYTKGDGLGAEIVGTFVLVYTVFSATDAKRSARDSHVPILAPLPIGFAVFLVHLATIPITGTGINPARSLGAAIIFNQDRGWDDHWIFWVGPFIGAALAALYHQVVIRAIPFKSK >OIW02796 pep chromosome:LupAngTanjil_v1.0:LG11:1028779:1038006:-1 gene:TanjilG_29572 transcript:OIW02796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEKESMENRNKPFLSLYSNYLRNRIHAFSISHFLSKITLPFRSTQRPHSFPLPLPSNSLDSSLVVSKPSSVHCVLEDILQHVFADLHSIQKNLHFWHSRAEGSDARKAYFMVFERGPRAFINETAKFLLPGGPAEGYSMKNLYQSASDHINERVTVLNCLRCSLATFLAQLYMEIDKIGADLVTDPESKLPSLLVTINELFSTLEASIGHLHAIRQVTKHKKPRKITQYWIRYTCGAVGLSACSVWLLRHSSLTGSSDLDNWVQEAKDSTVGFFKDHVEQPLLSIRDELFETFRKRHQGIMDHEEVQLTSDSLHRMLLAFSEQTKGQKLPENASDHEMIEIVMDRAMLELNQILRANEINFAILAALPAFFLSLLLMMFDTKAEGRGRIARIQRRLLVVEVEKRVMQYQNYIEQGLEKDAQCMFGLVLYSLDRLYHSVKRHAEASGEWQCLRQDIIDLARPGLQTAYKLTVTSRMERVYDCLLPSLKRR >OIW02898 pep chromosome:LupAngTanjil_v1.0:LG11:326498:330011:-1 gene:TanjilG_29674 transcript:OIW02898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFEIPIDEIKQLQISLRKQANLSWYQPPQNDHRFVLPKLPSISETLTQLDPSPHYLRCKNCNGRLLRGLHSSICVFCGTHPHKDLPPDPIKFKDALGYRWLLESLNLHGSEMVAPLMEENASNRGKSDSKDEIPLSELLDLEIRWPSEAERTPQGGNSDSAAFQGKSLLNLTGVDIESFFYRREPDFDVPEQNLGSGREMGTALDNAFQANENLSLFQSVQASEAATGSAKDQSGDFFSGWDANFKSAGPVHEGSKSFYPYKVDFDTVSGSWTSSVGVKKSDESNPSASTESDWFEADRWITSNLEVPSQTGKSESTINISGTKTEETANVSSTGNSVWMQDDQWQGSNNKVTGTVASDQADDSFDDAWDDFTGSASVQDSASIISSLKITGQIGKSENTADLDQWQGSNNNATNTTGAQEAVDSFDVWNDFTGTAGTQYSSNNASNTEVTGQAGKLEWAKVPNNTKTAESATGSSTNFDWMQDNQWNSNKATSIMTNNDLDDSFDEWNDFAGSAVTQNPSSSISNSDINDQIGKSEITTDLNKTKTAEGANNPSAESFAWMQDDHQWQLSNNKTDTVTINEVAGSFDVWNDLSSLATTQDPSSNVWKQTPNQASAEQTSETNLLSSSNNSHDFDFSGLSQHDLFSGQSNSPVSSLAATNAHPATASSNSVADVDATRGNSRGDASTAKVDAETLMSQMHDLSFMLESNLSIPPK >OIW01489 pep chromosome:LupAngTanjil_v1.0:LG11:27077374:27078198:1 gene:TanjilG_19415 transcript:OIW01489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWNRGARLLRLLGRTYDTNHACDPGCILRKDMTLLMRVWISFIQSNIYMVSHTYDLPMAKAYLAFCIMNKMLVDVAAILSDEVYRFMAYLPRWDGSGATPNMDDLFREMDEQ >OIW02309 pep chromosome:LupAngTanjil_v1.0:LG11:5419684:5425453:1 gene:TanjilG_11203 transcript:OIW02309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLIQLVNKIQRACTALGDHGEESALPTLWDALPSIAVVGGQSSGKSSVLESIVGKDFLPRGSGIVTRRPLVLQLHKIDEGREYAEFMHLPRKKFPDFAAVRQEIADETDRETGRSRGISSVPIHLSIYSPHVVNLTLVDLPGLTKVAVEGQPDSIVQDIENMVRAFIEKPNCIILAISPANQDLATSDAIKISREVDPKGERTFGVLTKIDLMDKGTDAVDILEGKAYKLNYPWIGVVNRSQADINKNVDMIAARRRENEYFASTPEYRHLTSRMGSVHLGKVLSKHLETVIKSRIPGLQSLISKTIIDLESELNRIGKPIAADTGGKLYVVMEICRTFDQIFKDHLDGIKPGGEKIYQVFDNQFPAALKRLQFDKHLSLDTVRKLITEADGYQPHLIAPEQGYRRLIESCLVSIRGPAEAAVDTVHGILKDLIQKSMNETVASSKLLMFELKQYPTLRVELGSAAVESLERMREESKKATVLLVDMESGYLTVEFFRKLPQDAEKGGNPTHSLFDRYNDAYLRRIATTVLSYVNMVCGGVRHTIPKSVVYCQVREAKRSLLDHFFAELGKKEGKQLASLLNEDPAIMQRRTNLSKRLELYRSAQSDIEAVAWDK >OIW02656 pep chromosome:LupAngTanjil_v1.0:LG11:2015205:2016345:1 gene:TanjilG_29432 transcript:OIW02656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSLVGLQNAGKTSIAFLFWMTCCIYVVDAADRDIVPISPTELQELLTKPSLNGIPLLVMGNKIDKSEALSKQSLVDQL >OIW01253 pep chromosome:LupAngTanjil_v1.0:LG11:34287174:34292567:1 gene:TanjilG_10414 transcript:OIW01253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFYDLPVAGRFCSNINSIWWNRGSLAVLVRRIGWILHFMSCLPFSRLPIARVVLEAKKPAPSRGSGPGSDGGSLSHDTDNVLPSLEEQGVRQLYPKGPNVDYKKELRSLVGELLLHLLELADILIQRPSQFARRVEEISTVYKNMHHLLNSLRPHQARATLIHIMELQIQRRKEAVEDIKRRRGESQKLLKESLAALDGN >OIW02896 pep chromosome:LupAngTanjil_v1.0:LG11:334874:343752:1 gene:TanjilG_29672 transcript:OIW02896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNFEGVVVSDQWLNSQFMQVELRRLKSKFVTLKDQNGNVTMGDLPHLMVKLKEFRDTYNEDEIRGILGESDDNVTNDIDFEAFLRAYLNLQSQATEKQDGRRHSSSFLKESVTTLLHTISDSEQACYVAHINSYLGDDPFLKQFLPLDPATNDLFDLAKDGVLLCKLINVAVPGTIDERAINTKRNLSLWQRNDNHTLCLNSAKAIGCTVVNIGAQDLVEGRPHLVLGLISQIIKIQLLADLNLKKTPQLVELVDDSQDIEELLSLSPEKVLLKWMNFHIQRGGYQKTVKNFSSDVKDGEAYAYLLNVLAPEHSSPATLDTKDAYERANLVLDHAERIGCKRYLSARDIIEGSSNLNLAFVAQLFHHRSGLSTDTKKVSFATMMTDDVQTSREERCFRLWINSLGISTYVYNVFEDVRNGWILLEVLDKMFPGSVNWNHATKPPIRMPFRKVENCNQVVKIGKQLRFSLVNVAGNDIVQGNKKLILALLWQLMRFTMLQLLKKLRSHSQGREISDADILKWANRKVNSTGRTSHIESFKDKNLSNGLFFLELLSAVEPRVVNWNLVTKDDEKRLNATYIISVARKLGCSIFLLPDDIMEVNQKMILTLTASIMYWSLQQQTEDTDPFPSPAGTATTTTPEASPAPSVYGEDESSYSVGGEFSNISVDDATSDISVSSEEVEIITMLKKNLYYGLNFQQVKCVKCSFAYVDNANIKVVGIGGGGNNAVNRMIGSGLQGVDFYAINTDAQALLHSAAENPIKIGELLTRGLGTGGNPLLGEQAAEESKEAIANALKGSDLVFITAGMGGGTGSGAAPVVAQISKEAGYLTAYEAIEKLQKNVDTLIVIPNDRLLDIADEQTPLQDAFRLADDVLRQGVQGISDIITIPGLVNVDFADVKAVMKDSGTAMLGVGVSSGKNRAEEAAEQATLAPLIGSSIQSATGVVYNITGGRDITLQEVNRVSQVVTSLADPSANIIFGAVVDDRYTGEIHVTIIATGFSQSFQKKLLTDPRAAKLLDKVAEGKENKAISLPLKSSNSPSTVESRATPRKLFF >OIW01675 pep chromosome:LupAngTanjil_v1.0:LG11:20541225:20545940:-1 gene:TanjilG_19783 transcript:OIW01675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRGLLKNIASRSLSVAGKWQHHQLRRLNIHEYQGAELMSKYGVNVPKGIAVSSVEEAKNAINQVFPNENELVIKSQILAGGRGLGTFKSGLKGGVHIVKTDQIEDIAGKMLGQILVTKQTGPQGKLVSKVYLCQKLSLVNEMYFAITLDRKTAGPLIIACSKGGTSIEDLAEKFPDMIIKVPIDVFKGITDEDAAKVVDGLAPKGADRNQSIEQVKSLYKLFRDSDCTLLEINPIAETADNQLVAADAKLNFDDNAAYRQKEIFALRDTTQEDPREVAAAKADLNYIGLDGEIGCMVNGAGLAMATMDIIKLHGGTPANFLDVGGNASEGQVVEAFKILTADDKVKAILVNIFGGIMKCDVIASGIVNAAKQVDLKVPVVVRLEGTNVDQGKRILKESGLALITAEDLDDAAQKAVKAYK >OIW02087 pep chromosome:LupAngTanjil_v1.0:LG11:9130030:9135875:1 gene:TanjilG_14786 transcript:OIW02087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANTNFSTLFHNFTSNRPNKSFFTNLYFITFSISLCSLFYFLGLWHHSTTTTISATGYTVSSATNIICSQPNNSITSPSTKLDFESHHFIPDPPPTAARVPHIPPCDSSFSEYTPCEDTQRSLKFPRDKLIYRERHCPSSEEILRCRIPAPSGYRSPLRWPASRDAAWYANVPHKELTVEKKNQNWVRFEGDRFRFPGGGTMFPRGASAYIDDIGKLINLRDGSVRTAIDTGCGVASWGAYLLSRDIITVSFAPRDTHEAQVQFALERGVPALIGVIASIRLPYPSRAFDMAHCSRCLIPWGQYDGVYLTEVDRVLRPGGYWILSGPPINYQRHWKGWERSRESLEDEQDGIENVAKSLCWKKLVQKEDLAIWQKPTNHIHCKLQQKIFKNRPFCEAQDPDTAWYTKLDTCLTPLPEVIGIKEVGGGGLANWPERLTSIPPRIGSGSLNGITPEMFEENTELWKKRAAYYKTLDSQLAERGRYRNLLDMNSYLGGFAAALVDDPVWVMNVVPVEAEINTLGAIYERGLIGTYQNWCEAMSTYPRTYDFIHGDSVFSLYQNRCNMEDILLEMDRILRPKGSVILRDDVDVLVKVKSIADAMQWDTKITDHEEGPYQREKILVAVKEYWTAPPPEQIQESKS >OIW02283 pep chromosome:LupAngTanjil_v1.0:LG11:5047029:5047307:-1 gene:TanjilG_11177 transcript:OIW02283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFEEKSPRKIQVPKGSMPIKVGKEKEQRRFVVPVVYFNHPLFMKLLKRAEEEYGFDQKGTITIPCHVEEFRNVQGLIDREISQHHGRCFDF >OIW02845 pep chromosome:LupAngTanjil_v1.0:LG11:676909:677514:-1 gene:TanjilG_29621 transcript:OIW02845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGEMDQKQEQNNRCDNNGIDVTLISPKLLKSASQVSSAAEGETLKHPRGRPAGSKNKAKAPIIVTRDSANALKFHAMEVSSGCDVNESLLNFARRKQRGLTIYLAGAQGQVVGGVVFGALVASSPVLIMAASFMHATFGRLPLEDDHDQLHAAIMHNQSQHYCDDISNLYARPQNLLTNGAMPLRYTIGHNQGTCQKLET >OIW02477 pep chromosome:LupAngTanjil_v1.0:LG11:4495671:4497554:-1 gene:TanjilG_05070 transcript:OIW02477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKLTMIARVMDGLPLAEGLDDGRDIKDAEFYKQQVKALFKNLSRGHNEASRMSVETGPYIFHYIIEGRVCYLTMCDRAYPKKLAFQYLEELRNEFERVNGSQIETAARPYAFIKFDTFIHKTKKLYQDTHTQRNIAKLNDELYEVHQIMTRNVQEVLGVGEQLDQVSQMSNRLSSESRIYADKARDLNRQVSHCAVSST >OIW01608 pep chromosome:LupAngTanjil_v1.0:LG11:23162064:23164777:1 gene:TanjilG_10917 transcript:OIW01608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCFAFYNRGKKDDRRILQSMSGRSEMNSQNISDTSSDSLRRNAFPSFSQRPSNLKVFTVPELKSATKNFSRSVMLGEGGFGCVYKGLINSVDEPSRKIEVAVKQLGKSGTQARGHKEWVTEVNVLGIVEHPNLVKLVGYCADDDERGTQRLLVYEYMSNRSVEHHLSPRTETHLSWSKRLKIAQDAARGLTYLHEEMDFQIIFRDFKSSNILLDEHWNAKLSDFGLARLGPADGLSHVSTEVVGTMGYAAPDYFQTGRLTSKSDVWSYGVFLYELITGRRPIDRNRPKGEQKLLEWIRPYLSDGKKFQLILDPRLERKHISKSAQRLAVIANRCLVRNPKSRPKMSEVLEMVNQIVESSVSASPQPPFTSVETSQNIEIKNKKKKKVIHPKTVGCNWFRTLRPKLLRAS >OIW02409 pep chromosome:LupAngTanjil_v1.0:LG11:3784368:3785885:1 gene:TanjilG_05002 transcript:OIW02409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSSTNSVNGFYTFLTKGIDELERLFHTTNFMSIQFLQRALSLLRSFHTQLTLLVHKLHLPVGDKWLDEYMDETSKLWEACHVIKSGISGMEGYYSSAINITSSLHSHPLLTPQLSRQVMRAISACRREAVGLEGENRGLMETRVEPLSLRFDERVSLESKLNGFNGFRGVLYAMRNVSSMLLMILLRGLVYSWPQTSDFSYAGYEGRLLLGSGLMISAARLQQRVATEISRMNAPPGILMYEFRRSRVAMEELRVELERRQGMMMLEWEREVGVRERVENFRGCFGVLSNGAENIVGQLDDFFDEIVEGRKKLLDFCSHR >OIW02201 pep chromosome:LupAngTanjil_v1.0:LG11:7199364:7221047:-1 gene:TanjilG_21854 transcript:OIW02201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKVVLKRIENKINRQVTFAKRRNGLLKKAYELSILCDAEVALIIFSNRGKLYEFCSSSSTYTSVLTIDFSMGKTLERYHRCSYESLEVHNQPAMETQKRYQEYLKLKSKVEELQRTQRNFLGEELEHLDIKELEQLERQLDSSLKQIRSNKTQHMLDQLADLKTKWEEINIALQPTWEQNAPYNRHPPTSEGYYETAHCNSTLIIG >OIW02218 pep chromosome:LupAngTanjil_v1.0:LG11:6969995:6970144:-1 gene:TanjilG_31967 transcript:OIW02218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSITIATKSANDPVGLSHRASTACRMSTELTLAASKKPTTTSRKHNRL >OIW02319 pep chromosome:LupAngTanjil_v1.0:LG11:5540140:5542268:1 gene:TanjilG_11213 transcript:OIW02319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESHLKLEEADLISDLMQGKELTKQLHDHMFSSSSSHETKEVLLERILLSYDKALTKLKWEANVGNGETKITNGNMMGSPCSFTNENPKTEVFDQVVKHRSVSKRRKTMDIWKKQVKVCLGAVIERSLDDGNSWRKYGQKDILRANFPREYYRCTHKHTQGCLATKHVQKSDEEPTTYEITYKGRHTCIQANHANKAFSSRAKICLGEHKHHNHQKNEAQEEKIEQPPHETIFTFSSELEVKIEDLKTKKNIFPSFCFPSPSIGSEIEDNNIFSDTLIENPFMECFSPAFLSPATSESNIFCLSPCHFGSSGLGLSVQTSESDITDVVSATTSITNSPIVNLDELNLFLDNVDFDTFPMHP >OIW02171 pep chromosome:LupAngTanjil_v1.0:LG11:7648516:7653033:-1 gene:TanjilG_02395 transcript:OIW02171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQDKDKPLQPPPHPPATTAEFAGDSSWPLGADDSDGSYFYSVDRNSSILSDFGWNLEPVQSNRIGSDGDGLWVPAHPDLTGSVEPIGTAAAVGTSSRSNNQSVSTSSSEDPPEKSTVSDEKPPLEIPRKSKKKGQKRIRQPRFAFMTKSDVDHLEDGYRWRKYGQKAVKNSPFPRSYYRCTNSKCMVKKRVERSSEDPSIVITTYEGQHCHHTVGFPRAGIFSHESAFAGCPLAPTMSQIYYPVQMQRENATLSSSVSQPCQTHDEVGGSRNVIAADELLQHPPIDEGLLGDIVPPGMRNR >OIW02944 pep chromosome:LupAngTanjil_v1.0:LG11:30730:35425:-1 gene:TanjilG_29720 transcript:OIW02944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMQEDEDRSVGVEDNIDKSFLISVPDNSNLVGETKIDTHRTKVQWKRKSVVTLSLTILTSSQAILIVWSKRAGKYEYSVTTANFLVETLKCAISLLALARLWNKQGVTDDNRLTTTLNEVIVYPIPAVLYLIKNLLQYYIFAYVDAPGYQILKNFNIISTGVLYRIILKKRLSEIQWAAFILLTAGCTTAQLNSNSDHVLQTPFQGWVMAIVMALLSGFAGVYTEAIIKKRPSRDINVQNFWLYIFGMGFNAVAILVQDFDAVMNKGFFHGYSFVTVLMIFNHALSGIAVSMVMKYADNIVKVYSTSVAMILTAIVSVFLFGFHLSLAFFLGTIVVSVAIYLHSAGKIQR >OIW02805 pep chromosome:LupAngTanjil_v1.0:LG11:967822:968842:1 gene:TanjilG_29581 transcript:OIW02805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFTLERCEDNNIVFNVESQKSVPAPFLTKTYQLVDDSHTDHIVSWSHDQTTFVVWRPSQFATDLLPNYFKHNNFSSFVRQLNTYGFKKVVADRWEFSNEYFRKGAKHLLCEIHRRKTLHHNHHQQHYHDQPQDEGLCWIDTPLQTCKPSNDILTALSEDNQRLRRKNYMLLSELTHMKNLYNDIIYFIQNHVNPAPFEQTSNIVIPKVVELDSLPQSPNVAAVIRSTKNLIMDKSLVNCSEDCNSSVKIFGVPLSGKKRVHSDNIG >OIW01208 pep chromosome:LupAngTanjil_v1.0:LG11:33543620:33544693:-1 gene:TanjilG_10369 transcript:OIW01208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFSTSHYFIVLTFLILFLKIQAFDPLSSFSFTGFEKDPKFESSLALYGNANVVNGGSEVLLSSGSGSSGGSGKIMSKKPIKLVEGKPVELLNSFSTYFAFSISLDDVNGLAFVMVPSGFEGEVFDNSSSFGLRERRFKFISVEFSASRNGKNGGSGYCIASINVGNSVVAKIRNDSSINVSLKSGEKLHAWIDYEASSRRLEVRLSRYGHSRPFDPLLWHTINFSNLWEAKEMFVGFSPVKSDSSEACSLYSWSFIVRHFPYWMHSEPLDPKHLAIQETGFREVKPRSDCLLRVLAAMIFGAGCGALTAFIMLYVWTIFGNRRPVVPEEYVMQPVECEYKKVNVVVEKAIKDAQE >OIW01268 pep chromosome:LupAngTanjil_v1.0:LG11:34508388:34512295:-1 gene:TanjilG_10429 transcript:OIW01268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQQSLIYSFVARGTVILAEYTEFTGNFTGVAVQCLQKLPSSNNKFTYNCDGHTFNYLVDNGFSNLSLQSPCFVDLLPISDLTVFISAVLIYVIRCSLIRVQNKNGNVASRSIYSYAYCVVAVESAGRQIPNAFLERVKDDFTKRYTGGKAATAAAQSLNREFGPKLKEQMQYCVDHPEEINKLAKVKAQVSEVKGVMMENIEKVLDRGEKIELLVDKTENLHSQAQDFRQQGTKIRRKMWFQNMKIKLIVLGIIIALILIIVLSICNGFHCGK >OIW01182 pep chromosome:LupAngTanjil_v1.0:LG11:33197011:33222138:1 gene:TanjilG_10343 transcript:OIW01182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDFNLALVIVAIVVCIIVFLFNVYLLVNYQHPDDVNQAYFPKFVVVFGLSIAAISILMLPADVANRQACRHAIYNGACNLTLPMKTLWLAIYIVDAVLVFFVIPFAMFYYEGDQDKSIGKRIKSALLWMVTTAIVCALILGILYGLIGKVDFTVRHLSSSTTSFPSSWGDLNSGQQCIGGSGVHQCSAYSASPSSEKTWTMRATFPEYVVALATIVGSVLFAIFGGVGIACLPLGLIFSFIRRPKAVITRSQYIKEATELGKKAKELKKAAESLRQEERSGSKGRKFRKNVKEVEKELFQLEEDVKLLEEMYPQGEKAETSWALTVLGYLAKLVLGILGLIVSVAWVAHIIIYLLVDPPLSPFLNEVFIKLDNVWGLLGTAAFAFFCFYLLLAVIAGAMMLGLRLVFITIHPMKWGGTLMNSFLFNVGLILLCSISVIQFCSTAFAYYAQATAAQEIFGHTLESLRGIKYLYKYNVFQIAFVVLAGLTFVYYAAFVIAMTMILGVYGSRNHVLGPESSLVFQPSPLFVQYVKVENLESKPGPMLYGSYTYPPPDMVTTWAQTLNVAILSNSHKAKVEGLTEWLEHPTYPNTTLSWNVIHGTGIITQEIFKSSSYYVALGSFNEEEAESTSNDDWFVKLSYGPRWVTYIIGIVGVTLLMFWCFNFLSKFRYAHEDRGVRYEEPAPQRDHLLSSSGSSYDSMSQGDEDLDFTIDGMSLGDDEASNNSRRLCAICFDAPRECFFLPCGHCVACFACGTRIAEASGTCPVCRRNMKKVRKIFTA >OIW02806 pep chromosome:LupAngTanjil_v1.0:LG11:958085:963048:1 gene:TanjilG_29582 transcript:OIW02806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESLLLLGFKEENEDTNSSTSLRLVPWLNWNEWIFVKHALFSNSTSSISSALNRISAWRSRGSLPITIEITASIIEIRLKDPYFQMVDHASDSDEILSMLYCMAITRLVNGVIEKTRVKELVSIAVAAEAIGIPRTLIDIRHEASHRELPSLKVVRTASIKALDWLKSYYWEPQSKAIPFQGERNDKVKKEIKSKIRELAICVKVKGNPQPSTSLLKGKRVKHGELLLGRNKLLSLTLGKSQSSQSGGSKKQIAKILKSVLRLYSSFSSEIVSVLLEYLLKAMSSAEFKENADAASVGLTIQSVLADWKPVILKFWDKEPELLINLLKEVLDMIETREDMKCDGDNPCTGISHASAEFCRSDYLSSLFAWLVAILSKVPSSTANVPKKVLLELLHKCLIISQLCNKQLMDSALYLAELVGDRSLLERAKRLYLIGLSNLDYADDKASSVLTTKNIFQCEESIHEAAKKLELVKQQVLKNKAPRAVDCENKKAQTWSLAKSWNPCPIGMLPRAVGSSGCLPVLDHIDNQKQTQVSERKEDWKLIQHGTKRDATEDLQLLDNSTVKKMRDTKESGELNGLFPTDGVKGCLMVAGVWKRVGEEELQAIQSSLRILV >OIW02207 pep chromosome:LupAngTanjil_v1.0:LG11:7106315:7106844:-1 gene:TanjilG_21860 transcript:OIW02207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVYMGETNRECEPEMLNHYEESSQRAVMEICIEEGASTESNKEKKGQNSFPCKSNKDEEMKNDNSVLNLPTTNEPDQVSADDCPRPISLFEIISLETDSSASSTRSFTFPKLELVEGNETPEMMVKADNNRDYNRKGWKRMLCCKF >OIW01242 pep chromosome:LupAngTanjil_v1.0:LG11:34154070:34156556:-1 gene:TanjilG_10403 transcript:OIW01242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLCKVVCLGIDVGFTSRSASRSGYDTSSNSRNSSASIPAIPRSEGEILQSSNLKSFSYSDVRAATRNFRPDSVLGEGGFGSVFKGWIDEQSLAATKPGTGMIIAVKRLNQDGFQGHKEWLAEINYLGQLQHPNLVKLIGYCFEDEHRLLVYEFMPKGSVENHLFRRGSFFQPLSWSLRLQIALGAARGLAFLHSTEPKVIYRDFKTSNILLDTKYNAKLSDFGLARDGPTGDKSHVSTRVIGTRGYAAPEYLATGHLTTKSDVYSFGVVLLEMISGRRAIDKNQPPGEHNLVEWAKPYLSNKRRVFRVMDPRLEGQYSNNRAQAAATLALQCLASEPKCRPSMDEVVKALEQLEDTQKKSADKKEHHRVRGSGLGNSNGHHPASSKGSADAPRKASAYPRPSASLLHA >OIW01283 pep chromosome:LupAngTanjil_v1.0:LG11:34637812:34641368:-1 gene:TanjilG_10444 transcript:OIW01283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFNNHKEPWDWHKEDFGFENTSDFDIPQQFWNEVAENSEDHSYMFDDVKTPVKDCRDSVYNVNSSESYQLQKEPEECRENSSQAKRRRMLQFDTKDVDHSISNEQMPLECFKLNRKEDANDDVVPEVSQWLSGASGNASASASASASSYEDLGLESTNAWLADCFKDFEMQLCPDDLNFSGADDVHIDLAGLCDLPPAFEQNVVQQRVTRTPKNIIFKGRKSFVRTPTKLASIVAYPFAFIKPSGVHGDVTLKEINQRILSPPPSKSKQSIEDSSAYPKSAFSGKPVVGKTRIRTEGGKGSITIMRTKG >OIW02408 pep chromosome:LupAngTanjil_v1.0:LG11:3765803:3768762:1 gene:TanjilG_05001 transcript:OIW02408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIYEPFDQVSMWGESFKVDSSLNSIASPMLMVNTSMENKSECVPHESREPSGDDQETTNKAVSKMLRRLAQNREAARKCRLRKKAYVQQLETSRLKLMQLELEIEKEKKQGMYKGSALYASYMGSYGAINSGMSTFEIQYGHWVEEQLRQNEELRNALQTHASDVQLHLLVEHNLNHYSNLFRMKADAAKTDIFYLISGVWKSPVERLFLWIGGSRPSQLLNIIVPQLEPLTDQQIGSINNLRLSSQQAEEVLSLGLDKLQQSLVHNIAADPLVVGNYEYEMVAAMDKIEALEGFVSQADNLRQKTLLHLSSILTTGQAAQGLLAMADYFHRLRTLSALRTAPPCGPA >OIW02305 pep chromosome:LupAngTanjil_v1.0:LG11:5389328:5391543:1 gene:TanjilG_11199 transcript:OIW02305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNPNLRRHGDNINFLNSQSHQLAHSIIDLKANLGRYRAMAGEGGSGIMHPQMESVTESEVETIKQILKKDDSAASIYRRFKTSSVSRSSDFRFINEGVGIVATLATVENAEISRILSEYLGSGYMLAIVCNTLKGVEALEDPFLGGFVPNDPQKKLAIPKPRMPNGECPEGFIDYAVNMIHLDHNHVSFVTAGGHGLRETLFYTLFARAQVYRTRMNLLRAMPCIHEGAVSLDGGLIKKTGMFAFGVSNDLDVKFPVIAGKYQVHPSLIEAEEMLRKLQWESTKLDEDMKREQQLLVHEANRETFP >OIW01516 pep chromosome:LupAngTanjil_v1.0:LG11:27684044:27684712:1 gene:TanjilG_19442 transcript:OIW01516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IALQTQSTYRFLTDPRNHDHLLWTLLEWFSPLSWWRARLNDALENARARKYPTRNFQKLRTIFIVHRPYSQHPATNLPCSRNQAYVWDTFDTYPLGENYRKELEKHLSQVNFYEYVLDSFMSTCISHCDNLTNLQRSKGLIQVNNSFYQKVGEASSSRQNELGINQSTNTDSIMEPVDQDMDQDAQDPNAEIMDILLAEKVNYEETRPSWCYTLYGSVNSEE >OIW01341 pep chromosome:LupAngTanjil_v1.0:LG11:32628786:32644671:-1 gene:TanjilG_20523 transcript:OIW01341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGRNCYQVMIGQGEFHHVAGYKEPVKGELSGYNEAHMIHKGIRITWHIWQGEKGISLLHSLGRSNAPSNFQEAVARSGMSVQKLSLYILLLVGRRQGEGGGYRSGSISSEFPIQIEAPIKKILRRLWDRVRLLFAEKTNGKLAHRAGTGTLRKMRELRIRQKYKILTIRSVLLRKGRIDDQVNSGEEVSFNATQDNRAIIVGRVKSIQRKAAFDSLLSSWLIPRPTSTPR >OIW01445 pep chromosome:LupAngTanjil_v1.0:LG11:29385580:29392600:-1 gene:TanjilG_30919 transcript:OIW01445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSIEKREVKIPTKEEDDQACIHAMVLSSFQVFPSVLNAAIDLNLFEIISESAPLGEYVSVSDIASKIPTQHHELDKRVDRMLRVLATFSLLNSTTNTNKDGMVERFYSLSLTGKYFLRNQTENLASFTNLTSHPSQVKATCHNWSDETCITILKKCYKALPENGKVIILDMIMPEEIDSSDATKYVSIIDNTMFILAGGKERTEKEFEKLCEESGFSRSVVVWVACFGFGAFHVTGLYGPGIWVSDPYGLTGRVQPVNPAWGVEGFDPFVPGVRLDHLSPPEGGLLRPPPKLRRKSAKPIPGNSEAS >OIW01931 pep chromosome:LupAngTanjil_v1.0:LG11:13059042:13064829:1 gene:TanjilG_14164 transcript:OIW01931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDHEIFFNIGRVPMVTWNKTLLASNFQASSESSGNSGFLMFNSKFLKSKGSTLLVNQNEHAITHGERKFFTEHMPQLVCIFTEEVCGDGDWSHGSFPIEEYIQALNRSKDEMYYSHSLGLRYSKITEQIYVGSCIQTEDDVETLSKVEFYWEGIKSDIKRFVEECNICQSNNYSTLALGGLLQAFPIPTQVRTDISMDFIGGLPRVQGKDTIFVVVDRLTKYVHFFSLDHPFSAKEVAAMFVTGVVKLHGFPSTIVSDRDPMFLSSFWKELFNLADTHLKYNTAYHTQMDGQTEVVNRYLETYPRCFVGPKPI >OIW01340 pep chromosome:LupAngTanjil_v1.0:LG11:32595300:32598309:-1 gene:TanjilG_20522 transcript:OIW01340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTSTSPSVAPKSFRKSIITTLMEATTFKEDTYFVSNLKSSEKKALQELKDKLSTCEEANNASIFGVPLLGGNEYDDRANVLLLKFLRARDFKVVDALNMLLKCLTWRREFGADNVVDEELVEFNDLEGVVAYMQGYDREGHPVCYNAYGVFREKEMYEKIFGDEEKLKKFLRWRVQVLERGIKLLHFKPGGVNALIQVTDLKDMPKRELRVASNHILSLFQDNYPEMVARKIFINVPWYFSMLYSMFSPFLTQRTKSKFVISKEGNAAETLYKFIRPEDIPVRYGGLSRPNDLQNGPPKPASEFTVKGGEKVNIQIEGIEGGATITWDIVVGGWDLEYSAEFVPSAQHSYIIAIEKPRKIGASEEAIHNSFTSKEAGKLVLSVDNIASRKKKVAAYRYVVRKCSTV >OIW02197 pep chromosome:LupAngTanjil_v1.0:LG11:7284850:7286806:1 gene:TanjilG_21850 transcript:OIW02197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVEKVFHMSGGVGKTSYAKNSSLQKKASDRVKHIIIQTVEELYIETSPKSFGIADLGCSSGPNTLSIIKDIFQTIQGISHKIMHHCSEFRVYLNDLPTNDFNSIFKALPEFHLLLREDKKNGVPSIFMGGYPGSFYGRLFPNSYLHFVHSSYCLHWLSRVPSGLYNEQGRSLNKGCVYISESSPAVVPQAYFKQFQEDFSLFLRSRSEELVEGGRMVLLFLGRRGKEHVDRGISVLWEILSRSFAILVSQGKVEQEKVDSYEVHFYAPSREEIEDEVRKEGLLKLERLEMCEIEKSEQGMDYSTKVAMAIRAIQESMISNHFGERILDSLFENYAKLVQEEMAKGDIRPITFVLVLRKI >OIW01980 pep chromosome:LupAngTanjil_v1.0:LG11:10932781:10932966:-1 gene:TanjilG_14011 transcript:OIW01980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIELGFSGKITIELGIHTPATWFFNHFAKQLDHVQNITCTINEVNVHHGHDWYAHDIVKQ >OIW02928 pep chromosome:LupAngTanjil_v1.0:LG11:163990:170851:-1 gene:TanjilG_29704 transcript:OIW02928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFPTVPHFMRVCTLLLLLLLLKSGVVYSNSDYLIGLGSYDITGPAADVNMMGYANTEQVASGVHLRLRSRAFIVAEPHGNRVVFVNLDACMASQLVTIKVLERLKARYSDLYNENNVAISGIHTHAGPGGYLQYVVYIVTSLGFVRQSFDALVDGIEKSIVKAHENLRPGSIFVNKGELLDAGVNRSPSGYLNNPAAERSKYKYNVDKEMTLLKFVDDEQGPVGSFNWFATHGTSMSRTNSLISGDNKGTAARLMEDWFERKDSVKMNPVGFEDNSIPRRISNIIPSLSDNHHELLELASSFQSPPGRPTSRTLSVARRVRGALSQVDKPRFVSAFCQSNCGDVSPNVLGAFCTDTGLPCDFNHSTCGGKNELCYGRGPGYPDEFESTRIIGERQFRKAVDLFNGASEQIKGKVDYRHTYIDFSKHEVTLSKGGASEVVKTCPAAMGFAFAAGTTDGPGAFDFKQGDDKGNPFWNLVRNLLKTPNKEQINCQQPKPILLDTGEMKLPYDWAPSVLPIQILRVGQFVILSVPGGERQFRKAVDLFNGASEQIKGKVDYRHTYIDFSKHEVTLSKGGASEVVKTCPAAMGFAFAAGTTDGPGAFDFKQGDDKGNPFWNLVRNLLKTPNKEQINCQQPKPILLDTGEMKLPYDWAPSVLPIQILRVGQFVILSVPGEFTTMAGRRLRDAVKAVLSGNNDFGSDIHVVLAGLTNTYSQYVTTYEEYQVQRYEGASTLYGPHTLSAYIQEFKKLANALISDQPVERGPQPPDLLNQQISLLTPVVVDGTPLGVNFGDVSVDVLKNATFKKGEKVSVTFWSACPRNDLMTEGTFALVEFLEGKDSWVPAYDDDDWCLRFIWSRPSKLSSHSKASLEWRIPEDVSPGVYRIKHFGAAKSLLGSIRHFTGSSSAFVVVS >OIW02457 pep chromosome:LupAngTanjil_v1.0:LG11:4220954:4226383:1 gene:TanjilG_05050 transcript:OIW02457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMVSVDITKSSYSVKISALALFSLTFLIFFTRYFPTYSPSLSFSINFLNTTAPSPYQPPSPTLPPPPYHTPLSSPNQPPPPPPNQPPTPPPSPPPPPSVVRMGVLDRNGVMTEDFKIGDLDSDLVNDFKNWSNSRRVKESEKDSSFVRVKVEKYKKCDMKMVNYIPCLDNVEAVAQLNQSVRGEKYERHCPEQGKGLNCLVPRPKGYRAPIHWPQSRDEVWFSNVPTRLVKDKGGQKWISIKKDKIVFRGGGAQFIEGADKYLDIISAMVPNIAFGHNIRVALDIDCGVASFGAFLMKRNVTTLSVASKDVYENQIQFALERGVPALLAVFATHRLLFPSQAFDLIHCSRCRVNWTHEDGILLLEANRLLRAGGYFVWVAQPVYKHEHTLQEQWKEMVDLTTRICWELVSKQGYIAIWKKPINNSCYLSRDITVGPPLCDFNDEPDDVWYVGLKACITQLPSSGHGANVTKWPARLHQPPDRLQSIKQDAIISRKELFKAESKYWNDIVDTYVRVYRWKEYNLRNVMDMRAGFGGFAAALHDLQVNCWVMNVVPVSGFNTLPILYDRGLIGVMHDWCEPFDTYPRTYDFLHAAGLFSVEKKRQKCNISTIMLEMDRMLRPGGNVYIRDFGHLIGELEEIATAVGWTSFQNDVNEGPYSRWKILRCEKRF >OIW01180 pep chromosome:LupAngTanjil_v1.0:LG11:33146186:33147186:1 gene:TanjilG_10341 transcript:OIW01180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVSTVTSEATARLFWKHGAKVVIADIQQNLGQSICKDLESSSSSLSNASFVHCDVTKEKDVENAVNTTVSKHGKLDIMFNNAGIAGVNKTNIVENTLSEFQEVINVNLVGLFLGTKHAARVMIPARSGSIINTASVCGIIGGSASHAYTSSKHGVIGLMKNSAVELGAYGIRVNSVSPYLVATPLAKNFFKLDDEGCLQVYSNLKGKKAGLVPQDVAEAALYLASDESNYVSGHNLVVDGGFSAVNPGFCVLGQSLSN >OIW02786 pep chromosome:LupAngTanjil_v1.0:LG11:1100623:1102479:1 gene:TanjilG_29562 transcript:OIW02786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMFEDMGFCADFDMLCGGSLGEGDIAAKQTEPDAAVEDDYSDEELDVDELEKRMWRDKMRLKRLKEQSKAKEGIDAAKQRQSQEQARRKKMSRAQDGILKYMLKMMEVCKAQGFVYGIIPEKGKPVTGASDNLREWWKDKVRFDRNGPAAIAKYQVDNAIPGKNDGCNSIGPTPHTLQELQDTTLGSLLSALMQHCDPPQRRFPLEKGVPPPWWPTGNEEWWPQIGLPKDQCPPPYKKPHDLKKAWKVGVLTAVIKHMSPDITKIRKLVRQSKCLQDKMTAKESVTWLAIINQEEALARELYPDYCPPLSSAGGSGSLVINDCIEYDVDGAEDEPNFDVEDRKPENLHPSNLAMDRMSGRLPMPMQPLQRPSLPIKGEVITNIDFMRKRKVSSDFNMVDQKIYTCEHPQCPYSEIRLGFQDRSSRDNHQLNCPYRSNSSDYGAPNFHINEVKPVIFPQSYVQPNTTAQPASSVPPSFDLTGLGVPEDGQKMISDLMSIYDTNVLGNKNGISSNGVVASENQNFPIPQPHIQQQQDNFFTGQGMVMDGNFFTREENHFDRFKAMNSPFETNHNHNNNNNFQFMFGSSCDLASFDFKEDIPGVGMDPLHKQPDVSTWYK >OIW02526 pep chromosome:LupAngTanjil_v1.0:LG11:3111379:3112011:1 gene:TanjilG_12840 transcript:OIW02526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKSEQQIGIRVYNATPPPGDGMAAPEPGRKRGSMMAKGVQKTLSKTSMLGNFLPTGTLLTFEMVLPSIYKDGQCTHVQTIMINLLLALGSLSCFFFHFTDSFQGADGNVYYGFVTPKGLSVFKPGLTVEVPKDERFKVGFTDFVHAIMSMLVFVAIAFSDHRVTSCLFPGHEKDMEQVRDSFPLMVGIVCSSLFLVFPTSRRGMGFMSA >OIW02012 pep chromosome:LupAngTanjil_v1.0:LG11:10350850:10352883:1 gene:TanjilG_11605 transcript:OIW02012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEETERNGESLIEKITEKIHGHDSSSSDSDSDSEKPVASSIKEKVFRLFGREKPVHSVFGGGKAADVFLWRNKKVSAGVLGGATAVWVFFELLEYHLLTLVSHISILLLALLFLWSNAHTFIHKSPPRIPEVHLPEEPFLQVASALRIEINRGFAVLHNIGTGKDLKTFLFVIAGLWILSIVGNWGNFLTLFYIIFVLLHTVPVVYNKYEDKIDPLAEKALIEIKKQYAVFDAKVLSQILSKIPKSLKDKLA >OIW02045 pep chromosome:LupAngTanjil_v1.0:LG11:9628021:9631906:-1 gene:TanjilG_21094 transcript:OIW02045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKRNIRYLQLTLFDVNNVINRILEWRDGYYNGDIKTRKIVQTMNEINADQIGMQRSEQLKELYKFLVIVEADPQTKRPSASLSPEDLSDSEWYYLVCMSYVFNPNQSLPGKALETGETIWLCNAQHADNNVFSRSLLAKTVVCFPYVGGVIEIGTTELLQEDPNLIQHVKACFLEISKPICSDKCSSDLNKPEIDDKYPTCTNGGNMILDIVTLENSCSFAEETKFDEDIVKELQEDINEDSIMDSPDGFSNGCENHFLLEESMAEGIYDGPSQVHLIDYDLSNDSLDSLSSCDSMPKASENQGIVSKNVSQIHLRELESNASDEDLYYTRTLCAVLGNSSHLTQPSISNCKSSFVKWKKGVISERKRPKLEQSMLKKTLFLSPFMHGSFSSLNSQKENVFSSKSRENTNFQVLKSVVPSSTSKVDKISILGETIKYLKQLEARVKELESYMDIVDSAAKTKRKCQDVLEQISDNYGSRMIYKGMKPLMKNMNACDIHETDTEIERVINEEAKPMNVKVDIKDEEVLIEMKCIYREYLLHDIIDALNNLYLDAHTVESSTVDGVLTFALKAKFRGAATAPPRMIKEALWKVSGNI >OIW01199 pep chromosome:LupAngTanjil_v1.0:LG11:33401059:33406290:-1 gene:TanjilG_10360 transcript:OIW01199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGQNQSEEAIVSTNFNETENEGSVGGRMELEKEQDQSMFNVKSFLWHGGSVWDAWFSCASNQVAQVLLTLPYSFSQLGMVSGILLQILYGLMGSWTAYLISVLYVEYRARKEKENTSFKNHVIQWFEVLDGLLGSYWKAIGLAFNCTFLLFGSVIQLIACARIWSFLGLGMTTYTAWYMAIAALVHGQVENVTHTGPKKLVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKYIYLMATLYVFTLTLPSSAAVYWAFGDELLNHSNAFSLLPKNGFRDAAVILMLIHQFITFGFACTPLYFVWEKVIGMHDTRSICLRALARLPVVIPIWFLAIIFPFFGPINSAVGALLVTFTVYIIPSLAHMFTYRKASARQNAAEKPPFFLPSWTAMYVINAFIVVWIFVVGFGFGGWASMTNFIRQIDTFGLFAKCYQCHPPTPPPVVAAQPPHALHH >OIW02155 pep chromosome:LupAngTanjil_v1.0:LG11:7861732:7865564:1 gene:TanjilG_02379 transcript:OIW02155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEVFEGYERQYCDLSANLSRKCSSASLAFDQEQKQQKISEIKAGLDDADVLIRKMDLEARSLQPSVKAMLLAKLREYKSDLNNLKKEFKRLTSPTADQAARENLLEAGIADAHSASADQRERLAMSVERINESSDRIRESRRTILETEELGVSILQDLHQQRETLLNSHKKLHGIDDAIDKSKKVLTTMSRRITRHKWIIGSVIGALVLAIVIILFFKLSH >OIW01278 pep chromosome:LupAngTanjil_v1.0:LG11:34613645:34617049:-1 gene:TanjilG_10439 transcript:OIW01278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLSTTTTTPPPFRPPTTTRRPTSFRIHVSTQTESDPSHSSSSSSPSSSIDERTRRITKELEKQKAKEYKERKEMVNRKIASHKAVSVILRREATKAIIDKRNRKKGSINSKKLLPRTVLEALHERITALRWESALKVFELMREQLWYRPNTGMYVKLIVMLGKCKQPEKANELFQAMVDEGCVLDCEPYTALLSAYSRSGRLDKAFSLLEEMKTLPGCEPDVQTYSILIKSCLQVFAFDKVNGLLSDMTDHGIKPNTVTYNTLIDAYGKAKRFSEMESTLVEMLADRNCQPDVWTMNSTLRAFGYIGQIETMERCYDKFQSAGIQPNVQTFNILLDSYGKAEDYMKMSAVMEYMQKYHYSWTIVTYNIVIDAFGKAGDLKQMEYLFRLMRSERIKPSCVTLCSLVRTYAHAGKPEKIGGILRFVENSDILLDTVFFNCLVDAYGRLGCLAEMKGVLEMMEQRGCKPDLITYRTMIKAYSFKGMNSHVKELTELLATVQRPPIKRLKPDF >OIW02080 pep chromosome:LupAngTanjil_v1.0:LG11:8959538:8962465:-1 gene:TanjilG_14779 transcript:OIW02080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVEVQQRKFKMLKDFLSENPNSCSSNGFKSLPRKPNHTNNNNNNNLIESMRKNQNPSSINYYSPFQKLINTITTISFFTAVKKSPSIKFLPRRLSSSSRSRKRNQSFSNESCTVKIKDIIRWKSFRDIVEQQPPLSSPPLDFHRNVMGSTTTTTTTTCSCSSGSSWCGSDFTSGYLSSWEAQNDNVEAGKSFSFSPVVVGKELVEPAIGMTECTAEVGAKEDLTCLEYEQHSPVSVLQVGEDEFSSFDQNLANIQRRKQKFKQKVQRFEFLAKLDDENSSYDEKVEEQNLVKEKARKLLHYLKVTYSMQSFEDYFLDTLLLDFFMEELSARRNEIGNDEEFECEILRKAEEWLNGSFAYDIEHVNKDTYIKDMDKKINWSIFEEGKEELALEIERAILHSLVADLLNING >OIW01352 pep chromosome:LupAngTanjil_v1.0:LG11:32836493:32837824:1 gene:TanjilG_20534 transcript:OIW01352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHDMEEEEEQDEGIVERENKGKQNMLIEKDEEHSKWTHIPSDILEMIMKRLNLIDFLRTSVVCSSWNATFTQAIANKHIKPLPELPIVILQSHRISISASSVLSIKLEEVCSVINENTMLLESHHRYHGIVEGWMILSISLFVGITNIIFFFNPVTSDVVLVPLPLKFPSNSPIPSRSNLKMGRMVASSSPKCKDCVLVCLFTDYAHIAYCRVNYDKSWTMIEAKGDAWNFLDVEFFNGKLYVRTYMASTSMLVYDLQDSTDNPPNPIVLGEIPPIRPLTESMTHENQIYVKGDVIRFLTIGYAAEELLLVYLYNNYVSESGNVGYMNVIKQYASPPQVTKCEVFKLDTRSNEWVKLDHLGDRMIFLGYNKSYVMSRTLLNCNGELTTENSVYFALYLPCPEPWLNPQLGRLCMTDNTIKYFPMEDFSVELDACPSWFLPSAW >OIW02741 pep chromosome:LupAngTanjil_v1.0:LG11:1416862:1418821:-1 gene:TanjilG_29517 transcript:OIW02741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYYKFPDVFCWIQNLPPISQWRTSSMSINICSSNSSQPSLNLTIAKNHQSPKLTFAIVADFNIPITLWISKPFKPSTKTINLIDYETISNLFVNFIEEILHYGSNSNSPFVKIPKLDYYSISYFPDIFNLALLTLLFLICIYEAPSDLRSACLSTLTNHLSGCQQSRQGTKTLLMKLLGSNMEEQWMRSINLAITNWIVELQETHQGTFKTPSSLFSYSFSTLGLWKVQLYCPIINTDVVNSNSHIADERLQFSLTYHHLEGVLQFNYKVIVKEKWVEIMVNTDNIRCDVLKLVDGTLMRERGAGADEKHFPSRISLQLTPRLQNHVLSISVGKSSENPNIEIGKDKSVEASFEPPNPYMGLNVSVGESTTVSLKPWKFEETVYGYSANLNWILHDTMDGKEVFSSKPSKLSLINPKSWFKDRYSSAYRAFTRQGGVIFAGDEYGESVCWKVDKSAIGKTMEWEIRGWIWLTYLPNKYRTFYNETRRLEFKEIVHLNIA >OIW01688 pep chromosome:LupAngTanjil_v1.0:LG11:19967464:19971364:-1 gene:TanjilG_01195 transcript:OIW01688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHMATYDIDSDVVQWGLHLLDVCTFSNSGSPSIITQYDPDLSQIQYVREGFSQPTYVENDEAVARAYQEELSRLESIEASGRSNYDNENLRESVLAQDWIASNGNYNYGNQSCQNTIDEQNNMKEMEKYAPSERDNNDHEIEVFGSSSESGEIPVTSDDFRRSLEVSDESSLDGEVGKRLNQMIPTRHTPKINEKIPSDDEEISDHERLLDRLQLYDVIERKVEGDGNCQFRSLSDQLYRSPEHHKFVRKQIIQQLESFPELYSGYVPMAYFDYLKKMSKSGEWGDHVTLQAAADCYGVKIFVITSFKDTCYIEILPQIQKSGRVIFLSFWAEVHYNPIYPEGGDIFVHYVQTM >OIW02487 pep chromosome:LupAngTanjil_v1.0:LG11:4567265:4567999:1 gene:TanjilG_05080 transcript:OIW02487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTRVGDLLNGDLGATVNNLFNLPETMEKLMFPSRGHENHDNKGVSSIPVDILETPKDYTFFMDVPGLSKSEIQVTIEDENTLVIRSNGKRKRQDGEDEGCKYLRLERRVPQKLLRKFRLPENANVSVITAKCENGVLTVVVEKNPPPPISKTVEVAIA >OIW02295 pep chromosome:LupAngTanjil_v1.0:LG11:5273767:5275623:1 gene:TanjilG_11189 transcript:OIW02295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTVAWKQLLVGALESNTHLRHSSFFQLASIGTNGKPSNRTVVFRGFQDNTDNILINTDSRTPKIEELKLCPSAEICWYFTDSWEQFRINGNVDVVDGWNPDPLKLQQREKSWFASSLRSRSQYLGPEPGLPSLGEQAQPEISLDPSTGPVDAFCLLILEPDQVDYLNLKNSQRLAFKSSVSAAAKKSWIMERVNP >OIW01209 pep chromosome:LupAngTanjil_v1.0:LG11:33578606:33581707:1 gene:TanjilG_10370 transcript:OIW01209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKQSKSKKQESFGKGKVTPQQIAFIVDRYLCDNNFSSTRSTFRNEASSLISHSPIHHAPKTLLTLGQMLDEYICLKEQKVMLDQERVLIEQEKTRVQMLLQGMHNVMSAYNASGNLPPAPAAKSAVAVVAPPKVSTTSHPGVTSTTSMPSKLNTNSLPQSNNSNTDAGNFMTPIMNISGRKRKDTNAVDTPSAAKRTCGRSSSRKIPVKGQSILQQSDNANSNQVVAQPSSAIQSSPENCTPSCSQVQGSGVVKCLFNQSSISVPTNSSVPKTPTRANSAHSDKHISPSEISSVVTRNRDTTPNRCTVISTKRVMVSPSKQMAYIQMSHCISPVKTGSDKMSKRDHVRSRLDFDASGMPESSDKPLPNEISTSIPLSEKELNILDIDFPNLDSLGMDYFTEILNDFDFTCDGIDFSCHTTSGPSKDHASGSSPECNVNDAAPESSTVPAVLCEKDMKCKAPII >OIW01386 pep chromosome:LupAngTanjil_v1.0:LG11:31748044:31751697:1 gene:TanjilG_12926 transcript:OIW01386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGESWKLVDHPKLGKGKTIAVIVLDGWGESNPNEYNCIHVAHTPTMDSLKNGAPHHWRLIKAHGKAVGLPTDDDMGNSEVGHNALGAGRIYAQGAKLVDLALASGKIYEGQGFKYIKESFQTGTLHLIGLLSDGGVHSRLDQVQLLLKGVSERGARRIRLHILTDGRDVLDGSSIGFVETLENDLAVLRQKGIDAKIASGGGRMNVTMDRYENDWSVVKRGWDAQVLGEAPYKFTSALEAIKKLRAEPKANDQYLPPFVIVDESGKPVGPIVDGDAVVTFNFRADRMTMLAKALEYENFDKFDRVPYPKINYAGMLEYDGELKLPNHYLVSPPEIERTSGEYLVHNGVRTFACSETVKFGHVTFFWNGNRSGYFNPELEEYVEIPSDSGITFNEQPKMKALQIAEKTRDAILSGKFDQVRVNLPNGDMVGHTGDIEATVVACKAADEAVKIILDAIEQVGGIYVVTADHGNAEDMVKRDKTGKPQLDKNGNIQILTSHTLEPVPIAIGGPGLSAGFRFRNDVPNGGLANVAATVINLHGFEAPSDYEQTLIEVVDN >OIW01106 pep chromosome:LupAngTanjil_v1.0:LG11:35702815:35706537:-1 gene:TanjilG_25214 transcript:OIW01106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFQNFQVLDVIVSSTSDTGPLSLDNFRKNNLSPSWRLDGSKTSNSTVELNQPATNVRQEKQKGKEGRSSGDGRAQLTNSPVQLARRQLIEKRKEKRAAELVRQDDDVIVKLEDLAIERSKSVDSAVLGKYNIWRKEFENENADSNVRLMRDQIIMARVYLSIAKMKNKLQLYQELQSQLKESQRALGDAISDAELNHSAHEKIKSMGQVLSKSKDQLYDCKLVTGKLRAMLQTADEQVRSLKKQSTFLSQLAAKTIPNGIHCLSMRLTIDYYLLAPEKRKFPMSENLENPSLYHYALFSDNVLAASVVVNSTVLNAKDPSKHVFHLVTDKLNFGAMNMWFLLNPPGKATIHVENVDEFKWLNSSYCPVLKQLESSAMKEYYFKAGHSTTGASNLKYRNPKYLSMLNHLRFYLPQVYPKLDKILFLDDDIVVQKDLTGLWAVNLHGKVNGAVETCGESFHRFDKYLNFSNPHIAKNFDPNACGWAYGMNMFDLKEWKKKDITGIYHKWQSMNEDRVLWKLGTLPPGLMTFYGLTHPLNKSWHVLGLGYNPTVDRSEIENAAVIHYNGNMKPWLEIAMTKYRTYWTKYVKYNHPYVQNCKLIE >OIW01698 pep chromosome:LupAngTanjil_v1.0:LG11:20136136:20140500:1 gene:TanjilG_01205 transcript:OIW01698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESEGQKGTMNPSAMLASLLSRRAKLHEELRSIEKQVYDMETSYLQDPGQCGNVLKGFEGFLSSSKNTAFLKRSRKFQPEDRLFSLSSVTSPAAEEIAAGRDDGRSDFGPGRSKGGGIYANGQGKPKKGRGAPRDAKRMRASSEQDFDYEDDPDLTL >OIW01264 pep chromosome:LupAngTanjil_v1.0:LG11:34482603:34487320:-1 gene:TanjilG_10425 transcript:OIW01264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQHQQTYNKYIFKVLKHSHPELGISSKAMEIMNNFINDMFDKLAHESSQLALYNKKTSITARDIQTAEGSSLNKRKKMKQHQQTYNKYIFKVLKQSHLDLGISSKAIEIMNNFINDMFDKLVHESSQLALYNKKTSITARDIQTAEGSSLNKRKKMKQHQQTYNKYIFKVLKQSHLDLGISSKAIEIMNNFINDMFDKLAHESSQLALYNKKTSITARDIQTAEGSSLNKRKKMKQHQQTYNKYIFKVLKQSHLDLGISSKAIEIMNNFINDMFDKLAHESSQLALYNKKTSIAARDIHTAVRLVFPDELAKHVVF >OIW02813 pep chromosome:LupAngTanjil_v1.0:LG11:921077:923710:1 gene:TanjilG_29589 transcript:OIW02813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVRKNMGRAKSLLMLLIVLGFSFATYNLVSLIIEHNKNEGLVADESFGGRKVMGSAKSGSKYHVALTATDAAYSQWQCRIMYYWYKKVRDMPGSDMGKFTRILHSGRADQLMNEIPTFVADPLPEGLDRGYIVLNRPWAFVQWLEKADIEEEYILMAEPDHIFVNPLPNLASRTQPAGFPFFYIKPAENEKIIRKFYPEEKGPVTDVDPIGNSPVIIQKSLMEEIAPSWVNISLRMKDDPETDKAFGWVLEILAISDISNLLYFALEIRYAYAVASALHGVKHILRKDFMLQPPWDLHVGKKFIIHYTYGCDYNLKGELTYGKIGEWRFDKRSHLTSPPPKNLSLPPPGVPESVVRLVKMVNEATANIPEWDSLNRT >OIW02373 pep chromosome:LupAngTanjil_v1.0:LG11:4767961:4772965:1 gene:TanjilG_08520 transcript:OIW02373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRLVKKVLNEKHLAQQFNEEEEEEKDEDQLNYSTNRSSINPFDLLNDHDYDSDPHYKKEEFESANKTSTIYDDKEEPSSLKNTAAVSTSKSKSKKKKKKKKDASVANKTGGEKELDPIIEDLSLDVNSFSEQQVSSRVRAVSAKDQNKSVKQNATSVLQVDPKYLNAENELRRIFGSKVVKSFETQSSNQASSSRQIRGVRRGHYNLRKTALATPANHWPRWDGSLSMEFLETKNGYNYFKYAHSSSYSQAQSAFEATKAINDLNGIASILMHHPYHLDSLLTMAEYFKVVGEQQMSADAIAKCLYALECAWHPMFTPLHGNCQLKFSHDMNKPMFTALFTHMKNLDRRGCHRSALEVCKLLLSMDSDDPMGAIFSIDYFALRAEEYAWLEKFSEDYESDNSIWLFPNFSYSLAICRFYLEHDASKDACVDAKKSSSLDLMKQALMLHPSVIKKLVEKVPLKDRSWTNILNHAFFQSDQTKLLSQDHLINIYAERNYLIWRLPDLQKLLSDAAQLVIKTLENNKSEVKDWECARKVAFSSEKNEYGHLLVSDFSDSVASIPQENLQQFMGLPGIREGMLDENQFANLHDHGNGPAPRGVADRNALTVLFESMLPWVTYEDREDDGPDDDPHVDEHGQNNQ >OIW02584 pep chromosome:LupAngTanjil_v1.0:LG11:2762777:2779970:1 gene:TanjilG_24035 transcript:OIW02584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANAPISMKETLTLGSVGINPQFITFTHVTMESDKYICIRETSPQNSVVIIDMSMPMQPLRRPITADSALMNPNSRILALKAQVPGSTQDHLQVFNIELKAKMKSHQMTEQHFTIYHILGDSAPVKMFDRTANLANNQIINYRCDPNEKWLVLIGIAPGSPERPQLVKGSMQLFSVDQQRSQALEAHAASFATFRVAGNDKDSTLICFASKSVNAGQVTSKMHVIELGAQPGKPSFTKKQADLFFPPDFADDFPVSMQISNKYGLIYVITKLGLLFVYDLESATAVYRNRISPDPIFLTTEASSEGGFYAINRRGQVLLATVNEATIVPFVSGQVVQRFQELFAQTKYKEAAELAAESPRGILRTPDTVAKFQSVPVQAGQTPPLLQYFGTLLTRGKLNVFESLELSRLVVNQNKKNLLENWLAEDKLECSEELGDLVKVGYAPDYLFLLQTILRTDPQVCKPSFTKKQADLFFPPDFADDFPVSMQISNKYGLIYVITKLGLLFVYDLESATAVYRNRISPDPIFLTTEASSEGGFYAINRRGQVLLATVNEATIVPFVSGQLNNLELAVNLAKRGNLPGAEELVVQRFQELFAQTKYKEAAELAAESPRGILRTPDTVAKFQSVPVQAGQTPPLLQYFGTLLTRGKLNVFESLELSRLVVNQNKKNLLENWLAEDKLECSEELGDLVKVGYAPDYLFLLQTILRTDPQGAVNFALMMSQMEGGCPVDYNTITDLFLQRNLIREATAFLLDVLKPNLPEHGYLQTKVLEINLVTFPNVADAILANGIEDPELHFKYIEAAAKTGQIKEVERVTRESNFYDAEKTKNFLMEAKLPDARPLINVCDRFGFVPDLTHYLYTNNMLRYIEGYVQKVNPGNAGLVVGQLLDDECPEDFIKGLILSVRSLLPVEPLVEECEKRNRLRLLTQFLEHLVSEGSQDVHVHNALAAVKAFMTADLPHELIELLEKIVLQNSAFSGNFNLQNLLILTAIKADPSRVMDYINRLDNFDGPAVGEVAVEAQLYEEAFAIFKKFNLNVQAVNVLLDNLRTIDRAVEFAFRVEEDAVWTQVAKAQLREGLVSDAIESFIRADDATHFLEVIKAAEDTDVYHDLVRYLLMVRQKTKEPKVDSELIYAYAKIDRLGEVEEFILMPNVANLPNVGDRLYDEALYEAAKIIFAFISNWAKLAVTLVKLKQFQGAVDAARKANSAKTWKEVCFACIDNEEFRLAQICGLNVIIQVDDLEEVSEYYQNRGCFNELISLMESGLGLERAHMGIFTELGVLYARYRPEKLMEHIKLFSTRLNIPKLIRACDEQQHWKELTYLYIQYDEFDNAATTIMNHSPEAWDHMQFKDVIVKVASVELYYKAVHFYLQEHPDIINDMLNVLALRLDHTRVVDIMRKAGHIRLVKPYMVAVQSNNVSAVNEALNEIYVEEEDYDRLRESIDLHDNFDQIGLAQKIEKHELLEMRRVAAYIYKKAGRWKQSIALSKKDNLYKDCMETCSQSGDRELSEDLLVYFIDQFIREYTGKVDELIKDKIEAQKQEKSKEKEEKDVIAQQNMYAQLLPLALPAPSMPGTGGGYTPSPPPPHMGGMGMPPMPPFGMPPMGGPY >OIW01743 pep chromosome:LupAngTanjil_v1.0:LG11:18940974:18948419:1 gene:TanjilG_03881 transcript:OIW01743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPGERENPYEGLGTGGKFRKRPFRRTQTTPYDRPSTSLTIPNGDNNINNNGWFSKVVNPAHKLITYSARSIFSSLFRKRLPPQSQSQSPSETEQEVRNNHLEESAFKQVSINSSGKKQVTFGNGESDAQINCSDGGGLTELEKSEIDHLTALMRSRTVDTPIREEEKRTEVVPSKPMLFSERKDEDPKTPIVENRIEDRLPLTPYVTSSVPIEDVASPAELAKAYMGSRPSKVSSSIFGVRSPAAREDPAFLKSNDNLRLSSPIMSIVPSTVKHIGVHENGYATARTRGRSAIYSMARTPYARIYPTSTFKRIGHAVEGEPSSSTHSTMDQDMLYGSKQGSVKRRSSALDNDVGSVGPIRRIRHKANLYSKGLGLPPSGSSLSIARSGLGVGAAQQPSSSMRKPIMWDEVKHSHMDLPEENVEDTRPSKSGPLPSKSSEMASKILQQLDKMVSPKEKSSESRLPNVNDKSPMKLSPSMLHGQTLRSMEAVDSSKSLDTVKDNRFNIAPGRLFDSAQKLTSQIDKVENGQLKLVAPSDGLVPAVTDADSTNPRNQIISAAKSVDSFVIKSASHPPQKKRAFHMSAQEDCLDMDDDAYSNGDMSSVLPVDNKMTSPTAMAVKDTFGTESVAQEHTRSLSVVMSSKSSTISKEVHAGADDSVNWSRVGAKVDVSTSMTSSISDPTFKPATAATKTSFGSHKPGSPNGSSTISPVFNFGNKMAPSKDLTNPGTIFGLEKVVSTKELDADVPLVNFGSNGNAGKVPQMLFTSLPVGGESTLPNISVSSDSKLGSPISSATVSAATDSMPKVRESGNVDAKTNTDSVRSSEIPVSSAVTTLLFTSPTSVFTFGQSSGSLAASPSLSSPFTSQNVFSSSPLAASSSSISASSTPSIISSSSSSFSNPLVASSSSTTPIFKFGSSPVPSTGLPVSSSGSEPLETKNREVVGNGNLSSTVFGSSSSTVGNTGSGLFGFSSSAMTTVNSQSQGYVIGSSSGSMFNAQASPATSGFATSTQTQSVPLGSSASSSSFGFTGNTAFSSGNSLFPSTPATNAGFSFGSSSFPSSSSATNIFNSGTTFGLGTPASSSAVNSVSSNNVSSSSLFGSSSWQPNKSSPFGSAFNQTSTAPVASTSSPTMFSSTPQFSFTSAAATTSTQPTFGNPNSGFTFGSAPVNNDQMTMEDSMAEDTVQATPPATPVFGQQATPPATPVFGQQATPPATPVFGQQATPPATPVFGQQATPPATPVFGQQATPPATPIFGQQATPPATPVFGQQATPPATPVFGQQPAPVQSNFVFGASTPSGASPFQFASQQNTTPPNPSPFQASGSVEFSAGGGSFSLGTGGGDKSGRRIVKVKGRQRKR >OIW01739 pep chromosome:LupAngTanjil_v1.0:LG11:19006402:19006704:1 gene:TanjilG_03877 transcript:OIW01739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEELRRARVEAEASQKSCSIEENIDDDDNPMVLFEEKCPPGGNGTVIFYTTTLTGIRKTFEDCNKIRFLLQSFKVVYSERDISSTSSSRMSYGVLWMGK >OIW01380 pep chromosome:LupAngTanjil_v1.0:LG11:31934236:31936140:1 gene:TanjilG_12920 transcript:OIW01380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDTVVNLLLENLSDILKSEAKLLYGVEAKVKLFQQELERIKGFLKDSEGKQDEFETVKAVINQIKNVTYEAEDVIDKYIVDVVIHRRRGLLDQFLHGFDHAIMLHNVDTQIETIKDKIKDIYDNKDKYNIVEGESSKAKKEEAVTVAEEVQKRRTNVEEDDVVKKIVRLSYETLPSKYKPCFLYFGIYPEDYEINVRQLIQLWIAEGFIPEDDIMSQEDVAEEYLEELIRRNLIRVESRRTDGGVKTCRIHDLLREICINESKDDNFLEVRTNINISKEGKPRRLSLHCRTDHYMLEGSCDHLSTRSLFSFNKVNYDALEYRTWLQKNFKLLRLLDMGQVKGSSFVLDEIEDLIHLRYIRTRDNSSFFLKSSIYDLWNLETLDLRGSKLFDLPPGIWKLERLRHLHMSGSQATLSDIPRRKILFNLKTLSVVCLDKKTVQQLEEGKFPNLRKLGVNFFSPGTSIEYLQRLQCLKHLSTMKVIYVDQPLGSVKGLPPNITKITLVGGFYLSETVNILGSLPKLRYLKISGKYISDELNRFKLECSDSESFQQLQVLKMKDLDIVSWNLSQGAMPALQLLVINGCEFSTNIPNDQSLKTLKEVQVFWSSQEVVEKLQNLVLNDECKLTVYGAAHQ >OIW01251 pep chromosome:LupAngTanjil_v1.0:LG11:34273798:34277100:-1 gene:TanjilG_10412 transcript:OIW01251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVSFLSSLKLLALLAIIDFCMFELALAGTTRHYHFDIRYQNVTRLCHTKSVITVNGQFPGPRIVAREGDRLIIKVVNHVKNNISIHWHGIRQLQSGWADGPAYVTQCPIQIGQSYVYNYTIKGQRGTLFWHAHISWLRSTIYGPLIILPKHTTQYPFAKPHKEVPIIFGEWWNADTEAVITQALQTGGGPNVSDAYTINGLPGPLYNCSAKDTYKLKVKPGKTYLLRLINAALNDELFFSIANHTLEVVEADAVYVKPFLTNTILIAPGQTTNVLLKTKALHPNAAFLMTARPYVTGQGTFDNSTVAGILEYKVPSRTHNSAASSKNLPLFQPILPALNDTSFATKFVNKLRSLASAEFPANVPQTVDKHFLFTVSLGTSPCQKNQTCQGPTNGTKFAASVNNVSFTLPTTALLQSHFFGQSNGIYSSNFPTSPLVPFNYTGTPPNNTMVSNGTKVVVLPFNTSVELIMQDTSILGAESHPLHLHGFNFFVVGQGFGNFDPNKDPANFNLVDPVERNTIGVPSGGWVAIRFLADNPGVWFMHCHLEVHTSWGLRMAWIVLDGKLPNQKLLPPPADLPKC >OIW01976 pep chromosome:LupAngTanjil_v1.0:LG11:11660518:11660787:-1 gene:TanjilG_14065 transcript:OIW01976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFPFPNLQRAEAAASSLDEMTGNPVESASGGVTDDSGWRLSVPVGAPCPELGPEERRTSSARQRANLSPSYPTHGVGELVRACLEAPA >OIW02415 pep chromosome:LupAngTanjil_v1.0:LG11:3832526:3832861:-1 gene:TanjilG_05008 transcript:OIW02415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEKNQNKKNMQHKNAITNGVVIITVYVESLRKRSIKKTNNPNPRYKISKSYDRRAQLLAYSRELRKNALSEEKVEQQIPSNESLPRTKSKASSLLSSLVTTWISSNTKNV >OIW02780 pep chromosome:LupAngTanjil_v1.0:LG11:1132507:1136028:1 gene:TanjilG_29556 transcript:OIW02780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVQVINLGEGSGRQAMIGDGDAEPREGEINNAENSGSHVEVGISEPYLGMSFDSEDAAKTFCNEYARRVGFVSKAGPHGRSKADGANMYREFVCGGEGLKKRLTESCDAMIRIEQKGQSKWFVTKFLKEHSHSMSGSTKVHNNRPRKHFSSVGRTMPETYQGLGLVPSGVMYSMDGNRNSNENLHGIRNIPAAAALVETGHPVKNPTGAIYVSTDTNRNSNESLHGIKNISAAAAAVETSHHVKNSTLMNCTVRPHVRKRTLGRDAQNLLEYFKKMQAANPGFFYAIQLDEDNHMTNVFWADARSRTAYSHFGDMVNLDTTYRVYQYRVPFAPFTGINHHGQRILFGCALLLDDSEASLLWLIKTFLTAMNDRHPVSITTDQDRAIKIAVSQVLPHTRHCISKWHVLREGQEKLAHVCHMHPKFQAELYNCINLTETIEEFDSSWNSIIDKYELGRNDWLQSLYNARAQWVPAYFRDSFFAAISPNQGLDGSFFDGFVNQQTTLPMFFRQYELALESCLEKEIESDAETICTTPVLKTPSPMEKQAADLYTRKIFSKFQEELVETFVYTANRIDEDGPNIKFRVAKFEDDQKAYMVTINHSELRASCSCQMFEYSGILCRHVLTVFTVTNVLTLPSHYILKRWTRNAKNSVGLEECGAESHGQESVASRYSNLCREAIRYAEEGAISVETYKAAMNALREGGKKVSVVKRSVAKVAPSSYLAGGTAYDDKKTPASASNTTPLLWPRQDEITRRFNLNDIGAPVQSVTDLNLPRMAPVSFQRDDSPTENMVVIPCLKSMTWVMENKNSTPGNRVAVISMKLHDYCKNPSTESEVKFQLSRVSLEPMLKSMAYISEQLSTPANKVAVINLKLQDAETTSGQSEVKFQVSRDTLGAMLRSMAYIREQLSSAVIREQLSSVGVGDVQSEPILKKHRK >OIW01763 pep chromosome:LupAngTanjil_v1.0:LG11:18512075:18514271:1 gene:TanjilG_03901 transcript:OIW01763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKYMKKAKPKTEKQLLLLESTTTYFGVRTRAKTLALRNSQSGSQFSPISGGSYLQLRSRRLHKPPILVPTHNSSSKRQRIQNPKSPILKPRSGSRVGNGSNVASGEVEKEEQKEIVHENVVGAAVEETSFGENCLDFEGRERSTRESTPCSLIRNPDAIRTPGSTTRPTRSTDQRTEHAARRQIPTAHEMDEFFAEIEETQQRQFIEKYNFNPMDEKPLPGRYEWEKLKS >OIW01285 pep chromosome:LupAngTanjil_v1.0:LG11:34652083:34656180:1 gene:TanjilG_10446 transcript:OIW01285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIFRLFGDMTHLASVLVLLLKIHTIKSCAGVSLKTQELYALVFASRYLDIFTNYISLYNTTMKLIFLGSSFSIVWYMRYQKIVRRTYDKDQDTFRHYILILPCLLLALVINERFTFREVMWAFSLYLEAVAILPQLVLLQRTRNIDNLTGQYVFLLGAYRALYILNWIYRYFTEPHFIHWITWISGLVQTLLYADFFYYYIQSWKNNQKLHLPA >OIW02460 pep chromosome:LupAngTanjil_v1.0:LG11:4241074:4244388:1 gene:TanjilG_05053 transcript:OIW02460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSLFFDPSWLKRQPNVPLNFVWPKECLEDSLEELQAPVLDLDEFLRGDNEATLHVAKLIRKSCLSHGFFQVINHGVDPALIGEAYDQMDAFFKLPIHRKLNILKTRGSLWGYSGAHADRFASKLPWKETLSFPFHGNSLEPVLTNYFHSTLGEDFEQAGVVFQKYCEAMKGLGMKLVELLAISLGVDRLHYKDLFEEGCSIMRCNYYPSCQQPNLVLGTGPHCDPTSVTILHQDEVGGLDVFADKKWQKVKPHPNALVVNIGDTFTALSNGRYKSCLHRAVVNQYKERRSLAFFLCPKEDKVLRAPEAIVVKDGTKQYPDFTWSNLLEFTQKYYRADEDTLQNFTKWLLSSKP >OIW02681 pep chromosome:LupAngTanjil_v1.0:LG11:1882890:1884770:1 gene:TanjilG_29457 transcript:OIW02681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIVHVITIVSFLALLASTQAKIPGVYSGGAWQTAHATFYGGSDASGTMGGACGYGNLYSQGYGVSTAALSTALFNNGLSCGACFEIKCANDPSWCHPGSPSILITATNFCPPNYALPSDNGGWCNPPRPHFDLAMPMFLKIAQYRAGIVPVNYRRVPCQKQGGIRFTINGFRYFNLVLITNVGGAGDIVRVSVKGSKSGWMSMSRNWGQNWQSNSVLVGQSLSFRVTASDRRTSTSWNIAPSNWQFGQTFIGKNFRV >OIW02724 pep chromosome:LupAngTanjil_v1.0:LG11:1535241:1537811:1 gene:TanjilG_29500 transcript:OIW02724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGELTQTEVYSSPPSNSPRTQQLWKSMLSWLTFFFKIIRALVHYPLLSFSSSNTSFKPLPSIELHGHLDSAAASVEITDADSDHHPSHKLMVVLDLDETLVCAYETSSLPASLRTQATEAGLNWFEFECAPSDKEGEGKPKISHVTVFERPGLKEFIRQLSEFADLVLFTAGLEGYARPLVDIIDMENRFSLRLYRPSTTTTEYREHVKDLSCISKDLCRIVIVDNNPFSFLLQPVNGIPCIPFSAGQPHDTQLLDVILPLLKQLSEQKDVRPMLYEKFHMSDWFQKQGIPASFWT >OIW01298 pep chromosome:LupAngTanjil_v1.0:LG11:34774662:34778069:1 gene:TanjilG_10459 transcript:OIW01298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFDDGSFNVEVENGVMGSISCEEEGNRASIEDAVKILLLGLGEDINREGIRKTPFRVAKALREGTRGYRQKVKDIVQGALFPEAGLDDRVGHAGGAGGLVIVRDLDLFSYCESCMLPFQVKCHVGYVPSSQRVLGLSKLSRVSEVFAKRLQEPQRLADEVCAALHQGINPAGVAIVLHCSHIHFPDLESVFLDSNHQRWLKILVSSGTGVFENKNADEWADFYSLLKFRGINIEKVHVRGSSYQSWCTQSSLSAKVSSKIGPVNPVMATAVASIIKSLGEDPLRQELVGTPSRFVRWLMNFQTSNLDMKLNGFLHSGIDSLKTNGDVNSSDKKLHSDLNLPFWSQCEHHLLPFHGVVHIGYLISDGYIPIGKSTLQSIVHFYGFKLQVQERLTRQIAETISPLLDGDVIVVVEASHTCMISRGIEKFGSSTATIAVLGRFATEHALRASFLESIPSPTSSEGQ >OIW01700 pep chromosome:LupAngTanjil_v1.0:LG11:20163454:20167094:1 gene:TanjilG_01207 transcript:OIW01700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTIAGKAVTLVRKIPIADPKTVFVGDVVVLKDPEKADNFLVRRLTAVEGYEMVSTDEKDEPFVLEKEQCWVASDNENLKAKEANDSRKFGPVQMTDIVGRVIYCLRNAVDHGRVENSDFSMQKDSPVLEVELDVDEMVKSQKD >OIW02808 pep chromosome:LupAngTanjil_v1.0:LG11:952258:952632:-1 gene:TanjilG_29584 transcript:OIW02808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAISLRKGNTRLPPEVNRVLYVRNLPFNITSEEMYDIFGKYGAIRQIRIGTNKDTRGTAFVVYEDIYDAKTAVDHLSGFNVANRYLIVLYYQQAKMSKKFDHKKKEDEITRMQEKYGVSTKDK >OIW02015 pep chromosome:LupAngTanjil_v1.0:LG11:10443655:10444818:-1 gene:TanjilG_11608 transcript:OIW02015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNASHAGVISIYWGQNGEEGSLVAACNTGNYAIVNIAFLSSFGNGNSPTLNLGGTSNKRPLGNAILNGIDFAIVTGSTQHWDELAKAISGYNVKGNKIYLSAAPQCPIPDKWLNSAIETGLFDYVWVQFYNNPPCQYNRNAENLKSYWKKWIVSKGGKIFLGLPAAKNASGSGYIPPEVLKAQVLPFIKNYPKYGGVMLWSKFYDQGYSSAIKTQI >OIW01948 pep chromosome:LupAngTanjil_v1.0:LG11:11902888:11909338:-1 gene:TanjilG_13812 transcript:OIW01948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEFTGDGGAELVVSPASLTMSGSFKECRGSSTRRRGSMRPPSMDADEFMNLLHGSDPVKVELNRLENEVRDKDRELSEAQAEIKALRHSERLREKAVEELTEELSKVEGKLKLTESLLESKNLEIKKINDEKKASMAAQFAAEATLRRVHAAQKDDDMPPIEAILAPLEAELKLARQEMAKLQDDNKALDRLTKSKEAALLDAERTVQVALAKASMVDDLQNKNQELIKQIEICQEENKILDKMHRQKVAEVEKLTQTVRELEEAVLAGGAAANAVRDYQRKVQEMNEERKTLDRELARAKVTANRVAVVVANEWKDANDKVMPVKQWLEERRFLQGEMQQLRDKLAIVERTARSEAQLKEKYHLRLKVLEDSLRGNLNSNNRGTSEGKSISNGSSRRLSLGGADNFSKLTSNGFLPKRTPSSQLRSSLSSSTILKHAKGTSKSFDGGTRSLERSKILLNGTPPSYSFNQSLEETKDREANDDWKGNPDDKPNDFFTVDKEDSVPGVLYDLLQKEVMALRKAGHEKDQSLKDKDDAIEMLAKKVDTLTKAMEVEAKKMRREIAAMEKEVAAMRVEKVQDNRAKRFSNVKAPVNSAQHQLISGRNVTRGGLTRSTQ >OIW01539 pep chromosome:LupAngTanjil_v1.0:LG11:28535185:28538149:-1 gene:TanjilG_19465 transcript:OIW01539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKGNWFSAVKKAFSSDSKKDKKSHKSKKKSSRHHKDSEPAFEVAQVALAPPLPQIQDVKLAEAENEQSKHAYSVALATAVAAGAAVAAAQAAAEVVRLTSMPHYHGQTKEEVAAIKIQTAFRGYMSRRALRALKGLVRLKTMIQGQSVKQQATSTLRCMQTLARLQYQICERRIRMSEENRDLMRQLQQKHEKELEKLQAAVEEQWDDSWQTKEQIETKFLHKQEAALRRERALAYAFSHQKMAKNSSKSANLTFMDPNNPHWGWTWLERWMAARPWEGQSTMDPNDYAPIKSAASHVSKVSRVGRKGSQSGSHNSPSTPVSKAPSSSVNGKARPSCSKGSGWGDEDSRSMLSVQSERYRRHSIAGSSARDDESLASSPAFPSYMAPTSSTKARTRTQRPSPLSMEKNGTPDKGAAISSKKRLSFPASPVASRRHSGPPKVEMFQ >OIW02636 pep chromosome:LupAngTanjil_v1.0:LG11:2412620:2417775:-1 gene:TanjilG_24087 transcript:OIW02636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVQTCGRPIDSLLEKVLCMNILSSDYFKELYQMKTYHEVIDEIYNQVDHVEPWMTGNCRGPSTAFCLLYKFFTMKLTVKQMHGLLKHPDSPYIRAVGFLYLRYIADPKTLWNWYEPYVKDDEEFSPGSNGRMTTMGVYVRDLLLGQYYFDTLFPRIPVPVLRQVVSNLEKLKLPTTHCGTTGETTRHGSDDPARRPPSVKASLSVSFGQRAPHRASTRDSSPIRRTIPPPPPHERNGNDDIRKSPNSRRSQSREYPDRDRDRDRSRSRDRDRDRDRDRNRDWERERERDRERYRDHDRDQDRDRIRRNRERERERERSLDYDRRSKYTQRESSRDYHGNDSRHRHSRSRSRSRSRSLQAGTTCLESRSSPLMDANKTSASSNLAKLKNIYGDLGDNKGDANMERIPRRDNGGEEVIRLGGSTWKY >OIW02123 pep chromosome:LupAngTanjil_v1.0:LG11:8668590:8672066:-1 gene:TanjilG_26663 transcript:OIW02123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRKRDKPYFSRHAPYTAYKRRRPPPEPPLEVEEDKPTMRPAPPSALVVMGLPQDCSVLDLKSRFEIYGPIGRIRIDRDAVGYITYRNKESADAAIAASVDPSFGITVDSKKVQVLWATDPLAMWREGVGNNKDKGSMSKLVRAEIPLRKHGRGNRLASAIGNTKTTEDSSGSSVLQLPFGGREIVAYDDIL >OIW01707 pep chromosome:LupAngTanjil_v1.0:LG11:19653883:19654329:1 gene:TanjilG_12199 transcript:OIW01707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSTLGGGERGLNMLMVSALGGGERSLNLFMVSTLGVGERDGGEQGALGGGEWGLNLLMVSTLDGGERGLNLVMMYALGGGERGALGGGEWGLNLLMVSTSRGGEWDLEWLMVYV >OIW01613 pep chromosome:LupAngTanjil_v1.0:LG11:23023034:23023558:1 gene:TanjilG_31203 transcript:OIW01613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRKSFLTKPNYIFPATPDTNFIPKSSESVFDFDEADVWNISNTTTNNTTYEAKKSIPTLKISSRKVDAAGRVTHTPVGPASMPVNIPDWSKILKGEYKEHKKWDTDDDDEDFGDDDKDEEGHHVGIRVPPHEYLARTRAASLSVHEGIGRTLKGRDLCSVRNAIWKKVGFED >OIW01593 pep chromosome:LupAngTanjil_v1.0:LG11:23634701:23638455:-1 gene:TanjilG_08774 transcript:OIW01593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSSQSSGYDLSFKILLIGDSAVGKSSLLLSFISNSVEDIAPTIGVDFKIKLLTVGGKRLKLTIWDTAGQERFRTLTSSYYRGAQGIILVYDVTRRDTFTNLSEVWSKEVELYSTNQDCVKVLVGNKVDRDSERVVSREEGLALAEEFGCLFFECSAKTRENVDQCFEELALKIMEVPTLLEEGSSAAVKRNILKQNQQPQASQDIGCCF >OIW02336 pep chromosome:LupAngTanjil_v1.0:LG11:5699939:5704292:1 gene:TanjilG_11230 transcript:OIW02336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISLLKTPTSVLHRNHNQLAFVSREGRAMSFVPISQNNNRRSRSFPIVSMAATPVQTFLEKSVPSSQNTGHPIMVNACTGKMGKAVIEAAQAAGLHVVPVSFGLEEESGKTFQIGEIEFLVHGPSDRESVLASVLDKYPDLIVVDYTAPTAVNGNAELYCKLGVPFVMGTTGGDRELLRKTVEDAKVVAFLAAMEIMAEQFPGAFSGYSLQVLESHQAGKADASGTAKAVISCFEKLGVSFNMDEIQLIRDPKQQLEMVGVPEEHLAGHAFHMYHLTSPDETVSFEFQHNVCGRSIYAEGTVDAALFLAKKIESKDQKRIYNMIDVLREGNMR >OIW02536 pep chromosome:LupAngTanjil_v1.0:LG11:3168716:3171009:-1 gene:TanjilG_12850 transcript:OIW02536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSDSRFSNQQCLAFWVLFSFSMIMEREFAVLFNRLPWWPNVKSMATILLLIPYFGGSLHIYKYLIKHYCTWNICGKDLNIVNQNSTHVVFEEDSKLIHVTEQTIIPSQIQEKKLTVNQGRYEVAVNYTRPTFTMQVQKEWSCALCQISTTSENCLLAHLQGKKHKTKESEVRNMLHLTDNKYLPSSKGIVLLRNLNQIAKILNPVSRSIRLCEWIKPNFGWMKLNTDGSLNNEIAGFGGLLRDHMGEPICAYVSKAPQGDVFLVELWAIWRGLVLSLSLGITALWVESDSMSVVKTINKEQPSCPKAYGCLEQIWKLLSKFDKYHISHSWRETNRAADHLAKMVVLGNDVILWPIDFPCSLRNIIDDDAKGKKYIRRKVIL >OIW01811 pep chromosome:LupAngTanjil_v1.0:LG11:17597961:17598610:1 gene:TanjilG_07528 transcript:OIW01811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VYPLLAAMTFVTSMCTFQLARNMLQNPDVRINKTRRSMGVLDNKEEGEKYAEHGFRKFLRTRPPEVMPSINHFFSEDK >OIW01418 pep chromosome:LupAngTanjil_v1.0:LG11:30863893:30864276:1 gene:TanjilG_25714 transcript:OIW01418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRASNSGCVTIQSLGLSSGTTSPLHAHVARRQKIIISAMALRGTNAILGCQKSISKDSSRRVSSLLSDSEVKLQKLLLSNLKPKQNITFCYYQHNMAKRRTYIFINKEQTVMERDDQHTKRRNRKGR >OIW02252 pep chromosome:LupAngTanjil_v1.0:LG11:6306510:6307544:-1 gene:TanjilG_15135 transcript:OIW02252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPIIVMFLLAVTVTGVVNLPTRVNSVDLPKFSEAPAFRNGKECPRIAEKRYHNPSIIHIAMTLDATYLRGSVAGVLSVLQHSSCPENIVFHFIATTQRRHELRRIITTTFPYLNFHLYHFDSNLVRGKISYSIRRALDQPLNYARIYLADLLPATVRRILYFDSDLIVVDDVAKLWQIDLGSHVLGAPEYCHANFTYYFTHRFWSNPIYAASFKERNACYFNTGVMVIDLLKWREGKYTEKLEHWMKIQKRHRIYELGSLPPFLLVFAGDVERVEHRWNQHGLGGDNLEGLCRDLHPGPVSLLHWSGKGKPWLRIDSKKPCPLDSLWAPYDLFRHTTSFFSDS >OIW01906 pep chromosome:LupAngTanjil_v1.0:LG11:13959098:13962750:1 gene:TanjilG_15231 transcript:OIW01906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFFVFIPALVCGSLARTITLRTLGWLLIKIAKVPHHLQGLVLGCCAAGNLGSLPLIIIPSICKERGNHFGDVDVCHSHALAYASLSMALGVTFTWFYGYNVVRVYSSKISNVLKDSDCTENPLSGTETDLENLSKCSIGASVIAEENSQTNDQVKQLERECTMPDEQAKVPKKEKIAKLLKMNLKVLFSPSTIGAIVGLIIGVVPNFRKLLVDDNAPLRVVHDSVVMLGDAGIPTITLLVGANLLKGLKGLGKQLPLIIGITLVRFIALPAIGICIVRGAVHFGFIHPDPLYQFLLLLQFAVPPAIAMREFQNQQKDHKSAVLDWC >OIW01706 pep chromosome:LupAngTanjil_v1.0:LG11:19627585:19628811:-1 gene:TanjilG_12198 transcript:OIW01706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTPDGSLLDILRNAHDLLSQCNIRLPEIKANENYVDSGPPFLILLHPALGPLWEVTRQKLNGSLIIKTENVMGSMKINESDLSHGA >OIW01611 pep chromosome:LupAngTanjil_v1.0:LG11:23087793:23087942:1 gene:TanjilG_10920 transcript:OIW01611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRHLDFHDKADRIQNAILNTIAEGKYRTADLGGSSKTTEFTAAIIDHL >OIW02615 pep chromosome:LupAngTanjil_v1.0:LG11:2526093:2530142:1 gene:TanjilG_24066 transcript:OIW02615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSVMILDPKPLLEPSPSPPAILSDHLKFFGESSNDDDLYSRLKTLQRQLEFIDIQEEYVKDEQKNLKRELLRAQEEVKRIQSVPLVIGQFMEMVDQNNGIVGSTTGSNYYVRILSTINRELLKPSASVALHRHSNALVDVLPPEADSSISLLSQSEKPDVTYNDIGGCDIQKQEIREAVELPLTHHDLYKQIGIDPPRGVLLYGPPGTGKTMLAKAVANHTTAAFIRVVGSEFVQKYLGEGPRMVRDVFRLAKENAPAIIFIDEVDAIATARFDAQTGADREVQRILMELLNQMDGFDQTVNVKVIMATNRADTLDPALLRPGRLDRKIEFPLPDRRQKRLVFQASLPKFISVCTAKMNLGDEVDLEDYVSRPDKISAAEISAICQEAGMHAVRKNRYVILPKDFEKGYRTNVKKPDTDFEFYK >OIW02092 pep chromosome:LupAngTanjil_v1.0:LG11:9199618:9205096:1 gene:TanjilG_14791 transcript:OIW02092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFGGFLDNSGGVRNLSDIAYNNGTTTDNNYNNRIMPSSAISHPPFMTSTLAKSMFNSPGLSLALQTNIDGQGDQHVNRSMGENNNSNNNFEVNGLRRSREEHESRSGSDNMDGVSGDEQDAADKPPRKKRYHRHTPQQIQELESLFKECPHPDEKQRLELSKRLCLETRQVKFWFQNRRTQMKTQLERHENSLLRQENDKLRAENMSIREAMRNPMCSNCGGPAIIGDISVEEQHLWIENARLKDELDRACVLAGKFLGRPISTNSIAPQLPNSSLELGVGSNSNGFGGMSTVPSALPSGSDFGIGGMSSPLAIVSHSTRPTPGAMVSGFDRSMERSMLLELALSAMDELVKLAQTGEPLWIRSLEGGREILNNEEYLRTFTPCIGLRPNGFVSEASRESGMVIINSLALVETLMDANRWAEMFSSMIATTSTTEVISNGINGTRNGALQLMNAELQVLSPLVPVREVNFLRFCKQHAEGVWAVVDVSVDTIRETSGAPTFPNCRRLPSGCVVQDMPNGYSKVTWVEHAEYEESQVHQLYRSLLSSGMGFGAQRWVATLQRQSECLAILMSSATLARDHSAITAGGRRSMLKLAQRMTNNFCAGVCASTVHKWNKLNPGNVDEDVRVMTRKSVDDPGEPPGVVLSAATSVWLPVSSQRLFDFLRDERLRSEWDILSNGGPMQEMAHIAKGQDHGNCVSLLRASAMNSNQSSMLILQETSIDAAGSLVVYAPVDIPAMHVVMNGGDSAYVALLPSGFAIVPDGPHNGGANDSNNGGENRVSGSLLTVAFQILVNSLPTAKLTVESVETVNNLISCTVQKIKAALHCES >OIW01573 pep chromosome:LupAngTanjil_v1.0:LG11:24476785:24482342:1 gene:TanjilG_21153 transcript:OIW01573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKRTTTHEKKKKIISFDMIPKCSQQPRSSPPKRRTDFSVFTSTPRHSSIFFNPDSSPGSSSGEIRSSNVIASSLKEKKLGALHFPEPTPARCSNSHDHRSVSSSELSDDPVMEVDSDQDRNLASNRDASNKKNDIFKMSESTPHGSPVSDSNYFAVTPGSVVWARTACQMWWPAEIMEERSTLSDSACDGHVLVQFYGNRPSAWIDPRTNISAFEDCFEERSTNPSCDFQEALKQALQRKEQLSSSRTLSSDRSTHSEQQDHSSDKWTSCTSSRIMDDFQERRRGKRERKRKVHFDEVSFPMKSERKARRLKIMRYLGLVAPIGSPF >OIW02135 pep chromosome:LupAngTanjil_v1.0:LG11:8220437:8224055:-1 gene:TanjilG_06730 transcript:OIW02135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKLYGGLLITSSFMLFMLMILRYGVMKYPVDGGYLTIPVTINGTNPLEWINSVIPPAVQNPDSDSQVISTDILISSLFSGSSFTKEEQQALQTWNRLKHLLDHAQGLPNAAGAIKEAASAWNNLVSSIEEQRQGHANDSSRTNEKQCPHFLNKMDSTEVGNSSYKLQVPCGLTQGSSITVIGVPNGLLGDFRIDLTGELLPGEPDPPIILHYNIRLHGDKITEDPVIVQNSWTVAHDWGGEERCPSLNPEKVTKVDELEQCSKIVGKNISQHYVASMRSHTSRRFSEAEEQSENNKYFPFKQGYPFVATLRVGSEGIQMTVDGKHITSFAFRETLEPWLVSEIKISGDLKLISVLASGLPTSEDSEHIIDLESLKASSISAQTSLDLFIGVFSTANNFKRRMAVRRTWMQYNAIRSNTTAVRFFVGLHKSQIVNEELWKEAQTYRDIQLMPFVDYYSLITWKSLAICIFGMEVVSAKFVMKTDDDAFVRVDEVLGSLKKINVAHGLLYGLINSDSEPHRNSDSKWYISPQEWSEATYPPWAHGPGYVISHDIARTVYKKYREGHLKMFKLEDVAMGIWIADMKKEGLEVRYENEGRVYNEGCKDEYVVAHYQGPREMLCLWQKLQEGKGAKCCGARR >OIW01716 pep chromosome:LupAngTanjil_v1.0:LG11:19378449:19384722:1 gene:TanjilG_05169 transcript:OIW01716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDENHENDALTNPKPSTEHDIADELNLKMKKYLRGKVNDLGALKDKKLRTQLTIREELYGKSAKAAAKAEKWLMPSEGGYLEPEGIEKTWRIKQDAIAREVDIRSSRNQYDIVLPDLGPYTLDFTSSGRYMAIGGRKGHLGIVDMINLSLVRELQVRETVRDVVFLHNELFFAAAQKKYLYIYNREGTELHCLKEHGAVLRLQFLENHFLLASVNKFGQLHYQDVTMGAMVGNFRTGLGRTNVMQVNPFNGVVGLGHSSGTVTMWKPTSSAPLVKMLCHRGPVSALAFHSNGQLMATAGQDKKVKIWDLRKFEVLQTLPGHASSLDFSQKGLLACSNGSFIQVLSDASGTHNYSKYMTHSMVKGYQIGKLAFRPYEDVLGIGHSQGWSSILIPGAGEPNFDSWVANPFETSKQRREKEIRSLLDKLPPETIMLDPSKIGTVQTTKKEKKTKQERESEMEAAVEDVKGRKMKNKTKGRNKPGRVAHKKQEALAKVKRPYIEQKVQEEENVSNKKQKTSEGVELPKSLQRFARKKASS >OIW01895 pep chromosome:LupAngTanjil_v1.0:LG11:14408018:14409934:1 gene:TanjilG_25694 transcript:OIW01895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWSDPEDIETWAVSPRGAGWLFGSRVSSEFNHINNLDLVCRAHQLVQEGLKYMFQDKGLVTVWSAPNYCYRCGNVASILSFNENMEREVKFFTETEENNQMRGPRTGVPYFL >OIW01299 pep chromosome:LupAngTanjil_v1.0:LG11:34780144:34783652:-1 gene:TanjilG_10460 transcript:OIW01299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANKLMHALQYNGYGGGSSGLKHVEVPIPSPSKDEVLIKLEAASVNPFDWKVQKRLAWPLLPRKFPHIPCTDVAGEVVEVGVGVKKFKAGDKVVGFVSPFSGGGLAEFAVVKESQTASRPAEIPASQCAGLPVAGLTALQALTQAIGIKLDGSGDRKNILITAASGGVGHYAVQLAKLGNTHVTATCGARNIELVKSLGADEVLDYKTPDGAALKSPSGKKYDAVIHCAEGFPWSTFDPNLSAKGKVVDITPSSSAIMTFALNKLTFSKKQLVPLLLIPKGKNLQFLVDLVKEGKLKTVIDSKYPLSNAQDGWAKSIDGHATGKIIFES >OIW02914 pep chromosome:LupAngTanjil_v1.0:LG11:233718:238492:-1 gene:TanjilG_29690 transcript:OIW02914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEGESANVVSNTDSNGNCLPGKTLNGVSEKKDLEGDQGKASGDNGVKDLKEDVIKEMEEDQKAGGEVKHNNEVDDEEEVKEDKEIGSVEGKKEDNKDDNVFESEKLNEEAGVKETVYSKEEKENVEAKKPDLDVMEAMDAHKGKNESSEKETIREEEKSEDKVDKPKDEEKVEDSKIEIGLIKFEKGKNIGEKVISKRQETVDSKGEKESAEAKKPEVVVMEEVAVPEDKDERRKKNKVQEEEDEDKINKLKEEEKVDDSKVEKELRKHSKGKINGEKVKRKRKETVHSEKEKESAEAKTPELDAMDEEGVSKDKDESSEKEKFQEEGKEEDEEKGSKKYRKGKINREKVEGKREVLKETESRTPAISRPVRERKTVERLVTSIEKDANKELHIAKAIQVKSNILRFSGFVWHENEEKQMVKVKEKLDRCNKEKLLEFCDLLDIQISRATTRKEDIIAKLIDFLVAPQATTTVLLAEKEKLIKGKKRKRTTKPSSRSGTSSGHAAKSPKKIEGSPVAGERKSTTDTEDESEVEGKDEEAEENDNGVPDKCDDEIPENSESEGKDDSDHEPEDVKKPRKINKASSTKKESAAKSKIPQNTVTTKPRSAPKRTSKKSSTIRSEFDDDDDSDAGPKVSTRKKKIEKGGKKKTSSLNKSSSKEKTAEKISKGKGKSKEKLDPSVDQLRDAICKILKEVDFNTATFTDILKQLAKQFDVDLTPRKASIKIIIQEELTKLADEADDEEDDDAENAET >OIW02100 pep chromosome:LupAngTanjil_v1.0:LG11:8392818:8404631:-1 gene:TanjilG_26640 transcript:OIW02100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSVFNKLRNLDAYPKINEDFYSRTFAGGIVTVVSAAVMLFLFLSELRLYLYTVTESKLLVDTSRGETLHINVSTAVLYICAFDVTFPAVRCSMLSLDTRDISGEQHFDIRHNITKKRIDASGNVVEVKKEGLGAPKIERPLQKHGGRLGHDEEYCGSCFGAEESDDHCCNSCEEVRDAYKKRGWGMTNADLIDQCKREGYVQKVKDEEGEGCNIHGSLELSKVAGNFHFATGKSFLHSAIFLADLLALQDSHFNISHTVNKLSFGNHYPGLVNPLDGVKWVQGPTPGIYQYFIKVVPTIYTDIRGRVTNSNQYSVTEHFTSSEQGAIPGVFFIYDISPIKVTFKEEHISFLHFLTNICAIIGGIFTIAGIVDASIYYGQRTIKRKREIGKYR >OIW02183 pep chromosome:LupAngTanjil_v1.0:LG11:7536813:7540272:-1 gene:TanjilG_02407 transcript:OIW02183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKLTLHRNRHTSWHLAQKTHLVEENGESEMGFDENPFKVNGEEEMGYQKKEQLAEGIVGEIVELARNISENVTLEEALTEFEGRGVSKVECLEILEILGEEKLLFPCLYFFQWMGLQEPSLVTPRACTVLFPMLGIARMGDKLMALFINLPSTEEFRDVHVYNAAISGLRASGRYEDAWKVYELMEADNIHPDDVTCLVMIIVMRKLGRSAKDAWHFFEKMNRKGVKWSEEVIGALLKSFCVEGLMSEALIIQSEMEKKGVSSTAIMYNTLIDAYCKSNRLEEAEGLFAEMKAKGIKPTEATFNILMHAYSRRMQPKIVEKLLEEMEDAGLKPTARSYTSLISAYGKLKNMSDMAADAFLKMKKAGLKPTPHSYTSLIHAYSVSGWHEKAYAAFENMQKEGIEPSIETYTALLDAFRRAGDTETLMQIWKSMISEKIEGTQVTFNTLVDGFAKQGHYIAARDVISEFGKAGLQPTVMTYNMLMNAYARGGQHLKLPQLLKEMDAHKLKPDSITYTTMIYAFVRVRDFTRAFFYHKKMVKSGQLPDVNSYKKLRAVLDDKAAMKNKKDRSAMLGIVNRKMRIMKPKGKKDEFWKFKKRHVRET >OIW01160 pep chromosome:LupAngTanjil_v1.0:LG11:35352719:35357020:-1 gene:TanjilG_17717 transcript:OIW01160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTETNEGNANGTEECPVEQTPLSSGNEDVEVNITGCINSGKAIEDASEDLTECSSSFGDTGSDVEAGSAFSDTEVESQMCAGNASSPMCDDWSEPPRIRKRKMTTHWRRFAGPLMWRCKWLELKLKILHSQQLKYDKELRAYNYKRQLEFSKYAVDGFDVKSVPISNGIHRNKVMKRKKRKKGEECDVSSYMSSHNIFSYYENKDPADGACLEDFPGVATRVNAGNNEDLGLNVGGFSADHEDNGKFLDEIVRKIEAIESHVQKLKTQIDKVVSENPGKFRSATQSSNIGPIGGFNHSDLNSASFAGNENTFLVSFVHASSQLKYELYNADLLMPGNTLPTHEGITPLIETIIRPQLEVPSENDVVLIQNQVVKEELHDLDNVGNELVEEPVEEPKSISLAQVSEPDLVDENAPHNARPNLKACSTSKPNYPRNTRRRRKSGRKSWRRR >OIW02262 pep chromosome:LupAngTanjil_v1.0:LG11:6422132:6422611:1 gene:TanjilG_15145 transcript:OIW02262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVSTGSGKGGGLLEKPVIEKVTPGRESEFDLKKSRKTAPPYRVMLHNDNYNKREYVVQVLMKVIPGMTVDNAVNIMQEAHYNGLSVVLICAQADAEDHCMQLRGNGLLSSIEPASGGC >OIW01993 pep chromosome:LupAngTanjil_v1.0:LG11:11437725:11438192:-1 gene:TanjilG_14024 transcript:OIW01993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLKHHQTKVPMILNWILVLVCVTTPIKAIQTRKLDDTTIPSTTPSSDEKCTPCGTPNLSPPPIEYLSPPPPIVYPSPPPPPPLIYPSPPPPSPKKPPSKYCPPPPSSYIFITGPPGPSLYPVVQNFNGATPFNYGSFSTFLPLLVGLFSLLAFM >OIW02637 pep chromosome:LupAngTanjil_v1.0:LG11:2410430:2411285:-1 gene:TanjilG_24088 transcript:OIW02637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKAHTVGVAMDFSATSKLALQWTVDNLINRGDQIILITVQPPKSDHTRKELFETTGSPLLPLEELREMNLTKQYGIARDPEVVDILDTVSKTKGAKVVAKVYWGDPRDKLCSAVEDLHLDSLVVGSRGLGTIKSVLLGSVSKHVVTNASCPVTVVKGNQSSNSKH >OIW01463 pep chromosome:LupAngTanjil_v1.0:LG11:30137664:30137849:1 gene:TanjilG_30937 transcript:OIW01463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGVADTSRSVGMGRDSGGCWLLDLVARGLMWAVMAAGVTVLAGLVVLRGDGRWGAALLRY >OIW01708 pep chromosome:LupAngTanjil_v1.0:LG11:19677217:19678664:1 gene:TanjilG_12200 transcript:OIW01708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMKSCGMVLLLRRSKIDLVGTKWKEAEEVEGFVNLVLARVCKEKGFVKSHSPATDVDTISKFRLRSPPPKVPRSSPPKADTINKFRPRSHPPKAPRSPSPKVDTTNKFRPRSHPPKAPRSPPPKTDTINKFRPRSHPPKAPRSPPPKANTINKFRPRSRPPKVPRSTPPKSNTINKFRPRSHPPIAPHSPPPKTDTINKFRPRSHPPKAPHSPPPKVDTINKFRPRSRPPKAPRSPPPKADTINKNPHHSSPPPHYN >OIW02916 pep chromosome:LupAngTanjil_v1.0:LG11:220248:227468:1 gene:TanjilG_29692 transcript:OIW02916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDDQWNIGFITRKICVGALSTPASRGAPNRLLKTHKLNLEVSPHRAVSAVRLMRIELGGAFADLLNEKGKGSGENEMGYVQRTLGFRTRELSHHDLRLVTDIVGGTIRWRRYLDHLISSLCHDKDISSMEPLLLQILRIGFYEIVKLDMPPYAVVDENVRLAKVALRSGAGNMVNGILRKLVVLKENETLPMPKVEGDDRAQARALATLYSHPVVPHKLSSHLDEFVRVKTGLQSVIQAGLLKKGLCSVQDESAGLIVSVVDPQPGETIIDCCAAPGGKTLYMASRLSGQGMVFAIDVNRGRLRILKETAKLHQVDGVVTTINADLRTMTDIEPLKSNKVLLDAPCSGLGVLSKRADLRWNRKLEDMEELKNLQDELLDAASKLVKPGGVLVYSTCSIDPEENDERVAAFLERHPDFHIDPVDKYVSADFVTQSGFYFSNPVKHSLDGSFAARLVRAL >OIW01534 pep chromosome:LupAngTanjil_v1.0:LG11:28239559:28242889:1 gene:TanjilG_19460 transcript:OIW01534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVSEAESTSGDPRKSDLPGYAVLNTSKGSIIIELHKESTPEVVDEFIDLCQKGHFKGMLFHRVIKHFVIQAGDNEGAGGTEDWNLRGKQHTSVKHEAFMLGTSKGKHLNKRFDLLITTAPIPDLNEKIIVFGQVIKGEDVVQEIEEVDTDEHYKPKVSIGILDVSLTQKI >OIW02256 pep chromosome:LupAngTanjil_v1.0:LG11:6359404:6360870:-1 gene:TanjilG_15139 transcript:OIW02256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTHSFYISSSNGSSSNNNAFFEADEVLDDEASISLSLGLPGQHNNPKPFSISNQSDTTLHQHQNPTTSDDHSGITVALHIGLPSTAENTNPTITKPDHLISNPIQGQYWIPSPAQILIGPTQFSCSVCNKMFNRFNNMQMHMWGHGSQYRKGPESLRGMKPASSMLRLPCYCCAEGCKNNIDHPRARPLKDFRTLQTHYKRKHGTKPFGCRKCGKPFAVRGDWRTHEKNCGKLWFCICGSDFKHKRSLKDHVRAFGDGHAPHTVDSCSDQNMMADDDLLLGDDEDDEEFDEDEEDSNNENDFHF >OIW01205 pep chromosome:LupAngTanjil_v1.0:LG11:33478534:33482743:-1 gene:TanjilG_10366 transcript:OIW01205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASSAASLTGASASDLLTSSTSAFSGIPLRTLGKARLVLKRRDFTISCKLRKVKKHEYPWPDNPDPNVKGGVLSHLSPFKPLKEKPKPVTLEFEKPLVDLQKKIIDVRKMANETGLDFTDQILSLESKYQQALKDLYTHLTPIQRVNIARHPNRPTFLDHIFNITEKFVELHGDREGYDDPAIVTGIGTIDGRRYMFIGHQKGRNTKENIQRNFGMPTPHGYRKALRLMEYADHHGFPIVTFIDTPGAYADLKSEELGQASIAHNLRSMFGLKVPVLSIVIGEGGSGGALAIGCANKLLMLENAVFYVASPEACAAILWKSAKAAPKAAEKLKITASELCRLEIADGIIPEPLGGAHADSAWTSQQIKIAINESMDELSKMNTEDLLKHRHDKFRKIGGFQEGIPIDPKRKFSMKKKDIPIPKKISDAELEVEVQKLKQQILEAKGSSAEPPTLDLDDMIKKLKKEVDHEYSEAVKAMGLADRLSKLKEEVTKANSQNPFADPLLKDKIEKVKAEFDQGVSAAPNYGKLQNKLGMLKELYKLKHLSETNKEAATLKQELMTIFDDVLNNPRIKENYESLKDEIQSIGASSPSDLDDELKQKIIEFRKEVDLQLTNALKSAGLDVKFVSAKQRGDRDEGSLSEYESKFEELNKDIEKEIEYSVNSSDIKSKIELLKLEVAKAGDTPDVDSKNRIAALVQQVKQSLVETVDSSSLKEKYENLMSKVSGENGSSKKVNPTGDTPTNDELKVKVGTNHTFS >OIW01127 pep chromosome:LupAngTanjil_v1.0:LG11:35848522:35854635:-1 gene:TanjilG_25235 transcript:OIW01127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMVMVNPEPLYVAVSKDLKENKLNLIWAIQNSGTKRICILHVHVPPTLIPFMGAKYLPGSLKEQEDRAYQEFERQNMHKTLDDYLLICQRMGVQAEKLHIEMDSIEKGILELISQYNIQMLVMGAASDKNYSRRMMDLRSKKAIYVCEQAPASCHLWFICKGHLIQTREHSLDEGNVEAASPLVQKVPNSEAEHSLHLRSHSHALVQNHGANLTNPGQELFRRVKSANDGHGGSILDVSFQDDTEGYSSPCNQMGVEVCRDESNGLSKRSPSGLSTCPGSTAVEPALTPNLITEGGRMNDTLYDQHEQPKGEAENATRNAYRETFRREKAEKDFMDTIHMAKASGSLYLDELDLRKLAEEELAKEIEELDNIKSQRDKVKEELQLAHNQKSSLESQISSSELMIKELEQKIISAVDLLQSYKNDRDELQIQRDSALREAEELRGKRGETLRMHVSQLFSEFSFSEIEEATSNFNPSLKIGEGGYGSIFKGILHHTEVAIKLLHPNSMQGPLEFQQEVDVLSKIRHPNLITLIGACPETCTLVYEYLPNGSLEDRLACKDNTPPLSWQTRIRIAAELCSALIFLHSSKPHSIVHGDLKPSNILLDSNLISKLSDFGICHILSLHDISSNNTTLFKRADPTGTFAYMDPQFLSSGELTPKSDVYSFGIILLRLLTGRQALGITEEVKYALDTGKLNSLLDPLAGDWPFVKAEQLTRLALRCCEMNRKSRPDLHSDVWRTLEPMRVSSGGTNSFGLCSERHYQPPYFICPIFQEVMQDPHVAADGFTYEAEAIRGWLDSGHDTSPMTNSRLTHHNLVPNHALRSSIQDWLQAR >OIW02865 pep chromosome:LupAngTanjil_v1.0:LG11:525985:526356:-1 gene:TanjilG_29641 transcript:OIW02865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKSWKEKSAKKPLVSLALKGKRWKSTLLGECSFKPSSSKIGYHPPPPPCFNPDDTKKVLSNWKQMRISKQRREAKVKLDLIKNTTGFTDNMDSMRDFEKLIGCKFVSHTISREEFFGGYLN >OIW01219 pep chromosome:LupAngTanjil_v1.0:LG11:33751186:33753876:1 gene:TanjilG_10380 transcript:OIW01219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEEKGCNNSSSSELLSSENTCNEEHSQSSTDESSSPASIGWPVQKIAVSNCNSPHGSEESVNKHLENKEFEKKVSMLPEVEMMKERFAKLLLGEDMSGCGNGVPTSLAISNAVTNLCATLFGQIWRLEPLCKAKKSMWRREMEWFLSVSDHIVELIPTWQTFPDGSKLEVMTCRPRSDLYVNLPALRKLDNMLLEILDSFVNTEFWYVDQGVLAQDVDGPSSFRQALRQDEKWWLPVPRVPPCGLNENSRKQLQHKRDCTNQILKAVMAINSITLAEMDIPESYLESLPKNARASLGDVIYRYIASNHFSTECLLDCLDLTSELQAIEIANRAEASMYIWRKKSTWNSKPASVTAKSSSRTSWEMVKDLMVDDKNELLSKRAESILLSLKQRFPRLPQTALDMSKIQYNKDVGKAILESYSRVLESLAFNMVARIDDVLYVDSLTKHSTQFSSLSKVGVITHKTISVPYSAPVPNNPYKSAFASPNLSTTQGKTYKSSNEKLISESETFDQVAAFETNVESSDNTEKGGSPNIKNERLVIF >OIW02620 pep chromosome:LupAngTanjil_v1.0:LG11:2504078:2505975:-1 gene:TanjilG_24071 transcript:OIW02620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGVSTLTFGSSTAMVVQIQAQHTNLNGKVLTLPGSKRQSLSYKTDSLFFGFVPSTISFKESRTVRPFSTAVASVDSDQISSSDTANKNETKKYYFLVANAKFMLDEEEHFQEQLFERLRYYGERDKERDFWLVIEPKFLDKFPNITKRLKRPAVALVSTNGPWITFMKLRLDRVLTDSFEAEGLEEALASSPTNLEFDKPDKWVAPYPKYEPGWWERFLLHGQNEVKA >OIW01492 pep chromosome:LupAngTanjil_v1.0:LG11:27137895:27140683:1 gene:TanjilG_19418 transcript:OIW01492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDPLEQIDWDTFLNQLPQQLDLDFLFPYSENNNSSCNNNPVVPTADDHNSSPNTVISQIENFLFSDDHANDIVSSTPSSDAEYDKLLSEIFVDEPPPCGESDGGSSRSDKDGVVAGTAEENGADETLSKKERRQVRNRDAAVRSRERKKLHVKDLEMKSKYFEGECRRLGYLLQCCYAENHALRLCLQSRGAAFGAPMTEQESAVLLMDSDHLHVAESLLLGSLLWFMGIMCQLSLPLMLLLTIVLPRENMEQKKGLRKVVLKGPESKISEYFQVQSFVNSRRCRASRTKMKLDFLLFYIL >OIW01540 pep chromosome:LupAngTanjil_v1.0:LG11:28598652:28599341:1 gene:TanjilG_19466 transcript:OIW01540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNEFFSDQEATNHNETTLLQDPYYEEDQEDTLSLCDLPTYSSDSAQWDDYFSIERQSSTQTNDDDDDNDDFFEFSSEEFTTSIHETTAKNIIFCGKLIPFKEPPQQHRNDQTSDVHLPCHKPKHVMSRSSVIPCDAKGSKNNNLCDYASIKKVSLMRSTTKSRWNLFMFGFGVSTEMDLRDIRSRQSRQGPTTMIPASEQGREMVKNKGKKNMKGLWRIVKSFWLLD >OIW02459 pep chromosome:LupAngTanjil_v1.0:LG11:4233781:4234825:1 gene:TanjilG_05052 transcript:OIW02459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCCISIPENHSLENQLHTVQDKLVISQAPPTSNILYPSKKFKHISPSSPTSSTSSSICSFTCTTNSNSTTSSSSLTSKDRSFSNEFLWSCYKENPHIIRINSLKEATHSFIPTKPKATPSSPMSNLAPIRQSPPQKKRVRSNSPVNLTRQKSFRKEVEVDQRLGSSYNLASGMLRSPSPSRRFNGDNTLSKRMSGPKTNVSHSHSSSSSLRKENIKPISPNNSSRKLTHSGLRHRESCSYKVNDSKIDETNMVEEVVSKNGVDSGLIEDIENPLVSFDCFIFL >OIW02613 pep chromosome:LupAngTanjil_v1.0:LG11:2541284:2542111:1 gene:TanjilG_24064 transcript:OIW02613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVQSTLKLPTSSSNFEGNPLCKTMNKPQRKVVRIIVTDHDATDSESSSDEEQKKKQNRVKREITQITMHFPFYDSPSSSSCSRSCSSFSSSSEQCCKKYKRPKKSPSSTASAGVRHSNKFRGVRQRPWGRWAAEIRDPNRRKRVWLGTFDTAEEAATVYDKAAVKLKGSNAITNFPAPANENEVMTQATAGEVQSVDGGSSYSNAVASPTSVLPYHGDSTPFDGFSYGDVDAFGFDIDMALSLPDVNVMLTCQRFGKEEVFGEFDLDEFMTWPS >OIW02609 pep chromosome:LupAngTanjil_v1.0:LG11:2582661:2586224:1 gene:TanjilG_24060 transcript:OIW02609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSMKENKEDFKLEHGYVETDPSGRYGRFEDVLGKGAMKTVYKAIDEVLGIEVAWNQVKLNEALRTPDDLNRLYSEVHLLSTLKHRSIIEFYTSWIDVDNKAFNFVTEMFTSGSLRVYRKKYTGVSIKAIKSWARQILQGLVYLHGHDPPVIHRDLKCDNIFVNGHLGQVKIGDLGLAAILHGSQPAHSVIGTPEFMAPELYEEEYNELVDVYSFGMCVLELFTSDYPYSECSNPAQIYKKVISGKLPIAFYRIEDMEAQKFIGKCLGPASKRPSAKDLLIDPFLMSDESSSPAKFGIQKPLLNNIEMAKLHLSDNLTRTEMKIIGKLNPGDDTIFLKVQIPDKDGSVRNVFFPFEISTDTPIDVATEMVKELEIADREPFEIANMIEGEISTLLPHNREINRSDACNTFSYEDDDYDNGPHHHYRTFSSCSSSQESISGLDSGNYWPHDDLLDDTSSRCWSQATYSDLNYYSMDDQEYNVSSIRKDKLPIIKSHKCTRFSPNEEFSNCNQCKVVIGSLTPSTSKSKNVIDNRRLTRNKSLIDLRSQLLHKSLVEEVHRRRLFQTVGSVENIGYQAPCDVSTQNVSSRSGKQEKLKR >OIW01584 pep chromosome:LupAngTanjil_v1.0:LG11:24154716:24155447:-1 gene:TanjilG_23895 transcript:OIW01584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVISLGPSKFYGKSLPRPRFYTDVKFNDHRVDPPLPVTDPFMSWAEEAHWSMGGLSFKRLRLQGKIEGNADKLRSQLEVFSKAHTHTQSPSPPIRSVLTRSERYASDSPSPPDAPFAVKRRRFLSPIEKGEAVMTRSLQRKRLVKKLGEEFDLVASENEESVRVGSKLDLDNVSGDGFESDLVGLKRRRRLMKGGEAVGKVVEEDVKKKLNRREKKSYVEKNASSDSGNGVRSSPRLAKFMNN >OIW02842 pep chromosome:LupAngTanjil_v1.0:LG11:701262:719768:-1 gene:TanjilG_29618 transcript:OIW02842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NNIWFLIAVVELFQSLVFLGNSMNLVRYFSKHMHYPVAQSSNMLTNFVGFSYLLAIVAGYINDSYLTKLTAFVLYATIELLGVILLTYQAKNSNLLPPENETPSTFQAAILYIGLGAMAIGIGGSKATLPTHGADQLDHNKQSLISSFFSWYYISILAASILAATLMVFVASARNTNDTTTVEVHQSAKEQSYVKDKSYNKFKFLNKALNDDTIEVAQIEETKSFLSLLPIFATTIMLNCCVAQIMTFSVQQGNFMNRKIYNFTITTQSISLIPLGAVLFLLYLLEQSKRFYGNNEAFNKIYQPHVRMGVGLALSSVSMAVAAMVEYMRLKEFNEGNLLSAFWLMAQTLLLTLSDVTVVGGMLEFFYSKAPDGMRSLCTSLPWCSTSMGYFFSTMLVTKVIVASKQNTNDTTTVELHQNVKVQSYTKEKSYNKFKFLKKVLNDDTIEVAQVEETKAFLGLLPIFATTFLLNCGTAQLMTFLVQQGNFMNRKIYNFTITTQSISIIPLVVILVFLYLLEQSKRFYGNNEAINKINQPLVRMGVGLVGSTMSMTVAAMVEYKRLNEFNEGNLMSAFWLIPQSLLSLLSEVITVGGMLELFYSKAPNGMRSICTSLSWCSTSMGYFLSTVLVTVCNSVSGRFGHAWIGGQDLNHDRLDLFYTLLSFLSFINFIVFVIFARRF >OIW01491 pep chromosome:LupAngTanjil_v1.0:LG11:27111371:27113208:-1 gene:TanjilG_19417 transcript:OIW01491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTTSSLILTVFPTATTSSFPRRNLNFPPNYNKPTAAAFLAVSLPFHRHRSATCRPKLLPVRASDPSKQMGDNGSKEISWFQPIFRFAGNNVLPLVLISSVALGLTYPNLGCALDKYSLSKFGPFGIFVISGLMLRSEEISAAVEAWPVGLFGLV >OIW01366 pep chromosome:LupAngTanjil_v1.0:LG11:32297648:32298904:-1 gene:TanjilG_12906 transcript:OIW01366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein HIEDCDHEKLESEVIALDNELILKERETQDVLKELEFTKRRVEELKSKLQKEEAKVKSVPYHEENVNLVTSLNVVKPLSEDFTFYPSSNPGLVLMELKRAKLILTTKKHDLADRRACVELLNKKLAKERIELEKTRERLTLNCWKIFSLEEELNQNKLRLHMAKCSEIKYAIDDPSDITREIQRQISESEHFTKIGEAAKSELLRTISEIEQTKLLIKTNGMWLDAAIKIKEASKASEAVALADINALSDQDSEEVILSFEEYTALLCKAGAAKEQSEKRVIDAMLELDEANLSKMYISKREEIATKEVIRNKKALNEAVERVEAANRGKVAVEEALSKCRREGHKRRPSINKSTMFMNPTHYRRSSRSLELNGMNLENGESNLVSVGQILKSELLVPQESEAGMPTGRNSVLLDQMP >OIW01958 pep chromosome:LupAngTanjil_v1.0:LG11:12046553:12047134:1 gene:TanjilG_13822 transcript:OIW01958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFRSLFNRKKKLIKNSTSSPNHLTSPIISRSTSVSIHARAEFMAELEQVFKKFDVNGDGKISASELGSIMGSLGQPSSEEELDNMIREVDADGDGYISLDEFIELNTKGVDSKEVLENLKDAFSIFDVDGNGSITTEELHMVMASLGEECSIDECQKMISGVDNNGDGMINFEEFKIMMMGSQSKERVEVEP >OIW01504 pep chromosome:LupAngTanjil_v1.0:LG11:27480610:27485778:1 gene:TanjilG_19430 transcript:OIW01504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTKFETKSNRVKGLSFHTKRPWILASLHSGVIQLWDYRMGTLIDRFDEHDGPVRGVHFHNSQPLFVSGGDDYKIKVWNYKMHRCLFTLLGHLDYIRTVQFHHESPWIVSASDDQTIRIWNWQSRTCVSVLTGHNHYVMCALFHPKEDLVVSASLDQTVRVWDISSLKRKNASPADDLLRLSQMNTDLFGGVDAVVKYVLEGHDRGVNWASFHPTLPLIVSGADDRQVKLWRMNDTKAWEVDTLRGHMNNVSCVMFHAKQDIIVSNSEDKSIRVWDATKRTGIQTFRREHDRFWILVAHPEVNLLAAGHDSGMIVFKLERERPAFTVSGDSLFYTKDRFLRFYEFSTQRETQVLPIRRPGSLSLNQSPKTLSYSPTENAFLLCSDADGGSYELYIISKDSYGRGDVQDAKKGPGGSAVFVARNRFAVLDKSTNQVLLKNLKNEIVKKSALPIATDSIFYAGAGNLLCRSEDRVVIFDLQQRLVVGDLQTPFIKYVVWSNDMESVALLSKHAIIIASKKLVHQCTLHETIRVKSGGWDDNGVFIYTTLNHIKYCLPNGDSGIIKTLDATIYITKVSGNTIFCLDRDGKSKSIVIDATEYIFKLSLLKKRYDHVMNMIRNSQLCGQAMIAYLQQKGFPEVALHFVKDERIRFNLALESGNIQIAVASATAIDEKDHWYRLGVEALRQGNAGIVEYAYQRTKNFERLSFLYLVTGNMEKLSKMLKIAEVKNDVMGQFHNALYMGDVRERIKILENVGHLPLAYITASVHGLHDVAERLAAELGDNVPSLPEGKVPSLLVPPSPVMCGGDWPLLRVMKGMFDGVFDNTGADAADEEYEAADGDWGEELDIVDADGLQNGDVTEILDDGEVAEENDEEGGWEMEDLELPPEADTPKVSISSRSSVFVPPTPGIPVSQIWTQRSSLAADHAAAGNFDTAMRLLNRQLGIKNFAPLKSIFLDLNIGSHSNLPAFSSAPEILLAIERGWTESSSPNVRGSPALPFKLSQLDEKLKTGYKLTTAGKFTEALRTFVNILHTIPLVVVESRREVDEVKELVVIVKEYVLGLQIELQRRDIKDNPARQQELAAYFTHCNLQLPHLRLALLNAMVICFKEKNLATAYNFARRLLETNPTIEIQAKKARQVLAAAEKNMNDATQLNYDYRNPFVVCGATYVPIYRGQKDISCPYCTSRFVPSHEGQLCTVCDLAVVGADASGLLCSPSQIR >OIW02621 pep chromosome:LupAngTanjil_v1.0:LG11:2502388:2502762:-1 gene:TanjilG_24072 transcript:OIW02621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVAEGLWGLADQHEKKGELGKAVKCLEAICQSQVSFFPIVEVKTRLRIATILLHHSHNVNHARSHLERSQLLLKSIPSCFELKCRAYSLLSQCYHLVGAIPPQKQVLHKGLDLTASVGNEYA >OIW01943 pep chromosome:LupAngTanjil_v1.0:LG11:12342775:12346293:1 gene:TanjilG_25099 transcript:OIW01943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASTVSLAKEEKVQVKGSGLLNSVEDQHGGVIINMEEPMDSLDFASLLEASLSQWKEQGKKGVWIKLPIEHSNLVASAVKVGFRYHHAEPHYLMLVYWIPDTPDTLPANASHRVGIGAFVMNTNREVLVVQESNGRFSGTGIWKLPTGAVNEGEDICTAAIREVKEETGIDTEFVEVLAFRQSHKAFFQKSDLLFLCMLQPHSLNIQRQASEIDAAQWMPIQDYAAQPFVQENELFDFLTKIWLSKLDGKYTGFSSLLTSTSSLKKSYLYFNNNDPSLLLSSKHYQP >OIW02666 pep chromosome:LupAngTanjil_v1.0:LG11:1949941:1950497:1 gene:TanjilG_29442 transcript:OIW02666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLSYTTFIVLSLLLLVTFSNVAEVYGSANLRPSDCKPKCSYRCSATSHKKPCMFFCQKCCATCLCVPPGTYGNKQTCPCYNSWKTKEGAPKCP >OIW01777 pep chromosome:LupAngTanjil_v1.0:LG11:18311596:18318835:1 gene:TanjilG_03915 transcript:OIW01777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIEQVTYPNMMEFFESLGVDMELSDMSFAVSLDDGRGCEWGSRNGLSGLFAQKSNALNPYFWQMIREIIKFKDDVIRHVVIYVLYIYLCNAANYKVHNKLYEHYQIGCYLEMLENNPDIDRNESLEQFVKSKGYSELFQKAYIIPICGSIWSCSSEGVMSFSAYSVLSFCRNHHLLQLFGRPQWLTVRWRSQSYVNKVKEELVSKGCQIISNCEVDLVSTSENGCVVHCKDGSQEIYDGCIMAVHAPDALRLLGDEATHDERRILGAFQYVYSDIFLHRDKTFMPKNPAAWSAWNFLGSNNNKVCLTYWLNVLQNIEQTGLPFLVTLNPDHVPENTLLKWSTGHPVPSVAAMKASYELDLIQGKKWVWFAGAYQGYGFHEDGLKAGLTAAHDILGRNCSLQTNPKHMVHSWKELGARLFVTRFLSSFIVTGCLIFLEEGGTMFTFEGTEKKSNLKCVLRVHNPQFYWKVMTQADLGLADAYINGDFTFVDKDSGLLNLFLILIANRDSNANSKSKNRGWWTPVFFTAGLASAKFFIEHISRQNTLTQARRNISRHYDLNKDEDLKDAQMRKIYLLIEKGRIDKSHEILEIGCGWGSLAIEVVKRTGCKYTGITLSTEQLKLAEQRVKDAGLQDHIKFLLCDYRQLPKTYKYDRIISCEMIEAVGHEFMDEFFGCCESLLADDGLLILQFISIPDERYDEYRRSSDFIKEYIFPGGCLPCVSRITSAMAATSRLCMEHIENIGIHYYQTLRCWRKNFLERQSEILALGFNEKFIRTWEYYFDYCGAGFKSRTLGTYQVVFSRPGNVTAFSDPYQSWPSA >OIW02000 pep chromosome:LupAngTanjil_v1.0:LG11:10858437:10865548:1 gene:TanjilG_00239 transcript:OIW02000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMAKNEIGNDHNKEVIAPKSPWKTPMVDVNGVDVYLMTGTESWPTLSDAQKPTNIDNVLAAKQDAAAASNGDIADIAPRSPSVQKSNGSGNSSQSYKLSSSCYQKPGAKRNSSGPPPFAVKMTHHQPPGPLYFHDIIPLPHIGVPGYAFPRAPGPFPSTENPAMKPAPQVSRQAFVPPAHGVDAKNVQPPVQGDPNCKFSEPNIQEQGDHLSHVRHHQRSFPSRANIPMQQGSGPRPFITPPFFGPPPGYVISPSFPRHAPVWCGPMAHPGTIRGPHPRQFVPYPVNPAPQLLHPETLALRTSIVKQIDYYFSDENLKHDQYLISLMDDQGWVPISIVANFKRVKRMSTDIPFILDSLQSSSTVEVQDDKIRKHNNWSKWIQVSAGDSGSSVAQIQQSQLVEDTTNSFENANAIGDKTKEASETNPEDAAQNASLVEHILSNSDILQASHKNKEQDTESLHSIDKSLAMTGKNVKSSGFSTTNNSVCCLSQETETKTFEDNETGDALADMDIRDISNDFSSTFMLDEDIELEEKMQKKKTERSSTKRIADEDDDMAIIEHDVQRLVIVAQNSDPKQASRGNRKESDSISIELASAINDGLHFYEQELKHRQSNRRKNIYDNKDRNLKSPGHTSEVSNIKVGENISGNGVLEESGSNNSRRKQKDFNKQQSSLTQRFFSSNCRNHGTGLNSYGIISESPPSNSVGFFFASSPPESHCLKPSKLSSSPNGGLLGSSPPVGSIPTSFPPFQHPSHRLLEVNGFKQQKYLKYRKRCLNDRKKHGIGCSEEMNTLYRFWSYFLRDLFVPSMYNEFKKLAKEDAGANYNYGIECLFRFYSNGLEKEFRENLYRDFEQLTLEFYHKSNLYGLEKYWAFHHYRKAHGQKEPMSKHPELDKLLREEYRSVEDFRAKEKNTVDEAIKVTN >OIW01243 pep chromosome:LupAngTanjil_v1.0:LG11:34168835:34169911:1 gene:TanjilG_10404 transcript:OIW01243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDRQRWRAEEDALLCAYVKQYGPREWNLVSQRMNTPLNRDAKSCLERWKNYLKPGIKKGSLTEEEQHLVIHLQAKHGNKWKKIAAEVPGRTAKRLGKWWEVFKEKQQRETKVIDRTVDPINDSKYEHILESFAEKLVNERPSPSYVMASSNGSFIHTDAAVTAPAMLPSWLSISNSNNTAPVRPPSPSVTLSLSPSVVAAPPPWMQTVRGPDNGPLVMGNVTPHGSVLAAYGDNMLMSELVECSKELEEVHHALAAHKKETAWRLSRVELQLDSEKASRRREKMEEIEAKIKALRDEQAAALDRIEMEYREQLAVLRRDAETKEQKLTEQWAAKHSRLTKFLEQVGCRSRLAEPNGR >OIW01827 pep chromosome:LupAngTanjil_v1.0:LG11:16682413:16697324:1 gene:TanjilG_15691 transcript:OIW01827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVHGLWELLSPVGRRVSVESLAGKTLAVDASIWMVQFLKAMRDEKGEMIRNAHLLGFFRRICKLLFLRIKPVFIFDGGTPALKRRTVIARRRQRENAQAKVRKTAEKLLLNHLKALRLKELADDIKNQRLKPKNDTKSQKKSDKMDCIDNDSGKRNMKELDEMSASKEERNLSQTITSTICNQEKLDEMLAASIAAEENGILSRNEASSSYVANPSEEECVADEEMILNLAIATVEIPSVSSFVSMHSTLVLPSFQPNFSNPEFHPTYFDFIGYGSFGSYHGSRGGSCHTRKNSFQNSSLYTPQSMQLDILAQLKGKKKGLMNEVENQKQHKVIDKDKGKGILSCETDMVGCSPRCDILTSKSDNQDKLDEMLAASIALEENARNTTSISLEASTTIEEEDGGYNEDEEMILPPMHGEIDPVVLASLPPSMQLDLLVQMRERLIAENRQKYQKVKKDPAKFSELQIQAYLKTVTFRREIDEVQKAAAGRGVGGVQTSRIASEANREYIFSSSFMGDKQELTSNRLGKIKDAPLEARGTHPSQKFVNNIAVVTGDDSNTSSGFACYEPDDHVDDSIQTYRDESGRIRVSRSRAMGMCMTRDLQRNLDMMKEIEQERTYVNRASNNNTVLNAENNAPFENSGIQLPCNSQEMNVDLVRENFQNEQSMLDRDTSIEISFEYDCRSKFSDGEDDIFASLVGRNSREIFCANDMAGKEQISDSDSDFNLEEGIIEDKNTNLCEENKVELISSIADGDNNDESEVEWEDGDCDGAKSTLLSPFESEKVASRGCLEEESDLQEAIRRSLDSIGDGEPKHMSSVDERSTADENKLGHGEDNDVNPDCPGPIDLNDNVGFLNNNTNNTGDSTLPREDNTEQNELPEIVDGDEKHDFMARNNPQTLHFPGGQSNSSLSFNFKDKEPLVDKPCILDARSRPEGSTSDANATTEDEINMVVEQLSDNTLGVTKEEKTTYINESVPLSNFNDNTKPVIPLTESSLKGSTEDLNMEPKLLAVDNDRTFYVGGNNNLAKDAVKTSGDLPANVAEIRLEEEMRVLDQEYIELQNEQRNLERNAESVNSEVFAECQELLQMFGLPYIIAPMEAEAQCAYLELAKLVDGVVTDDSDVLLFGARSVYKNIFDDRKYVETYFMEDIEKELGLTREKIVRMALLLGSDYTEGVSGIGIVNAIEVLNAFPEEDGLVKFRQWVESPDPTILGRLDAKSGSKTKKRGSEVEEKINVSKSNIEESALDPHAPEQKESLDCRNVSKNWHIPSSFPSETVMSAYYSPQVDKSIEPFTWGKPDHLVLRKLCWEKFGWVSQKADELLLPVLKEYNKHETQLRLEAFYSFNERFAKIRSKRIKKAVKGITGKQPADLTDNSLDDLNKSGKNERRSSVEPGDYKSDDSKGTGEGLESRKKSNTKKSNKRKNNGDTFGKARSRTKKISDGRSAPGTSEVENLHACMQTEEEQCDVKELIRNRSGRGRGRGRSLGVKRGRGNECRGFQSRETSSSSSDTVDHVPSVHPDVSKFPQEVRRSTRSRKPVKYSLNDLEVEDSDDAFDKINQPFLHDEPAEETVSDIHGRCGEAATDLSRGKETSEADIPVKDNLPRENFQSESSFHTDDNSSDYYLKIGGGFCLDDSNTTNNDDAIDVNTATADYTADLPSCSDFFDETIRNKNSSDVLFSGTEKAETEVQDGNKEVNDDLLNADSEMGVLKPENTNENSGISMGAFSAIPFLKKRRMN >OIW01757 pep chromosome:LupAngTanjil_v1.0:LG11:18590672:18598339:-1 gene:TanjilG_03895 transcript:OIW01757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARNGGETELKNHSEEGTDFDFDLFVIGAGSGGVRASRFSATYGAKVGMCELPYHPISSETIGGVGGTCVIRGCVPKKILVYGASFGSDIEDARNYGWELNEKVDFNWKKLLEKKTNEINRLNGIYKRMLANAGVKLFEGEGKIVGPNEVEVTQVDGTKLSYSAKHILIATGGRAQVPNIPGQELGITSDEALSLEEFPKRAVILGGGYIAVEFASIWQGMGSTVNLVFRKELPLRGFDDEMRAVVARNLEGRGINLHPRTSLTQLIKTEDGIKVITDHGEELVADVVLFATGRAPNTKRLNLEAVGVELDNAGAIKVDEYSRTNIPSIWAVGDVTNRFNLTPVALLEGTCFAKTVFGSQPSKPDYSNIPYAVFSIPPLSVVGLSEEKAIEQANGDLLVFTSSFNPLRNTISGRQEKTVMKLVVDAETDRVLGASMCGPDAPEIMQGIAIALKFGATKAQFDSTVGIHPSAAEEFVTMRSVTRRVAAATKPRTNL >OIW01833 pep chromosome:LupAngTanjil_v1.0:LG11:16847210:16852066:1 gene:TanjilG_15697 transcript:OIW01833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAACIFTVSQNGNGDFQTVQEAIDAVPLGNTRRTLIRVAPGIYRQPVYVPKTKNFITLAGLRPEDTLLTWNNTAAGIDHHQPARVIGTGTFGCGSTIVEGEDFIAENITFENSSPEGSGQAVAIRVTADRCAFYNCRFLGWQDTLYLHYGKQYLKDCYIEGNVDFIFGNSTALLEHCHIHCKSAGFITAQSRKSSQETTGYVFLRCVITGNGGNSYVYLGRPWGPFGRVVFAYTYMDPCIKHVGWDNWGKTENERSACFYEYRIGPYPLPSNGSSSFNLMATKVDI >OIW01741 pep chromosome:LupAngTanjil_v1.0:LG11:18955554:18957686:-1 gene:TanjilG_03879 transcript:OIW01741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQSHEQDMEIGYEETPPTPTYEGLEQRFKDEIMKLAGEQSDAVNAEFIRGQDICNYTLCLVTCRKSYVMSHHEHTACDLCRRTTGLSQRVRILEINTEYQEMLSALKAQQATRREEFLRKELQARLNQYHEDKRNQCPNTGVPDLRGYTGNLAAGEAIGRSHGASEHKHDGEQAPWLPSWRSEGSDARVILTAGEASGRLHGATEYNHDGGRMPPLPSWRSEETEARVASTAGETIGRFHGPTESKHDKERSPTLPSGRGELRVPLPPGRVYNNRAEYH >OIW01710 pep chromosome:LupAngTanjil_v1.0:LG11:19466694:19468493:1 gene:TanjilG_05163 transcript:OIW01710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSESWFRSLWKTPRKDGTDSEKVLIGVLAFEVASLMSKLVNLWQTLSDKQVARLREEITNSVGIKKLVSDDENFVVRLISLEVLENMAHVAASVARLGKKCSDSSLKGFENAFDELITLDLDPYRWQFSSKKMDKKVKRMEKFISTNASLYQEMEVLADLEQTLRRMKEYGGQDGPSLVDYQKNIAWKKMEVKNLKDNSLWNRTYDYTVHILARSLFTIFNRINKLFGIQEITDVGKTKNSSVSKSDYIHSRQPVSELLQSSVLPSENNVARFASGPLGAFTPKPGSNVRTEKTSIFHSGPLGDLSTKSGSTSGKSRGASFFSGPLGMNLKKTVPDSGTNKNSKIWKFRSTSTTRSGKEVNTKHNQLTQVGPLKLAADSSSVAAHHSSLNDVHLGTHNFKDADSNLLAPGKVAHSTKSLFGSLYRLKPPSESLGAASLALHYANVIVVIEKLAASPYMIGLDAREDLYNMLPIRVRAALRAKLKPYGKAMASAVYDATLADEWTEAMTTILEWLAPLAHNMIKWQSERSHEQHSFVSRTNVLLVQTLYFANQEKTEAVITELLVGLNYVWRYVRELKTKALVECGSSRVDDGYIQLNG >OIW02437 pep chromosome:LupAngTanjil_v1.0:LG11:4034753:4041345:1 gene:TanjilG_05030 transcript:OIW02437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPYFFGAIAPLLVTFIFRNSNGSKRRRGVPVDTAGDPGFAVRNQRFTSPVQTSWEGVSTLAELFEEACKKHSERLLFGTRKLISTEVQKSEDGRSFEKVELGDYEWLTYADAFEAVSSFASGLFRLGHVREERVAIFADTRAEWFIALQGCFRRNVTVVTMYASLGEEALCHSLNETEVTTVICGKKELSTLVRISGQLDSVKRVICMDVDIPSDASSIEHGWTITSFVDVESLGRENPVDADLPLPADVAVIMYTSGSTGLPKGVMMTHGNVLATVSAVLTIVPNLGSKDIYLAYLPMAHILELAAENLMAAVGSAIGYGSPLTLTDTSNKIKKGTKGDATVLMPTLMAAVPAILDRVRDGVFKKVNATGGLAKKMFDLAYARRLHAVNGSWFGAWGLEKALWDFLVFKKVRAILGGRIRFILSGGAPLSADTQRFINICFGAPIGQGYGLTETCAGGTFSDVDDTSVGRVGPPLPCSFVKLIDWPEGGYLTNDSPMPRGEIVIGGPNVTLGYFKNEEKTKESYKVDERGMRWFYTGDVGRFHADGCLEIIDRKKDIVKLQHGEYVSLGKVEAALIVSSFVDNIMLHADPFHSYCVALVVVSQYTLEDWAKKQGIVYTDLSELCTKEEAVKEVHASLVKEGKKARLEKFEIPAKVKLLSEPWTPESGLVTAALKLKREAIRKFFHTELLELYAS >OIW01505 pep chromosome:LupAngTanjil_v1.0:LG11:27489508:27492330:1 gene:TanjilG_19431 transcript:OIW01505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMEDDLEEVEFEFSRNYFLAKESGSGSSRKKSTHKLTDIDLVDEQKLREAACQIEPKHQNEIVTLIDSYKRLYPEWLLALRCGFGLLMYGFGSKKAMIEDFASTALTEYSVLVINGYLHTINLKQVLIAIAEILLDQVKTRRKVSSRDLPKSQQPLNSQSIEDLLTFLDEVETEDNCFVCVIIHNIDGPGLRDSETQNYLARLAACTHICVVASVDHVNAPLFWDKHNVHTQFKWCWYHVPTFAPYKVEGTFYPPILAHGMETNDLYSVCREHFLVSSQVTLNSHLTEFKDHELVKIKRHSDGQDCLYIPLAADALRKVLSEIS >OIW02863 pep chromosome:LupAngTanjil_v1.0:LG11:534857:540432:-1 gene:TanjilG_29639 transcript:OIW02863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQSELDSDTVDYTGINDVNSGSVGSGLRREPSFSRWYDDGVVHLDQDLGNVEDTSVEEDSDFELPFIQKFELQSGPLDKQKLFPLKFQQRSLHLNGVSTMDEDTIHHRGNGSEKYVSFDIENESKEGVTGVESSFSVDAHGSLEKSVTNPISIANILKALFFIIVWYTFSLLLTLYNKSLLGNDMGKFPAPFMMNTIHFAMQAVLSKLITWFWSRRFQTSVVMSWSDYFLKVVPTALGTAMDVNLSNASLISISVTFATMCKSAAPIFLLLFAFAFRLETPSYKLSGIILVISVGILLTVAKETEFQLWGFILVTLAAVMSGFRWCLKNPFTLMSYVAPVMAVATALLSLALDPWDEFRENKYFDNSQHITQTCLLLLLGGTLAFFMVLTEYVLVSVTSAVTITIAGVVKEAITILAAVLYFHDAFTWLKGVGLLVIMVGVSLFNWYKYLKLQKGHTKEGDLAGLHTTDSAAKYVILEEMDEQDDTI >OIW02266 pep chromosome:LupAngTanjil_v1.0:LG11:6436586:6438320:-1 gene:TanjilG_15149 transcript:OIW02266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCKKLDALLGRTFKAAKFKSIVNLAISRITILKNQRQARLRHAHADVLQLLQIGHHERALLRVEHVIRDQNMLDVYDKIEGYCNLLIERVHLIEQERECPEEQQEAASGLLYAASRCGDFPEIQEIRTVLTSRFGKDFASRAIELRNNCGVHPQMIQKLSTRMPSLDSRMKALKEIASENGIVLQLEEASSVPVEEQLNVQKQNKPEPEMKEETLHVLHNRGKDGELSNSFKTRQKYKGVADAAQAAFESATHAAAAARAAVELSRSEPDDHNSPRPQRRKALNRLDSLTSRLEDKELRETEGKEFNKNIEELEKPKGISSSRSDDFVKGATVSVDSEFEANPFEKELVFDESDCETENEHYRNHYSKQITSRYHAGLEVGSGSRQRALNALSASKVQSAPQLDLGKRPLSVRTR >OIW01578 pep chromosome:LupAngTanjil_v1.0:LG11:24615049:24617041:-1 gene:TanjilG_21158 transcript:OIW01578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTTLVPPWLELVLNTSFFNVCRIHGDAARSECNMFCLDCNCDAFCFYCRSSRHKDHQVIQIRRSSYHDVVRVAEIQKVLNISGVQTYVINSARVLFLNERPQPKSGKGVVHICEICGRSLLDPFRFCSLGCKLVRIKRNGDASFALDDRNNAIAMEGGSRQQEEKLREGSQQDMYPGTHLPPTSNARRRKGIPHRAPFGS >OIW02058 pep chromosome:LupAngTanjil_v1.0:LG11:9460706:9463648:-1 gene:TanjilG_21107 transcript:OIW02058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAPCCDKMGLKKGPWTPEEDEILVNFINKNGGHGSWRSLPKLAGLLRCGKSCRLRWTNYLRPDIKRGPFTLEEEKLVIQLHGILGNRWAAISSQLPGRTDNEIKNLWNTHLKKRLIRMGLDPQTHLPMAYSCYQLGKPLASSVSTRHMAQWESARLEAEARLSRESSLFNNDPNSFVDANNKTDSDYFLRIWNSEVGESFRSARKFDDITSPISSGSSSNKCGSVSAITTELASNVKDDLEWRNSDSASSNDLEDSSDTALQLLLDFPINNDMSFLEESSFISPL >OIW02491 pep chromosome:LupAngTanjil_v1.0:LG11:4598190:4610998:-1 gene:TanjilG_05084 transcript:OIW02491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFTMANLAGFTFFLVLFLALVFHTHGTNSSDRSSKQVALFIFGDSFLDAGNNNYINTTTLDQANFWPYGITYFKFPTGRFSDGRLVSDFIAEYANLPLIPPFMQPGNIQYNSGVNFASGGAGALVQTFQGSVISLKTQAINFNKVVSGLRDKLSSSEAENLVSTAVYMFSIGTNDYLFPFLTASDFLKNPSHSEYVATVIGNLSSIIQQIYNGGARKFVFMNVPPLGCLPGTKIFQSERNDSCVHELSSLATLHNKALSVILLQLEKQLKGFKFSLYDFKADVTQMMNQPLKYEEHVALFIFGDSVFDVGNNNYINTTTDMQANFLPYGETFFKYPTGRPSDGRLIPDFIVEYANMPFIPPHLQPGNHQYTDGANFASAGAGALAETNQGLVIDLKTQLKYFIEIERLVKQKLGDAEGGRLVSKATYLFSIGSNDYLVPFISNSTMLQKHPIKEYVNMVIGNLTTVIQEIYEKGGRQFGFLNVPPLGCLPVLKALMPADKDGCVEGAMELAQLHNGALRKVLQKLNTQLKGFKYSYMDFYTSYNERMNSPSKYGITSHPNPKETCFKEGNIGCCGGGPYRGFQSCGGKRSVKEYELCENVEEYVIFDAVHPTEKTYQQIAELMWNGDHNVAGPYNLRELYEG >OIW01625 pep chromosome:LupAngTanjil_v1.0:LG11:22465556:22472055:1 gene:TanjilG_22669 transcript:OIW01625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIKLLFIFFSLSPILLLLFPFSFAQMSGFVSLDCGGNVNFTDEIGLKWTPDDKFTYGEISTISVANETRKQYTTLRHFPADSRKYCYTLDVASRTRYLLRATFLYGNFDNNNVYPKFDISVGATHWSTIVISDANTIETIELIFLVTSPTINVCLSNATTGTPFISTLELRQFNGSVYYTDFENDYYLSVSARINFGAQSNAPIRYPDDPFDRIWESDSSKKANYLVDVATGTQKISTNVSIDVNRNEIPPVKVMQTAVVGTNGSLTYRLDLENFPGFGWAVIYLAEIEDLAEDESRKFRLVLPGQPDLSKLVLNIEENARGKYRLYEPGVTNISLPFVLSFKLGKTSDSSRGPLINAMELNKYLEKNDGSLDGDAMSSVLSQYTSAELANEGGDPCLPVPWSWVRCSLDPRPRIVSIFLSSKNLTGNIPLGITKLTGLVELWLDGNMLTGPIPDFSRCPDLTIIHLENNQLTGSLPATLMKLPHLRELCVQNNMLSGRILSGHNNNLVLNYTGNINLHKGSRKGSDIEAIIIGSAVGAPILLLVTIISFLVTRKGKKKYNVKDHRVSHPSQSTVSSNNDDGPVEGAQCFSYAEIKISTNNFEKKVGSGGFGVVYYGKLDDGKEIAVKVLTSNSYQGKREFSNEVSLLSRIHHRNLVQLLGYCREEGNCMLIYEFMHNGTLKEHLYCPLTHGRSINWIKRLEVAEDAAKGIEYLHTGCVPAVIHRDVKSSNILLDRHMRAKVSDFGLSKIAVEGVSHVSSIVRGTVGYLDPEYYISQQLTDKSDVYSFGVILLELISGQEAISNESFGVNCRNIVQWAKLHIESGDIQGIIDPALRNNYDLQSMWKIAEKALMCVQPHGHLRPSMSEVVKDIQDTISIEKQSRRTSDELSRNSMHSSIKTSSTDLGAADNFLSIDESITLPSAR >OIW01487 pep chromosome:LupAngTanjil_v1.0:LG11:27060018:27062467:-1 gene:TanjilG_19413 transcript:OIW01487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIKIAAILLVGFLALAYQAIQPPPPRTCGSPGGPPITGPRIKLRDGRHLSYKEHGVPKQLANKKIVFIHAFGSSKHDAVIVSSLPLGLVEELGAYIVSFDRPGYGESDPDPHRTAKSIALDVEELADNLELGPKFYVVGYSMGGQSVWGCLKYIPHRLAGATLMTPVVNYWWPSFPSNLSTMAYKWQPKQDQWALRVFHYLPWLTHWWLNQKWFPTSSVVENNPDVFSPQDLQIISNMVDDSDIQVPIKY >OIW01849 pep chromosome:LupAngTanjil_v1.0:LG11:16281522:16287113:1 gene:TanjilG_07144 transcript:OIW01849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDYGIPRELSDLQKLRSLYQPQLPPCLQGTTVRVEFGDATTVADPADAPSISRLFPNTYGHPLAHFLRASAKVPDAQIITEHPAIRVGIVFSGRQSPGGHNVIWGLYNALKIHNPGSVLLGFLGGSEGLFARKTLEITDEILSTYKNQGGYDLIGRTKDQIRTTEQVNAALATCNSLKLDGLVIIGGVTSNTDAAQLAETFAVAKCPTKVVGVPVTLNGDLKNQFVETNVGFDTICKVNSQLISNVCTDALSAEKYYYFIRLMGRKASHVALECTLQSHPNMVILGEEVAASKLTIFDITKQICDAVQARAEQDKYHGVILLPEGLIESIPEVYSLLKEIHSLLRQGVAADNISSQLSPWASALFEFLPPFIRKQLLLYPESDDSAQLSQIETEKLLAYLVEVEINKRVKEGTYKGKKFNAICHFFGYQARGSLPSKFDCDYAYVLGHISYHILAAGLNGYMATVTNLKNPVNKWRCGAAPISAMMTVKRWSPNPGAVSIGKPAIHPATVDLRGKSYELLRQNAASFLLDDIYRNPGPLQFEGPGADAKAITLSVEDQDYIGRIKKLQEYLEQVRTVVKPGCPQEVLKAALSVMGSVTEVLTAMTASSTNLASI >OIW01197 pep chromosome:LupAngTanjil_v1.0:LG11:33385820:33386842:-1 gene:TanjilG_10358 transcript:OIW01197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSIKVTLGSLDHREVKSFISSIASVSSKLRKHNEEAYSPKLLTIGPIHRGTSSHLLAFEEHKWRYMLALLHRTRNPVSALDECGRIILGLDDAVRASYGGYIKYDSHELAIIMLLDGCFLLELLLRCAPQDMVHQILNEDNHHGSLDPILGHNEMLPFVLIDLTLLENQIPFFVLKTLARILLSDIFTSQVDHLVSDLTLSLFGFPLIRCPPVAHFLHLMHLSSIIDEGQKGKQAQQELKCCATRLRASGITLRPIEMNRNRVKRLGFGIKFVKWSKA >OIW01936 pep chromosome:LupAngTanjil_v1.0:LG11:12130554:12131611:-1 gene:TanjilG_25092 transcript:OIW01936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIVGRLAEIQEEIQTAETEKLQKENWLGLLWEHLPALDPEVVGRVMQRIRDRIRALENRKEALLQEHQSLLVEGAISNLHIDQTFGLWNYNCWMVWT >OIW01635 pep chromosome:LupAngTanjil_v1.0:LG11:22250768:22255703:1 gene:TanjilG_14634 transcript:OIW01635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPKQQSKADVAKKQKVIEDKTFGLKNKNKSKSVQKYVQNLKQSVQQPDSSKLAAKKKKEEEKAKEKELNDLFKIAVSQPKVPVGVDPKSILCEFFKVGQCAKGFKCKFSHDLNIQRKGEKIDIYSDKRDDETMEEWDQETLEKVVESKKNEYNQNKPTDIVCKHFLDAVEKKQYGWFWACPNGGKNCIYRHALPPGYVLKSQMKALLEEESDKITIEEEIENQRAKVATTTPMTPDLFYQWKKKKIDERDANLAAQQADRAKNDRMSGRELFLSDATLFVDDDEAYEKYHREPESDDTEQNANGNSAEHGPSTSATAGSDADDIDDDDELDMDELDELEASLSKTSIQIKEPGAEA >OIW01116 pep chromosome:LupAngTanjil_v1.0:LG11:35765700:35772155:1 gene:TanjilG_25224 transcript:OIW01116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGFGKKLRESQIQEWKGYYINYKLMKKKVKRYIEQLEVGAQNHHNVMRDFSMVLDKQIEKIVLFLLEQQGVLAHRLYDIGKEHRSLSEQPNTINISELRESYRDVGRELLRLLHFVEMNAIGLRKILKKFDKQFGYKFTNYYVKTRANHPYSQLRQVFRHVGIGAVVGVLSHSLADLHDLQHCQESYISIYDQPTYAHHDPIIVSIKVAADRLSYSTNFLQFMGRHAFTMPEELPSQSEDHIFDERYHFISLLLNLVNTFMYMVNTYIIVPTADNYSMSLGAAASVCGVVIGSMAIAQVFSSVYFSAWSNRSYLRPLIFSSIVLIVGNTMYALAYDLNSISVLIIGRLFCGLGSARAVNRRYISDCVPLKIRMQASAGFVSASALGMACGPALACLLQTNFRIYKLSMNQDTLPGWVMAFAWLVYLLWLWICFKEPAPKNDESIVAYQANDVPDVHVVVENGHRQPLLMKSEVKEQDGDGEEENDDAEESSEENQKPVTSIVVAYKLLTPSVKVQLFVYFMLKYAMEIVLAESSLITEYYFNWSTSNVAIFLACLGLTVLPVNVVIGNYISNIFEERQLLLVSQILVCIGLLLSFHIFIPYSVTQYVGSALITFVSAEVLEGVNLSLLSRMMSSRLSSGTFNGGLLSTEAGTLARVLADGTITISGYFSESTLLNTTLLPALVICVSSIMATCYTYNSLY >OIW02672 pep chromosome:LupAngTanjil_v1.0:LG11:1918087:1918344:-1 gene:TanjilG_29448 transcript:OIW02672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDHPQDVADRERIFKHFDANGDGQISSSELGDALKALGSVTVEEVKKMMDEIDTDGDGFISYEEFTEFARANRGLVRDVAKIF >OIW02363 pep chromosome:LupAngTanjil_v1.0:LG11:4862317:4865677:-1 gene:TanjilG_08510 transcript:OIW02363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAYSSGEEVVVKARKPYTITKQRERWTEEEHNRFLQALKLYGRAWQRIEEHIGTKTAVQIRSHAQKFFTKVAACCSNK >OIW02777 pep chromosome:LupAngTanjil_v1.0:LG11:1153656:1157043:-1 gene:TanjilG_29553 transcript:OIW02777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMEDETDANGVTITKEENAPKEKRKGKHDKPKPWDDDPNIDHWKVDKFDPSWNEGGMLEVSSFSTLFPQYREKYLQQAWPLVKSSLKEFGVSCELNLVEGSMTVTTTRKTRDPYIIVKARDLIKLLSRSVPAPQAIKILDDEVQCDIIKISGMVRNKERFVKRRQHLVGPNSSTLKALEILTGCYILVQGNTVAAMGSFKGLKQVRRIVEECMLNKMHPVYNIKILMMRKELEKDPALAQENWDRFLPKFKKKNVKQKKVNTKQKKPYTPFPPPQPPSKVDIQLETGEYFLSDRKKSQKKWQEKQEKQAEKTAENKRKRDEAFIPPKEPAKLADKSEDANNNVADMAISLKKKAKKFVERKSEENLNAETYMIGSSEQVSRKKSKKQRS >OIW02625 pep chromosome:LupAngTanjil_v1.0:LG11:2476575:2478245:1 gene:TanjilG_24076 transcript:OIW02625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHLSPCPANSPPLTPLIFLERAATVYGDTPSIFYDNNISFTWSQTYRRSLLIASSLSSLGIQRGHVVSILSPNTPAMYELQFAVPMSGAILNNINIRLDPRTLSLLLHHSESKLLFVDVQSISLTLNALSLFPKNSKTPLLILIADDTVAPLQNPPPALDFVDTYEGLVAKGDPSFKWVRPNSEWDPITLNYTSGTTSAPKGVVHSHRGTFIMSLDSLINWSVQNQPVYLWTLPIFHSNGWSFPWGMAAVGGVNVCTRRIDAPTIYHAIDTHGVTHLCAAPVVLNMLVNHNRNKPLKNPVHILTGGSPPPAVVLSKTEAIGFVVTHGYGMTEVCGVVISCAWKNKWNKFPLTERAKLKARQGVRTVAMTEVDVVDGVTGASVKHDGLTAGEIVVRGACVMLGYLKDQKATLSCMQENGWLYTGDIGVIHEDGYLEIKDRSKDVIITGGENVSSVEVEAVLYTHPAVSDVAVVARPDDFWGETPCAFVALKSGLEKKPTEKQIMEFCRESLPHFMVPKTVVFLEELPRTSTGKVQKYVLRKDAQAMGPIAARASRM >OIW02231 pep chromosome:LupAngTanjil_v1.0:LG11:6710405:6711532:-1 gene:TanjilG_23939 transcript:OIW02231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEKNKGVEAYSNTEMDMDCYYSTYEVQCKKHPSSSSVGICAYCLKERLVKLACSDCGEQRLSSCSCSDEISSNRNSCTVEVGSVGRVSFLIENEKNEAPIVQHLSSNKAKLQDKQEGQVIMLRRSSSNCVEIKKNGFWRIGKLFRKKNKEKECGRSVVGFDEKSEMWMMDHKGVSRSRSLCSFRGGGMLGSEDGGDSVLSGARSSISAARSSGVNMLESGRRSGYSEAEPRRSGFDGEKRDFVFEGYENGTNRRVFSLRESDFKGMDESGFIDLKLDYSSESTKHEFYASKMGSMADTLSAFGSTRGGNFMVHDGGGGSYGDGVLCSGGSCRITVNDRGVKKGRKSMKGWKWIFKHHSNWGSTKKRDDDLMFKT >OIW02139 pep chromosome:LupAngTanjil_v1.0:LG11:8186631:8190085:-1 gene:TanjilG_06734 transcript:OIW02139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEINKDQNIRLFGRTISLPHNNTNDSSSEHAPSLLHEDHSPPPSPSSPREVISATEHEAENDKEPSTKELISDDDDEVTLQTKEDLKSPTSSGIYENPKTPSAERESSLVKSSKNGEQSETSVSQDKTPKKPDKILPCPRCNSMDTKFCYYNNYNVNQPRHFCKKCQRYWTAGGTMRNVPVGAGRRKNKSSLSSASHYHQIMIPEALQTAKLNGLHNGMLGNGAAVLTFGSDSPLSDSMASVLSLAEKTQNGVVLNGFHAPKQNVFVPCDKKDINNNNGDDNSVGASVVASTSSENKGHGGSHESMDKSFQVFPPQMPCFQGSSSPWPYPWNPAMPPPPFCQPGYPMSFYPTPPYWGVNVMPPPSWSVHPISPNSHSTTITSPNSPTLGKHSRDGNILSPTSLKEKPSTETSSDNNNSENSVLIPKTLRIDDPGEAAKSSIWSTLGIKNDGGIFKAFPSSKGGDKNHVVEASPLLHANPAALSRSLIFHERT >OIW02444 pep chromosome:LupAngTanjil_v1.0:LG11:4098101:4101488:1 gene:TanjilG_05037 transcript:OIW02444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFNFQGNNSLLDPVMDKKIWLWKQNSSDMSPGETESSGSVSSHSERCSDEQEEPKESCNGSKHSPDTSKAADCFEDDNDSSIINAQFPDEVTSKSVPTTGITNYGSLERNENDGLKILSEKLSARLVNVNAKEDLVQQHAKVAEEAIAGWEKAEKEVAVLKKQLDVVTVRNSALEDRVTHLDGALKECVRQLRRTREEQEKNIHDAVVKKTLDLESAKFELENKLVELQSKLDASNARSSIDIDVSQKVEYLKKQNIALRHELLARSEALETMTIERDLSTKAVETASKQHLENIKKIAKLEAECRRLKSIASKASCVNDHKSITSSSFCVESLTDSQSDSGERLNAVEIDTQKMSGSELNKCEPSCSDSWASALIAELDQFKNEKCRQQTPSSSVKIDLMDDFLEMERLVALPETKNENIVQESVVANQCMDQQMVEVKDKLEKVEAEKAQLEIALMNSEDCIKESQLKLRKAENKLDELQRELENAYKSNQIIENDLLSMKAHSQTLTAKVDLLEVEVDKERAMSREIAIKYKELEEEILMSIASSYGEKTIKQQDLELAAGKLAECQRTIASLGDQLKSLATIEDFLIDTAGLPASPSLVVCASGEMWKLHSNDRFSPKRDSISSRIADGNSGPSLSKNEDSSPPSSASSTSSAALPNYASSEKSRNGFAKNFSRTKSGIRLEI >OIW01277 pep chromosome:LupAngTanjil_v1.0:LG11:34599142:34609543:-1 gene:TanjilG_10438 transcript:OIW01277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGYNPGSALLVNAEVDSMGGVVDGGVGIGLKTSPRRAAIEKAQTELRQEYDVREERRKQLEFLEKGGNPLDFKFGTAISVSVQSTSLSDQHHEQFVTSEANGSFALTASPHGDSVDSSARPGALSFSEPNTADNLLLFDGENELPEGKKRVLHSIKRNNIAPSEQCSQIGGSQNARETEDSAIVRPYARRNRSRLNHGPRGGSRDGKGLVSDINKQKDHNVPPSSKPKAAIANGDIIIKDPTSINPLYNDMVGVRAHQTDSGSSIVPEDKLDMTLNRNLKGDQRILPSQDDTAQNPVVLASGEANAVEEKDPGASADVDPLPYVATIQLGNEFCSGLPNGFGTTKLDKKGVANEGQNSSATLGLKNFDSETSCTQTSLARDVNNDSDMCNNIKNVDAKENTMEQTAEFEKKLNLTGCKVVKERTKTNGGESGGTVNYEHGTGFLDPSGKSNMVKNEETPRIIHSYILNKMNDSSNIKGVHHNDDIISKTDKVDSIVVVGNSNSIMEKSCERHQVPVDVSISETPQTALAEKVTAAVPDCQPCSTHHSKLADKACEDSILEEAQMIKVKRKRIAELSIHTLSTQSHRNSHWGFVLEEMAWLANDFAQERLWKLTAAAQLCHRASITSRLRVEKQSKLLGSKLLAHSMAKAVMQFWHSVELLLNNDAHDCSCVGGSVASVKDDSIEAAGDKITNSDNVLKTGKYLEGQNPRKNTTLEVHAYALRFLKDSRSHGIPSQAEIPTTPDKISDSGIVDISWEDHLTEEILFYTVPPNAMEAYIKSVESHFLQFKKTSSITQEEVETSMYDTAAEFGSEETAYDEDGESHTYYLPGVYEGRRSSKSVQKKHKNRMKSYTHKSSEVGTDLAYVHYTSGTQPSAIFGKRPASLNVRAIPTKRMRTASRQRVVSPFTVATGTVQAQAKTDASSGDTNSFQDDQSTLHVGLQVHKCVEVESVGDFEKHSPYDCGETSVKTKKKKPKTMGSAYDQGWQLDSFAPYEQRDHSKKRLDNFHFESNGNSGLYGQHNVKKLKTMKQPLDNTFDNIAPITNSSPSPAASQMSNMSNPSKFIRIISGQDRGRKAKGLKASAGQPGSGTFWSLFEDQALVVLVHDMGPNWELVSDAINSTLQFKCTHWKPNECKERHKILMDGSAGDGTDSAEDSGSSQSYPSTLPGIPKAQMLSFGSAMQLFQRLQGPMEEDTLKSHFDKIIKIGKKQNYQRNENDNRDLKHLVPIHNSHVIALSQVFPNNLNGGVLTPLDLCEINATSPNGITTRYQGSHAGGLGLSNHGSVHPSSGPNSSIPPSSGMGLGNKLSSPSTSMAASIRDSRYGVPRTSPLSVDEQQRIQQYNQMISARNMQQSSMSVPGSLSGSDRGVRMLPGGSGMGTVGGINRNIAMSKPGFQEMASSSMHSSGGMLSSSMVGVPSPVHMHPGAVAGQGNSIPRPREAVHMRPGHNQEHQRRLKVPEPQIQVTQGNSQGNSAFNEVSSAFNDQAISPPVQPHPGHAQQPHQLLPQKSHLSNPRPHLQGLNHSTSSQQHAYATIRMAKERQLQHQQRYRQHQQQQQQFATSNAMVPHVQAQSQLPISSPLQNNSQAQPQSSSQQVSIPPVTSSPPSPPMPSQHQPQQHHLPQHGLSRNPGASGLTNQAVKQRQRPPQQRQFQQPGRQHAQSQQQAKLLKGIGRGNMLVHQNKSVDPSHQNGLSVPPGSQTVEKGDQIMQMIQGQKVHPGSGLNPSQPSKPLGTALSANSPYLQQKLHSGPANTSSNQLQTLVSPSGHIMSSPRPAVTVANHHQLQQQSQPQSKQINQAQSNAQRMLQKNCQGHSESSSMSQSDSPQVDQQPANSASQVNAGTAISQVCIDSAKLVAVVPNASSQWKTSEPPFDSNTTNSVTHASSLGSTPVGNSTGNELPSISHGLGPRKLSTSLPSHAHNSGEQRQPPPLLKQSSSQPILSQQPYQPREQLQQQQQEQEHHSPKDAALQHQPQQKVQHLQTRKNSLLICPPNSEPE >OIW01657 pep chromosome:LupAngTanjil_v1.0:LG11:20784892:20786469:-1 gene:TanjilG_04625 transcript:OIW01657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKRGRGGSAGNKFRMSLGLPVAATVNCADNTGAKNLYIISVKGIKGRLNRLPSACVGDMVMATVKKGKPDLRKKVLPAVIVRQRKPWRRKDGVFMYFEDNAGVIVNPKGEMKGSAITGPIGKECADLWPRIASAANAIV >OIW02645 pep chromosome:LupAngTanjil_v1.0:LG11:2098759:2102290:-1 gene:TanjilG_29421 transcript:OIW02645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLKKALNPPESLGWSDPNPCNWVHVTCSDEKRVTRIQIGHMNLQGTLPFTLQNLTQLQHLELQYNNFSGPIPDLNGLTSLQVFMGSNNRFTSIPTSFFNGLSQLQAVEIDNNPFEEWEIPLSLQNASSLQNFSANSANVRGKLPDFFGSDVFPGLTHLHLAFNKIEGTLPLSFSGSQIETLWLNGQKGVGDAKLGGTVEVLKNMTFLSEVWLQSNGFTGPLPDFSGLKSLQVLSLRDNSFTGPVPSSLLSLKSLKVVNLTNNFLQGPMPVFGPDVEVDMRKDSNSFCLPGPGECDPRVQVLLSVVGLFGYPQRFAENWKGNDPCNDWIGITCSDGNVTVVNFEKMGLTGMISPEFAKLKSLQRLVLADNNITGSIPEELTTLPVLTQLNVANNQLFGKKPSFRSNVIVDTNGNKDIGKDKSSLTPQGPMSSEVPSARGENGTSSENGGKKSSSHVGVIVFAVVGAVFVVALIGLLVFCLLRVKQKRLRRVQSPNTLVIHPLHSGSDNESVKITVAGSSVSVGGVSEAQTMGGSEAGDMQMVEAGNMVISIQVLRNVTDNFSEKNILGQGGFGTVYKGELHDGTRIAVKRMECGIITGKGATEFKSEIAVLTKVRHRHLVALLGYCLDGNEKLLVYEYMPQGTLSSHLFNWSEEGLKPLGWTRRLTIALDVARGVEYLHGLAHQSFIHRDLKPSNILLGDDMRAKVADFGLVRLAPEGKASIETRIAGTFGYLAPEYAVTGRVTTKVDVFSFGVILMEVITGRKALDETQPEDSMHLVTWFRRMYINKDSFRKAIDPTLELNEETLASVHTVAELAGHCCAREPYQRPDMGHAVNVLSSLVELWKPSDHSSEDIYGIDLDMSLPQALKKWQSYEGGSHMESSSSLLPSLDNTQTSIPTRPYGFADSFTSVDGR >OIW01177 pep chromosome:LupAngTanjil_v1.0:LG11:33110521:33113685:-1 gene:TanjilG_10338 transcript:OIW01177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKSFKDSLKALEADIHFANTLASDYASDRDGTCLQMRLSYSPAAQFFLFLVQWTDCHLAGSLGLLRILIYKAYKDEKSTMSIYRRKASLKEFYGVVFPSLLQLQRGITDVDDRKQKHICATKYKAKDVMNKGKLSEIDAEREEECDICMEMNTKVVLPNCNHSMCMKCYNDWHARSQSCPFCRDSLKRMNSGDLWIYMSNNDIVDLTSIYKENLKRLFMYIEKLPLIVADPIFISYHQHW >OIW01984 pep chromosome:LupAngTanjil_v1.0:LG11:11200173:11203855:1 gene:TanjilG_14015 transcript:OIW01984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRLDHFNYKPDSYSTFPQRYIINFKHWGGAKSNAPIFAYFGAEEPMPEDIYVGLLEDNAPKFNALVVYIEHRYYGNSTPFGSFEESMKNETTRGYFNSAQAIADYADVLLHIKNTWSAQHSPIIIFGGSYGGMLASWFRLKYPHVALGALASSAPILYFDGIAPHHGYFYVVTKDFRETSESCYNTIRKSWYVIDRVAKKPNGLSILSNRFKTCKKLSTSSNLKNYLILMYSGVAQYNDPHKNTLKVICDAIDGAANKTDVLGQIFEGVVAYDSEKQPCYEMEGIKEPSETDIGYAWQTCSEMVMPIGIDREDSLFQPNPFNMKEFVNYCKNQYGVVPQPHWVTTYYGGSDLKLNFKRFASNIIFSNGLMDPYSSGEVLNNISDSIIALTTKNGSHCLDLRPVEPSDPLWLTTQRNTEVKIIKGWIDKYQADLRNSTK >OIW02868 pep chromosome:LupAngTanjil_v1.0:LG11:505194:508732:-1 gene:TanjilG_29644 transcript:OIW02868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQFLTLKSPFHPRDLLPLLPKQVSWPIMNSLYSAVDILPVFVGAATSSSIDDSINWKGACFYDNKAWMEFHNKSQTQFGGGTLHLKVSSAHSFTCIDLYIFATPYRVTWDYYFLSREHTLEFKEWEGKAEYEYVKNHGVSIFLMKSGMLGTLQALWELFPLFSNTGWGENSNIGFLEKHMGASFVERPQPWVTNVSADDIHSGDFLAVSKIRGRWGAFETLEKWVSGAYAGHTAVCLRDSDGKLWVGESGHEDEKGDDIIALIPWDEWWDFELTKDDSNPHIALLPLHPDTRAKFNETAAWEYARSMAGKLYGYHNLIFSWIDTLTNNYPPPLDANVVACVMTIWSQLQPEYAANMWNEALNKRLGTKGLGLSEVLVEVEKRGSSFDELLTIPEQDDWIYSDGKSASCIAFVLEMYKEAGLFDSIASSVQVTEFTIKDAYTLNIFENDSSRLPKWCNDGDTVKLPYCQIKGKYRMELPGYNSMQAYPHMNERCPSLPPKYQRTQNC >OIW01212 pep chromosome:LupAngTanjil_v1.0:LG11:33602955:33606911:-1 gene:TanjilG_10373 transcript:OIW01212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFYIGREASKIWKRFCAEITTEINLLAENWKYLLAGLICQYIHGLAARGVHYLHKPGPTLQDLGFFLLPELGQDKAYLSETLFTTIFVSFFLWTFHPFILKDKKIYTVLIWCRVLSFLVACQALRIVSFYSTQLPGPNYHCREGSELARLPPPKSVHEVLLINFPRGVLYGCGDLIFSSHMIFTLVFVLTYQKYGTQRCIKQLGWLLVVIQSLLIIASRKHYTVDVVVAWYTVNLVVFFVDKKLPEMDRTSAAMLLPMSVKDNKGGRSKDENHKLLNGNSADPSEWRQRIQANGKILENGTAHHADSAKNGA >OIW02783 pep chromosome:LupAngTanjil_v1.0:LG11:1120468:1123632:1 gene:TanjilG_29559 transcript:OIW02783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDKVARTSERQSIRCKAAICRKPSEPLSIEEIIVAPPMPHEARIRIICTSLCHSDVTFSNAEEPHAMFPRILGHEAVGVVESVGENVTEVTKGDVVLPLYMADCGDCTDCKSTKSNNCSKFPFRNYPWMHRYHTSRFTDLKGEIIHHFMFISSFSEYTVVDVANLIKIDPAIPPNRACLLGCGVSTGVGAAWKTAGVEAGSTVAIFGLGCIGLAVAEGARLCGATRIIGVDVNPEKHEIGKKFGVTDFINSRECGNKTVSQIIKEITDGGADYCFECVGMASLVHEAYASCRKNWGKTVVLGVDKPGTMLSLSSREILLDGKSLMGSLFGGLKPKSDVSILLKRYLDKELKLDEFVTHEVKFKDINKAFELLIKGESLRCVIWMDKEV >OIW02580 pep chromosome:LupAngTanjil_v1.0:LG11:2828618:2830029:-1 gene:TanjilG_24031 transcript:OIW02580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNLESFPPHFRNLSHHQPPSPAPEDGGNPTKYLESLPIIDLENLSNDKNKVEEACKNWGLFRLVNHGIPSSLLSQLHEQTKQVFFLSYESKHASCDGSNVNYFCGSTALTPSGTTIPTERHNTNFFEGFGIPSDQLSKFHPQLPDLESFRVLLVEYEKHMSRIATTLFERFVKNLELEMEESKYNLEDKTGLFRVYRYLHCSDPDGGLGLAVHTDSSVLTILNQEDEVSGLEVLRDHQWLTVKPISNTLIVNIGDLMQAISDDKYKSALHRVKVDKHKDRVSIAYFMYSGEGVKVESSKYRSFTANQYLAQVQQDIETIGHKIGLSRFKRNEDN >OIW02098 pep chromosome:LupAngTanjil_v1.0:LG11:8881071:8881412:1 gene:TanjilG_14747 transcript:OIW02098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDIKRSYRSMALQYHPDVCHDPSLKEERTRMFVKVNAAYETLSNPMLRAEYDCELGLKSRIMSNVGVSEDEKWSRRWQEQVGGLKMRSRTRMGQKGGSWGNRMRTQNMKNQS >OIW01436 pep chromosome:LupAngTanjil_v1.0:LG11:30523718:30525526:1 gene:TanjilG_11154 transcript:OIW01436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAEAWIVKMGNHVSSNLKHALLLETSTKRKHNSKSEVKKEMIGILSFEVANVMSKTVHLHKSLSESEISKLMNEILNSEGVKNLVSSDEAYLLELALAEKLDELNRIAGVVSRLGKKCSEPALQGFEHVYGDIVGGVIDVKELGFLVKHMEGMVRKMDRYVNATRNLYSEIEVLNELEQAVKKFQHNQHEESRRAFEQKLIWQKQDVKHLKEISLWNQTFDKVVELLARTVCTIYARISVIFGEPALRNNSLGLGEGSPAMQNESGFMSGQINVLTSSERLKRNQSKKTGSHSGSIGRIAGERKGMTSTPKIDMTRGGLASVGPEDFGFPCGTSPGRLFMECLSLSSSVSKFDNCDHDYVFYPDNRYSSRAVGIENNNSMKREHLCHSGVLSHAQSGVLFTGDLRHVKFAVQSCSIFGPKSRLTFYAPPSTLGGSALALHYANVIIVIEKLLHYPHLVGEEARDDLYQMLPTSLRLSLKTKLKSYVKNLAIYDAPLAHDWKENLDGILRWLSPLAHNMIRWQSERNFEQHQIVSRTNVLLLQTLYFADREKIEEAICELLVGLNYICRYENQQNALLDCASSFDFEDCMEWQLQSGASFLN >OIW02704 pep chromosome:LupAngTanjil_v1.0:LG11:1702604:1703035:1 gene:TanjilG_29480 transcript:OIW02704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLIPSFFGTGRSTNFSDPFSLGSTKETPNAIAYSHKNWKETPEEHVFKVDLPGLKKEEVKVEIEVRVLQISVESSKEEEERNNSRHHRLERSSSGRRFIRRYRVPENAKVDQVKAFLENGVLTVTFPKEEVKMSVLVTGLDS >OIW02154 pep chromosome:LupAngTanjil_v1.0:LG11:7868401:7871206:1 gene:TanjilG_02378 transcript:OIW02154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTVMDSLPSVSSSILLTRRLHLFHHNPTSLVSTSSFRRNRTSRFASSNNNSRAGNEGDDDDDVDRALHMDGNIPVTSDEFVKRVSSRAYDMRRHLQQSFDSSSYDVLDANPWRETSKPVYVLTRKENQLCTMKTRRNISDVERELGLLFSKGGNWRSGIGNQKKQSKEGTKFHMLVEDIRDGVLVFEDENEAAKYCDLLQGGGQGCEGVAEIEASSIFDLCQKMRALAVLFRRGRTPPLPESLKLNLRARKRSLEDQDDLV >OIW01258 pep chromosome:LupAngTanjil_v1.0:LG11:34416856:34424550:-1 gene:TanjilG_10419 transcript:OIW01258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLLLTAANSINYNHNQNQKEKETRTIHNYTKRRNLSFFSCGTGNPIDDCWRCDKLWYRHRKRLANCAIGFGHNAIGGRDGRYYVVTDPSDKDVINPKQGTLRHAVIQDRPLWIVFKRDMVITLKQELIMNSFKTIDARGFNVHIAHGACITIQYITNVIIHGLNIHDCIQTGNAMVRSSPSHFGWRTMADGDGISIFGASHIWIDHNSLSNCADGLIDAIIGSTAITISNNYFTNHNEVMLLGHSDSYVRDKHMQVTIAYNHFGEGLVQRMPRCRHGYFHVVNNDYTHWEMYAIGGSANPTINSQGNRYLAPLNTFAKEVTKRVETEENTWKSWNWRSDGDLLLNGAYFISSGARSAASYARASSLSAKSSSVVGTLTSGAGVLNCRRGIMC >OIW02393 pep chromosome:LupAngTanjil_v1.0:LG11:3618508:3624130:1 gene:TanjilG_04986 transcript:OIW02393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSSFLIPILSILLLTHYQLVFTESTQPPYSCDSSNPTTRSYPFCNPKLPIAQRAKDLVSRLTLDEKLSQLVNTAPSIPRLGIPSYQWWSEALHGVANAGPGIRFNGTIKSATSFPQVILTAASFDPNLWYQISKAIGTEARGVYNAGQAEGMTFWAPNINIFRDPRWGRGQETPGEDPLVTAKYAVAYVRGLQGDSLQGGRLGERLQASACCKHFTAYDLDHWKGLDRFVTLQDLADTYQPPFQSCILQGRASGIMCAYNRVNGVPNCADFNLLTGTARNKWNFHGYITSDCGAVSIIHEEQGYAKTAEDAIADVLKAGMDVECGDYITKHGKSAVSQKKVPIYQIDRALQNLFSIRIRLGLFDGNPSKLLFGMIGASQVCSKQHLQLALDAARNGIVLLKNNKALLPLPKTNPSISLAVIGPNANASSLAVLGNYFGSPCKLVTLLQGFQHYAKNTIYHRGCDDGTQCASAQINQAVEVAKKVDYVVLVMGLDQSQERESNDRDQLDLPGKQQELINSIAEASKRPVILVLLSGGPVDITFAKFDNKIGGILWAGYPGELGGLALAQIIFGDHNPGGRLPITWYPKDFIRVPMTDMRMRADPSSGYPGRTYRFYTGPKVYEFGYGLSYSKYSYEFVSVAKNNIHLNQSSTHLMVENSETIRYKLVSDLSEETCQSMSVSITLGVTNHGSMMGKHPVLLFMRQAKQRNGNPVKQLVGFQSVKLDAGEKSQVGFEISPCEHLSTANEAGLKVVEEGSYILIAGEEEYPLNIIT >OIW01949 pep chromosome:LupAngTanjil_v1.0:LG11:11920352:11925554:1 gene:TanjilG_13813 transcript:OIW01949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIEILILGAGQEVGKSCVVVTINGKRIMFDCGMHMGETDHRRYPDFRRISHSGDFNNALSCVIITHFHLDHVGALPYFTEVCGYSGPIYMTQYPTKALSPLMLEDYRKVMVDRRGEEEQFTSDHIVECMKKVIALDLRQTVQVDEDLQIRPYYAGHVIGAAMFYAKVGDAEMVYTGDYNMTPDRHLGAAQIDRLRLDLLITE >OIW01901 pep chromosome:LupAngTanjil_v1.0:LG11:13797099:13801324:-1 gene:TanjilG_15226 transcript:OIW01901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLHWCLILLVGLGVEHGNAQYYRKIGNKLLPYKTIFVDPLGHGNFTTIQSAIDSVPSNNVNWISIRVKAGTYREKVIIPYDKPYIILKGAGKRKTFIEWDDHDSTFQSPTFASIADNVVVKCMSFRNSYNNPINNKPKVPAVAAMIIGDKSYFYRVGFFGLQDTLWDVQGRHYYKLCTIQGAIDFIFGAGQSLFERCSINVIAGALEPGFSGFITAQGRLNSTESDGFVFNNCHVFGNGTTYLGRPWRNYSRVIFYNTNMSNIVQPLGWDPWSFAGYEDRITFSEYDNFGPGSNTSMRVSWTKKLDLKTIKMMASTKFIDNDKEKWLQYQMQF >OIW01256 pep chromosome:LupAngTanjil_v1.0:LG11:34403266:34406861:1 gene:TanjilG_10417 transcript:OIW01256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESVQTLPPPSHLSPFALSFLDHKFHTKLTLAEAPSFVAELQTQCSDLDRILSGLNRRLGSGLAGYASFSGEIHGFFGDVDSRLNNLSSTCSSSVVPDGKGGKGFREELAALAKEVARFETIRVYAETALKLDTLVGDIEDAVSYTMSKNLRKHSSDQNSEEMRLLAIKTLKTTEEILTSITRTHPEWKQLVSAVDHRVDRALAILRPQAIADHRALLASLRWPPALSGLTSAYSDGRTANQVLNPLLSMQADLKLKYSENFLALCNLQELQRQRKSRQLEGQNRELALRQPLWVIEELVNPLSLASQRHFSKWVDKPEFIFTLVYKITRDYVDAMDELLQPLIDEAKVAGYSCREEWISAMVTSLSTYLAKEIFPSYISQLDEESVTGIQSSARISWLHLIDLMIAFDKRIKSLVEHSGILLSFDDDDILQKISSLSVFCDRPDWLDLWADIELGDSLDKLKQDIEDESNWRKKVEVVVLSSCTDDHKSPLVSGAFLRHLASVVERCRALPSVTLRSRFLRLAGVPIIRKFYDSILIRCQEAEGLTALTDDAAVIKVAISINAAHYFESVLNEWSEDIFFLEMGMDQDDKTELSSNENVDGEGLPESSGRVIFDDEIKKLEEFRKEWVEKISLAILRGFDVRSRDYVKNKKQWQKAEEGWTVSKTLIEALDYLQGKMSVVEVDLNSKDFVGVWRSLAAGIDRLIFNGILISNVKFHNSGVERFGSDLDVLFGVFGAWCLRPEGFFPKASEGVKLLKMDENRVQECMDGGKRWLKENGLRHISVTEAEKIVKSRVFTN >OIW02458 pep chromosome:LupAngTanjil_v1.0:LG11:4228429:4233253:1 gene:TanjilG_05051 transcript:OIW02458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTEDENSNTDNPMESVNNGVAIEDGNGAQCFKYKAANSGVAIENGNGVRCLKNETVNNRVAIADGNGVAEWNTVRCLKNEIINNGVAVSGRNGGAEGREVQCLKIETVNNGMAIGDGNGFAEGKEVQCLNNETVNSGVVIADRNSVSKGKDVWCLKNQTVNNGMDIASGKVVAEGDSGGVVYLRTYKRRKDVKSSSESKVQVGSKGCVEAASHLLDQVSSTLLAASAVKKPCDVAVGNPSKNYSHGHWRNVVLNDLYHSLGGSNGGIEGCIREALMNYPKTSCAPTVTENFKIVKGGQECSSQSELLSHRLQNETNGHANIMHNGCSSRSDGRGGTERCQRVFCNILTSEKFGSLCKVLLENFQGIKPERVFDFSVINTRMKEHAYEHSPTLFLSDIEQVWRKLQDTGNEIVAITKSLSVMSKASYCEKVGVSANCSFEDEKQVLYNWESRSQTKAEQKEDCAAYKSCICRYCGKKADGADCLVCGSCEEVYHVPCIEPSVKEIPHKSWFCANCSGKGIGSPHEKCVVCERLNVPKTLNNIFSEENIPTNEETLNVVEENSNCTHDDGIQVSIGGENSPECKICEEGVDGEKIKICAHPFCPSKYYHVRCLSSKQMKLYAQCWYCPSCLCRVCLTDQDDDNIVLCDGCDHAYHTYCMKPPRTSIPKGKWFCRKCDAGIQAIRRAKKAYESKKCRTDGNVSKLNDVEDDKRWSNKRGRELNKVGGMDLLLTAANTLNVEENLSETQIESRRT >OIW01709 pep chromosome:LupAngTanjil_v1.0:LG11:19741382:19745611:-1 gene:TanjilG_12201 transcript:OIW01709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEASEEGTSGVVVEASNEGTCDLVVGKNDSCGKYDCGACAYVLDIVVMKGGAFVLDVVVVYSVEIVQESGCRKVGVESDEGSYGGMMEVHNEDSCGVVVEESDEVSCGVMMKEIGEGSSGGMVEEHDEGSCRVVVEESDEGSYGGMKEEHDEDSCGVVVEEYDEGSCGVMSDEGSCGVVGLNGTCGDDDGAACSYMMEMVVVESGACVLEMVLVENGVCACDQETVVVVRGDCACVLEMVVVESGICACAQKMVVVVGGACVMEMVVMEGGALG >OIW02629 pep chromosome:LupAngTanjil_v1.0:LG11:2451597:2454165:1 gene:TanjilG_24080 transcript:OIW02629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVTLLRTVLEVSNSSGLFDSIRNAKLLGSSKFMSQDMGSIRAKHDKKKRRQFCSLSVNTNYACVGQPGLEGAGNFPLLSNVLANPAAGEVVVSSEQKVYDVVLKQASLVKRKLGSTDELEVKPDIALPGNLSLLSEAYDRCGEVCAEYAKTFYLGTLLMTPERQRAIWAIYVWCRRTDELVDGPNASHITPTALDRWESRLDDLFQGRPFDMLDAALSDTVCKFPVDIQPFKDMIEGMRMDLKKSRYKNFDELYLYCYYVAGTVGLMSVPIMGISPYSQATTETVYNAALALGIANQLTNILRDVGEDANRGRVYLPQDELALAGLSDDDIFAGKVTDKWRNFMKSQIKRARMFFDEAEKGVLELNEASRWPVWASLLLYRQILDEIEANDYNNFTKRAYVGKAKKLLSLPIAYARSMVPPPRKVSSAMKA >OIW01749 pep chromosome:LupAngTanjil_v1.0:LG11:18712653:18717305:-1 gene:TanjilG_03887 transcript:OIW01749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRFATSLASKARIARSSSQQIGSRVSWSRNYAAKDIKFGVEARALMLKGVEELADAVKVTMGPKGRNVVIEQSFGAPKVTKDGVTVAKSIEFKNKVKNIGASLVKQVANATNDVAGDGTTCATVLTRAIFAEGCKSVAAGMNAMDLRRGINLAVDAVVTSLKSRARMISTSEEIAQVGTISANGEREIGELIAKAMEKVGKEGVITISDGKTLYNELEVVEGMKLDRGYISPYFITNQKNQKCELEDPLILIHEKKISSINAIVKILELALKRQRPLLIVAEDVESDALATLILNKLRAGIKVCAIKAPGFGENRKSALQDLAVLTGGELITEELGMNLEKVDLSMLGTSKKITISKDDTVILDGAGDKKAIEERAEQIRSAIENSTSDYDKEKLQERLAKLSGGVAVLKIGGASEAEVSEKKDRVTDALNATKAAVEEGIVPGGGVALLYASNELSKLQTANFDQKIGVQIIQNALKTPVYTIASNAGVEGAVVVGKLLELNDPDIGYDAAKGEYVDMIKAGIIDPLKVIRTALVDAASVSSLMTTTEAVVSELPKDDGDASALGGGMDY >OIW02743 pep chromosome:LupAngTanjil_v1.0:LG11:1409138:1409560:1 gene:TanjilG_29519 transcript:OIW02743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQSLVYGVRVPELSRIVSSAKRVTRKQPRLPNHVAITAAPKSEPSKLKIESSECRVPLSQVVSDCTKRWFQDTLNEAKAGDSAMQLLLADMYFNGYGVPIDPQKVHFFIIINLNHWISIELIARMKSKDKKLSKCTFRL >OIW02881 pep chromosome:LupAngTanjil_v1.0:LG11:425868:428001:1 gene:TanjilG_29657 transcript:OIW02881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRIKRVPTVVSNYQKEENGEHPNRSTAGCGRNCLKNCCIQDAKLPLYAFKRLTKNGGKEELLLHACKEEPPVAFLDSLLLGEWEDRVQRGLFRYDVTACETKVIPGEYGFVAQLNEGRHLKKRPTEFRVDKVLQPFEENKFNFTKVGQEEVLFQFEASEDGEVQFFPNAPIDIDNSPNFVAINVSPIEYGHVLLIPRIFECLPQRMDRESLLLALHMAAEAGNQYFRLGYNSLGAFATINHLHFQAYYLAMPFPIEKAPTKKLASLNGGVKTSKLLNYPVRGLVFEGGDKLEDLSNIVSAACICLQNNNIPYNVLIADCGRQVFLLPQCYAEKQALGEVNAELLDTQVNPAVWEISGHMVLKRKKDYDEASEANAWRLLAEVSLSEERFEEVNALIFEAITSGEIDINTQCLDEVDAITSSGQSAHSDHAKMADSQECVVLQ >OIW01486 pep chromosome:LupAngTanjil_v1.0:LG11:26955470:26958941:-1 gene:TanjilG_19412 transcript:OIW01486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNSKDDKEVETGSVVVEKVGGRSSVTSCLSRYPLKFIIPRKVGSSRTDVVWVYSLTYGGGIVSGDHILCKFSVEDECTMVLTTQASTKVYKSEGSKVSQQILEARVGSNALLAIIPDPVTCFSTARYYQKQILSVSSDSNLVIVDWITSGRYESGEKWDFDLYRSTNNIFLQDGQPLFLDTILLDKEKMGSIQEHMQDYQVIAMIVLLGPKMQCVQNRVQDHVKKLMSEQLQLPPAALRHQKDKSDHSVSKPSFVASCSVFGPKKIGLVVRVAAMTTESVYMFLQHQLAPLEVMLGVPPYR >OIW01973 pep chromosome:LupAngTanjil_v1.0:LG11:11569955:11576083:-1 gene:TanjilG_14062 transcript:OIW01973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADETTSLSLHKNMLQEVADSNSAPKSILHHYKRSFNGFVAKLTEEEADRMAGHDGVVSIFPSEKKQLHTTKSWDFIGFPQQVQKTSAESNVIIGIIDTGIWPESASFNDKGFGPPPSKWKGTCQTSNFTCNNKIIGAKYYRVAGEGSLRTKDLKSARDPSGHGTHTASTAAGNPVSMASMLGLKQGTTRGGATSARIAVYKVCWFDGCEDADILAAFDDAIADGVDILSVSLGSTSGPQNYFTDALSIGSFHAMRNRIFTVCSAGNSGPTPGTVTNLSPWSISVAAGTTNSKFVTKVKLGDNRTYEGISINTFDLKGEFYPIIYGGDAPNTTAGTDESSYSLCSIKSLDQNLIKGKIVLCEDTTYDGSGPLSAGAVGFLTQGQNYRDIPSSFPLPGSYLDLKDAANVNDYIKSTRAPTATIYRSDELKDTMAPLVASFSSRGPNLVTPEILKPDIIAPGVNIIASWSPISSISGVDGDNRVSQFNILSGTSMACPHVSGAAGYIKSFNPSWSPAAIRSALMTTAKHLSPLTDRDAEFGYGAGQIDPSKAVNPGLVYDADENDYINFLCGQGYSSRTLQLITGDNNTCSKTTYGTTRDLNYPSFALQVPHFKPNVSGSFRRTVTNVGSPRSRYKATVIAPQGLKIHVIPSVLSFNSLGQKKTFVLTIDGTIEQPIVSASLVWNDGKFKVRSPIVVFNAS >OIW02558 pep chromosome:LupAngTanjil_v1.0:LG11:3365054:3366381:1 gene:TanjilG_12872 transcript:OIW02558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALEAVVFPQDSFNYGCNKDYLYSLIGEASGGSYGFQRAEEERALLGIINNNIEQGLHANWDSSPTVLQSNKEKWDSYSSPETATADQYLLGILPPPPSVEASVTTTTTTTRRKRRRTKSAKNKEEIENQRMTHIAVERNRRKQMNEYLAVLRSLMPASYVQRSDQASIIGGAINFVKELEQTLQCMKGQSQKKTKQQPLPENGFPCSSPFAEFFIFPQYSTRATQGMNQPTSDVMMTQNQNQNQNQSCAMADIEVTLVDSHANIKILSKKQPRQLMKIVVGIQSLNLNILHLNLTTVDDMILTSVSVKVEERCQMNSVDEIASAVNQLLHTVQEETAFS >OIW01595 pep chromosome:LupAngTanjil_v1.0:LG11:23577484:23581348:1 gene:TanjilG_08776 transcript:OIW01595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQTLPLTVTPTPPPSFPSSSSSSLSYLHTFHHHNSQTLFLNGPPSLSTLHHRRTISTFLPSVGRNEHNDLSVSSSQQEQLQDVVDDNDDDDDDYDYDEPTPQDLEYVAQIKRVLELLKKNRDMLYGEVKLTIMIEDPREIERRSLLGIEDPDAPTRDDLVAALEEVNEGKIPKDKVALQMLAEELAAWPNVEAEAPKKKTTKSLYAKATDTGIDPQVVAKKLKVDWDTAAEIEDTEAENDTEVPSALGYGALYLVTAFPVIIGISVVLILFYNSLQ >OIW01774 pep chromosome:LupAngTanjil_v1.0:LG11:18353085:18353462:1 gene:TanjilG_03912 transcript:OIW01774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDIWWSLLGAAIPVVVAGQAFRVKKRHAEEQRLKSAGGRERSSDEIFVCERVCTSKRMLKKVGSFSKDPIPDTCVTVCGVSDLDACTDACARTVCVNQHQVPNWNDICLRRCQSECLKLSFQST >OIW02341 pep chromosome:LupAngTanjil_v1.0:LG11:5734928:5742790:-1 gene:TanjilG_11235 transcript:OIW02341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNGQSSGANHYIMGLICNAGAGAAAGAIAATFVCPLDVIKTRLQVHGLPPAQKGSIIITSLQNIFKTEGFRGMYRGLSPTILALLPNWAPSPTYLLRILFTIATLQIPDLLTTPLLYVLNLIMESSFATMVPCDKYARCPSHGISHLKMQEEVLVPQLPPLEEVYFTVYEQLKGLLRSHGEYHLRYGSNELTTVGNIISAAGAGAATAISTNPLWVVKTRLQTQGMRPDVIPYKSILSALTRITHEEGMRGLYSGIVPSLAGISHVAIQFPAYEKIKSYIAKKDNTTVDKLSPGNVAVASSISKVVASVMTYPHEVIRSRLQEQGHAKSNGARYAGVIDCTRKVFQKEGVPGFYRGCATNLLRTTPSAVITFTSYEMIHRFLQRVIPQDKKGYAEGSRQ >OIW02936 pep chromosome:LupAngTanjil_v1.0:LG11:73794:75270:-1 gene:TanjilG_29712 transcript:OIW02936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKFSIVTLFSFILSLAVIYKAQSVTIDIKKFGAQNGDITQAFTNAWKEACAATSNVKIVIPKGTYKMSGVEVKGPCKAPIEIQVDGTIQAPADPSQLKGAEQWVTIGYVSHFTLSGHGIFDGQGATAWKQNKCGSNKSCARRAMNLGFNFLNHSVVRDITSKDSKYFHVNVLACNNFTFDGFKVSAPHDSANTDGIHIGRSNNVKILNTKIATGDDCVSLGDGNTRLVVKNVECGPGHGISVGSLGLYDNEDNIDDFLVKNVTIKNADNGVRIKSWPSTPVSITVTNMRFEDITMVNVANPIIIDQEYCPWNECSKKSPSKVKISKVYFKNIKGTSATKEGVILLCSKSVPCQGVELSDINLTYKGAPTVAVCANVSPKISGKAPTCTAAKSIF >OIW02292 pep chromosome:LupAngTanjil_v1.0:LG11:5225631:5225876:-1 gene:TanjilG_11186 transcript:OIW02292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSENSSKKFVDGEISYNESQELEDEEAFSYTTQLGFSIVLSMSLQSAIELGVFDILQKAGPGAQLSAKQIASQLSCKNP >OIW01112 pep chromosome:LupAngTanjil_v1.0:LG11:35739380:35741815:1 gene:TanjilG_25220 transcript:OIW01112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHNFNSKFLASSHNRCYPFKLKPFIHCIHTSIAGSYDSTYHSNQLLNRLSKTGQIDDAQKVFDKMPQRDEYSWNTIISGYVSLGRLVEAKELFDGFSSRSSITWSSLISGYCRHGCESEAFRLFRLMRLEGHTPSQYTLGSILRMCSARGLIQNAELIHGYVVKNGFESNVYVVTGLVDTYAKCKHISEAEVLFKRLEYDKENHVLWTAMVTGYSQNGDGRKAIEFFRYMHAEGVESNQFTFPSILTACSSVSAHCFGEQVHGCIVRSGFGGNVYVQSALVDMYAKCGDFSGAKRVLETMEDDDVVSWNSMIVGCVRHNFEEEALLLFKKMHARNMKIDDYTFPSVLNSCIVGSTDAKSVHCLIIKTGFENYKLVSNALVDMYAKTGDLNCAYAVFQKMLEKDVISWTSLVTGYAQNSSHEDSVKIFCDMRIAGVRPDQVVFASILSACAELTLLEFGKQVHSDFIKSGLRSSLSVENSLVAMYAKCGCLDDADSIFVSMHVRDVITWTSLIVGYAQNGKGKESLRFYDAMVSSGTKPDFITFIGLLFACSHAGLVDDGRVYFQQMSKVYGIEPGAEHYACMIDLFGRSGKLDEAKELLNQMNVKPDATVWKALLAACRVHGNLELGERAATNLFVLEPMNAMPYVMLSNIYSAVGKWDGAAKIRKLMKSKGITKEPGCSWIEINSRVHTFISEDRGHPRAADIYSKIDEIIIRIKESGYVPDMNFSLHDMDREGKEVGLAYHSEKLAVAFGLLASPQSAPIRIFKNLRVCGDCHSAMKYISAAFNRRIILRDSNCFHHFKEGECSCGDYW >OIW01856 pep chromosome:LupAngTanjil_v1.0:LG11:16033081:16036291:1 gene:TanjilG_07151 transcript:OIW01856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGYGREGMVRQYVRSKVPRLRWTPELHRCFVYAIQILGGHHKATPKLVLQLMDVKGLTISHVKSHLQMHRSMRGDSCRQDRISSQHRKQSLLEHDDDDRWVDEVNDVGVNSCFKRARTEPNQHTFYDYLRVKVEQRGIREIFGDSVRKSHTTACPVKPYCDDNLNSFKCTKQGSELLQVTKLNDRNPLNVHGNIKRVHAEDDDVEGHKLSLSMSLPQPSSQRSNVSSGSEISEAIISSCPGSSNYKGCFNSSTIQNIINLDLSLAICGN >OIW01320 pep chromosome:LupAngTanjil_v1.0:LG11:34987125:34988694:-1 gene:TanjilG_10481 transcript:OIW01320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLFPKGITQFNVTDDGKFWVHLDQACNAKFESELHYDRNVSGSLSYGKIDALTGLEAQDLFLWFPVMSIRVDVPSSGLIYFDVGAAYKQFSSSLFETPPDCVAAIPDNDAADSVNVYQGQAGKLRYQFDQENSGRDVL >OIW02559 pep chromosome:LupAngTanjil_v1.0:LG11:3368597:3369784:-1 gene:TanjilG_12873 transcript:OIW02559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVWTHRKLFPSETSANQTQNCYGVCDPACPYNCDSYPGYYFPPPPSPLYIDHSNQVNHVPSYMIILVSLFTVIFAVSAFYVIKLKCYNDLCGRRVNRSIPPQSNNNEQFVNENQVDHPVWFITTVGLQQSIINSIEVFKYKKDEGLIEGTECSVCLNEFREGDTLRLLPKCNHAFHIPCIDTWLRSHTNCPLCRASIVSSNNVSVTTEEAMSNLASIEQESVNLGRDQDTQMENSHNDRVGGLSNNIVNTNETLENRSVTEEASEVMELNDESYSKHHASRVLNDPNGNHDVVLYGKSCSENPESCPVDQIQDKKELQVDNVLKQESDYSKTCKTMRRSSIEGCLHISPVSMKRSFSYSGRILCTRSYMSLKNTLLSMKYLHSIPLDASILGHSY >OIW01756 pep chromosome:LupAngTanjil_v1.0:LG11:18608679:18619451:-1 gene:TanjilG_03894 transcript:OIW01756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTGNLFHNRKNSWPAQEYISKSTLHLLDFDDAAPPEQAWRRKLNSHANLLKEFSVTFMEAIKMVKLGVRMWSYVREEASHGRKAPIDPFTRESCKPSATQGVPLGGMGSGSISRGFRGEFRQWQIIPGVCEPSPVMANQFSIFVSRDGGNKSFASVLAPGQHEGLGSRKRSDDQGISSWGWNLNGQHSTYHALFPRAWTIYDGEPDPELKVSCRQISPFIPHDYRESSLPAAVFVYTLVNTGKERAKVSLLFTWANSIGGNSHLTGDHVNEPFMTAKGNPPVTFAIAACETQNVSVSVLPCFGLSDASNITAKDMWRKMVQDGQFDRENFSSGPSMPSSPGETLCAAVSASAWVEPHGKCTVAFSLAWSSPKVKFSKGSTYHRRYTKFYGASEGAAVDLAHDALTHYKRWEEEIEKWQNPVLKDEKLPEWYKFTLFNELYFLVAGGTIWIDSPLLASNMVNDQGQSKELECSTVKVTEVRVDCRQGADVESTEDNSYDSTSINGPNLDEQDVGDVSDENESVITFRKGNSTSALHSLTMTDQEYENDVGRFLYLEGVEYVMWCTYDVHFYASFALLELFPRIELNIQRDFAKAVLCEDGRKVKFLAEGNWGIRKVRGAVPHDLGTHDPWHEMNAYNIHDTSKWKDLNPKFVLQVYRDFAATGDMSFGVDVWPAVRTAMEYMDQFDRDNDGLIENDGFPDQTYDTWTVHGVSAYCGCLWLAALQAAAAMALQLGDRDFAETCKRKYLKAKPAFEEKLWNGSYFNYDSGSSGNSKSIQADQLAGQWYTASSGLPSLFDDFKIKSALRKVYDFNVMKVKGGRMGAVNGMHPNGKVDETCMQSREIWTGVTYGVAATMILAGMEEEAFTTAEGIFLAGWTEEGYGYWFQTPEGWTMDGHYRSLIYMRPLSIWGMQYALTMPKAMLEAPRVNIMDRIHLSPVNGGLSHNETGKYSHIVP >OIW01615 pep chromosome:LupAngTanjil_v1.0:LG11:22920420:22921211:1 gene:TanjilG_31205 transcript:OIW01615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNRGQGGIQQLLAAEQEAQRIVNAAKNEKLARLKQAKEEAEKEIAEYRAQLEREFQKKVSDSSGDSGANVKRLEKETDEKIAHLKTEAGRISDDVVTMLLKYVTTVKN >OIW01420 pep chromosome:LupAngTanjil_v1.0:LG11:30843509:30845689:1 gene:TanjilG_25716 transcript:OIW01420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTDITASTKPEYPVLDRNPPFTRVVGNFNTLDYLRFVTITGVSVTVGYLSGIRPRIRGPSMVTGGLIGAMGGFMYAYQNSAGRIMGFFPNDGEVAHYKK >OIW02931 pep chromosome:LupAngTanjil_v1.0:LG11:136578:144594:-1 gene:TanjilG_29707 transcript:OIW02931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSAGTNKKKSNARLLDNHDSPITPHRISVDETALHNRPSTGTPAPWAPTLSILARVNQVNRTRKDDTTDSVNPVFVAEFPQLVRDDQASLLHKRVSAEDYTSGGIDKSTSLAWIICGNKVFLWSYLSPASLMKCVVLEIPFDSLDDGDVGRSDAGSWLLCVVNCDSASVGTNKVARHCNSAAVVMCNRKTRAVIYWPDIYSQSHNAPVTSLASSDELEALLTPDRKTSFNRHRGQSKLSSGVNALPLFNSLIASSVPNCNFICVALACSSNGELWKFHCLPSGIHRTKVYENIVHFPHQGGGDSGQFVSNKGYPKSLTWCSPHYSTKESNRQFLVLTDRGIQCFRVEFNSDVHVSKLWSQEIVGTDAEVGIKKDLAGQKEIWLLDVMVDDRGKVFTILFAIFCKDRISSSSYLQYSLLTMQYKSGLGGENTNDRVLEKKAPIEVIIPKARVEDKDFLFSMRLRVGGKPSGSTLVISGDGTATVSHYYRNCTRLYQFDLPYDAGKVLDASVLPSADDYEEGAWVVLTEKAGIWAIPETAVILGGVEPPERSLSRKGSSNERSTQEEIRNLTFSGNFAPRRASSEAWGAGDRQRAGLSGITRRTAQDEESEALLHHIFNEFLSSGQLDRSLEKLETSGSFERDGETNVFVRMSKSIIDTLAKHWTTTRGAEILAMAAVSTQLLEKQQKHQKFLHFLALSKCHEELCSRQRHALQIILEHGEKLSAMTQLRELQNLISQSRSTNVGYSNSSLGIQMSGALWDMIQVVGERARRNTVLLMDRDNAEVFYSKVSDLEDFFYCLDAELEYIISPEHPFGIQIQRACELSNACVAIIRTCFNYKNENHLWYPPPEGLTSWYCQHVVRKGIWSVASILLQLLNGNSGLDKSAKLDVYNHLEALGDVLLEAYSGAITAKIECGEEHTGLLNEYWVRRDELLESLYQQVKEFEDTHKDLIEGAQEQSEEAIMKVTSHLLSIAKRHGCYKVMWTICCDLNDSELLRNIMRESLGSNGGFSNYVFKKLHESRQFSELLRLGEEFPEELSIFLTEQPDLLWLHDLFLHQFSSASDTLHALALTQNLQSTSVAEEEGEEEYIKSKLNLVDREKLLYLSKIAAFAAGKDAGTQVKVDRIEADLKILKLQEKVMKCLHSIEDKEHVEHQLLHPEDLIKLCLEGEERELSLWAFDVFAWTSSSFRKVHLKLLEDCWKNAASHDNWSILQNSYTVGGWSDDETQQNLKNTVLFQASSRCYGSRAETFEKGFDHVLPLRQENLEDTSVPNGMSSSVETILMQHKDFADAGKLMLMAVMLGSERDIKLEGGPSPME >OIW02917 pep chromosome:LupAngTanjil_v1.0:LG11:212230:214161:-1 gene:TanjilG_29693 transcript:OIW02917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGFPEPPKHYMAHMFLSVRMDPVIVDAVFVGLSWGQMGLSEYEQMKEQEQRLLLNGIRSSEESSAAYRSQDNFVSFCQSNGVGYCQENGDSACSQNTVLVEKRKNPDAIEVEAKLIADSNSTETAISKIKSGKETSRKVHSMTWLDGWEQEDTYAALAVACAAVSVVVAYKFYKVL >OIW02398 pep chromosome:LupAngTanjil_v1.0:LG11:3677836:3681338:1 gene:TanjilG_04991 transcript:OIW02398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVHHTEVSSMREQGVFSLEDNGHSERSQWMLDSPNPPPLWKKLFSSVKETIFPHGNKFCFSTKKKTCQGHVVSFLQTLFPILSWLRNYKASKFKDDLLAGLTLASLSIPQSIGYANLAKLDPEYGLYTSVVPPLIYAMMGSSREIAIGPVAVVSLLLSSLVQKVEDPVANPHAYRNFVFTVTFFTGIFQTAFGVFRLGFLVDFLSHAALVGFMAGAAIIIGLQQLKGLLGISHFTTKTDAVSVLTSVYKSLHHQITSAEKWCPLNFVLGCSFLIFLLITRFLGRRNKKLFWLPAISPLLSVVISTSIVYLSKADKHGVNIIKHVKGGLNPSSAHLLEFHGEHVGQAAKIGLICAIIALTEAIAVGRSFASIKGYHLDGNKEMLSMGFMNIVGSLSSCYVATGSFSRTAVNFSAGCQTAVSNIVMAITVIVSLELFTRLLYYTPVAILASIILSALPGLIDISEACYIWKVDKLDFLACVGAFLGVLFASVEIGLIVAVTISFAKILIQAIRPGIEVLGRVPRTEAFCDVTQYPMAISTPGIIVIRISSGSLCFANANFWVSDDDDIKATSKGRVQAVILDMTNLMNVDTSGILALEELHKRLLSRGVELAMINPRWLVIHKLKLAHFVDKIGKQWIFLTVGEAVDACISSKFASA >OIW02498 pep chromosome:LupAngTanjil_v1.0:LG11:4688489:4689250:1 gene:TanjilG_05091 transcript:OIW02498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKKSSPLHPKYEPSHYDDYGFNLQEDFSMFLEEAKQHGKETKLKISSSVYPEESQKAGPDKEKRVKKSWKTSLISWWKAEKKNKVREEHTNKSSQTKVSVKRQGHVSGPIYNCSNGDYGKQWHPKSGPITSLFKATKREEIEIRYVSLNQQNSTRDVHNYGPLYVVT >OIW01929 pep chromosome:LupAngTanjil_v1.0:LG11:13022257:13022445:1 gene:TanjilG_14162 transcript:OIW01929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMTMSNLKNTDASLRNMKIQITQLAKPLAENQKSTFSTNTKVNLREHYNVVLRGELSSSEQ >OIW01226 pep chromosome:LupAngTanjil_v1.0:LG11:33847079:33847907:1 gene:TanjilG_10387 transcript:OIW01226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFIVALALLFGLLLALLLIPRHHKSGQKGNAHSTLNNKDKESKSFSKSEVSLHHKRTDCWIIIKNKVYDVTPYVEEHPGGDAILAHAGDDSTEGFFGYASVPFYPLFVCDEDTPSGFMFVFSL >OIW02904 pep chromosome:LupAngTanjil_v1.0:LG11:290521:293015:1 gene:TanjilG_29680 transcript:OIW02904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELDLGKLFIGGISWDTDEERLREYFGKYGEVIEAVIMRDRATGRARGFGFVVFSDPAVAERVIVDKHIIDGRTVEAKKAVPRDDQNAINRQPGGVLGSPGPGRTKKIFVGGLPSTITENDFKQYFDQFGIITDVVVMYDHNTRRPRGFGFISYDSEDAVDRVLHKTFHELNGKMVEVKRAVPKELSPGPSRSPLIGYNFGLNRASIYLNSYAQGFNMNPRGGYGIRMDGRFSPLSSGRSDFNQFGSVGYGMGVNLDSGLRPMYGGTSNYGNSLGYGRILSPIYSDNNSGRYTTPIGYNSSGNMRGDSLVNSTSRNLWGNGGLNNTNTTISQVNPGAYLGSGSETFGVSIGNSGINRSPPVPTQGGGTSAASGFTNWSNAYEGGGDSNIGSGEGGYGRNISTNVTQSSSFAAPAGGYEGFYGDLYRSGSVYSDSTWRSAASEIDGSSSFGYGLGGIALDDPVKTSEGFIGNYNVTSRQPNTGIAA >OIW02807 pep chromosome:LupAngTanjil_v1.0:LG11:955924:956507:1 gene:TanjilG_29583 transcript:OIW02807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQKGKKPITSVPQFGGWDQNAAGATDYSMVFTQARANKKHMKTDLTEVKRISLGNEHDFVKTKRGHSNLRHAHSLPHHHRHHHAPANVHDHTRDDPVVIGKRRILTYINCCIRP >OIW01970 pep chromosome:LupAngTanjil_v1.0:LG11:11767130:11770593:-1 gene:TanjilG_09080 transcript:OIW01970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRNVLKRFHQRFDPTLLKPHKTSSRTSLFHTATKTLAQASKLNFVSNNLDEESEWKWRVKEEKDECSLVNNKEECAVQISHPWPEWVNLMKYLLKKGYFDGAEGNPFGNSDLGSKECNVLRTACLNFGRDRFDLLRLLPLKDIGVTVALGCPSLDRKVINSGKRLRAHVGIDEGNVCSSCNLRGDCDRAFVKAREDEGGRTVDVMRIILTYGLDPIIGSVQNKPCINKNVKESVISLLRVIVEHSTKEEDSNRPDTAKVLIGQVVHNPRDKGKADVLMKPGDWFCPKCNFNNFARNIKCLRCDSFCEERIKQLQEDNNHLPLKKGDWICIKCNFLNFAKNTRCFLCKEKPPKRHLNPGEWECDSCNYINFRRNMVCLKCDHRRPKVLNASNSSDQPQHEDKDYPKNSRMSFVRHHGDSSDKSSMFSGRKNRNKDSPVWRFTEDRVEDRNYLNTSNGPSQSIDFPIAGGKAELPAARRREAAKNELLNRWESETNDELGSSDIKSTDDELGSSDDEDMSDWFGNGKKDR >OIW02924 pep chromosome:LupAngTanjil_v1.0:LG11:180888:182790:-1 gene:TanjilG_29700 transcript:OIW02924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVDELVKNAKYIATPGKGILAADESTGTIGKRLSSINVDNIEANRQALRELLFTSPNALQYLSGVILFEETLYQNASDGNPFVQLLQKNDNGIHNILRLIPGIKVDKGVVELAGTNGETTTQGFDSLGARCQQYYKAGARFAKWRAVLKIGPNEPSELSIQQNAQGLARYAIICQENGLVPIVEPEILTDGSHDIAKNAAVTETVLAAVYKALNDQHVLLEGTLLKPNMVTPGSDSPKVAAEVIAEHTVRTLRRTVPAAVPGVVFLSGGQSEEEATLNLNAMNKLEVLKPWTLSFSFGRALQSSTLKTWAGKKENVAKAQEGFLARCKANSEATLGNYAGGSGDELASESLFVKSYKY >OIW02892 pep chromosome:LupAngTanjil_v1.0:LG11:371015:373629:-1 gene:TanjilG_29668 transcript:OIW02892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKENEPAKLLLPYLQRADELQNHEPIVAYYCRLYAMERGLKFPQSERTKTTNSLLISLMKQLEKDKNSIQLGHEDNLYVEGFALNVFGKADKQDRAGRADLNTAKTFYASSIFFEILNQFGALQPDLEQKQKYAVWKAADIRKALKEGRKPVAGPPDGDEDLSIPPSTPNNEYDTGTNGTTITSPGPESDPSHNHDNPVNYQNLPTTHPTPKFHDTINDQHSTSIPPSMQFYEGVNNNKHSSNFSPSSHPHASTGYPSQDYPPPPPSQDYHAPPPSQDYHTPSSHDYHSPPPRRSETSYPEPYTHQHYSPENSQHLGPNYPSHETSSYPHFQSAPSFTENNLPSVPSNYTYYQSSDASYSSQSAPLTTNHPSSAQHSSSSRNGIVSEPNPTTQTYQYDSNYRLTPEKIAEAHKAARFAVGALAFDDVSVAVDYLKKSLELLTNPSVSQ >OIW02695 pep chromosome:LupAngTanjil_v1.0:LG11:1787958:1789593:-1 gene:TanjilG_29471 transcript:OIW02695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGICCGVVEGETPTPIASSSLRRRCLDLFPLKCIADMAVPPMEGNNRKRQKLDSQPQPRECHNTVQNRESILTTPNKNEEQNKETKGHNQIVVVSEIPTLDSTELENEVVVEEYPKYGVTSVCGRRREMEDAVSVHPSFYSKDSNTQNQDQKSFHFFGVYDGHGCSHVATMCKERLHGIVKEEIEKTDENLDWKSTLEHSFDRMDKEVQGWTHTKHTCICKCNLHTPHSDAVGSTAVTAVLTPEKLVVANCGDSRAVLCRNGVAIPLSSDHKPDRPDELVRVEAAGGRVIYWDGARVLGVLAMSRAIGDNYLKPYVISEPEVTVTERCDEDECLILASDGLWDVVSNDTACGVVRTCLEAQRKTVSPPGSPRSLMTAEGSDKACSDASILLTKLALARHSSDNVSVVVVDLRRDKRQHHHQTTTKA >OIW01556 pep chromosome:LupAngTanjil_v1.0:LG11:25632500:25636994:-1 gene:TanjilG_10838 transcript:OIW01556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSSTRPWVRVCQDTIGNGKAGTKAQCLSSADRALKGGKSVFIDRCNLEKVQRSEFIKLGSGPQIDVHAIVLDLPAKLCISRSVKRSGHEGNLQGGKAAAVVNRMLQKKELPKLIEGFNRITFCQSESDVKDALNTYSALGPLHNLPNGCFGQKSPDAKIQVGIMRFLKKSEVPVETASTDNGIKESTSQTHGNVDPRCKDTEKVSSIPDNAYLESKEAQGRAVGSASSDANQDAPTLAFPSISTSDFQFNHERAADIIVDKVAEFSNKIGNARLVLVDLTHKSKILSLVKAKAAEKNVNTQKFFTHVGDITRLYSTGGLRCNVIANAANWRLKPGGGGVNAAIFNAAGSELENATKEKAKSLSPGNAIVVPLPSSSPLFTREGVTHVIHVLGPNMNPQRPNCLNNDYNKGCNILQEAYAALFEGFVSILGTQVEQTNVRNENLGNQSLALQNKSECCYKNNFTNTDQKSKRDADHGSEKSKKYKETRDDFGSTITDSRDEKVDSEHRRTDGSMNKAWSSWAQALHQIAIHPEKHKDDLLEISEDIVVLNDAYPKAKKHVLVLARTRGLDCLADAQNEHLQLLKNMHDVGLKWVEKFLHENASLVFRLGYHSVPSMRQLHLHVISQDFESKHLKNKKHWNSFNTAFFRDSVDVMDEISSHGKATLKDDDKLLSMELRCHRCRSAHPNIPRLKSHISSCKATFPAHLLQNERLVNASSEPKNND >OIW01211 pep chromosome:LupAngTanjil_v1.0:LG11:33588168:33590847:1 gene:TanjilG_10372 transcript:OIW01211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNLHMSHVDLEQGHNRHSVVGSDVSVAEGGSQCFSDADDGSCYSHFYSINGGECDDYSFDCVSDIELEGVADSGRVSSVTECSVEVEIASVVPEMKVHLGNKVERDCRICHMGLESDSHESGVPIVLGCSCKDDLAAAHKNCAETWFKIKGNRTCEICQCVAQNVFGPNDEPTEHSSNYATTAAILSVPAPPSEPPRFWHGHRFLNFLLACIVFIFVISWLFRIKVPSS >OIW02140 pep chromosome:LupAngTanjil_v1.0:LG11:8177831:8178283:-1 gene:TanjilG_06735 transcript:OIW02140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYIFLYYFGFVSKIWKAWTKNKIPSNSGPVKQSLDCIQSGLRPCKEEVIMVMERVGINVECDGIEEFDVQEIEQLFEKGISLGEMEEAFDVFDQNKDGFIEASELKRVLFCLGMEKDLMECKKMIDAFDQNGDHLIDLNEFVEILEQSFG >OIW01859 pep chromosome:LupAngTanjil_v1.0:LG11:15898763:15900835:-1 gene:TanjilG_07154 transcript:OIW01859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLFSSQNPTNPTFLPPPPGIPFPAATTTVTAPNGITTTPKTPTPILIPKPEPFEGWVETQNTKESQQQTTFSNGPHTLLNLFGDETLASASSDKNDTVSNFNQISEVFRTAFSKGLQQFQVPKEEHDTILDHESGAIVPVPPPENNSNNSNKDSPGAVALSTPRSRVKELVRVTDFGGIKEQRHFRDVVRKTRMIYDSLRVLSTVDEERRVEIDHRRVRADLRASNLMRNCGLWLNRDKRIVGAIPGVCIGDVFLYRMELCVVGLHGQPQAGIDYLPASFSANGEPIATSVIASGGYEDDLDEGDVIIYSGHGGQDKHSKQVFHQKLEGGNLAMERSMQYGIEVRVIRGVRCEGTASASGKLYVYDGLYKIVQCWFDVGKSGFGVFKYKLCRIDGQPKMGSSVLKEANKLRKDPLSFKPMCCLSLDISIKKEYVPVRLFNDIDQNNDPLYFEYLMRTTFPDFVFHQSGRATGCECVGGCVDGCFCAMKNGGEFSYNQNGVLLRGKPVIFECGPFCRCPPHCRNRVTQKGLKHKLEVFRSRETGWGVRSLDLIQAGAFICEYTGVVLTKEQAEILSMNGDSLIYPNRFSNRWTEWGDLSLIDSNYVRPSYPSIPPLDFALDVSRMRNAACYMSHSSTPNVLVQFVLYDHNNLMFPHLMLFAMESIPPMRELSLDYGVADEGTGKLSICN >OIW01629 pep chromosome:LupAngTanjil_v1.0:LG11:21998188:22003513:-1 gene:TanjilG_14628 transcript:OIW01629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVVGKVGSLITQGVYSVATPFHPFGGAVDVIVVQQQDGTFRSTPWYVRFGKFQGVLKGAEKIVRINVNGVEANFHMYLDNSGEAYFIKEVDDDKGVEDNGVVQDSNNENNSFLGNVHRLDHSVSDSVVLQLKGEGDSSELPRIQRAESDGDRRFCDFQDDRSSFEDPIELPEYGCNAYESLEGENFEDSHGSHPEMVLVSVDGHVLTVPISESEKNEENVQLRNPQFHLGPAEETDLCEGEEFGSGENAWAADYIIQLDAPAANVSPKQCDTVGVDNTSEIPLEVSKEGEGHIRQARETLGIENQDLNVQTDSEGVASGVERENVLNSCLELQGLGQQAGNADVLVRGSSLEVQILAEESIAGSPLYENEQESIVQSKNIDKVSLSGGFTSSNGHRSPKSELGLEEVERNATGEVEIVPDAHSATSDTEWNDENVGKTVSDNEVDENQRIPALEDMSNKSKVVEPQIETSNKEDQIHSALGFEISLCGHELKAGMGLVAAAEVFEAHKISAVDFTSSAPSLIKNQNLVVKFKHRYLTWEKAAPLVLGMAVFGLDLPDESKDTIPVEEDYAEKSRDGNPGSPSSGRRWRLWPIPFRKVKTIEHTNSNSSNDDIFLDSESGFFIEPSPTSSTQGSPRKQLVRTNVPTNEQIASLNLKDGQNLITFSFSTRVLGTQQVDAHIYLWHWNTRIVISDVDGTITKSDVLGQFMPLVGKDWTQSGVARLFSAIKENGYQLLFLSARAIVQAYLTRNFLLNLKQDGKTLPNGPVVISPDGLFPSLYREVIRRAPHEFKIACLEDIKRLFPSDYNPFYAGFGNRDTDEISYRKIGIPKGKIFIINPKGEVAISQRIGAKSYTSLHTLVNDMFPPTSLVEQEDFNSWNYWKMPLPDIE >OIW02823 pep chromosome:LupAngTanjil_v1.0:LG11:860224:862742:1 gene:TanjilG_29599 transcript:OIW02823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFSEENLIGVTLALVSSGFVGASFIIKKQGLKRAAAISGVRAGVGGYYYLLEPLWWVGMITREVANFVAYAFAPAVLVTPLGALSIIVSATLAQLVLKEKLHPLGILGCVMCIAGSVIIVVHAPKEQPIKSVLEIWNMATQPAFLAYVGSIVVLVFILVFHFAPSCGYTNVLVYTGICSLMGSLTVMSVKALGTSLKLTFEGENQLLYPETWFFMLVVAICVIMQMNYLNKALDTFNTAVVSPIYYAMFTTLTILASVIMFKDWDGQSGVTIVSEICGFIVVLSGTILLHTTKEFERISSFRGSAPSSPSLSVRLYNGNDDFVVQHDEENGPPSESMCSRRQELY >OIW01926 pep chromosome:LupAngTanjil_v1.0:LG11:14286536:14288865:-1 gene:TanjilG_15251 transcript:OIW01926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSGFSSGWPSVVRLRLKDKSATPFCIFSKVKSASNNPRSAPVYLNVYDLTTVNSYMYWAGLGIFHSAVEVYGIEYAFGAHDYPTTGVFEVEPRQCPGFKFRKSIFMGTTTLDPLQMRDFMERQSANYNGDTYHLIAKNCNHFCEDICFKLTGSSIPKWVNRLARIGSLCNCILPDALKTSNVEHDPDFEECDNEKRRLRSAFSCLSSISMSQKEVSMSSLFLHSHYKGCLPPWELKRSKKGSLKQK >OIW02339 pep chromosome:LupAngTanjil_v1.0:LG11:5718860:5719597:1 gene:TanjilG_11233 transcript:OIW02339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRLTKFKPLISSLSTTHQLTQRCTVSGTAKGKGKIKAGQTLKRSKITIKKQPGLESKPTGPMSRERQERENLYDQCLNAPTPVRYLTPNQRAREAEREKMGLISKDRKRELDMMKKKNDKLKVSETPTIIGTPGLDLITLGLVDVEKLPKYELNVEDGRKLAKEYSRVMMRKHRARQAAESNLLKMKKEAIEALPEGLREAALVPDLSPFPVNRFMATLTPPHEGYIEKVRDAAKKISGTEKIR >OIW02657 pep chromosome:LupAngTanjil_v1.0:LG11:2003550:2005384:-1 gene:TanjilG_29433 transcript:OIW02657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRQPCCDKVGLKKGPWTADEDKKLINFILTNGQCCWRAVPKLAGLLRCGKSCRLRWINYLRPDLKRGLLSEYEEKMVIDLHAKLGNRWSKIASHLPGRTDNEIKNHWNTHIKKKLKKIGIDPVTHKPLSNSATDQTKQQLNQPLEEPFQVDFDPIFEPEIEQNKEPEIQETSIDSSTITKAKEEDNIITPLFDTMDDVMNGFCTDEVPIIEPHEILVPCIPSSSSNSISSSSNSTNFLEDLQLPDFEWSCNYNNSDANDNKNMDLWDDDDFISSLNMLINDVGDDEKQVFDATDGSHCQYQKTVMESESWAFGLF >OIW01918 pep chromosome:LupAngTanjil_v1.0:LG11:14112879:14115356:-1 gene:TanjilG_15243 transcript:OIW01918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTASYNPIIQHILLLTAINLDNVVFFRLENSASNPPGSNAPSILETGKAFTAKGMQVLEYVGKETMDLLISETGIEVDKAYKEGEEQNDEDQLSEEMTFDRCFYIYGGPEQLEELEALSSHYALLFNRRKAKLSAEQKSEYDAKLKEIQQLFNLSSEIDGSNASSNKGKTIKRGNEGSSDEMKSLHDSSVSKAADMAAGFTSALTGLPVNDIIQRSAGRLESLHSEGVHRLSEMCCFAVSQLLTFGKSIISGANKTEDGEDDENKVNIEWPEDVSAKAEIIRTNAQTMIGYVEAVSNSFVTGISDVTEAYQAAIKGVSAESHTAVPPTSVQEKASAFSENLRADQTTAIHKIQDGVQFLAYVVLSTSMNAA >OIW02947 pep chromosome:LupAngTanjil_v1.0:LG11:10961:19761:1 gene:TanjilG_29723 transcript:OIW02947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLILRVLENVLQPSFLQKMTVLSLLDKVSQDPHIIIDIFVNYDCDVDASNIFERIVNGLLKTALGPPTGSTTNLSQAQDITFRHESVKCLVSIIKSMGTWMDQQIRIGDLYLAKSSESSSPAENHLTLNGEEGNTSDYELHTDQNSEFSDAATLEQRRAYKIELQKGISLFNRKPSKGIEFLISNNKIGSSPEEVALFLKNTARLDEIKIGDYLGEREEFSLKVMHAYVDSFNFKGIDFGEAIRFFLRGFRLPGEAQKIDRIMEKFAERYCKCNPSSFSSADTAYVLAYSVIMLNTDAHNNMVKDKMTKGDFIRNNRGIDDGKDLPEEYLGALYDQIVKNEIKMNADSSAPQSKQANSFNRLLGLDGILNLVNWKQNEEKAVGANGLLIRDIQEQFKSNSGKSESAYHVVTDVAILRFMVEACWGPMLAAFSVTLDQSDDRLATSQCLQGFRHAVHVTAVMAMQTQRDAFVTSVAKFTYLHCAADMKQKNVDAVKAIISIAIEDGDYLHEAWEHILTCLSRIEHLQLLGEGAPSDSTFFTSSSFETEGKTPKTLGFSSFKKGTLQNPAMVAVVRGGSYDSTSVKVNASALVTPEQINSFISNLNILEQIGNFELNHVFAHSQRLNGEAIVAFVKALCKVSISELQSPTDPRVFGLTKIVEIAHYNMNRIRLVWSRIWNVLSDFFVSVGLSENLSVAIFAMDSLRQLAMKFLEREELANYNFQNEFLRPFVIVMQKSNSTEIRELIVRCISQMVLSRVSNVKSGWKSVFMVFTAAAADERKNIVLLAFETMEKIVREFFPYITETETMTFTDCVRCLLTFTNSRFNSDVSLNAIAFLRFCAVRLADGGLVCNKKSTFDGSSLIAANGVSDKQACTDKDDHVSFWNPLLSGLSKLTSDPRSAIRKSSLEVLFNILKDHGHLFSHTFWDSIFNSVIFPVYNSVSGKRDMSLQEGHCSPSSVSVYHEGVTWDSDTSSVAAECLIDLFVNFFDMVRSQLPGVVSVLTGFIRSPVQGPASTGVAGLVRLTGDLGSRLSEEEWEEIFRCLKDAVTSTVPGFMKVLRTMNSIEVPKMSHSSDHEMTMDGLDDDNLQTAIYVVSRTKNHIATQLLILQVVSDLYKMHQQSLSAANIKVLIELYSSIALHARQLNSESVLLKKLQKACSIMELSGLPVVHFENESFQSRLNFLQNLLVHDRVVYDEIDIEPEFVAVCENVLDIYLNCGGSLSSSHKLDTQTVPRRKLPLSSAKKEEIAARTSLVISALQGLGGLKKDSFRRYIPRFFQLLVDLVRSEHTSGEVQLALIDMLRSCVGPIIME >OIW01712 pep chromosome:LupAngTanjil_v1.0:LG11:19419940:19422557:-1 gene:TanjilG_05165 transcript:OIW01712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYVLTTLSKKQELDSIIRDTIDKVLVLRFGRASDSVSLQLDQILSKAARDVSKFATVALVDVDSQDIQVYVNYFDITFIPSTVFFFNAHHMKMDSGTADHTKWIGAFHRKQDFIDVVEAIFRGAMKGKLIVNCPIPPERIPKYQLLYKDV >OIW02632 pep chromosome:LupAngTanjil_v1.0:LG11:2429535:2430650:-1 gene:TanjilG_24083 transcript:OIW02632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGTVQFGLLAACVVLFVPMGMAGWHLSRNKVLFFSGALFITLAIGVHLTPYFPSVSDFVTSVSSSSSSNSVNVVVDDRDACVSLLHEIDWEVKPKRDFDPLNNNSMNYDKFWSWRRSTSVDSCEFQRLKKHDVMDLLNGSWIMVAGDSQSRIFALSLLTLVLDSKRLETVRESLFKRHSNYHIVVDEIGMKLDFIWAPYTTNLTNVVMDYKQNRVYPDILVMGSGLWHMLHITNASDYGASLLMLKSSLTSLLPITPDFSTDGPVTGSVSVRSPHLFWLAMPTLVNSMLNTPEKKEKMNNLMSGEYERELQSSNMLRQFGGPFQLLDIGSLSWSCGIRCTDDGMHYDGVVYEAGVHIMLNALLIESHQKL >OIW02471 pep chromosome:LupAngTanjil_v1.0:LG11:4344981:4349674:1 gene:TanjilG_05064 transcript:OIW02471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNYRLKQQQQQQQQQQALMQQALLQQQSLIQQQSLLQQQSLYHPGLLAPPQIEPIPSGNLPPGFDPSTCRSVYVGNIHTQVTEPLLQEVFAGTGLVEGCKLIRKEKSSYGFIHYFDRRSAALAIVSLNGRHLFGQPIKVNWAYASGQREDTSGHFNIFVGDLSPEVTDAMLFACFSVYPSCSDARVMWDQKTGRSRGFGFVSFRNQQDAQSAINDLTGKWLGSRQIRCNWATKGAGGIDDKPNSDTKSVVELTNGSSEDGKETLNSDAPENNPQYTTVYVGNLASEVTQLDLHRHFHSLGAGVIEEVRVQRDKGFGFVRYSTHAEAALAIQVGNAQSILCGKPIKCSWGSKPTPPGTASNPLPPPAAATMSGFSATDLLLYERQLAMSKMAGVHALNPLMHHPQGQHPLKQAPIGASQIYDGGFQNVAAAQQMMYYQ >OIW02074 pep chromosome:LupAngTanjil_v1.0:LG11:9335552:9337460:1 gene:TanjilG_14597 transcript:OIW02074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRGTLEVVLVSAKGLDGSDFLSSVDPYVILTYRAQEHKSTVKEGAGSSPQWDEIFLFTVSDSASELNLKIMDKDHFSKDDFLGEAIISIDAVVEEGSIPETSYNVVKDEEYRGEIKVALAFTPELERYDDQGYNAEESYGGWTESNRDI >OIW02104 pep chromosome:LupAngTanjil_v1.0:LG11:8433224:8436021:1 gene:TanjilG_26644 transcript:OIW02104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLNVPSQVPPVAEDCEKLRKAFVGWGTDEACVISILAHRNAMQRSLIRQTYAETFGEDLLKSLADELSGDFERAVLLWILNPAERDALLANEAIKKGSAGHCILMEIACTRSSHHLFLVREDYHIRFKRSLVEDVAHHTSGEFRKILVPFLMAHRYEGPEVNMELATSEAKIIHEKITENAYSHEELIRILTTRSKAQLSATFNSYNDLYGSTINKNLKADPKDKYLAFLRGAIKCLSYPEKYFEKVLRLSMKGFGTDEESLTRVVVTRAEVDMENIKEEYHRRNNVSLENDIKGDTSGDYQRMLLALIGNKSV >OIW02557 pep chromosome:LupAngTanjil_v1.0:LG11:3351645:3352787:-1 gene:TanjilG_12871 transcript:OIW02557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDLHANIWSKNNKILHLSLQKQSHVISIYSFIHTHSFLALFLLLVFVQTSSPATAQFVVGTEVDPNTNNKSSVFAVLAIVVILFFISAFLSLFSRQCAGGRTRTLSRINLALHTGNNGTRSRSESRGLKQEIIDTFPTFLYSNVKSLKIGKENLACAVCLNEFQDDETLRLIPNCNHVFHPSCIDVWLSSHSTCPVCRANLVPRSEATPPTVSVQIPNEEGETITNEENNDVESPKVNLLHRSQTMNQSRPTRSRSTRFLFNVLFPRSYSTDRDFGERFERYTLRLPDEVLNSTLKRTNSCVNFRRMGSGRKGFRTRSLGSGSGRSYLRSEEQSRFSTTMPFFTRNHWNRLSKKSSERSSVVMLDDHVGERSSDLMCPK >OIW01960 pep chromosome:LupAngTanjil_v1.0:LG11:11812701:11820886:-1 gene:TanjilG_11534 transcript:OIW01960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHELGLSSKKPFKKCARVVGEVLGKFHPHGDSAVYDSLVRMAQDFSLRCPLIQGHGNFGSIDADPPAAMRYTECKLDELTEAMLLADLEQDTVDFVPNFDNSQKEPSLLPARLPNLLLNGSSGIAVGMATNIPPHNLGELVDVLCVLIHNPEATVQELLEYMPGPDFPTGGLIMGNLGILDAYRTGRGRVIVRGKTDIELLDSKTKRNAIIIKEIPYQTNKASLVEKIAEHVESKSLDGISDIRDESDRTGMRVVIELKRGSDPLIVLNNLYRLTSLQSTFSCNMVGILNGQPKQMGLKELLQPTTPNNEDSSVTALGLRS >OIW01985 pep chromosome:LupAngTanjil_v1.0:LG11:11295864:11297999:-1 gene:TanjilG_14016 transcript:OIW01985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISKRFLFPIPTNLSSLHTSSILDIESQLISLCQKPNPNFSEAVSLFNRAIDSNSTPSDSSCNSLIDNLRKAKHYDSVVLVYSKMVRFSLLPQFTSLSALVESFVNTHKVKFAFGVLGLMIKRGFGVNVYNTNLVLKGCYLNGEIEKGLGLFRDMRRNCVFPDSFSYNTIINGLCKVKRLEEARGLFVEMKNGDCRPNLVTYGALISGLCKNGAVDEGLGLFEEMEKDGLVADVVVYSVLIGGFCNKGDIERGKELFNEMLKKNVAPTVVTYNCLMHTLCKKGKWKEASGMLDDMTARGINPDVVAYTVLADGLCKNGRASDAMKVLDLMIQKGVDPNTLTYNVMVNGLCKEGRVDDALRILKLMTEKGKKPDVVTYNTLLKGLGGAGKIDEAMDLGKLLLSNNLHVKPDVFTFNYLIQGLCKKGLIGDAKQMHSTMVERGFPGNIVTYTILIDGYLNAGKLSEALEHFKYAVDLGFSPTERTYSVMLNGLCKLQILGVARAFFNKIRASGMKPSVYDYNVLMTTLCKEGFLDQATSLFQEMRNLNHGPNVVSFNTIIDGTLKAGDIKTAKELLLEMHNMDLIPDAMTFSILINRFSKRGQLGEAKSVYERMIACGHVPDAFVFDSLLKGYSLMGETEEVTSLLHQMADKGVVLDSELTSTILRCLCNTSDDIKKTLPSFLQHTSKGKRITCNELLIELNKVHPELQLFVA >OIW02328 pep chromosome:LupAngTanjil_v1.0:LG11:5636049:5642430:-1 gene:TanjilG_11222 transcript:OIW02328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYTTLYTFSTTTTTTTIFTLFLFFHLTSSYTLPHHRRILHQPLLPQDTIPPIQPPNPPPSPPSPSPSPAPPNPKYPFSSTPTTTSNSPPFFPTYLSPPTPPSPSSFKSFPANISSLIIPHSQKSKSSSSKLIAAAIASVAAALIVVAISVFFYCRRRRKNDSTDGKTFRSDSSIRFFSHRDNNVETNSAGNRKVINNNSTSSEFLYLGTIVNSRGIDDRSDSRANGGSGNGLNPRKMDSPELRPLPPLARQGLELRRAREEVGSRKNVEDEEEEFYSPRGSIGGRESLNGTGSGSIRVLSAMAAENFVGGSSESSSSSFSSSSSASPDRSHSISLSPPVSLSPRKSPENGTPPAQPLTETLAADSRSSSSSPPHVLSRAVSPAYNQHVRQSSSSSSLSSTPERECQSLSPLLSPLSLSPIRLPEKTPDEKAQFSCSSLSKNDGVLSPPRLSNESGKSFSSSSDFSLPSPDKVVINQNHEILDHSPTISDVSDRYRHSPLSSLPLSPSLLSSPETELNSNSNPNSNHALNQSHRKQWQIPDLLTPPIPELVTFENVPTRKHWEIPVLSASVVSSIAVSAPPPPPPPPPPPLASRQRKQCEVPVGQPISRPPELIPPSRPFVLQTPTTKVSPVELPQSLRVIDESPEEASKPKLKPLHWDKVRASSDREMVWDHLRSSSFKLNEEMIETLFIVNTPNPKSKDNTPRSVLTPPSHEDRVLDPKKSQNIAILLRALNVTIEEVCEALLEGISDTLGTELLENLLKMAPSKEEERKLKEHKEDSPTKLGPAEKFLKAMLDVPFAFKRVEAMLYIANFESEMEYLRKSFQTLEDGKPNSANHVHTCFSGAAKYVVLSPNKNDVVPSPPRLSNASGKSVSSSSTAFSLPSPGKVIMNQNHQILDRSPTISDVSDRYGHSPLSSLPLSPSLLSSPETELNSNSNLNPNPNHTSNQSQRKHWEIPDLLTPPIVESVTVENVPTRKHWEIPVLRTPIVSSSTVSAPPAPPPPPPPPVSRQRKQWEVPAEASPSTPAGQPISRLPELIPPSRPFVLQTPTTQVSPVELPQSSGVFDESLEEATKPKLKPLHWDKVRASSDREMVWDHLRSSSFKLNEEMIETLFIVNTPNSKPKDNTPRSVLGPPSQENRVLDPKKSQNIAILLKALNVTIEEVCDALLEGITDTLGTELLESLLKMAPNKEEERKLKEYKEDSPTKLGPAEKFLKAVLDVPFAFKRVEAMLYIANFESEMEYLRKSFQTLEVACEELRNSRMFLKLLEAVLKTGNRMNVGTNRGDAHAFKLDTLLKLVDVKGADGKTTLLHFVVQEIIRTEGARLTSTNQAPSTTSNDDAKCRKLGLQVVSSLSSDLVNVKKAAAMDSEVLSIEVSTLSKGIAHIAEVVQLNETSVSDDRKQKFTESMLKFMRTAEEEILRIQAEESVALSLVKEITEYFHGNLSKEEAHPFRIFLVVRDFLTVLDRVCKEVGMINERTMVSSAHRFPVPVNPLLPQPLNRMLPQPLPGLYGKQHYSSSDDESPPP >OIW02385 pep chromosome:LupAngTanjil_v1.0:LG11:3508537:3509371:-1 gene:TanjilG_04978 transcript:OIW02385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVPSELEASSKKRKWEDPFTEDFFKDQSNEEKRKSIFGIELHLETPLPSHNWKQYLSIQSGHIHLCDTTRINSNIPNSKRCSEPEPEPQSQGQMSLDLELNLTYKSLVEKEDSCDIREKKKNNNFCSIGSSAAERDLSIESSGYKMDSPSWLSISEGDNKEMVATVCMKCHMLVMLCKLSPSCPNCKFMHPPDHNPSKFLNRRRCPLLC >OIW02150 pep chromosome:LupAngTanjil_v1.0:LG11:7894009:7902596:-1 gene:TanjilG_02374 transcript:OIW02150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNSRGSGAYGQSYTGQPAYGQNLGANYSGASVGGHDVGQHSVAGRHSTILGASQEADAAGYRPHTSTAAQYGGQYSSVYGSASLNSAQQVPSMGIKAAASSALDSRGGYSLGVSDSPKFASGDYVPSSSHGYAHKSDQMYGDKGLDYSGIDRRQYGERQSAYTGRDIPSDLAGRYAADPVGFSHQHQQAEIYDRIDQAALLRQEQLLKAQSLQGASHDGGARQGDYLAARAAASRHPTQDLMSYGGRMDSDPRASSMLSAASYSGQHAPSILGAAPRRNVDDLLYSQNASNPGYGVSLPPGRDYASGKGLHGNAMELDYPGVDRKDDRASYLREFEQMEEERRRERLRERDRDREREKERERLQDRERERERERKRMLERREKEKAREKERERKRALETKKELTPVRSTKGPRSTSKDPRSTSKDPRSTSKGPRSTSKDPRASSLSKDGRSSRRDSPHRSTLHRHHSPVKEKRREYVCKVYSSCLVDIERDYLSLDKRYPRLFVTPEFSKAVVNWPKCNLELSIRTPVSFEHDFFEEASSTEPRDSSNKLLMGPATSSEPGNIVWNAKIILMSGLSRTALEELSSDKVLDDRVPHICNFLRFAVLKKDHAFSAVGGPWEPADGGDPSIDDNSLIRTALRYAKDGIQLDLQNCKHWNRFLEIHYDRIGKDGFFSHKEITVLYVPNLSDCLPSLEEWCNQWLAHKKAVAERERQYSLKKEKSRDTNEASKDKKDKDSAATGKATDVKKKEKDSNNVKQETGTIDNKIAKIEGSDIAEEGKSAEKKQGETAIGQTTGSVKSVKKKIIRKVVKQKVVNKANHTTSKQMDKVGEKEGSEKMVTSNVPVLVDKASVDTPGVKISDKSIVAVAVSTGKSDGNEEKVNEINSSNDKQLEKPETTVAGGEATVKTTKKKKVIRRVPKKKVVGEASNSVVSAPGTDGGSAVAVQAQDSTQSISKQKSDADTTVNEVKKTVKVVAKKKLKTPTTAKQDNTPDSIKKESKSDKKDELNVVAIKEQNDTHSTGKSNADADTTVTEAKKTGKLVPKKKSKAPTSEKQDGVAVDPNTTEIKTGKEDKKDERATGEKSGAKTDKPKASQKDIKNGKGKLKDEDKSKDGKGTKERGGKDDPKSKSSKEVKEKRKSDEPPRHPGFIVRPKLTKDSKLRSLSLSLDALLDYTDKDVEDSTLELSLFAESFYEMLQFQMGSRILTFLQKLRTKFVIKRAQRKRPREDGDEKENVKKSSTKRQKGDEKDSVKSEPTNADASNPTQGDDEKREEKTVADNDNSSDKDADVKMEEGTDEEEDPEEDPEEYEEKEDGSPQHDSSTDKKNVEQDANVKVEPESMTSNEKATDETSKGETKVKEEVKEEAKSDAKINEEKEEKVDKSKKETPVKEVTVDRELLQAFQFFDRNRAGYIRVEDMRLVIHNLGMFLSHRDVKELVQSALLESNTGRDDRILYTKLVRMNDL >OIW02048 pep chromosome:LupAngTanjil_v1.0:LG11:9600938:9603304:-1 gene:TanjilG_21097 transcript:OIW02048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERYCCNDVVPFSAMVAIECTNVGVNILFKAATNKGLSYYVFIVYSFAISTLVLLLPMPFIFQWSRGLPPFKVSLIFRIFILGVVGFVAQLCGYKGLQYTSPTLSSALSNLIPAFTFIIAVFFRMEKLALRSKSTQAKILGTTMSILGALIVVLYKGCKILSTTSYPQQSPDAISLMASTSQRNWVLGGSLLAVEYLLVPIWYIVQASVMKQYPAEVIVVFLYNLCGTLISAPVCLLLEANLSAWKINPDITLIAIIYSGILCTGLSSLVHTWGLHLKGPLYISIFKPLSIAIAATLSVIILGDVLYLGTVIGAVILTFGFYAVIWGKAKENELNEDLGECRTQTPLNTKAPLLQSYKVEDNRDNGYNDC >OIW01438 pep chromosome:LupAngTanjil_v1.0:LG11:29227760:29228574:-1 gene:TanjilG_30912 transcript:OIW01438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMLITKEAEAHRHFTVVAKGVTEALPPPTGVSGDALTLFSVLGIPLAMIVSAISGPLDDAFGGGNLSAFVMGAIFAAMSGVLSIVLLPTPQPQDLAKATTLGGGFH >OIW01377 pep chromosome:LupAngTanjil_v1.0:LG11:32029603:32031403:-1 gene:TanjilG_12917 transcript:OIW01377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANIGSSCMKVIEELETGREYAKQLRDMMNGNDEEEEVVKKVLMSFTNSLLLLNNNPTSEIDNPAKSEDSQESNCKTFINKERRGCYKRRRNSQTREHESETPIEDGHQWRKYGQKVILNSKYPRNYYRCTHKYDQNCQATKQVQRVEDNPPLHKTTYYGNHTCRNLINPDIILDDSNSHPSVLLRFDNSLPTAAKLQDCPFISLTKVVKKECKEDIIVPSSSSNDYILSPELTFDNSSNSKIHSDYMDEMSSVFYDSAGFDQLPLSPFFNFDE >OIW01638 pep chromosome:LupAngTanjil_v1.0:LG11:22342498:22343103:1 gene:TanjilG_14637 transcript:OIW01638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAKEKERNFEGEKQEGELVHSVERGNENEFGRGNKERINGNKGKCKSFAPFHSQNPRDLQPIPSQPSIEELHYEALDKVEKSALSQATQLLSSSQTLGTVHINGPTRQKPQPLIQIGATSNTPIQPSNSGMSLSTNARDYNNSFPCQPHNYASTDIDLSNLVDKVSLEDMGNDRGPIIEPENRPTRVRKKPFWNTDYVEK >OIW02062 pep chromosome:LupAngTanjil_v1.0:LG11:9431571:9441885:-1 gene:TanjilG_21111 transcript:OIW02062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLDCFDSGSAFLCNSRTIMKARFSGVNKIGISGCSRNKNNSRVFCVGEFKVSNLKRSLSCKNGNNNALFMGNRAIWSKCQANDSLAYVNANGRSVSPDDEDSNSGSISGSERSEEEKLRKEVGGEVESGLQSVDELKELLQKALKELEVARVNSVVFEEKVKKISETAILLHDIAASAWNDVNSTLDTIQEIVSEEFFAKEAVQKATMSLSLAEARLEVAVESLEVENEASSPQGSNENNVDIDIVDEKKALLVAKEEFEANLVNCEANLRRLQSRKEELQNEVNKLHEIAEKAQLNAVKAEEDVTKIMLLAEQAVAVELEAAQRVNDAEIALQRADKSVSSFNADTIDTLQVQDVVAIPGEEKVDQLFSGDDIVERNKDFPIDDESLLAERAPETVSDKNSHVLEGIKQSDYLSDIENGQLSLDSSKEAEVIVEKSKSAVQTKKPETQKDLTRDNSVIAPKASLKKSSRFFPASFFSFTVDDTDQTPESVFQGFVESTRKQLPKLVFGLLLMGAGFVFYSNRAERTAQLLQQPEIVATTVEEVSLSAKPLVRQLQKLPQRIQKIIASLPEQEVNEEEASLFDMLWLLLASVIFVPIFQKIPGGSPVLGYLAAGILIGPYGLSIIRHVHGTKAIAEFGVVFLLFNIGLELSVERLSSMKKYVFGLGSAQVLMTAVVIGLVAHYVCGQAVPAAIVIGNGLALSSTAVVLQVLQERGESTSRHGRATFSVLLFQDLAVVVLLILIPLISPNSSKGGVGFQAIAEALGLAAVKAAVAITAIIAGGRLLLRPIYKQIAENQNAEIFSANTLFVILGTSLLTARAGLSMALGAFLAGLLLAETEFSLQVESDIAPYRGLLLGLFFMTGIMSPHLSSLLFLVVGLSMALTPYLAAGGQLIASRFELHDVRSLLPVESETDDLRDHIIICGFGRVGQIIAQLLSERLIPFVALDVRSDRVTIGRSLGLPVYFGDAGSREVLHKVGAERASAAAVTLDSPGANYRTVWALAKHFPNVKTFVRAHDVDHGLNLEKAGATAVVPETLEPSLQLAAAVLAQAKLPTSEIAASINEFRTRHLAELTELCEASGSSLGYGYNRIMSKPKSQSSDSSDEAQASEGTLAI >OIW01720 pep chromosome:LupAngTanjil_v1.0:LG11:19317254:19319558:-1 gene:TanjilG_05173 transcript:OIW01720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAFTERQEALVKNSWEELKENIPQLSVRFFTWISEIAPVAKDMFSFLKDSDEIPQNNPVLQIHATKVFKLTYESAIQLREKGVVAVDPTVKYLGSIHIKNGVLDPHFEEAIGDKWSEELSNAWAIAYDELADVIKKEMKDGILAWSTFCS >OIW01940 pep chromosome:LupAngTanjil_v1.0:LG11:12196438:12199325:-1 gene:TanjilG_25096 transcript:OIW01940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAKANLISCNFSTLPHLPLKNQNSRTSLQPHNPQLTLLPYRKTIATRFSTCFKKPLFVKTNNTPSLKGSIFHICHSSVNQENVKNENEVENENGNGGNERDWTTSILLLLLWAGLMYYVFFLAPNQTPSSDEYYLKKLLNLKGDDGFRMNQVLVSLWYIMGLWPLTYSMLLLPTGRSSKSKVPVWPFLILSCFGGAYVLLPYFVLWNPPPPPVEETELKKWPLNFMESKVTAAVSLAAGIAIIAYAGLAGGNEWKEFYQYFRESKFDKAVCIYPP >OIW01184 pep chromosome:LupAngTanjil_v1.0:LG11:33261608:33265067:1 gene:TanjilG_10345 transcript:OIW01184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMASSPRTVEDIFKDFSARRTGVVRALTHDVDEFYGLCDPDKENLCLYGHPNETWEVTLPAEEVPPELPEPALGINFARDGMNRRDWLSLVAVHSDSWLLSVAFYLGARLNRNERKRLFSLINDLPTVFEVVTDRKPIKEKSTVDSGSKSRGSSKRSSDGQIKSNPKFDEGYEEEEDEHSETLCGSCGGNYNAADEFWIACDICERWFHGKCVKMTPAKADSIKQYKCPACSLRRGRP >OIW02302 pep chromosome:LupAngTanjil_v1.0:LG11:5331757:5334660:-1 gene:TanjilG_11196 transcript:OIW02302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWNPNTLQFLSETFLHTLSPAPEPRRRAESALSEAADRPNFALTVLRLIAEPSVDDQIRQAAAVNFKNHLRHRWSPSDDNAATIIASEKVQIKSLIVPLMLSASPKIQSQLSEALALISNHDFPKSWPDLLPELVANLHKASQTSDYYKTNDLLLDLKYCLDSFAAPLLEIFLKTASIIDSAVNSGANLRPLFESQRLCCRIFYSLNFQELPEFFEDHMNEWMTEFRKYLTNGYPALEGSGPDGIAVVDELRAAVCENINLYMEKNEEEFQGYLNDFALAVWTLLGNVSQLSSRDRLAITAIKFLTTVSTSVHHALFAGEGVIPQICQGIVIPNVRLREDDEELFEMNYIEFIRRDMEGSDLDTRRRIACELLKGIGMHYGDAVRSIVSAQIQNLLSSFAANPGENWKDKDCAIYLVVSLATKKAGSSYVSTELVDVQSFFESVIVPELQSPDVNGYPILKAGALKFFTMFRAQISKHVVLRFFQDLARFLAAESNVVHSYAANCIEKLLLVKDEGGKALYTSEDINPIFLILMNNLFNALKFPESEENQYVMKCIMRVLGVADISVDVARVCIEGLSSLLGEVCKNPKNPVFNHYIFESVAILVKRACERDLSLVSAFEASLFPRLEMILANDVTEFLPYTFQLLAQLVELNRPPIPPIYMQIFEILLSPDSWERKPNVPALVRLLQAFLQKAPNEISQGDRLTKVLGIFEKLIQSSSTEQGFYVLNTVIESLQYDVIKPYISHIWAALFRELQNRRTVKLIKSLLIFMSLFLIKHGSSNVVDSMNSVQPGIFIVIMNQFWIPNLKLITGVIELKLTAIASTRLICESPVLLDPTASISWGKMVDSIITLLSRPEQDRVEEEPDMPDFSENVGYTATFVRLHNAGKKEEDPLKDIRDPREFFVASLSRLSALSPGRYPKVISENVDPANQTALLQFCSTYNLQIV >OIW02851 pep chromosome:LupAngTanjil_v1.0:LG11:633594:634013:-1 gene:TanjilG_29627 transcript:OIW02851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEQNFETTQTTIPTFPPAVHKSLHVLRRSRRLWRKDGSSRELKVQHHIKETVKEDNNNNNSNSNDKSYVVKEEEEEEEIENKIHALKRIVPNGESLGVENLFDETAGYIMALQSQIKALRTLATFFDNLEKENTKLGG >OIW01704 pep chromosome:LupAngTanjil_v1.0:LG11:19527502:19527864:1 gene:TanjilG_12196 transcript:OIW01704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVESDEGSCGGMAEEHDEGSCGGMAEEHDEASCGVVVEESDEASCGVVVGLNGACGEDDGGACACVLGMMVVENGAYDLEMVVVESGVCACAQETMVVVRGACACVLEMVVESGGSDGV >OIW01384 pep chromosome:LupAngTanjil_v1.0:LG11:31796471:31799193:1 gene:TanjilG_12924 transcript:OIW01384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKISTLQEDFDFHSSSSSHIKDSNSNGINVVHNSSSPLASNPIKPLSFAFQDHDPQRSKSFDAYGGLGRDEAKAGFWGVLAQKAKSIIDDNKSSSQHEILNQNLKSTSSNTYTPAAPASQFKPLYQQPDSNRKMDNPKFRKGLDAITSSLNQLGDTFEKAFEEGRTIVENKTADIRTQMRRKGNVPEDSNQASDVRNPWKQSSTHTNTNTNNQSSHETQLKASRDVAMATAAKAKLLLRELKTVKADLAFAKARSAQLEEENKLLRDREGSDKGHSPQNDDDDDDLIRLQLETLVAEKARLANENEIYSRENRFLREIVEYHQLTMQDVVYLDEGIEEVTHIYPIDSSSLSSPPSPNEKLPPMLTKEDSTSTSEDEVSPSVTPSHHNHTK >OIW02731 pep chromosome:LupAngTanjil_v1.0:LG11:1485509:1487581:-1 gene:TanjilG_29507 transcript:OIW02731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNVLLSAMMISPDLLPTGSLLDSLIHISNEVGSMDKFPFVHRRNVSSMIRRIKLLSSLFEEIQETGTSLPPSSILCFTELFSVIRRVKVLIQECKDGSSLWGLIQLEFISNKFNVLVKEMGRALDILPLKFLNITADIREQVELFQKQTNRAELLIDPRELQRREQLVQVMSNNTLETKKNKGFFDFGKVEEILSSIGFRIRSDYDREISKLEVEAQNQAGTGGVTVVSNIYNLIALVSYCKSMIFRDGEIERDEEVNKPSLSAFLYNNRMLHDSSSSHSMSIVPNIPDEFRCPISLDLMRAPVIVSSGHTYDRISIAQWINSGHNTCPKSGQRLIHTALIPNYALKSLVQQWCYENNVPVNEPATSLSAGNKSSKKNSKEVAVDHVSANKAASGAVKMTAEFLVGKLATGSADIQRQAAYELRLLAKTGMDNRRIIAEVGAIPFLVTLLGSQDPRTQEYAITALFNLSIFDNNKVLIMAAGAVDKIVQVLESGKTMEARENAAATIFSLSMVDDFKLQIGARPRAIPALVGLLREGTTIGKRDAATALFNLAIYNPNKVSIVNSGAITILVELLMDDKAGITDDSLAVLSLLLSYSRGLDEIKNSKSLVPLLIDLLRFGSVKGKENSITLLLGLCKEEGELVARHLLANPRSIPSLQSLAADGSLRARRKADALLRLLNRCCSQLHSV >OIW02689 pep chromosome:LupAngTanjil_v1.0:LG11:1827997:1830341:1 gene:TanjilG_29465 transcript:OIW02689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESVVSELEGTLLKHLDIFSYFMLVAFEASGLIRFALLLILWPVVRLLDIFGMEEMALKVMIFVSSAGVPKTELESVARAVLPKFYMDDLDMEAWKVFISNDKRVVVTKMPRIMVERFVKEHLRADEVVGCELSFNGFGLATGMVQGDSISTISERVTMLFKEEDAPTLGMGRPSTSTYNSFSKLCKEQMHPPFLTSQKYDQVLRPLPVIFHDGRLVKRPTAFTSLLILLWIPIGILLAIIRITIGIILPFWAIPYLSTILGGNVIMKGKPPSPPSNGNPGVLFVCTHRTLLDPVVLGSVLQRKIPAVTYSISRFSEILSPIPTLRLTRIRNVDAEKIKRELSKGDLVVCPEGTTCREPFLLRFSALFAELTDRIVPVAMNYRVGFFHATTARGWKGLDPIFFFMNPRPIYEVTFLNQLPVEATCSSGKSPHDVANYVQRILAATLGFECTNFTRKDKYRVLAGNDGTVSYISLVDQITKVVNTFKPFLK >OIW01224 pep chromosome:LupAngTanjil_v1.0:LG11:33802004:33803473:1 gene:TanjilG_10385 transcript:OIW01224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAIVTSFEYVSGLGNAAPYASLAINAMSKHFRCLKNMITEQLQFLNKTQFQVSNNRKDESPRFRNTNDRGGPYSQRQPGFLEQQQPVWRPQRGLPERAVSVLRAWLYDHFLHPYPTDTDKLMLAKQTGLSRSQVSNWFINARVRLWKPMVEEIHMLESQQQAQNKSQRDEHSRNKLIHNHGSLVTHENPSSSTNRNDFLNTSNQQLGMHGSVNGASNGNGVSNGNGVSLTLGLHQNHGIGLSEPFPMNAAQRFGLALDHEGCVISGFESQNRHFGRDVIGGQLLHDFVG >OIW02885 pep chromosome:LupAngTanjil_v1.0:LG11:403721:405958:-1 gene:TanjilG_29661 transcript:OIW02885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFALYIFLMLISLFVPSSEASGSIGINYGRVANDLPSPSKVVELLKTEGLNRVKLYDTDANVVTAFANTSIKVIVAMPNELLSSSAANQSFTDAWVKANISGYHPATEIEAIAVGNEVFANNYTNYLVPAMKNVHASLTKFNLHKDIKISSPIALSALETSYPVSSGSFKPELVEPVIKPMLEFLRRTGSYLMINAYPFFAYSANSDKISLDYALFKENPGVVDSGNGFRYTNLLDAQIDAVYAAMSALKYDDVNVTVTETGWPSKGDSNEIGAGEDNAASYNGNLVKRVLTGRGTPLRPNDSLNVFLFALFNENQKPGPTSERNYGLFYPSEQKVYDIPLTLTEANVNSSGVGKREVPVNGNNGSTTSFSGESWCVASGDVGKEKLQGALDYACGEGGADCTPIQNGATCYNPNTLEAHASYAFNSYYQKNSRASGSCDFGGAAYVVTQPPKYGKCEFPTGY >OIW02716 pep chromosome:LupAngTanjil_v1.0:LG11:1595368:1596705:1 gene:TanjilG_29492 transcript:OIW02716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDLHWKSNILNSNVSPKSSKISLSDSPIPKLPPLPTLQQPIKNDISPASFKVCTAYENYLRLPHLRKLWASNDFPNWANEPVLKPAFHALEITFRFISTVLSDPRPYLNRREFTRRLESLATFQVQIIAMLCEDEEQNPEPRGTAPVIDVNSFGNSRSYSEASFLSRIASWHKSRDVAQRILFSVEREMSRCSYTLGLGEANLAGKPILRYDAVCKPNELHALETTLVEHVENYENVTLQSTHQILESWSRVSRVLLERVNDSIDCRNFGKASMDCYAVERIWKLLTEVEDLHLMIDPDDFLKLKKELGIRPCGETVPFCLRSKELVEMANMCKDLRKKVPMILEVEVDLKGGPGMVEAAMKVYVEKRSEFEKVHVLQAMQAIETAMKRFFYAYNQVVAVVMGSAEANGNRFDSLTQIFLEPTYFPSLDAAKTFLGYYWDNNNN >OIW01605 pep chromosome:LupAngTanjil_v1.0:LG11:23270357:23274218:-1 gene:TanjilG_10914 transcript:OIW01605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNKPESSDSKGTKRDFSTAILERKKAANRLVVDEAINDDNSVIALHPDTMEKLQIFRGDTILIKGKKRKDTVCIALADDKCDEPKIRMNKVVRNNLRVRLGDVVSVHQCPDVKYGKRVHILPVDDTIEGVTGNLFDAYLKPYFLEAYRPLRKGDLFLVRGGMRSVEFKVIETEPGEYCVVAPDTEIFCEGEPVKREDENRLDEIGYDDVGGVRKQMAQIRELVELPLRHPQLFKSIGVKPPKGILLYGPPGSGKTLIARAVANETGAFFFCINGPEIMSKLAGESESNLRKAFEEAEKNAPSIIFIDEIDSIAPKREKTHGEVERRIVSQLLTLMDGLKSRAHVIVMGATNRPNSIDPALRRFGRFDREIDIGVPDEVGRLEVLRIHTKNMKLAEDVDLERISKDTHGYVGADLAALCTEAALQCIREKMDVIDLEDETIDAEILNSMAVTNEHFHTALGSSNPSALRETVVEVPNVSWEDIGGLENVKRELQETVQYPVEHPEKFEKFGMSPSKGVLFYGPPGCGKTLLAKAIANECQANFISIKGPELLTMWFGESEANVREIFDKARGSAPCVLFFDELDSIATQRGSSVGDAGGAADRVLNQLLTEMDGMSAKKTVFIIGATNRPDIIDPALLRPGRLDQLIYIPLPDEESRFQIFKSCLRKSPISKDVDLRALAKYTQGFSGADITEICQRACKYAIRENIEKDIERERRRKDNPEAMEEDIIDEDVAEIKAAHFEESMKYARRSVSDADIRKYQAFAQTLQQSRGFGSEFRFADTSSGAAASGGAAASDPFASAGGADDDDLYN >OIW01979 pep chromosome:LupAngTanjil_v1.0:LG11:10923146:10925564:1 gene:TanjilG_14010 transcript:OIW01979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSILGLLKLRIKRGINLAIRDARTSDPYVVVHFGDQKLKTRVIKNNCDPEWNEELTLSVGNVETPIQLTVYDKDTFSVDDKMGDAEVDIKPYIQSVKMGLNKLPNGCSVKRILPNRNNCLAEESNCIWHNGNIIQEMILRLKNVECGEVVVEIEWVDIPGCKGLSEVEF >OIW02874 pep chromosome:LupAngTanjil_v1.0:LG11:469991:472608:-1 gene:TanjilG_29650 transcript:OIW02874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGCISKRESRNEHSNGYRHNTHAGAYNHNIKNKNKSHEPWKPIVPAPSPKYVQNTVTNTILGKPLEDVKQLYTLGKELGRGQFGVTYLCTENSTGLQYACKSISKKKLVTKSDKEDIKREIQIMQHMSGQPNIVEFKGAYEDRNSVHVVMELCAGGELFDRIIAKGHYSERAAASICRQIVNVVHICHFMGVMHRDLKPENFLLSSKDDKAILKVTDFGLSVFIEEGKVHREIVGSAYYVAPEVLNRRYGKEIDMWSAGVILYILLSGVPPFWAESEKGIFDAILQGHIDFESQPWPNISNSAKDLVRKMLTEDPKKRITSTRVLEHPWIREDGNAPDKPIDSAVLSRMKQFRAMNKLKKLALKVIAENLCEEEIKGLKSMFTNMDTDNSGTITYEELRAGLQRIGSKLTETEVQQLMEAADVDGNGTIDYIEFITATMHRHRLEKDEHLYKAFQYFDKDNSGFITRDELETAMKEYGMGDKATIKEIISEVDADNDGRINYEEFRTMMRSGTQQQAKLF >OIW02289 pep chromosome:LupAngTanjil_v1.0:LG11:5199222:5199728:-1 gene:TanjilG_11183 transcript:OIW02289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPPRFRPWLWLTSLGPIPPPPQPTFRTPSPTPQPSQPNANAAPIVTPTSPLALQTSKGKGTNHRKVLDSEDYDKRAITISGENKGAYMKIIQPSNKPNYLHKNGNSKDYELEGLGNANRKDKSQKERTLFSPPMALYVNSNVQGVNNSVLYHTTCSTHDPGVHLSL >OIW01814 pep chromosome:LupAngTanjil_v1.0:LG11:17676502:17677910:-1 gene:TanjilG_07531 transcript:OIW01814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRRDGKISFHSEEAEKEEDNYEFPIYSERSQQDISAMVSALTQVIGGTIHNDPVQILQDPLTSETQNQQPQEDQGKMRKIHYRGVRQRPWGKWAAEIRDPKKAARVWLGTFETAEAAALAYDEAALRFKGSKAKLNFPERVQPPINQVPLAPPPNFSQEPYSNNPFQYAPFMGISGSSNDINNFNYEMNGFYGNQPFTSTSSSEITQQQQQELMRFSMQYGGSSSSSSNQPPKSWMDDNDGRH >OIW02473 pep chromosome:LupAngTanjil_v1.0:LG11:4375676:4382005:1 gene:TanjilG_05066 transcript:OIW02473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMQTLGLVHSDLVEAGENIALNPNSSESLPLAEGEASFTLNLFPDGYSIVKPFVNETANQSHFPKLLNPYDRSSESLFLAIESGHLPGDILDDIPAKYVDGALICEVRDYRRCSSERGAGVVSADSSPTVNKVCLKMSLENIVKDISSITDKSWTYGDLMEAESKIVKALQPKLHLDPTPKLDRLCESPAPTTLSLSLSNSRRKRLRHMPEFSVTSSNKSHGKKVCIDRVPESSTNRLSDSGIPAPNAIVQQTFANSVMQNFSPSIATDFRPRSILPDSSVPSYSMMSNQSSYQMEAGNPRSVQEHGSVSATKSSVASPTVQDVMVSYTNNANSNGFLLEKRENPDGQTSPLSNIAKRMKQASTGVDAMQQKQVGSHVEALKGSDMNWQSTLLQQQAMARGIYGSGCIQKFPQHVFEGGINQDTGAIQFTSSKQGMRLVAKEEQFEMEKLDAAEINRNKSEMKMETSNLDPQQLRFQQRLPRDGFMRSNFSQTTWNNVGQHMEKEARKDDQLQKRKPVQSPRLSTGALPHSPSSSKSGDFSNGLVGPCFGPSSVTNAPGVTQKEKTAISSAHAAIGAPSLPFNTNDSTQRQHQAQLAAKRRSNSLPKTPAVSGVGSPASVSTGGTLNANSPSLGTSAVVDQGLQIMLERFSKIEMVTMRHQLNSKKNKGDDYPMRKQNTYSQQHLVACLSNAMNSDGIIDETVSLSKSLIGGSTNVCKTRHLSFFFPERVVQGNVVSVVPRLRTRMIMSEKPFDGTVAMHYGDIDDDDFVDAEDHLPTLPNTHAADLLAEQFCSPMAREGYVKEDDQVQVKQNRVSLPSGNQSSLPANNSLGEMQQYGERFPGQSSNEVGKAASASNVSLNMPQNLVANQRMLPPGNPQVFQMSQGLLAGVSMASRPQQLDSQQAVQQQQQLQQNQHNLLQQQNPLQRSMMLGQNQVSHLNAVGQNSYSPLGNMFNKPSPLQLQMLQQQGQQQMQRRMMMGLGTAVGMSNLRNGIVGLGPMGNPIGIGAARGLAGTGISTPMTSMSGMGSMGQNPINLNQASNISNALSQQLKSGTMTQSQAELLASRIRIAQNHGSMLGSPQSSIAGISGARQMHSTPAGADESTNSDEPATDELRSNSCRHECWYSGTLSRKSTVELSDLRSY >OIW01523 pep chromosome:LupAngTanjil_v1.0:LG11:27886700:27890100:-1 gene:TanjilG_19449 transcript:OIW01523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKQNQSTISFLLLLLLPLLLILAISTEVEGANKLFVFGDSYVDTGNFHNSGSYKPPSGMTYPGAPAGRFCDGRVLTDYIASFLKIDPPTPYSLKNSSNFQNGINFAYGGTGFFQTLVDGPNVSVQISSFEQQIQQNVYNKSDLETSLVLVNSGANDYTTFALKNMSFLEIEKFTESLVKEVVVNLRRIQSLGAEKITLTLLQPIGCLPTISVATGFQNCIDLLNLVSTNHNKLILQNVDDLNKELGKSVFKTLDLYNSFLSTIQTMQKSHAENSTLMNPLEPCCAKDSAGFNCGKVDDKGQKRYTLCEKPELSFFWDNVHPSQNGWNSVYTQLESSLGQLI >OIW01508 pep chromosome:LupAngTanjil_v1.0:LG11:27566970:27570769:-1 gene:TanjilG_19434 transcript:OIW01508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGILNDDMVIISEAEKEGDHKVIAVNCPDRIGIGCDICRIIFLFGLTIVKGDTITDGKWCYMVFWVYGEANTNWNLLKNRLSDICPSHSSIYEIKNYIHDEHKPPVPPQVFQLKFWCSCDGNGLLHDVTEALHQLELIIKRVKISTTPDGKKMHLVFVTDTRKELHTTEREQQTKVHLKTVLGDKFIDCEIELAGSEIMASLHGSLNLPSGITDDIFNLESVKGYQSSTLASYPVSIAMDNKFSLSHSLFQISCWDHKGLLYDILRTLKDYNIQVSYGKLRGKPKGNFDVELFITQADGKKLMDPNKKIALCCRLKMELLYPLRVAVGSRGPDTELFVANPVELSGSGRPLVFYDITHACKSLNISIFSVEIGRHIVRDREWEVYRILLDESDGKFSVPRKKIEESVRKTLMDWEHLRSVI >OIW01464 pep chromosome:LupAngTanjil_v1.0:LG11:30175251:30176892:-1 gene:TanjilG_30938 transcript:OIW01464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPEEKRKLGVALTRLSLEDLSKALAIVAENNHCFQTNVEEVDLDMDAQHSETTLWRLKLFVKEVLEVQGKNSGTNKGGNEIHSNNKRKGEICGAIAKTSKKTKKPT >OIW02151 pep chromosome:LupAngTanjil_v1.0:LG11:7891039:7892439:1 gene:TanjilG_02375 transcript:OIW02151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASSSMAFSSLIPRISLSKVSNFQCFTNPTTLIPSWVSSTTVLNTTLTFVSSNTKKFPPFIPCCSTQPDTSANSGTHSNPNNELNSLSNASSESDAANKEAFLSSSLYSCSSLSLSLSRGLVFDLGPSNSWDNAEIGSPKKGEFAIGIASSRDGIRWLKLGKIMGGGKAGSFNEFGVMNPCVTRNRRDGNYFMAYEGVAADGRSIGMAVSPDGLREWTRLHDEAILKPLDKGCWDDKDVGSPCLVQMDIEASKWRLYYRGVGNRGRVGIGMANYE >OIW02041 pep chromosome:LupAngTanjil_v1.0:LG11:9923567:9927655:-1 gene:TanjilG_13779 transcript:OIW02041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLGSKSNQLLSKIATNDKHGENSPYFDGWKAYDKNPFHPSKNPQGVIQMGLAENQLCFDLIEEWIKNNPKASICTPEGVHKFRDIANFQDYHGLPEFRTAVANFMSKVRGGRVRFDPNRIVMSGGATGANELIMFCLADPGDAFLVPTPYYPAFLRDLCWRTGLQLIPVQCDSSNNFKITRSALEEAYNKAKENNINVKGLIITNPSNPLGTTLDRDTLKSLVSFINENNIHLVCDEIYAATVFSSPSYVSVSEVIQEMESCKKDLIHIIYSLSKDMGFPGFRVGIVYSFNDVVVNCGRKMSSFGLVSSQTQHMLASMLSDDRFVDKFLAESSKRLAKRHNIFSKVLEEVNITRFPSNAGLFCWMNLKSLLKEQTFEAEMMLWHVIINEVKLNVSPGSSFSCSEPGWFRVCFANMDDETVEVALGRIRTFVGKETKKPAMQVKSWQRNLRLSFSSSRRFDHETAMSPHSPIPQSPLVKAT >OIW01218 pep chromosome:LupAngTanjil_v1.0:LG11:33744560:33745737:-1 gene:TanjilG_10379 transcript:OIW01218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNKEEVKLLGITGSPFVCRVIIALKLKGVEYEFLEENLGNKSDLLIKYNPIYKKIPVLVHNEKPISESLVIVEYIDEVWKGNPILPKEPYNRALARFWSKFIDDKCVSSASKAVFTLDEKEREKNVEESNEALQILENELKDKFFGGEEFGFVDIAAIFIAFWIPIIQEVGGLQLFTSEKFPKLYKWSQEFLNQPLIKENLPPREPLFNFFKGRYESLAASK >OIW02085 pep chromosome:LupAngTanjil_v1.0:LG11:9085961:9087643:1 gene:TanjilG_14784 transcript:OIW02085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEEGAYAIAVDTRSTEDFLLQAQELVPTALDKAREVKGFPGRWKMIISKLEQIPSRLSDLSSHPCFSKNALCKEQLQAVSKSLREAIELAELCVKEKYEGKLRMQSDLDALTGKLDLNLRDCGLLIKTGVLGEATLPLTVSGPMAESDIATHSNIKELLARLQIGHLEAKHRALDKLYDVMREDEKNVLAVLGRSNVAALVQLLTATSPRIREMTVTVICSLVESGSCENWLVSEGVLPPLIRLVESGSCVGKEKAIVSLQRLSMSAETTRAIVGHSGVRPLIELCETGDSVSQAAAACTLKNISSVPEVRQALAEEGIVRVMINLLNNGILLGTKEYAAECLQNLTASNENLRRSVISEGGVKSLMAYLDGPLPQESAVGALRNLVGSVPEETLVSLGLLLCLVHVLKSGSSGAQQAAASVICRVCSSIEMKKMIGEAGCIPLLIKMLEAKANSAREVAAQAIASLMVLSQNQREVKKGDKSVPNLVQLLDSSPQNTAKKYAVSCLGSLSSSKRCKKLMISYGAIGYLKKLVDMDIPGAKKLLERLERGKLRSLFSRK >OIW01568 pep chromosome:LupAngTanjil_v1.0:LG11:25127725:25128285:-1 gene:TanjilG_09392 transcript:OIW01568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSVMNNNSGTTEKHHHIHKLFLLCNYILLGAASSCIFLTLSLRLFPSLCGFFLILLHVLTIFGTVSGCAAVSNGTNRWYFAHMVFTVLTAIFQGSVSVLIFTSTSNFLARLNSYVREEDAGVILKLGGGLGVVIFFLEWVVLTLAFFLKYYAIVEGNGNGGVAMRSGKVQQDEDLKDWPWPFQV >OIW02930 pep chromosome:LupAngTanjil_v1.0:LG11:145827:147935:-1 gene:TanjilG_29706 transcript:OIW02930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEANKEEALKAIENAEKRFALRDFAGAKSYALKAKTLCPGLEGISQMVATFEVYIASEVTHDGELDYYSILGLKPSADTQAVKRQYKKLAVLLHPDKNKCVGADGAFKLVSEAWTWLSDSAMHSSYNIKRSAQFGGINHKNMSSVHATGYNKCSNSSTSHGSLDTFWTICTSCKVQYEYLRKYVNKKLSCKNCRATFVAVETGAAPANGSFPYCPWTYMQGNGYGSHSYDGVTYVPTSTTYINGNGVTGYHSGHGYEYVSNVSFQWDSAGLVNQNGSTTVSAGSVHQVNGNVKRGRPKVKSGVDGRHHVAETMVTINSDVPFSCIKPEEVKLSRPDKKRKVVVGAGFRNGSEDKGSKCASESLLANGDESTGQGQKLSYASEVQTKQCTMTPAFDARKLLIEKARTEIRKKLEEMKLSSSKAADVNEKEKPQAEDGQVKREKCRRTVLNVSGHQLEHGKTAPVSITVPDPDFHDFDRDRSEECFKPKQVWALYDEEDGMPRLYCLIREIISVNPFKIHISYLGSKTDSEFGLVNWIDSGFTKSCGNFRAVNSDVVEQVNIFSHVLSKEKAGRGGCIRIYPRSGDIWAVYRNWSREWDRSTPDEVRHKYEMVEVLDDYSEELGVCVAPLIKLAGFKTVYKVNTDKSTIQWIPRREMLRFSHQVPSWLLKGEEASNLPERCWDLDPAATPDELLHAATTEAKAL >OIW01364 pep chromosome:LupAngTanjil_v1.0:LG11:32331731:32332267:1 gene:TanjilG_12904 transcript:OIW01364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSTKAELPQKSALQIKQDDKFFSRLLSKENSISYPSFRVAVAVPFVWESQPGTPKYTFCEDTLPPLTPPPSYYSNTFNKKPLNKNKGSRSNNLFMALFPKLNLKKTIISSPSSFSSSWSSSDNSSKVVSLGKNGRRRFLSYGSSIDFRGDADDDEDGVASPTSTLCFGITLSSSLKR >OIW02606 pep chromosome:LupAngTanjil_v1.0:LG11:2613618:2614223:1 gene:TanjilG_24057 transcript:OIW02606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSKPSNFPQRPSPIIVQRQKSKKLMCLEEHLTRQLSNISNNEGYHGVESASVPFVWESQPGTPKVRFREKSLPPLTPPPSYQQNVTTKKPITKAKIKNSPKASTFLQTIFPKRGTRKTCVLSPPPHESPPLSYSSSSSSLSSFSPRRLSYSVPSSPMIHSRKGEEEDIYEVPGSSLCFGNARSRGCYSSMFKKVLLGDFM >OIW02403 pep chromosome:LupAngTanjil_v1.0:LG11:3722842:3723078:-1 gene:TanjilG_04996 transcript:OIW02403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGIDIAEAYVLRNHYKKKLKNEEGRRTKSGITSSKAKRSSGCFFWISKKHWKADKIKNSEKEYIGMSNQIHKNHMAS >OIW01228 pep chromosome:LupAngTanjil_v1.0:LG11:33925576:33928215:-1 gene:TanjilG_10389 transcript:OIW01228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGCFSNFGVTHIVNVRKDAFLRKLGNQYSSLNELSFRSVLKEDAFPIFCGNKCLRHLSIQKKGTFLVNCSSQPPDESKSVVTTIKLSEGGDSVLKKDHKIWDTDMSDNNGAVFDGSSGNGGFGNGGAGDKSGGGGGDDSGDKEEEEEFGPILKYDEVMRETEARGATLPSDMLEAAKSVGIRKVLLLRYLDMQGSFWPLGFAVKSCAMIRNRMLADPAFLFKIGSEIVIDSCCATFAEVQKRGKDFWAEFELYVADLLVGTVVNVALVGMLAPYARIGKPSVSSGFLGGMLKAYAALPSSVFEAERPGCRFSVNQRLGTYFYKGIMYGAVGFTCGIIGQGIANFIMNTKRSIKKSEEDIPVPPLLKSAALWGVFLAVSSNTRYQIVNGLERLVEASPVAKQVPSVALAFTVGVRFANNVYGGMQFVDWARLSGVQ >OIW01215 pep chromosome:LupAngTanjil_v1.0:LG11:33633856:33634320:1 gene:TanjilG_10376 transcript:OIW01215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTTATANSVPAASSPYNSTVATRLGRRRIPIHTTFYWGHKMDILFHCWPGDSAAMYAVALIVVFAMAVVVEWLSYSNIVKVKNDVVKGLLRTGLYGVRTAFSYMVMLAVMSFNGGVFVVAIGGHVIGFMIFGIILKKNNKNDGLELDSSKLEH >OIW01544 pep chromosome:LupAngTanjil_v1.0:LG11:28858512:28860559:1 gene:TanjilG_19470 transcript:OIW01544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFIVALALLFGLLLALLLIPRHHKSGQKGNAHSTLNNKDKESKSFSKSEVSLHHKRTDCWIIIKNKVYDVTPYVEEHPGGDAILAHAGDDSTEGFFGYASVPFYPLFVRRNSSGNPSEWYRHISKGGWPFSTRDNGWSVSDCSAEGLKVAILLSNMPFETVGKAIETEQLYDAVNYILSLQATSMRVICLVDM >OIW02764 pep chromosome:LupAngTanjil_v1.0:LG11:1269405:1271535:1 gene:TanjilG_29540 transcript:OIW02764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVCSELCSSILMMHFNIEGASLNRGLSAGESYPLIHSVNARLPNATIQDARLCKPGTLDPRKVRGKILICVRSDTTQSVSEGQQAAIAGAVAVFVNNDKKSGNTLLAEPHILSGASVNENDPEWEHGTDDHNKSRNLVAYMTAAKTYIGIKPAPIMAGFSSRGPSVVQPLILQINVTRTVTNVGSPSTYVVKTHMLEGFKVVVEPSSLTFKKTGQKKIFRVILMQKDVPLHGFPIFGNLSWIDGIYHKVTSPIVVLPS >OIW01494 pep chromosome:LupAngTanjil_v1.0:LG11:27167093:27172160:1 gene:TanjilG_19420 transcript:OIW01494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTVRMRRTTKVTGLVAKAPNSDRVLRSGRRLLPETDKPKKKKLKEDDDCHKPPPTTTKATVRRHTAEAASVFAGNKDDDVAITREGEKSISLVTREVNDGLVDRMFGIVYSRKRKRFGTENSELSRKKKKEEKEGGNGSHIVLAVIVKPYSDLFSYLLFLVLRYIRRASVKLEELSAFFLSDPICGVFASRGIQFLKGPPTANIGICQLFGITQFMPSFWVDFSAVPPYFKYLHYVILLKSMYRSFFLVHNLINVHSDVEDVELEIDFPEFQNERRILCDALTRESSDSGTVTPDVTEMNDSSSLHSSINSSRLAGRNRQYRTKGIQRRRRSLRKKKAENPSLVGLRKSNRAVASDLVACRKSNISLSGVATGRKLRSLANSSATVDSTEGLDSCFCSAIDEYDRVEGAIVTLEMSALREWFLFVKKDGLMICTFKAEKVMRPCSANRLTHVILFSLDNGWVLEFANFQDWIVFKYLYKECSDRSIPASLTGFIPVPVVREVFGYADSNSFTYHRPDTYISTNGDELSRAMTRKTANYDMDSRDEEWLRKFNNEFQEHVSEDNFELIIDALEKVYYCDPVYSFEEKSVPCDCQDLGSKEVIEAVYSYWMRKRKQRHSFLVRVFQVHQLKRSSLEHNPLLQKRRSFRRQPRRLCRGDQASAWKEFAAEQVAMQKDAMLRIEEANASAKISMEVAIEKRKWAQSLAENADLSMYKAMMLIKIAEAVQASESADAIGGYFLD >OIW02630 pep chromosome:LupAngTanjil_v1.0:LG11:2440944:2445556:1 gene:TanjilG_24081 transcript:OIW02630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVSLPPGFRFHPTDEELVAYYLKRKINGRKIELEIIPEVDLYKCEPWDLPGKSLLPGTDLEWYFFSPRDRKYPNGSRTNRATKSGYWKATGKDRKVNSLNRDVGMKKTLVYYRGRAPHGFRTGWVMHEYRLDERECETNSGLQEAYALCRIFKKSTAVTPNVGDHYVNVTSHANQITSDQSSSIELYSEGQGEDLDSSNYLMPMDTCSPHNMGNEDPLNVNEDHGTWSQYLSDDPLFTLPTSSFPNYGAISYPPSKVDVALECARMQHRFVMPPLEVMNEFPQVGTSEQKNMTQAMYGSRNETDIVQEILSVAHASQELINNQSIYSQGWSSGNETYAPREDDFTFMVGTNCDHLNDLNSMNTFVGDPNKRSIEIGDLDEEFKAERMVENLRWVGMSKEDLEKSFMEDQKIVSIEDISSFQSNRKENEVQESGQHNSNKELNDPYINDYSFGFNNEDDPNENHIEDGNMEDYTSSPSFEVVEEIKVSHGMFISTGQVAETFFHQLVPSETLQVHLNPVMANNQYSIENNAETLMVTMENHGSFFKEFKAYVAGKLIKPSKTIASAIVFIFALLLMHYVYLKEELKAKCYYSGTSMKRRKQSSEIIKWNESEKVWFIGIKSKKVFSAVLKKISIFLTISFALCAMWANLVNP >OIW01410 pep chromosome:LupAngTanjil_v1.0:LG11:31059147:31060535:-1 gene:TanjilG_25706 transcript:OIW01410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWAGHYRGGQRDPLSSPSTVLWDPYGDNNWDNGLNRCSGGDETSALVHANVDWQETDTAHVFRADLPGVNKEDLKVQVEEGNILQISGEKVKEQEQEDQNRKWHRVERRRGCFKRRFRLPENVNMDEIGCTLKDGVLTVSVPKLETKPENKNVRQIDVA >OIW01275 pep chromosome:LupAngTanjil_v1.0:LG11:34566634:34569428:-1 gene:TanjilG_10436 transcript:OIW01275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLPRTIEVKPNSNTLQKLMKEGAPSSSSSSWNRPNNKRTNIHDDSDEDMFTVPDVEATQSVQNSKDNESVNEPQLQTSFHGKRSHGRNPADKEYRRLKRLLRNRVSAQQARERKKVYVNDLESRAQELEDKNSKLEESISTLINENTMLRKVLMNTRPKVDESNDA >OIW02099 pep chromosome:LupAngTanjil_v1.0:LG11:8884289:8886080:-1 gene:TanjilG_14748 transcript:OIW02099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARVYLSIAKMKNKLELYQELQSRLKESQRALGDATSDSDLDHSAHEKIKAMGQVLSKARAQLYDCKLVTGKLRAMLQTADEQVRRMKKQSTFLSQLAAKTMPNGIHCLSMRLTIDYNLLLLEKRKFPRSENLENPSLYHYALFSDNVLAASVVVNSTIMNAKDPSKHVFHVVTDKLNFGAMSMWFLLNPPGKATIHVENVDDFKWLNSSYCPVLRQLESAKMKEYYFKAENPNSLSSGASNLKYRNPKYLSMLNHLRFYLPEVYPKLDKILFLDDDIVVQKDLTGLWAVNLNGKVNGAVETCGASFHRFDKYLNFSNPHIARNFDPNACGWAYGMNIFDLKEWKKKDITGIYHNWQNMNEDRVLWKLGTLPPGLITFYGLTHPLNKLWHVLGLGYNPSVDRSEMENAAVVHYNGNMKPWLEIAMTKYRSYWTKYVKYEDPYLQNCKLNE >OIW01133 pep chromosome:LupAngTanjil_v1.0:LG11:35891591:35902842:1 gene:TanjilG_25241 transcript:OIW01133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAAALFSHLRRSRHSSSLSLLLIPRFPTHNRPPPPPPLPPLLPSPTTPFSPTSATSFPYLRSFHSRLFSTHSSDGPEPEVDSTVPSELLNMISETSHGGGEDIPILPIRTAISMLDVFHEFSGFPWWITIASSTLALRIVLLFPLVFTLHKVKRIGQFVSKLPPPFPPPFSGKSYIRHFLFFEKKRKSIGCPSYAWPLVPFIVQVPCFFLWMFSIRKMSLNGHPGFDSGGALWFQNLTELSHGYSGFIFPLTIASLHYIIVQISFRKPLVAETRDIFDLLAKYYKRYLDFLTIPIFFIGLGIPQGSLVYWITNSSLTLTQHFALRHPAVLGKLGLLDKNSQKAASEEISASKTNPLGTLALQDNSPKEATKQAVSPEKSTVDSPEKWHKLPIEDMSPDKLTALAIPFLNSDDKESAIPLLKLALDKDPEYVRALVLLGRVLLMQNVNAEANEYFERAISKLSLAGYPTDAEDIDLLILSSQWAGAACERQGKRAEGLVHFERVANMEEPEDPTSKRYYFDGLLLLASTLFDAGQKDEAAKYLRVIVAYNPAYKKFLEQCEQDDDDDDDITSDLTNSYISNLTSNKCTLFTPNSTIITDSGVDYTLSFPETLFDFDSIKKFTQSQAVFLEATLVLLLSWLIMCFFLRFMKLGDGRNLWFNVRWWISRFDICFATRHWLDDQNVVTKRKTELGGAFSIASLILFIGLFAALLYQIISKRSIEVHNVRAMNGPELGSFNNDMEFNITTVSSMSCANLRDLGNLVTGTPGFFDQRVVSLSTFGSYSCHNSSKGPTIIIKCTNCKVINDNMYISWQFVDLPNNPAMAVGFEFNLSAMDNAKKKHKSFVIGTLKNDSPVTFRGRQSNILKFNLFPRIYHNLHDLKLLQPLFHEFLPGSFSHDTNQLQASLQNSIDGLVNTTLYINFLSAYVVEINKENILGPVSFLADLGGLYCISIGIFFYLLIQCEYRIKKLRNEDSIMQRIRNRRKAQDHWDKLRKYVMYTYGCPIYNSKTEASCGGGFMLQSIHGGKSSRKPSRKDCSLSCKAPLRSQPQDSSIVDDNFIPLPPSLECKNGSEMDMSDVQKNLRSLYEYNLMLRDRLISHQSLLK >OIW01588 pep chromosome:LupAngTanjil_v1.0:LG11:23727891:23728720:-1 gene:TanjilG_20488 transcript:OIW01588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKKHSNLVGKTVVEEQDASDVEMDMRFLRDVFALYFIRGKESCGQQDDNLEHNHHCFWPFG >OIW01804 pep chromosome:LupAngTanjil_v1.0:LG11:17902091:17904417:1 gene:TanjilG_03942 transcript:OIW01804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYYGLVIEGRPWSEVFKKVKIGYPTVQLTAWKFWPIVAWVNYQYMPLQFRVLFHSSIAACWGIFLNLKARSGAIKKD >OIW02827 pep chromosome:LupAngTanjil_v1.0:LG11:824994:830159:1 gene:TanjilG_29603 transcript:OIW02827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVIAASSLPSEGMYHSTSYGITCNSIKSPIDGRMANDLASNRCKSPFFGSSHLLWLSMGRDLCLSKVRVAADYSDSVPDSSSYMSKQGYHPLEELKDSDDTRPTRLSSAEIAKTVVEANKNALLVFPGTVHCEPHEQISWAEFQYLIDEFGDIYFEIFDDANILQDPGASNLVNALFGMDIPAYDNRTGGEYDSLNSVNNDEFPSDDEYLEVLESEESNIPGNWQLPDTSSLVHPIYFSKFLTKTVNMDCNERMNHPSNGVSVLGYLRPAYADEESYVRMVYPIEDADRYSSDSKDGKGVRSNRISDQRCPGMTLYRLEIVKIKLYSIYGCQACISKVPEINFEEFQDAEPDILVHSASVIVEDFKDECDALEALCKKKGLDVEGACLIGVDSLGMDVRVFSGAEAKTHRFPFKVLATSEVVAKKQIRQLLFPPSRRKKYMKSKSWRKA >OIW02189 pep chromosome:LupAngTanjil_v1.0:LG11:7458360:7460164:-1 gene:TanjilG_02413 transcript:OIW02189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLKYLRAPRHLLFTARKYLNASGASSLHTAPNCTVEIHSQEEEAVIALGSNVGNRLHNFKEALKLMKKSGINITRHACLYETAPAYVTDQPRFLNSAVRAVTKLGPHELLAALKKIEKDLGRTDGIRYGPRPIDLDILFYGKCKVRSDILTVPHERIWERPFVMAPLMDLLGSAIDSDTVASWHSFSGHSGGLSGLWEKLGGESLIGEEGMYRVMPVANGLLDWSRRTYVMGVLNLTPDSFSDGGNFQSVKSAVSQVQLMISEGADIIDLGAQSTRPMASRISSEEELDRLIPVLEAITAMPEVEGKLISVDTFNSEVASEAVSRGANFINDVSAGQLDPNMFKVIADLDVPYVAMHMRGDPSTMQTSENLKYDDVCKEVSSELYSRVREAELSGIPAWRVIIDPGIGFSKKTEDNLDVLTGLSNIRADIAKRSLAISHAPILIGPSRKRFLGEICSRPAAVERDPATIASVTAGVLGGANIVRVHNVKDNLDAVKFCDAILRQKSSLMKFQQ >OIW01478 pep chromosome:LupAngTanjil_v1.0:LG11:26649429:26649932:1 gene:TanjilG_19404 transcript:OIW01478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMFDLNVDIFHIDSDSTCAKMLQLQSFPSKISHSGASKSSVVINPTEEDSSKNSSPLFFDVIKQRTDVNERNKEHENIALEQEEVTTMTLFPATVDRGGRVSDMNKRKTQWLNLSISKHDEQDEPRIFHQKQPQIKKRRRGPRSQTSQFRGVTFYRRTGRWESHIW >OIW02739 pep chromosome:LupAngTanjil_v1.0:LG11:1424761:1427286:1 gene:TanjilG_29515 transcript:OIW02739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPVKPVLGEEHWDGVVVTQSNLLALQAFKQELVDPKGFLRTWNDNGYGACNGSWVGIKCAHGHVIVIQLPWKGLKGHITNRVGQLQGLRKLSLHDNQIGGSIPSALGLLPNLRGVQLFNNMFTGSIPSSLGFCPLLQSLDLSNNLLKGTIPGSLGNSTKLYWLNLSFNSLSGPIPSTLSHSASLTFLYLQHNNLSGSIPNSWGGSLIREISLSHNQFSGAIPNEIGSLSRLRTIDFSNNAFNGSLPETLSNLTSLTLFNVENNHLGNKIPEALGKLHNLSVLILSRNQFSGHIPQIIGNISTLTQLDLSLNNLDGEIPVSFNNLSDLNFFNVSYNNLSGPVPTLLAKKFNSSSFAGNIQLCGFSPSTPCPSEAPSEGQGVLSPHPEKSKHRNHKKLGTKDIILIAAGVLLAVVITLCCILIFCLFRRRSASNTESGQATGRAASTRTQKGVPPAAGEVEAGGDNGGKLVHFDGPLAFTADDLLCATAEIMGKSTYGTVYKATLEDGSQAAVKRLREKITKGQREFESEVSVLGRIRHPNLLALRAYYLGPKGEKLLVFDYMPKGSLASFLHARGSETIIDWPTRMKIAQGMAHGLFYLHSHENIVHGNLTSSNVLLDENTNAKIADFSLARLMTTGVNSNVIATAGALGYRAPELSKLKKANTKTDVYSLGVILLELLTGKPPGEAMNGVDLPQWVASIVKEEWTNEVFDIELMRDASTNGDELLNTLKLALHCVDPSPSARPEAQQVLQQLEHIRPEAEVSSSSGDDFAIPSTTE >OIW02075 pep chromosome:LupAngTanjil_v1.0:LG11:8906942:8913950:1 gene:TanjilG_14774 transcript:OIW02075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQNHGSSSPYNYPNPYYPQNPHPNQYGHDPYANPPQQYPYNPSPHSFNYPYPPPPQPCFTTPHSGPINYHYPPPPPPPSSAPNTASFDFNRPPPSSDFFGPQPPHPYPYPYQALPESHGHPQPQPQPPFSEYGSSSPYNYQQNEAYSQSGHYSHAHSGFAGPYQQENMSSVGYSNPSQGSAYPPLHDLMSNVRLSDNNQPTAPASPPAPAAHPLLHSNSVMKEEKPWGHSNSVPTEERNHDYLLQHSNSVTKEEKPWGHSNSVPTEERNHDYPLQHSNSVTKEEKPWGHSNSVPTEERNHDFYGYSNSSFSGWGSSPHSDPGNSPNLSDYSGSFNDSVHSQSLQIVPIQNNVASLRVLLLHGNLDIWVHGAKNLPNMDMFHKTLGEMFGRKPANSSTKVEGTVSHKITSDPYVTVSISNAVIGRTFVISNNENPVWEQHFYVNVAHYAAELHFVVKDSDVVGSQIIGVVAIPVEQIYSGERVEGTYPILNNNGKPCKPGAVLSLSIQYIPMEKLIIYHQGVGAGPEYIGVPGTYFPLRKGGTVTLYQDAHVPDGCLPDVMLDNGMHYVHGKCWVDIFDAIQEARRLIYITGWSVWHRVRLLRDTRNASDSTLGELLRIRSQEGVRVLLLVWDDPTSRSILGYRTDGVMATHDEETRRFFKHSSVQVLLCPRVAAKRHSWVKQQEVGTIYTHHQKTVIVDADAGNNKRKIVAFVGGLDLCDGRYDTPHHPLFRTLQTLHTDDYHNPTFTGNVGGCPREPWHDLHSKVDGPAAYDVLTNFEERWLKASKLQGGIKKLKSSFDDALLRIERIPDILKVFDAPSVGDDNPEAWHGQIFRSIDSGSVKGFPKDPKDASIKNLACGKNVLIDMSIHTAYVKAIRAAQHYIYIENQYFIGSSFNWSQHKDLGANNLIPMEIALKIAEKIRANERFAVYIVIPMWPEGVPTGAATQRILFWQNKTMQMMYETVYKALVEVGLEAAYSPQDYLNFFCLGNREAIDIYENIAVSGNPPPANSPQATSRNNRRFMIYVHSKGMIVDDEYVILGSANINQRSMEGTRDTEIAMGTYQPHHTWARKQSYPHGQIHGFRMSLWAEHTGTIEECFLQPESLECVRRVRTMAELNWKQFSANEITEMRGHLLKYPVEVDRKGKVKPLPGHEEFPDVGGRIVGSFIAIQENLTI >OIW01193 pep chromosome:LupAngTanjil_v1.0:LG11:33351047:33355095:1 gene:TanjilG_10354 transcript:OIW01193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISNCFGAFNWCKGNDGVDDQPQEQVIATRTFSYNSLRSATRDFHPSSKIGGGGYGVVYKGALRDGTQVAIKSLSVDSKQGSHEFMTEINMISNIHHPNLVELIGCCVEGSHRILVYEFLENNSLASSLLGSRSKYIPLDWPKRAAICHGTASGLRFLHEEAQPNIVHRDIKASNILLDGNFNPKIGDFGLAKLFPDNVTHVSTRVAGTVGYLAPEYALLGQLTKKADVYSFGVLMLEIISGKSSSKAAFGDNLLVLVEWAWKLKEEKRLLDIVDPELTDYNEIEVYRFILVALFCTQAASQHRPSMKQVLQMLSTEVHINEKALTKPGIYRWHSLNESSSSSQVKKQNKYENPYVTSTNFSGTDIVTEMIPR >OIW01815 pep chromosome:LupAngTanjil_v1.0:LG11:17779364:17781251:-1 gene:TanjilG_07532 transcript:OIW01815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSALTEVIGGTNNNDPLQILQDPLTTGTQNQQSQQPQEDKGKMRKIHYRCVRQRPWGKWAAEIRDPKKAARVWLGTFETAEAAALAYDEAALRFKGSKAKLNFPERVQPPINQVPLPPPPHFSQQSYSYNPFQYAAFMGIGGSSNNINKFNYEMDGFYGNQPFTSESSSEITQQ >OIW02384 pep chromosome:LupAngTanjil_v1.0:LG11:3492630:3499950:1 gene:TanjilG_04977 transcript:OIW02384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKLSFPISKSLDQFKSRYGSASGTAKPLLLSSRPPSSDSISSGSFANLKLTAEKLVKEQASVKTDLEIANVKLKKSTEHIHALEEKLQNAFNENAKLKVKQKEDEKLWKGLESKFSSTKTLCDQLTETLQQLAGVVQNAEKDKEALENKLSASSEALDSLNKQMGDLSLKAESAEETIRTRDNELKELKFVSDEREKLHRDDQSRAANVIQEKDTMIKNLEEMLTAGRLATENLNLNLGEVHHQLKVKEGEIMRHITNQEKLEIEKNDLQLCNAELTEKHDMSLQEIKDLEGLLQALAAHLVNLDKESLNLLSKFDEMASLHASCFKLVQQEKDALSKHAQNQYGELNNKFLSLTSEKGAIQMTNDELSNRVTELEKVLESTVAKHTDECRLACERIRNLESEAETLISKKAEAEILISKLEEKAGILLESSITSENQNQGLLLKVSALETEGKENTEKLQAEILNKSEEIDTFRNEIIKLEQHAGSLDKEVSELRKVLEEKDQHILQYREHEKKLEDQIMENKSLLTAAENKISEGSKQYDLMVETKQLEISRHLKEISQRNDQAINDIRRKYEVEKMEIVNIEKEKADKAIAEIEGGCDQKLAECKEQSRQQLMRIQEEHTALVTQIRQEHDKKQLSLIAEHSEQLRRTQLQAENELREKTTFLRSEHEAQIKALRTELEDECQKLEEELNLQKSKEDRQRALLQLQWRVMSDKPKEDQEVNSKQDSPYYEATQTPVPKLLKKVENAKAGSAMSIPKHHKKVTRHEYEVETSNGRTVTKKRRSTVMYEACICFSDPRKHKINTPKANTPASVVKSMKGGGHPRPSNIGDLFSEGSLNPYADDPYAFD >OIW01915 pep chromosome:LupAngTanjil_v1.0:LG11:14092438:14095826:-1 gene:TanjilG_15240 transcript:OIW01915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTSTFTLFHSLTLSLSTFHNRNRRHLTLSPPLLHFPRLSASLRVEQPAWDFEELELPEDNAAFQFDRSSVSTVAEMRKLPSPEMEVKELSELPEQWRRSKVAWLCKELPAHKGGTLVRILNAQKKWMRQDDATYVVVHCLRIRENESGFRVYKWMMQQSWYRFDFALATKLADYMGKERKFSKCREVFDDIINQGRVPSESTFHILIVAYLSAPVQGCLDEACSIYNRMIQLGGYQPRLSLHNSLFKAIVSNPGISSKHYLKQAEFIYHHLVTTGLDVHKDIYGGLIWLHSYQDSIDKERIAELREEMLRAGIREGREVLVSILRACAREGDVEEAEKIWFKLLRFESDPPSQAFVYRMDVYSKVGMPMKSLEIFREMEEKLGQANAAAYNQIIEVLCKARELEFAESIMTDFVKSGLQPLRPSYVYLLNMYFNLELHDKLEEAFSQCLEKFRPNSTVYSIYLDSLVKVGNLDKAEDIFNQMNRDGTIGVSARTCNIILSGYLSSGNHLKAEKIYNFMCQKKYEIETPLMEKLDLILSLSRKIIKKPISLKLTKEQREILIGLLLGGLNIDSDDRRKNHSIRFEFDSNSDTHNVLKSNVHRQFYEWLHPTCKPSNDSENIPDKFCTIASSTFGFYADQFWSKGQPTIPKLVHRWLSPCVLAYWYMYGGRRSSSGDILLKIKGSPEGVESIVKKFKDMSMDCKVKRKGKLFWIGILGSNSARFWKLVEPYILDVNDFPEVGDQTLEQDSRETENISFNSDSD >OIW02157 pep chromosome:LupAngTanjil_v1.0:LG11:7839807:7843787:-1 gene:TanjilG_02381 transcript:OIW02157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAGLVKKIAFTTLGSCTSKPNGKKSSGNKRKNHKSSKWRGKNSNAPLPDAPLKRLSNAGSRVGDFAIGDFVNLDFERPSSATCRRSEVSNVKIHLTQLQYHSHTQIDANGIFQDDAWFDSVSILESDSDDDFSSVHGDCFPFAGNALGGVPNTQLLQYESASCIVDSGGKYEEIYESYIKIDGGNCKSEDKTQEISSKQSAVYMLSVKRKSIDVNERTELCESKRFLFHPRAGLQISFSTPEKPFPSSWSAVSPSVFKLRGESFFRQVTILDKQKCPAPDYCPYVPIGVDFFVCSQKIDHIAQHVELPFVKEHDKVPSLLIVNIQLPTYPASMFPGNADGEGMSLVLYFKLSENFDQEVSPQFQESIKRLVEDEMEKVKGYTKESLVPFRERLKFLVGAVNPEDLHLNSAEKKLVQNYNGKPVLSRPQHKFYKGPNYFEIDLDIHRFSYISRKGLDSLRDRIKHGILNVGLTIQAQKQEELPEQVLCCLRLNKIDFVNHGQIPTIVTLDNNGPMDAAIDQWGEMKEKIE >OIW01601 pep chromosome:LupAngTanjil_v1.0:LG11:23340366:23371082:-1 gene:TanjilG_08782 transcript:OIW01601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTRSTLAGKEVPITGSDAVRWIELSIPSSPNTAVDGGGAPLAPPTTDDRASCFVTDNPASYLLWRIHKTQSRALELLELNASKEIPKVGLRFNFPVALCPFAFICKNQIATGSSIYPYLLYVLTVTGVAYLLKIRKVSVYASSSSTILPVDELLELDLKDYIPYNVTITAMSATTGCLVIGRSDGSVFCFQFGGLDQSAPGFVHELRDEAGISRLWGLMSRGKPVGTVQDMVISELDGNRFVFVLHLDGTLRIWDLVSYNRVFNHTMAGAMFLRLWVGQSNPDSSTVLLAILYRDTLDENMETISLHSIQHNFGDKIVFSMEPPVKHIPLDEGRCLDAKLTMDKLWILKDDELVSHTFSTNIDELEALSYALQEEFVADQLFQSSEHLADEIMRIAHSIFSSSKDDILPFVSSIFLRKLLLPGVHHNAALHATLVEYGRHLVESELQTLTADGLKKEILSLIEHEVGAGKVSTLHCWKSFFTRYFHNWCKSNAVYGLLVDSSAGAVGLIRKSSVSLFRSLEDIERILEGSSDEVGALMGIVDLFDDDHDCEILIELLRCVISFSQQLGKTASSIFYESLLTAPAISSDDIIRCIVKILQTGYCISSSVIAGDSFVVLDNELADHKSLRKLSVDMFLTLQGLYKKASTWGRILDVVEGFLKFLVPRKITHNFDTEMPSNINSSIIVHTTYQIAKVMFESAWDFLLFLRYLVHISGQVHLSHDDITRIQLELVPMLEENIFEWLIIIFFSITPSAPAAIEDFNIKLSSLQIGRVLMVEIASNLYASGIGCVHWTLPRSHDSGDLVFWAALNKMLLILKMGDLKTLWQSGRYGNTGKRLWNEKLGQCDFTLAFIFFLNAGSSSVDHNHFYSEHFSNMQSFINRTRDFISWIIWGQAGGSSTFLSRSIDLAFILFKHGQYGAAEQLLMMAEAHLLKERTSQSIQDADGGWCIRHHLIGCCLLAQVQCGLHATQKDKKVYDAIRCFFRSSSGNGASEALQSLPDDIGIPFLGFSGCESTAAWRLQYYQWAMQLFERYNISEGACQFALAALEQVDEALCGKDEKFMSNSVDESMTIKGRLWANVFKFALDLCRYYDAYCAIISNPDEESKYICLRRFIIVLYEQGAIKILCRNKIPLIGLVEKVEQELAWKAERSDISAKPNLYKLLYAFQMHQHNWGRAANYMYLYSARLRTEATLKDHQGGSLILQERLNALSAAVNALHLVHPAYAWIDPPSEGSSALSEHYPSKKARITLDEHSGNDVESQSLKPSIDIEKLENEFVLTSAEYMLSVVNVKWTFNGKHGALSDLADLLVQNNLYDMAFTIVLRFFKGSGLKRELERVLSTMSLKCCVDKVESTRVEEHGHFLTSSKLEMVVHGSPVTGPTTPQTDRNSFWAALKLYLENYKEYHGRLPVIVAETLLRADPQIELPLWLVQLFKEGQRERMWGMTGRESNPASLFQLYVNYGRYAEATHLLLESIESFASTLKVDSDDAISVSS >OIW02144 pep chromosome:LupAngTanjil_v1.0:LG11:8127530:8135704:-1 gene:TanjilG_06739 transcript:OIW02144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRSKFSTDFTLTAKFSTDFSFQMGWFGKAGDAEKEVPKPALETDVTERNYEIDGFREELANFLFWSENDDDVLEGSGEIEGNKESYVFMDTVSDVPEDSEILEGEKECFIFKEIHTDVHKDNEKTEVYTECSFSKENTHEDGVFHEYAEKSEVETEGFVFTETNSNFHIDCNKNVEDETEKETWEPFFIESGESNVHEDSKNVEETETESSVLKQSNFVAHEGDEKVEGDETECSVFMQSGSHMNEDNNKMEEEKEEGPIFVETNTATTTSMCQYMSGKDVISGLIEQPTAMSFSFREFFMSPSVSPVSNNACASTDIIHNKVFPEIDTEKNLIAQEEKQKLFQFKSNGFCGTDSSDEDKFPFNENLVESDSESESSTSSGLIWGNKFEDPFAHQFLVGNEEFESEFFKLMMKEEKTEVLEEKQFSCSGKVSVEDTYIEMESGVENLKSLNAYSFRHKDQQEGSYNEEVAYMKEKSEESRWEEELCESEHDEENENDYEWEHEDLVGQLKMELKNARQGGLAEEEEEEEEEEEEENNEEEDLEVESPKVVLDLKPLKIEEKLEYKDHIDEIEHVYRNYAEKMKKLDILNYQTMHALGLLQLKDPLKLISIPKSTIQGANPLISHNVWPCKASKNKSDPLFKIVNELHRDLELVYIGQVCLSWEILCWQHKKAQELQQFHSQGCRYNHVAGEFQLFQVLMNRFIENEPFQQGTRIQNYVKNRCVIRNLLQVPAIKDDTMKDKNITKDDDAIASGRLEGIIKESMSVFWEFVRADKDYRNVILKASQHVGIDLKDPAISGLLVDIRTQLQKKDRKLKDIVRSGNCIVRKFQRHHEDQLDHEQIVAQRLTYRKRHSYATKSNQHRIVKTPGGKLVYQTTKKRASGPKCPVTGKRIQGIPHLRPAEYKRSRLSRNRRTVNRAYGGVLSGGAVRERIIRAFLVEEQKIVKKVLKIQKAKEKQASKS >OIW02514 pep chromosome:LupAngTanjil_v1.0:LG11:3023659:3032178:-1 gene:TanjilG_12828 transcript:OIW02514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSISMSMSPSPSSIHYDTLRVLQWDKLSDLVASFATTSLGRQALKDQLWSLNSTYQQSLTLLQQTNAAVQMNKHGGCTMNFAHIDAMLVKTAIQHARRSIPVNGYEARAIAALLQCADTLQGDLKAAIKQDKDWHTHFMPLTEVIMEFVINRSLVKMIDQVIDEDGSVKDSASPALKQSRQQVLVLERKIQHLMESLIRNEKGETAILEVNNIDGRWCIRVDSGQKTSFKGLLLASGSGVGSTVEPLSAVPLNDELQRARNLVAKAESDVLLALTQKMHLDLDDIENILNSMVQLDVINARATYGLSFGGSSPHIFLPDRGSSSTAEASTRNDNSSGPLPNNRDWTLYLPKAYHPLLLQRHKENVKKHKKDVNLATSDTTLDNAHPVPVDFFVSKKTRVVVITGPNTGGKTICLKTVGLAAMMAKSGLYVLASESVQIPWFDSVFADIGDEQSLSQSLSTFSGHLKQIGHFQNIYTSIHHRDPMKLSDLYTFQFRLISILNVDYFLVMVKNIKVAYIHVGAGTNPLEGAALGMSLLESFAQDGCLLTIATTHHGELKTLKYSNDAFENACMEFDDVNLKPTYKILWGVPGRSNAINIAERLGLTSVVVDGARKLYGSASAEIDEVITDMEKLKQDYQELLDEGHHHLMLSRELYNSLLSTRRKIMKHSSNLRYKKMRDVSEAAAMARSILHKKVRQLDASPKKPSQPNKTIKSSQSSATNNRHTAADSKEPTTIADGSASAVKKVNQLSPDRSKLPKVGDMVNVTSLGRKAAVLKVDSSKGEIVVQAGSMKLKLKVTDIQIS >OIW01927 pep chromosome:LupAngTanjil_v1.0:LG11:12958785:12959486:1 gene:TanjilG_14160 transcript:OIW01927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKEDLESLPCPSFNYYFTDPVAGITEQVRSPLNDDTDFEFTAFKNTIDSEEGFFNDTVFSLVNYDLSEHRSTGGEHDSTAAQKSAAIQVPMARLLTGASDLPPPPSSESSSEADDLEGLSAQTYCLWSPNSPQASPKICQKSNSTGSSSLKPCKLLDFLRRSNSEGKGSFMLMTSVKKRKSFIGREKKAPVSSALEALYVRNRESKSVDKIKSFLPYRKNLFGLFGNGYPSL >OIW01355 pep chromosome:LupAngTanjil_v1.0:LG11:32984158:32985489:1 gene:TanjilG_20537 transcript:OIW01355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDMEEEEHDEGIVERENKGKQNMLIEKDEEHSKWTHIPSDILEMIMKRLKLIDFFGTSAVCSSWKATFTKAIANKHIKPLPELPLVILQSTKIPTSASSVLSIKSEEVCSFINKNTMLPESHHICHGTVEGWMILSISLFVDITNIIFFLNPVTGDVVIAPSPLKFPSNSPIPRRSNLNMGRMVASSSPKCEDCVVVGLFIDYVHIAYCRVNHDKSWTMIEAKKEDAWNFLDMEIFNGKLYVRTNMASSSMLVYDLQDSTNNPPKPIVLGEIPPIRPLPESRTHENQIHVKGNVIRFLTTGYAAEELLLIYLFNNYVFENDNVAYMNVVKQYASPPQVTKCEVFKLDTRSNKWVKLDHLGDRVIFLGYDKSYVMSRTLLNCSEELIAENSVYFALYFPCPEPWSKAQLGRLCLTDNKIKYFSLEEFGVELYAYPSWFLPSAW >OIW01088 pep chromosome:LupAngTanjil_v1.0:LG11:35551326:35552145:1 gene:TanjilG_25196 transcript:OIW01088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDLQTVCCMCGDVGFPDKLFRCNKCCYRFQHSYCSNYYGELPEIELCDWCQSEEKGSSRHIGSNSKKPVTGNTAGTTTRSEYSGEKIKQHDREEGGSEKGKSPTPSPRTTTRRYKLLKDVMC >OIW01312 pep chromosome:LupAngTanjil_v1.0:LG11:34887208:34889885:1 gene:TanjilG_10473 transcript:OIW01312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMISQTSLLFLISFIFTTLLSISSSSSIPSQYSILGPNLDKYPSEEDAMKLFQLWKKEHGRVYNNLQDMAKRFDIFISNMNDIIESNSKRTSSSDYILGLNKFADWSNEEFEETYLNKNGDMHEDSGMKLNDELSCEVPSSLDWRDKGAVTAVKDQGSCGSCWAFSTAAGIEGINAISTGKLIGLSEQQLMDCDKKSKACNGGLVKNALNWVVSNGGIASDTDYPYKGKNETCRKPPEVKNSAFVKGYNHLPNSDNEILCATTIQPISVCLNATGFKNYQSGIFDGRDCQNTTYTNHCVLTVGYGSQNGVDYWIVKNSWGKNWGKDGYIWIKRNTDLPYGEVHNNRYGLNHK >OIW02353 pep chromosome:LupAngTanjil_v1.0:LG11:5883905:5886189:1 gene:TanjilG_11247 transcript:OIW02353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNMKTETNSLLQDLIDNVGGCAVIDGGFATQLERHGASINDPLWSAICLMKELHLIKKVHLEYLEAGANILVTSSYQATIPGFLSKGMTIEEAELLLSRSVKVAVEARDSFWDLAKTNPENKHSKALVAASIGSYGAYLADGSEYSGCYGPDVSLENLKDFHRRRLQVLVEAGPDLLAFETIPNKLEAQAYVELLEEENVKIPSWISFTTIDGENVPSGDSFEECLEVVNKSNRVDAVGINCAPPHFIGNIISKFKQLTKKVIVVYPNSGEIWDGKGKKWLPSKCFDDNDFGLHATNWRDLGAKIIGGCCRTTPFTIQLISKALRE >OIW01953 pep chromosome:LupAngTanjil_v1.0:LG11:11953535:11954475:1 gene:TanjilG_13817 transcript:OIW01953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENMMGNYKDTACDVARLTLCQTGIIWSVHQLAFSPHTDCKGIMDLVKFLSPKHVMLVHGEKPKMISLKEKIHSELGIPCYYPANNETVRIPSTHYENVETSDSFVRSCSNPNFKFQKCSSLDTCNSTLSDRNLMPELQVKDERVAEGILVVDRNKKAKIVHKDELLLTLSEK >OIW02036 pep chromosome:LupAngTanjil_v1.0:LG11:9826285:9833659:-1 gene:TanjilG_13774 transcript:OIW02036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVVESTEHNIRGVATHGGRYVQYNIYGNLFEVSTKYVPPIRPVGRGANGIVCAAVNAETREEVAIKKVGNAFDNRIDAKRTLREIKLLRHMDHENVMSIKDIIRPPQKENFNDVYIISELMDTDLHQIIRSNQPLTDDHCRYFLYQLLRGLKYVHSANVLHRDLKPSNLLLNSNCDLKIADFGLARTTSETDFMTEYVVTRWYRAPELLLNCSEYTAAIDIWSVGCILGEIMTRQPLFPGRDYVHQLRLITELIGSPDDSSLGFLRSDNARRYVMQLPQYQKQNFCTRFPNMSPGAIDLLEKMLVFDPNRRITVEQALCHPYMAPLHDINEEPVCSRPFSFDFEQPSFTEEDIKELIWRESVRFNPDPPIY >OIW02826 pep chromosome:LupAngTanjil_v1.0:LG11:831602:836190:1 gene:TanjilG_29602 transcript:OIW02826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPKEHENNKEDKDDEVVLDSEHMKRYINGEIWDFEDEIMAVSDDVLLGLDGGTTSTVCICMPCIPFSHSQLHSLPILSKTVAGCSNHNSVGEIAARETLEQVMADALSKCGSKRSSVRAICLAVSGVNHPTDQQRILSWLRDIFPSHVRLYVRNDAVAALASGTIGKLHGCVLIAGTGTIAYGFTEDGKEARAAGAGPVLGDWGSGYGIAAQALSAVIKAHDGRGPNTMLTSSILQKLGLSSAEELIGWTYADPSWARIAALVPVVVSCAEDGDEVANKILLESVQELASSVKAVVERLGLCGQDGKDAFPLVMVGGVLEANRRWDIGTKVINYLSKDFPRVLPIRPKVEPAVGAAWLAWNFFMKEEFHKEA >OIW02205 pep chromosome:LupAngTanjil_v1.0:LG11:7170177:7172669:1 gene:TanjilG_21858 transcript:OIW02205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEVNMSSFSHYMDDEYEKLFRRVNPPRVVIDNDVCKNATVIRVDSANKNGILLQVVQILTDLNLIVTKAYISSDGGWFMDVFNVTGQDGNKVTDEAILGYITKSLGPESCATSPIRAIGVKQTMDHTAIELLGSDRPGLLSEVSAVLTNLKCNILNAEVWTHNARVAAVMHVNDEDTGSAITDPQKLSVIKELLCNVLGVGNKKRVAKTVVTDELTHTERRLHQMLFADRDYDRVSDDDFDEKQRPNVNVVNWSDKDYSVVTIQSKDRPKLLFDTVCTLTDMQYVVFHANIDAEGPEAYQEYYIRHIDGSPVKSDAEKQRVIQCLEAAIERRVSEGLKLELCTTDRVGLLSDVTRIFRENSLTVTRAEVTTKGNKAVNTFYVRGASGCLVDPKTIESIRKIIGNTILQVKGCLDESKSVTQDSPTRSLFGGLFKSRSFVNFGLVKSYS >OIW01583 pep chromosome:LupAngTanjil_v1.0:LG11:24149463:24153176:1 gene:TanjilG_23894 transcript:OIW01583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSGNGVFYPILCFGMFVVFIYISFGGIRMFSFDEDPELSFVRRNGTQFMLDGKAFYVNGWNSYWLMAQSVELYSRPKVREMLKTGASMGLTVCRTWAFNDGDYNALQISPGRFSEETFKALDYVIAEAREHGIRLLLSLVNNLHAYGGKTQYVKWAWQEGIGISATNDSFFFDPSIRSYFKNYIKTVLTRKNTITGIEYRNDPTIFGWELINEPRCMSDRSGDTLQEWIEEMSAFVKLIDRNHLTTIGLEGFYGPDDPKDLTVNPEYWASKVGADFIRNSKTTNIDFTSVHIYPDQWFRHQDFEDQLKFVSKWMVSHIEDGEMVLKKPVLFSEYGLSQTMKNFTLSNREKMHRTVLDIIYKSAKKNRSGAGALVWQFLVGGMKEFCDEYGMVPYESSTHSLFIEQSCRLARVKGWAHKDKSFKQLC >OIW01770 pep chromosome:LupAngTanjil_v1.0:LG11:18371061:18373356:-1 gene:TanjilG_03908 transcript:OIW01770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFTLLLLYHWLQDETSIPISDRILELCDFQEPLQNSEVTSSSNCCYDENSSYVTNISLALDVDTNLNSNSNNTLTTSTSTTNNNNTTNSSNLSIIFDSQEEIDNDISASIDFSSSQTFNVPQFLQNQQEQFGNFSSMQQTNMQQLAACNSGLDSFSQYPNDPVATLMGTSLSCVFEEDCISSVPSYMPLNPSSPSCNFISPGIGSYMPPGPLTTALSADRSGLFGGGMLLGSELQGQELEYQAENGGVFSTDSMSRVFNPSDIQALGVVEGQQLVAGAGNSATLTPEISTLEDSTFKVGKLSVEQRKEKIHRYMKKRNERNFSKKIKYACRKTLADSRPRVRGRFAKNDEFGDTQNNHRSASNNHEEDDEEEVGIKEDDMVDSSDIFAHISGVNSFKCNYSIQSWI >OIW02831 pep chromosome:LupAngTanjil_v1.0:LG11:805880:806620:1 gene:TanjilG_29607 transcript:OIW02831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDKITPENLFNNIVETLADKHKSVSFFEEEKSSSVATQFNRLFGRQKPVHHILGGGKSADCLLWRNKKISASVLTAATIVWVLFEWLNYNFLSLLCFALVLGMFVQFLWTNASGLLNRNPSKAPRFVIPEDFFVNIATMVGTEVNRGLRFLQDIACGGNLKQFLIVCLSLCLLTLVLLSII >OIW01563 pep chromosome:LupAngTanjil_v1.0:LG11:24733647:24733970:-1 gene:TanjilG_09387 transcript:OIW01563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNLTWTQRRDFTSSIRVAEFMDTRGEWNLGLLSETMRDETVNKIVALHPPSQQHLDDNLAWLGSKDVNFSVASTYSFIVGHLDFLHCSRVANLWKWKGPYKIIILV >OIW02067 pep chromosome:LupAngTanjil_v1.0:LG11:9269002:9269541:-1 gene:TanjilG_14590 transcript:OIW02067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSRILEITVISGENLHVKDEAYVVIRPESINCYATKTATDNGSDDSSFISWNEKLLVNMPMHAKSITFEVQCKNSSKGAARTVGVARIAVSDFMEICVAENSLQVLSYRLRDWEGKRNGVIHFAVRVVVVEEVPTKGVVASVKDCGEKLIRARVDDKKSCGVVASSPFWWNYPNII >OIW02592 pep chromosome:LupAngTanjil_v1.0:LG11:2711891:2713762:1 gene:TanjilG_24043 transcript:OIW02592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATDATTKFKNLDFLPDSHAEELTPSTHDGLHFWQFMVSGSIAGCIEHMAMFPVDTVKTHMQAIGSCPIKSVTVRQALNSILKSEGPSALYRGIGAMGLGAGPAHAVYFSVYETCKKRFSNGDPNNSLAHAGSGVCATVASDAVFTPMDMVKQRLQLSNSGYKGVWDCVKRVMNEEGFGAFYASYRTTVLMNAPFTAVHFATYEAAKKGLVEVSPESADDERWVVHATAGAAAGALAAVVTTPLDVVKTQLQCQGVCGCDRFKSGSIGDVIKTILKKDGYKGLMRGWVPRMLFHAPAAAICWSTYEAGKSFFHDFNQQKDTGTVT >OIW01159 pep chromosome:LupAngTanjil_v1.0:LG11:35349178:35349720:1 gene:TanjilG_17716 transcript:OIW01159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFTTMNSNLEHVPQHLHHNDSNTVITVSHIKSYQTLPSPSCDSNSDTTVLFHSHHSTTSTVGEPHAPPTWKHYRGVRRRPWGKFAAEIRDPKKNGGRVWLGTYESEEKAAIAYDKAAFKIRGQKAKLNFPNLLGLDSPISPLLLENSSDSQGLKRRKNFADLLNKLAKNRNQAMRFNHI >OIW01405 pep chromosome:LupAngTanjil_v1.0:LG11:31166562:31167001:-1 gene:TanjilG_25701 transcript:OIW01405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSSTHEEKGEVVDHERTTTFSLYHPCYFFEEALRALFKCLGLEFGTKEKKISMDKAASESEENDPITPENTESNSHRSSQEYSSTSTTQNWQLVTSIMVVKRGPRRPELSRGSGPQTN >OIW02828 pep chromosome:LupAngTanjil_v1.0:LG11:822010:824028:1 gene:TanjilG_29604 transcript:OIW02828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFQLLTFALFMLLNSNNYYSCWASSTKEVVTCSNIVPLTQRAHNISITDFGGVGDGHTLNTNAFKEAISKIRQIAKEGGTLLYVPQGVYLTGPFNLTSFITLYLAAGAVIKASEDPSNWPLIAPLPSYGRGRDHPGGRYISFIHGDGLEDVIITGENGTIDGQGDVWWKMRRHRTLKYTRPGLVEFMNSRNIIISNVVLNNSPFWNIHPVYCSNVVVRFVTILAPHGSPNTDGVDPDSTSNVCIEDSYISVGDDIVAVKSGWDEYGISYGRPSSNITIRRVNGSSAYAGIAIGSEASGGVENVLAEHINLYQMGSGIHIKTNIGRGGYIKNITVSDLYMENVKTGIRISSNAGGNADDKYDPNALTIVKGIIVKNVKGVKVHQAGMIQGLKKSTFTNICLSDINLNGETGSKTPTWKCSDVSGIAYQVSPLPCAELINHQSGSCANYSRH >OIW01125 pep chromosome:LupAngTanjil_v1.0:LG11:35834501:35837642:1 gene:TanjilG_25233 transcript:OIW01125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNLKLGAEVVGAHDLVPKDGQGLSSTFVELHFDGQKFRTTTKENDLSPVWNESFYFNITDPSKLPNLTLEASIYHYDKSNGSKVLLGKVRLSGTSFVTYSDAVVLHYPLEKKGFFSHTKGELGLKVFITNDPSIRTSSDPISSMEFSVNTDQNTTKDIFASSILNNVSGKRKESRHTFHNLPESNKGQQQNSSSEEAANPTVPFGMNEIKPGKPPSKVAYPFAGSASAMDYAVKETSPFLGGGQVVGGRVIRGNRPTSTYDLVAPMQYLFVRVVKARDLPRMDITGSLDPYVEVKIGNFKGVTNHFDKNQNPEWNKVFAFAKDNEQASILEVVVKDKDMLVDDVVGTVKFDLHEVPNRVPPDSPLAPEWYRIENRNRDRENKNGELMLAVWYGTQADEAFPDAWHSDAMSPNVIFSSNNGLARSKVYHSPRLWYVRLKVIEAQDLLLSEKSRVPDAYVKAQIGNQILMTRPVQSRIMNPYWDQDLTFVAAEPFEEPLILTVEDRIGNNKDETIGSVVIPLSKVERRADDRPIHSSWYHLEKTMSSAIEEEQGKKKEKDKFFSRIHVKIFLDGGYHVFDESTYYSSDLRPSSKQLWPKSIGVLELGILNANIVLPTKTREGRGTCDTYCVAKYGQKWVRTRTIIGNLNPKYNEQYTWEVHDPSTVLTLGVFDNGQVNDNSNGNKDSKIGKVRIRISTLQTGRVYTHSYPLLMLQHSGVKKMGEVHLAIRFSYTSMVDMMQLYFKPHLPKMHYKKPLNIVEQEKLRYQAVNIVAARLSRAEPPLRREVVEYLSDTDSHLWSMRRSKANINRLKTVFSGLISVGSWLGEVSTWKNPITTVLVHILFMMLVCFPQLILPTIFLYMFVIGMWKSRFRPRYPLHMDTRLSCTDTTTPDEFDEEFDAFPTTKSADIIRWRYDRLRSVAGRVQSVVGDIATQGERIHALLNWRDPRATTIFMTFSFVAAIVLYVIPFQMLFLLLGFYLMRHPKLRHKTPSAPVSFFRRLPALTDSML >OIW01813 pep chromosome:LupAngTanjil_v1.0:LG11:17616534:17620537:-1 gene:TanjilG_07530 transcript:OIW01813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNLCSLFKPINNNTNHVSSTTTKKRSSHAPPSNRWSRSRKDMNLDETVNIRQQAIATAALYRQHQQQFDRSSSMRYPNGSKRNANLLPRSSSSRARSLTDPLLQPHQLVNQGIKVDDLESNHFILVHGGGLGAWCWYKTIALLEESGYKVSAIDLTGSGVHSFETNNITSLSQYVKPLTDFLENLPEGEKVISVGHDFGGACISYAMELYPLKISKAVFIAAAMMSSGQSTLDIVSQQAGTDDLMQQAQIFLYANGNDHPPTSFELEKSLLRDLLFNLCPSKDVALASVSMRPTPFAPVLEKLSLSDLKYGSVRRFYIETLEDNAIPITLQENMIKANPPEKVFHLKGADHSPFFSKPQALHKLLVEISKIR >OIW01376 pep chromosome:LupAngTanjil_v1.0:LG11:32112442:32112741:-1 gene:TanjilG_12916 transcript:OIW01376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSTVAPLEHVKTSDLTCRHAILTTMDIRGSVGKISDASATGSDKSSAGFASVVDASRARGEGFKQLTRAEMREKRRKAYVFSAMNRIIGIIDERISN >OIW01612 pep chromosome:LupAngTanjil_v1.0:LG11:23066279:23069833:1 gene:TanjilG_31202 transcript:OIW01612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGVKRRSTIAVLAFLMLMGIAVYFRLWVIDYKMSSDESELLRRQFDIANREAMDESAEWRLKYDQEAGKAKKCLQELKLFQESSQKVQNASDITGKLAILKKENAILLEMVQRLQQELEEQKSKCNSQHIN >OIW02438 pep chromosome:LupAngTanjil_v1.0:LG11:4047220:4049241:1 gene:TanjilG_05031 transcript:OIW02438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKTWCYFHPEQVVIGVCHLCLNEKLLFLVAAKQGHHYNHQSPITSNASHRHQNFMHNRKPSSTSIHKIFAFGNSLFSRPESQKLKSGNYDIDASPSPEESFISIKFEENGVALWEKNSSVCNKVPIEKSQILKKEDKSMVQHVKSNNAFRWRKRIGHLFHLIQWRRTTKGGVCHVGSKVEGVKVRKSWIRTLTRRKTMD >OIW01538 pep chromosome:LupAngTanjil_v1.0:LG11:28473128:28475251:1 gene:TanjilG_19464 transcript:OIW01538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKYGLNLRPAKQKKPTRPPLPTPFGFNDDDENDVEREIALQASKNKTLKEVEEQQKKALEEDPTIFDYDGVYDKMKEKVSRPRIQDREERKPKYIENLIKKAKEREQHRDIVYERKIAKERSKDDHLYADKDKFVTEAYRKKLAEQERQMELERLRELQEERDDVTKKKDFLLDFYGNLDKNVAYGAQDAQRRKQDRAEQRIQETHEVVDIDASNQRQHGDASDEVQHSLDNSSSPVKSSKKNVGDQGETSNPVNRSIEPSDVNPSAEASLETKSSAEQSPASQPKSDHHKRTQDALAAAKERFLARKKAKEQ >OIW02890 pep chromosome:LupAngTanjil_v1.0:LG11:378746:380297:-1 gene:TanjilG_29666 transcript:OIW02890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSLWPIGKCIECGGMEIANEITVDQNGQGEFTTVQAAIDSVQTNNDQWVKIHINAGTYVEKVEIPKDKPCVILEGDKSISTFITYGDHDATDESATFASSASNVVVSGITFENTFDLEEYLKIHNITEKQQNEIKPAVAAKIGGDKSYFLSCTFRGCQDTLFDQLGRHYFKHCAIEGQIDFIFGYARSFYENCWINTTKGKNAGFVTANGRNASTDEAGFVFFRGSVVGAGEVNLGRAWGSYSTTIFHRTYLGSLVTPQGWDSWYYKGNENSLTYAEVSCSGPGANNPNRVSWMKKLNGADVQTYDRSSFINQDGWLDNLPNIYQPV >OIW02008 pep chromosome:LupAngTanjil_v1.0:LG11:10088810:10091796:1 gene:TanjilG_11601 transcript:OIW02008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESHHHSPPPPSPLLLAPQPSPPFLFTDPSSMLQNPLDPQGMHDNSIDWVNIFCGKNNFVGDHAKGIMNMMECGGSLSSPLSSCSLMAESYGGVDEKGNKVEKRRGGRLKKKTQPRFAFQTRSANDILDDGYRWRKYGQKAVKNSIYPRSYYRCTHHTCNVKKQVQRLSNDTSIVVTTYEGIHNHPCEKLMETLTPLLKQMQFLSRF >OIW01964 pep chromosome:LupAngTanjil_v1.0:LG11:11851784:11859532:1 gene:TanjilG_11538 transcript:OIW01964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLQSWRKAYGALKDTTKVGLAHVNSDYADLDVAIVKATNHVECPPKERHLRKIHFAVGAVQPRADVAYCIHSLARRLAKTRNWTVALKTLIVIHRLLRESDPTFREELLNFSQRGRILQLSNFKDDSSPIAWDCSAWVRTYAFFLEERLECFRILKYDIEAERLPKSAPGQEKGRSRTRDLDSEELLEQLPALQKLLYRLVGCRPEGAAFSNHVIQYALALVLKESFKIYCAINDGIINLVDKFFEMSRHEAIKALDVYKRAGQQAANLSDFYESCKGLELARNFQFPVLREPPQSFLTTMEDYIREAPRVVTVPTEPLLQLTYRPEEDVLTIEDTKSSVEEQEPSVTVDDVIVSKSEPPPAAPPPPPSHNNFETGDLLGLNEITFDASSIEERNALALAIVPTETGTASSAAQAKDFDPSGWELALVTTPSTNISSVNERQWAGGLDSLTLNSLYDEGAYRSAQQPVYGAPTPYPFGVQDPFASSSNIPPPSNVQMAAMAQQQQVNSFGPYQPYQLQQPHMMMNPANPFGDAGYGAFPVNSVSHPQHNNPFGSTGLL >OIW02044 pep chromosome:LupAngTanjil_v1.0:LG11:9650321:9653765:1 gene:TanjilG_21093 transcript:OIW02044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNSSNFDENSDMGYQPSHSSIDQNDHSLTETASYSPISGDSFAYCWTNSESEVSNFSEPIDDNSYASSEPSSSRSRSAKHSQASLSRLGMKQWRHLCDDNPNVLDLLESEIEMMKERFSKLLLGEDMSGGGKGVCTAVTVSNSITNLYATVFGQNLKLEPLNPEKKTMWKREMNCLISVCEYIVEFAPTAQYLDDGTIMEVMTSRPRSDIYINLPALQKLDTMLIEILDSFIDTEFWYAEQGSISGNLTRSREGSFRKIVQRKDEKWWLPVPCVHPGGVSVKSRKHLIEKRDCANQIHKASMAINSSVLAEMDIPETYMANLPKSGRASLGDTIYRCMCGTDKFSPDDLLGSLKISSEHEALELADKVESSMYTWRRKACLSHSKSSWNKVKDIMADTYRNDKNYVLAERAETLLFCLKQKFPGLSQTSLDTCKIQYNRDVGKAILESYSRVLESLAFNIVAWIEDVLHVDKSMRNQDV >OIW01744 pep chromosome:LupAngTanjil_v1.0:LG11:18847073:18874492:-1 gene:TanjilG_03882 transcript:OIW01744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPPPLDNQEEDEEMLVPNSDIPDGPQPMEVTHTEDMSTADVPIVDDSLSGRFTWTIENFSRLTRKVYSDDFYVGGYKWRILIFPKGNNVDHLSMYLDVADSTTLPYGWTRYAQFSLSIVNQIQSKYSVRKETQHQFTARESDWGFTSFMPIAELSDSSRGYVVNDTCIVEADVAVRKANDYWSHDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDMPSGSIPLALQSLFYKLQYSDSSVATKELTKSFGWDTYDSFTQHDVQELNRVLCEKLEDKMKGTVVEGTIQQLFEGHHMNYIECINVDYKSTRRESFYDLQLDVKGCRDVYNSFDKYVEVERLEGDNKYHAEQYGLQLQLKRFEYDFMRDNMVKINDRYEFPLQLDLDRDSGKYLSPEADRSVRNLYTLHSVLVHSGGVNGGHYYAYIRPTLSNQWFKFDDDRVTKEDAKRALEEQYGGEEELPHTNPGFNNSPFKFTRHSNAYMLVYIRDSDKDNIICSVDEKDIAQHLIVRLKKEQEEKELKRKEKEEAHLYTILKVARDADLHQQIGKDIFFDLVDHDKVRTFRIRKQTPFITFKEEVAREFGIPIMSQRFWLWAKRQNHTFRPNRPLTPQEETQSVGHLRDVYNKTNNAELKLFLEDGQDFGLIRLPEKRKEDLLLFFKLYDTSNETLRYIGRLHVTGSGKPMDILTKLNEMAGFALDEEIELFEEIKYEPRVMCEHVDKNVTFHANQLEDGDIICIQKALVGSGEQFRYPDVPSFLEYVHNRQVVRFRYLEKPKEDEFSLELSKIHTYDDVVIRVAEYLGLDDPSKIRLTSHSCYSQQPKPQPIKYQGVEHLSDMLTNCNQTSDILYYEALDIPLPELQCLKTLKVSFHHATKDEVVIHTIRLPRQSAVEDVINDLKSKIFPLSEMIDNINDQYWTLRAEEIPEDEKNLGPHDRLIHVYHFMKDTAQNQVQLQNFGDPFFLPIHEGETLADIKLRIQTKLHVPDEDFSKWKFAFVSLGRPEYLQDSDTISTRFQRRDIYGAWEEYLGLEHADNSPKRSYVANQNRHSFEKAVKIYN >OIW02383 pep chromosome:LupAngTanjil_v1.0:LG11:3485437:3489059:-1 gene:TanjilG_04976 transcript:OIW02383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGGCSIVWFRRDLRVEDNPALMAGVRAGSVVAVFIWAPEEEGQYQPGRVSRWWLKHSLAYLDSSLRNLGTPLITKRSTDSVSALLEVVKSTGATQLFFNHLYDPLSLIRDHRSKEVLTAHGITVRSFNSDLLYEPWDVNDDHGQPFTTFDAFWERCLNMPYDPQSPSLPPKRIIPGDTSRCPGDTLVFEDESEKSSNALLARAWSPGWSNADKALTTFINGPLIEYSENRRKADSATTSFLSPHLHFGEVSVKKVFHLVRIKQVLWANEGNKAGEESVNLFLKSIGLREYSRYISFNHPYSHERPLLGHLKFFPWMVNEGYFKAWRQGRTGYPLVDAGMRELWATGWLHDRIRVVVSSFFVKVLQLPWRWGMKYFWDTLLDADLESDALGWQYITGTLPDGREFDRIDNPQFEGYRFDPNGEYVRRWLPELSRLPTQWIHHPWNAPESVIQAAGIELGSNYPLPIVGIDEAKARLQQALLQMWQQEAAYRAATENGTEEGLGDSFESTPIAFPDDIQMEERHEPVRNNPPAAAATRRYEDQMVPSITSSLVRVEEEETSLDVQNSAEESRAEVPVNVIAQQNARETVLRTATRNTQLPHNNNTMWLRNAAEDSTAESSSSTRRERGGGEVPVWSPTASSYPEPFVDDENGIGPSSSYLQRHPQSHQLMNWTRLPQTG >OIW02095 pep chromosome:LupAngTanjil_v1.0:LG11:8844479:8844733:1 gene:TanjilG_14744 transcript:OIW02095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQVGGLVMLQPEVGGSCENFFFAGIDKVRFRKPAIAGDTLVMRMTLIKLQKRFGIAKMEGKAYVGGEVVCEGEFLMATGSGSQ >OIW02124 pep chromosome:LupAngTanjil_v1.0:LG11:8687587:8689501:-1 gene:TanjilG_26664 transcript:OIW02124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGKLFGGPNMTVLHQNERLPCSSELLESLWIHNSDPASFQGSKQVVDFENVSGRDRPFFQALDKEENCDEDYDTCFHQPGKKRRLTSEQVQFLERNFEVENKLEPERKVQLAKELGLQPRQVAIWFQNRRARFKTKQLEKEYGTLKASFDRLKGDYENLLQENQKLKDEVNSLKNKLIPRDKEKENSDDKSFSNGAVNSLLHQEEPIMDLISSNATSENGSKVPLPIMVTCKIEDANSAKSDVLDSDSPHCIEDRNNNPSSFVMDPATDSSHAFEPDHSDFSQDDDEDDLSEKLLTLPCLPKVEDACYDHNPENSCNFGFPVEDQTFCFWPY >OIW01682 pep chromosome:LupAngTanjil_v1.0:LG11:20437572:20447322:-1 gene:TanjilG_19790 transcript:OIW01682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKISVPHFLLLLLSFSICIAAKIYQPISDSHRSLASDLFTSTDPSYPSLEAAYEALRVFEVLGIEKKTHVSTTSCPKVLENLGSSSPLKDLYYALKVNGILKCKVDAKVLKGVASRLKATVKDASTLLDIYYSIGSLVLIKNQDSNVDVLLADADGTFHSIKALSQSDGRWRYSSDNPKSSTYAAGLALEALAGVISLASSEIDQSKVNTVKNDISKLFDTIEKYDDGTFYFDEKFVDGHEHQGTLSTTSSVVRGVTAFAAAIAGKINVCGLISIPLILSLPETVYSLTKKDQLKVRVTTALGSTAPPLTVKLVRAFSTGAKDSAIIESKIVLLDSDSKKDYATGGQIHVPIYVTGIIEVSNAEIAILDSDLGSVETRKTLDLAGNDVVSLSANHLQKLRFSFQLTTPHGHVFKPHQAFLKLRHETEVEHIFVVGNTNKKFEIVLVKISIPLILSLPETVYSLTKKDQLKVRVTTALGSTAPPLTVKLVRAFSTGAKDSAIIESKELQYDQKSGLHVLDIFPKNVDVGAYVFVFEIVLLDSDSKKDYATGGQIHVPIYVTGIIEVSNAEIAILDSDLGSVETRKTLDLAGNDVVSLSANHLQKLRFSFQLTTPHGHVFKPHQAFLKLRHETEVEHIFVVGNTNKKFEIVLVKPTLIAFELGVIEDFLGLVEKLFYLSGKYDIELTVGDAVMENSFLRLLGHVELDFPEAPEKASRPPPPAVDPYSRYGPKAEIAHIFRVPEKRPPQNLSLVFLGLILLPFVGFLIGLLRLGVNLKNFPSSTVPATFAILFQLGIGAVLLLYVLFWLKLDLFTTLKTLGFLGAFLLFVGHSTLSHLASTSAKLKSA >OIW02729 pep chromosome:LupAngTanjil_v1.0:LG11:1501174:1502801:1 gene:TanjilG_29505 transcript:OIW02729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPHHPPQETKPTATTVAITTPCETTNTANSNSSSSKTTTANNTSTNRKCKGKGGPDNNKFRYRGVRQRSWGKWVAEIREPRKRSRKWLGTFTTAEDAARAYDRAAIVLYGSRAQLNLQPSSTSSQSSSSRGSSSSTQTLRPLLPRPSGFGFTSSASHVPMVFNGAVTSGFVPFGVHPCGGGNNNNNIVPQVLCPIDNNVVQHRQNHYHQPQQSEQQLQHQQKYHYPESDVGVAGSINSTSYQNHSLNHQFVPHIPVSNHMQNQNFVVEEMNSLVGSSLSSQITLDHATPGQDPDPSLGVVGAVGLGSPSMWSFTNEDGYQYPASLWDYNDPLFFDF >OIW02618 pep chromosome:LupAngTanjil_v1.0:LG11:2513450:2515363:-1 gene:TanjilG_24069 transcript:OIW02618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGGLRVFLSHGNVIKTAVLQQVRMVNPLLQPVAFSRFESVTPARIEEHGFESTTIADILQGKGKGADGSWLWCTTDDTVYDAVKSMTQNNVGALVVVKPGGEQKSIAGIITERDYLRKIIVQGRSSKSTKVGDIMTEENKLITVSPDTKVLRAMQLMTDNRIRHIPVINDKGMIGMVSIGDVVRAVVSEHRQELDRLNAYIQGGY >OIW02943 pep chromosome:LupAngTanjil_v1.0:LG11:36651:36986:1 gene:TanjilG_29719 transcript:OIW02943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLGSEGGRGLRRRVKMANIPKSSSMSEYTSGTCITIKKWWEWGWGWILSAKPIFVTDLEMNEEYESKLLRGTWENVFIKLRSQITRLITSSEDHLPQTCNTNTNRNKNKT >OIW02488 pep chromosome:LupAngTanjil_v1.0:LG11:4569359:4571399:1 gene:TanjilG_05081 transcript:OIW02488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATGNATSAPRQLSQKELDIQLMLAAQVHLGTKNCDFQMERYIFKRRFDGIYIINLGKTWEKLQLAARVIVAIENPQDIIVQSARPYGQRAVLKFAQYTGAHAIAGRHTPGTFTNQLQTSFSEPRLLILTDPRTDHQPIKEAALGNIPTIAFCDTDSPMGHVDIGIPANNKGKHSIGVLFWLLARMVLQMRGTIRPGLKWDVMVDLFFYREPEEAKQQDEDENPAVEYAAITDFNASSLAADGQWPTAIDQSWTDTVPQPIAAAPGAGWVAPDAVPVAGDWGEAVPPPQIPAPAIDSIPPASGWE >OIW02081 pep chromosome:LupAngTanjil_v1.0:LG11:8989077:8989253:-1 gene:TanjilG_14780 transcript:OIW02081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKPLKQINHVNECIISHSPTIYKSSMKAFEAATNVDPKKTVPKLLESIHDLYSTDFL >OIW01460 pep chromosome:LupAngTanjil_v1.0:LG11:30036449:30042887:-1 gene:TanjilG_30934 transcript:OIW01460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEKVYERTLEETPTWAVAVVCFVLLFISIIIEYIIHAIGKWFKKNHKKALYEALEKVKGELMLLGFLSLLLTVFQEPISKICVSKNVASSWNPCSTPKASKTENHSDNTNSRKLLQYLEPMHRRILATKGYDKCAEKANPERFRFARDTTFGRRHLNKWSESSISLWMVCFFRQFIGSISKVDYFALRHGFIMAHLAPGNDAKFDFQKYINRSLEEDFNVVVGITPIIWFFTVLFLLTNTHGWYSQFWLPFIPFIIVLLVGAKLQMIITRMGLKIQDRGEVVRGAPLVQPGDELFWFNRPRFLLFLIHLVLFQNAFQLAFFTWTTYEFTLYSCFHDTTADIVIRVTMGVVVQILCSYVTLPLYALVTQMGSTMKHTIFNDRVATALKSWHHTAKKHVKDSKHASASVTPFSSRPATPTHGLISGTPTVQAPRPSDSTQTSPRASNYENEEWGIEGSASPNNHNTINIGEGYETQMQVLEPDSTTELPISSEHEISLSVSDFSFEKQHIGRDYE >OIW02096 pep chromosome:LupAngTanjil_v1.0:LG11:8845104:8846386:-1 gene:TanjilG_14745 transcript:OIW02096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAATSGAVLNGLGSSFLCGGKRSQTLIGASSGSKAISPRKLIVAAVAAAPKKSWIPAVKGGGNFTDPEWLDGSLPGDFGFDPLGLGKDPSFLKWYREAELIHGRWAMAAVLGIFVGQAWSGVPWFEAGADPNAIAPFSFGTLLGTQLILMGWVESKRWVDFFNPDSQSVEWATPWSKTAENFANATGEQGYPGGKFFDPLGLAGTIKDGVYIADTEKLERLKLAEIKHARIAMLAMLIFYFEAGQGKTPLGVLGL >OIW01158 pep chromosome:LupAngTanjil_v1.0:LG11:35334963:35341829:1 gene:TanjilG_17715 transcript:OIW01158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIEQKQSEIIDHFIKEASSASSNASTLASLITEATSHPSLFAFSEILSLPNVLQLEATENSAYLDMLRLFAHGTWSDYKSNASRLPQLVPDQILKLKQLTVLTMADTHKVLPYDQLMQELDVSNVRELEDFLINECMYAGIVRGKLDQLQRCFEVQFAAGRDLRPAQLGNMIHTLSNWLSTSENLLVTIQEKIKWADSMGEIDMKHRKDVEEKVEEVKKSLFKADINFRGHEEICPESGGVMDYEEDRIRPKR >OIW01935 pep chromosome:LupAngTanjil_v1.0:LG11:13469087:13480332:-1 gene:TanjilG_14168 transcript:OIW01935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTDITTSSAPKFPVPHKNFSLEIKGNKTEINISSYEDHFLVIATQIGTMGTILHARKDEGVSINPTFNVSLIFGKRDEPMLGACARQLIEHISLSGSSKALVLSLGLKDHSTETLKGIIYAVIDNCMW >OIW02003 pep chromosome:LupAngTanjil_v1.0:LG11:10031214:10031657:1 gene:TanjilG_11596 transcript:OIW02003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCHEPDKTRNMFDQDRMHQLALSRSGSMHQMCIRQPRSEWLHQMHMCQSRSLHQMRMGNEHQLSLSRSGQGMAHQLALSRSGQALAHQLALPRSGQALAHQLMSQSGKGMVHQVNHGNSYSSQIHHHNESIDTKSLGSTIRLHIYIN >OIW02588 pep chromosome:LupAngTanjil_v1.0:LG11:2733446:2734387:1 gene:TanjilG_24039 transcript:OIW02588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRTWGKSTVQVHSTSSSPSPSHSHSHSHSHSSSQLFSCSSFKDIETLCLDEPPHQPSPSRSPSPTPKPSIFHRVRLAESLLRAWSIHLPPQQPPKLPRTLSNSTSEPDDKPISQPQPVHLQQRHPALPRSLSQPVSVFQHELESVPEPDSPHNDPTPFIPGTEQRVVVYYTSLRVVRPTFEACKSVLSILSGFRVRVDDRDVSMDSGFTTELNRIMGQTGLTLPRVFIGGRYVGGAEEVKQLNEIGELKKMLEKLPVADQRECHVCGGHRFVLCNECDGSRKVYTEKIGLKTCNACNENGLVRCPSCFSKFN >OIW01617 pep chromosome:LupAngTanjil_v1.0:LG11:22701844:22723191:-1 gene:TanjilG_22661 transcript:OIW01617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFVKQVSISFAEWYRICELPGANDTASSHFILQLHQNGLLKGDDLTDRFFQLLVELVVAHCLSTKVIYSGGLDSPQQLQPMSFLAIDVYAKLVFSILKSRHSPLRSVGFCDSSMANKVPPSFGRTGKVIKDSGPKGFNPGLIVLLVVFGLVLIFLVGNYVLYTYAQKTLPARKKKPLSKKKLKKERLKQKVTAPGE >OIW01196 pep chromosome:LupAngTanjil_v1.0:LG11:33384633:33384959:1 gene:TanjilG_10357 transcript:OIW01196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESVVSMAAEELSKRPKTGGIYTTIAAHRDCAAKRLKDLSNKDPTIDVGLEMADAAQLLKLMSFSTNEIEMAGQKGHKGAMLLIQAEILHNKGQELIAECQNLIKDTL >OIW01834 pep chromosome:LupAngTanjil_v1.0:LG11:16879647:16882754:1 gene:TanjilG_15698 transcript:OIW01834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTGSDPQKQFLSLIRNFATEKSQGERRVVTLRKRFEDLKSELSVANAEVENSKRCKELVEQELKGFEVQLFMIEASVQTLEARVSLIQDEIAAVGSDSETIKVHTKFSLLVLDSCALKHLIEPVSSGNCGCVTTEDEQFINNMLELNAKIRKFHESIITCDFDAVDGEVLTDAPDVIVKESDAEVALMSLESTLLDIISQTAKEEEEYQAEQKIYKNIQQELIDCERKVSLINIIVTETKALQDLTIYPYTYESGRVVLEVSALELRQPKILKCYHVMCPTVTTHKALFEDV >OIW02825 pep chromosome:LupAngTanjil_v1.0:LG11:840258:856627:1 gene:TanjilG_29601 transcript:OIW02825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAFFRNFQNDTVSHSVMEDKVKGHNANSVHRLVGNQCPDATSSEKEFDMNTEAQYQSDGEPDGASRLQNEEAAIDDGAANRESNLQTAASKTTTVGRWGSTFWKDCQPMHPQNGSESGHESKSESDYRNEDGSEGNSLDGKGERLDSEDDEQKEEGKGPVGHHDAPAEEMLSDEYYEQDEAEQRNSLQQRGIHKFTRSNLWPQQVSTFPNRNSNKKSRISNDVEEDDDDGDNDDGDSDADYEEEDEADEDDPDDADFEPATSVHAANKDKDWEGEGSYEDDDSDENVDVSDDDDSFYGKKPKGRQQAKVGQSLKSTRDRKTYPASGRQRRVKSTFEDNESIADDSDSGSDEDFKSIKKRGVHVRRNNGCSSSPTSFSMRNNEVRTSTRTVRKVSYVESDESEEADEGKKKKSQKEEIEEDDADYIEKVLWHQPKGMADDAQRNNRSTSPILMSHLFDSEVDWNEMEFLIKWKGQSHLHCQWKSFADLQNLSGFKKVLNYTKKIMEDIKHRKSISREEIEVNDVSKEMDLDIIKQNSQVERIIADRISKDSSGMVVPEYLVKWQGLSYAEATWEKDIDITFAQHVIDEYKAREAAMSVVQGKTVDSQRKNSKASLRKLEEQPEWLKGGKLRDYQLEGLNFLVNSWRNDTNVILADEMGLGKTVQSVSMLGFLQNAQKIHGPFLVVVPLSTLSNWAKEFRKWLPDMNIIVYVGTRASREVCQQYEFYDDKKAGRPIKFNALLTTYEVVLKDKAVLSKIKWCYLMVDEAHRLKNSEAQLYTALSEFSTKNKLLITGTPLQNSVEELWALLHFLDANKFKSKDDFVQNYKNLSSFNENELANLHMELRPHILRRVIKDVEKSLPPKIERILRVEMSPLQKQYYKWILERNFHDLNKGVRGNQVSLLNIVVELKKCCNHPFLFESADHGYGGDSVDNSKLERIVFSSGKLVILDKLLVRLHETKHRVLIFSQMVRMLDILAEYMSLRGFQFQRLDGSTKAELRHQAMEHFNAPGSDDFCFLLSTRAGGLGINLATADTVIIFDSDWNPQNDLQAMSRAHRIGQQEVVNIYRFVTSKSVEEDILERAKKKMVLDHLVIQKLNAEGRLEKKEAKKGGSYFDKNELSAILRFGAEELFKEERNDEESKKRLLSMDIDEILERAEKVEEKEAEGEDGHELLSAFKVANFRNDEDDGGFWSRMIKADAVFQAEEALAPRSARNIKSYAEANEYDRSNKRKKKEPEPPERVQKRRRAECSAPAVPLIDGAFVQVRNWSYGNLSKRDAQRFSRSVMKYGIEDQMNLIVAEVGGAVGAAPPEAQTEIFNALIDGCTEAVEHGSVDPKGPLLDFFGVPVKANDLLTRVQELQLLAKRISRYEDPIAQFRVLSYLKPSNWSKGCGWNQIDDARLLLGVHYHGFSNWEKVRLDERLGLTKKIAPAELQHHETFLPRAPNLRDRANALLEQELAVLGGNHANSRVGRKSSKKERENMMNNSLLRGQEKKKPGSAKVSVQMRKDRLKKPQKVEPIVKEEGEMSDNDEVYEQFKEVKWMEWCQDVMVEEMKTLKRLHRLQTTSANLPKEKVLQKIRNYLQLLGRRIDQIVLDHEVEPYKQDRMTVRLWKYVSTFSHLSGERLHQIYSKLKQEQQDEAGVGPSHANGSASVPYSRNGNPFPRHMERQRGYQNRANYQMSEPVNNTGKSEAWKRRRRAESVDQFQGQSPPQRTMSNGIRISDASAQGILGAGPSGKRFVSEKPYRTQPGGFPSKQGSTSGIN >OIW01599 pep chromosome:LupAngTanjil_v1.0:LG11:23406640:23407812:1 gene:TanjilG_08780 transcript:OIW01599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METFLFTSESVNEGHPDKICDQVSDAILDACLEQDPESKVACETCTKTNMVMVFGEITTKANVDYEKIVRNTCRGIGFTSADVGLDADNCKVLVNIEQQSPDIAQGVHGHMTKKPEEIGAGDQGHMFGYATDETSELMPLTHVLATKLGAKLTEVRKNKTCPWLRPDGKTQVTVEYRNDGGAMVPLRVHTVLISTQHDETVTNNQIAKDLKEHVIKPVIPADYLDDMTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYIVRQAAKSVVASGLARRCIVQVSYAIGVPEPLSVFVDTYKTGKIPDKDILALIKENFDFRPGMIAMNLDLTRGGNFRYQKTAAYGHFGRDDPDFTWETVKILKPKA >OIW01415 pep chromosome:LupAngTanjil_v1.0:LG11:30913724:30915821:-1 gene:TanjilG_25711 transcript:OIW01415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRHPLVKWAQRSDELYITIELPDAQDVKLKLEPEGKFYFSATAGADKIPYEVDIDLFDKIDVDNSKANITSRNIFYLVTKAENKWWDRLLKQGGKLLVFLKVDWDKWVDEDEEDDSKPVSDMDFGDVDFSKLNMGGGEGLGIDDAGEDDDDDDDDDESDIEEEVAGQPSIGNEPDTKEAVGGGPSSAPDTKA >OIW01846 pep chromosome:LupAngTanjil_v1.0:LG11:16515695:16519391:-1 gene:TanjilG_07141 transcript:OIW01846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VLDLSQNPYLVSEIPKDIGELGSLKKLLLQSSSFQGEIPGSLLGLHSLTDLDLSDNNLTGLVPKFLASSLENLVFFDVSQNKLSGPFPNGICKGLVINLSLHTNIFTGSLPNNSISECTSLERFQVQNNGFYGNFPNELFSLPKIKLIRAENNRFSGQIPESISKAVFLEQVQLDNNSFSGQIPSGLGFVKTLYKFSASLNHFYGEIPPNFCDSPVMSIVNLSHNSLSGKIPQLKKCRKLVSLSLSDNTLTGEIPTSLAELPVLTYLDLSHNNLTGSIPQGLQNLKLALFNVSFNHLSGEVPYSLISGLPASYLEGNPALCGPGLPNSCSNDYMPRHHSIGVTTLTCALIVLAFVVGIAFVVGGFMLYKRSCKGNDNEVGVFRSVFFYPLRITENDLLIGMNEKSSLGKGGVFGEVYAVSLPSGELIAVKKLVNFGNRSSKSLKAEMKTMARIRHRNIVKILGFCHSDESVLIIYEYLNEKSLGDLISSQNFELQWGFRLRIAIGVAQGLAYIHKDYVPHLLHRNVKSNNILLDANFEPKITDFALDRVLGESAFKSTLDSEGGSSCYNAPEYGYNKKPTEELDVYSFGVILLELVTGKQAEPKEASDDSLDIVKWVRRKVNITNGVQQVLDPRISHTFHHAMIEALDIALSCTSVLPEKRPSMVEVVRDLQSLESRTCIANLQLPSEGHVPM >OIW01449 pep chromosome:LupAngTanjil_v1.0:LG11:29497027:29501336:-1 gene:TanjilG_30923 transcript:OIW01449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGSNFLDEVDCANFLDHIDDLLDFPADDSDAAAGNFDLPENFFPVDSDSQPSPESVLTDSGNASPDITAELSVPFEDIVQLEWLSKFVEDSFSGGSLTMKKVEPCCGPKEDLAHNEFQTARPLSVIETRNSFSCEKTAPHIYIPVPCGRARSKRRRPVTFNPHHVLQLISPASSVSENMQPNVTSRASLCSKKDFGESQTEIKIQRHAASGEHKKKKKIKVSLPLGQADSNHNLPSQTVRKCMHCEITKTPQWRAGPMGPKTLCNACGVRFKKGRLFPEYRPAASPTFCPALHSNSHKKVLEMRNNPSQKSSFAMDSDALPELIPNTNNSLTLEYM >OIW02125 pep chromosome:LupAngTanjil_v1.0:LG11:8756446:8763971:1 gene:TanjilG_26665 transcript:OIW02125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTTMELEANQVTTWEGYVDWRNKPAIRTRHGGMLAASFVLVVEVLENLAFLANASNLVLYLRKYMHMSPSNSANSVTNFMGTAFLLALLGGFLSDALFTTYHIYLISAVIEFLGLIILTIQAHMASLKPLECDKFTTCEEVNGGKAAILFVGLYLVALGVGGIKGSLAAHGGEQFDETTPNGRKQRSTFFNYFVFCLSCGALIAVTFVVWIEDNKGWEWGFAISTISIFVSIPVFLAGSTTYRNKVPSGSPLATILKVLVAASLNSCIGRNSSSAVVNPSNLHLCVKELEKETKKERTSTETSTYTLKFLNNAVANDPIHSSLECTVEQVEDVKVVLKVLPIFACTIMLNCCLAQLSTFSVEQAATMNTKLGSLKVPPASLPVFPVVFIMILAPIYDHLIIPYARKATKSEMGITHLQRIGIGLVLSIVAMAVAAIVEVKRKRVATDSSGLLDDATKPLPITFFWIAFQYLFLGSADLFTLAGLLEFFFSEAPIRMRSLATSLSWASLAMGYYLSSVIVSIVNTATSSSNHRPWLSGANINHYHLERFYWLMCVLSGLNFLHYLFWAIKYKYRGTCTNH >OIW02091 pep chromosome:LupAngTanjil_v1.0:LG11:9169650:9178778:1 gene:TanjilG_14790 transcript:OIW02091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHVNLPPSKRNPNQWRILDFISAMFFALVFIFFLMVFTPLGDSLAASGRQTLLMSASDPQQRHRLVAAIELGQQRSIEVCSTDAVDHMPCEDPRRNSQLSREMNYYRERHCPPPEDTPLCLIPPPTGYKVPVQWPESLYKIWHSNMPHNKIADRKGHQEWMKIEGPHFIFPGGGTMFPDGAVQYIEKLGQYIPINSGVLRTALDMGCGVASFGGFLLAQNILTMSFAPRDSHKSQIQFALERGIPAFSAMLGTRRLPFPAFGFDLVHCSRCLIPFTAYNATYFIEVDRLLRPGGYLVISGPPVQWPKQDKEWSDLQGVARALCYELIAVDGNTVIWKKTVEDTCLPNNNEFGLESCVDLDDPSSAWYFKLKKCVSRRSSIKGEYGIGTIPEWPGRLTAPSPRSTHLKNGADVYEADTKRWIRRVAHYKNSLNVKLGTPAIRNVMDMNAFFGGFAAALISGPVWVMNVVPDHKPSTLDVIFDRGLIGVYHDWCEPFSTYPRTYDLIHVSSIESLLKDHASGKNRCNLVDLMVEMDRILRPEGTVVVRDTPEAIERAARVAGAVASFGGFLLAQNILTMSFAPRDSHKSQIQFALERGIPAFSAMLGTRRLPFPAFGFDLVHCSRCLIPFTAYNATYFIEVDRLLRPGGYLVISGPPVQWPKQDKEWSDLQGVARALCYELIAVDGNTVIWKKTVEDTCLPNNNEFGLESCVDLDDPSSAWYFKLKKCVSRRSSIKGEYGIGTIPEWPGRLTAPSPRSTHLKNGADVYEADTKRWIRRVAHYKNSLNVKLGTPAIRNVMDMNAFFGGFAAALISGPVWVMNVVPDHKPSTLDVIFDRGLIGVYHDWCEPFSTYPRTYDLIHVSSIESLLKDHASGKNRCNLVDLMVEMDRILRPEGTVVVRDTPEAIERAARVAGAVRWKPTIYDKEPKSHGREKILVATKTFWKL >OIW02797 pep chromosome:LupAngTanjil_v1.0:LG11:1025738:1026706:1 gene:TanjilG_29573 transcript:OIW02797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSNDKPEIVERGIKDEKHEGDDKEEGKGGFIDKVKDFIHDIGEKIEEAIGFGKPTADVTTIHIPLINLHKADIVVDVLVKNPNPVPIPLIDINYLVESDGRKLVSGLIPDAGTIKAHGEETVKIPLTLIYDDIKQTYADIKPGSIIPYRVKVDLIVDVPILGRLTLPMEKTGEIPIPYKPDIDIEKINFERFSLEETIANLHLKLENKNDFDLGLNSLDYEVWLGDISIGGAELTKSAKLEKSGITYIDIPITFRPKDFGSALWDMIRGRGTGYTMKGNIDVDSPFGNMKLPISKEGGTTRLKKKKEDRDYDDDDDDEVC >OIW02145 pep chromosome:LupAngTanjil_v1.0:LG11:8125539:8126244:-1 gene:TanjilG_06740 transcript:OIW02145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQRLTYRKRHSYATKSNQHRVVKTPGGKLVYQTTKKRASGPKCPVTGKRIQGIPHLRPAEYKRSRLSRNRRTVNRAYGGVLSGGAVRERIVRAFLVEEQKIVKKVLKIQKAKEKQASKA >OIW02555 pep chromosome:LupAngTanjil_v1.0:LG11:3327266:3328576:-1 gene:TanjilG_12869 transcript:OIW02555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYHYYSPPPPPPHQQVCSSPPPSPPLAPPPTPPPPPPQYPPSFHPPPSNPPPPQYPPSFHPPPSTPPPPPSLAPPPTPSSNPPPLPLAPPPTPPPSPPQYPHSFHPPPSNPPPPQYPPSFHPPPSTPPPPPPLAPPPTPSSNPPPLPLAPPPTPSSNPPPPPQYPPSFYPPHSTPPPPSKNNLSPSNAPPPKDNLSPPSSSNAPPPKQNLTPLPSNAPPTKQNSSPPSLEPTKGPTKPPTSPPPKDNYPPSLAPTPKRAPTTSPNGSPLPPTKTPSAHSPNYFPPSNNGSGTPPPSSNDYKLSPSPSSNDYKLSPSPSSNDNKLSPPPSSNDYKLSPSPSSNNYKLSPSPSSNDFKLSPPPSSNDYKLSPPSGGSNHTTVIAVCASLGGAFFIAFLLVGLVCLAKKRKKRVIVPPPIEEPSHQYGQHSGSSPPHY >OIW02795 pep chromosome:LupAngTanjil_v1.0:LG11:1039229:1040969:-1 gene:TanjilG_29571 transcript:OIW02795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIMYSDRIDYRQARIKKIMQADEDVGKIAMAVPLLVSKALELFLQDLCDQTYDITVRKGARTMNAIHLKQCVQTFNVFDFLKDIVSKVPDLGGSVASGDDSATTKRRKVAEDGDNDSDEEQKRNKMPEPGHTSGRGRGRGRGRGRGRGSRTVDQEMASHIKFEDDSNILNQNDKHTGSNESLENVPEPEEVKHSFPASKPAETCIRNFDLNMEPVESMDSLDVPTPIPSSSPGKSVSEEKHEEYPGWSLSDMEKMAIDPIQLANLNRNIDEDKEDYDEEM >OIW02682 pep chromosome:LupAngTanjil_v1.0:LG11:1870831:1873643:-1 gene:TanjilG_29458 transcript:OIW02682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKRLDYGFRGFQVPPTAKRHRSTRRRGPFKKSAEDGQACAFELLASLAGELLQESESSASNNASEGNHHPSFSHTLVEKERQGEVRPLMAKGIHNGSCAESILFTEMESQKSNQKCLEHAETDCIVQCVSVNNNSNSECSEKVEAGLMSKNCKCRNKFVHHSNRLVEIPEDVRESSDANVKNGFRWEQVVSNSVFKGLPLGNKFCLKDPSELCVNSPSLINSNSNVKSPFCGDLLLNASISRHGNNNKLGFRDDDENFLRCNRVCTKSKAVRPPQRIARRRIRQLLTSKRWKVAPKLKDCEHSRTEFADGGVKPLYRKRKTCYSFERSRQCTLFKRRKFFGRSSVITSDGGFSWDSVSNSPDKGMDGDSSNSSIKRQASNDSHVKFSIKSFRIPELYIEVPRTSTVGSLKRAVKEAIMGMLRGGMHVGVLLQGKKVRGNNRTLRQTRISCEENLDKLGFVLEPSSLRASPAVCVGEPSQCKTPQLTRSPEARVLDSGISDALQDSSFLTNASNLVESNHESTSISTDTVVDKITTDARALVTVPTSSTKALAVIPAGQKTRHSELVQRRTRRPFSVSEVEGLVEAVEELGTGRWRDVKLRAFENADHRTYVDLKDKWKTLVHTAKIAPQQRRGEPVPQELLDRVLAAHDYWSHHQAKQHGLHGMHHQDGTMKDTVLIASNHI >OIW02053 pep chromosome:LupAngTanjil_v1.0:LG11:9509602:9519896:-1 gene:TanjilG_21102 transcript:OIW02053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRGDQMALSGSASYYMQRGIPGSGQQPELHNSPNLRPMPNPNLPFQSNIGGPMESTGILSQTVNVVAHSGAPTGESVKRKRGRPRKYGTGGTVSLTLTPSPITSASHPGTLIQTQGQKRGRGRPPGSGKKQQLASLGELMSGSAGMGFTPHIVTVGVGEDIATKIMAFSQQGPRAICILSATGAVSTVTLRQSSTSGGTVTYEGCFEILCLSGSYLLTDGGGSRNRTGGLSVSLAGPDGCVIGGGIGGVLIASSQVQVIIGSFISGGLKAKKMRKEASEIVMVSDHQAVHNPVVAVNSISSNQNFTPSSSPSPWPASRPLDMRNSHIDIDLMRGAILMEEERLRVKSKTFGFQSLPMSAKISSWANELANFMFKDIAEKIISFSQQSPKGTAICILTANGAVSTVTVRQPSTAGGTAIYEGNFQIASLKGSYLPTDSGASQAGGLSILFSCPDGGAIGGVIGGVLIAASEVQVIIGSFEYGGSKTKRKKI >OIW01938 pep chromosome:LupAngTanjil_v1.0:LG11:12145433:12147657:-1 gene:TanjilG_25094 transcript:OIW01938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTFVKYFEKFGEITDSVIMKDRQSGRPRGFGFITYADPSVVDQVIQEDHVINDKQVEIKRTIPKGSSQANDFKSKKIFVGGIPTAVSEDELKDFFSKYGKVVEHEIIRDHTTKRSRGFGFIVFDNDKVVDNILSDGNLIDMAGTQVEIKKAEPKKSSNPASLRPFASHSRARSYNDGFGGFGDSYGIFSSSSYGPGSYRSPGGFGARYGDYGGYGGGDDFSGGFGGYGAAAGGYVGYPADSSFGYSSRYGSYMGALGGGYGSGALGAYGRGAGGYGSYGSPGTGGGYESGPGAGYGGAGGLYPSRGSYGGSSRYHPYAR >OIW02071 pep chromosome:LupAngTanjil_v1.0:LG11:9314457:9317147:-1 gene:TanjilG_14594 transcript:OIW02071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLSRDAATTRFRGAVIAALDSDDKGEESNIDWEAEFVGELDPFGYRAPKKRAKEQKSKLLEDTDGMDWCVRARKVALKSIEARGMTRTMEDLVSVKKKKKKPKKILVSKKKTVKKTEDIEEILDYGSEEELGMPQTIEDLDDVGDLKRKVSLIADGMYVEKKEKTMEEFVNKLSQFSGPSNRREEINLNKEIVEAQTAGDVLEVASEIIVAVAKGLSPSPLSPLNIATALHRIAKNMEKVTMMKTRRLAFARQREMSMLVGIAMAALPECSAQGISNIAWALSKIGGELIYFSEMDRIAEVAVTKVGEFNSQNLANVAGAFASMQHAAPDLFSELSKRASEIIHTFQEQELAQLLWAFASLYESADPIYDSLDRVFKDNSQLKSSIGEKTSSNHEQINVDRSGPSNGSLVSPILTLSRDQLATITWSYAVFGQMDRSFFSHVWKTLSHYEQQRISELYREDIMFASQVHLVNQCLKLEFPHLQLSLSGELEDKISRAGKTKRFNQKITSSFQKEVGRLLVSTGLEWVKEYVVDGYTLDAVVVDKKVALEIDGPTHFSRNTGVPLGHTMLKRRYITSAGWKVVSLSHQEWEERQGAFEQVEYLRHILKDHLNEGYANTTLTEVK >OIW01082 pep chromosome:LupAngTanjil_v1.0:LG11:35462626:35466965:-1 gene:TanjilG_25190 transcript:OIW01082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLSEVLKSKNKENEAYLSEVESIGQAYGDMQTQNQQLLQQITERDDYNIKLVLEGLRARQNLDSLVMEKRAVEHEIQQANVSLNLYDKKSARIEEQLKYCSDQIQRLGEDKLQSSTTSEFTQRRLLDVRRTCQQARDTLDEVQSKASCSRVTRMELQVEHEKERFTRKRIEEDLEAARRKFSRLKAQNEGSSVIEKLQNELQEYREIVKCTICKVRTKQVVITKCFHLFCNSCVQTVAGSRHRKCPQCGASFGSNDVKPVYM >OIW02744 pep chromosome:LupAngTanjil_v1.0:LG11:1406290:1407933:1 gene:TanjilG_29520 transcript:OIW02744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSVDNGDSKEEIEESNGSKVQEFVSIDISTSRKTSPINENPQGKFQGTSNSVPNRINFFKFGSASAKFKRLATAKDQISQLVPSTGSLKERLAKKLNWDSLKKMCIKWIRDPSNIALFAWILCVAVSGAILFLVMTGMLNNVLRKKSTRNAWFEVNNQILNALFTLMCLYQHPKRIYHLVLLCRWNPDDISRLRNLYCKNGTYKPHEWAHMMVVVILLNVNCFAQYALCGLNWGYKRSERPPIGVGICIAFAIGAPAFAGLYTIISPLGKDYDSDMDEEAQNQISEARKKEQLRVKSFETRYSFALKDQQRVVESRPQWSGGILDLWDDISQAYLSLFCTFCVFGWNMERLGFGNMYVHIATFMLFCMAPFWIFILAAVNIEDDTVRQALVGTGIILCFFGLLYGGFWRIQMRKRFNLPAYNFCFGKPAASDCILWLCCCWCSLAQEVRTANMYDIIEDKFFRKENDTSGDKLLISPLPHEDVASNKSASSSPLGSSSASMIKPSSPLSSKRYDSPGTPLSTVKEENSERGEDGIMKPPTPPLIQR >OIW01188 pep chromosome:LupAngTanjil_v1.0:LG11:33304297:33308888:-1 gene:TanjilG_10349 transcript:OIW01188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMAGSPVYGTPLSMLEDVQLMANMGLEAYRFSISWSRLIPDGRGPINPKGLLFYNNLINELIRHGIQPHVTLHHWDIPQILDDEYGGWVSRRIVKDFTAYAHVCFREFGDRVKYWTTVNEGNVFAMFGYDLGLLPPQRCSSAIGKCSRGNSSTEPYLAAHHMLLAHASAARLYRKKFQGLQHGFIGFSLLGFGLVPQTNTSEDIIATQRAHDFLMGWFLNPLTYGDYPDIIKKNAGSRLPLFTKKESNMVKASIDFLGINFYYTFYIKNSLESLQMKERDYMADIAAKIPITTWSLQGVLDSLTNNYGNIPIYIHENGQKTARNSSLDDWTRVKYLNAYIGSLLDILRTGSNVRGYFVWSLLDGLELFNGYEASFGLYYIDLNDPSLKRQPKLSAEWYSSFLNKRTLNPKFTMEIKKNTALLSQAPLMHNAT >OIW02634 pep chromosome:LupAngTanjil_v1.0:LG11:2422450:2423998:1 gene:TanjilG_24085 transcript:OIW02634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHSLFTLTILFFTLSSFVTSHSPSSLSSSSSSFSYSQPQPSSSSPLISEWQSAHATYYAASDPRDAVGGACGYGDLIKVGYGQATVGLSEVLYERGQICGACFEVRCVDDLKWCIPGTSIIVTATNFCAPNYGFTSEGGGHCNPPNKHFVLPIEVFEKIAIWKAGNMPVQYRSYVIFPEHMSTFSFQQHDGV >OIW01912 pep chromosome:LupAngTanjil_v1.0:LG11:14041692:14042910:-1 gene:TanjilG_15237 transcript:OIW01912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANKDWSFGSNNTDWWSRFGNHHNTTHQQPKKIVVGGSEHWHFGYNYTDWAMKNAPFYVNDTLVFKYDAPNATTFPHSVYMFQNFWSFLKCDLKRAKKLSNPTQGGGEGFKFVLKRWQPHYFACGERNGFHCNNGTMKFSVMPMLRPFWQWP >OIW01885 pep chromosome:LupAngTanjil_v1.0:LG11:15182767:15209729:-1 gene:TanjilG_31067 transcript:OIW01885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWPTLVASKKLNKRLESRKFLADYPGYAEQPLLGITTDDQSSLSTESLLSDQKDTQNYRVFVSTWNVGGIAPDEGLNIKDLLETCNESCDIYVLGFQEIVPLKASNVLGPENSKISMKWNKIIREALNKRTHQLFKDQVGPKKIEVKKNICPNEEGEEAPQDFECIISRQMVGILITVWARRDLCPFIQHPSVSCVGCGIMGFLGNKGSVSVRFMLHETSFCFLCTHLASGGREGDEKHRNSNIAEIFSRTGFPKGPLLDLPRKILDHDHVILLGDLNYRISLREETTHTLVEERDWDSLLQNDQHLKVAPIVKYSALSLFSDRFIPSLPSFIRCSNSGNWLLKPVTESALHLFVLISLWISSKINESRSLSVTRLKALADKSIKEQHFTTRNFLEGVVGVLFLQEVLFLQVLNFEIGSTNVAFLFLEEIWTQIKGVAKVGELINFEACMEVMDLLYEKEETSLLYRSPHSLAASILVASYVMTVPKQECEFPVLAWVKFVTSCKEEDIIKMVSEILKHVLRPS >OIW02781 pep chromosome:LupAngTanjil_v1.0:LG11:1126205:1130816:-1 gene:TanjilG_29557 transcript:OIW02781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFECPQNSTEISQNLIPNPGIGIDIVEPNQLSPKTIYTEGIEIPDLVPNTNTESGKGENLKRASKFRSKKGIKALGSLNQSSLPGDVAFDGRRSITDLPPALISEILNCLDPKDLGIVSCVNTILHELSTEHHAWKGFYFERWGLPAALVAPDTDDKRWKEIFVEREFRSKTFMGRYSMDVLHGHTEAVRTVFLLASAKLIFTSGYDSVVKMWDMENGLSISSSRPLGCTIRAVAADRKLLVAGGTDGFIHCWRAVEGLPHLFELKGSQNQNNEVRLWGHEGPITSLALDLTRIYSGSWDTSVRVWDRLSMKCTMVLRHSDWVWGFVPHDTTVASASGSDVYIWDTISGTLLTIVHNAHVGNTYALARSHTGDFLFTGGEDGTIHMYEIINGGIETEAWQIAAWAPHSGPVYSLAFEFPWLVSASNDGKLALIDVKKLLRTSKRAIGKRVSKAKHLNGGIVEPPQRMLHGFKSNLFSVDIGADRIICGGEEGVVRIWNFTEALEIERRARALRGMRLENRMRRRKVQTELSSKGGRSDQCSVAAKNSSMHSYAIYAIDNACLLKWVMVLDAYAGMGSMALVAEAVGSSHFGPNKVSNSNFTGLAVTHKTSSCRAGNMRSRGHVVHSLPVIVLIMSMLSIFHGRYTNALFATDDGRNVSNVSAMYILGDSSVDCGDNTLFYPLLHSRLSVYSCNGSDATLLPQLIAEKIGLTSIRPFYGQKGSIEEVLGGLNFGSTQATIMNEGSNSHQSLNQQLRQVSETMQLLQLQLAEDTAQQFTKSSIFVVSFGKEDHIDFFLHNSTSQMFNQNFTTILVDQMTNAVRYLYDANARKIICLGILPLGCTPRIAWESTNHTSAGDDLRNGCVDKVNKLVFEYNRLLEEHVAKLNAELTDAQMVFCDVYNGMMEIMNNPRLYGFEDVKSACCGLGLNGAMIGCISMDIACDQDSTHIWWDLFNPTQAVNSILADAAWSGLPISNLCHPMTIHELVYMKT >OIW02700 pep chromosome:LupAngTanjil_v1.0:LG11:1735199:1755372:1 gene:TanjilG_29476 transcript:OIW02700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTEARVGVAVDGGVRKLVQPQKQQAGTVSQLLAGGVAGALSKTCTAPLARLTILFQIQGMHSNVATLRKTSMWNEASRIIHEEGFRAFWKGNLVTIAHRLPYSSVNFYSYEHYKKLLKMVPGLQSHRDKASSDLCIHFFGGGLAGITAAASTYPLDLVRTRLAAQRNVIYYRGIWHALQTIVKEEGVFGLYKGLGTTLLTVGPNIAISFSVYETLRSFWKSHRSDDSTVVVSLACGSLSGIASSTRDIHGQYSDLLRLFEYGGLPPNANYLFLGDYVDRGKQSLETICLLLSYKIKYPENFFLLRGNHECASINRIYGFYDECKRRFNVRLWKAFTDCFNCLPVAALIDDKILCMHGGLSPELTHLDEIRNLPRPVAIPDTGLLCDLLWSDPGRDVKGWGMNDRGVSFTFGPDTVAEFLAKHGLDLVCRAHQVVEDGYEFFADRQLVTIFSAPNYCGEFDNAGAMMSVDENLMCSFQILKPAEKKAKFVMSNKM >OIW02873 pep chromosome:LupAngTanjil_v1.0:LG11:476177:478264:1 gene:TanjilG_29649 transcript:OIW02873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTKWFSVIVEAVTKFKEYFSSPVLAAICGSAFTSFMVVLERVLFAALICILALGGSIIGTIAGGIRGRTTVDGFFGGAGKGAVIGAIAALELVNNAANGEPLPEVPMLSTFFNANMIIEWMCSAASRAYDLHFQMNISESSYGEVTDIDDSDGVPRSCIENLPSEEYNSSKMLKLYDKISCSICLQDFEDGEMVRILPKCGHIFHLECIDKWLIRQESCPMCRTCVLDHFY >OIW01831 pep chromosome:LupAngTanjil_v1.0:LG11:16811124:16812713:1 gene:TanjilG_15695 transcript:OIW01831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTLHNKLNRYTKIPHTISSFTYSTLKFSSQSQPHSIIDVNTLTKIINDHPFPDQPLNPTLLNHLPPLSAATTTTTTTTTTNTNTIFPSFAENVLGRLFASHSNGLKALEFFKFSLHHQQHFPLSHDALEKTLHILARMRYFDRAWELLREIAKTQPQLLTLKAMSIILSKIAKYQSFEDTLEAFRRMEEDVFVGREFGTDEFNVLVKAFCSQRQMKEAKSVFRKMVSRFNPNIKTMNILLLGFKESGDVTSVELFYHEMVRRGFSPDSVTYNIRIDAYCKKNCFGDGLRLLEEMEGRNFAPTIQTITTLIHGAGLVRNVGKAWQLFNEIPLRNLVADTGAYNALISSLVRSRDIESALSLMEKIIENQIELDGVTYHTLFSGLIRTKGIEGVNELYQKMTHRNFVPKTRTVVMLMKYFCQNSRLDLGLSLWNYLVEKGYCPHAHALDLLVTGLCSRGMVQEAFECSKQMLLRGRHMSSAAFLMLERFLLKARDMDKLKDLEQMTKNLKSVLPPSIEHTTGISFSTVIN >OIW01965 pep chromosome:LupAngTanjil_v1.0:LG11:11861891:11863919:1 gene:TanjilG_11539 transcript:OIW01965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGLSLGEQHFIQGGIAQDLRCDGRKRLAYRPISVETGVIPQANGSARVRMGATDVIASVKAELGKPSLLQPNKGKVSIYIDCSSTAEPAFEGRGGDELSAELSTALQRCLLGGKSGAGAGIDLSSLVVIDGKICWDLYIDGLVVSSDGNLLDALGAAIKAALSNTGIPRVHVAAGASSDEKPELDVSDEEFLQFDTSSVPVIITLTKVGKHYIVDATSEEESQMSSAVSISVNRQRSICGITKRGGAGLDPSIILDMISVAQHVSEQLINKLDSEIASAEAGDDES >OIW01297 pep chromosome:LupAngTanjil_v1.0:LG11:34763988:34771033:1 gene:TanjilG_10458 transcript:OIW01297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANFWSSSHYKNLLDQEDVDMVNPLDKEKGVTLEDFKLIKFHMANYILKLAQQVKIRQRVVATAITYMRRVYTRKSMVEYDPRLVAPSCLYLASKSEESTLQARLLVFYIKKLYADDKYRCEIKDILEMEMKILEALNFYLVVFHPYRSLSQLLQDAGLNDLNMTQVTWGIVNDTYKMDLILVHPPHLIALACIYIASVLREKDTTVWFEELHVDMNVVKNISMEILDFYENNRMFTEDRVNAALQKLALRP >OIW02162 pep chromosome:LupAngTanjil_v1.0:LG11:7804576:7808276:-1 gene:TanjilG_02386 transcript:OIW02162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGERWRNVSLLFNRIIASSSYSYSKSKPHFPSLSFNNRFLSFSSSSAASCHVDPEPDNPDLSHGFGAPEHEPHVKIPVKAYFLSTSINLKGIQADNRRNIVPPSSRSSLNYVALRFSYYNSDTNDPGFQVKASSCRYMVVYQYGSAVLFNIEDHEVDHYLELVKRHASALLPEMTKDDYTVKEKPQLVEDMQGGPDYIVLKSLDTDGIRIIGGVLGQSIALDYFVSQVDRLVEEFAGINRGMEKTGTFTMDKKKLLQLVGKANSHLADVILKVGLFERSEIAWRDAKYAQIYEYLREEYEVAQRFGNLDFKLKFVEHNIHFLQEVLQNRKSDFLEWCIIGLLAIENVISLYEILKESNTIS >OIW01768 pep chromosome:LupAngTanjil_v1.0:LG11:18403042:18406707:-1 gene:TanjilG_03906 transcript:OIW01768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILPTHGEDLDSTLHSASSFAIYNNPLEHDDTDPQQVLKKTTPTEFSFGLKKMDFIEENDVELEPPSSPPMYLAVSTGVGIDGTGFDHIVGSYYNIFNHNLQQGEDLEEPHKTDAFLRMLNTLYTVQTSNLLLSLMLHQSKRDIEGAEEYFLRDTRADPNDGEILMYYAKLVWEQHHDEDKALDYFECADRPSPQDSFLWETEDDENEVKKHETESKRDLQAAEDYYSHAILADPSDGETMSEYARLEWELPRDR >OIW01547 pep chromosome:LupAngTanjil_v1.0:LG11:29172406:29172600:1 gene:TanjilG_19473 transcript:OIW01547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLVENGVCACDQETVVVVRGACACVLEMVVVESGICACAQEMVVVVGGACVMEMVVMEGGALG >OIW01572 pep chromosome:LupAngTanjil_v1.0:LG11:24422699:24432900:-1 gene:TanjilG_21152 transcript:OIW01572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFSELRKAVEEVELVDAHAHNLVALDSNFPFINAFSEASGDALTFSQHSLSFKRSLKDIAELYGSASSLQGVEEYRRGTGLQSICSTCFKAARISTILIDDGLKLDKMHHIEWHKSFTPFVGRILRIERVAEQILDEDFPDGSPWTLDSFTKAFVSNITVTVAGEIFALKSIAAYRSGLEINTNVAKKDAEEGLTQVLAAGKPVHIANKNLIDYILLQSLEVAQSCDLPVQIHTGFGDKDLDMRLSNPLHLRAVLEDKRYSKSRIVYLDFGLAIPKLSVHGMISSVKELLELAPLKKVMFSTDGYAFPETFYLGAKKSREVVFSILRDAIIDGDLSIPEAVEAAKDIFARNAIDFYKISSTNIAINSHRNLLQKLNNNGLEADLSLVRIIWVDGSGQHRCRAIPRKRFDDVVRKSGIGLTFASMGMSSFMDGPANGSGLTAVGETRLMPDLSTKRRIPWDGKEEWVPFDSSPYCSSSAFDAAYPVLSEVTAALLSLGISVEQLHAEAGKGQFEFALGYTICTKAADNLVYTREVVRAIARKHGLLATFVPKYALDDLGSGSHVHLSLWQNGENVFMASDGSSTLGISTVGKEFMAGVLQHLPSILAFIAPLPNSYDRLQPNTWSGAYLCWGNENREAPLRAASPPGTPYGQISNFEIKAFDGSANPHLGLAAIIAAGIDGLRRHLSLPDPVDTFPNPENLQRLPKSLSESLEALNEDDIIKEFIGEKLLIAIKAIRKAEIDHYSSHKDAYKQLIHRY >OIW02549 pep chromosome:LupAngTanjil_v1.0:LG11:3274395:3279702:1 gene:TanjilG_12863 transcript:OIW02549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLEFDEFDDSVPQPDSDHDSSYLNFDLFSALSKPKDYYKILEVDYDATDDTIRSNYIRLALKWHPDKQKGHDSATSRFQDINEAYQVLIDPVKRREYDKNGMLYVYDYNIIDYLNRYKGLILTCNGLGMKHSICDKVDENQGTDFGSEMHEDTEEINAMLYSDNDDYGYSTEDDEVTSTGQLPSTMTTHDNQEPCSRISKEEVASSDRRSKKRKLSNGAYDDHDIQFMDIAGSQNWNRTFDIGDDDDVESRCSSGNNIQGLDEMSSLSINKKMKKDKIQDVLSILQSMIPGGKDKDLIELID >OIW02216 pep chromosome:LupAngTanjil_v1.0:LG11:6939804:6941836:1 gene:TanjilG_31965 transcript:OIW02216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVADKYPGKLTLRVVLTCIMAASGGLIFGYDHGVSGGVTSMDSFLKLFFPSVYEKESHIALTSNQYCKFNSQILTLFTSSLYLSALVAGFGASNISKMFGRRAVMLIGGTCFVFGALVNGLAIAMWMLIVGRLLLGFGIGFTNSSVPIYLSEMAPYKYRGGLNMCFQLSITIGIFVANLFNYFFAKILNGHGWRLSLGLGAVPALIFVIGSLFLPDSPSSLVERGHHEECRRQLVKIRGTTHIDAEFNDIVAASEASHRVKHPWRTLLERKYRPQLIFAIFIPFFQQFTGVNVITFYAPILFRTIGFGSTASLMSAVIIGSFKPVSTFISILLVDKFGRRTLFIEGGLQMLITQIVMTIAIAVAFGTSGNPGKLPTWYAIVVVGIICVYVCGFAWSWGPLGWLVPSEIFALEIRPAAQSITVGVNMISTFIIAQSFTAMLCHMKFGLFIFFGCFVGMMTTFIYILLPETKGVPLEEMAVVWQRHPIWGKFLDKDNQKGNEEF >OIW01687 pep chromosome:LupAngTanjil_v1.0:LG11:19960002:19965218:1 gene:TanjilG_01194 transcript:OIW01687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWIKVTSSLEIAGASKVDMQTSDDFLQTLPNGNVDDTLQNELKRIMQDQQNQQFINHERDLNIYRSGSAPPTVEGSLRAVGSLRNSDFGGISSRSSNNNNGFLTEDEIRSHPDYLSYYYSHESINPRLPPPLLSKEDWRVAQRFQAGGSSLEGFRDWRKKVATNEDSSSLFSMQPGFSVQQAENDLMELRNASGRNLSRESSSQLLDGHMDGFERMSVPGLGATRTSFPNILQEGVDQPASLSGNISRPSSRNAFGDIVDSTGIVDRELFEGLRSSASTPGLVGLQNHGLNASHSFASAVGTSLSRVTTPEPQVIGRSFGSAVPPVGGKVFSVERSGIALGTPNGHSSSMPDIADMTSSLSGLNLSGVRNAGQDRLLKSNLQMELGNHADVLLTTPTNFNLSGHNGIPTNLNAFSSNDQVNLLKKTASFASLRSKMNSSVNAASPSTDFAGHVPGAYLGNSMNTVHNNNLETALRGLRDGQTFDALGNQFGSDLHSPTLDPRFMQSLQRSSNYSMHGMSGSGDPSQMRNFSDTSHGDLEGLREAYFAMFLAQQKQQYELPLPSKYGLLNNGFLGSQPYGLSMPYSGKQIADSSFPSPGSGNPLFENQHISHYNSMMRSSTGGSGGSWHAAIGNNPEGQFATSLLDEFKNKARPFELSDIVGHVVQFSTDQYGSRFIQQKLETASVEEKAKVFPEIVPQAHALMTDVFGNYVIQKFFEHGTESQRTELASQLTGHVLPLSLQMYGCRVIQKALEVVNVDQQTQMVSELDGAVMRCVRDQNGNHVIQKCIEFIPQDRIQFIISSFYGQVVSLSTHPYGCRVIQRVLEYCDDPSTQQTIMDEIMQSICNLAQDQYGNYVIQHIIQHGKSHERTTVISKLAGQIVKMSQQKFASNVIEKCLTFGSPEERQILVNEMLGTSEQNQPLQAMMKDPFGNYVVQKVLETCDDRSLELILSRIKVHLNALKRYTYGKHIVSRVEKLITAGERRIGLLA >OIW02884 pep chromosome:LupAngTanjil_v1.0:LG11:407929:408804:-1 gene:TanjilG_29660 transcript:OIW02884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCGQLITLLYATSRSSLVKPSSPFYNCIKNYGQAMNGNRGRWIEERAPSTAEEFQRIAEEKVKEAKQGVVAKTVGAQDGTIGETNIESAKNRFKPGTN >OIW01529 pep chromosome:LupAngTanjil_v1.0:LG11:28151184:28151510:1 gene:TanjilG_19455 transcript:OIW01529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFKIPQLLLILLWLSLILLLFHEYYNLKSKINKKQIIEANHSLPSHQTLISRKVLASKFDFSPFFKHHHDKHQPSVEVQHNPSGSEIDPRYGVEKRRVPTGPNPLHH >OIW01454 pep chromosome:LupAngTanjil_v1.0:LG11:29713147:29716626:1 gene:TanjilG_30928 transcript:OIW01454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEIFGYMDGGLEVSLADSTMMWIVHYAMNKAQERMKTKTGVIERLNEISKFYELAVMQLEGCLSFVHAETESRILESKHEDVLTDLREIKDRLQWRLKECELAISEKDRELSERLENELNLRHALELKEGELVSLGESHHNVENDQTQENEHGDGDFGELKTSVDQQMLNIRQRLEPQHDMVGEVLRNGIGRKKVEEMGSDIDILKQTMDLAFGKMQSTLLLCEMGPKERQWKLTIEKDIMCILIKSFMREFEENIEAEMREDENHVLKCCQEHWTQVMNEVTCMQHELATFHELCPEDSDCSALSSPRKSSGLSSPTKISAEEGSHDKHFFSNSSFQKVEEVDKKEKSPNEEENEDGSNYVSKLIKNHESIIRQKSDQLKLSKHGISQEKKGQSSKIRKELHSLKEKIHSVTDRLENLINWNAKVSESLFNKRLTHEKETLPSSKLSEVYENDTVRKDVDTWRSAWEKLNGVTNAGNEVPDKLKVLNIEKKFNSTKRSSTSEDMYGSIEFDNKSNNFDIEMLIQEQVYKYYLREVLNQWNESIERYIIERKISDDIYLIVFSESAKEICSNKEFDLAKCKGAESEEHCLQYSASSNQVDEIERSIKEDICMLIFRKTVMEFNKKMIDFNADNIVREEIYHIVFAETLKDFANIANSASTEHRESDIHANFLDQVQFMIIENLVKEDICMVVFKAMVKEWNLELDNYYLENFIKEKIQKVIMAETLSDAFLLCMEVASSSLSVQDNNNTTEDNRSNARLNQVQIEENLTIILLESLLGCFEAEENLMLSAKSEINEHSKTLDLGSERGDLHEHEIFEDLITGEEQTFSSLTSKVENVLQQLGISKALLRELGTSLGHRLRGSESFHNQMSTDEKWQMEMSSVFLPLLNISQMFKEFEGMVCQKFEMMAVRLENMKIFLDSVIELVDCLRSKDFLYQKAFTRRCQNLQIAEAEVDLLGDQVDALLTLLEKIYVTLHQFAPHLKQCFEVSNILELIRKQLFSGAVQASNVVT >OIW02088 pep chromosome:LupAngTanjil_v1.0:LG11:9137874:9138329:1 gene:TanjilG_14787 transcript:OIW02088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTYPRTYDFIHGDSVFSLYQNRCNMEDILLEMDRILRPKGSVILRDDVDVLVKVKSIADAMQWDTKITDHEEGPYQREKILVAVKEYWTAPPPEQIQESKS >OIW01446 pep chromosome:LupAngTanjil_v1.0:LG11:29437959:29450646:1 gene:TanjilG_30920 transcript:OIW01446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKMLIDGEVETKFDFDLFVIGAGSGGVRAARFSANFGAKVGICELPFHPVSSETIGGVGGTCVIRGCVPKKILVYGASFGGELEDSRNYGWELNEKLDFNWKKLLQKKTDEIHRLNGVYKRLLSNAGVKLFEGEGKVVGPNEVEVTQLDGTKLSYSAKHILIATGSRAQRPNILGQELGITSDEALSLEEFPKHAVILGAGYIAVEFASIWRGMGSTVDLVFRKELPLRGFDDEMRAVVSRNLEGRGINLHPSTNLTQLIKTENGIKVTTDHGEELLADVVLFATGRAPNSKRLNLQAVGVELDNIGAIKVDEYSRTNIPSIWAVGDVTNRVNLTPVALMEGTCFAKTVFGGQPSKPDYGYVPYAVFSIPPLSVVGFSEEEAIEHTNGDLLVFTSTFNPMKNTISGRQEKTVMKLLVDAETDKVLGASMCGPDAPEIMQGIAVALKCGATKAQFDSTVGLHPSAAEEFVTMRSETRRIAAGVYFVAKNIRELSNMD >OIW01307 pep chromosome:LupAngTanjil_v1.0:LG11:34842400:34845112:1 gene:TanjilG_10468 transcript:OIW01307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPGMLDSDPVVMLKKTLELKKKELKKLVRSIVDDADFSIETIDQAKEALCVIKDLKLRRNSSCSSSSSSSMSLKLHKNVTCPDEFKCPISKELMRDPVIVASGLTYDRSFIQKWLSAGNRTCPSTQQVLSHTVLTPNRLIRQMIEQWSKKQGIELSNSFNYLNEEGITEADRDQFLCLLKKMSSALPDQKAAAKELRLLTKKHPCFRVLFCDSVDAIPQLLKPICGSDSFSSIHPDLQEDVITTLLNISIHDNNKKLVAETPMVIPILMKALRTGTIETRSNAAAALFTLSALDSNKELIGKSGALKPLIDLLEEGHPSAMKDVASAIFTLCVIQENKARAVKDGIVRVILSKMKDRIHIDELLAILALLSSHHRAIEDIGELGAVPSLLSIIRESSCERNKENCVAILQTVCLYDRSKLKDIKEEEKNHRTISELAQNGTSRAKRKASGILERLNRVVNITHTA >OIW01136 pep chromosome:LupAngTanjil_v1.0:LG11:35913767:35917514:1 gene:TanjilG_25244 transcript:OIW01136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLSTLPFSPPITLNNFSSFNNNHSIKDLHTNFVCACIAPPNFKSEQHSSPIKFNGSSKSEQLSTVRDHEDDSDVLIECREVYKSFGEKKILNGVSFKIRHGEAVGIIGPSGTGKSTVLKIIAGLLAPDKGEVYIRGRKRTGLISDDEISGLRIGLVFQSAALFDSLTVRENVGFLLYENSSMSEDQIADLVTESLAAVGLKGVEDRLPSELSGGMKKRVALARSIIHDTTKDSIEPELLLYDEPTAGLDPIASTVVEDLIRSVHIKGRDALGKPGNIASYVVVTHQHSTIKRAIDRLLFLHKGKLVWEGMTNEFVTSTNPIVQQFASGSLDGPIKY >OIW01223 pep chromosome:LupAngTanjil_v1.0:LG11:33797418:33798335:1 gene:TanjilG_10384 transcript:OIW01223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEGFEPYHVPQQSRREKLRVLAQNQPTLVAESTSLFPLYDPSPIPSDLLTCPSQQGPMMFHSNNHNNHVPFSKENQGCSSGMKGEGSNFVTVFSRAVNPSTCSSSSSYLDPSIQVINHNPFLYNNQPQSFQNLSSSEMIVFKPEPLSLSLSSNNNNNNTHYPYGCASNEVFSRNTVPLGPFTGYAMILKGSRFLKPAQQLLEEVCDVGVRGIYTEKITTDVDTTLMEPPPMESLSTGGIVGVDDDAIGDGNESRKKKPRLLTILDEVDFI >OIW01909 pep chromosome:LupAngTanjil_v1.0:LG11:13980729:13981622:1 gene:TanjilG_15234 transcript:OIW01909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVMVAPTPFDFNFNSNCSSPYITAPSSPQPFPNNNFFFSAPTTPTHSIPSSFHHQQLNNNNNNDFHARHSSSSLEPSKNNYDNNIDFDFNSSCRLDRHSLSAAELFHGGKIRPVKVANNESSFQKDVQAIKSEEKTQQQKQNPNSEDVSEITVTVSSISSNNRKTITSTYASLLSSISFTKGYRKWRLKDFLLFRSASEGRGTNKDPLRKYTVLSKTTTYEDVRNSSFRSTESSGSVSRRRGPVSAHELHYTVNRAASEELRKKTLLPYKQGLLGCLGLNDDVNHMSRRIGSVERL >OIW02688 pep chromosome:LupAngTanjil_v1.0:LG11:1834290:1836903:1 gene:TanjilG_29464 transcript:OIW02688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLDTGSELSWLHCKKFSNLNSIFNPLLTSSYTPTPCNSSICTTRTRDFPIPVSCDPKNLCHVIISYADASSIEGNLATDTFFMGGTAQSGSIFGCIDTGYSSNIDEDSKTTGLMGMNRGSLSFVNQMGLSKFSYCISSGDSSGVLLFGGGATTFPWLGPLHYTPLVKTTSSLPYFDRVAYTVQLEGIKVSEKLLQLPKSVFVPDHTGAGQTMVDSGTQFTFLLGSVYTALKNEFVAQTKGVLTLLDDANFIFEGAMDLCYRVPVSWASLPPLPVVTMVFTGAELRVSGERLLYKVVDVAKGSNDWVYCFTFGNSDLLGIEAYVIGHHHQQNVWMEFDLNVTMVLDTGSELSWLHCKKFSNLNSIFNPLLTSSYTPTPCNSSICTTRTRDFPIPVSCDPKNLCHVIISYADASSIEGNLATDTFFMGGTAQSGSIFGCIDTGYSSNIDEDSKTTGLMGMNRGSLSFVNQMGLSKFSYCISSGDSSGVLLFGGGATTFPWLGPLHYTPLVKTTSSLPYFDRVAYTVQLEGIKVSEKLLQLPKSVFVPDHTGAGQTMVDSGTQFTFLLGSVYTALKNEFVAQTKGVLTLLDDANFIFEGAMDLCYRVPVSWASLPPLPVVTMVFTGAELRVSGERLLYKVVDVAKGSNDWVYCFTFGNSDLLGIEAYVIGHHHQQNVWMEFDLVNSRVGFTDTRCDLASQRLGIAP >OIW02332 pep chromosome:LupAngTanjil_v1.0:LG11:5670132:5676214:-1 gene:TanjilG_11226 transcript:OIW02332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLPPFSSLLLLSLVLAIVAFVSSIEEFDLDLELEGGGHKQREFDYFALALQWPGTFCQRTQHCCSSNACCRGSNAPTTFTIHGLWPDYNDGTWPACCTKSNFDPREISTLSDALEQYWPSLSCGKPSSCHGGKEKHGTCSSPVVWNEYDYFLTTLNVYFKYNVTKVLNEAGYVPSNSEKYPIGGIISAIENAFHASPQIVCSKGAVEELRLCFYKDFTPRDCAVGPDIKIGMVTSKGSCPKYVSLPDLASSVGLHSITSQKRHGPVNNHNFFHENGAITMELVAPPVADSKSNEGDNHAEDNGIISQSECNNQF >OIW02390 pep chromosome:LupAngTanjil_v1.0:LG11:3585406:3587543:1 gene:TanjilG_04983 transcript:OIW02390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNQNMVDPVAKSRVNTLVAFANSTNLLKSSVTATSLGGFWGLYPKKLMKTLKNSKGDAKVNTFIDSMRASSPTRTKSEENQDKTPTWILYHPSALNMFDEIVYNSRGKQIVTFLDYDGTLSPIVADPDKAFMTEKMRATLKDIAMHFPTAIVSGRSLDKVYNFVQLTELYYAGSHGMDIKGPTKSQSHKKNNEAVLFQAASQFLPMINEVYKILVEKTKCVPGATVESNKYCLSVHFRCVDEKNWASLAQKVRSVLKEYPKLRLSQGRKVLEIRPSIEWDKGKALEFLLESLGYDNSNNVFPIYIGDDRTDEDAFKVLRSRGQGIGILVSQIPKETNASYTLQDPSEVCQFLQRLVEWKIKSSHCYKL >OIW02495 pep chromosome:LupAngTanjil_v1.0:LG11:4645969:4646753:-1 gene:TanjilG_05088 transcript:OIW02495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKVHPQALHSSTTCNFTSKQETFTLWMKSLVLNGKGCTVFDSNGQIVYRVDNYSCRHRYEVHLMDEKGNTLFTLQRKQYKLSKFWEGYRFPATNNDHKGPCFRVCKTHKITKGVSTNEVELGLDKNQSYAHKIESNTCKSAYKISNEFGVVAAELRRKKSHSGVDLGEDVFTMIVEPNTDLSLIMGIVVAFSLMNSKM >OIW01637 pep chromosome:LupAngTanjil_v1.0:LG11:22291417:22293471:1 gene:TanjilG_14636 transcript:OIW01637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAARPLVTVQSLDGDIATDAAPTVPLPDVMKSSIRPDIVNYVHSNISKNSRQPYAVSRRAGHQTSAESWGTGRAVSRIPRVSGGGTHRAGQAAFGNMCRGGRMFAPTRIWRRWHRKININQKRYAVVSAIAASAIPSLVLARGHRIESAPELPLVVSDSAEGVEKTKDAIKVLKQIGAFPDAEKAKDSRSIRPGKGKARNRRYISRKGPLIVYGTEGAKAVKAFRNVPGVEIANVERLNLLKLAPGGHLGRFVVWTKSAFEKLDSIYGSFDKAAEKKKGYVLPRSKMVNADLARIINSDEVQSVVKPIKKEVKRTSIKKNPLKNLNVLLKLNPYAKTAKRMALLAEEQRLKAKKEKLDKKRKTTVSKDDATAIRAAGKAWYKTMVSDSDYAEFDNFSKWLGVSQ >OIW02335 pep chromosome:LupAngTanjil_v1.0:LG11:5692346:5694456:-1 gene:TanjilG_11229 transcript:OIW02335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFIKAQKSRAYFKRYQVKFKRRRDGKTDYRARIRLINQDKNKYNTPKYRFVVRFTNKDIIAQITSASIAGDHVLAAAYAHELPHFGLEVGLTNYAAAYCTGLLLARRVLKTLELDEEYEGNVEATGEDYSVEPADTRRPFRALLDVGLIRTTTGNRVFGALKGALDGGLDIPHSDKRFAGFDKEKKELDPEVHRKYIFGGHVANYIKTLIEDEPEKYQTHFSEYIKRGIEADGLEALYKKVHAAIRADPTPKKSDKPKPKEHKRYNLKKLTYEERKNKLIARLQALNSAAEVDDEDDE >OIW02849 pep chromosome:LupAngTanjil_v1.0:LG11:644635:648482:-1 gene:TanjilG_29625 transcript:OIW02849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFFSEYGDANRYKIQEVVGKGSYGVVCSAIDTQTGENVAIKKIHDIFEHISDAARILREIKLLRLLRHPDIVEIKHIMLPPSRRDFKDIYIVFELMESDLHQVIKANDDLTKEHYQFFLYQLLRALKYIHTANVYHRDLKPKNILANANCKLKICDFGLARVAFNDTPTTVFWTDYVATRWYRAPELCGSFCSKYTPAIDIWSIGCIFAEVLIGKPLFPGKNVVHQLDLMTDLLGTPSLDTISRVRNDKARRYLTSMRKKQPVPFAQKFPNADPLALRLLERLLAFDPKDRPTAEEALADPYFKGLSRIEREPSCQPITKMEFEFERRRVTKEEIRELIFREILEYHPQLLKDYLNGTERTNFLYPSAVDQFKNQFAHLEENGGKSSPVMPLERKHASLPRSTIVHSNMALPKQQSNIASSKNRQTAEEYNNIPRDAENPVARSIQGMQRIPLVKPGKVVGPVVPYEYASVVKDSYDPRTFIRGSVLPSRPIPPTYYYQRSSSGNQEEPAPIEADKGVSLQANHAQQQCGVNARIATDVAINIDTNPFFMTRAGVNKIERDDRIAIDTNLLQPKAQYGGISAGAGAGVTAHRKVGPVQYGVTTRMF >OIW02413 pep chromosome:LupAngTanjil_v1.0:LG11:3816311:3820417:-1 gene:TanjilG_05006 transcript:OIW02413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERGEPALVPQWLRSAGSVAGSGNSAHHFASPSTNTDTSSVAHHTRNRSSKTNSDFDSARSLFFERTSSLNSRRNTINGSTKHPYSSFNRSHRDKERDREKDRSNFGVHLGRESSDPLATLFSTRKERDKLPRSRSMVSRKQGEIIPCRVTLDAKSGGSGNQNNGNGTLSGVNIGNCIQKAVFDKDFPSLGADDKPEIGRVSSPGLGATSSQSLPVGSSALIGGEGWTSALAEVPNIIGNSSTGSLAVQQTVTTAPGSAASSMTAGLSMAEALAQAPSRARSAPQMLVKTQRLEELAIKQSRQLIPVTPSTPKPLVLSSSEKSKPKTAVRNAEMNVAAKSVLQQQPCALQHIASQSVRGVNAKVDAPKTSGKFTDLKSVVWENVVSPTSKDVLTPINHSNSKAGNQHAVASAAASAPSRNTNNLKYPADRKLVSMDLKLGSTLDKKHSLSQLKSRNDFFNLIKKKTLTNSSADPNSGPMGSSMMEKSGEENREVVSPSATPHSLRNDAEVTSNGNCHAHEEVHRFSDNGEKDSIPCATIYPDEEEAAFLRSLGWEENSDEGEEGLTEEEINAFYQECKKLGAATFKLCPGTQPLSKLFES >OIW01772 pep chromosome:LupAngTanjil_v1.0:LG11:18359948:18361029:-1 gene:TanjilG_03910 transcript:OIW01772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVELHNIRDFEVVPEECTDYIGKYVRSIQYKVDSERATEECLVYLSTTCNLKKDGRDAWVFDIDDTLLSTIPYYKNNLYGGNKLNVSDLEDWMSKGKSPALEYSLQLFNDLKSRGIQIILISTRREYLRSVTIDNLVNVGYHGWAGLILRDSANELVSVAKYKSDARKQLIKNGYRIWGIVGDQYSSFEAPPSSTRGFKLPNPMYYVA >OIW01748 pep chromosome:LupAngTanjil_v1.0:LG11:18731440:18732528:1 gene:TanjilG_03886 transcript:OIW01748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSTSNVQSKKQHQRMNPFQKRQFTTIIGNQAKGAMSEQICQKKKITKKCPSMSLDNFLNNNQEYEDEHEGEDEHEGEYEQEKGETSKRKRGKTKCLEAHARSLEDRMEIIFNEYGVPIGPDQRTFVQFSNFLGTMARSSDFCPLVYTNWKAVPDKDLIWAYVKQKYIIPDVGKKVVFAILNDAWRRHKCAIKEEHFSKYKTTYERLKNRPKDIPESHFKELIRYWSLGNIQD >OIW01531 pep chromosome:LupAngTanjil_v1.0:LG11:28195354:28195687:1 gene:TanjilG_19457 transcript:OIW01531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSMKADKPVGTQLFGQTKKEATPPKASKSASKGPAAKSSSKKAPQKSQDSKKKGKGSKAAAKN >OIW02757 pep chromosome:LupAngTanjil_v1.0:LG11:1315993:1319969:1 gene:TanjilG_29533 transcript:OIW02757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKFQSFVLRSLLFFVFTNFAPSFALYGSSSPVLQLTPSNFKSKVLNSNRVVLVEFFAPWCGHCKALTPTWEKAATILKGVATVAALDADAHQSLAQEYGIKGFPTIKVFSPGKPPVDYQGARDVKPIAEYAFKQVKALVKDRLNGKVSGGSSNEKKEETNASVELNSGNFNELVLKSKELWVVEFFAPWCGHCKKLAPEWKKAANSLKGKVKLGHVDCDAEKSLMSRFNVQGFPTILVFGADKDTPIPYEGARTASAIESFALVQLETNVAPPEVTEIYGPDVIEDKCGSAAICFVAFLPDILDSKAEGRNRYIQHYVWAAAGKQPELEKHVGVGGYGYPALVAMNIKKGVYAPLKSAFELDQIIEFVKEAGRGGKGNLPLEGTPTVVKTEPWDGKDGVVIEEDEFSLEELLGEETSS >OIW02611 pep chromosome:LupAngTanjil_v1.0:LG11:2558340:2564752:1 gene:TanjilG_24062 transcript:OIW02611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGLCRGVGYEREWKKGWPLESGGFARLCNNCGSAYENSIFCEKFHPKETGWRECSYCNKSIHCGCIVSLSLFKHLSFGGIGCVNCVKTSQHRLQRLDIQSPNVFLTSTKHNASDRHVAHIDGRLLVDSVDEGKHMQLCRIVEGSESSHCPQHQRDDIFSFIAPNNQEVKCSFREADARFSNVMKPSNHSLTFTSLQNSRPTWEKKNMHDALALSMSLGTPSQNTVLSSATEKEEGRLESKTSSPFHQRKIARSILPKPLKTGLTTNLETNSGMISEAQVLRPPAEYSGKHQLLPRYWPRITDQELDKLSVDLKSTVVPLFEKVLSASDAGRIGRLVLPKACAEAYFPPISHSGGLPLPFQDVKGNDWTLQFRFWPNNNSRMYVLEGVTPFIQSMQLSAGDTVTFSRIDPGGKFVMGFRRASNIDTQNASESAQSNDISIKESIPGPTQNPHTISNYPDLQSKKEIVEPHLNGHPEHLHLGNGSDKKSEDMTKNDLLQQPISVPLKKRTRNIGPKNKRLLIHSEDAMGLRLTWEEAQNLLYPPPRVKPNIVTIEDQEIEEYHEPPVIGKRTIFSACPSGRKEPWAQCDDCSKWRRVPGNVLPPKWTCFDNDWDASRSSCSTPEEQSPDEVNNLLRTSKVSKKKRRRMEKSETIEEHGPSGLEALANAAVLGDILVDLAEPSSGVTTKHPRHRPGCTCIVCIQPPSGKGKHKPTCNCVVCMTVKCRLKTLMMRNKKRQSEREADVSASHKDQIQKTGEPDANEALKGDTSR >OIW02610 pep chromosome:LupAngTanjil_v1.0:LG11:2567817:2576596:1 gene:TanjilG_24061 transcript:OIW02610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDLHVNADDRFCSSSPPSPPLPSSHVDPSSVRPAAWSVAEKAIRQILCRIQPTLAADRRRREVVDYVQRLIRYGARCEVISKALKVQSLLNQKSMPKVPEVFPYGSVPLKTYLPDGDIDLTALSYQSIEDGLVSDVHAVLRGEEANEAAEYEVKDIRFIDAEVKLVKCIVQNIVVDISFNQLGGLSTLCFLEKVDRLVGKDHLFKRSIILIKAWCYYESRILGAHHGLISTYALETLVLYIFHHFHHVSLDSPLAVLFRFLEYFSKFDWDNYFVSLKGPVGKSSIPDIVAERPENGGNTLLTEEFIRSCVDSFSVPSRGVDLNLRAFPQKHLNIIDPLKENNNLGRSVNRAERPENGGNTLLTEEFIRSCVDSFSVPSRGVDLNLRAFPQKHLNIIDPLKENNNLGRSVNRGNFYRIRSAFKYGARKLGWILMLPEDRITDELNKFFANTPDGHVGNQGNDMQTPSMDHSFDFSCSSDTQLCYEDNMSFCLSTGSKKDWIPENQHNFVRSEREKYLGKDVSSLAGLSLDSFQVGNVVRTYKHSGNSNNVTTSGVLGVASTNSSSYCSNGKVENRISCRDTAVKSVIDDEKEKYFMVSNSRRSRVDESNMPSFGSTVLENTANFSESSFCHSDRYTTSVPVGTEASKSLLDLAGDYESNIRNLQYGQMCNGYTISPLLVPSPPSPRSPEIQNRNPWETVRQHLQINHSVHPQTNSNGVIGQQFYLVNHPTLPLATFGSEEKRKPRGTGAYFPTMTSRTYRDNRPVPGRGRSQVPGTHGLPQRHIRSNGFAPTPQEWNLSSEGSSELSVEGYPAPSSAKARSSETYFFQPSMWGSHSANGFPHSSENQESGSVSPQLHGSPRTEVSSHLESGISASGCLPDIGIAPEERSNALPVVDRKRIGVDAYRLKNEDDFPPLSL >OIW02105 pep chromosome:LupAngTanjil_v1.0:LG11:8437341:8441267:-1 gene:TanjilG_26645 transcript:OIW02105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDDSELEELEMLLNEIPNAWNGHDHDDHNGHGHDHAHKMCVMNDGYDDDGSLTQIQYAIASSPVSGFSLKSDGSSSSSLLSIGHALSDTGSPTLEDLKAAKPSTSSNSFRFGSNAMTPDLTFMNKANESLVDELGLCATLSQMHINNQQENPNDYNYGSVDMNRFPFRDRSLSRNTPVNDKKHGDYYDLKRDCFDRVGFQSHFPMSPLSHNAEMNSSFSRLSRDYETTNLFGSRECAIRPETIPSQSNGFGGSMDSHFGGSMNSHFGGSMDSPRQLINNYNYYYKGIQTPEPTVSLSRNPMVDALVYAQQNGMNLVEETGMPRLLNSSPGTNLRSFGTENLVQHCHPMCNRGVAPHSKARIPQGNLDMREGSYVIQGAGSNSVVGRGSDRSRFRSKAVSRETGIVKHPRRAELGMGNRLVGTYEHTWSSRNELPKYNSLAEVRGHIHLIAKDQYGCRFLQRVFDEGTPQDVQVIFNEIINHVVELTMNPFGNYLMQKLLDVCNEEQRTHMLLIITEEPGQLVRISLNTHGTRVVQKLIETLKTRQQISLAVSALEPGFLSLIKDLNGNHVVQHCLLCLCNEDKKFIFDAAAKYCVEIATHKHGCCVLQKCIGYSSGEHLEKLVAEISANALLLAQDQYGNYVIQFILDLRIPSATASLRFHLEGNYVQLSRHKFASHVVEKCLVVFNDENRSRVIHELLSSPCFEHLLLDPHANYVVQKALLHSEGCMRRLLANKIESHEGICRNSPYSKKIMALLKK >OIW01725 pep chromosome:LupAngTanjil_v1.0:LG11:19186374:19186682:1 gene:TanjilG_03863 transcript:OIW01725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAHIFRFLKIVGVGYKARAENAGRLLYLKLGYSHEVELSVPPAVRVFCFKNNVICCTGLDKQRVHQFAATVRNCKPPEVYKGKGIMYADEVIKKKQGKKSK >OIW02115 pep chromosome:LupAngTanjil_v1.0:LG11:8544858:8548427:-1 gene:TanjilG_26655 transcript:OIW02115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKGLMEQDLKKLDVTKLHPLSPEVISRQATINIGTIGHVAHGKSTVVKAISGVQTVRFKNELERNITIKLGYANAKIYKCEDERCPRPMSYKAYGSGKEDAPLCDVPGFENCKMKLLRHVSFVDCPGHDILMATMLNGAAIMDGALLLIAANESCPQPQTSEHLAAVEIMRLQHIIILQNKVDLIQENVAINQHEAIQKFIQGTVADGAPVVPISAQLKYNIDVVCEYIVKRIPIPERNFISPPNMIVIRSFDVNKPGFEVDDIKGGVAGGSILRGVLKVNQFIEVRPGIVVKDEVGNIKCTPIYSRIVSLFAEQNELQFAVPGGLIGVGTTMDPTLTRADRLVGQVLGAVGSLPEVFVELEVNFFLLRRLLGVRTKGTERQGKVSKLAKGEMLMLNIGSMSTGARVVAVKNDLAKLQLTSPVCTSKGEKIALSRRVEKHWRLIGWGQIQAGITLDVPSAPFSVADIVE >OIW02288 pep chromosome:LupAngTanjil_v1.0:LG11:5187590:5188717:-1 gene:TanjilG_11182 transcript:OIW02288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKTEPTTNNVDSFIGGWEDGILTIGTLGFVPLKSINHKSEYFALENELGEQDECKEQDIQANDDDGNSFNNTEMEELNPLMHNTFEHNFEDVAVSANHDANIEEMVSAFNEIVLASPVISHEIMESNDSDGEADEKKKGERITLADLFLADSDVKMKLDPAKVLLKSSEKSSFKAKHGLSFAKKFIPRVKDNPRPMKDIKKLMKKMLKRKIHPDLDVKNHKPEGQEVISAAIIDDHMNEASNDSTYFIPI >OIW02616 pep chromosome:LupAngTanjil_v1.0:LG11:2522424:2525210:1 gene:TanjilG_24067 transcript:OIW02616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTCLSKKKDSSTPSPVAGSKSVSTAPSQLNYSGNGVSVTQPKVNLEERKTVQEKQKDQLAQQGDEGQVKKEIFIIKHRKSHDDNNRERNSKIPPFTSQSNVQPQQNVGSPSASGSASTSSSTIEAAEKSSMGTNNKIAPSTPNMGVVRTSSCTKEEVDAILIQCGRLSRSSSGRAASSSGGKKYSGSKRSFDFDNCDNNETVSADGDLKRTNDEEHGDGKSHHQHRQRHRQSPKKRGSSPSSQGRRRTPSREREQQQQQRSSSRERRVSRSPGRRSSETNANNNNNNTSSSRPGKMVSVPATVTSLVMDKSNNGVGFGESTGIKRVTVMRNVGDGSRSAASPRSQSPARANGNAAANANQQQPSLGRNNSGKKAEHSPYRRNPLSEIDPNSLAYPQSNTNNSSNKLQNNAKREVEANQKPNADNNDNKNRNNSRVAMEKGVGANYKAKEQKEEIKALSTMIDNVVVKNVIPSDVVDNLKQQPQTLARSRSSRRSRDLDLNPESLLNPTQTYTSLLLEDIQNFHQKTTQQQQPSISLPACLTKACSILEAVADLNSTTSSNFSDDRRSPPTCQSVRNNNERNHYGKRVQLPGTKDHPFVESEIVVNDDVMEPSLHKYVTVKRGGSLGVSVDMEDQESSGSNSFTVTSNGQNHWSNISSSWEPSSADSKDCWTSRLDSTEELESGIDMKTLSSKRRECDHQHSNGIGRGRLGSKGVVIAAAST >OIW01818 pep chromosome:LupAngTanjil_v1.0:LG11:17331511:17350923:1 gene:TanjilG_28881 transcript:OIW01818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KMEPEIVEAELVLPSYFSFKRVQMYEKYPKGQARGRHWKHLKQIIQAENYQNYPPDEPNYVNIESPPSMHPCKRICDITGFEAPYYDPRTNLRHIWVGNLSHNIIEEDLAHHFLKFGPLESVAFQPGRSYAFLNFEREEDAIEAIRALQDFPLAGNPLRIEFTKADKSSTTSRDEVYSRDERNSALRDERNSAVRDERNSALRDSPFSQREFRGRHRSPEPLYPDKSKMSDKNPEPSEVLWIGFPALMKVDESTLRKAFSPFGEIVKITTFPGRSYAFVRFRNLMSASRAQNTLKGKLFGNPRVHICFAKSETGSSSSGRSSFNAPHSPFYNSSGREGSSENLRQGRSFSGDHNISSQNFPPNWDSRDSHFYDFNKKGSSWEAGADTYEQRRVGEKGPPRGAPQEIYERISPSRERYDHPGDFPQRFPQKGAFFDNLQALPEDIHYMHEAKKLKTGSPPERDLPEYPFSELERQKGVFPGSLSEFPQYEPFDKRPNAGNFSHRQTFDHPPNSPMAHLDRHDGWKPYDSFPLGPVAPQSNFVEKKRFTPEPDDSSVTEWKWEGTIAKGGTPICRARCFPVGKVMDMALPEFLDCTARTGLDMLSKHYYQAVGVWVVFFVPGSDADIDFYNEFMHYLEEKQRAAVCKLDEKSTLFLVPPSDFSEKVLKVPGKLSISGVILRLENPGLDHGPIHNQGETKMSYSENVLYPKPSFAPASPSLSELGNPGISNLSVLGNKFAAAPSLPDSARSVVSMSGSLDERRHDYPPIQQQWYPTSGQNLSSHNLQNDGHHTIYPRAVPDINSIQHSGGISGIPFSGNSNSSHPEIRPSNPGSVPVGSLQPEQLAQLAASLLQQQRQSGTSLSAPALNDPRQTNRVDGSETSSRQYQKYAMENNLVSSEHSTSQFGQVMQMQRQQQMSNIPQSSQMIQMEPQRGVNGNQPLTDSSLPDDQEADPQKRLQATLQLAAVLLQQIQQGKGS >OIW02191 pep chromosome:LupAngTanjil_v1.0:LG11:7438781:7441983:-1 gene:TanjilG_02415 transcript:OIW02191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSILIIVYYVIALAFFTFFALLKKWNDVRYSRKGIPPGTMGWPIFGETAGFLKQGPDFMKCKRARYGNLFKSHALGSPVIISMDPELNRYILKNEGKGLVPGYPVSMRNILGNSNIAEVHGALHKRIRGSILSFIGPAAIRDHLLPEVDKFMRSFLDNWADKTIDIQDKTKQMAFFVSMKLIVENEPSPFHEAFKATFDNIVSGTFSLPIKIPGTNYFRGLQTRKKVIATLKEILTKRRSSSATHADILDQLMRNEDFKYKLNDEEIIDQIITFLYSGYETVSTTTMMAVKYLHDNPTVLEKVREEHFAIQRRKGPKDLISWDDYKDMVQTRTVIFETLRFANIVNGVLRKTTRDVELNGTFFITMGKSSRWKVEGNKLLKFPRVEAPNGLHIRVAKYN >OIW02727 pep chromosome:LupAngTanjil_v1.0:LG11:1511513:1524072:-1 gene:TanjilG_29503 transcript:OIW02727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAANAPISMKEALTLPSIGINPQFITFTHVTMESDKYICVRETAPQNSVVIIDMTMPNQPLRRPITADSALMNPNSRILALKAQLQGTTQDHLQIFNIELKAKIKSYQMPEQVVFWKWISPKILGLVTQTSVYHWSIEGDSEPVKMFDRTANLANNQIINYRCDPTEKWLVLIGIAPGSPERPQLVKGSMQLFSVDQQRSQALEAHAASFAQFKVPGNENPSVLISFASKTLNAGQVISKLHVIELGAQPGKPSFTKKQADLFFPPDFADDFPVAMQISHKYSLIYVITKLGLLFVYDLETATAVYRNRISPDPIFLTSEATSAGGFYAINRRGQVLLATVNEQTIVNFVSGQLNNLELAVNLAKRGNLPGAEKLVVERFHELFAQTKYKEAAELAAESPQGILRTPDTVAKFQSVPVQAGQTPPLLQYFGTLLTRGKLNAFESIELSRLVVNQNKKNLLENWLAEDKLECSEELGDLVKTVDNDLALKIYIKARATPKVVAAFAERREFDKILIYSKQVGYTPDYLFLLQTILRTDPQGAVNFALMMSQMEGGCPVDYNTITDLFLQRNLIREATAFLLDVLKPNLPEHGFLQTKVLEINLVTFPNVADAILANGMFSHYDRPRIAQLCEKAGLYVRALQHYTDLSDIKRAIVNTHAIETQSLVEFFGTLSQEWALECMKDLLIANLKGNLQLIVQVAKEYCEQLGVDGCIKLFEQFRSYEGLYFFLGSYLSSSEDPDVHFKYIEAAAKTGQIKEVERVTRESNFYDPEKTKNFLMEAKLPDARPLINVCDRFGFVPDLTHYLYTNNMLRYVEGYVQKVNPGNAPLVVGQLLDDECPEDFIKGLILSVRSLLPVEPLVEECEKRNRLRLLTQFLEHLVSEGSQDVHVHNALGKIIIDSNNNTEHFLTTNPYYDSRVVGKYCEKRDPTLAVLAYQRGQCDDELINVANRNSLFKLLARYVVERMDGDLWAKVLDPDNEYRRQLIDQVVSTALPESNSPEQVSAAVKAFMTADLPHELIELLEKIVLQNSAFSGNFNLQNLLILTAIKADQSRVMDYINRLDNFDGPAVGEMAVEAQLYEEAYAIFKKFNLNVQAVDVLLDNVHSIDRAVEFAFRVEEDAVWSQVAKAQLREGLLSDAIESFIRADDATQYLDVIRAAEDSDVYHDLVKYLLMVRQKAKEPKVDSELIYAYAKIDRLSDIEEFILMPNVANLQNVGDRLYDEELYEAAKIIFAFISNWAKLAITLVKLKQFQGAVDAARKANSSKTWKEVCFACVDAEEFRLAQICGLNIIIQVDDLEEVSEYYQNRGCFNELISLMESGLGLERAHMGIFTELGVLYARYRPEKLMEHIKLFSTRLNIPKLIRACDEQQHWKELTYLYIQYDEFDNAATTIMNHSPEAWDHMQFKDVIAKVANVELYYKAVHFYLQEHPDLINDVLNVLALRVDHARVVDIMRKAGHLRLVKPYMVAVQSNNVSAVNEALNEIYVEEEDYDRLRESIDLHDNFDQIGLAQKIEKHELLEMRRVAAYIYKKAGRWKQSIALSKKDNLYKDAMETASQSGERELAEELLVYFIDQGKKECFASCLFVCYDLIRADVVLELVWTHNMIDFAFPYLLQFIREYTGKVDELVKDKIEAQKEVKAKENEEKDVVAQQNMYAQLLPLALPAPPMPGMGGGFAPPPPMDGGLGMPPMPPYGMPAMGGSY >OIW01785 pep chromosome:LupAngTanjil_v1.0:LG11:18131048:18132836:1 gene:TanjilG_03923 transcript:OIW01785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFFSFLGRVLFASLFILSAWQMFNEFDGTGGSIAKELKPKLTLVRKNLSSKLGVGIPDINVRQFIATIIFLKGVGGILFVFSSRFGSVLLLLHLAITTPLLYDFYNYRPNSPKYNLLLNDFIQNVALSGALLFFIGMKNSIPRRQLRKKTPKAKTN >OIW02793 pep chromosome:LupAngTanjil_v1.0:LG11:1047717:1052718:1 gene:TanjilG_29569 transcript:OIW02793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIMLSSHSPFFQIPTLVIQPRNQHHHQQQQQQLLWKGLPFHHITFQQSLFTCVYPLRTNLHKCFLQKQSKAEQEQQQEQEVFEFERLFSNLNQATLKREPGSLTSAIFLVAGTTVGAGILAIPAVTQESGFLASAVTCIICWLFMVVTGLLVAEVNVNTMCELGSGGVSLVSMARRTLGEVGVQISCWSYIFIHYALLVAYMARSSDILTNFLGIPTWGSATLFSLIFGGICFFGSQRFVGAVNGALVIGIISSFAILVAVASGDLHLNALLKANFQAAPMSIPIIALSFVYQNVVPVLCTNLEGDLSKVRSAIILGTGIPLVLFLIWNAVILGTVNDNVMGLDPIQQLRSTNETVGPIVEVFSLLAIATSYIGFVLGLSDFLADLLKLPTSENRPLPYILTLVPPLILSLLDPEIFFKALDFAGTYGVLVLFGVIPAAMSWSDRYSKSSPSVKLPELVPGGRITLLLVLGGSGYVLLSELIENFQHL >OIW01592 pep chromosome:LupAngTanjil_v1.0:LG11:23646497:23659618:-1 gene:TanjilG_08773 transcript:OIW01592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHPGAIFDNLDGALFDAERTKSLNAVSQVNYVSTLTNSEAGHSSGIGGFRVGELVLPNGESYSGSYLGNVPEGQGKYVWSDGCVYEGEWRRGMRNRIGKIQWPSGAMYDGEFSSGYIHGTGTYIGSDNIIYKGRWRLNLKHGLGYQVYPNGDIFEGSWIQGTPEGPGKYTWANGNVYLGNMKGGKMSRKGTLTWTNGDSFEGSWLNGMMHGVGVYNWSDGGIYVGTWTQGLKDGKGTFYPKGSSLPSAQEIYLNALRKRGLLPDMRKQNQFHINHAVSADMGEVNVGENQRSSHPVSSGKLAQGNLLNLEQSRSKNISLERRWSLEVSIEKVIGNDSTDSILESGDKEANAKIPIVEREYMQGVLISELVLNNSFSSLSRRAKRLRKKLAKEIKRPGEAIIKGHRSYDLMLSLQLGIRYTVGKITPIQRREVRASDFGPRASLWMNFPKDGSQLTPPHQSEDFKWKDYCPMVFRNLKELFKIDAADYMMSICGNDALRELSSPGKSGSVFFLSQDDRFMIKTLRRSEVKVLLRMLPDYHNHVKSYDNTLITKFFGLHRIIPSSGQKFPEVTNTAAKNLSTIDTKGKTHHLKFRFVVMGNMFCTELRIHRRFDLKGSSLGRSSDKIEIDENTTLKDLDLNYCFYLEPSWRESLLKQIEIDSKFLEAQHIMDYSLLLGVHYRAPQHLHPVMSYNRSISGDGLAMLAEEDPLEDDISNYPQGLVLVPRGADDNNGFVGSHIRGSRLRASAAGDEEVDLLLPGTARLQIQLGVNMPARAELIPGKQEIQMFHEAYDVVLYLGIIDILQDYNMTKKIEHAYKSLQFDALSISAVDPTFYSHRFLDFIQKVFPPNATTG >OIW01645 pep chromosome:LupAngTanjil_v1.0:LG11:21262024:21269185:1 gene:TanjilG_18216 transcript:OIW01645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKEPPIADNGRNRSFPVDPSVPRWVCQNCRNSLCIVGVDSYADKFFNDPSRSGMQGSSIHGSNSVLSTTRMDNSYVVLPKQRPQAQGVPPRPRGGTGQPDATLPGKAMEESFVVVYKSESASDGSGTHSLSPGADPNTHLQPQNSGFHSTITVLTRAFEIATTQTQVEQPLCLECMRVLSDKLDKEVEDVNRDIEAYEACLKRMEGEAGDVLSEADFLKEKLKIEEEERRLEAAIEETERQNAEVNAELKELELKSNRFKELEERYWHEFNNFQFQLISHQEERDAILAKIEVSQAHLELLKRTNVLNDAFPISHDGEFGTINNFRLGRLPKIPVEWDEINAAWGQACLLLHTMCQYFRPKFQFGPVNLFWSTRYDKAMTLFLACLKDFAEFANSKDQENNIPPEKCFKLPYKIENDKVENYSITQSFNKQENWTKALKYTLCNLKWALYWFVGNTNFQPLSAMVSSHAEVPAVGSFYNTKRGAEAKFVSGK >OIW01356 pep chromosome:LupAngTanjil_v1.0:LG11:32535330:32538537:1 gene:TanjilG_12896 transcript:OIW01356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYAKTLTHLSKAKTLESNFIRDKDERPKVAYNQFSNEIPIISLIGIDKVNGPREEICKKVVEACENWGIFQVIDHGIDNELIYKMNLLAKEFFTLPPEEKLRFDMSGGKKGGFIVSSHLQGEAVQDWREIVTYFSHPISGRDYSRWPDKPSEWRNVTKQYSDKLMGLGSKLLELLSEAMCSEKDALTKACIDMDQKIVVNYYPKCPQPDLTLGLKRHTDPGLITLLLQDQVGGLQATRDNGKTWITVQPVQGAFVVNLGDYAHYLSNGRFKNADHQAVVNSNYSRLSIATFQNPAPEAIVYPLKVREGEKSMLEEPFTFAEMYRKKMSKDLEISSKKKLAKEIQLKNLENLKLETKTLDEILA >OIW01250 pep chromosome:LupAngTanjil_v1.0:LG11:34270087:34271237:1 gene:TanjilG_10411 transcript:OIW01250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQAGSSRWSPTTEQLMILEELYRSGIRTPSASQIQQITTHLSFYGRIEGKNVFYWFQNHKARDRQKLRRKLNMQLQLQQQLQIQQHYQFNHDISDHFVGNFGFPNCFTPKEFSLFNSSSLLFQGGIANTLQRDMNFKWGVYNPQSLVEKKDITFCNNGWTLMDANKQPSSCCTRLLKTLDLFPLTTIRTKEDCTTTHQPSSCDM >OIW01616 pep chromosome:LupAngTanjil_v1.0:LG11:22761520:22763838:-1 gene:TanjilG_31206 transcript:OIW01616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKTLFLLLLLLLLCAPNTHGFGSMGPISASFGKDELFCAIDASGKQNIICWGKNTTSPFSSQPSSSSSSSQSYFTSIPAMSALSGGEGFLCGILANTSQSYCWEVSTTTTMISKPGADLVLVPPAYRNTAYSHIAAGKNHVCAVRGSYFADHDSGTVDCWELSRTLNNTLIAIHSNSFNDQFTSNLVLNKIVSGEGFTCGEVRDGGLVCWGPESTQLGVSNSNMTGSFSVLASGRSSICGVTNGSGDLKCWGEPSSFSNPPPTVELISLSAGSNHFCGIRKDNHIVECWGNLNSSMVPKSYGFMAIASSDYTTCGIREADLLLDCWLVNASKTDFDPPLELSSPGLCRGSECNSGEFAFNVSVLNEPDLTSLCVRQDLRICSSCGSNCSQGFFLSSPCTQNSDRVCIACSLCQNSSCYDVCGLHFHHSSLELHWHHLRRLVLIIGSSALGFFLILICGCVLPRLVGNKGKKKNSKSSIEEDEENVGLHTSPSIASCPGVPQVFRLSELKDATNGFKEFNELGRGSYGFVYKATLADGKVVAVKRANAATIIHTNNRDFEMELEILCKIRHCNIVNLLGYCAEMGERLLVYEYMPHGTLNDHLHGGLSPLNWSLRLKIAMQAAKGLEYLHKELVPPIVHKDLKSSNILLDSEWGARIADFGLLASSDKVLNGDLESDVYNFGIALLEILSGRKAYDIDFSPPNIVEWAVPLIKQGKAAAIIDRYVALPRNVEPLLKLADIAELAVRENPSQRPQMVDIASWLEQIVKDGLIL >OIW02287 pep chromosome:LupAngTanjil_v1.0:LG11:5165099:5173154:-1 gene:TanjilG_11181 transcript:OIW02287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVDISVDSPAFSASGASFAPSCPPLLPIKEGPLSLSRCEQVLVPFINCCYKDVSICVGPKGDISKDVSGPWVKESDKERGDHLFTASNFPLPGSHDVGASSCRIKGQKNKNQASNISVKKKKEIQVEVGNNVNLDSSISNSIDDSHVRCVKRLLSKEVESAAMAPNSKVYTFDEVAKHNHKKDCWIVVHGKVYDVTPFLDDHPGGDEPLLMATGKDATIDFEDIGHSDTAIEDMQQYYVGEIDTNTFTAKVDNSTPVTPPTGQVVAFSASNESSSFILKVLQYLLPLLILGFAYALQYYGKGSEPSES >OIW01121 pep chromosome:LupAngTanjil_v1.0:LG11:35794715:35801411:-1 gene:TanjilG_25229 transcript:OIW01121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFSAKKSKKVQDTDSDSDDYNDMEYEEVLQDEDDYDDEEELEEEDGEEEEGEEEEDGEEDEEEEDGEQEEEEDGEAGTDEEEDEAVERIERENEEMEQLEKEYRELHHQEIDTLKNLKHHKDEDLLKGQAVKSQKALWYKILELRFLLQKPFSSSNRLPQDPIKSSFCESDETVSVAYSNLVTSSKETLDSILELQEALFAKNPTITQATYGSERLSKDLVVSKQLDDNFDQEWSQISQTHKRIASFRDKSINKWQRMTQVTTGAAAIKGKLQAFNQDISNQIATYMRDPSRMMKQMHLRRSAVSIFGSVPEAKDKAEEEALTDADPELLDDSEFYQQLLKEFFETVDPSSSEKAFYALKRMQTKKRKIVDRRASKSRKIRYNVHEKIVNFMASQPMNLPPMAPMLFDNLFGLKSEN >OIW01176 pep chromosome:LupAngTanjil_v1.0:LG11:33104321:33107856:-1 gene:TanjilG_10337 transcript:OIW01176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIVGVLALQALRRLGVKGVEIKKPEQLQNVTSLIIPGGESTTMAKLAEYHNLLLSGSQNAPPLNSILRSLGLAPVWNEWGGVLYPQWHSDTQVSVCYRIVGGCYGDEIISLDSHIFGNYQGEGQKIGGQQLVGGLDCTVHRNFFGSQIQSFEAEVLAPELASKEGGPETFRGVFIRAPAILEVGPEVQVLADYPVPSNSLLSLDPSIESAKENVEEQSKVIVAVRQGNILATAFHPELTADTRWHSYFIKMANENEEEASSSIVPIEVNISYNQKQLSDLPVFQ >OIW01471 pep chromosome:LupAngTanjil_v1.0:LG11:26408091:26408498:1 gene:TanjilG_19397 transcript:OIW01471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATQPNTGTLHSSPPLSPSAASPLQVVSSPLVLPLPSVKLTEKNYLVWRHFMLATLTSNRANRFVLGIEIPHRFLTEDDRLINRINPTYLRWEELYQTMFSWILNSLSEALQPRVVGCRHSWQLWEELDSYCNS >OIW01761 pep chromosome:LupAngTanjil_v1.0:LG11:18541771:18546393:1 gene:TanjilG_03899 transcript:OIW01761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTEKLYHSLTNPHSPIPKDRNTLFETLFHQLHTTFHKFFVSLPLFNNNVSQSGSDSCSHSRIWSIVEDLSYNLRCCLVVLTLPENTDQKFIIVKCRFILQALKAFVSVEVGERGGNKLLRFRNFADDVDMELCDSASPFLCAVLEVFADELLRHQSLRRYLMITDSRNSISEKLFACHVNHGDTASVLEVISAHFILSVSNGNTFENFIGRLFLHCGKDSGFPKLSIATAILLLLDPIALSAPKIFQAHIISLVSEVIGSGLSSENFALDINYYLTAFQKSVTLYSTHVSSLQMDGFNVELKFVNQFSLLERSQTTFVSYIQQVTSNRLNQVLSKADNTWHSSRCKMSSKTKANLLTECISFMKGRQYIFPDSYRDTSASTLDCLIHQTFSQDVAGDGLYTKENTSAADIFFLASILKLMSISLIQAIKSLSNSGDSGCLKTMGNTSVREKYDFLISIINHFQQFKLCLPVQTFLYNIMKSQQTNVSASKSMLVHFSGLLSLCFSSGLDLLAKGCISVIMALMYLFIFEEGDLVTLGSLRDLPLQSCSSAIPSDKTREGGRDKQSISKVAAEFHRVRTRKSRCDSIVEDEKEETCSGEIFLDCILDGSKKLSDYDELADFFECEKEKDYSSWLKGRQKFRKRRHEKMIYLRKIKKKKIWKSMNCQKSGQSSRLQKMGKRLKH >OIW02687 pep chromosome:LupAngTanjil_v1.0:LG11:1837820:1839429:-1 gene:TanjilG_29463 transcript:OIW02687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDAIDLTGDGGVIKTIVRKSKPDAVAPTEDFPLVDVHYEGTLADTGDVFDTTHEDNTIFSFEVGKGSVIKAWDIAVKTMKVGEIAKIACKPEYAYGSAGSPPDIPPDATLIFEVELLACKPRKGSSLGSVSEERARLDELKKQREIAAAAKEEEKKKREEAKAAAAARVQAKLDAKKGQGKGKGKGK >OIW02649 pep chromosome:LupAngTanjil_v1.0:LG11:2064617:2078575:1 gene:TanjilG_29425 transcript:OIW02649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRERNREEEEEGEGKQKTTPSTKSGDPSSSSTPSSSSSSSDEKEYEVEELRDRIKSSRGSRFNLIEKELSWRRKFSRESLIAGFKDFVILPHNWWYRVWSQFILLWAVYSSFFTPMEFGFFRGLPENLFVLDFIGQIFFLVDIVLQFFVAYRDSQTYRMVYKRTPIALRYLRSSFVLDFLGCMPWDIIYKACGRKEEVRYLLWIRLYRARKVHEFLYNLEKDIRINYIITRIVKLLVVELYCTHTAACIFYYLATTLPASQEGYTWIGSLKMGDFSYSNFREIDLWKRYTTSLYFAIVTMSTVGYGDIHAVNMREMIFIMIYVSFDMVIGAYLIGNMTALIVKGSKTEKFRDKMTDLVKYMNRNRLGRDIREQIKGHFRLQYESSYTNAAVIQEIPISIRSKISQTLYLPYIEKVPLFRGCSSEFICQIITRLHEEFFLPGEVIMEQGNVVDQLYFVCHGVLEEVGTAEDGSEETVSFLRPNSSFGEISILCNIPQPYTVRVCELCRLVRLDKQSFTDVLDIYFYDGRKVLNNLLEGKETFRGKQLESDITFHIGKQEAELALKVNSAAFHGDMYQLKGLIRAGADPNKTDYDGRSPLHLAACRGHEDITLFLIQEGVDINLRDNFGNTPLIEAVKNGHDRVASLLVREGASMKIENAGSFLCTAVLRGDSDYVKRLLSNGMDPNLKDYDYRSPLHIAAAEGIYFMAKLLLEAGASVFTKDRWGNTPLDEARICGNKNLIKLLEEAKSAQLSEFPCRSQEFTDKMHPKKCTVFPFHPWDPKEHRKHGIVLWIPHTIEELIKTAAEHIDFPSDSFILSEDAGKIIDVDMIKDETCKKRKRNPKVFRFNSFGDPGSPITPSGPFRQNVRFFIQECGELEEYNVLGNPLWCTLLVHNKTNLMAPLYTLEEDVYQSSHPFCDYCRSAGWSGHFVTKRRYHFIIPMDSGWHKHLDDGAFDNQSHLLHGVIHCNGCGHLICINGYEGGSKVLSGREIMDLWDRICTNLKARRISVEDVSRKRCMDLRLLHGVAYGHSWFGRWGYRFCRGSFGVTEKNYYEAIESLGSLELDEIVRDLSKTKCHKEIKQMVRCYRDMSETKIATIRDLLRFMLTVKPRAPVTKTSSFPSSGFNSRNSTRHALSNRTNIMKEKFVRYKKFSTIVANMDIRWPKRRLEFAAQVIVDALNEHRGVKSGSGGMTRQDVRDAARLHIGDTGLLDYVLKSLNNVIVGDYVVRRMVNSKTRILEYTIHEHDNWIKEPEMEPEAMVHVDPKEESSRMPGNDVNRDVLYVYKNVILGYPDSEQMELGVQTILDSRHFVKEFELTDEMEQVLTFICKLKPSVDEAKYELRDPGCGVIVTVPLHATIGDLKKASEDALRDTYCIAERLIVIGFAELMGVSDEEVLFGLIQSGVELCVSGIAIDLCTPLKYQGGTDNWKVRCECGAQDDDGERMVACDICEVWQHTRCCGIDDSETVPPLFVCTGCCDSLAPARIESAFDMDYVDTYLISSEPTPLLEYEYGY >OIW01589 pep chromosome:LupAngTanjil_v1.0:LG11:23832743:23835413:-1 gene:TanjilG_20489 transcript:OIW01589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAFMESKEQTKEMEKCLDPQLWHACAGGMVQMPQVNSMVYYFPQGHAEHACGPVNFMTCPKVQPLVLCRVEAIKYMADPETDEVYAKLRLIPVNRNDADYARDSAGDINGSESQDKPASFAKTLTQSDANNGGGFSVPRYCAETIFPRLDYSAEPPVQNILAKDVHGETWKFRHIYRGTPRRHLLTTGWSTFVNHKKLVAGDSIVFLRAENGDLCVGIRRAKKGLIGGPEASSGWNPAGGNSSVPYGGLAAYLRGDDVNSLLRNGNNSNGLNLNPPNSSMMGKGKVRPEAVIEAVTLAANKQPFEVVYYPRASTPEYCVKASLIEAALHNRWCSGMRFKMPFETEDSSRISWFMGTISSVQVADPLGWPTSPWRLLQVMWDEPDLLQNVRRVSPWLVELVSNMPAIHLSPFSPPRKKLRFPQHSDFPLDGQIPMPTFPNNLLVPNNKNLFSYPPESSPAGMQGARHALYGLSLPSLHLNRLQSGLIPSGFPPPDHAATPTRITTNMLFQKPSMSEDVSCLLSMANSTQSTKKPDGLKTPPQLVLFGQKILTEQQISMSSSGDTVSPDGNADKLTKFSDGNGSTRHHHGLPECSFCERFPWYKDNYQETEANLEIGHCKVFMESEDVGRTMDLSLLRSYDELYRKLTDMFAIEKFEMLSHVLYRDITGAVKHIGDEPFSDFTKTARRLTILMDSSSDNVGV >OIW01779 pep chromosome:LupAngTanjil_v1.0:LG11:18271991:18286971:1 gene:TanjilG_03917 transcript:OIW01779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGIVSEESGVGKSVEGMSSGQRYHSGEALAEWRSSEQVENGVTSTSPPYWDAGDEDDCGMKPSELYGKYTWKIEKFSQITKRELRSNAFEVAGYKWYILIYPQGCDVCNHLSLFLCVANHDKLLPGWSHFAQFTIAVVNKDPKKSKYSDTLHRFWKKEHDWGWKKFMELSKVYDGFVDTSDNLIIKAQVQIIREKSDRPFRGLDYQYRRELVRVYLTNVEQICRRFVEERRSKLGKLIEDKARWSSGLKALEGQPKCKKSRVKMSDAEEMPAPIVRVENDMFVLVEDVLLLLERAATEPLPPKDEKGPQSRTKDGNSGEDFNKDSIECDERRLTELGRRTLEIFVLAHVFSNKIEIAYQEAVALKKQEELIREEEAAWQAESDQKAKRGVNDREKKSKKKQDKAREERTTVAVHDKNHEANAVDEKKNINMEEARTLSEKPDATEEAQTLSEKPDVMKDVSDVSDSADGVSVVLQPDSEDRDAIPVNWDIDAPEIHSPTEASNNGIVVVSSLQNGMSEKRISSAIDDSSSTCSTDSLPSVFMNDPYNGNSFSNYKFQKSPNRRKNRGKAPCDVGCWTNEIGSQPSGSAAKAGDNNDDSVTEGAALSLQDRLKWAEQHVVRKEEGALLSLQKEHSIKDHIKIEGPVDNESLQKEKISTLPSSPISSPTSLSSSVQMKLEHKTTATVNPVHVKKTSLSVSLLTDKDASALSDSTSQVTTLSKSEIHETSTAKLTDRSVSLSRPASAPLVPGPRPTAPVVSMFQTAPQLARSVSAAGRLGPDPLPATHSHVPRSYKNAMIGNPVTSRSASLSYSSSSSSGINSSLSYSQPSSMVPSPIFLPQSSDRMNSNAGQSGVPFGISSYDNLQNGPQWMESSQREASRRMHYDQPSRINDVLNHDLYRPLHSRSMGNMSTEFPACTSGRQNQGFLVDEFPHLDIINDLLDDEHVIGKTQVASSLFQPLDRRAQLLNRQSTCPGDLGADDNLGSSASSCRFERSRSYHHDHRFQGGYSSSSSGHFDSPRVYIPRTSTVPYVNGQVDGSVPNQWQVAGSDLSYLGMRNSENGGYSYYPDYSNMAGGVNGYSVFRSSNGPYRER >OIW01854 pep chromosome:LupAngTanjil_v1.0:LG11:16087205:16089492:1 gene:TanjilG_07149 transcript:OIW01854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNNKQVMDARTFLLQKYPTIVEHQIIAPTDQYSKTSSLVTKRSPPPVEFPTSPQLIFGEEIVHFTHPQHPLSGLDIRDLFTCSGCKEYGSGKRFVCQQCDFQLHDFCAFAPSALKAHPLHSQHSILFHSKPGGKVKSKCDVCGKPSKGFAFICTACGFLLHPCCAMLNTEIEYPSHPHTLTIMPTTASTTDSSSFVCAECKKRRPGRVYNCTVCDYHLHAVCAKSKVNGLQTNHIKPPEKPSMFAAAARVASQVVIEFIGGLVEGLGEGVGEALLQNVAKGNSNETNNNGARPRANK >OIW01643 pep chromosome:LupAngTanjil_v1.0:LG11:21230443:21239915:1 gene:TanjilG_18214 transcript:OIW01643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEVVVCVLALENGRNENNEESRTELKRDYHQCIADTVDDNTEPGQSPKKKQVKDDSNDEVCSDVMNPKTSATENELTTFHDISSQPSESAKTFECGELTCTCLENSSSSDETLSDEASDQNNKNNISNTSHNDMDTSDMTMSCVVMEIPKHASLSGIRKITFKFSKKKEDYDEEYSAKPDLDNNSELYENSCGMGYVGTGDIDFYTARNMELKMSKKVVPNYYPTNVKKLLSTGILDGAIVKYMYNPMKVELRGIIGGGGYLCSCSLCNYSRVLSAYEFEQHAGAKTRHPNNHIYLENGKLIYSIIQEIKAAPLSILDEVIKNVAGSSVNEECFQAWKESLLESNGRVQAYKNYSTKLTGMPHKHISQSVESVSHLSSLHVPSHFVQQMYLKRTTDEWKYAVKKSSCLYNSGIQLKRSADSCTKRRDNDLHRLLFMPNGLPDGADLAYSVKGQKLLGGYKQGNGIVCGCCDVEISPSQFEAHAGMAARRQPYRHIYTSSGLTLHDIALSLASGQNLAMGDSDDMCAICGDGGDLILCNGCPRAFHAACLGLECAPESRWHCLNCRDNVDNGRESSMERPIMIQLTRDDKAPEFETGGCVVCRQHDFSVAKFDERTVIICDQCEKEYHVGCLLDIGLCELDELPKDKWFCCDDCNGIYLALQNSFSAGADIIQTSLSELIIKKHEERGLCTYEGMSDIQWRILSGKSRYPEHLPILSRAAEIFRECFDPIVAISGRDLIPVMVYGRNISGQEFGGMYCTVLVVNSVIVSAGLLRIFGCNVAELPLVATSREYQGKGYFQVLFSCIERLLSSLNVEKLVVPAAGDAESIWTKKLGFRKMTEDQIFSWGNHIFDSIMKGLNALACNYVQRHREVQLTLFNKTSMLEKTVQPGRK >OIW01992 pep chromosome:LupAngTanjil_v1.0:LG11:11403561:11405549:1 gene:TanjilG_14023 transcript:OIW01992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSAQITAYQPGIYVKNVSQFRQLHSKPCVLSMSTNPCSSISLGKRGKPSFGLKCRPISKSREPLHICLAGGNGMETNDENSPLKSLEKAMEKFKGPSIEDVLRQQINKGEYLEGGGSGAKPPGGDGGSGGGGDGPADPGGEEEETPAEIFDEYLQMIFGTLGFIFLYIYILTGEELTKLARDFIKYKFGGNPSVRLKNAMYEWGEFYRSMVEEEEIEEDWLETAILNTPTWWHNPDTAYSDEPNEDGDEDEDEDEDADPFSNEDDDDSYFY >OIW01389 pep chromosome:LupAngTanjil_v1.0:LG11:31564265:31565080:-1 gene:TanjilG_10819 transcript:OIW01389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTWAGISCQYKTNRIQSITLLVSDHAFQIPPSIGNLPYLESVFLYEFPHLTGPIPESISKLTNLMFLTISSTSISGPIPNFLSKLKNLADLDLSFNKLSGTLPPSLSQLNELVRFDFDNNKLTGPIPDSYGYFKNLRTFTVSRNQLSGRIPVSLARLDLYYINLSKNRFQGDASMLFGSNKSTGLIYLSRNLLAFDLGKVELPKNLTTLDVSHNDIYGNLPLGVENLSLLNVSYNRLCGEIPKGGNLQSFDGYYSYFHNKCLCGSPLPKCK >OIW02354 pep chromosome:LupAngTanjil_v1.0:LG11:5888727:5890008:-1 gene:TanjilG_11248 transcript:OIW02354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLSCNGCRILRKGCSDDCTIRPCLEWINSPESQANATLFLAKFYGRAGLLNLINAAPLPLRPAVFKSLMYEACGRIVNPAFGSLGLFWTGEWAQCQAAVDAVLNGSEISAVDLSDWQVTPGSKHVFPAHDIRHVSRDSHVDQLRGGKPRFKRTGNVIKPKARVGSFDSARLWKPASASSHGLRNKEGWETESDQTVEASLMSQDEPSRTAEANVDLELTLG >OIW01134 pep chromosome:LupAngTanjil_v1.0:LG11:35903771:35910355:1 gene:TanjilG_25242 transcript:OIW01134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPREIEKLSLHNAGYLSQKRLARGLRLNYIESIALISTQILEFVRDGDKSVADLMSIGRNLLGRRQVLPAVPHLLDSVQVEATFRDGTKLITIHDPIACENGNLEQALFGSFLPVPSVDKFNENEADNGIPGEIISRDGSLILNPGRKAVKLRVVNNGDRPIQLIDFSFSFPTENNGSNHFRNISENFQVGSHYHFIEVNPYLTFDRRKSYGLRLNIAAGKATRFEPGESKSVVLVSIGGNKVIRGGNGIADGPVNDSNSIAAMEAVSARGFGHVEEANASEGVTGQDSALTTVISREEYVNKYGPTIGDKIRLGDTDLFAEIEKDFAVYGDECVFGGGKVIRDGMGQSCGHPPKDSLDTVITNAVIIDYSGIIKADIGIKDGLIVSIGKAGNPDIMDGVFLNMIIGANTEVIAGEGFIVTAGAIDCHVHFICPQLIYEAISSGITTLVGGGTGPAEGTRATTCTPAPNQMKLMLRSTDDLPLNFGFTGKGNSSKPDELHEIVRAGAMGLKLHEDWGTTPAAIDCCLTVNIHTDTLNESGFVEHTIAAFNGRTIHTYHSEGAGGGHAPDIIKVCGVKNVLPSSTNPTRPFTSNTIDEHLDMLMVCHHLDKDIPEDVAFAESRIRAETIAAEDILHDLGAISIISSDSQAMGRIGEVISRTWQTANKMKSQRGPLQPDGSNSDNFRIKRYVAKYTINPAIANGLSRYVGSVTVGKLADLVLWKPSFFGAKPEMVIKGGEVAWANMGDPNASIPTPEPVIMRPMFGAFGKAGCANSIAFAALDNGVKALYGLNKRVEAVENVRKITKLDMKLNDALPKITVDPEKYQVTADGEVLTCTAATSVPLSRNYFLF >OIW01528 pep chromosome:LupAngTanjil_v1.0:LG11:28127962:28130851:-1 gene:TanjilG_19454 transcript:OIW01528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMMDSIPVIFHNSIDYSSLAAARADNFYYPPEWDPSQGSLNKFHGQHALRERAKKIDQGILVIRFEMPFHIWCGGCNSMIAKGVRFNAEKKQVGNYYSTKIWNFTMKSACCKHEIVIQTDPKNCEYVIISGAQKKTEDFDVEDAETLELPADEERGKLVDPFYRLEHEEKDLKKKKEAEPVLVRLQRLSDAKHSDDYAINRSLRTQLRNQKKRVAEEEASSRKRGLGIRLLPNSAQDVATAAKVKFSSKFDRNTKNKRALINASSIFPGLSYSSSSDKRRLELESKRRKISAGKASTLLAGAYKPSSWSQNSISSSRQKVASLNGRR >OIW02886 pep chromosome:LupAngTanjil_v1.0:LG11:400001:400648:-1 gene:TanjilG_29662 transcript:OIW02886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKISAPATEALEMIPPVEPRYRGVRKRPWGRFAAEIRDPLKKARVWLGTFDSAEDAARAYDAAAISLRGPKAKTNFPINPSPFYHHLPTDPNFHHHRYYATNGGGGFNDHVVINPNRPTSSGMSSTVESFSGPRHPSAVPPPVATRRYPRTPPVVAEDCHSDCDSSSSVVDDGDNIASSSFKAPLPFDLNVPPLDVDADVAIGNGYFHCTALCL >OIW02790 pep chromosome:LupAngTanjil_v1.0:LG11:1069963:1074373:1 gene:TanjilG_29566 transcript:OIW02790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQPKIKRRVGKYEVGRTIGEGTFAKVKFARNSETGEPVALKIMDKEKVLKHKMAEQIRREIATMKLIKHPNVVRLFEVMGSKTKIFIVLEFVTGGELFDKIVNHGRMSENEARRYFQQLINAVDYCHSRGVYHRDLKPENLLLDTYGNLKVSDFGLSALSQQVRVLNDRGYDGANADLWSCGVILFVLIAGYLPFDSPNLIDLYKKISGAEFTCPPWLSFSARKLISRLLDPNPVTRITVAEILDNEWFKIDYKPPVFNEIAETSLEDVEAVFKDCEEHHVTEKKEEKPTAMNAFELISMSQGLNLENLFDEQGFKRETRFTSKSSANEIIHKIEEAAKPLGFDVQKKNYKMRLANVKAGRKGNLNVATEIFQVAPSLHMVEVRKSKGDTLEFHKFYKNLSTSLDDVVWKTEDEMQIQERK >OIW02296 pep chromosome:LupAngTanjil_v1.0:LG11:5276071:5278540:-1 gene:TanjilG_11190 transcript:OIW02296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSIYDVKVMAYGSTSGESTRFYDFKVQTKTITKLCSSKDIVTINGMFPGPVVYAQEDDRIIVKVTNKTPFNVTIHWHGVRQKLSCWYDGPSFITQCPIQAGQSFTYNFTVVKQKGTFFWHAHVSWLRGTVYGAMIVFPKIMVPYPFKVPYQEQIIILGEYWLQDLQHIEKATIASGGAPPTADAYTINGHLGPNYNCSTSDIYQLDVIPGKTYLLRLINAGLNTENFFAIANHTLTIVEADAEYTKPFTTDTVMLGPGQTLNVLILADQPVGKYSMVVAPYKSGRFIQYQNISAIAYFNYIGAAPDSQYLPAKLPELDDKLAVKTVMDGLRSLNQVNVFKEIDMSLFITIGLNVQKCHSKTPKQNCQAMKNGVLSASMNNISFVHPNISILEAYYKKIKGSYTEDFPDVPPKFYDFVYGAPNNITYDTQSLNGTRTKVLEYGSRVQIIMQNTGTVNIENHPIHFHGYSFYVVGYGTGNYNPLTAEFNLVDPPYMNTIGVPVGGWAVIRFVADNPGVWFMHCHIEIHQSWGLGMVFIVKNGKGKLESLPHPPSDLPRC >OIW02108 pep chromosome:LupAngTanjil_v1.0:LG11:8459512:8461062:1 gene:TanjilG_26648 transcript:OIW02108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLRIEIREIVMGEKGNSDRWSGAITNLSEMASNLDSLQNLLLNKAVFLDDDSFAKASLTAQQSRTIKVLEQRVETLERELDAAITASARARSEKRQAEAAQKAAELHAQEVTTELENTTKVFQLHMEELRAKQEEITKRDNDIKLLEAIIQTLGGKETISTSVQKQLF >OIW01368 pep chromosome:LupAngTanjil_v1.0:LG11:32269529:32272285:1 gene:TanjilG_12908 transcript:OIW01368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPWLVIPLIGLWALSQLLPPAYRFEITSPRLACVFVLLVTLFWYEILMPQLSAWRVRRNAQIRERKRFEAIEMQKLRKTATRRCRNCLNPYRDQNPGGGKFMCSYCGHISKRPVLDLPVLPGLGISNSGIVKDLVGKNGKVLNSKVWSENGWMCSQEWLENGNWVGGSIPGNTSNWSNAGLFGRDEHCLTERSYFGIFIFLSKLFMSSLLSIRWIWRKAFRISSSEECSPDAEHRALLAKRGDNGANLNVSRGERARRKAEEKRLARLEKELLEEEEREQREEVARLVEERRKLRDEKKEAEKDRSKPSHPTKGKESRKEVEKKHQEKRKEKDKGSSKSNSDVEELERRAGKENERKRDLDKKSELDRRDRQKESGKGHSTDNTHSKNVVSSNFNRGSTGTRYLDRMRGTILSSSKAFGFGRGTNNTATVTKENKFNSSLDHAHTAVSRRDVCPPERPTAKSNLKGDVWSINDSVLPESQPWTAPKKSWHQLFTRSSSVPQSSNSNVISRPNSKSQAEARSPKLSGQSLVTKSFDNPIHFGLPSPFNISTYPNGTTSSSLGFSPAIEPFFSPVGNIPRDFRHEEQDLFEDPCYVPDPVSLLGPVSESLDNFQLDMGSGIVKDMAVAKPHSLKNISAGSDVNRPYPIESPLSREKNSYCNRFPSTPKAQDRHAFPLDDAAANDKGTWQMWSTSPLGQEGLGLIGSPERWVLPLQGNIPNKDDFVLPSSQKTMASFFNKDDTILSSAHSTQNVFLPNCQSGGTFSPVTSSSAYDPWSQSTLFPPLSGALKAQEGVTQNEMIYGSSSASSSSHVLERSPSYGWSK >OIW02152 pep chromosome:LupAngTanjil_v1.0:LG11:7879998:7883527:1 gene:TanjilG_02376 transcript:OIW02152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYHPTRGGVRGGRDQFTWEDVKADKHRENYLGHSIKAPVGRWQKGKDLHWYTRDKKSLDAEMEVAKEEIKRIKEEEEQAMREALGLAPKRANRPQGNRLDKHEFSELVKRGSTAEDLGAGHAEAASVQGLGFARAPVPWEEPSSSKLPPSDIPAEVENAPMPNPSARKTEDSEDEGRKKRGREERKEEKREKREKHHSRDDRKHEKHIRREKRHSRDVDDRKRHKKDKERRRHDSD >OIW02829 pep chromosome:LupAngTanjil_v1.0:LG11:818638:819205:-1 gene:TanjilG_29605 transcript:OIW02829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKVCVAFLSLVAILVLTSVPGEGFNCDDAKEELFPCLEYIIGEGGDAPSSECCDAVIDLKSSTPTKNDRRSACECLKAAADGFSGLRDDLAASLPKRCGVNVGFPISRNINCNTIP >OIW02561 pep chromosome:LupAngTanjil_v1.0:LG11:2940404:2940883:1 gene:TanjilG_24012 transcript:OIW02561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLPNLPSSSEGIFSCFLGNSVFPISIFKGLVKIILHIIGINLSSSSSSETSSPTTATSQYRPGSFEFLVNYCSNTYIEEIRSQTPTIRFDSVCCQQQAEVECIVCLTQFGPESEINHLSCGHIFHKVCLDKWLNYWNSTCPLCRAPLMPEVEDETLCF >OIW01363 pep chromosome:LupAngTanjil_v1.0:LG11:32362620:32364879:-1 gene:TanjilG_12903 transcript:OIW01363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKLNKASIIVDASKYIEELKQKVEGLNSELGDTESSSSQNDELPMVTVETLERGFLINVFSEKNCPGMLVSILEAFEELGLDVLDARVSCEDTFQLEAVGGESQKNDSIDAQMVKQAVLRAIKNMD >OIW01408 pep chromosome:LupAngTanjil_v1.0:LG11:31087723:31088088:-1 gene:TanjilG_25704 transcript:OIW01408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNNTISRIIINRFSLTTKPSFSNSLTTFKNAILSDPSHCTPHVRRYYRINKAPSHHKLSHPCFTPTSPPSFSSSSSSTKVGFVGWYLRKLETHPVMIKSITSSLIFAAADLTSQVEELDS >OIW01655 pep chromosome:LupAngTanjil_v1.0:LG11:20765317:20766103:1 gene:TanjilG_04623 transcript:OIW01655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAKTIFNDKSEEQLLSFSTRKKVTFDSNVETYQAVLQDEAPEKKNIDEAKVEAWATKPTQSKSSSSNDSSVTSTGSYPPNHRYQNSRDSDDELDYRASDLSGDDEGDNGDFEEEHGMVYSSPTQTYDNSDDVNSVGLNLNPNARDRSVYVHPVLNPVENLTQWKVVKSEWRCFCNCFYLCFSCILI >OIW01610 pep chromosome:LupAngTanjil_v1.0:LG11:23123029:23127687:1 gene:TanjilG_10919 transcript:OIW01610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFEGILLGMGNPLLDISAVVDDEFLQRYDIKLNNAILAEDKHKSMYEEMTSKYNVEYIAGGATQNSIKVAQWILQASGATSFIGCIGKDKFGEEMKKNGKLAGVNVQYYEDENTPTGTCAVCVVGGERSLIANLSAANCYKSDHLKRPENWALVEKAKYFYIAGFFLTVSPDSIQLVAEHAAANNKIFTMNLSAPFICEFFKDAQDKFLPYMDYVFGNETEARTFSKVHGWETDNVEEIAIKISQLPKASGTHKRITVITQGADPVCVAEDGKVKLFPVILLPKEKLVDTNGAGDAFVGGFLSQLVQEKPLEECVRAGCYAANVIIQRSGCTYPEKPDFH >OIW01365 pep chromosome:LupAngTanjil_v1.0:LG11:32323412:32323600:1 gene:TanjilG_12905 transcript:OIW01365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEIMVNQATSTDLKELVKKFILECIGKEIEKATCGKVKIPKASKFDLGKLMNVQWSDFDLF >OIW02715 pep chromosome:LupAngTanjil_v1.0:LG11:1599583:1601638:-1 gene:TanjilG_29491 transcript:OIW02715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTWKRYDLLKQSIKHYSSCPRLESVHIVWSEPDPPSDVLLKFLHHVVKSKSKNGGHVKLKFNVHKEDSLNNRFKEIVDLETDAVFSIDDDVIFPCSSVEFAFDVWQSAPDTMVGFVPRAHWVDSSKGAGDKFMYGGWWSVWWTGTYSMVLSKAAFFHKKYFTLYTDELPTSIREYVAKNRNCEDIAMSFLVANATGAPPIWVKGKIFEIGSTGISSLGGHTEKRTECVNRFAAAFGWMPLVSTSVKAVDSRNIWFW >OIW02505 pep chromosome:LupAngTanjil_v1.0:LG11:2966152:2973728:-1 gene:TanjilG_12819 transcript:OIW02505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGVGFRASIPTTLRKTIQNIKEITGNHSDEDIYAMLKECSMDPNETAQKLLLQDTFHEVKRKKDRKKENPNNREPVELRRRHGTQGWGQRGGQGNFMPHNVSHGASSSKNSGTRKDNGAHQVNEKVAPSLSASQETISKEKTSGASSAANGPTIVASGTIGGVSPSPSFSGSGNRMGPSSGANNNLGSALLSDCSNKSATVGSGSESRPSSSNHPGSAPASSSAVNFSSSDPILVPSNDLRNAGSVGAIKREVGNLLELNAVRSAENKLTPASESGSSSVQGKIQYKSQVVAKNNHTEMPSSSAVTHGSSTASRPSSNYGSRSQQSIGAQKGSNKEWKPKQTSTINQGSEPASASASSASAEVSGQLQSASSALDSQENSSKLQRKLEDLNLNQRQHVILPNHIFVPDSEKNKFSFGSLGVTFGVNSSYVNGPESEKSSMPISETSQSIEETVEEQASSSQNTAVTSEMGDHPDHPQSPANVPENLSSSEVDGSSSAIQEYNESKQDTAFPYEGHQYSEVHTSPNYSYGYVPPILGNQATPFDSSETQTRDISRLPSFVVHQPFDPASYYAPFYRSGTDSDGRISPFSPAAKYHDNVTLLAAPNSQSPQEGGLLSTAGPTPLVTQAAGLMQSSIAVTQQPVPVFRTPSGVHISHYAPNYIHYGHYFPPFYVPPPAMHQFMGNGAFPQQPQTSTVYPPPAVVAAPGMKYPLPQFKPGTNAANPTHLVMASTYGAYGSPPAGYNHSSASPAGNSTSNEDLGSSQFKENNVYISGQQNEGSPVWVTAPGQDISSLPTTSYYNIPPQAQHASFNPAQAGHGTFASIYHPPQAVTAATVHPLLHQSQTMAGSVEMVGPGGNIYQQPQHAQINWSGSY >OIW01917 pep chromosome:LupAngTanjil_v1.0:LG11:14106867:14109553:-1 gene:TanjilG_15242 transcript:OIW01917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDIDSAFIQSTEHRPKLKVLESGEIPVIDLSQSNEEELISNIGKACEKWGFFQVINHGVPFEVSEKVEIFADKFFKQSIEEKKKVKRDEVNSMGYHDGEHTKNVKDWKEVFDYLVENSTHIPSSHELHDKELRVLTNQWPQYPHDFREALQEYAKEIEKLAYKLLELISLSLGLAGDQFHGCFKDQLSMVRLNHYPPCPFPDLALGVGHHKDASALTVLAQDDVGGLQVKRKSDGEWIPVKPTPHAFIINVGDIVQVWSNDKYESVEHRVVVNTERERYSIPFFFFPSHDVMVKPVEELVTEQNPARYREYNWGKFFANRNRSDFKKRDVENIQIHHFRILD >OIW01266 pep chromosome:LupAngTanjil_v1.0:LG11:34498244:34501654:1 gene:TanjilG_10427 transcript:OIW01266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSERSSYNNNNKNSFPKGKRDDLYHVLHKVPFGDSPYVKAKHAQLVDKDYEGAIVLFWKALNSGDRVDSALKDMAVVMKQLDISEEAIEAISSFRGLCSKQSQESLDNVLIDLYKKCGKIDEQIDLLKQKLKLIYQGEAFNGKLTKTARSHGKKFQVSIKQETSRLLGNLGWAYMQKMNYMMAEAVYRKAQMIDPDCNKACNLGLCLIRQARYEEAQLILEEVLKGKLPGFDDSKSMKRAQELLKELRSMIPEQYLSDFLGLDDELIKGLEQLVNEWSPVRSKRLPIFEEISSFRDQLAC >OIW02372 pep chromosome:LupAngTanjil_v1.0:LG11:4775976:4778250:1 gene:TanjilG_08519 transcript:OIW02372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTISQNLKNAIIAFLVPLPSILFYISFLNNYDSAIATDPKFSSFSSTLWTWCYHHPLLLVNALFFLNVNVLFWVIGQIQSSHWIIDPYWTVIPVMLVHYYAAHPLAQYDLWRSRIVILLTWVWSIRLTHNYFRREKWQWGTREDWRFTEMSHQYGKHWWWLSFFAIYLSQQVFLIGLSLPLYVVHSINQPLNIWDLVAIVVCLSGIVIAYFADTQLYEFKSRNNKLKGLGKPVVPVLDYGLWYYSRRPNYVGEQLWWWGLVVFAWSLGHGWTFVGALVNTMCLAYVTKLVEDRILKQESRADAFRLYQKTTSVWIPWFKFSSSGVKNKNA >OIW02057 pep chromosome:LupAngTanjil_v1.0:LG11:9468805:9474045:-1 gene:TanjilG_21106 transcript:OIW02057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNSNNNRARYPPGIGYGRGGGGGGDGGGFNPNHNQNAPFQPRPSYQQQQQQHQHQQHYAQRNLVPQQQQQQQQWLRRAQLGAGGSESNVVDEVEKTVQTEASDSSSQDWKARLKAPPPDTRYKTEDVTATKGNEFEDYFLKRELLMGIYEKGFERPSPIQEESIPIALTGSDILARAKNGTGKTAAFCIPALEKIDQDTNVIQAVILVPTRELALQTSQVCKELGKHLKIEVMVTTGGTSLRDDIMRLYQPVHLLVGTPGRILDLTKKGVCVLKDCTMLVMDEADKLLSPEFQPSIQQLIQFLPSNRQILMFSATFPVTVKDFKDRYLQKPYVINLMDELTLKGITQFYAFVEERQKVHCLNTLFSKLQINQSIIFCNSVNRVELLAKKITELGYSCFYIHAKMLQDHRNRVFHDFRNGACRNLVCTDLFTRGIDIQAVNVVINFDFPKNSETYLHRVGRSGRFGHLGLAVNLITYEDRFNLYRIEQELGTEIKQIPPFIDQAVYCR >OIW01374 pep chromosome:LupAngTanjil_v1.0:LG11:32122580:32124662:-1 gene:TanjilG_12914 transcript:OIW01374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCLNKPQPKDNDSDHHVDFSSGNVKLITTQEAWDEKLEQAKREGKIVIANFSATWCGPCKMIAPYYSELSEKYTSLMFLLVDVDELADCSTSWDIKATPTFFFLKDGTEVDKLVGANKPELQNKLASIDDSLPKHHHQ >OIW02528 pep chromosome:LupAngTanjil_v1.0:LG11:3118353:3121183:1 gene:TanjilG_12842 transcript:OIW02528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVVTPQCYMMPVVNHSSFWQTGNVLKTDLPTLALQMAFTTSMSRFFFWISTPLCQPRLISYIFPGFFATTMAYLPNIAYLLYPENGILNVQVIDNIGLIYYAFLSGLEMNIDTILHAKKKSATIAVAGIIFPLVTGPIFYQLHRKIYETFPLPIEKSSMTAIIHWTGVLTITGFPVLAHTLSELKLLYTGLGKTALSAAMISDTYGWILFTIFYPFTMNGNNALYSVLSTIIFIVVCVFLVRPIICDYIEHKTDQDEWNDHQIASVVMGVFVCSFITDFLGCHAIVGAFVYGLILPHGKFADLVTSVSDDFVNGFLTPLYFSGCGMRISFRSIFMMGNWPLVMLLVVSLILPKILSTVLATFFFGLHIQDGLALGLLLNTKGVIALVLLNSAWDKGVFSVPTYSVLTFGVLLMTVIVPPIINLIYKPRKRFEQNKLKTIQKLREDAELRILACCHNNKNATSMISIIDTFNPTRLSPMHVFGLYLIELTGRGAALVAAHIERHSGQLVGEQNLTRTQAELENITKTFEAFGEVYDAVRVETLNVVSSYATIHEDIYNTASDKHTSLILLPFHKKLTSDGVLQTTSVVYKEINQKIMQGAPCSVGIFVDRDLGSFSKMDFHIVMIFVGGPDDREALAVAGRMACHPGVRLELVRMVLFDEAAEADTSSHAEAKGILSAVIDNEKQKELDEECVSSFRLTQVNNDDSTSYSEIEVHTAEDIPAVLNEVEKSGCDLYIVGQGNCRNSMVFTNLLEWCDCLELGVIGDILASNNFASSASMLVVQQYGYGGMVIEKQVKHIATTTSNDGFELVVV >OIW02664 pep chromosome:LupAngTanjil_v1.0:LG11:1956537:1960851:1 gene:TanjilG_29440 transcript:OIW02664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTANKVSSGPIVTQRTTLCRSHEKYHFSLSTRINRVQLPTHEHLKYRGVLAERPTLFNARFMNGKSLHLISKKSSISCKSTGTNNIEEKECVATYDDASDLTRIHIKDEKNDDIHNVHGLAEACRFVYNDAKFVNERARNDIILLLRGIMRLDARARQDVAFLGTEFLKIDARAREDTEKIDRGVKERAMRLSRIATMLKDKAQSRLKSAADEHWSDGALEADLRLADFRAKQRAMEDALMSMELIKNIHDRMVSKLYNLGSLSANNVRGRIMLEKNGKTTNSFLGDVTTERISALQEAYWSMASALSEADGIDYTDPEEKLELLIATLIDLDAMDGKQSVSLLAECSSSPDVSTRRALANALAAAPSMWTLGNAGMGALQRLAEDSNPAIASAASKAIYELKKQWEIEEGDSWRFMMDVNTMEEKQSRESGNEEDTK >OIW02816 pep chromosome:LupAngTanjil_v1.0:LG11:904647:907180:1 gene:TanjilG_29592 transcript:OIW02816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLEEIHDQQQLLLIHDLHDLSLKPQSEMAARECEKQHEGICAICLDKIVLQEMALVKGCEHAYCVTCILRWATYRQNATCPQCKHPFEFLNVHRSLDGSIHDYMFEESLCLLLRAPWFKPLVVEDHVHHEDVYDDDIGNYYQYEYGFDYEDDDEDLDEVYFGGSASLRIGNRRWGDNGYVRAGRLEARPAYRTNFQESGAGSSRGAKKKAARKSTTNLQESGSSSSREPKKKEAVESATNLQESGASSSCEPKKKEAAESTTGRRAKRALKREAADIAAAAKHQQHLVRLGRK >OIW02894 pep chromosome:LupAngTanjil_v1.0:LG11:350617:361902:1 gene:TanjilG_29670 transcript:OIW02894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTKSSQGSTPEKSMAPTILFLLLSPLFISTNIVGLKQHHDDGFISVVISDKGLDFAKDFLIEQAIASIVPSQLPDIEKKVKVPLVGKAQVILSEITIKDIQINTSSVKIGELGIVLVVSGATADLTMNWRYTARTSLVPIGISDSGKATVKAEDLQVGLTVNLKNQEGTLKLILLDYGCHVGDLSIKLSGGAAWLYQVLVDAFEGNIASAVEEAISKKIREGIAQFDTLLQSLPKMVSFDKTSFLNVSFVDNPVLTNSSIELEINGLFTGRSKVLVPQTYYRGSEISVPRGGSPRMIEISVHENVLNSASSVYFTADSMQWIVDEIPDQALLNTAEWRFIVPQLYKQYPNADMNLNVSVSSAPVIQVTDQDVKGSIILVIVINVLEAGEVIPVACISVNISASVDVEIARSNIVGRLELDKFSIYLKWSKIGKLHIQTIQLDESKKFSAPSTTYTSQPMQTSISGTADNRVPPPPVRIFASDKSSHTAISSTGTAVSIPAHVSAGPSAALQYQSSNNEVRPPMVSGIMPSSHLGRNPPSLAMPKVDGGSNAPSYVQQLPANQPLVNAPTWSIPTQSASLARSASENKVLGHNSVKVEGPSSVAVSRTGPQITADQSFRPFINQTTPGNLSSMNKPLQGVNIVQPPMIPSHIDIAKIVQKLLQPKLPELPTWNPPSRDYISKALTCQMCELAVNEVDTVLLCDACEKGFHLKCLQPSVLRGIHNRVDWHCMRCLSLSGGKALPPKYGRVMRSSSTPQKLPSNTGDIQPSSENKVGSLDPNVNLQKLPTNVSSVPAVTAGNDNAQPPFDPEAPDTKDIQVTSISSNINHIDEKPADPNISIKSLSAASGPSVGLPGASSVQQIYSDFEASICKQTSESETLPKLSEPGNCDNLQSSQDFQVEQTVSQGNAELPSDKHVDNSLMNKKIQESYGGENLSNNLKSGDQDVAPANFGGSSGTNTECRMQSALSSDNSDGVEWVGDAVQVVDEKKFYQSCCIDGITYRLQSYALFPSTHGKLAPSKLQSMWEDCKTGLKWIKVTKCYFPGDLPGNIGHPCVSEVNELYESNSDGTESASSIRGPCEVLPSDKFKQENDRRSQLGNEASARFQPIFSCRWFYDEIKKLFQPVTS >OIW01143 pep chromosome:LupAngTanjil_v1.0:LG11:35197828:35201980:-1 gene:TanjilG_17700 transcript:OIW01143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDRGGSFVAVRRISQGQCHSSSAEIVAGSTAWLGRGLSCVCAQGRESDPRPSFDLTPIQEECLQSIQSRIDVPYDSSIPEHQDALKALWDTAFPEEKLHGLISEQWKEMGWQGKDPSTDFRGGGFISLENLLFFARNFPKSFQDLLRKQEGDRSVWEYPFAVAGVNITFMLIQMLDLEADKPRTLVGATFLKFLAENESAFDLLYCITFKLMDHQWLAMRASYMDFNTVMKSTRRQLEKELLLEDITRLEDVPSYRLLSK >OIW01431 pep chromosome:LupAngTanjil_v1.0:LG11:30675954:30676448:1 gene:TanjilG_11149 transcript:OIW01431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWEALCMQLLGAVPAGNELMGQRVKLTWLERTFRDIPDNANDVIIEQHAKAFILRMIGEFLMPDTSGNRVHLMYLPLLQDLIETFQYSWGSAVLACRNRGLCRATIISDQKEIRGCLLLLQSWAYDRIENLAQRLHDPTIPYFPWVKRYILILPLHVNCITQQ >OIW02763 pep chromosome:LupAngTanjil_v1.0:LG11:1272677:1274894:-1 gene:TanjilG_29539 transcript:OIW02763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSIPNTQSEEDKKPNDTSGHINLKVKGQDGNEVFFRIKRNTQLKKLMNAYCDRQSVDLNSIAFLFDGRRLRAEQTPDELEMEDGDEIDAMLHQTGGAPIA >OIW01715 pep chromosome:LupAngTanjil_v1.0:LG11:19387151:19390758:-1 gene:TanjilG_05168 transcript:OIW01715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKGRLTEAEARFYAAEVVDAVEYIHHLGLIHRDIKPENLLLTMEGHIKVADFGSVKPMQDSQITVLPNAASDDKACTFVGTAAYVPPEVLNSSPATFGNDLWALGCTLYQMLSGTSPFKDASEWLIFQRIIARDIRFPDYFSDEARDLIDRLLDPDPSRRLGAGAGGYDALKRHPYFKGVDWNNLRAQTPPKLALEPGTPSPERDDAHESSWNPSHIGESSEETGHISRLASIDSFDSKWQQFLDPGESILMISTMKKLRKLTSKKVQLILTNKPKLIYVDPSKLTGKGDIIWSDNPNDLNIQVTSPSHFKISTHDLGAKVVKLLSYFLNSCEFMVPGYKNE >OIW02766 pep chromosome:LupAngTanjil_v1.0:LG11:1241818:1261076:-1 gene:TanjilG_29542 transcript:OIW02766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFEQRQRQWRWRWCAVVFMVMITITLSASDTNTKQVSRIAFGSCSNQNAPQPIWNAVLDFNPQIFIWLGDNIYGDIKRPFKLFGRERTIGPWKNAPRFIPSSEEEMEAKYRKAKSNLGYAKLKENAEVIGTWDDHDYGLNDAGKEFHRKIINQKLLLDFLDEPQDSPRRKQAGVYASYKHGPPGRDVQIILLDTRYHRDPLGSDGTILGNSQWSWLERELKGSSAAITIIGSSIQVISNLSATVQPLFSMESWAHFPRERDRLFKLIADSKRGGVFFISGDVHFGEITRYDCAVDYPLYDVTSSGVTQSVEGVVPPFLHFLVRFVAWLTPSTMRVKSPNCRHKSCVYGQPNFGTIEIDWDSHPVTLKLKVRDKNGVAVTSINTSLVELQASNSEDSVKVGHDRRHCNLEVSLPWIIRYRLAILVSIILVGEPIQSGDLIYEISYAGVEAPPATFLHDLCEESSCPVPVGHFLLTHTELLPTVTPPGTYNVKLTFKNQNDKQLSCIIFPFKIGAGSSVSAI >OIW02429 pep chromosome:LupAngTanjil_v1.0:LG11:3971449:3975860:1 gene:TanjilG_05022 transcript:OIW02429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGDQNSGGELSMFRKLKPYLAMVSLQFGYAGMYIITMVSFKHGMSHWVLSVYRHVVATLIMAPFALVLERKIRPKMTLPIFLRLAALGFLEPVLDQNLYNMGMKYTSTTFASATINVLPAITFMMALVFRLETVNLKKIHSLAKVIGTAVTVSGAMVMTLYKGPEFQIVKGHGGSQHNSASTDASSQHWVLGTVMLIASCGGWASFFILQGAIATLIFERDMSVWSIGFDSRLLACVYSGVVCSGMAYYIQGVVTRERGPVFVTSFSPLCMIITAALGSIVLAEQIHLGSIIGAIIIVTGLYTVVWGKSKDSLNTNAGKSEGQELPIKDGTRSGPNLFESIEVNVPVQMKGEGKSVLPAARS >OIW02577 pep chromosome:LupAngTanjil_v1.0:LG11:2845162:2847270:-1 gene:TanjilG_24028 transcript:OIW02577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSGTNSKTSEFGLVMEDEYGRQEELHHKISALLEFSAADDLVGFKDVVEKEDHDIDGVGLWYGRRVGSNKVGYEARTPLMIASMFGSQGVLTYILRTGRVDVNRVTGSDGATALHCAVAGDSPASLEVVKLLLDASADVNAVDANGNRPRDLIVSVANSIFNSRKRILQALLEGAYGTDQACLTFEEIVGQIEEQQRQDANTPRASKDETEKKGYPVDLSLPDIKNGMYSTDEFRMYTFKVKPCSRAYPHDWTECPFVHPGENARRRDPRKYPYSCVPCPEFRMGSCSKGDACEYAHGIFECWLHPAQYRTRLCKDESACTRRVCFFAHKLEELRPLYASTGSAIPSLRSYSSTTSLEMGSVRPIALSSPSVITPPSSTPPLSPCGASSPIVGAMWQTQHNVAAPTLQLPKSRLKTALTARDINLDIKLIEVENHRVRQQLMMDEISSLSAPSNWKNSMPNSPSFPVSFGDHAGQLNRLSEVKPTNLDDIFGSIDPPILPKFHGMSLDGAGSPLQSPTGIQMHQNVTQQPQCYSNVIGSPPFRGDQSGAVASVSMNPRTAASSKWSRSFIECSVVNRHSEFPSPFTPEAAKHTFSDWGSPDGKLDWAINGEGLNKLRKYASFGFQSSITPLTIATTTLAENIDEPDVSWVHSLVKDDPLLESDQFSAEDQQQQLQYHLHNGRNAVPTWFEQMYMEQEQIVA >OIW02323 pep chromosome:LupAngTanjil_v1.0:LG11:5564302:5566737:-1 gene:TanjilG_11217 transcript:OIW02323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTMENLEEYTNKVIEEFEAISKDAERVQRETLKSILEDSALAEYLQSLGLNGKTDPESFKAIIPLVTHDDLEPYIKRIFDGDNSPILTGKPITAMSLSSGTTQGKSKYIPWNDTYMESTVQIFHTSFSFRNREFPIKNGKALSFNYSSKQSQTKGGIKTGSATSHLFRHKGYTQAKAAINSQICSPKEVIFGTDFQQSLYCHLLSGLIFRDQVQFVSSPFAHSIVQAFRTFEQVWEELCNDIREGVLNSKVTDPLIRTAMYKILKPDPELANLIHEICTCLSNWYGVIQELFPNAKYVVAVMTGTMETYLKRLRHHAGEMPLVTSDYGSSEGITYVNPKPLGLTEVKAGEEYELVMTTRTGLYRYRLGDVIKVTGFYNSIPELKFIRRSNILLTVNIDKNTENDLYLSVVAASKFDETSEEVLGKCCNCLDKSFVDPAYAYSRKVNHIGPIELGVVKRGTFQKILEHYAGGGASVSKFKIPRCVPPTNSKLLQILNENVGKNYVSTAFN >OIW02850 pep chromosome:LupAngTanjil_v1.0:LG11:639341:641234:-1 gene:TanjilG_29626 transcript:OIW02850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFEFERRRVTKEEIRELIFREILEYHPQLLKDYLNGTERTNFLYPSAVDQFKNQFAHLEENGGKSSPVMPLERKHASLPRSTIVHSNMALPKQQSNIASSKNRQTAEEYNNIPRDAENPVARSIQGMQRIPLVKPGKVVGPVVPYEYASVVKDSYDPRTFIRGSVLPSRPIPPTYYYQRSSSGNQEEPAPIEADKGVSLQANHAQQQCGVNARIATDVAINIDTNPFFMTRAGVNKIERDDRIAIDTNLLQPKAQYGGISAGAGAGVTAHRKVGPVQYGVTTRMF >OIW02762 pep chromosome:LupAngTanjil_v1.0:LG11:1275943:1277888:1 gene:TanjilG_29538 transcript:OIW02762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKLFILFLFLTLITLSHAGSIGINYGRIANNLPSAVKVVQLLKAHGLTRVKVYDTDPSVLHALSGSGIKVTVDLPNQQLFAAAKAPSFANSWVQQNVLAYHPHTQIESIAVGNEVFVDPHNTTKFLVPAMKNIYQALIKHNLHNDIKVSSPIALSALQNSYPSSSGSFRPELVEPVFKPMLDFIRQTGSYLMVNVYPFFAYESNADVIPLEYAVFRDNAGVVDPGNGLRYFNLFDAQIDAVFAALDGLKYNDVKIVVTETGWPSKGDNNEVGASVDNAAAYNGNLVRKILTNGGTPLRPNADLTVYLFALFNENQKPGPTSERNFGMFYPDERKVYDIPFTTEGLKDYHDHPSPASGGQRQEAPPSPVVPGVSKSTTGNTWCVANPDADKEKLQAALDFACGEGGADCRSIQKGATCYDPNTVVAHASFAFNSYYQKKARAGGSCYFGGTSYVVTQQPKYGTCEFPTGDNES >OIW02182 pep chromosome:LupAngTanjil_v1.0:LG11:7543889:7547108:1 gene:TanjilG_02406 transcript:OIW02182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLVESKGGAIVCMLLALFFLGTWPALLTLLERRGRLPQHTYLDYSITNLLAAVIIAFAFGEIGKSTTDEPNFLAQLAQDNWPSVLFAMAGGVVLSLGNLSTQYAWAFVGLSVTEVITASITVVIGTTLNYFLDDKINKAEILFPGVGCFLVAVCLGSAVHSSNNADNKAKLDNFSSDYIDGALYTLQEKNADQVKPKDLESGSNSGDKVKAGTADFLIELEKKRAIKVFGKSTFIGLAITFFAGVCFSLFSPAFNLATNDQWHTLKKGVPHLSVYTAFFYFSVSCFVIAIILNITFLYHPVLNLPKSSLKAYLRDWDGRSWALLAGLLCGFGNGLQFMGGQAAGYAAADAVQALPLVSTFWGIVLFGEYRKSSRRTYVLLGSMLLMFIVAVGVLMASSGHRKQ >OIW02910 pep chromosome:LupAngTanjil_v1.0:LG11:250311:251767:-1 gene:TanjilG_29686 transcript:OIW02910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLKIGEVSTIVVSSPEVAKEVLQTNDLNFADRPHQLGADIMCYGSTDIATSPYGGYWKQLRKICTIELLGATRVRSFQSIREEEVSKLITYISANTGSSINLSNKVAAMTSSITSRASFGVKYKDQDAFISLMRKLVTLAEGFIIMDLFPSQKWLHIISGMKPKLEKMHKQFDMIFENIIAEVEKTGGEVETESLLSVLLNIKDHQDLGFPLTMNNIKAVILDMFVAGSETTSAVIDWTMSEILKNPRVMIKAQEEVRKVFGSKGYSDETSLEDLKYLKAVIKETLRLHPPTPLLLPRECRETCDLKGYTIPVGTKVIVNAWAIGRDPEYWSEPEKFVPERFIDHPIDYKGFNMEYIPFGAGRRSCPGISFALPSIELCLAQLLYYYNWDLPSGGIMENIQMTEALGSSSRRASNLILVPISHNHV >OIW02392 pep chromosome:LupAngTanjil_v1.0:LG11:3612208:3613284:1 gene:TanjilG_04985 transcript:OIW02392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKMDFLNSSTQLESDPFRGELMEVLAPFMKSSSTTPTPSLPSPPSSISYLPSTSYSSTPSPTYFNSSSSLPIQPNFYTESCPTMMTHLFDTGSSNSQNFIGFEQPTSVLGLNNLTTSQINQIQAQIVFQAQQNNNNNIINSNSNTLSFLCPKPVPMKQVGLPPKPTKLYRGVRQRHWGKWVAEIRLPKNRSRLWLGTFDTAEEAALAYDKAAYKLRGDSARLNFPNLKHQGSCVGGAFGEYKPLHSSVYAKLDAICDDLQKQGKPEKPVRSSKKPKVSSKEEAQPQLENNNNNNSNNNCKVETLVSPVVTDSEGSSGSSPLSDFTFDEFTEPQWETPSEHFNLMKFPSYEIDWDSL >OIW02751 pep chromosome:LupAngTanjil_v1.0:LG11:1351639:1354740:-1 gene:TanjilG_29527 transcript:OIW02751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNWYYSYSTWLVPFKISDRVSAQVQIEGMEVGLTLGLENKEGSLNLKLKDCGSHVEDISIKLDGGASWLYQGVVDAFEEQIVSAVENAITKKLKEGISRLDSYLRNLPKEVPVDEHASLNVTFVNDVLLSDSSVGFETNGLFIESASNPIPNLGHTNSILPVLCTNSSKMLGITLDQAVFNSASALYYDAKFMHWIVDQVPDQSLLNTAGWRFIIPQLYKKYPNHDMNLNVSLSSPPVVEISNQKVGAHILADLTIDVLEDDEVIPVACISLLIQASGSVNVNGNNLVGAVRLDDFAMSLEWTNIGNLRMYLIQPVMWTLIETVFLPYVNAHLSKGIPMPIIHGFTLQNAEIILSTSRVTVCSDVAFGESEKLL >OIW01191 pep chromosome:LupAngTanjil_v1.0:LG11:33330232:33331374:1 gene:TanjilG_10352 transcript:OIW01191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKGKGAARKSKEVLKPVDDRQVGKRKAASKPEKRIVQKSKKAKKDPNKPKRPPSAFFVFLEEFRKTFKAENPNVKAVSAVGKAGGEKWKSLTKAEKAPYEAKAAKRKVEYEKLMNAYNKKQASSANDEDDDESDKSNSEVNDEEDGSSREVASLHSSFSF >OIW02043 pep chromosome:LupAngTanjil_v1.0:LG11:9655880:9656878:1 gene:TanjilG_21092 transcript:OIW02043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIYCRSEVDRSVSKDSIIIVDSLNNIKGYRYELWCLARASGIRYCVVYCDVEETHCRKWNEDRREKGETNYNDAIFDDLVRRFEKPDRRNRWDSPLFELWPYRDATDKSSAAILDAVSYLTKKVDSKTRDVKILQPTIATQTSRFTEANSLFELDKATQEVTNVVVEAQSHALGGPLNGISVGKDLPTINISRSVGLPELRRMRRTFIKLTGQTSLSGPAPPSDTESAKRMFIDYLNRELGSS >OIW02834 pep chromosome:LupAngTanjil_v1.0:LG11:792716:794331:1 gene:TanjilG_29610 transcript:OIW02834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGDGATLSEIYQRAKKLLMRTRDGLERVEHGELSFSDSVYNDISQIQSLYVQMDRLWRSIPTKSQRDLWKRKVEQVAEEAESLKESLDKYNFRNQKRMTEAKERAELLRRVNGDSSHVLRIFDEEAQAMQSVRTSAHELENANAIGEAILSTIHGQRDRLKSAHRKALDVLNTVGISNSVLRLIERRNRVDQWIKYAGMLLTIIFVFAFVLWRR >OIW01274 pep chromosome:LupAngTanjil_v1.0:LG11:34561246:34564856:1 gene:TanjilG_10435 transcript:OIW01274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWAASCLASCCAACACDACRTVVSGISRRSARIAYCGLFALSLVVSWILREIAAPLMESIPWINNFKHTPSREWFETDAVLRVSFGNFLFFTILAVLMIGVKNQKDPRDGLHHGGWMMKVICWCVLVIFMFFLPNEIISFYETISKFGSGMFLLVQVVLLLDFVHGWNDKWVGYDEQFWYIALFVVSLVCYVASFVFSGLLFHFFTPSGQDCGLNTFFITMALILGILFAIVALHPAVNGSILPASVISVYCTYLCYSALSSEPRDYECNGLHKHSKAVSTGTLTLGLCTTVLSVVYSAVRAGSSATVLSPPSSPRAGKPLLPLDGKDVEENEKAKPVSYSYAFFHLIFSLASMYSAMLLTGWSTSVGESGKLVDVGWPSVWVRIVTCWATALLYLWSLVAPVLFPDREF >OIW02544 pep chromosome:LupAngTanjil_v1.0:LG11:3236900:3237757:1 gene:TanjilG_12858 transcript:OIW02544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKFETHDPFSKFLQQQQSQSHPNPFQLSRECETSEDDDSRSSGGPTPVTTQKPASFSASGGDTIEASRKPRGRPPGSKNKPKPPIIITQEPEPTMSPYILEVPGGSDVVEALTRFTIRKNTGLCVLTGNGTVANVTLRQPSSVAPGTTVTFRGCFDILSLSATILPHSSPVIPKAFSISLAGSQGQVVGGFVAGRLLAAGTVFVIATSFNNPSYHRLQSEEDSRNHNSVSGGGDVQSPPLSSGEGGRDSGHERHVVGESMYSSHLPSDVIWAPTARPPPPPPF >OIW01535 pep chromosome:LupAngTanjil_v1.0:LG11:28261842:28263193:-1 gene:TanjilG_19461 transcript:OIW01535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAKHDRTMKRIFLMINCILLATGATGGPLIIRLYFIHGGNSVWLSSFLQAAGFPIILIPLFISYIIRHRQITSIPHSSTLKMVTMKLTLFLYSTIIGVIVGLDNYLYSYGVSRLPVSTAALIVASQLAFTAIFAFFLVKQKFTAYSMNAVVLLTLGAGVLALHTNADRPTGESSKTYVMGFVLTLVAAVLYGFILPLVEFSYSKGKQAITYTLVLEIQLVMCFFASLFCLIGMIINKDFQSISREKNHYGLGEAAYYAVLVGSAIIWQINFLGAVGVIFCSSSLLCGVVTALMVPITQVLAVIFYKEKFNVEKGVSLVLSIWGFVSYFYGEFKQAKKMKMKPTLANELPQNQSITNP >OIW01241 pep chromosome:LupAngTanjil_v1.0:LG11:34141574:34144102:1 gene:TanjilG_10402 transcript:OIW01241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGIFLLYIASLLSLFGATSARIPGVYTAGAWDSAHATFYGGSDASGTMGGACGYGNLYSQGYGVSTAALSTALFNNGLSCGACFEIKCDQDPRWCNPGNPSILITATNFCPPNYALPSDNGGWCNPPRQHFDLAMPMFLKIAQYKAGIVPVSFRRVPCIKAGGVRFTINGHRYFNLVLITNVAGAGDIVRVSVKGTNTAWSSMSRNWGQNWQSNVVLVGQALSFRITGGDRRTSTSVNVAPANWQFGQTFMGKNFRV >OIW02919 pep chromosome:LupAngTanjil_v1.0:LG11:205737:209588:-1 gene:TanjilG_29695 transcript:OIW02919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGVIDESNEINDSPVEQVRLTVPITDDPTVPALTFRTWFLGIGSCILLSFVNQFFGFRTNPLYLSSVSAQIVTLPLGKLMAATLPSRRFQLPLTEWSFTLNPGPFSLKEHALITIFASSGSSGVYAINIITIVKAFYHRDIHPAAGYMLALSTQMLGYGWAGIFRKFLVDSPYMWWPSNLVQVSLFRAFHEKERRPKGGTTRLQFFFMVFVSSFAYYIIPGYFFQTITTISSVCLIWKKSITAHQVGSGLYGLGIGSFSLDWNTVSGFLGSPLAVPGFAIINILAGFVMLIYVVIPLCYWNNVYDAKKFPLISSNTFDSTGARYNVTRILNKNTFDIDMDSYNKYSKLHLSILFALEYGLIFATLAATLSHVALFHGKTIWRLWKKTATSVKGEVGGDVHTRIMKKNYEQVPEWWFVSILVVMIIMSLAACEGFGKQLQLPWWGFLLSLAIALVFTLPVGVIQATTNTQIGLNVITELIIGYIYPGRPLANVAFKTYGYISMSQALGFLGDFKLGHYMKIPPKSMFVTQLVGTLVASSVYFGTAWWLLASIKNICDETLLPEGSPWTCPSDVVFYNASIIWGVVGPKRMFTKDGSYPELNWFFLFGLLAPFIVWLLLRKFPNQRWIEAINIPIILTGAGGIPPIRSVNYIMWGVVGIFFNFYVYRKFKVWWARHTYILSAGLDAGIAFTGILLYFALQNYDVYGPQWWGLDADDHCPLAKCPTAPGVVARGCPVL >OIW01138 pep chromosome:LupAngTanjil_v1.0:LG11:35925050:35926983:1 gene:TanjilG_25246 transcript:OIW01138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLFLYHVIGDLTVGKPELVEFHDSETVESAIQAIGESPEGSIPIWKKKTQVGVIENSDLRQQRFVGILNSFDLVAFLAKNECLEDQDKALKTPVSEVVVPNNSLLGLVDPGTRLIDALDMMKNGVKRLLVPKSVVWKGMSKRFSMVYHGKWHRNGETPSSGNNNLPSNMNLDASSSTNIIREKFCCLSREDVLRFIIGCLGALAPLPLTSIASLGVINSSYSHIDSSTPAIEATTKLPQDPIAVAIIESTPDGQCKIIGEISAFKLWKCDHLNAAWALANLSAGQFVMGVEDNVTSRSLPELSINSPTGDNNLVNGRSSRKPRIFSSRSIGFFSNSGSSSFGSRSMYRGRSAPLTCKITSTLAAVMAQMLSHRATHVWVTEDESDDVIVGVVGYADILVAVTKPPTAFIPANRAPGGFLNEIQN >OIW02200 pep chromosome:LupAngTanjil_v1.0:LG11:7238042:7238983:1 gene:TanjilG_21853 transcript:OIW02200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIIGLIDPEMNHKKSFHRRNDSSELDVFEAERYFSGYNEAISYNSSSFRQKIMTEERHANRARISLDMPMRSLLPQQFHGMEKQVVMKEKKHKQPSSPGGRLASFLNSLFSQSASKKKKSKSSQSMKDEDDENPSGRRRRRSSISLFRSSSNADAKSLYSSLSSGFRTPPHVQTPTKSCKEFRIFSDHKHAVSLSKHNGLVKPKTTLHNELKREPTLLDENYKHGRLSLEKQKNCGNELAEKDRIWLDKYSAEDKGFKKFNVVDDGAESDSSSDLFELQNCDLGYCSSGLPVYETTNMDNIKRGTPISNGPM >OIW01455 pep chromosome:LupAngTanjil_v1.0:LG11:29717699:29719809:-1 gene:TanjilG_30929 transcript:OIW01455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKRGKKVKEDKELEVISIRTMAQETLGDFGGTLATTAYVFLGYSSMVAYSSKSGEILFNLINFPAAVSGSLFTAAFTILVFIGGTRAVDQVNQYLTSSMIGLLLAIEVMAVVFGGWSGVGGNSDWGKVPPTIPVIIFSLVYHDLAPVLCAYLEGDLHRIKASIFLGSLVPLVALVFWDAIALGLAAEADQVIDPVELLYRANWSGVSLMVGTFSLLAVGTSLIGTLLGFSEFFKEQLRNLTWDTLSTQKGNWWGRNKMNTMAVTMVVVPSLVVSTTFPNAFSAATDIAGGYCMTVLYGVLPPAMAWAMHNREPELSDKKQLSNAMPALIVVGLFACSIVVEQILQDILALYS >OIW02177 pep chromosome:LupAngTanjil_v1.0:LG11:7592888:7594574:1 gene:TanjilG_02401 transcript:OIW02177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGKVKEEEQDGMSVHSPCKLPLSSDSSPPKDLAEVELELKLLQAFEIYPPNKLQGVHRYFVIFGLMEYLKRSFDRPFSSEEVLQLLDRFYNIEMLKSDDDEIDILNHEEEFSLPPSFFMAEES >OIW01627 pep chromosome:LupAngTanjil_v1.0:LG11:21842317:21843414:-1 gene:TanjilG_14626 transcript:OIW01627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNQTTLVHVNEDYIDMELITSSSPNFFSFSTLSPSQNRDFEFQMTSFSNEKDSKTSPADDLFYKGKLLPLHLPPRLQMVQNLLENSNGTFEYVKSDSPFKKNTFPFTKNTFIPIESCNISTSESCRVNSEVAPDEYLFGWSSSSSFEKSWPKKLKQIKQFWLGQRLKASKTYLKSMFTKSGCSNKSCAIAAANNVGVVKKPKCKECQKKYLNVKKNPFELFNDDDDNNKHPISYAVMKTIDKEMNEDDFITHRKSFSGVVQRHCAKKSSSLSTSSSGSSSSSSSFSFSSAGYYDLHLFKRSISANSDLEDSVEGAIAHCKKSQMKQCSSKNNAPDGIRICSQFASKIAVCGNQEMSSLASTRG >OIW01907 pep chromosome:LupAngTanjil_v1.0:LG11:13966722:13967126:1 gene:TanjilG_15232 transcript:OIW01907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEMNCSSEWSWEQDKAFESALVTHPEDAPDRWEKIAANVPGKTVEEIKQHYELLVEDINQIESGCVPLPSYNSSPEGSASHASDEGAGKKSGHHNSDSNHGTKASRSDQERRKGIAWTEEEHRQVYYYLRIYC >OIW02301 pep chromosome:LupAngTanjil_v1.0:LG11:5327409:5329095:-1 gene:TanjilG_11195 transcript:OIW02301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGGDEAEMSNIPRLSLFKFNPSSIHSPERSGMQTPPSRTSVSVPFRWEEEPGKPKPCTDLVCFSNKPTTPKCLELPPRLLISDANNTKQLLPSPTTVLEGLFVDDDWNGSFAAERAQLGTTVITNGVVGNKEKGWFGSWREKVFKVNREVSGGVDKDADNIGNIIGGSHKRLRITKVKLSISSSNISHAKSCVWISQYFGFSNTNKWYRSHWCNLNEYCGGRARSKMEARVSSLKQTSNLSEGNHKGDIDQCRIEASSSMEE >OIW01280 pep chromosome:LupAngTanjil_v1.0:LG11:34627128:34627565:-1 gene:TanjilG_10441 transcript:OIW01280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKTRERFNFCVERGNQREKDRERAQARNAKGKLKDDGLTPEQRRERDAKALQEKTAKKAAQAAGGSNASGGGSKK >OIW02782 pep chromosome:LupAngTanjil_v1.0:LG11:1124286:1125656:-1 gene:TanjilG_29558 transcript:OIW02782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATISSFSTSLFTKTKSSTSKSKPHFQSLTITSASKPSNPSIAGRKLRVAVIGGGPAGSSAAEALALGGIETFIFERNPPSVAKPCGGAIPLCMLDEFDIPRDLIDRQVTSMRIFSPSNIAVDFGKTLKSHEFIAMLRREVLDSFLRSRAISAGASLISGLVTAVDIPFSPTSPYTIHYTAENNSSRRSLAVDVVIGADGANSRVAKSIDAGSYSCAIAFQERIKLPDDKMSYYENLAEMYVGNDVSPDFYAWVFPKCDHVAVGTGTVRSKHDIKLYQKGIRERVGSKINGGKVIKVEAHPIPEHPRPIRVKGRVALVGDAAGYVTKCSGEGIYFAAKSGRVCGNGVVKASEGGDKMIGECDLRREYLKEWDAKYVNTFRFLDVLQRVFYGSNAGREALVELCGDEYVQRMTFESYLYKKLAHGRVCDDVKLLMNTIGTLVKCKVIHTQMEGLIL >OIW02864 pep chromosome:LupAngTanjil_v1.0:LG11:530222:531055:1 gene:TanjilG_29640 transcript:OIW02864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMSKSSKMLQFINYRMRVTIQDGRQLVGKFMAFDRHMNLVLGDCEEFRKLPPAKGKKPAEEREDRRTLGLVLLRGEEVISMTVEGPPPPEESRAKAVNSAALGGPGLGRAAGRGIPAAPVIQAQPGLAGPVRGVGGPAPGMMQPQISRPPQLSAPPVSYPPGAPVMRPPGQMPGYPGGPPPQMRGPPPVMPPGQYAPRPGGPPQPYPGPPPQFGQRPMGPPPPGQMMRGPPGPPRPGMGGPPPRPGMPPPPGGVPVYGPPRPGMPPPPNPQNQQQQ >OIW02443 pep chromosome:LupAngTanjil_v1.0:LG11:4085519:4088040:-1 gene:TanjilG_05036 transcript:OIW02443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNSVHNRDAKFTRTYSSYSCPSQRDVCYSCGTCGYELNLSSSNRNTSSIGSKYGKFIKRGIMSFFNIDDSRFTQVDKIQCLPYFTKHSWGLFRRRTKLLCRKCGNYIGNAYNGYTESFTLVSDGEGSSPSTKASSHTKYNIRIRALQPSSSKESGTPVFVGNAW >OIW01358 pep chromosome:LupAngTanjil_v1.0:LG11:32510136:32511624:1 gene:TanjilG_12898 transcript:OIW01358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSIGDYYSFIPCAPGVSDKSAKVFVAGHRGLVGSAIVEKLKQHGFTNLILRSHAELDLTRQADVEAFFASEKPEFVIVAAAKVGGIHANNTYPADFIGINLQIQTNIIDSAYRNGTKKLLFLGSSCIYPKFAPQPIPEDALLTGTLEPTNEWYAIAKIAGIKMCQAYRIQYKWDAISGMPTNLYGPNDNFHPENSHVLPALMRRFHEAKVKGLKEVVVWGTGSPLREFLHVDDLADAVVFMMEKYSGLEHLNVGSGKEVTIKELAELVKEVVGFEGDLIWDTTKPDGTPRKLMDSSKLAGLGWTPKISLKDGLVDTYKWYSENVKQ >OIW01621 pep chromosome:LupAngTanjil_v1.0:LG11:22532681:22534847:-1 gene:TanjilG_22665 transcript:OIW01621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIVIWLTVIRSGTSEAPERKSFANPRTARRLKLPSSDFGSISSPNPASKTPRVRTPKVIESKSSPRSIIPEKKRPCRVQELESQLAQLQEDLKRAKDQLNSSESWKNKAQQEAGEAKKRLLAISKDLEESQQQLIELSSSEEERLQELCKISQDRDLSWQSELDAVQKQHAMDSAGLVSATNEIQKLKIQLERVRESEATQINNAESAYNEIQDLRVELDVTLSLVEKLKIEVRDCDDSESRSLEVHGKTQMQLETANKIVEMLELEAMKASEVYKSLALELEQSRALIKSLEELASKLQANMVSGANQDSSGPTDETGLPLDNMENEEINQLKSELVSAKSEVGQLKSALEVAEGRYQQECIQSTIQIRSAYEQLDHTKSESSQRQAEFYEELKRAAANIEELKAALVYKESQLQGVSEENEGLKSRIKQISHHTEREYELLVEQKELHDDIADLNARLLDRETELQNLTEENNSLKMEIKKQELEKNKISDKAVASADAARAAEYEALMKLGYTTEEADKSNRRLAQVTEQLDAAQAVNYELEAELRRLKVQSDQWRKAAEAAAAMLSTGNNVKLVEKTGSLDSSYNDNYNYINGKIISPDSEVDESPKKKNTNMLKKIGVLWKKNH >OIW01427 pep chromosome:LupAngTanjil_v1.0:LG11:30770891:30773077:1 gene:TanjilG_25723 transcript:OIW01427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTEAEHREEEDAPAGEDEDTGAQVAPIVKLEEVTVSTGEEEEDPILDLKAKLYRFDKDGNQWKERGAGTVKFLKHKVTGKVRLLMRQSKTLKICANHLLVPAMTVQEHAGNEKSCVWHARDFADGELKDEFFCIRFASIENSKKFMETFQEVAESQNQEENKDASAAASLLENLSVEGKTDAEKKDEEKPESKTVSEESSSGKENTNTEKKTEEPASSA >OIW02421 pep chromosome:LupAngTanjil_v1.0:LG11:3879261:3884527:1 gene:TanjilG_05014 transcript:OIW02421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSAFEGSMSKRFDYEEKDTKKKRRSNRRSKQNHVPSTTASEVSKNNGLSLECSEKNGTPTHNTTAAALRSSTNQEGVDVTSLDEQGLSRSSDVAFVSMPQMHINEQVEYLGLQDSEVMQMHRGGTGSKSFSEPTVCRGSSGTNTNKKKESVPCGQIGHYGQRTYFSPHWSMDAVEKAIEKGDVFKAPFYVNAHNRLEAYCRIDGMAMDILINGFPVQNRAVEGDIVAVKIDPLSMWTKIKGPSVTCKNTAQPEVCNFITEDDKVADNFCNGKGKLDAEHESPHYRSSPGQNEEDVDHKSIPSRISHSPEKRYDYENNGLSNNFKPHGMTRLDTVDGLLCPAFDSLKISCCNEKSAVTNAVEELSLLANSFPSKRPTGRVVAIIERSARRDRIVGHLNSKNCSASTAISKKDSRKNKNMVSEQEYIQLIPTDPKLPNMTCFVNELPKCIKKRVKSGDVTIDMDLVAAQIDDWVEESFFPKAHILHIFGRGSEVQPQLDSILFQNAICLAEFAPEALSCLPCLPWDVPLMEIKSRIDLRNLCIFTIDPSTATDVDDALSIEKLPNGNYRVGIHIADVSYHVLPDTALDSEAQLRSTSVYMLQRKLPMLPPLLSENIGSLNPGVDRLAVSILLDMNHAGKVVDRWVGRSVIQSSCKLSYEHAQEIINRATGSNIVAKDYPKVYGRFELPDIITSVKSLYEISIVLKHKRFTDGALRLDNPKIVFLLDEYGIPYDSVFSEQKESNFLVEEFMLLANTTAAEIIFRAYPDCALLRRHPEPNMRKLRDFMAFCQKHGLTLKISSSGQIHCSLEQIREKLKGDPVLYDIVISYATRSMQLASYFCSGDLKDKDHECGHYSLAVPFYTHFTSPLRRYPDIVVHRTLLAVIEAEESYFKHQNALQVNKDVEVHKRCFTGINFDKNAAESMEGREALSAAALKHRIPCAEVLADVAAYCNQRKLASRYVKDACDKVYMWFLLKKKEVLLSEARVLGLGPKFMSIYIQRLAIERRIYYDEVEGLTVEWFEKTSTLVLSLSATNRRAFRRGGSNKWRAFDEVSLLTCPYNLEAAMDESIIDGELGSRSVNSEPKVNPAFFPLTVRILSTVPVALHAIGGDDGHPDIGVRLYMSSYIGEVDH >OIW02493 pep chromosome:LupAngTanjil_v1.0:LG11:4628415:4630775:-1 gene:TanjilG_05086 transcript:OIW02493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLIILIFFFIATISPLPTSSLDSKTKTFIIQVQHEAKPSVFLTHKHWYQSSLSSIITQGLDTNPRDIIHTYDTVFHGFSVKLTPLEAQKLQSLPHVITIIPEQVRHLHTTRSPHFLGLKTADRTGLLHETDFGSDLVIGVIDTGIWPERQSFNDRDLGPVPAKWKGECVAGRGFLASSCNRKLIGARYFYGGYEASDGKMNDTIEYRSPRDSDGHGTHTASIAAGRYVSPASTLGYAEGTAAGMAPKARLAVYKVCWNGGCYDSDILAAFDAAVSDGVDVASLSVGGVVVPYYLDVIAIGAYGATNAGVFVSASAGNGGPGGLTVTNVAPWVTTVGAGTIDRDFPADVRLGNGKVISGVSIYGGPGLSPGRMYPIVYAGIEEGGGDGYSSSLCLEGSLDPKFVRGKIVVCDRGINSRAAKGEVVKKSGGVAMILANGVFDGEGLVADCHVLPATAVGARGGDEIRRYISLAAKSKSGSVPTATIVFKGTRLGVRPAPVVASFSARGPNPESPEILKPDIIAPGLNILAAWPDRIGPSGIPSDGRRTEFNILSGTSMACPHVSGLAALLKAAHPDWSPAAIRSALMTTAYTVDNRGHHMLDESTGNVSSVFDYGAGHVHPEKALDPGLIYDISSFDYVDFLCNSNYTTKNIQVVTRRKVVDCGGAKKAGHSGNLNYPSLSAVFQQYGKHKMSTHFIRTVTNVGDPNSVYKVTIKPPAGMVVTVQPETLSFRRVGQKLNFLVRVQVREIKLSPGSSYVKSGSIVWSDGKHNVTSPLVVTMQQPLDD >OIW02193 pep chromosome:LupAngTanjil_v1.0:LG11:7388174:7390832:-1 gene:TanjilG_02417 transcript:OIW02193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPEVNRYILMNEAKGLVPGYPESMKNILGINVAEVHGAVHKRIRGSLLSLVGPVALRDQVLPKMDKFMRSFLHNWDGKTIDMQQKSMEMAFFVALELVVENEPRSFHQSFDALFQNIYKGTISLPFKIPGSNYYQGLKAREKVDVILKMLLAKRKASSVTYDDNLQQLLENDSNYKLDEEEVIEQIITILYSGYETVSTTTMMAVKYLHDHPKALQAIREEHFNIKQNKRPEELISWDEYKKMNFTRAEKGLESHNYNMLFGAGTRICPGKEQGICKISLFLHSFVTNYRWEVVEDKLLTFPRVIAPNGLHIKVTKY >OIW01986 pep chromosome:LupAngTanjil_v1.0:LG11:11303183:11309625:-1 gene:TanjilG_14017 transcript:OIW01986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHNPRNVSSAALVGDIDTSAPTTIPLVNSVSRFGEVKRVTKETNVAVRINLDGSGVADSSTGIPFLDHMLDQIASHGVFDVHVKATGDIHIDDHHTNEDVALAIGTALLQALGDRKGINRFGDFSAPLDEALVHVSLDLSGRPHLGYNLDIPTQRVGTYDTQLVEHFFQSLVNTSGMTLHIRQLAGTNSHHIIEATFKAFARALRQATEHDPRRLGTVPSYIGWMGVRKKETRFVTEDTTSDDKVEDLNEEVSASESGSESGSESEGDEDVKLPEPSKIAVNNRGALLDKLGDIIWPENVEWTHKLAIDIDQEQEVDVNDDLARELAFYTQALEGTKQAFDKIQSTGQPFLRPPDYYAEMVKTDTHMVKVKGRLLAEKRKMEEADERRKARESKKLAKEIQAQKTKERAKQKKEDIESVKQWRKQRQKSGFASGGNDAEFNFEDGKVFERSKKKRPGVLPGDRSGGKARQGFSKGKKPNKRESRDSKFGFGGRKGLKKQNTADTTYGINKGSAQGNKKRKR >OIW02365 pep chromosome:LupAngTanjil_v1.0:LG11:4852428:4854926:-1 gene:TanjilG_08512 transcript:OIW02365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTVVVLTFVVVSFGVLVFLPFSYSLQVQGKLLQPSLIPNSCNEICGKLHVPFPFYVNTTCVSISSAFHLTCSNSSTLLIKFGSESYQVLEFFQDGLLVDFPGTSLCRQYNDLNSFGNNFSGKYHFGVSVDNVIGLYDCEDSSLCKADCETVDLPGCDGSSGGSLGCCYPLSDHSIWHVGQGFSVFSQFGCRGFSSWAVLRGSNSGKRGVKLEWAIPRNSSKKVCARNADMINATSIEDGVRCVCQDGYDGDGFTNGSGCLQSCIKNGQEAYGNDCYIKGHDQRKIVIIAGILGPVLIVASLVALLYLLKRPIKPEMPDTEQAYYHNVSIRKACRPRLFSHHELEEATSGFEESRKLMQGTNGTMFAGVLGDGSHVAIHKLKCENERDIIQVLAQIEVLSAVVHRNMACLIGCCIDSAYTPLVVYDYPANGTLEEHLHQSKGQRYGLDWYTRLSIATETACVVAFLHYENSPPIFHHNLKSNCIFLDDDFSVKIAGFCLVNSNFNHDSQLHKNRECFRLCKNDVYDMGVVLLEIISGSNRLDSPTLALQKIKDGKIEEIVDPLLCYHEQPYHCQEQILTIADLSTRCMLFGADGKLGMIDVARELVHITKENLDGGVMRGPALEETFSNSSLLQMISMSPDSMNVS >OIW02707 pep chromosome:LupAngTanjil_v1.0:LG11:1664951:1666081:-1 gene:TanjilG_29483 transcript:OIW02707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCIIFLFLTLSLSLLPTTTTTTTIGVTYSTPPPSSPSPPPPPDCISTAMTTLNLHSLRLDDPDPSVIRTFLYTNTTLFLTLPNYMVSQIATNRTIALAWLYSHVVPFYPRVNITTISVGNAFLDSYPEHFSDLLPAITNLHLSLRNDLGIRHIQISTTFSFVSAISSPFPPSSAVFQEPPGATNSFFGSLLQFLQETNSSFLINIYPYNLYRLRPEIPLGLALFQDHAFNFRDDLLTGVRYRNLFDMMVDAVVSAMAVEGYETIPIVVAETGWPSGSANGNEVDANPGYAEIYLEGLVKHLASRTGTPLLKDGVREVYVYEMFDKEAKEVRDWGLLYPNGTMKYDIDFSGTSSFRGVSATLFLLLVLWLYQLELIG >OIW02119 pep chromosome:LupAngTanjil_v1.0:LG11:8581246:8592381:-1 gene:TanjilG_26659 transcript:OIW02119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSSSTPSTLHLAMAALFGASFMALSAFYIHRRTVDQVLHRLIDIRRRRVNRQVDNQSSYNDDDDDGDRLGFDLDGGEAVTDLRRYPGSLDDNAVIRNSRSASSLPNVVVSSRTGWFEEEEEEEDEPRHRASSLDNLNFFPSGIPPLPTDGGNAHISSSYKGIASLGRTNNRRSPSLDAFESVEGSDEEGSHLEDDSCIPYGLNASHTNNQMYGEISKCQKDLAFVNTLLPQRNMTNDPTNIEEEEVCKMLRECLDLRKKYVYKENVAPWKAEPVAKNSDPFHFEPVEATAHHFRLEDGVFHVYASKNETEELFPVASSTTFFTDMHYILKVMSIGNVRSACHHRLRFLEEKFRLHLLLNADREFLAQKSAPHRDFYNIRKVDTHIHHSACMNQKHLVRFIRSKLREEPDEVVIFRDGKYMTLKEVFESLDLTGYDLNVDLMDVHADKSTFHRFDKFNLKYNPCGQSRLREIFLKQDNLIQGRFLAEITKQVLSDLETSKFQMAEYRISVYGRKQSEWDQLASWFVNNALHSSSAVWLIQLPRLYNIYKNMGTVTSFQNILDNVFIPLFEVTVDPNSHPQLHLFLMQVVGFDLVDDESKPERRPTKHMPTPAEWTNEFNPAYSYYLYYCYANLYTLNKLRESKGMTTIKLRPHCGEAGDNDHLAAAFLLCHNISHGIKLRKTPVLQYLYYLAQIGLCMSPLSNNFLFLDYHRNPMPMFFQRGLNVSLSSDDPLQIHLTKEPLLEEYSVAAKVWKLSACDLCEIARNSVYQSGFSHQAKLRWLGDKYFVRGSEGNNIQKSNVPNIRISFRYETWKDEMQYIYAGEATFPEEVDP >OIW02010 pep chromosome:LupAngTanjil_v1.0:LG11:10342160:10342765:1 gene:TanjilG_11603 transcript:OIW02010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSSFPSPPPTTYLTNIGFGYSIAIALGFLFLLSTLILSSYLCLRTIRNRNRNRNLNNNRNTNNDNNNNNNNNSDGVVLPRIIFVAEEDEENQNDDVATGLEQNVINSYPRFEYNKEVVYGVGHDATCSICLCEYKDSEMLRMMPECRHYFHLCCLDSWLKLNGSCPVCRNSPLPTPVSTPLQEVVPLAQYAADRRGRR >OIW02601 pep chromosome:LupAngTanjil_v1.0:LG11:2641973:2649298:-1 gene:TanjilG_24052 transcript:OIW02601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPSTLTPSSSYTHRSLLLSSPLLNPPLTQRRRFRVSYPRCSSSSDGDSTVSAPPPRPPKELKGIEVLVDKLSPPARLATSAVFLAGAVAAGYGLGSRFGGSQNAAIGGALALGAAGGAAAYALNAAAPQVAAVNLHNYVAGFDDPAKLKKEDIEAIANKYGVNKQDEAFKAEISDIYAEFVSRVLPPGGEELRGDEVDKIANFKNSLGIDDPDAAATHIEIGRRFFRQRLEVGDREADIEQRRAFQKLIYVSNLVFGEASSFLLPWKRVFKVTDSQVEVAIRDNAQRLYASKLKSVGRVIDEGQLVTLREAQRLCRLSDELAENLFKERARKLAEENISAALGTLKSRTRAVPGVNQAVEELDSLLALNNLLISFRNHSDVDRFARGVGPISLGGGEYDGDRKIEDLKLLYRAYVSDALSGGRMEDNKLAALNQLRNIFGLGKREAEAVSLDVTSKVYRKRLGQAVSSGELEVADSKAKFLQNLCDELHFDPQKASELHEEIYRQKLQHLVAGGELSDEDVAALLRLRVMLCIPQQTVEAVHSDICGSLFEKVVKEAIASGVDGYDADIKESVRKAAHGLRLTRETAMTIASKAVRKIFINYIKRARAAGNRTESAKELKKMIAFNTLVVTELVEDIKGESADVSTEEPVKEVDITQTVDEDWDSIQTLKKIRPDKELVARMGKTGQTEITLKDDLPERDRSDLYKTYLLFCLTGEVKRVPFGAQITTKKDDSEYVLLNQLGGILGLNGKEIVEVHRSLAEQAFRQQAEVILADGQLTKARVEQLNNLQKQVGLPQEYAQKIIKSITTTKMAAAIETAVTQGRLNIKQIRELKESNVDLDSMISGSLREMLFKKTVDGIFSSGTGEFDAEEVYEKIPADLNINKEKARIVVSDLAKSKLSNSLIQAVALLRVRNHAGVVSSLNDLLACDKAVPSEQLSWEVSEELADLYSIYLKSDPTPEKLSRLQYLLGINDSTAAALRERGDRLLNTAEEEEFVF >OIW01186 pep chromosome:LupAngTanjil_v1.0:LG11:33281599:33284849:-1 gene:TanjilG_10347 transcript:OIW01186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASCVLSECGLKPLPVMLTGAMPSSSKSSKIRFLNTNKGVTGLNFQKVRSFRERNWGLKVSVPLRVDSSESNNGVGVKLPEFDPAAPPPFNLADIRAAIPKHCWVKDPWKSMSYVVRDVAVVFGLAAAAAYLNNWFVWPLYWAAQGTMFWAIFVLGHDCGHGSFSNDHKLNSVAGHLLHSSILVPYNGWRISHRTHHQNHGHVENDESWHPLSEKIFRSLDSVTRSLRFTPPFPMLAYPVYLFSRSPGKTGSHFHPDSDLFVPNERNDIITSTACWSAMVAILVGLGFVMGPSQLFMLYGAPYWEWSYLRGGLTTLDRDYGWINNIHHDIGTHVIHHLFPQIPHYHLVEATEAAKPVLGKYYREPKKSSPIPFHLIGDLLRSLGKDHFVSDTGDVVYYQADPELSGASKSD >OIW01233 pep chromosome:LupAngTanjil_v1.0:LG11:34022814:34029430:-1 gene:TanjilG_10394 transcript:OIW01233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGNKAMEDANVEVEECKEKMVYMWGYLPGASTEKIPILSPTQVPLSLASDSWKDVCGGGCGFAMAISEKGKLITWGSADDEGQSYLTSGKHGVIPGPVQLPTEASVVKAAAGWAHCASVTEEGEVYSWGWKECVPSGKVIIDFTTGGSLQKDVAGKQSSPVAEQGSPQSSNTSSGSDSHHDNKKVGADVVKRRKISFARPESDSPASGDEFFTMSPSLATIGHGVKITSVAAGGRHTLALSDVGQVWGWGYGGEGQLGLGSRVKMVSSPHLIPCIESAAGKDRSSTFQQGSSVGAQVSKVPGSYVKEIACGGRHSAVVTDAGALLTFGWGLYGQCGQGNNADQLRPTLVPLLLGTGVEKIAAGLWHTLCVTEDGQIYAFGGNQFGQLGTGSDQTETSPRQLEASCFENKRSSIVSCGARHSALLTDDGHIFTWGWNKYGQLGLGDAIDRNIPCQVPIAGCRPRNVACGWWHTLLIVDKSV >OIW01771 pep chromosome:LupAngTanjil_v1.0:LG11:18365592:18367959:-1 gene:TanjilG_03909 transcript:OIW01771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSGLWVQKECHRKNDSLHSLCNDRELSDEDSSKSQFKKLIKRSNSHHAIVVNAIQSQISKVCFKDLFFRRVHGLDKRIPKHMVSVDEKYLRRCLEFVHNSALKATQCSIPSSLSATNEGILSESLIAAKFFGGSGRLVFECPVTTETGRVAISADTGEQWKLGTVMRSKSMINILNSALLQKFGVSDRNGNLNRLNFIDAKGPICYDFIDSSSDLSISSSYKETPLVQGHKYGSISVHKRFPSTSTINSTCSDWLSSPSSTLSQGMIQCTWEHGVPHFVFCADDQKEVYVSKLMKVDSTDDKSLDYVYQFRLSKRGQKGREIPDSGQLVGKMNVSTSFTFCPNKCRIIETQFTLFGNTEIYDGEIYATSHSHKKNKGLSKKMSQVFRTSPSLKHRTFSKFGGSSSTPESCPWEPHALAGTNFLDANVPPNFELATIVVKEHLPCKKTEKVGGWGLNFLNKPGVNQSTLPTESCSRNTGDCSTSTDIVIPAGLHGGPRTRNCGPSSLIDRWRSESQCDCGGWDEGCPLTVLQRRSSNAEVLSQVDRQAECKTVDIVTQGSSNFSPTLRMVNVRDGLYFIHFQLPLSALQSFSIAVAIIHAQSPCLRPNAAQKL >OIW02114 pep chromosome:LupAngTanjil_v1.0:LG11:8532378:8542894:1 gene:TanjilG_26654 transcript:OIW02114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVVGDVYLKHIRIDCKKSDKKMDIKNLCSSERMESELVEKIAKDVLEKLNRVYVGDLDQQIAKYEQLAQLQKQYFETIPNLENCHNHRATVKRITDLKMERNIRMLRLSPDMLSHLENSNNNNHFGF >OIW01991 pep chromosome:LupAngTanjil_v1.0:LG11:11396720:11398150:-1 gene:TanjilG_14022 transcript:OIW01991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRLALRRASSPANISRTILNPHWFVSGSASSPSLSIWRRKKEIGKEGLIVAKELKRLQSNPVRLDRFIQSQVSRLLKSDLVAVLAEFQRQDQVFLCMKLYNIVRKEIWYRPDMFFYRDMLMMLARNQRVEETKRVWRDLKGEEVLFDQHTFGDIIRAFLDGGLPSEAMEIYEEMRQSPDPPLSLPFRVMLKGLIPYPELREKVKDDFLEIFPNMIIYDPPEDLFENSEGDSDEDNEEDIIA >OIW01922 pep chromosome:LupAngTanjil_v1.0:LG11:14171805:14174215:1 gene:TanjilG_15247 transcript:OIW01922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPPSSSVNKPSKSLKQIPGNYGLPFFGPISDRHDYFYHQGQDKFFATRIKQYNSTVIRTNMPPGPFISSNSKVIALLDGASFPILFDNTKVEKRNVLDGTFMPSTNFTGGYRVCAYLDTTEPNHTIHKQFFINILVSKKETFVPLFRNTLSESFRELEDQLYGKNGEAKFNDVFGAGAFNFMFRLLCENKDPLDTKLGSEGPGLFDKWLLFQLAPLATLGLPKIFNYIEDFVIRTVPFPFWLAKSGYKKLYEVFSEEAKTLLDEAEKLGIERSEACHNLVFMAGFNAYGGLKNQFPVIIKWVGLAGENLQRELADEVRTVVKEEGGVTLNALEKMTLVKSVIYEAMRIEPAVPYQYAKAREDLVVQSHDASFEIKKGEMIFGYQPFATKDPRIFEDPEVFVARRFVGDGEKLLKHVVWSNGPETEEPSPENKQCPGKNLVVLLCRLFLVEFFLRYDTFEFEYKTVVLGPSVTIKSLTKASTY >OIW02110 pep chromosome:LupAngTanjil_v1.0:LG11:8473814:8479982:1 gene:TanjilG_26650 transcript:OIW02110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEERDTERGSSMDSTESRWVFQEDEDESEIDEFDADLPMVDSEDEENGEQRLVRTGPRIDSFDVEALEVPGAHRNDYEDINMGKRIVLIFQTLGVVFGDVGTSPLYTFSVMFRKAPINGNEDILGALSLVLYTLILIPLLKYVLVVLWANDDGEGGTFALYSLICRNAKASLLPNQLASDARISGFRLKVPSPELERSLKIKERLETSLTLKKILLLLVLAGTSMVIANGVVTPAMSVLSSVNGLKVGVDAIKQDEVVMISIAFLIILFSLQKYGTSKMGLFVGPALFIWFCSLAGIGIYNLLKYDSSVLRAFNPIYIYYFFARNSTKAWYSLGGCLLCATGSEAMFADLCYFSVRSVQLTFLFLVLPCLMLGYLGQAAYLMENHADAGEAFFSSVPSGAFWPTLLIANIAALIASRAMTTATFSCIKQSTALGCFPRLKIIHTSRKFMGHIYIPVINWFLLAVSLVFVCSISSMDEIGNAYGIAELGVMMMTTILVTLVMLLIWQINIIIVLSFAVVFLGLELIFFSSVLWSVTDGSWIILVFAVIMFLIMFVWNYGSKLKYETEVKQKLSADLMRELGCNLGTIRAPGIGLLYNELVKGIPGIFGHFLTTLPAIHSMIIFVSIKYVPVPMVPQSERFLFRRVCQKSYHIFRCIARYGYKDVRKENHQTFEQLLMESLEKFIRREAQERSLESDGDDDSNSEDEYSGTRVLIAPNGSVYSLGVPLLADFTETSIPAFEATTSEDVNSQSSNAPVLDAEQTLERELSFIRKAKESGVVYLVGHGDIRARKDSWFVKKLVINYFYAFLRKNCRRGIANLSVPHSHLMQVGMTYMV >OIW02351 pep chromosome:LupAngTanjil_v1.0:LG11:5875315:5877599:1 gene:TanjilG_11245 transcript:OIW02351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNMKTETNSLLQDLIDNVGGCAVIDGGFATQLERHGASINDPLWSAICLMKELHLIKKVHLEYLEAGANILVTSSYQATIPGFLSKGMTIEEAELLLSRSVKVAVEARDSFWDLAKTNPENKHSKALVAASIGSYGAYLADGSEYSGCYGPDVSLENLKDFHRRRLQVLVEAGPDLLAFETIPNKLEAQAYVELLEEENVKIPSWISFTTIDGENVPSGDSFEECLEVVNKSNRVDAVGINCAPPHFIGNIISKFKQLTKKVIVVYPNSGEIWDGKGKKWLPSKCFDDNDFGLHATNWRDLGAKIIGGCCRTTPFTIQLISKALRE >OIW01512 pep chromosome:LupAngTanjil_v1.0:LG11:27627820:27628662:1 gene:TanjilG_19438 transcript:OIW01512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNTFTCKEDDDSAYRKRSEDQTEALKFAMLICINGDQTEANLLSKTRSEMYKHLWVAHLERT >OIW02800 pep chromosome:LupAngTanjil_v1.0:LG11:1009354:1010816:-1 gene:TanjilG_29576 transcript:OIW02800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKRRSTSDFLFSCLTLLFLCFLGSVESYKNYTVGDSLGWFDNTMNPDVNYQKWVSSKEFSLGDFLIFNTDNNHSVVQTYNLNTYKQCDYNDAQDKDTVQWSASDPSNTETHDVSVAVPLVKEGITYFFSSDYDGDQCKNGQHFKINVTHGQGLPKSLKNSPDQDSTSPTTSPVSGGDDSAPDTIVPSNFSHPKEDENASDDNTKDKSSSDSMSKHAQQLHNKIYGSLILIGIVLFT >OIW02492 pep chromosome:LupAngTanjil_v1.0:LG11:4618092:4620350:-1 gene:TanjilG_05085 transcript:OIW02492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGGAIISDFIPAAGMAAGMSRRVTADILWPSLRNQAGKKFSKLPKPEAVALNDDFEADFRNFKDDSDMEEEEDDLIEGGFAPTKPSNQNPKSLSHGSTATKLNEQAEESSRRKRKNQYRGIRQRPWGKWAAEIRDPRKGVRVWLGTFNTAEEAARAYDAEARRIRGKKAKVNFPVKAPAATPKCLKARKENVNFVQPKVNKMLNLEDYFMDQVEQKPEINQYSNIGPFPGNGLGLTPLDASDNVTAYFSSEYSSNSFDYSDLGWSKQSPKTPENSSVLSAHMEGQSEFVEVANQQKNLQPNSQDIVFMEDQAEKTLTEDLIDIESHMKFFEEAPYLGRTWDDASFSSFLGGDTTQDAGNPINLWNFEDMPSMAGGVF >OIW01932 pep chromosome:LupAngTanjil_v1.0:LG11:13068009:13071102:1 gene:TanjilG_14165 transcript:OIW01932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEKLQVLIKFKYVFRDGDSYDMRKKLPFCVGLLLRLLRKNLRVFVTCTSGFDRSPACVIAYLHWMTDVSLHAAYTWVTGMHTCRPDRPAIAWATWDLIAMVERGKHDGPPTHAVTFVWNGHEGEDVTLVGDFTGNWKEPLRVMHQGGSRHEVEVKLPQGKYYYKFVVNGQWKHSTASPAERDDSGNVNNIIVIGETASVRPFVLHQQKDANVVKVIERLLNEKERFMLAKAARCIAFSVCPIRLAPK >OIW01360 pep chromosome:LupAngTanjil_v1.0:LG11:32498555:32501289:1 gene:TanjilG_12900 transcript:OIW01360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSIDDSNTSPKIHVETPPTASVPPSGDHQTPATGGTGGRVTGILRRWQRDDLLKKGSLALRGAALIFSLISFIVMASNKHGDWREFDHYEEYRYLLGIAILSTLYTAVQVFLQVQELSTGKQFIKPRTGSIIEFVGDQVMAYFLISSASSAIPLTNRMREGADNIFTDTSSAAISMSLFAFFSVALSALISGYKLSVQPYV >OIW01232 pep chromosome:LupAngTanjil_v1.0:LG11:34015801:34020098:1 gene:TanjilG_10393 transcript:OIW01232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEATSITQFLKSLCYDTQWKYVVFWKLNHHFPMTLTWENGYYGYQKTNEAMENLGGVLNFKSQDWIYSSRGDITDGSDDYSCRLLMTEMSHLKYSLGEGVVGKIALSGDHCWIFCEDLLTNNFDTNLIPECPDEWLVQFASGIKTIVLVPVLPHGVLQFGSFVTSQVVEDPRFIANVKDKFQSIYCQQANAEPLNLGMNIQDWSFSATTDTIMDSLDESSITNSMLKGEVSGCTTLDVNGSTRLNPTMPPFIQDECFMSQENETKTNHLEEEMWACFQWGNDVGLLGETSNGSGSYSGKSTAQQQFGGTEAGHNDVENVNDFFTFPSESELHKALGSSVAYRQTDKSLSKHIRAEDTYSSSTLLPNKEQHDHINGFEFPNEVDPEYLLDAVFSNLCSASDDTSSVSNSVRSPVTMPMKFTSSLQPNINSEESDLIVRNSDVESNLMSAGTVKAIDGFTNHFTSPSFYGNSSILRDEARGEKVYSHMHQPISGPKVFSKSKKRAKVDNSQRSRPRDRQMIMDRMKELRELVPDGGRCSIDNLLERTINHMVYLRKITSQAEKLKRFAHREVPKCNKQKIKGSHPGRICAIDFESELPWPIVIEDLDSSGHMLIEMICNEHGLFLEIAQVIQEMNLTILKGALEERPSTAWARFIVEVPRGFHRMDVLCPLLHLLQLRRIPQA >OIW02633 pep chromosome:LupAngTanjil_v1.0:LG11:2425585:2427978:1 gene:TanjilG_24084 transcript:OIW02633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHSLFTLTILFFTLSSFVTSHSPSSLSSSSSSFSYSQPQPSSSSPLISEWQSAHATYYAASDPRDAVGGACGYGDLIKVGYGQATVGLSEVLYERGQICGACFEVRCVDDLKWCIPGTSIIVTATNFCAPNYGFTSEGGGHCNPPNKHFVLPIEVFEKIAIWKAGNMPVQYRRINCRREGGIRFTVTGSGIFISVLISNVAGHGDLIAVKVKGSRTGWLPMGRNWGQNWHVNALLQNQPLSFEITSSDGKTVTSYNVAPKDWSFGQTFEGKQFDT >OIW02208 pep chromosome:LupAngTanjil_v1.0:LG11:7087268:7090562:1 gene:TanjilG_21861 transcript:OIW02208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDFWNRAKTFAEEAAKKSQTLTSSSSHRIADLVSETAKKSKELAAEASKKADLIKKQADDQIKSLSDSLSAIAAAAPSPAAATADPSPSPQDLEKFGVTDDLRSFVKGLTSTTFQHFPLNNDESEGSGVPTVTSNVRKDLNEFQEKHATLVLTTVKEISRLRYELCPRAMKERHFWKTYFTLVNTHVAQYEKQYMEEVQLRAAEEQNLDNKTEQTAVTGGTGKSEATGKNVQGKSSNSSSTEQDIDTFLLGDLEDSDDAPDDGEGSFDDDFDKIGNSDVEDEKHVKKPSTTTD >OIW01555 pep chromosome:LupAngTanjil_v1.0:LG11:25604218:25608136:1 gene:TanjilG_10837 transcript:OIW01555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNLGSLETYKAPCNDVGCLPNGAVSTVKGSSPSLLSTPDATLGRHLARRLVQVGVTDVFSVPGDFNLTLLDHLIAEPELNVIGCCNELNAGYAADGYARCRGVGACVVTFTVGGLSVLNAIAGAYSENLPLICIVGGPNTNDYGTNRILHHTIGLPDFSQELRCFQTVTCYQAVVNNLEDAHEMIDGAISTAVKESKPVYISISCNLASIPHPTFIRDPVPFSLSPKTSNSTGLEAAVEAAADFLNKAVKPVLVGGPKLRVAKASDAFVDLADASVGDISYYDRYEFQMQYGSIGWSVGATLGYAQAVPEKRVIACIGDGSFQVTAQDVSTMLRCEQKTILFLINNGGYTIEVEIHDGPYNVIKNWNYTGLVDAIHNGDGKCWTTKVTCEEELIEAIGTATGEKKDCFCFIEVIAHKDDTSKELLEWGSRVCSANSRPPNPQ >OIW01841 pep chromosome:LupAngTanjil_v1.0:LG11:17033145:17036859:1 gene:TanjilG_15705 transcript:OIW01841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LCLASRCYTSAQSAAATSVEAIEADQPNETVRNLLTNTEGVSRLMKMERKPLLLEGEFGESEAELQGRRFPYLDRFRCWNDYLMSSSEIIEAVSPCISDVRKERFRNVVHNRSYSVCLVVEGLNDFGNVSAAFRSADALGVQSVHVVSSEANKRYKDNRHVSMGAEKWLDIELWDSTKDCFKILKSRGYRIATTHVGIDAVLFDSANFFFINFQQKGISDEALELSDLHCSIPMNGMVDSFNVSVAAGILMHHAVSDRISRLGRHGDLTEEESRILLAEFSLRHSQSSISIVQDYAKRKAAILS >OIW01869 pep chromosome:LupAngTanjil_v1.0:LG11:15594141:15597425:-1 gene:TanjilG_07164 transcript:OIW01869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPRTVRSYRLRNTAMDLNRPPPVTGDGPSTQQQVSTIDLDSLDDVDDDVLLSSPTTFAQAKTNSRRNRRRIIVDVDLEDRTGVTNNISNRRRRGSANQTIINCDHYVNLEDSSSSTKENARKSSETPKDPVFNCPICIGPLIEPVSTKCGHIFCNKCIRAAITVQAKCPTCRKKITVRELIRVFLPSTS >OIW01417 pep chromosome:LupAngTanjil_v1.0:LG11:30886801:30887463:-1 gene:TanjilG_25713 transcript:OIW01417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVLLSSLCFPADDVVSGFVKLIESADDTALDNPVVVEDLAMFLARAVVDEVLAPQHLVEIGTQCLGPDSIGSKVLQMAKSTLNARLSGERILRCWGGGGSSRPGWAVEDVKDKIGKLLEEYKSGGEIREACRCMKELGMPFFHHEVVKKALVAIMEKKNERLWGLLKECFETGLITMNQMRKGFGRVAESLDDLNGLFLPYNDGHNMNELWIDLSNIV >OIW01189 pep chromosome:LupAngTanjil_v1.0:LG11:33313226:33316285:-1 gene:TanjilG_10350 transcript:OIW01189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTRNFLWNFTKKFVTFGLITVTVSDRYVTVVPVRGGSMSPTFNPKTSSSTGNISDDYVLVEKFCLNKYKFSHGDVVVFRSPLNHKETHIKRIVALPGEWFGNRQNYDVLKIPEGHCWVEGDNTASSMDSKSFGPVVLGLIRGRVTHVVWPPHRIGAVKSTPPERLSSL >OIW02377 pep chromosome:LupAngTanjil_v1.0:LG11:3433115:3434074:-1 gene:TanjilG_04970 transcript:OIW02377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLSYSSLLFSLFLTISLLHSSSEARKLSESEQQLAVFKYHKGPLLTGKISINLIWYGKFKPSQRAIISDFITSLSSSPSKPSSQPSVATWWKTIEKYYHLTTSKNSKSQNLALSLGAQIIDENYSLGKSLTDNQIVKLASKGAQKDAINVVLTSVDVTVDGFCSSKCGNHGSSSGARINGKRYKFAYIWVGNSERQCPGQCAWPFHQPIYGPQNPALVAPNNDVGLDGMVINVASLLAGTVTNPFGNGYFEGPKEAPLEAASACTGVYGKGAYPGYAGNLLVDPTTGASYNANGVNGRKYLVPALVDPKTSACATLV >OIW02281 pep chromosome:LupAngTanjil_v1.0:LG11:5033348:5034757:-1 gene:TanjilG_11175 transcript:OIW02281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLIISPSSSSTLVSFPKETPPALQQKLQSLVETQPDWWVYSIFWQTKKDDNGNLYLSWGEGHFQGTKETSPTLLLQEEDEELSNKDQNDAEWFYIMSLARTFSITNASSPSSSLSSLPGKSFALGSVLWLNNKHELQYYNCERTKEAHMHGIETLTCIPTTNGVIELGSYDTIKQNWGVVQHAKSLFESSSYFMDKDKNHFSPTQTQFFDDQNFSFADIVGRMGVQENEDSIKRNANVQEHSNKMDVQSSYVDSENSDYDNYPILAVENTSEKKKGLSLPNKRGRKSLHVSETAVNNHVHAERQRRKKLNHRFYALRAVVPNVSRMDKASLLSDAVAYINELKTMIEDLKKDHCSNKKSKAEKMENNIATTTNSTVVDQTGPNNNNFGLEVDVKMVGNDAIVRVQSENVNHPGARIMGLFRDLNFQVHHASMSCVNDLMLQYFVTKAPNWMRSEEVLKSTILMSLNL >OIW01216 pep chromosome:LupAngTanjil_v1.0:LG11:33637111:33638458:-1 gene:TanjilG_10377 transcript:OIW01216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGVAWTEEEDHMLKKCIEQYGEGKWHRVPLLAGLNRCRKSCRLRWLNYLRPNIKRGSFAEEEVEMIIELHKLLGNRWSLIAGRLPGRTANDVKNYWNCHLSKKLNAIEAENTQMNRNIDAIRPNQPRNIGPIDQESQQQESAISSLTFDAEGESHILEPQQENIYSCLDQQGIIHDLPMEFQYEGMISGVGSSTNQCDWDDLLLDMDMYNDFSS >OIW01477 pep chromosome:LupAngTanjil_v1.0:LG11:26606269:26609215:-1 gene:TanjilG_19403 transcript:OIW01477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREEEAVAFQNSSKQHHRRQMPKREVRVLDAPHIVNDYYTNILDWGKNNTLAVALGSEMYLWNANNRNVSKLFEANDNDRPASVAWSENTKYIAVGFLHSKLQLWDAETSKPIRDLEGHSKRVAAIAWNGQMLTSGSHDKSIINHDVRARRNVICQVKGHRAEVCGLKWSRRGNMLSSGGNENHIYVWDSTKMSSSKYLHCFKEHCAAVKALAWCPYDSDILASGGGTEDKCIKLWNVRKGTCICSIDTEAQVCGLEWNRHHKEILSGHGFSTSTLQNQLCLWRYPSMTRVGGLDGHASRILHLSQSPDGLTVVSAGADETLRFWDVFGPPVNDTPEMSNLDNLLSLKISPIR >OIW01161 pep chromosome:LupAngTanjil_v1.0:LG11:35360845:35367118:1 gene:TanjilG_17718 transcript:OIW01161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNPRMLVFFFIAFITVAQSLPFVVLHGIGDKCKHQGIYHFIELLSDWSESQGYCIEIGNGSWDSWTMPLTKQIKKISDLRQGYNIVGFSQGNLIARGIIEFCDRGPPVKRLNFDNELDPPYDMADYLSGCKFLPKLNNEIASERNSTYKDRFSSLQNLVLIMFENDTIIIPKETAWFGYYPDGAFHPVLAPQQTKLYTEDWIGLRTLDEAGKVKFISVSGDHLRISESDIKTYIVPYLKDHISMVTTYNTKNRRTLI >OIW02448 pep chromosome:LupAngTanjil_v1.0:LG11:4128544:4130058:1 gene:TanjilG_05041 transcript:OIW02448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQLVSMGFPEDLAAEALAATGGESTVKATEWILSHKSSTSPPPKSQSNLNNFFLSSSTKRLKPSPSPSIPNSSKTKHYKPLYERLRPTTLDDVVGQDHLLSPNSLLRSSIHRNRLPSILLWGPPGTGKTTLAKAIVTNSKYRFVSLSAVTSGVKDVRDAVDEARKLRLKTDQTTVLFVDEVHRFNKSQQDSFLPVIEDGSIVFIGATTENPSFHLVTPLLSRCRVLTLNPLQPRHVAVLINRAVDDLDKGLTQSVGFRVGVNQDVVDFIANNCDGDARVALNVLEIAAVTAAARVQHKEDDTTIDECRVVVTLDDAKEALQSKHLAYDKDGEEHYNLISALHKSMRGSDADAAIYWLARMLEGGEEPLYIARRLVRFASEDVGLADPLALSQAVSCYQACHFIGMPECNVILSQCVAYLALAPKSVAVYKAIGAAQKVVRESVGQNEGVPLHLRNAPTKLMKEVGYGKGYIYPPDNPNTSQTYLPPSLQGYKFLHWPHKDSSD >OIW02013 pep chromosome:LupAngTanjil_v1.0:LG11:10406805:10412252:1 gene:TanjilG_11606 transcript:OIW02013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFDEVSLERSKSFINSLQELKNLRSQLYSAAEYCEKSYLHSEQKQMVLDNLRDYAVCALVNAVDHLGTVAYKLTDLLEQQTSDVSTMDLKVSTVNQKLLTCKIFMDKEGLRQQQLLAFIPRHHKHYILPNSVNKKVHFSPHIQIDARQNPFQTKTRFQSSGTHASKTLSWHLASETKSTLKGIPHASPSIENPKFSAKPSEVFHLLDNDKTTWMKSSPAQSQLPNGVPTSSIATQAFGGTQRNALEGSKSLTAFRSFDNQKRHETVQVPARSKSVLSAFFAKQKTAKLRAGSVS >OIW01618 pep chromosome:LupAngTanjil_v1.0:LG11:22652692:22655917:1 gene:TanjilG_22662 transcript:OIW01618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWNLLIASAVAGSTGFVTKRLLTPRNTNHVDNIKDPNSNDSSYESDTLSDSETQFGNRVFVFSTSESLNLRESGGSHSRSKPRGSQNGVWVSNVEHRNKGGKRLPPFCYNKKKSTNKVLVEKVPSFSSKDDSLSLALGLGIVYMMSAEKAEINKLNKTMKGIAVSVQELKSDLDRRKPSRAHRKLDSDGDIDLNSRKMRGEHGEVMLEKRNTEFRGTDVKISSPFVHDSGECGSSALTEEPEPQVLEMDKLEAELEFEFEKLSGCTIDSPCREETKPTLDELEVQDEDCNRMYVPNFNYSQSHGVLASELNQKLSELLIKQQENQISELESELHLAQSNLQQKEAELQALKDSVKHLTELSLSTVSDDETRTLNDPKGTIDLDNNNMQSFSKQSVVGAKRPFDSESCSYCMLNDSDLCEHSIIQGI >OIW02911 pep chromosome:LupAngTanjil_v1.0:LG11:246062:248884:1 gene:TanjilG_29687 transcript:OIW02911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFLSRTQVLKFKSVLKCGKIDPSSKKFSSSSWSNGPPPPSETNGKVFCFTNENPTKVKVTRISVYDVCRVLETGPWGPAIEDALNVFDEMPQPELVVGVIRKLKNVNTALQFFRWVEVKTEKAHDPVAYNALLMLMARTRSLDHFEQIIEEMSMAGLEVSHNTCIELVARFVNSRQVREAFVIIETMRKFKFRPAFSAYTTLIGALSASHECDLMLTLYHQMQELGYEVSMHVFTTLVRVFAKEGRVDAALSLLDELKSNSFNADLVLYNVCINCFGKVGKVDMAWKFFHELKAQGLVPDNVTYSSMIGVLCKAERLDEAIELFEELDLNRSVPCVYAYNTMIMGYGSVGKFNEAYSLLERQKKKGCIPSVIAYNCILTCLGRKGKLEEALRIYEEMKKDAAPNFPTYNILIDMFCKAGKLEDALKVRDAMKEAGMFPNIMTVNIMIDRLCKAQKLDEACSIFLGLDHKVCTPDIVTFCSLIDGLGRHGRVNDAYSLYEKMLDSGQTPNVVVYTSLIRNFFNCGRKEDGHKIYKEMIHRGCSPDLMLLNAYMDCVFKAGETEKGRALFEEIKAKGLIPDVQSYSIVIRGLVKAGLPNETYQLFYEMKEQGLHMDTRAYNIVIDGFCKSGKVNKAYQLLEEMKTKGLHPTVVTYGCVIDGLAKIDRLDEAYMLFEEAKSKGVDLNVLIYNSLIDGFGKMGRIDEAYLILEELMQKGLATNTHTWNCLLNALVKAEEIDEALVCFQNMKNLKCSPNELTYGIMINGLCMIRKFNKAFVFWQEMQKLRLKPNTITYIAMISGLAKAGNVLEAKGLFQRFKASGGLPDSACYNAMIEGLSNANKALDAYTLFEETRLKGCHIYSKTCVVLLDALHKADCLEQAAIVGAVLREMAKSQHATRLP >OIW01870 pep chromosome:LupAngTanjil_v1.0:LG11:14463101:14487023:1 gene:TanjilG_31052 transcript:OIW01870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGVCAASDIWSVGCTVIELLTCVPPYYDLQPMPALFRIVRDEHPPVPDSLSSDITDFLNHCFKKDAKQRPDAKMLLSHPWIQNCGRALQSSLRRRGTLRNMYEDDSADAEATVGDHNSAGQSSSMEKEDFAKEFSNEAANRGKPDEEFSNQTDDFPSDPVLTIAIHEKSSQQAGSSRLSSNSNKEVASSGYTSNLHISNAQDQEEAMMNGEVGYPQPIGKGSFLERKRSSIKNINNPFCFGTKDRDDGSIEAINMLPSGEGHGVSRFSDPPGDACLEDLFHPLNKQLEKLVVGASASISTSYMDKGNASIYNGKNNDLAKELRDTISRKQREKEKNNEQENSGGNLFHLLLKALKEDVIDIDGSIYDDKFPGDNIFPYQTAEFSKLVGSLKPEEPEDVIVSACQKLISIFHQRPEQKIVFVTQHGLLPLTDLLEAPATCVICYVLQLINQIIKDNTKFQENACLVGLIPLVMTFAVPEHTREIRMEAAYFFQHLFQSREMVHLAIDGMWQVFTLQRSTLRNDFCRIAAKNGILLRLINTLYSLNEATRLVSVNVRGDLLVESSAQQPCSDPLDSTRPSTIHNDGLVVSSVDQQDLPNMSHEILDNHLESSHASTSNPRRSDGNNTVDEMLQSRTAEAEIASLEKNSNLASWESSDGALTEQESVDHWKYDPSRANVEPRLQPISIYVNKTSTSRPLKLTEHESNVLSETGSTQQEQSRSGQLKYGRQFSGLLKSESVLHLLQSSKKKSNSELDILMEEFAEVSRCGRENGNLDTSSMISNKFAPKKVGSFGSNQRAASTYGIVSQTSLGRLSGSGVLNTRPSSATSSHMVSSLNADVAKEYQEKVADLILEFAQADTTVKSYMCIQGFLSRLFQMFNGMEPAILLKILKCVNHLSTDPNCLDNLQRADAIRYLIPNLELREGSLVSQIHHEVLNTLFNLCKINKRRQEQASENGIIPHLMQFITSNSPLKQYALPLLCDMAHSSRNSREQLTAHGCLDAYLNLLEDKDWSVTALDSIAVCIAHDNDNRKVEQALLKKDAVQKLVKFFQFCPEQYFVHILEPFWKIITKSARINTALAINGLTPLLIARLNHQDAIARLNLLKLIKAVYEHHPQPKKLIVENDLPQKLQNLIGERRDGQVLVKQMATSLLKALHINTVL >OIW01527 pep chromosome:LupAngTanjil_v1.0:LG11:28120843:28122350:1 gene:TanjilG_19453 transcript:OIW01527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTHSFSYISSCGSENADIVDDEESISLSLGLPGQKHNPKVSNQNHSFHHLQNPTNSYDQSGVTVALHIGLPNTDNSPNTTSIATQPHNLSETPIQGQYWIPTPEQILVGPTQFSCIVCNKTFNRFNNMQMHMWGHGSQYRTGAESLRGSKPASSMLRLPCYCCAEGCKNNREYPRSKPLKDFRTLQTHYKRKHGEKPFECRKCGKPFAVRGDWRTHEKNCGKLWFCICGSDFKHKRSLKDHVRAFGDGHAPHTVASQSCDYLGGERYEDENEEEEECDDEYDNSEDVAVTFN >OIW01810 pep chromosome:LupAngTanjil_v1.0:LG11:17595354:17595968:1 gene:TanjilG_07527 transcript:OIW01810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QPFKRFVEIGRVALINYGKEYGRLVVIVDVIDQNRALVDAPDIVRSQINFKRLSLTDIKIDIKRIPKKKDLLKALEAADVKNKWEKSSWGRKLIVKKTRAALNDFDRFKIMLTKIKRAAVVRQELAKLKKTAA >OIW01316 pep chromosome:LupAngTanjil_v1.0:LG11:34933045:34935541:1 gene:TanjilG_10477 transcript:OIW01316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTCNRCYVLMVLCIVVISSMVASCGANLLQDFDLTWGDNRAKIFNKGQLLSLSLDKVSGSGFQSKKEYLFGRIDMQLKLVSGNSAGTVTAYYLSSQGPTHDEIDFEFLGNVSGDPYILHTNVFSQGKGNREQQFYLWFDPTRNFHTYSIIWKPQHIIFLVDNIPIRVFKNAEIVGVPFPKNQPMRIYSSLWSADDWATRGGLVKTDWSKAPFIAYYRNFKAIEFSSISSNSISDEAVQSNEIDANGRRRLRWVQKYFMIYNYCKDLKRFPQGLPAEC >OIW02856 pep chromosome:LupAngTanjil_v1.0:LG11:610866:614212:1 gene:TanjilG_29632 transcript:OIW02856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFDNSFSFACIVCSVRGTIERYKKASATSTNAESVSEANTQFYQQESSKLKRQIRDIQNLNRHILGESLSSLSLKELKNLESRLEKGLSRVRSRKHETLFADVEFMQKREVELQNHNNYLRAKIAEYERAQQQEPNMMQQGNVCETIASQQYDRNFFPVNLLDPNHQYSRQDHTALQLVSCYLSDEVVWIAEIR >OIW02387 pep chromosome:LupAngTanjil_v1.0:LG11:3533629:3536589:-1 gene:TanjilG_04980 transcript:OIW02387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAETLTLVLVNLAGIMERADESVLPAVYKEVGAALHTDPTGLGSLTLFRSIVQSSCYPLAAYLALRHNRAHVIALGAFLWAAATFLVAFSSTFFQVAVSRALNGIGLALVAPAIQSLVADSTDDSNRGMAFGWLQLTSNFGSLIGGLFSVMIAPMTVLGIPGWRISFHIVGIISIIVGTLVYLFANDPHFPDNDTNANNQVPNRTFWSEVKNLVQEAKSVSKISSFQIIVAQGVTGSFPWSALSFAPMWLELTGFSHGKTAFLMALFVVAGSIGGLFGGKMGDILSTRLPNSGRIILAQISSGLAIPLAAILLLGLPHNPSSAIPHGLVLCIMGLCISWNAPATNNPIFAEIVPERSRTSVYAMDRSFESILSSFAPPAVGILAQHVYGYKPIPEGSSESQEVLTDRENAASLAKSLYTAIGIPMALCCLIYSFLYRTYPRDRERARMEALIESEMQNMESEGLAMNRELQSEELFIGDYDGDGVDDDDENILLYRQLTFSNLGT >OIW02761 pep chromosome:LupAngTanjil_v1.0:LG11:1282400:1283779:1 gene:TanjilG_29537 transcript:OIW02761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENFPVINLEKVNGEERKVILEKINDACENWGFFELVNHGIPLELLDTVERLTKEHYRKCMEQKFKEIITMKGLEGLQDEVKDMDWESTFFMRHLPESNISEIPDLTLEYRKVMKDFAQKLEILAEELLDLLCEILGLEKGYLKKAFYGSRGPTFGTKVANYPPCPKPELVKGLRAHTDAGGIILLLQDDKVSGLQLLKDGQWVDVPPMRHSIVVNLGDQLEVITNGRYKSVEHRVIAQTDGTRMSIASFYNPGSDAVIYPAPALLEIGAEDKSQVYPKFVFEDYMRLYAGLKFQAKEPRFEAMKAVNSY >OIW01087 pep chromosome:LupAngTanjil_v1.0:LG11:35532170:35535874:1 gene:TanjilG_25195 transcript:OIW01087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTTTLFLSNPFKSQFPITTTRYSHPTFTYSSVTAQSHSAKHHLLTLISDQNRGIKTQSNPQKRASIIDAIDAVAAVGAGSVTTGDSLSGTWRLLWTTEKEQLFIVEKAYLFGTSAGDVLQVIDVENKTLNNVITFPPYGVFFVRSGIEIASPQRVNFRFTSAVLRGKNWEIPLPPFGQGWFETVYLDDDLRVAKDIRGDYLVVDRASYRWKE >OIW02120 pep chromosome:LupAngTanjil_v1.0:LG11:8621313:8621600:1 gene:TanjilG_26660 transcript:OIW02120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELKLRTEGECLLLKVDNKSAINLAKNPVAHGRSKHIETRFHFLRDQVCKGRVRLEFCKSEVQLGDIFTKPLKKERFEKMRRNIGVLEFDEKLN >OIW02030 pep chromosome:LupAngTanjil_v1.0:LG11:9733996:9735984:1 gene:TanjilG_13768 transcript:OIW02030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLILLMLLATYLMLCKFHLKKQLYFPPTLYSFGYQCFTHSSHQPYPRIPHSRLRAYVPVPDETHFRDPDTVHLFCSKALKVSAKRAFLPEGKQLHAHLIKIGFCHVLSLQNQILSVYLKCKETGDAKKLFEKLPGRNVVSWNIVIRGIVGCGDENGTNSQLGFYYFRRMLLEKVVPDDTTFNALFCVCAMFHDINMGVQLHCLTVKLGFDLDCFVRNALIGLYGKCGSVEEAKRVFNDVLCKDLVLWNVMISCYALNCLPEDAFRTFNSMRFDGVNGDEFTFSSLLSICDTLEYYDFGKQAHSLALRQSLDSHVSVASALINMYAKNENIVDAHNVFRKTAIQNVVAWNTIIVGCGNHGDGNDVMKLLREMLREGFFPDEVTISSTLSSCAHACAITETLQAHALAVKLSFQEFLSVSNSLITAYSKCGSIASALICFKLISEPDVITWTSLINAYAFNGLAKEAIEMFEKMLSSGKMPDRISFLGVLSACAHSGLVTMGLHYFKLMTIFYRIVPDSEHYTCLVDLLGRYGLIDEAFEFLSSMPMEAEPNTLGAFIGSCKLHANIGLAKCAAEKLFIKEPEKIVNYAVMSNIYASHKNWYDVERVRKMIGDKRDAKVPGFSWIEIANEVYSYVSGDKNSHPKALEMYATLKMLLRSMKEEML >OIW01959 pep chromosome:LupAngTanjil_v1.0:LG11:12077609:12079591:1 gene:TanjilG_13823 transcript:OIW01959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPPTGTIVFNTVGRPQYGFDVFSVDLHHQPPHDITDHQPPHDITDHRLTDGVSINFNAQFIDNDDEQNSIVFISERTGSPRFYLTRPGNKPQPLPFIPNSLFHDRPSIKHGKLFFVSTHDENDTVFKSWSAVYSTGVDGDGTVTRLTPKDVVDYSPAVSLTGKFIAVASYNSRRWGGDFRELKTEIVVFPESNPENRVILAEHGGWPTWSQDSTIFFHRIDEAGWWSIFRVELPDPSLSTSPCTPIRVTPPGVHCFTPAAMHNGKSIAVATRRRGNNFRHIEIFDLESKTFQPITNSINPSFHHYNPFVSPDSRYVGFHRFRGESTQSESTYPHLDRVLSPVQDLQLLRLNGAFPTFSPEGDFIAFNHALESNGGVKIIRSNGSKRWTLLKGRTCFHNSWSPTEKHVIYTSIGPIFESVSKTVQIGRIEFDPVHLTDDNEEIPFTLTILTRDETGNNAFPSCSPYGKSIVFRSGRSGYKNLYIVDAVNGEFNGSLRRLTEGNWIDTMPCWSPKGDVIAFSSNMHNPKNAEGFGIYVVGPDGNGLRRIEVAKGVEAERERLNHVCFSGDGEWLLFTGNLGGVTAEPVSLPNQFQPYGDLYVVRLDGSGLRRLTCNSYENGTPMWHDRELVLCSVDVDGDRDKVTGEFQEPLWITCENLDN >OIW02049 pep chromosome:LupAngTanjil_v1.0:LG11:9588130:9588828:-1 gene:TanjilG_21098 transcript:OIW02049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQYPAEVIVVFLYNLCGTLISAPVCLLLEANLSVWKINPGITLITIIYSGVLCTGLSSLVHTWGLHLKGPLYISIFKPFSIAIAATLSVIILGDALYLGIVMGAVILTFGFYAVIWGKAKENELSEDFGECRTQPPSKAPLLHSYRVEDNIDNWYIDC >OIW01904 pep chromosome:LupAngTanjil_v1.0:LG11:13859168:13864160:-1 gene:TanjilG_15229 transcript:OIW01904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKKKRASTKVWCYYCDREFDDEKILVQHQKAKHFKCHVCHKKFSTTGSMIIHVLQVHKESVTKVPNAKPDRDSIDIEIYGMQGIPPDILDAHYREEEDHVPSKAAKVDFPSTQLVGGVPPASFGIRYPPQPNWGPRPPIYNPAVPVPPTAWAVPPRPQPWYPQPPAVSFAPPAPYVQQPLFPVHNVRPPLPSTTLPALSTQITPGLPASTPSVPVSQPLFPVIGTNHMPTQSSTFPATLSSNVQSVTPGLSAGVLVNAHSVVNTSSVTNSYQALGAPGGIASNSHSYSSGPNTGGPSIGPPPVIANKAPVSQPATNEVYLVWDDEAMSMEERRMSLPKYQVHDENSQMSSIDAAIDKRILESRLAGRMSF >OIW01104 pep chromosome:LupAngTanjil_v1.0:LG11:35691834:35693087:-1 gene:TanjilG_25212 transcript:OIW01104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSIHHHLLLLLLSLSVVATTVSAYNITDILSSYPNYTDFNRLLTQTKIADEINGRQTLTVLVLPNSIFSPVAASHPLSVVKKVLSLHVLLDYFDQAKLHQLSNGSTITTTLFQTTGTAEKNNGLVNITDFKDGSVGFGSAAPNSTIGSNYTKSVKQIPYSISIIEINAPIVAPGFLTAPPPVSDVDLTALLESHGAKTFSSLIQSSGVIKTFHSIADKGLTIFAPSDEGFKEKDLPDLSKLTSDEVVTLLKYHATASYLPLGSLKIFKGPISTLASTGAGKFELTVSVKGGSVKLLTGTRSSRIADNILDSPLISIFTVDRVLIPKDPLSKPPAPAPAPKHPPPPPSHSPAPGHSAHSPDGATPPAPGDKTPEVAPVSPAADGADHGSQKGVGVHVKGGAVLSLVAFVIASIFMH >OIW01816 pep chromosome:LupAngTanjil_v1.0:LG11:17225146:17225400:-1 gene:TanjilG_28879 transcript:OIW01816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPEIVEAELVLPSHFSFKRVHMYEKYPKGQARGRHWKHLKQIVQAENYQNYPPDEPNCEISVPCLFILFFSFFYSMPKPPYLI >OIW02587 pep chromosome:LupAngTanjil_v1.0:LG11:2737415:2744723:-1 gene:TanjilG_24038 transcript:OIW02587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGMKLNERFKTTQVHALNSSETSGKRNKACDVVNSAHKIRSFLSRSKSNSKSTTTGIANLVLPFQLPSIATLEPCIEPCLKPINLVESLAELYHRLERCHQSQKALLFVEQYSLLCNLRDQKLLRRCLKKARQNSVDVLSKVVLSAWLRFERREDELEGVSSMECVGGCILECLKVNLVHGFSLCSINDRCQCGQETKQETNTESLCLPDEEKKDVCFCIGNEEINCVRWRIAALSDPFKAMLYGGFSESKMRKIEFTKSGLCPKGMRAVELYSRTKRLDLFTPKTILELLSFASRFCCEEMKSACDAYLASIVGSVDDALILIDYGLEERAPILVASCLQVFLRELPESLYNSKVMKIFCNSEANERLAIVGYDSFLLYYFLSQVAMEESMVSETTMMLLERMGDCAKERWQKALAYHQYGCVLLERKEYNDSQHCFEAAAEAGHVYSVAGVARTKHKQSQPCSAYKLISSLIFEHKPAGWMYQERALYDTGSETSFDLDVATELDPSLSYPYKYRALAKVEEKQIEDGILELNKIIGFKLSPDCLELRAWFFIALQDYDSAMRDIRALLTLEPNYVTSHGKITGKYLVHLLSHEVQQKSQAECWMQLYEQWTSVDDIGSLAIIHRMLENEPAKSLIEFRQSLLLLRLNCQKAAMRSLRMARNHSSTTQERLTYEGWIMYDTGYREETLARADRSITIQKSFEAFFLKAYVLADTNLDPESSSYVIQLLEAALKCPSDGLRKGQALNNLGSIYVDCGKLDLAKACYENALAIRHTRAHQGLARVYDQKNQRKAAYDEMTKLIEKAASNASAYEKRSEYCDREMAMSDLDVATQLDPLRTYPYRYRAAVVHCEVCRIHHAWKSTNRLLYGGFSESKMRKIEFTKSGLCPKGMRAVELYSRTKRLDLFTPKTILELLSFASRFCCEEMKSACDAYLASIVGSVDDALILIDYGLEERAPILVASCLQVFLRELPESLYNSKVMKIFCNSEANERLAIVGYDSFLLYYFLSQVAMEESMVSETTMMLLERMGDCAKERWQKALAYHQYGCVLLERKEYNDSQHCFEAAAEAGHVYSVAGVARTKHKQSQPCSAYKLISSLIFEHKPAGWMYQERALYDTGSETSFDLDVATELDPSLSYPYKYRALAKVEEKQIEDGILELNKIIGFKLSPDCLELRAWFFIALQDYDSAMRDIRALLTLEPNYVTSHGKITGKYLVHLLSHEVQQKSQAECWMQLYEQWTSVDDIGSLAIIHRMLENEPAKSLIEFRQSLLLLRLNCQKAAMRSLRMARNHSSTTQERLTYEGWIMYDTGYREETLARADRSITIQKSFEAFFLKAYVLADTNLDPESSSYVIQLLEAALKCPSDGLRKGQALNNLGSIYVDCGKLDLAKACYENALAIRHTRAHQGLARVYDQKNQRKAAYDEMTKLIEKAASNASAYEKRSEYCDREMAMSDLDVATQLDPLRTYPYRYRAAVMMDEQKETEAVEELSKAINFKPDLQMLHLRAAFYESMGDLNSALKDCQAALCLDPNHTDTLDLYQRNKNYPQDIDCATVETIIKLKMQ >OIW01650 pep chromosome:LupAngTanjil_v1.0:LG11:21475292:21475789:-1 gene:TanjilG_18221 transcript:OIW01650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKRMRENEEKESIALANNLILLTKSLNNSYPSLEFECKTCNRKFSSFQALGGHSASHKKQKLNVDQELMKQETMKPKMHECTICGQEFTMGQALGGHMRRHRSATTNEKGFSSIKHDVVKVPVLKRSNSKRVMCLDLDLNLTPLENDLKLLFGNKAPQVDLSLF >OIW02591 pep chromosome:LupAngTanjil_v1.0:LG11:2716552:2719588:-1 gene:TanjilG_24042 transcript:OIW02591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGYGQDSGYGGGGGYGGGYGGRGGGGGRGGGGFGGRGSGGGGGYQGGDRAGRGGGRGGGSGRDGDWRCPNTSCGNVNFARRTECNKCGAPSPTGGSNDRGSGGGGGGGSGGGYNRGGSSGGYDNNRGGRFTKNDGGRGNDYNNGRGNNNDGRSGGSNKGGSYGGNQGGDDGGYGQVPPPAPQPYGSAGGNYQPTNNSYGGNLNYGTEAVPPPASYAGGPQSYPPSYGGNTGSYGGRGNPGDARSGRGGPPGGYDSGYGAGSRGGHGGAPVAAESPAKLKQCDDNCGDTCDNSRIYISNLPPDVTIEELRDLFGGIGQVGRIKQKRGYKDQWPWNIKIYTDEKGNNKGDACLAYEDPSAAHSAGDYDLRGYKISVTMAEKSAPRAPPAHNQGGNRGGYGGDRRRDNYGGGSGPDRRDNYGGNRSRPY >OIW01691 pep chromosome:LupAngTanjil_v1.0:LG11:20042046:20044934:-1 gene:TanjilG_01198 transcript:OIW01691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSNDPSSTPLLRSKKNSSHNLQSSKPQFFSDHISLTSTTPEKPAPRLHNRGVALSVVEIRKVAKGLQDQSLTQKHSDLDWVKGKSVKRKILTPSPLKSKTTVDGPFTIPEKYEILCEFFHSLDSSIRLTQMKGRMPSFTNIAQKIESLSDRRFTHSHLAQLQFILPEGILIKKVLVFDERTSSMKPDLHVTINRNEILSAATMLPKCESLCESMQMRLLFRIQLAEFFKSHPEGSEIPEAELPEPFNRPKQDCFSDVLKTPSSIHPPKILSSRMLDNDVVDYTESANREERLSVPINSPIEALNQKPAVASHMPQSFRRSFLQKSMENGADSIQKILPSDSFQTLAHPVSESNLNKNSTSLVKFVSEAADSEICPTIYASSGYFESSSAPCAATPSKIIDYTSTPVKHVFTPSRLMVATPEIPPSKKHYLSPDDNSSSSMSKLVRRPPRSRLLKFDSPMENKETRDEDDAGRLSINDDIFDILPKNLLESIREKERVTMEERDPVISQAKRRQKMVDSLPKLFNMIHLLFHSMKCSLIAKEELVSKIISSHRDIVDRREIEEQLDLLLVLVPEWISEKLTSGGNILFSINKKLNPGTIRASLEEAK >OIW01207 pep chromosome:LupAngTanjil_v1.0:LG11:33534811:33536677:-1 gene:TanjilG_10368 transcript:OIW01207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAATENSVTLRILIDKEKNKVVYAEAGKDFVDILLSFLTFPLGTIARLVANESDMNKVNVGSLNKLYESVANLDVKHFWTETCKEMLLKPRNSMEAYCQNLKLNLDDTEKLKFFICDNWDCLRQVSGSKLSIFKDIKCKCGKLINREIFPNKILGIKHEGFVQDIDSFIIFDNLKVMPDNIQNCVSLPMSFGYEDFNAIKVDTVVNVTTKEMVDLLKCSLLSETPLTDFFLRKKQLLEIAQPRSSFLLDIGAKDNVREEVIKLKLIVRKSNLKVLCAIAAEDFVDLLFTFMTLPLGSVEHMMKGNSCLGSIDNLYKSLVDLDGHKYLKSPSLKDELVKTHLTQQFELHKQKLQIDEVPISFYSCYTEYDYPAMSNISPSDIEQRAISIGKKECLSLLKASLISSSALTDGLRQFINPVKEEMMSS >OIW01719 pep chromosome:LupAngTanjil_v1.0:LG11:19326326:19326676:-1 gene:TanjilG_05172 transcript:OIW01719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTGLQLHHELPNLRVDIEMDKTPPYDDNINMSPIITKSNEVKMIQNDVNRTPTSRESKIPEMVLCPPAPRRPKSLVSRKRKHVDEFQFFEDIRIKKTWMRFLDPLFLGEAAHVHE >OIW02263 pep chromosome:LupAngTanjil_v1.0:LG11:6427072:6430557:1 gene:TanjilG_15146 transcript:OIW02263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSVKSSNIAIGGSNMQYGTLHANLGPSEIASTGEGCMDMYQKGIVMATLPMGNGHVENWGDSGIADNSQHTDDTSTDIDTDDKNQCNRTQHGALIVVGSKDHRKAKYEDHKTLRRLAQNREAARKSRLRKKAYVQQLENSRVKLAQLEQELQRARQQGIFIANPGDHCHPVVGNGALAFDMEYACWVEEHQRLLNDLRSAINSQIGDSDLHLLVDSVMAHYDELVRLKSVGEKADVFHMHSGMWKTPAERLFMWLGGFRSSELLKVVKNHLEPLSEQQLAGIDNLQDSLQQAEDALSQGKEALQQSLIETLSCTSLGSTGTGNVADYMGQMAIAMGKLATLENFLHQADLLKQGTLQQLQRILTTRQAARALIVINDYISRLRALSSLWNSLMGCCDPR >OIW01093 pep chromosome:LupAngTanjil_v1.0:LG11:35601377:35605568:-1 gene:TanjilG_25201 transcript:OIW01093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAFDEYGRPFIIIKEQDQKTRLRGLDAQKSNISAAKAVAQILRTSLGPKGMDKMLSSPDGDVTISNDGATILEQMDVDNQIAKLMVELSRSQDYEIGDGTTGVVVLAGALLEQAERLLERGIHPIRVAEGYETASRISVEHLERVANKFEFGVTDLEPLIQTCMTTLSSKIVNRCKRSLAEIAVKAVLAVADLERKDVNLDLIKVEGKVGGKLEDTELIYGIVVDKDMSHPQMPKQIEDAKIAILTCPFEPPKPKTKHKIDIDTVEKFQTLRKQEEQYFDDMVKKCKDVGATLVICQWGFDDEANHLLMNRNLPAVRWVGGVELELIAIATGGRIVPRFQELTPEKLGKAGLVREKSFGTTKDRMLYIEHCANSRAVTIFIRGGNKMIVEETKRSIHDALCVARNLIRNNSIVYGGGSAEISCSIAVEAAADRYPGVEQYAVRAFADALEYIPMALAENSGLQPIETLSAVKSQQIKDNYPHFGIDCNDVGTNDMREQNVFETLIGKQQQILLATQVVKMILKIDDVISPSEY >OIW02371 pep chromosome:LupAngTanjil_v1.0:LG11:4782566:4784609:1 gene:TanjilG_08518 transcript:OIW02371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSIPVVSELMFCLIPSCVLAKHHNQKLRFDKYGRFKILQVADMHYANGKNTLCLNVLPSQNASCTDLNTTAFIQRMIHAEKPNLIVFTGDNIFGFDSLDSAKSLDAAFAPAIASNIPWVAVLGNHDQEGSLSREGVMKHIVGMKNTLSKLNPTEAHIIDGFGNYNLKVGGVKGTSFANKSVLNLYFLDSGDYSKVLPILGYDWIKPSQQFWFQRTSAKLQREYKKGPVPQKEPAPGLAYFHIPLPEYASFDSSNFTGVKLEGNGSAGISSASVNSGFFTTLVGAGDVKAVFTGHDHINDFCGKLTGINLCYAGGFGYHAYGKAGWSRRARVVVASLEKTVKGSWGNVKSIKTWKRLDDQRLTRIDAEVLWSKNSGA >OIW02239 pep chromosome:LupAngTanjil_v1.0:LG11:6078294:6081486:1 gene:TanjilG_15122 transcript:OIW02239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAASPSSASSTAAPPPTTTTPSQSSLAPNTSISTPPPSQSPPPATPSAPPPAVSPPAPPSQPPQASPPPPLVSPPPTSPSAPPPISPPPAPPSSPVPPSPVPPGNSPPPSSPLNNSSSPPESLSPPPTTAPPAQGSPPPTQSVPPQPSSPPSPTSTPNSPPPPSPTIRLSPPPPLLVTPPPSQNGSKNSSPGPGSSSGKGISTAGIVAIGVVAGFFLLGFIGVAIWCIRRQKKKGPQNGGYVMPSTLPSSPESDSSCFKTHSSAPPVQSGSGSDVVYTPPSEPGGLGNSRSLFSYEELIKATNGFSSQNLLGEGGFGSVYKGYLPDGREIAVKQLKIGGGQGEREFKAEVEIISRIHHRHLVSLVGYCIQDDRRLLVYDYVPNNNLYFHLHEEGRSVLGWENRVKIAAGAARGIAYLHEDCNPRVIHRDIKSSNILLDYNFDAQVSDFGLAKLALDANTHVTTRVMGTFGYVAPEYASSGKLTEKSDVYSFGVVLLELITGRKSVDTSQPMGDESLVEWARPLLSHALDNEGYDSLADPRLEKNYVESEMFCLIEIAAACVRHSAAKRPRMGQVVRAFDSLAGSDLTNGMRVGESEAFNSAQQSAQIRLFRRMAFGSQNYSSDFFSQDSLNA >OIW02642 pep chromosome:LupAngTanjil_v1.0:LG11:2125467:2126970:-1 gene:TanjilG_29418 transcript:OIW02642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVKDLTLAHVKSHLQMYRTVKTTDKPAVSLGHSNGSGEDDMSPIGSSVDRDGLSQFTDQRGWPDQPVQQDMDYTSTIATLWSNSSSLYL >OIW02921 pep chromosome:LupAngTanjil_v1.0:LG11:194122:197016:1 gene:TanjilG_29697 transcript:OIW02921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWFDSLWGEEGRKVIKRKDSDAGEAGRALEELRASLYNELRTSEGAKRQQQRYCPPVVALTFNFMVAVGIIMANKLVMGKVGFHFPIFLTFVHYITAWILLAIFKALSLLPVSPPTKTTPFSSLFALGAVMAFASGLANTSLKYNSIGFYQMAKIAATPTIVLAEFILFRKTISIKKVLALAVVSAGVAVATVTDLEFNLFGALVAIVWIIPSAMNKILWSTLQQQGNWTALGLMWKTTPVTVFFLGALMPWIDPPGVLSFKWDVNNSSAVLISALLGFLLQWSGALALGATSATTHVVLGQFKTCVILLGGYLIFNSDPGFVSIGGAVVALSGMSVYTTLTLHESQENSKQPLLPSTKPKSTSAEDSTIDSNVTTTTTIVV >OIW02374 pep chromosome:LupAngTanjil_v1.0:LG11:4759423:4762707:-1 gene:TanjilG_08521 transcript:OIW02374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISLVLMTPQPYKSTTSTPSKFLFMVYVKILSFLLLSLLVVQVSSCNQFDKDTLLAFSDNLSISSPYLPLNWTNSIDCCSWEGITCDVDLRVINLSLPFRGLNGFISPSITNLTSLTHLNLSNNWLSGNLDNHFFSLLNHLLVLDLSYNHISGELPPFVADNTSRSSGGVIEEVDLSSNLFNGTLPSSLVQYLAAAAEGGSLVSFNVSNNSFTGQIPTSLFCINGHNSSSLRLLDYSYNDFDGTIQPGLGACSKLEKFRAGFNSLSGTIPGDVFYAVSLTEISLPRNNLTGTIGDGIVSLINLTVLELYSNQLIGLIPSDIGNLSNLERLLLHVNNLTGTLPPSLMNCVNLVVLNLRVNNFEGNLSALNFSGLLKLATLDLGNNQFTGMLPPTLYACKSLAAVRLASNQLEGQISPEILGLQSLSFLSISSNNLTNVTGALRILTGLKKLSTLMLSKNFNNEIIPDDEKIIDPDGFRNIQVLGLGGCNFTGQIPGWLLNMKKLEVMDLSYNHISGSIPSWLGTLPQLFYIDLSFNNLTGIFPVELTTLPALTSQKANDEVQRTYLELPVFANANNVSEMQYNQLSSLPPAIYFGNNNLNGSIPVEIGQLKVLHQLTLCNNNFSGNIPDQISKLINLEKLDLSRNQLSGEIPGSLKMLHFLSFFSVAYNHLQGQIPTGGQFDTFSFSSFEGNQQLCGAVIQQSCLSQQNTNSTAPIHGSKKKIIIGLIIVACFGMTAIMTVLTLWILSKRRINPGGDQDKIEMESMSAYSNNSGVHPEVDKEASLVVMFPNKTFGTKDLTIFDILKATENFSPTNIIGCGGFGLVYKATLPNGTILAIKKLSGDLGLMEREFKAEVEALSTAQHENLVALQGYCVHDGYRLLIYNYMEKGSLDYWLHERADVSPQLDWPTRLKIAQGASCGLGYLHQICEPHIVHRDIKSSNILLNEKFEARVADFGLSRLILPYHTHVTTELVGTLGYIPPEYGQAWVATLRGDVYSFGVVLLELLTGRRPVDVCKPKMARELVGWVQQMRNEGKQEQVFDTILRGKGFEEEMLKVLDVACMCVNYNPFKRPSIKEVVDLLQNVGSTNQQRNKE >OIW01981 pep chromosome:LupAngTanjil_v1.0:LG11:10950941:10951892:-1 gene:TanjilG_14012 transcript:OIW01981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNGKISTEVEINIPAQELFNLFAKKLHDLQHITDRIHEGKVHHGDDWHSGDSVKHWSYTIDDKVITSKEKIEFIDEEKKSITFDLFEGDVSQYYKILKINLQVIDKDDGGAIAKWTVEYEKVDENVEPPNGYLEFLTKITKDSNHHLLNA >OIW02277 pep chromosome:LupAngTanjil_v1.0:LG11:4974103:4975876:1 gene:TanjilG_11171 transcript:OIW02277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPENMSISVNGQSQVPPGFRFHPTEEELLQYYLRKKVSYEKIDLDVIRDVDLNKLEPWDIQEKCKIGTTPQNDWYFFSHKDKKYPTGTRTNRATVAGFWKATGRDKVIYSNGKRIGMRKTLVFYQGRAPHGQKSDWIMHEYRLDDNNTNNTIVSNVMGDGGQEEGWVVCRIFKKKNHLKTLDSPLASSISREGRRSYLFDSCDNEGALEHILQQMGRGMCKEENYEAKNYNYHDNRFMKLPSLETPKSTSMEPVIHMVTENEGSFTIQQDPNMFHHNPLEVSSSMMVGSSGLTNWAALDTLVASQLNGQNDQASRQLACFNDPTLGYSISDHDLQLLTLRSSSNTRSAISPDGGAAFISHTQDYTSEIDLWNFAQSTSSSETICHVSNTLV >OIW02032 pep chromosome:LupAngTanjil_v1.0:LG11:9760589:9765731:-1 gene:TanjilG_13770 transcript:OIW02032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLESNYDIAATAHFIHNHNFTRVALQFPDDLLKDSTRVVFALRKRLQSLRESDVAGNGGEKEIGLYVMADTTYGSCCVDEVGASHINADCVIHYGHTCFSPTTTLPAFFVFGKASICVADCAESISKYALTNSKPIMVLFGLEYAHSIQQIREALLEPSLSSRYDLKSEVHFADVPTSAIFPSKDINKLDGPQQLDSDCSNNASADGTSGTRCCIGGLTWKLPEGQSMEDYSLFWIGHDNSAFANIVLTFNACEIVTWIIVLIMMLLVLVRYDAPENQMMTDLSQQRRILKRRYYLVERAKDANIVGILVGTLGVAGYLHIINQMMELITGAGKKAYTLVMGKPNPAKLANFPECDIFIYVSCAQTALLDSKEYLSPVITPFEATIAFSRGSQWTGAYAMDFGDLINNTPLEVGKQEEARFSFLKGGYMEDLEYQENVEEETEELALVNATEKALQLRDKSNSLVKGEARSGAEFFANRSYQGLNMPDDNPSPEPYFIGRRGRAAGYEDENNNH >OIW02219 pep chromosome:LupAngTanjil_v1.0:LG11:6993611:6996531:-1 gene:TanjilG_31968 transcript:OIW02219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSETMEEVKKQRDMKKILLLINTVMLAIGAGGGPLIMRLYYIHGGKRIWLSSLLLTVGFPITLIPITISYLRRRHHHHHHHHSLSNMDSPKPKIFTATPVLFFAFSVIGLICGVSNYLYAYGVARLPVSTATLVMATQLAFTAIFAFIMVKQKFTFNSVNAIVLLIFGAGILAEHAGSDRPSGESTKQYVIGFVMTLVASALSGLAFPMVELMYKRTLSITYSLVMELQMVLGFSATIFSLIGMIIDNDFKVISREAREFGLGEAKYYVILAGCAILWQFYLMGAIGIVFCASSLFSGVMVSVMLPITEVLAVILYKESFEATKGISLVLSLWGFVSYFYGEFIKAKKMRKKLISETELALDHSIRNP >OIW01782 pep chromosome:LupAngTanjil_v1.0:LG11:18214476:18215653:1 gene:TanjilG_03920 transcript:OIW01782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGLALDLFLVRRATLANPWWTSQVGLSGVDHPGTHSPGFIAKPQTNLTINETNSGGNNRDLEDEDNKGDDQEPREGAVEVGNRRPRGRPAGSKNKPKPPIFVTRDSPNALKSHVMEIAAGADVAECVAQFARRRQCGVCVLSGSGSVANVTLRQPSAPGGAVALHGRFEIQTLTGAFLPGPSPPGCTGLTVYLNGGQGQVLGGSVVGSLVAAGPVMIIAATFSNATYERLPLDDSNDEGPGGAVAATTHDGGSGGSSPPRPPGIGSMSGGQLQQAGIPDPPVSHPLYNLPPNLLHNGGQMGHEAFAWAHGRPPY >OIW02391 pep chromosome:LupAngTanjil_v1.0:LG11:3595206:3599844:1 gene:TanjilG_04984 transcript:OIW02391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGILNKLRNLDAYPKINDDFYSRTLSGGIITIVSSILMILLFFSELELYLNALIQTKLVVDTSRGETLRINFDVTFPALPCSILSLDAMDISGERHLDIKHDIIKKRIDSHGNVIETRQDGIGAPKIEKPLQRHGGRLEHNETYCGSCYGAEATDEDCCNSCEEVREAYRKKGWGLSNPDEIDQCKREGFLQRIKDEDGEGCNVYGFLEVNKVAGNFHFAPGKSFQHAGAHVHDLLPFQKDSFNLSHHINRLAFGEYFPGVINPLDRVKWTQGTRSGMYQYFIKVVPTVYTDVNGHTIQSNQFSVTEHSRTGDLIQYVPGVFFFYDLSPIKVTSTEEHNSFLHFITNVCAIVGGVFTVSGILDSFIYHGQKAIKKKMEIDTVYSRYQLLNEIPIWGFFQFQENDFALIINDG >OIW01819 pep chromosome:LupAngTanjil_v1.0:LG11:17355184:17357157:-1 gene:TanjilG_28882 transcript:OIW01819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELVRKPSICWVFVSVIIFAQVSNSFYLPGSYMHTYSNGDPIYAKVNSLTSIETELPFSYYSLPYCKPLGGIKKSAENLGELLMGDQIDNSPYRFRMNSNETIYLCTTTPLNEHEVKLLKQRTRDLYQVNMILDNLPVMRYATQNGVKIQWTGFPIGYTPPDGNADYIINHLKFTVFVHEYEGSGVEIIGTGEEGLGVISEADKKKASGYEIVGFQVVPCSIKYDPEVMTKLHTYDNVSSINCPSELDKYQIIREQERISFTYEVEFVKSDIRWPSRWDAYLKMEGSRVHWFSILNSLMVIFFLAGIVFVIFLRTVRRDLTRYEELDKEAQAQMNEELSGWKLVVGDVFREPECSKLLCIMVGDGVQILGMAGVTIIFAALGFMSPASRGMLLTGMIILYLIQGIVAGYVSVRLWRTIKGTSEEWRSISWLAASFFPGIAFVILTILNFILWGSHSTGAIPISLYFELFFLWFCISVPLTLIGGFLGTKAQPIEYPVRTNQIPREIPARKYPSWLLVLGAGTLPFGTLFIELFFILSSIWLGRFYYVFGFLLVVLLLLVVVCAEVSVVLTYMHLCVEDWRWWWKAFFASGSVALYVFLYSVNYLVFDLQSLSGPVSATLYLGYSLLIAVAIMLSTGTIGFLMSFYFVHYLFSSVKID >OIW01860 pep chromosome:LupAngTanjil_v1.0:LG11:15878782:15880576:-1 gene:TanjilG_07155 transcript:OIW01860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPNNFTRRFGGVLPNPLFFKPPDGTEWKVYWIENDGKIWFQKGWKDFATYYSLDYGHLVVFEYQESSHFEVHIFDMSALEVEYPFHNQISNVESDENLNEESPLKKKRMKAPMLSRHLHKTEVNASPNLKNLLQNAQSKDGKSTQCTSLLISPHPRTNGNLVEAKKFVSENPFVTINITPSYLDGNHPSLSSVFARRYFKKRMQNVMIKFGNKFWHLKVFCYLSKGSASFGNGWTLFAKENKLEVGDVCVFELINIEDKVFDLHIFRGHNYAIP >OIW01141 pep chromosome:LupAngTanjil_v1.0:LG11:35948604:35949395:1 gene:TanjilG_25249 transcript:OIW01141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASPMVSQLKSNFTRSLVTPKGLSGSSPLQILPSTRQFSFTIKAIQSEKPTFQVIQPINGDPFIGSLETPVTSSPLVAWYLSNLPAYRTAVSPLLRGIEVGLAHGFLLVGPFVKAGPLRNTPDAGGAGSLAAAGLVAILSLCLTIYGISSFNEGAPSTAPSLTLTGRKKEPDQLQTADGWAKFTGGFFFGGISGVTWAYFLLYVLDLPYFFK >OIW01369 pep chromosome:LupAngTanjil_v1.0:LG11:32218010:32219239:-1 gene:TanjilG_12909 transcript:OIW01369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNNYRFKLSYMMPNAWFYKLKDMGRSRKQISTTTTSQSRKKKHSSSTPTSSTKHSSKPKQQHQYNIPRKSYHFTRDLNLNLNYSHDTSDDILNTSPKTEKDLTTTNFHEPLRKSIKQRAKRRASKTSLAKISYSSSLSPLELELYNPEFRTDNVLLATESLSFHDRVSLSNNNSSCGCKVLLSNNNTNNDIIIDVDNNSIARKDDKLGGDCDDPFSELVLPPIVTKPSTTKFNDLLSDDAKNNNNNNKETKPRSRMVTHKDQEHNVKGETRFTESKSLKGSLLKVKIVKEDIALMKEMRNNSSGSGGSRRLRLRINSPRIRSRKSVSSTAAAGSRRSLSNSFAIVKSSFNPERDFRESMMEMIVHNNIRGSKDLEDLLACYLSLNSDEYHHLIIKVFKQIWFDLIDNH >OIW02735 pep chromosome:LupAngTanjil_v1.0:LG11:1450268:1455591:1 gene:TanjilG_29511 transcript:OIW02735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRKRIVHQSNHPFASYPFEAYISGSWQFVEFITIESGTLYMHLKNKYPVAMEKGPFSDIRVKSRKAVLSDCSSFLRPGIDVSVLLPPKKTDNSDELNLKPIWLDARISSIQRKPHNSECQCQFYVNFYVNQGSLGAEVRTLRKEVKDCPALPNTKLLLGKFLADISWLVVTSFVKKVLFCVRTVKDKLVYQILDSEADESSLNAESTINVVSFTLDDENGGLVSNVSQVTAKPETIDYAHESHEEKVSPSSYNVEGLRRSKRRHVQPERYLGYGDVTEFDVGSFRSQPPIKIETAAKGDNGLSLPLSNLLTLNQKPLQEDFDNSQNLSRMNISRDLLVYSTKKRHKTKKRKSIRSNQNEHQNQLAIIPLPDHGEPISVDLCDQNDNVSGNHGHESFDTPLKSYHHYLASSSKQKCENINLLTFEPNSMPAKANDAEKSDEFTSRSHFGYGISKLQRKDSSVMDDMDLGGTKWEGISSSKGVQDKTYHPTYSRSRNPDEERTYKDRTLNATAYKDLINSYLKNINVTPTEELPVTDHWKQLEETSGFSGMKETETEIFDEEDAEEAEIDMLWQEMEVSLASCYLQEAEGANAVNHTETVEKLEQGCPHDERMDDQIGIHCRICGHVFVDITNIFPPFLERPERHREEKQSSGEDSDLERKADEDGDCHLFLTDAPPVDEPKIVENENVWTLIPELSPKLHAHQKKAFEFLWQNIAGSMETALMETDPKKIGGCVISHTPGAGKTFLIIAFLVSYLKLFPGKRPLVLAPKTTLYTWYKEFIKWKIPIPVYLIHGRRTYRVFNQKNSMVFPGIPKPTEDVKHVLDCLEKIKNWHSQPSVLIMGYTSFLTLMREDSKYAHRKYMARVLRESPGMMILDEGHNPRSTKSRLRKVLMKVHTDLRILLSGTLFQNNFCEYFNTLCLARPKFAKEVLKALDPKYKRKKKKGANYESRARKLFLDTIAKKIDSKVNEERIEGLNMLRNLTNGFIDVYEGGSSDALPGLQIYTLLMNPTETQHEMLHTLHQKMAQSNGYPLEIELLITLGSIHPWLIKTAVCAEKFFSNEQLIDLEKCKFDLKIGSKVKFVLSLIYRVFQNEKVLIFCHNIAPVKLFLEYFERYFRWQRGREVLVLTGELELFERGRVMDKFEEPGGVSKILLASITACAEGISLTAASRVIMLDSEWNPSKTKQAIARAFRPGQQKMVYVYQLLVSGSLEEDKYKRTTWKEWVSNMIFSEDFVEDPSQCQANNLEDDILREMVEEDKSKSFHMILKNEKASTTRE >OIW02064 pep chromosome:LupAngTanjil_v1.0:LG11:9417131:9426859:1 gene:TanjilG_21113 transcript:OIW02064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKYAVVTGGNKGIGLSTCKLLASNGVTVVLTARDHKRGLEAVQKLNELGLKDHVVFHHLDVTDPATIPPLVDFITAQFGKLDILVNNAGISSIVVDDVALAASGLEEKGPRGFDWSKILKDDQSAEPAIKTNYYGPKEISEALIPLLQLSDSPRIVNVSATMGGLEFIPDGRAKEVLSDIESLTTEKINDIVNQFLEDYKEGSLKTNGWPTHLSAYTVSKAALNAYTRILAKKYPSFCVNALCPGHVKSDFSHNTGNFTIDEGAECVVRLALLPNGGSSGLFFHRSEGKRFFVAVHVGAGYHSPSNDKPLRSAMNRACLAAASLLSDGSGQCIDAVVAAIRVLEDDPSTNAGRGSNLTEEGSVECDASIMDGKSSAFGAVGAIPGVRNAIQVAALLAKEQKMGSQLLGRIPPIFLVGEGAHKWAKSKGIALPESITDANEWLVTERAKTQWTKYKSMVEAARPKTDNSLEGHPSICQSTAIPDDALEDRVMDTVGVICVDSEGHVASGASSGGIALKVSGRVGLAAMYGSGCWASSKGPFGAPFMAGCCVSGAGEHLMKGFAARECCVSLSLSQSGAASACTKVLHSVAEDASRCGTDSSAGILVVQSDITDEGKSSRLKAVEIVAAYTSLSFGVGYFGSSMERPKVSILRSTKQQSQNTIDQFGARIDLSNG >OIW01246 pep chromosome:LupAngTanjil_v1.0:LG11:34197412:34200138:-1 gene:TanjilG_10407 transcript:OIW01246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLTSFFIPRNTLFLIHFTKSISSIASLPHTVTTVHPHPPNDIPSHLFTILSHPNWQNNLNLKTLVPFINPSHVSTLFGFGIVPNTALDFFNWVARKEHGYKHSVHCYASLLEILVCNSLPRVAENVRISMIKSSDSVEDVRFVLDFLREMGLNCDVRFKFKLTLKCYNLLLMSLSKFLLVDEMKCLYLEMLDDMVLPNIYTFNTMVNGYCKLGNLVEAKIFVSKIVQGGMSPDTFTYTSLILGHCRNKDVDGAYNVFKLMPSKGCRRNEVSYTNLIHGFCGAGRIDEALKLFSWMGEDNCSPTVRTYTVLICALCDLGRKSEALNFLREMRGRGCEPNAHTYTVLIDCSCKENNLDGARKLLDQMLNKGLVPSVVTYNALIDGYCKAGRTTDALEILGLMESNNCSPNARTYNELICGFCKVKNVHRAMSLLHKMVERKLSPTLVTYNSLIHGQCRAGHLDSAYRLLNLIEKNGLVPDLWTYSIFIDTLCKSGKVEEAHALFKSFKEKDLKANEVIYTALIDGYCKAGKVDDAHSLFKRMLAEECLPNSITYNVLIDNLCAEKKLQEALLLLDEMIKINVKPTVETYTNLIVEMLKEGDFVHADRTLNQMISLGCQPDVFTYTAFVHAYCSQGRLEEAEDVMVKMKEGGIIPDSLTYTFLIDGYGHMQSTDCSFGVLKRMFDAGCEPSHYTYAFLLKHLAKEMQMIKNGCNVEVSFVPGVVQNDLANVWMIIDFDITFLLFKKMVEHGCTPNINTYSKLITGLCRAENVYVALQLLNHLQEAGMYPNELIYNELLFCCCKLKMHVEAVSLLDAMVDNGHLAHLESYKLLVCGLYDEGSKDKAESVFRTLLRCQYNNDEVAWKVLIDGLLKRGYNDECSMFLNLMEEKDCRFHPHTYAMLIEGLHGT >OIW02713 pep chromosome:LupAngTanjil_v1.0:LG11:1615737:1616678:-1 gene:TanjilG_29489 transcript:OIW02713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACSRPPPSKSLDLDLTIVSAKHLKNVNWKNGILKPYVVFWVDPDRRLATKSDDSGSTKPVWNERFTLPLPHPLNDTFLNLEIFHSQSSDTAKPLVASLHLPLKDIHHLTDPTRIRKFTLNRPSGRPDGKIYLKLGLLGRPIQTLDHVSTSPSVLGYTPPTLPPHNPIAPPSLRDYRGFSPSISHLPSYPSYSTYTSYSDAYSSSGYYPGYYSGAPPPPPPSRPFLDRPLGYGSGPSGPSAPIDYSSYEKPRSAKVGMGIGAGLAVGAVAGAVGGIALEEGVNYEEEKISERVESAANLAASRDDYSEYRVDY >OIW02938 pep chromosome:LupAngTanjil_v1.0:LG11:67799:68515:1 gene:TanjilG_29714 transcript:OIW02938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWWESNVAANQMLYYFCFLFNNNTPPSWMALATLFLLFSSYLLLLGFGFFSILYTSLLLILSTTVVILYSTVSKHKAVYVDNLVPEEKDLISFEDQESSSDQKENYAAPTLLQKHGSTEEKEGQEVHKPDLLSSESECQDQYQLWTSDESEVGWSFQYDVDDNSDGSISDEESLIEIALPSGQCSLQHKKRELSAEALLSQQTIIREFLAEFNEEENLIEIDISMGSIKYSRFEIKA >OIW02837 pep chromosome:LupAngTanjil_v1.0:LG11:777811:778810:-1 gene:TanjilG_29613 transcript:OIW02837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVKVYGPAYGCPKRVLMCLIEKDIEFETVPINLSKGDQNHPDFLKLQPFGEVPVVQDGDYTLYESRAIIRYYAEKYKSQGTDLLGQTIEERGQVEQWLEVEANNFHRPIYDLVIHVVYAPIQGFPSDPKLIEESEKKVGKVLDIYEERLSKSKYLAGDFFSLADLSHLPFTHYMVNQMRKEYMIRSRKNVSAWWDDISNRPSWKKVLQLYKYPV >OIW02655 pep chromosome:LupAngTanjil_v1.0:LG11:2017372:2019861:-1 gene:TanjilG_29431 transcript:OIW02655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSFLSLLFFLFAIAAGEDIASDRVALLALRSAVGGRSLLWNLTHTTPCSWSGVFCKGNRVTMLRFPGVGFSGTLPLGLGNLTQLKTLSLRFNALNGTIPSDFANLVNLRNLYLHDNFFSGEIPDFVFNLKNLMTLNLGSNNFSGEISPKFNNLTRLSMLFLENNHFIGSIPDINVPPLQHFNVSNNLLNGSIPERFSRLDKSAFSRNSLCGKPLQPCPGTEGGKKKLSGGAIAGIVIGSLFGVLLVLLILLLLCRKKRGISDSNDVAPTKPVEVVAPLEKSGNDSENDASDSNLAVAATKVDGKSLVFYGNMSKPFDLEELLRASAEMLGKGTFGTTYKATVDTGMNVVVKRLRDVTAPENEFKEKIEQVGKMAHENLVPLKGYYFSWDEKLFVYDYMPMGSLFTLLHANSGAGRIPLNWETRSAIALGAARGIAYLHSHGPAFAHGNIKSSNILLTESCEAHVSDFGLAYLALPTSTPNHVSGYHAPEVTDANKISQKADVYSFGVMLLEILTRKAPNYSPLNEKEVDLPRWIQSVVEEEWKNEIFDAELLRYQNFEEEMLKVLQLALECTAQYPDKRPSMDVVARRIEEICNSSIEKEGVSQQYYSVDLDALQA >OIW02172 pep chromosome:LupAngTanjil_v1.0:LG11:7635138:7636637:1 gene:TanjilG_02396 transcript:OIW02172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKKFVCKYCSKRFPCGKSLGGHIRTHMNEHSAQANKERSNAAMLKFEAMRKKKRDSLGYGDGDGDAGNPTYGLRENPKKTMRFVHSNTNKNVQQQLGKFCKECGKGFSSLKALCGHMACHSEKEKQKLVMDSQSDTETNSAPRRSKRMRFNNIISSSNNNNNNNYLSYSMANGSSSVSEVEQEQEEVARCLMMLSKDSSYHHSGRFALFAESSDNNSVILEAKSPSLETKFTIKNGKKSVSNAYEFAERRLRNDSKLKSSEFGYHSDNSDSGYFRYGPKKVDSADSNDGVFRSEVKSSKVRDMAGFDDCDVELSKVLSRGRSRNTEFKKLVLEDLDNVREHGDTKRFASKKSLIYDSLGSKKVANDFNDEIYKRNGWKYESLNTERDNGYEDDSAYESDENSSDSDSYPAAKPHNNKNLNGKKLSKGKKKLKLKSKKSKEHQCPICNRIFSSGQALGGHKRSHFVGGSEENTLVIRPASATPVPCLIDLNLPAPVDE >OIW02310 pep chromosome:LupAngTanjil_v1.0:LG11:5430883:5438189:1 gene:TanjilG_11204 transcript:OIW02310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFNRTRTIEMPKLKRCDLEGANCEGRESSAIQKKRKGNGFYSLVKPCDSEDFSSSSGSWCSEGSYWDGSGSGAEVQSNSNSILKQFHDKTATRPPLLRSSRGRVQTLPSRFSDSVLDTWKDQGNQMEDRDYSYEDGNNKSLAEDRVSISDWDNYGLKNYQCCSGVKVRMEIDDEREHADSSSIGKKSNTSVLSFEAVDQAPNVKVGKGKEIYKPEDFALGDVIWAKCGKRYPAWPAVVIDPLSQAPKSVLSCCVPGALCVMFFGYSKNGKRRDYAWVKQGMMFPFLKFMDRFQGQTQLYKSKPSDFRMALEEAMLAQDGLLDSQLGVEEVTNVKVHSGGLKQATGSYFDHECYYQGQGARSCAGCGLMSPCKTMKKLKDSSCEPQFYCKHCAKLRKSKQYCGICKRIWHHSDGGNWVRFCFVNQVCCDGCNVWVHAECAKISSKLFKDLENTDYYCPDCKGKIKHESPVPQTYKSEIKSIKSCQKSVIPEKVMVVCNGMEGTYIPKLHLVMCNCGSCGSRKQTLSEWEKHTGCRAKKWKNSVKLKSTMLPLEKWITEHISQDGVPPLQLDQQQVLAFLQGGALKPTDVEMLWVHVTCAWFKPEVVFQNHETMEPALGILRIPPNTFVKTCVICKQSHGSCASCVKCATHFHVICAARAGYSMELHSMEKNGSQIIKKLIYCAVHRTSFQNHKGCLRGSRLVSSKNADLNEFPISESELVEELSTARCRVYRRSPNKRANVPIIHLLRGPSLHSLSAVIQLNDYKRDEDSEVFTFKERLHHLQKKEKRIICFGKSGIHGWGLFARRDIQEGEMVVEYRGEQVRRSVADMREAKYRLEGKDCYLFKISEEVVIDATHKGNIARLINHSCMPNCYARIVTLGDRESRIVLIAKINVSAGEELTYDYLFDPDERDELKVPCLCNAPNCKKFMN >OIW01839 pep chromosome:LupAngTanjil_v1.0:LG11:16960579:16978343:-1 gene:TanjilG_15703 transcript:OIW01839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTPNADSATAPPRPTITLPPRPSAEAFFAAGGVSPGPMTLVSNFFSDSVYSDTDCRSFSQLLAGAMASPLAFGAARPLIFPTTDNSGEDDGGTHKSLGFKQSRPMNLVVARSPMFTIPPGLSPSGFLNSPGFFSPHSPFGMSHQQALAQVTAQAVLAQSHMHMQADYHPSAAINASTEPSVEQPSFSLNEASEQQVLPSVSEPMNAQPGTSELTQTDKKYQPSSLAIDKPADDGYNWRKYGQKHVKGSEYPRSYYKCTHLNCPVKKKVERAPDGRITEIIYKGQHNHEKPQGNRRVKDNSDSNLNGSVQPNTNPNSQGWVGSSTKFEESMPDCSVPEHDLTSNPGASRQLPGSTVSEEAGDVENRDVGDDKEPNLKRKQVLPFILYHTVPHLHNSSRSDEMNTELVVSEAPLSQKTVTESKIIVQTRSEVDLLDDGYRWRKYGQKVVKGNPHPRSYYKCTSAGCTVRKHVERASTDPKAVVTTYEGKHNHDVPAARNSSHNTTSSNSMALKPHNVVPEKHPLLKDMDFGSNDQKPVHLRLKEEQIIV >OIW02658 pep chromosome:LupAngTanjil_v1.0:LG11:1985851:1988445:-1 gene:TanjilG_29434 transcript:OIW02658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQHYLLLLLVVSFVAADEGIQVVVTVPNDELLAIGQSNSTAANWVFHNVVAHYPSTNITAICVGSEVLTTLPNAAKVLVNAIKYIHSALVASNLDRQLNPVLVPLLDFLQSSGSYLILNVYPYYAYMQSNGVTPLDYALFKPLPPNKEAVDSNILLHYTNVFDAMVDAAYFAMAFLNYTNILVVVTESGWPSKGSGDTLRNDTSDTIFCVAKDGADPEMLQAALDWACGPGKVEFSPLLQGQPCYEPDNVIAHANYAFDSYYHKMGKTPDSCDFNGVATISSADPSHGSCIFQGSLGKKNGTLANFTAPSVNYTN >OIW01501 pep chromosome:LupAngTanjil_v1.0:LG11:27379021:27385415:-1 gene:TanjilG_19427 transcript:OIW01501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAMEKDFESKLKIQGNSSNGSGGGSVQRSKSFAFRAPQENYTIQDFELGRIYGVGSYSKVVRAKKKDSGIVYAMKIMDKKFITKENKAAYVKLERIVLDQLDHPGIVRLFFTFQDTFSLYMALESCEGGELFDQITRKGRLSEDEARFYAAEVVDALEYIHSLGLIHRDIKPENLLLTSDGHIKIADFGSVKPMQDSQITVLPNAASDDKACTFVGTAAYVPPEVLNSSPATFGNDLWALGCTLYQMLSGTSPFKDASEWLIFQRIIAREIRIPDYFSEEARDLIDRLLDLEPSRRPGAGPDGYTALKMHPFFNGVDWDNLRAHTPPKLVLDSGGQSPEVDYVHDSSWNPSHVGDGSARQPDGVATSSEGTGSITRLASIDSFDSKWQQFLDPGESVLMISMVKKLQKLTSKKVQLILTNKPKLIYVDPSKLIVKGNIIWSDNPNDLSIQVTSPSHFKICTPKKVMSFEDAKQRALLWKNAIEGLQNQ >OIW02593 pep chromosome:LupAngTanjil_v1.0:LG11:2691352:2695775:-1 gene:TanjilG_24044 transcript:OIW02593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGSENQSSDQQPQPFHLSASTTHQMDNHDPLSATESYSNYRSVMSTLSDIHHPLSDPLLSPPSTQSPNPNGNSNNSSSISSYVDLPSYNGVVLTLNGDVDPDSPTASSESSQSISRSPSSSSDYLKVTVSDPVKEQESSNSLVPGSNSYVTYLITTRTNIPEFGGSEFGVRRRFRDVVTLSDRLAESYRGFFIPPRPDKSIVESQVMQKQEFVEQRRVALEKYLRRLAVHPVIKKSDEFRVFLQAQGKLPLPTTIDVASRVMNGAAKLPKQFLGNSVIAPDEVVQPAKGGRDLMRLFKELKQSMVNDWGGSKPVVVEEDKEFLEKKESIQGLEQHITSASQQAESLVKAQQAMGETMGELGLAFIKLTKFENEQAVWNSQRVRAADMKGVATAAVKASRLFRGLNAETVKHLDTLHEYLGLMLAVHGAFSDRSSALLTVQTLLSELSNLQLRAEKLEAASSKVFGADKSRIRKLEELKETIKVTEDAKNVAIREYERIKENNRSELERVDRERQDDFLNMLKGFVVSQVGYAEKIANVWTNVVEETRGYVNEST >OIW01758 pep chromosome:LupAngTanjil_v1.0:LG11:18587422:18589167:-1 gene:TanjilG_03896 transcript:OIW01758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLLHQYQNDDVPDSPLQNPNSPDSSPPRLLPSRSAAPSVDDTMLSLAVNHKSLSNPIDPTQHAVAFNPTYDQLWSPIVGPAHPYAKDGIAQGMRNHKLGFVEDAAIAPFLFDEQYNTFQKFGYAADPSASAFNNYVGDFDALHKNNAVSVYNIPKHEQKRRKIEEKSKQENDENEEEEGDVGEEMDNPASEAWLLKNKKSPWAGKKEGLQGELSEEQKKYAEEYAKKKGEEKSGFGGEKGEVVKDQSTFHGKEERDYQGRSWIAPPKDAKASNDHCYIPKRLVHTWSGHTKGVSAIRFFPKYGNLILSASMDTKVKIWDVFNSGKCMRTYMGHSKAVRDICFSNDGTKFLSASYDKNIKYWDTETGQVISTFSTGKIPYVVKLNPDDDKQNVLLAGMSDKKIVQWDMNTGQITQEYDQHLGAVNTITFVDNNRRFVTSSDDKSLRVWEFGIPVVIKYISEPHMHSMPAISLHPNSNWLAAQSLDNQILIYSTREKFQLNKKKRFAGHIVAGYACQVNFSPDGRFVMSGDGEGKCWFWDWKSCKVFRTLKCHEGVCIGSQWHPLEQSKVATCGWDGLIKYW >OIW01580 pep chromosome:LupAngTanjil_v1.0:LG11:24634962:24641374:-1 gene:TanjilG_21160 transcript:OIW01580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEPTPAATAPLTAQPPSHKSWADEADEESNATASSSSAPPQTSSLEVDDLTIEDNKKPPKLLDDPDDSNIQTVTSGETPYTSAATFEDLNLSPELLKGLYVEMKFQKPSKIQAISLPMILNPPHRDLIAQAHNGSGKTTCFVLGMLSRVDPKLQVPQALCICPTRELAIQNTEVLRKMGKYTGISSECAIPTDSRVDLPIAKRAPIMAQVIIGTPGTIKKWMTFKKLGVTRLKILVFDEADQMLAEDGFKDDSLRIMKEIEKSNSNCQVLLFSATFNDIVKNFVSRTVKKDHNKLFVKKEELSLDAVKQYKVHCPDELSKIEVIKDYIFEIGENVGQTIIFVRTRESAKMLHKSLVDLGYEVTSIQGALDHEERDKIVKEFKDGLTQVLISTDVLARGFDQQQINLVINYDLPVKHTPEYNTREREPDYEVYLHRVGRAGRFGRKGAVFNLICGERDERLMSKIENHFGTHVTEVKEKSVEDYKGALKEAGLLQ >OIW02624 pep chromosome:LupAngTanjil_v1.0:LG11:2488427:2489710:-1 gene:TanjilG_24075 transcript:OIW02624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKASVPVKSVVYALSPFQQKIMGGLWKDLPNKIHHKISENWISATLLLTPIVGVYTYVQTYQEKEKLSHRY >OIW01903 pep chromosome:LupAngTanjil_v1.0:LG11:13853288:13856828:-1 gene:TanjilG_15228 transcript:OIW01903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSALSTTLKTLRRTSHINPTTIFTTFFSVQPHHHDSTDSDSFDSSHYQISTTNQPNPAPTWDDKYREKADKVISPKGKLKLKEEEDERKKRALAKALLEAALEANDEDEEEEKVAVVNEEDQKSLSVGIIGAPNAGKSALTNFMVGTKVAAVSRKTNTTTHEVVGVLTKGDTQVCFFDTPGLMLNCGGYPYRDVKARIESAWSSVNLYEVLIVIFDVHRHLTRPDSRVVQLIKRMGARPIPNQRRVLCMNKIDLVEKKKDLLKVAEEFKDLPGYEKHFMISGLKGAGVKDLTQYLMEQAFERPWEEDPFTMSEEVMKMIALEVVRERLLDHVHQEIPYDIEHRLMDWKELRDGSLRIEQHFITHKLSQRKILVGKNGSKIG >OIW01571 pep chromosome:LupAngTanjil_v1.0:LG11:25217539:25218918:-1 gene:TanjilG_09395 transcript:OIW01571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEFASGVKGIALNLENENVGIVVFGSDTAIKEGDLVKRTGSIVDVPAGKSLLGRVVDALGVPIDGRGALSDHERRRVEVKAPGIIERKSVHEPMQTGLKAVDSLVPIGRAPRELIIGDRQTRKTKTGKTAIAIDTILNQKQMNSRATSESETLYCVYVAIGQKRSTVAQLVQILSEANALEYSILVAATASDPAALQFLAPYSGCSMGEYFHDNGMHALIIYDDISKQAVAYRKMSLLLRRPPGREAFPGDVFYLHSRLLERAAKRSDQTGAGSLTALPVIETQAGDVSAYIPTNVISITDGQICLETELFYRGIRPAINVGLSVSRVGSAAQLKAMKQVCGSLKLELAQYREVAAFAQFGSDLDAATQAFLNRGARLTEVLKQPQYAPLPIEKEILVIYAAVNGFCDRMPLDKIAQYERAILTTIKPELLQSLKGGLTSERKIEPDAFLKEKALTVI >OIW01452 pep chromosome:LupAngTanjil_v1.0:LG11:29621090:29623270:1 gene:TanjilG_30926 transcript:OIW01452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQGSSLSVENSEKDVLVSSNGIFTAGFYPVGNNAYSFAIWFTQNPTVVWMANRDNPVNGKYSKLSLLTTANLVLTDAGKYNIWSTDTASTSSVHLKLLSSGNLILQQLEPSLVLWQSFDFPTDTLLPQQQLTRHSTLVSPRSNTNYSSSYYHLFFDNDNVLRLLYDGPEISSIYWPDPWLVSWNAGRSTYNTSRIAVLDTLGQFVSSDNFTVMATDYGTVLQRRLKVDCDGNIRVYGRRNGGEEWYVSWQSNLTPCRIHGICGANSMCTYDPNSGRSCSCLSGYKMKDDTDWSLGCVPKFNLPYDNVSDFLSQEHLEFYGYDFGFYPNYTFDQCKALCMHFSDCKGFQHSFGDGVFNCFPKFQLLNGYRSPSFVGTTYLRVPQMRKDSIGKYSCPANNETLQLKRTYVKEEENGSVKFMMWFSTGLGGLEVLCIFLVWFLWFSNTQEPGADVHSYALATNGFRRFTYSELKLATKGFSEEIGKGAGGVVYKGILSDSRVAAIKRLKETNQYGEREFLAEVRSIERLNHMNLIGMWGYCAEGKHRLLVFEYAEHGSLAQNIQSNQLDWTKMYNIAIGTARGLAYLHEECLEWILHCDVKPQNILLDSNFEPKVADFGLSKLLSRSDIKHSNFSMIRGTRGYMAPEWVFNLPITAKVDVYSYGIVVLKMLTGKSATMDVMDDDNGVELQHRRLVTWVRDKFNKGYSSSLSISLVEEIMDPAIEGDYT >OIW02238 pep chromosome:LupAngTanjil_v1.0:LG11:6049147:6050451:-1 gene:TanjilG_15121 transcript:OIW02238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDIISTSSIQAESDVFGDSSSPKIIQLNPWDLTSMTVETNRKGLLFKNPINIEHQIQHLKQSLSSTLAFFPPLAGRLVILQHDEDNTISSHILCNNAGALFVHAVVSDNTCVSDILHSKYFPPVLHSFFPLSGVKNFECTSHPLLAVQITELVDGIFIGISMNHLVADGKSLWHFINSWAELSRGCDVLSKLPSLERWFLHPNRLPIRFPFNEDQIEKSEDCTNYKRLFHFTKEKIAKIKSKANLEAGTDKISSLQALLTYLWRTVIRNQQLDPEKECNYGLVMDLRERIVPPLPDNYFGNAVTFGVIGIKAEELLLEGGLGKGALEMHKMIASYSDEKLKILYKSWVRPPSMFESGGVSNMLGTSSSPRFNVYGNDFGWGKPLAVRSGNSMNGITTLFAGAEEGSIDLTLCLPYKVLEAIGNDPQFMDPFSI >OIW02400 pep chromosome:LupAngTanjil_v1.0:LG11:3701110:3704021:-1 gene:TanjilG_04993 transcript:OIW02400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYALRIEDVFTRYINLPDQERDTAASFPEVPYRRGIQNKEYLLRTLQQLRSENDIALQLAKIYEPDSLKMTSMAELEASEKHLVDTLTHVIQRKDYLLNNHLSSYDHQSGIQEMPTSFDNVGWLHDGNQNHTHMFDASAPLDPLRDLSSTVYGSFSQGASSNADPRGMAEYQVPNTSDGNLTTWSQGFTMYPNIQHMVGHDMQDMLPHGQVNIQNTNSHVEPPKNDSAEYDCKSQHQLNAQSHMLN >OIW01947 pep chromosome:LupAngTanjil_v1.0:LG11:12415372:12422135:-1 gene:TanjilG_25103 transcript:OIW01947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFTSSLPSHFLPPLPLSTKSTTSPFLTLLSPQPLFFSFSSSSSFSFSLRASKNTSSNKTSSPKDNEFEEVEEELPWIQEKALDVVEFTTSVTQAIPGPRVGPTSLPWILAVPLGYVAVTFVISFVNTVKKFTSPKAKRRKLVGKNATLLKSLDDLFRQGRDKLNLDALKELENKTGFDSEGILRKYIRYALNEKPFNPDLVADLIQLRSATMLNDSSVAQILNEISQRVVRDKGPIVLDKSGYTEKGFKRKLAVQALFGKIFYLSEVSGCK >OIW02531 pep chromosome:LupAngTanjil_v1.0:LG11:3136793:3137394:1 gene:TanjilG_12845 transcript:OIW02531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHRVEETPTEQLNRLTHEIEVEKKYAVELDNSQNASPGQSWWTGPIEKMNIQQLAQLKSALEDMKKNVAHQCTNILIQNAMKPNPYSNPALPSRMLQTPMFQNFKLLQSDLGGGLY >OIW01600 pep chromosome:LupAngTanjil_v1.0:LG11:23381309:23384585:1 gene:TanjilG_08781 transcript:OIW01600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETFAVSFSTLRFSHVPSISRSHLFPFNSFNFSPSPSHHSSPLLNLVTKAIDPSNDSFDFFPWSNPESEIQWVPEERITLFTADGLVQIGGSMVPRRKKPGKLKNAKKSQRFQESNYMDPKQGICLGALFDIAATNGLDMGRRLCIFGFCRSIEMLSDVVEDTVLEHGGEIIAAEKATKGDLHEKLTMTVAVPLLWGVPPASETLHLAVKSGGGIVDKVYWQWDFL >OIW02130 pep chromosome:LupAngTanjil_v1.0:LG11:8305541:8307023:-1 gene:TanjilG_25414 transcript:OIW02130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASGNNFLLVIAKNFDVFALPLVTLVYPLYASIRAIETRSITDDQQWLTYWVLYSLITLFELTFAKVLELLPIWPYAKLILSCWLVLPHFNGAAHVYRHYVRPFYMNPQLPQMPQMPQMPRAASEMWYVPKKNMFSKQDDVLTAAERYMEEHGTEAFERLITKVQL >OIW01466 pep chromosome:LupAngTanjil_v1.0:LG11:25961797:25986635:1 gene:TanjilG_19392 transcript:OIW01466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRIYFSILSIAVFALSTSAYEYSTKFGYAGPNGPEKWGSLSPSYLSCSNGKAQSPVDLAKADVVWNKQLKSLDKHYIPTNATLVNNKFNLGVHFEAKVGDINIDRKNYSLKQLHWHSPSEHQANGRIHDAELHLVHLTEDNNYIAVLAVLYKLGDADPIISKIEDKLIDLEKQNLAGHKDVQIALGTFDLNEINKRTHRYYRYVGSLTTPPCKEGITWNILGKVRTLSKKQLELLKAPLDPECKHNARPIQPLNGRKIEMYYKPKHA >OIW01326 pep chromosome:LupAngTanjil_v1.0:LG11:35091568:35095554:1 gene:TanjilG_10487 transcript:OIW01326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASMFRSLFTTVSSSKWRVKQVTKHNFNDSLKELKTHISDSDFIAISMENTGSSSSWHRVLPFDTPETAYFKATRYAQRFQVLHFAVCPFSVTHSNNLVAHPYNFLLFPRDELKLGMPTYSFSCQTSYLASMARQGFDFNACIYDGISYLSKAQESVAKIRLGTAFPSLRGMKSCSTSTVADMVFVERIRSRIKHWRKTCENTNTSTSTSKDEELISCLRNIILGNEQFRSRPCLTIDVCSERQVQLILEMLVDSSDVLPLIVPGKGGTAQAIRIVLANSKEDKDLLERELQNLEEEENRKFSGFREVIDLISASEKPVISHNCLNDCTLIHSKFIMPLPREVDEFVSSMQMIFPKVLDMNHLMKKIGTARKVTNLPNAISYLNNHFFAPVDMEIPDQATVNEGKIHGLNALRLCYLFMKLCSILKISPSVAESGNKHLAPELEDFTNIFHPYSSNIQEPFNKDIKVWTNNTRKVSCENVVFVWGFKFGQTASMLKSLLRASHNIFSGEFDVKLVDKSCAIVVFWQPGLSKNFLEVMSSEEISGDLKELVSDGMRVTCYETYKTMCRVGLWDMDLAESLERTLESSQCDIENGSVRKTHDIHWYNENVINIDDL >OIW01552 pep chromosome:LupAngTanjil_v1.0:LG11:25511885:25515270:1 gene:TanjilG_10834 transcript:OIW01552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPSGIMKLPRVKLPQLIIITTICVILFIVVLYSESLSFLSSSSILKFKTCPRKHNKLKSNDRKEEEVHVNASWIDDRFDFDPEECNVSNGKWVFNHSIKPLYSDTSCPYIDRQYSCVKNGREDFDYLHWEWQPEDCTLPPFNPKLALTKIQGKRVLFVGDSLQRNQWESFVCLVQGIIPEKKKSMKRGRIHSVFKAKEYNATIEFYWAPFLVESNTDIHIIGDPKKRIIKVDEITERARNWTGVDILVFNTYVWWMSGLRIKALWGSFANGEEGYEELDTPIAYKLGLRTWANWVDSTINPNKTRVFFTTMSPAHTK >OIW01403 pep chromosome:LupAngTanjil_v1.0:LG11:31211549:31213321:1 gene:TanjilG_25699 transcript:OIW01403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRHLYSQLPPPQNADKFDNIGNDVALFRHMSDMHPRPSVVEFTKILGTIVKMKSYATVIYLHTYMESKGIMPFIVSLNILMNCYCLVGQMGFAFSVIGKILKWGYKPNVVTFTTLMKGLCANGKMLDALDIHDELMAKGFQFDELTYGTLINGLCKNGETSVAIQMLQKIDGLLVKPNLVMYNIVIDGLCKDGFVNEAHDLCSEMIAQGVSPDIVTYSALIYGLCSAGKWSEVSLFLSKIVDQNINIDVCTFNIIVNALCKEGILLEAHTICDVMIERGLQPDIITYTILMNGYCLMDEVDEARKLFDMMIERDLVPDVWSYNILIKGYCKIKRVDEAMNLFGDMLLKSLDPNIISYNTLVDGFCKSGRILDAWKLVKEMHYCGLPPDVTTYNILLAAYSKIEHLDKTIALFKHLIFERGFVPNVWSYNILISGCCKNKRVDEAINLFKDMCVTGLVPNIVTYNSLIDGLCNCGRISSALEFADKMCNDGQPPDIVTHNILLNALCKRQQLDKAIALLNHIVDRGFCPNLHTYSILISGLYKGGWLKTAQKIFQHIFIRGHSPNVQTYNIIISEIRKGGLIPKRKAFLL >OIW02038 pep chromosome:LupAngTanjil_v1.0:LG11:9847055:9854173:1 gene:TanjilG_13776 transcript:OIW02038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLENEDQNLLDQPTSDELHKNRKLKLAYTRELLLSLSELDICKELPSNFDRSLLSEFKDALVDRHRSIGGLSTHSFRRNEYSSSPPTRGDTNSFLRGTHGKWDSRSSGRSDKDIDSQSEQESDSGKHLSNHSGRSWQGPEHDGLLGRGSFPRPSGYAPGLSAPKFRANGNYQLNRTNEPYQHPGPYKAPHSRRDTHDNYNDETFGSSAEHADEDTAEEERKRRASFELMRKEQQKTFQEKQKLNPEKNKDVFDISSLVDDNDKRLVKLSNESVEPPVVLAALSNDSEKSSLAHIVSTSRPLVPPGFASTLVERNLGVKSLTNNRAIQVGQPESGVTRGNHIFSENSEGKLSAKQVDEDDNQPHHRSTSLNAPVKNGKENILNISSSVGIQDNIGNVDQLRKRSALAETLETSHSSEFIQLHGQVKRTEVIGAFSQDDSHSVLNKLFVDALPLKSCNTTATVEHDDKADETWSPHAFQSSKFAHWFVEEEKKPLDDFTHRPNDLLSMIVDGEKGGLLVSDLEKSRHVAPASPFQNSEPAYEHLASNVAHTPIGNYEKMRESDKPELVPAVLTCEDLEQSILSQVNENGLSLQQPIKDDVSYAKTEQSNSNSDNHASQHLLSLLQQGTCHKDMEQSSILDVHFSDLVCNTEGAATANIHDDPGEANADVSISSKQFTLETLFGTSFMKELQSVGAPLSVQRVSVESEGRDVSDSIMSHFPASDNGLPHAREHALNRLGSSILPSEKTHQWLGYGDPQGDVNSLQHQSKFVKNSGFNVPNDIHFPEEDGLIAVGDPLQNFLSVGNSAKTGSSQDIPFDITEKLAALNPAFRVEQPIMGNQEGLAYSRGPYDMREPGVPYQNLNVQRSTHFQPPHLNHMGPVFNPLDSQSHPPHISPFMELMAPEGMVHRESRPNHQFPGNMHLPPFDQPSAARTGFDPPAHHSILQQMHMRGNLPPPHLFRGFPGGVSPPAHPTNPMTGFIQEPNPMQAFPFSGHQQSPFGGPGMALQASDVASGRNHPETLQRLFEMELRSRARPGF >OIW02156 pep chromosome:LupAngTanjil_v1.0:LG11:7857868:7860331:1 gene:TanjilG_02380 transcript:OIW02156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNVRQEKVQRYEEFVDKRLKPDLQHAIAQRDKVFEQQKIFADLRKNIENLEKNSVTSLRTMVNLGSEVYLQAEVPDTQSIFVDIGLGFHVEFTWSEALNYIAKREEKIARQIEEYTQLIASIKAQIKLVLEGIREILQFPVGKPSRERIF >OIW01587 pep chromosome:LupAngTanjil_v1.0:LG11:24266838:24267341:-1 gene:TanjilG_23898 transcript:OIW01587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAPKRKITISLKTCDGDVFEVSPAIAKQMQTIQSFVEDDSFVATTTVIPLPNVTSFQLTKIIDYLNYHHNGKAVAVDRKLAAKKFDEEFVKELDHEQLKELLLAANYLNVKDVLDFLCQAVADLIQDKSVKFVRNFFGVVNDYTTAEEKEIRKTRAWAFEGVDEE >OIW01383 pep chromosome:LupAngTanjil_v1.0:LG11:31828069:31838524:1 gene:TanjilG_12923 transcript:OIW01383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGLYNNNFSPVRAASPQIRTHADVDSQYLSELLAEHQKLGPFLQVLPICTRLLNQEILRVSGMLSNQGFGDFDRLRHRSPSPMASSNLMSNVTGTGLGGWNSLQQERFSGPPNMTMDWQSAPASPSSYTVKRILRLEIPVDTYPNFNFVGRLLGPRGNSLKRVEATTGCRVFVRGKGSIKDADKEEKLRGRPGYEHLNEPLHILIEADLPANVVDMRLRQAQEIIEELLKPVEESQDFIKRQQLRELALLNSNLREESPGPSGSVSPFNSSGMKRAKTGR >OIW01731 pep chromosome:LupAngTanjil_v1.0:LG11:19093181:19095510:1 gene:TanjilG_03869 transcript:OIW01731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRDSVGISHKKMLTNITNNIKEQQQKSPLNQQSKQKFATLSANADISTDQLLKENALLMQLLANRNVVIESCKAELQKSQTKFQKLQKQNSELALTNSRMLAELNSSRQRLRELQYELVIKNGILKAMKLELMAKEHTEKLKHVIDANEVGASQRKQPDEDDMGDNPCHTKRKRVSKSQSSAPAVKHVKSTGMVDNQRYSLRRQSKAEKPSPAEEFFEVDEIRYNVMHPQECLANEIEQTSFPSRVHEEAREDTESSGPTNSEQVRAKKNIEKKRHSFRRQSARFKPENLEPTEDSFKIDDAKFAISHLCDDMSEKSGPTTSSLTSGEVNNACKSDPWEIRRSSVGRPVRQSVVKIQSYKEVPLNVKMRRPA >OIW01301 pep chromosome:LupAngTanjil_v1.0:LG11:34790840:34791529:-1 gene:TanjilG_10462 transcript:OIW01301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIRKPPLPRLLLNNVSCMRNAQQILRNVNVSLHDGGALVLTGANGSGKTTFLRMLAGFSRPSAGEILWNGHNIQESGIFQQYKVQLNWLSLQHAIHEKKTVFWNVNSFEYLEGKKGRALPALQLMGLGRLANEKPRMLSMGQRKRVQLARLLAMDRPIWLLDEPSVALDDEGVKLLEYIIAEHRKQGGIVIVATHLPIKMEDPMILRLPPRFPRKMTLVDMLDRADIS >OIW01821 pep chromosome:LupAngTanjil_v1.0:LG11:17482225:17483463:-1 gene:TanjilG_28884 transcript:OIW01821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSPRHALLLLYHFILLFSSQSLQQNQNINPFDLKALLSIKNTLTELSPTAPFFSTWNLTAPDPCSSFYGVTCSFNRVTILSLGTNSFKLAGSLPRSISSLTELTQLILSPGIVTGPIPPELGQLTHLRVISLPNNRFTGSIPTSFSSLKALHTLDLSNNQLAGSIPPSLTELPQLKVLILASNSLTGELPENVSAPLLHLDLKNNDLQGPLPTSMTSSLRYLSLSNNRMWGPLTNGFESLSELVFLDLSMNQFNGPIPAQLLFRPTLSSLFLQRNNFSGGLPERSNKGSLSYSPGSIIDLSHNSLSGEISTVFEGVESLFLNNNRFSGKVPEEYVNSVCNGSTRTLYLQHNYFTGISLKVGMVLPDAVSLCLSYNCMVPPADLMTCPASAGGQLSRPVAQCSVFNSGSNMG >OIW02315 pep chromosome:LupAngTanjil_v1.0:LG11:5490120:5498163:-1 gene:TanjilG_11209 transcript:OIW02315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGENEGWAQPPSGLLPNGLLPNESASVIKVVNSERWMKAEERTAELIACIQPSPPSVERRNAVADYVQRLIMKCFPCQVFTFGSVPLKTYLPDGDIDLTAFSKNQNVKDNWAHQVRDMLDSEEKNGNAEFHVKEVQYIQAEVKIIKCLVDNIVVDISFNQLGGLCTLCFLEEVDNLINQDHLFKRSIILIKAWCYYESRLLGAHHGLISTYALETLVLYIFHVFNNSFAGPLEVLYRFLEFFSKFDWDNFCVSLWGPVPISSLPDVTAEPPRKDGGDLLLSKLFLEACGSVYAVFPGGQENQGYPFVSKHFNVIDPLRVNNNLGRSVSKGNFYRIRSAFALGAKRLARLLDCPEEESVFEVNQFFTNTWKRHVIGQQPDAPSNDLSLLRLSSHDEIQMSQNLRNNKHKIDRASNQEFHAEGEHVSRRSISQHSNLSSENSFKSSDVSTVIHTQNQKSHGNQHNSRAFDRVRRETNSNHGAHVDKGQRNVKADNSVSDVHGRFLFARTRSSPDLTDSYTEVSSQGRRTRAPESIKGQNSFAKSEISRRNNLEPGKATNYSVRIDDSSSRHNSSHQVLDSTSESNSVSYSYHDESGIGAVGEEFASVTSTVEMQMMHQEEQDLLNMMASPTAQGFSGHAHIPINYAAGHLPFPPSMLASMGYAHRNMGNIPFIEAPWGANMQFPPGLVPSHFTPYFPGMGLTSNPQDIIETGNVNFSPVEMNSEVDNDVWHEQERSSASGVEVDNGDFEMLADDKQQSTSNSYNFAPPSRVGSSTSSARIQQKFRKENQGLSREEHIGRRTRAPESIKGQNSFAKSEISRRNNLEPGKATNYSVRIDDSSSRHNSSHQVLDSTSESNSVSYSYHDESGIGAVGEEFASVTSTVEMQMMHQEEQDLLNMMASPTAQGFSGHAHIPINYAAGHLPFPPSMLASMGYAHRNMGNIPFIEAPWGANMQFPPGLVPSHFTPYFPGMGLTSNPQDIIETGNVNFSPVEMNSEVDNDVWHEQERSSASGVEVDNGDFEMLADDKQQSTSNSYNFAPPSRVGSSTSSARIQQKFRKENQGLSREEHIGNFHYQDGRRNEVYFDDRIANSQLPSPSPSSSLRSKTSSESSWDGSSAKSSKSTREKRGKKNAPSAPSALHGKGKNVSEISSKRVDDENRELTPASAVESDISERSTRAPTVSSVLAPRHQIPVYEVAQTSGTDSPLPVAPMILGPGSRQRVVDNSGVAPFTFYPTGPPVPFVTMLPLYNFPSESSDTSTSNFSLEGGDNNDFGHNVDSYEGSVQTEVSSLSNSMPRTTVELSEHKSDILNSDFVSHWHNLQYGRFCQNTRHPPSMVYPSPVMVPPIYLQSHNPWDGPGRPVSANMNIFSQLMSYGPRLLPVAAPVQSVSGRPATNIYQRFADDMPRYRSGTGTYLPNPKVSVRDRHSTNTRRGNYNYDRSDHHGDREGNWNMNSKFRATGRGHNRNHTEKPSSKPERLETGENRVERQWGSHRHDSASSHQNGPDRSNTSQNNPANVAYGMYPIPGMNPSGVSSNGPTMPSVVMFYPYDHNVGYSTPAEQLEFGSLGAMGFSGVNELSQPHEGSRSGGVFEEQRFQGGSAHLSSPDQPSSPHVSR >OIW02525 pep chromosome:LupAngTanjil_v1.0:LG11:3107767:3110308:1 gene:TanjilG_12839 transcript:OIW02525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFQFSVLAFVLLRFFGLIIADLSSDKQALLEFSSKVRHAPRLNWNDTTPICTSWVGVICNSNGTSVIGIHLPGIGLKGSIPDNSIGKLDSLRILSLHYNGLRGNLPSDVLSIPSLQYVFLQYNNFSGPIPSYVSPKLIALDISFNSFTGSIPPTLQNLRRLTRLYLQNNAISGAIPEFNLPRLKSLNLSYNNLNGSIPTSISKFPNTSFVGNSLLCGSPLDECHANAITPPPSPSPVHQPLSPDTTQNKKATTSKKSFGLASILSLVIGGFAFFSFLALIVSICCLKRKNNKRSGILKGKASCAGKNEVSKSFGSGVQAAEKNKLFFFEGCSYSFDLEDLLKASAEVLGKGSYGTTYKASLEEGTTVVVKRMREVVVGKKEFEQQMEIVERIGRHPNVMPLRAYYYSKDEKLVVYNYMVGGSLFNMLHGNRGAGRIPLNWDSRIKIAIGAAKGIAFIHSEGGPKFTHGNIKSSNVLITEEHDCCITDVGLTPLMNTPPTTLSRANGYRAPEVTEPKKITHKSDVYSFGVILLEMLTGKTPLGYPAYDNDMVDLPRWVRSVVREEWTAEVFDEELLRGQYNEDEMVQMLQIALACVAKVADNRPTMEEAVRTIEEIRQPELKNRTSSESESNVQTP >OIW01853 pep chromosome:LupAngTanjil_v1.0:LG11:16134481:16139646:-1 gene:TanjilG_07148 transcript:OIW01853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLAPPIFIHKTKLPTSYHDSSSSMTINNTKKRDLSHDLFQNQTTNENWNPKAWSWDSVNFLSKPLTQNNNTVVVTNSNNNNKEVDDETVLELNLGRGEPDPTVVRPNKKVRSGSPSSATTSYPTCQVDNCREDLSSAKDYHRRHKVCELHSKASKALLSNQLQRFCQQCSRFHPLSEFDEGKRSCRRRLAGHNRRRRKTQQEDVTSQPENVTTGNMEICSLLTAIARSQGKFEEISKIGSQVPQDKDHLFQILNRLALPADLALKLLNVGNFNGNVQTSSYDHDKLNQSTAPLTKDLLAGLSTALSTSVPDATASLSQNCSQSSGSEKSRTSAEQIVGANLQTRRPTLEFTSVGGERSSGSSQSPVEDSDCPEVRVNLPLQLFSCSPENECLPKMVSSQKYFSSDSSNPVEERSLSSSPPVMEKQFNLQGVTRGLNPESFSIRREINANKEARQNLSCNISLHLSNGSNSRIQPGSLQSVPFQPGYASSGSDHSPPSLNSDAQDRTGRIMFKLFDKDPSHFPGTLRTQIYNWLSSRPSDLESHIRPGCVVLSVYATMSSAAWEQLEENFLQRVHSLLQNSNSDFWRNGRFLVHSGSRLASHKDGNIRLCKPWRTWRSPEVISVSPLAIVSGQETCISLKGRNLSTPGTKIHCTGTGRYTSEEVIGSAYHGNTYDKIKLSGFKVQNASGVLGRCFIEVENGFKGDSFPVIIANSTICKELRPLESEFDSEEVCDAISDEHENDYGRPRSREEALHFLNELGWLFQRERFQNVHEVQDYSLDRFKFVLTFAVERNCCMLVKTLLDLLVDKHLEGESLSASSVNMLNAIQLLNRAVKRKYRNMVDLLICYSIPLKNETSRRFVFPPNVGGQDGITPLHLAACTSNSEGVIDSLTNDPQEIGLKCWESLVDVNGQTPHAYAMIRNNHFYNVLVARKHADKQRGQVSLTMDNEIGQSSLRIELRQKQGNKVKRGQNSCVKCVMAESHSYRKVPASRGFIQRPFIHSMLAVAAVCVCVCLFMRGAPSIGSVAPFRWEKMDYGTH >OIW02453 pep chromosome:LupAngTanjil_v1.0:LG11:4181176:4184550:1 gene:TanjilG_05046 transcript:OIW02453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTQNRRSSFSSSTASSFAKRHPSSSSFSSQNVAAKKRPPLTNVTNHRTTVASSRNSTLVPCSNKIVKTKKEIDPPPSSTTSSASGNKLPSLQNVKSSKVIFSKVPQKNYAAPVNVLVPSRIIHVSPSKSDGMSVSMSDSMSSFDSIKSPEVEYVDNTDVSALDLIQRKAFSNLNISDTTTESPGNICSRDINVELEGEDKIINIDNNYMDPQLCATYACDIYKHLRASESKKRPSIDFMERIQKDINISMRAILLDWLVEVAEEYRLVPETLYLTVNYIDRYLSGNAVNRQQLQLLGVACMMIASKYEEICAPQVEEFCFITDNTYVKEEVLQMESAILNYLKFEMTASTTHCFLRRFIRAAQDVNEVPSLQMECLTNYIAELSLLEYSMLCYAPSLIAASAIFLAKFILFPSKKPWNSNLLHYTQYRPSDLCRCVKDLHHLCCSRSTSNLPAIQEKYSQHKYKYVAKKYCPPSIPQEFFMN >OIW02001 pep chromosome:LupAngTanjil_v1.0:LG11:10867765:10869256:1 gene:TanjilG_00240 transcript:OIW02001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLMFRKMVLLVIIMVIMMGNIANSKLHNVGGTKISWNPKVNLTQWSFHQHFHVADWLYFGYAENYYSVLELNKTSYERCIGAGFIKNITGGAGRDVFELKEAKTYYFISGGGFCWQGLKVAIKVTQHVAPPPKHVSSESKSASDFCQVNQTLVMLILVFIWGILFK >OIW02647 pep chromosome:LupAngTanjil_v1.0:LG11:2084266:2087284:-1 gene:TanjilG_29423 transcript:OIW02647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASSSTNENKAEQVDNCKAQEKRWLDVFLGETFFGSCATHPFRRNELNKYCINCDVSACEYCISSGSHRHHNILKVYRHIHKVVVSLRAMEKHIDCSEIQVKSVLRNPDDSGLARPYLESRVKIRKGATFEPRKPMEEMQKENGNPVSFRKRKRKGTPHRAPFF >OIW02758 pep chromosome:LupAngTanjil_v1.0:LG11:1311054:1314466:1 gene:TanjilG_29534 transcript:OIW02758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPENIHPLRNSASNHSGDNIEEAIQRLKINENRDRDGAAQSSPFPERPGEPDCLYYLRTGMCGYGSNCRYNHPANVSPGTHYGEELPERIGQPDCEYFLKTGTCKYGSTCKYHHPRYRQGAAPVSFNSLGLPVRQEEKPCPYYMRTGSCKFGVACKFHHPQIGASPVAGSPTSTFLPTSGLPYVDGFSAWQLPRMSYLYGQGIQSYVPPFLSSPQGIIPAQNWNTYMGSMSSAMPTFLGSNFLYDTMNLGESLLGGQVINPTLPERPDQPECRYFMSTGTCKYGSDCKYHHPKERITQSLMNPLGLPVRPGHAICSYYRLYGICKFGPTCKFDHPVLAIPQNYDLTSSAFPALDTSLISSPTSFSTVQPTESSPSKLSTDKVQHSDTKAIEDSSKQADTTTPDSFPTTSQS >OIW02394 pep chromosome:LupAngTanjil_v1.0:LG11:3626938:3630251:1 gene:TanjilG_04987 transcript:OIW02394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVDLKKTASNGNENLSISQEQQAQINEVRRLIGPLSGKASTYCSDASIARYLRARNWNVKKAAKMLKQSLKWRAEYKPEEIRWEEVALEAETGKIYRSNYTDKHGRTVLVMRPSRQNSKSTKGQIKYLVYCMENAVLNLPTQQEKMVWLIDFQGFNLSHISVKVTRETAHVLQDHYPERLGLAILYNPPKFFEPFFTMVKPLLEPKTFNKVKFAYSDDQNTKKIMEDLFDMDLLESAFGGNDDTGFDISKYAERMKEDDKKSSSYWTGENSPSPVSNIVSSLDSITLDADSESDNEKVNSSPDPEMEKEIINPNKHIVVNHVASNASADTH >OIW01144 pep chromosome:LupAngTanjil_v1.0:LG11:35212832:35242314:-1 gene:TanjilG_17701 transcript:OIW01144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSARSPLKKMSWDRGQSSGWAAFDLKQRQKNNVESEIDKDPFPPVGAFDCMGHGDKLMKNKHVHVKSFSSVLVPGKKFPSLKEGGTSEMKMLGSHTGVKYCGTSAQEDVNLAIKKLKEKHGWAEISLIEDVLAAANNNIDKASSLLEPMASAFNFDEGKVSSNPGPTTTYDIRYNEKTDESLTLGKFKDEIRFRSSLAGHLKDNETDFEDRNASSDRKLSDEDNLRFDMGLLSSVPIEPEWEEDDIYLKHRKDALKTMRSASRHSRAATNAFMRGDHFYAQQYSMKAQEEWQTAEELNSKAATEILSIRNSEGDIWRLDLHGLHATEAIQALEEHLNRIECQVFSNSFATSNGVKENGLARSAAGSSNVLDRGNLSNQQGPLRLRSFVLHVITGIGNHSRGQASLPTAVRNFLIEKRILKIFNSPSFKNEFSNEDVFRFLLNRLSVWYFLKWESIKLNNLIEEAIKEAELSGAKVLSLGLLNQREELNAYGELYIQRFPKMKIKVVDGSSLAAAIVLNSIPKGTNQVLLRGNFNKVSLAIANVLCERNIQNWLPRRVMSCWRISGIVHALEGWNVNECGYTILNINKVWEASIRHGFQPLKIPIDFY >OIW02738 pep chromosome:LupAngTanjil_v1.0:LG11:1430841:1435120:1 gene:TanjilG_29514 transcript:OIW02738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEEKKGHGTLKRHGIRQIRTGWADGPEFVTQCPIRPGESYTYRFTIEGQEGTLWWHAHSSWLRATVYGALIIHPKQGESYPFTNPKRETPILLGEWWDANPIDVVRQATQTGGAPNVSDAYTINGQPGDLYKCSTQGTTIVPIDSGETNLLRVINAALNQPLFFTIANHKFTVVGADASYLKPFTTTVLMLGPGQTTDILIKGDQPPSRYYIAARAYQSAQNAGFDNTTTTAILEYKSAPCPSKGVATIKPLMPSLPAYNDTNTVTAFSKSLRSPRRVEVPTEIDENLFFTIGLGLNNCPPNFNSNQCQGPNGTRFTASMNNVSFVLPSNISILQAQHLGVQGVFTTDFPTNPPLQFDYTGNVSRSLWQPISGTKVTKLKFGSRVQIVLQDTSIVTSENHPIHLHGYDFYVVAEGFGNFDPKKDTSKFNLVDPPQRNTVAVPVNGWSVIRFVADNPGAWIMHCHLDVHIGWGLATVLLVDNGIGLLESIEAPPEDLPLC >OIW01796 pep chromosome:LupAngTanjil_v1.0:LG11:17980151:17980502:-1 gene:TanjilG_03934 transcript:OIW01796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSESKGKSSWPELVGVQGTEAEATIERENPLVDAIIVPEGHSVTADVRSDRVWVWVDANGNVKKVPTIG >OIW01179 pep chromosome:LupAngTanjil_v1.0:LG11:33137004:33143510:1 gene:TanjilG_10340 transcript:OIW01179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSQGVANENNSVRLNASETKGKTITCKAAVAYGPGEPFVVEQIHVKPPQKLEVRIKILYTSICHTDLSGWLGENEAQRAYPRIFGHEASGIVESVGEGVKDIEEGDIVVPIFNGECGNCSYCKSEKTNMCESFGVNPMKKVMGNDGTSRFSTIDGKPIFHFLNTSTFVEYTVVDSACVVKLHHDLSHSLKKLTLISCGISTGVGAAWNTANVHSGSSVAVFGLGAVGLAVAEGARARGASKIIGVDINPDKFIKAQAMGITDFINPRDEERPVHERIKEISGGGVDYSFECAGNLNVLREAFLSAHQGWGLTVILGIHSTPELLPIHPMELFDGRRIIGSVFGGFKGKTQLPHFARECIHGVVRLDNFISHELPFEEINKAFDLLTNGKSLRCILNM >OIW01897 pep chromosome:LupAngTanjil_v1.0:LG11:13701165:13709508:1 gene:TanjilG_15222 transcript:OIW01897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKTIELYGFPSYVTATTVIQFVERYIGEGAVFALKLRQGKGRVPRAFAIIQFASAKDAADMLSLANNSAQMLQYGTSYLKAREMERDIVPKPITFLHNLNNVNLYFGCQISKEKFSVFFKQVDASVKFGTGMRKLQIFLSNSRAQYKMELSYENIWKIELHQPRSETAKYLIIQLLGAPRIFEKDEPTSENIYENPLFNYFRDIPDDHWIRTIDFTPSSCIGQSSAICLEFPFGQHFPNLKENFAHYELSERQYMLESGLPLYRNSGLAPIVTSPQHIKIPYDILFKVNSLVQHGCVAGCALDNDFYHLVDPCRMDLAFIEHALEQMYHLKEFCYKPTNWLTDQYRKYLTSRNPPRSPSISLDNGLVYVRRVQITPCKVYFCGPEINVSNRVLRHFHQHGDNFLRVSFVDEELDKLYSTDLSPRTSVNKKTEIYNRILSILKNGIDIGDKKFEFLAFSSSQLRENSLWMFAPTAGCTAASIREWMGNFRQIKNVAKYAARLGQSFGSSTETLSVSRHEIEVIPDVEVKHGGNHYVFSDGIGKISSEFARRVARKCGYSSTTSAFQIRYGGYKGVVAVDPTSSVKLSLRRSMCKYDSDNTKLDVLGCSKFQPCYLNRQLITLLSTLGVKDHAFEKKQTEAVNQLDSMLTDSLKAQEALDLMSSGEITNLLKEMLVCGYMPSTEPFLSMMLQTIRASKLLELRLKTRIFIPNGRAMMGCLDETRTLEYGQVFVQFSDHRLGSLSDDSLQYGSARSYLVTGMVVVAKNPCLHPGDVRVLKAVNVPALHHMGDCVVFPQKGGRPHPNECSGSDLDGDIYFVCWDRELIPPREEQPMDYTAVPSMELDHDVTIEEVEEYFTNYIVNDSLGIIANAHTAFADKQPNKAMADQCIELAKLFSTAVDFPKTGIPAVIPPHLHVKEYPDFMEKPDKPTYQSHNVIGKLFREVQGISTSANSITAFTWEVARQSYDRDMEVDGFMDYVDDAFYHKNNYDYKLGNLMDYYGIKTEAEILSGNIMKMSKSFTKRRDSEAINMAVRSLRKEARAWFNDNSSSVDSDSVDVYAKASAWYYVTYHHSYWGRYNEDMGRGHFLSFPWCIYPHLVQIKKASRLERNFSLGLHLN >OIW02411 pep chromosome:LupAngTanjil_v1.0:LG11:3804907:3808029:1 gene:TanjilG_05004 transcript:OIW02411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIRGSSKISVKWVPIFSVFSFLVGMVFTTRMSEPPQFKRVLLSKHAHEQKLQMVSEDCDTKKKQHVLDKEVMNGVHKTHEAIQSLEKQFSMLQMELAPARREPGIITGSGSTSGYGLSAEDPLRKKVFIVIGINTAFSSRKRRDSVRETWMPQGEKLIQLEREKGIVIRFVIGHSATSKSVLDRAIDLEESQHKDFLRLEHVEGYHELSAKTIKFFSTAVAKWDADFYVKVDDDVHVNLGMCTGYNPCSSSSKTEGLHWVDVKYHEPEFWKFGEEGNKYFRHATGQIYAISKDLATYISMNQPILHKYANEDVSLGAWLIGLEIEHIDDRNMCCGTPPDCEWKTQVGNTCVATFDWNCSGICNSVEKIKYVHSKCSEGDGAVWSAFTLGA >OIW02143 pep chromosome:LupAngTanjil_v1.0:LG11:8141266:8141550:-1 gene:TanjilG_06738 transcript:OIW02143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLHSSRIIILLFFVGFLSVQQERALGMSSLEIVLRQSQKGHRIMLQNQHTEKASGKELLNTEKKSTNVNSGFDPNQSSERRVRRGSDPIHNRT >OIW02265 pep chromosome:LupAngTanjil_v1.0:LG11:6434186:6435859:1 gene:TanjilG_15148 transcript:OIW02265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYSVCPGETILARVGNFGKERKVSSRFFLLIFYALNFVNENTQNVADALEKFNLKNSAIQKALDNLTEEAKNSFREYGKQKIYLAGQDQFEIPNKRNNGEVNQMKEQNGSIQQQLEEQKKAIIEVQEMEYKTKLRGGLTLVRPEERKAEELGIEYDEDVGVSLQSYSDLLQPAKKRPGGSDLFMGTQKGCPS >OIW02765 pep chromosome:LupAngTanjil_v1.0:LG11:1264352:1267309:-1 gene:TanjilG_29541 transcript:OIW02765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWVGIVCDNSNSISTINITSLGLKGTLHSLNFSTFTKLLSLDISNNSFNGSIPHQIGNLTNLSILNFSFNQLSGYIPEEIGELRKLRYLLFGSNQLYGSIPPTIGMLINLVELDLSDNSLSGTIPSIRKLTNLEQLWLFNNSLSGHIPNELGKLNALISIILSNNILYGPIPPSIGDLVNLTNIELENNTISGSIPSSLGNLTKLAGLNIGTNKLSGSIPNSLGNLVNLIAINLAINNLSGPVPSTIGNLTKLQFLLLYQNKLEGRLPPALNNFTNFQSLQLSSNSFTGPLPQQICLGGLLRKFSANHNFFTGPVPISLKNCSSLARLNLAGNLLSGNITNYFGVHPKLYFAELSSNNFYGHLSPNWAKCSNLTSLRISNNNLSGVIPPELGQAPKLQVLDLSSNHLTGKIPRELCNLSSLFELSISNNELSGSIPVAIGSLMELRILELAANKLSGSIPTQVGMVHNLVHLNLSKNKFMKGIPFELNQLQYLESLDLSWNLLNGQIPKTLVKLEMLDMLNLSHNNLSGSIPSDFKDMLSLRYVDISNNQLEGPIPNDPAFLRAPIDALKNNKGLCGNVSGLVVCQDSSHNRHGQKKKHIIILALSFTSGALMLVLIVAGVLLRICWRSARKSEKQATEEHSQEHFSIWGYDGKIMFENIIEATEQFDDKYIIGEGGTGAVYKAKLPKGQIVAVKKFQAEVDMEMVDLKAFTSEIRALAELKHRHIVKLHGFCSHSRFTFLVYEFLEGGSLDKVLNNDTHAMMFDWNRRVNVVKGVADALYYMHHGCSPPIVHRDISSKNVLLDSEFEARVSDFGTAKILNPDSHNYTSFAGTYGYSAPELAYTMEVNEKCDVFSFGVVCLEIIMGKHPGDLISLLFSSSGVPKVSNLLLMDVLDQRLPHPLMPIDEDVILVARIGFACLNETPCFRPTMEQVYNQFVMPKSAMVDPFPFITLGQLLQ >OIW01892 pep chromosome:LupAngTanjil_v1.0:LG11:15472887:15501562:1 gene:TanjilG_31074 transcript:OIW01892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTVTNITTFRRLKPHIESVSVVVRAGHALPLPEITFPLPLGFQSSNNYNLLLVRTSIRLIFIHTLTSDRVRTETYREAIMRHQSFIAGKVVVDVGCGTGILSIFCAQAGAKRVYAIDASDIALQANEVVKENNLSDVVIVLHGRVESMLGSVITARDRWLKPGGLILPSNATLYMAPVTHTDRYSESIDFWRNVYGINMSALIPLAKQSSFEEPSVETISGENILTWPHEVKHIDSYSVSIHELKTVTSKFKFSSMMRAPLHGFAFWFDVEFNGPAIAPSNYLSTSIVDNHMANGSQRKKRTNPNEALVLSTAPEDPPTHWQQVVVDVGCGTGILSIFCAQAGAKRVYAIDASDIALQANEVVKENNLSDVVIVLHGRVESMLGSVITARDRWLKPGGLILPSNATLYMAPVTHTDRYSESIDFWRNVYGINSSVYPTLCLSVEHNARAFLVSALIPLAKQSSFEEPSVETISGENILTWPHEVKHIDSYSVSIHELKTVTSKFKFSSMMRAPLHGFAFWFDVEFNGPAIAPSNYLSTSIVDNHMANGSQRKKRTNPNEALVLSTAPEDPPTHWQQTLIYLYDPIALEQDQLIEGSVTLSQSKENARFMNIHLEYSVGGRNYVKESVMK >OIW01639 pep chromosome:LupAngTanjil_v1.0:LG11:21144923:21145234:1 gene:TanjilG_18210 transcript:OIW01639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >OIW01649 pep chromosome:LupAngTanjil_v1.0:LG11:21465847:21468161:1 gene:TanjilG_18220 transcript:OIW01649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNLRTRNWLERAKPFIAVVFLQFGFAGVDVLFKAAMNKGMSNYVLVVYRHAVAFIVITPFAFILDKKVRPKMTMSIFMKIVALSMLEPVIDQNLYFLGMKYTTATFAAAMTNIIPAITFFMAYILRLEKIKIKSIHSQAKVVGTLVTISGAMVMTLMKGPILGALGTHLGDNHNQQHNNGTNIQHTIKGTIMIITGCFSWSCFVILQSITLDTYPAELSLTSWICLLGAFEGAVVAMIMERGNPSVWFVKWDIKLVAIIYSGIVGSGLAYYVQGVVMQTRGPVFVTAFSPLCMVIVAIMSSIILAEQLFLGRVIGAIIIVLGLYLVVWGKSKDYNPPSPISIEPILPDKQIANEGNVKMEHCNDEVITVGSSSVRIITQE >OIW01156 pep chromosome:LupAngTanjil_v1.0:LG11:35324660:35324983:1 gene:TanjilG_17713 transcript:OIW01156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHGLGYEPSRHGGEMEGSGRPRQNEPIGYRGEMGGSSRPRQHYCPVHSRRHHSPVSSSSGFVPARNSSPPAMQSGANGHVRNKPGSRKPHRIFIKKVIEKIILPCF >OIW02646 pep chromosome:LupAngTanjil_v1.0:LG11:2093810:2095129:-1 gene:TanjilG_29422 transcript:OIW02646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLSWTKGRVFRRSRKGKELNSVGDLEVEIAIPTHFRCPVTLDMMKDPVTLSTGITYDRDSIEKWFEEGNKTCPVTKRVLTTFDMIPNHALRKMIQDWCVEHRSFGIERIPTPRIPVTPYEVESTSTRIMSCAQHGDVNKCLELVRKIKAWGKESERNKRCIVTTGASLVIVKVFEFFSSRGGSIENNNFSVMEEILGVLTWMRPLSQEGKSILGSLSSMSCLVWFLNGKDLSSRQNAALLLKEVPLEALEKTEGVFEGLVKMIEEPVGHAAATKACLSIIFKMVSSSKNRELIAQRFLELGLISILLEALVDAERGTCELALGVLDCICDFKQGKEMAKANALTLPLVIKKLLRVSELSSSFAVSIVWKLCDKTEEGLLIEALQVGVFQKLLVLLQVGCGESTKEKTTELLKFLNGYRSKAECVDSSSLDFKNLKKPF >OIW01889 pep chromosome:LupAngTanjil_v1.0:LG11:15409199:15409629:1 gene:TanjilG_31071 transcript:OIW01889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEEDATVTGWVSRDCDKRECIPAKSHTRGLIPIMLHGIILVWLPTASSYFLQMVLGLIQPRGSSMSQLGNFGPESKVTLGFMGQREPCKYEEGGMGNAYGTNPSLIAFVTYY >OIW02464 pep chromosome:LupAngTanjil_v1.0:LG11:4281114:4287706:1 gene:TanjilG_05057 transcript:OIW02464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYQFSSSVAFGLNLSKRIYYERGSAPIPAPAMSRSSSEYLPTAPMCYAMITDPETVENPDIRSYQPYVHGSCQPPALIPLQLHGVAMEVDCCLDTAFVTVTGKWRVHCVTASSTCDCQVAIPMGEQGSLLGLEVTDAERSYHTELISQKDDKDKEKIAKAKDGYFLKSQIYIIRIPQFKGGSIFSIKIKWTQKILFHNGQFSLSVPFSFPSYVTPVGRKISKKENIILKVNSGAATEVLCRTISHPLKGLLRQAGKLSLSYEAEVPAWSSTDFSFSYTVSSTDIFGGVLLQSPFLRDFDDREMFCLYLYPENGQDRKVFRKDVVFVVDISASMKGSPLENIKNGLLASLSQLSAQDTFNIIAFNVEVNLWSPCMEPATEEAILKANKWIDTTFIANGGTNIMLPLTKAMKLFQKSTNSISLIFLVTDGAVDDEREICNFVKNCVTSAQSIHTPRLCLYCNQYFLQMLAQIGRGHYDAAHDLDSIDFRMQRLFNIASSVIVADITIESLEDLESQVLFPNHIPDLSLGSLFIISGRYDGTFPESVKVTGTLADMTNFVMELKVLSKRHIDLVTADAWLLENEELKEKVIKMSIQNKVPSEYTCMVLVENNEGKKEPIPGPFLIQKVYRKVSLQRIDLDNQKLFLGGMSLGFGDTKATAKNIPPAIKEAKPSEGLMQKAASTCCSRLANNCCGMCLLKTCACVNDQCTIVCSQLCAALACFELIKCCIELCDCDCDCFD >OIW02467 pep chromosome:LupAngTanjil_v1.0:LG11:4315097:4318113:1 gene:TanjilG_05060 transcript:OIW02467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWLLVIAFLLLQSLCIEASVHDYNAEKFYAKGNAFVVHGGSEGIYSFAPNHNETSLAAANGDSYIRFETIKFRRNKDFTNFSSWPIQAVVFEVKDRETIGGSAYGGQRAVCCTGDLAKLGVCTEGHVIHRPSAENSDWPQVFGVSFDMDDEEAVLPLKSIQITKTGMYNLYFIHCDTRLKELVVEGKTVWKNPSGYLPGRMAPMKIFYQFMSFAFILLGIFWFSKYATFWREVFPLQNCITLVITLGMFEMALWYFDYAEFNETGIRPTGTTIWAVTFGTVKRTVSRLIILIVSMGYGVVRPTLGGLTSKVVMLGGTFFVASEVLEMVENVGAVSDLSGKARLFLVLPSAVLDVFFILWIFTSLSATLHKLQARRMMVKLDIYRKFTNALAVAVIVSVGWICYEISFKSNDIYNEQWQNAWIIPAFWQVLSFSLLSVICALWAPSQNSTRYAYRDDGSDEFDKDDTTLALIKPLTVSSKDFGGVPEARPVQVGSNTISNGGDLEEDKTE >OIW02083 pep chromosome:LupAngTanjil_v1.0:LG11:9011528:9014022:-1 gene:TanjilG_14782 transcript:OIW02083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVSQDPVREWILSEGQATKITKISPVGGGCINLASRYDTDAGSFFVKTNRSIGPSMFEAEALGLEAMYETGTIRVPKPHKVGPLPTGGSFIVMEFIQFGASRGNQSALGRKLGEMHKAGKSSKGYGFDVNNTIGSTPQINTWSSDWIQFYGECRLGYQLKLALDQYGDKTIYEKGQRLIKSMGPLFDNVIIEPCLLHGDLWSGNISSDKNGEPVILDPACYYGHSEAEFGMSWCAGFGGSFYNSYFEACDT >OIW02480 pep chromosome:LupAngTanjil_v1.0:LG11:4519023:4524056:-1 gene:TanjilG_05073 transcript:OIW02480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSREEDHIDMESETKMWKERKTTRGSLHRSDSLNLKAGKVSISANHSSKLGWRATLILAFQSIGIVYGDIGTSPLYVYASTFTDGISNNDDILGVLSLIIYTIVFIPMLKYVFIVLWANDNGNGGAFALYSLICRHAKVSLFPNQQSEDKELSNYRLETPSNKLKQAQKVKQMLEKSYFARVLLLLVTIMGTSMVIGDGILTPSISVLSAVSGISRSLGQGAVVGITVVILVFLFSMQRFGTDKVGYAFAPIILLWFTFIGGIGVYNLFKYDIGVLRAFNPKYIVDYFKRNGKQGWISLGGVFLCITGSEAMFADLGHFNVRAIQISFSFVTFPAILAAYSGQAAYLRKFHEKVSNTFYESIPNPLYWPTFVVAVAASIIASQAMISGAFSIISQALNMGCFPRVKVVHTSTKHGGQVYIPEVNYMFMTGCIAVSVAFKTTEKISHAYGIAVVCDMVITTYLVSLIMLVIWKKSTWLVALFVLPFSCIEFVYLSSQLTKFIEGGFLPIVFAIFLTMVMGIWHYVHKERYMFELNNKVSLDYLTELTNNPNINRVPGIGLLYSELVQGIPPIFPHFIANLPSIHSVVVFVSIKAIPISKVELEERYLFRQVKEYRIFRCVVRYGYNDLYEDPVEFENQLVENLKEFIRQESFILDTKGKTSTIEQVPIVETEREDILQGSNINDISANEGKSSSSINTCDRVNQGALGVENEIKFIEKAMEKGVVYLLGEAEVVADPKSSIINKVVVNYAYSFLRKNFRQGNKLMAIPRKRLLKVGMTYEI >OIW02708 pep chromosome:LupAngTanjil_v1.0:LG11:1661518:1663351:1 gene:TanjilG_29484 transcript:OIW02708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAYGHQMEQMYSARSLSGGSEIRSNYTMESGFHIPSLAATILVSALVAVGVLLTTLLISLAIMLQSCQSRNAGVVNLPNTNDYSYCKVYSLHAELNNLEGYQIPEICRDLAVQYIKAGQYGRDLDLVKYMVEDYFNSSRPSDDGLDVVLIDIDGIFPPNAPSFNLFQRFQNDSISNCILEANNLKCMFVLRLYMNLQAGGWPIILLSRESEIHKNGTINHLVSAGFRGWSALMMRAEDEDPTKENKGIFKLRNVIQAKGFRIKSIISSHIDTLSVADTGIRNFFLPAPICDKFEQQRTA >OIW02662 pep chromosome:LupAngTanjil_v1.0:LG11:1965856:1969492:1 gene:TanjilG_29438 transcript:OIW02662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNKFATMLHRSTNKMVVNIVYAVLEWVLIVLLLLNSMFSYLITKFAKSVGLKPPCLWCSRVDHVLQPRESTNLHKDLVCETHAAEISRLGYCSNHQRLTETQSMCVDCLTSTPNHGENENIKRCSCCNESMSRKLYPTYLLMKPSWEHENFTSKGSLIVESIDDEKEGDRDLEFERKNGEDHDHDHHIFFDIESFILREVAEDRSSSFSNFHSDEKDAEKEDLIITELNQSDADNFIHQFTDTSMMQASFLEDRSLEVINMHFEHYVTCDTQRLIPVKLIDSITSEHFESSFKLDEDLGEMEQKVKSFATESPIEAQLIILEGASLLTMDNSANKISSRELESLDTGMTGLENFIVLDFEELKQDSVVEVQPQRITTDEAQTSPNKDNDVDTATKEPDNRQVDLPLSQEPVCSYECLQEDESSSSDDDAEVQNAFDEFIALNNLSRSESLSNGDNNGDVAIEEPNSTTRDEDESSTSDDDDTEVQNVFDEFIAQNNLNKSQGNWPPSEEPARSLECISKDQSSTSEGDTEDLVASDKLISQNSLCQDKTDINNNEYTEMIEKTTSVAEDKLPETPSSFDGLHYLQKKLNLFEKRELGGEDSLDGSVASELECGDPVLTIDRLKTALKAEQRVLSTTYQELEDERSASAVATNQTMAMITRLQEEKAAMQMEALQYQRMMEEQAEYDQEALQLLNELMVKREKEKQELEMELEEYRLKVMDYEAKERVRVLKRMKDGSVRSKDSCSCSCSNVDYTDDHEISIDLNHETRDKDNCYEENGHNNATFDPVSNLEDMTLDCVKHISVLDNSFTEFEEERASILYQLKALEEKIISMEDNDEFLEDVNLIEHSSAYDEKNLDDKCNFRSPEENKYSNEFSDDKHSPRRTMGSLAKRLLPYLDAADNETKEEEAYSFDIRLRPESVDIQNSVPILEMENMKVSIEEEVDRVYERLQALETDREFLKHCLGSMQNGDKGVDLLQEILQHLRDLKTVEHCMKNSGNYPLE >OIW02236 pep chromosome:LupAngTanjil_v1.0:LG11:5954622:5955944:-1 gene:TanjilG_15119 transcript:OIW02236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIMAYIISTSSIQAESYVFGDSSSPKIIHLTPWDLTCIAFETNRKGLLFKNPINVEHQIQHLKQSLSSTLAFFPPLAGRLVIVQHDEDNTVSSHILCNNAGALFVHAVASDNTCVSDILHSKYVPPILHSFFPLSGAKNYQGTSQPLLAVQITELVDGIFIGISMNHLVADGTSLWHFINSWAEISRGCDVVSKLPSLERWFPNPNRCPIRFPFNEEDHVEIFEGCTNYQRIFHFTKEKIAEIKSKANAEAGTDKISSLQALLTHLWRTVICNQQLDPEKECDYGLAINVRGRILPPLPDSYFGNALIIDAIRMKAGELLLEGGLGKGALEMHKMIASYSDEKLKILYQSWVGPPNNDMSELGGISNILRTSSSPRFNVYGNDFGWGKPVAVRSGNTLNGFTTLFAGPEEGSIDLIVCLPYEVLEAIGNDPHFMDPFSI >OIW02272 pep chromosome:LupAngTanjil_v1.0:LG11:6548349:6552579:1 gene:TanjilG_15155 transcript:OIW02272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSPNPIAFLCLSSFLLTLLLCVAEASSSSTNATKIGQGYRLVSIEESPDGGLIGFLQVKKKTSIYGSDIPLLRFYVKHESNDRLRVHITDANKQRWEVPYNLLPREQPPALTQTIGRSRKSKNPISVLEYSGSELLFSYTADPFSFKVKRKSNGETLFDTSSGASDPFSSLVFKDQYLEISTKLPKDASLYGLGENSQPHGIKLYPGDPYTLYTTDISAINLNADLYGSHPVYMDLRNSGGKASAHAVLLLNSNGMDVFYKGTSLTYKVIGGVLDFYFFSGPSPLNVVDQYTQLIGRPAPMPYWAFGFHQCRWGYHNLSVIEEVVDSYKKAQIPLDVIWNDDDHMDGKKDFSLNQANYPRPQLLKFLEKIHSIGMKYIVINDPGIAVNSSYGVYQRGLANDVFIKHDGEPFLAQVWPGAVNFPDFLNPKTVSWWADEIRRFHELVPIDGLWIDMNEVSNFCSGKCTIPQGKQCPTGTGPGWICCLDCKNITSTRWDDPPYKINASGIQAPIGFKTIVTSAVHYNGVLEYDAHSLFGFTESIATHKGLLGIEGKRPFILSRSTFVGSGKYVAHWTGDNQGTWENLRYSISTMLNFGIFGIPMVGSDICGFYPQPTEELCNRWIEVGAFYPFSRDHANYYSPRQELYQWESVAESSRNALGIRYRILPYLYTLNYEAHVSGAPIARPLFFSFPTYTECYGLSTQFLLGSSLMISPVLEQGKTEVKALFPPGSWYSLLDLTSTITSKDGIYVTLDAPLHVVNVHLYQNTILPMQQGGLISKDARKTPFSLVITFPAGASDGEAKGNLFLDEDEQPEMTLGNGYSTYVDFHATVKQGSVKVWSEVQEGKFALDQGWFIESVSVLGLGGNGTLSSLEIDGKPLIAESNVEVSTSEHVHLNGQEEGENKTLMVSLKGLKISIGKNFDLNWKLG >OIW02227 pep chromosome:LupAngTanjil_v1.0:LG11:6744237:6745613:1 gene:TanjilG_23935 transcript:OIW02227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSCLPKCKVARIMGWLQIILGGLVILVSILSLTKFYSAGFFLHNEDICQHFYTVKDVYGDFDAKALSDRVGEVLDRLETLQAKLESKVEEMEKNKGSLDNKFLDEEIVRPLHSANVALRLIRLPKIEGKNNTVKEDPLINFFITEEIRKYITPKENRVGKVNLYGTDKVYNTIGHACVLHKKRLEEYMDYDIGSYCDDDWNLAQKLMLNGCDPLPRRRCLTRASKVYQKPYPINESLWRLPDHRNVRWGNYQCRNFECLSSKNPKRGYSKCTGCFEMEKEKLKWVSNSSISTDFIILDVLAIKPGEIRIGLDYSIGTGTFAARMREQNVTIVSTAINLGAPFNEMIALRGLIPLYVTLNQRLPFFDNTMDLIHSTGFMDGWIDLLLLDFILYDWDRILRPGGFLWIDKFFCNRKDLDDYMYMFLQLRYKKHKWAISPKSKDELYLSALLEKPPRAI >OIW01343 pep chromosome:LupAngTanjil_v1.0:LG11:32665252:32666892:1 gene:TanjilG_20525 transcript:OIW01343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLLNTETVHGYKKSRKRRNGGSDSVEDTLEKWKNYNKQKQLGSEENGVEKVIHKVPAKGSKKGCMRGKGGPQNSDCNFRGVRQRIWGKWVAEIREPINGGNNNHVGKKPTRLWLGTFSTAHDAALAYDEAAKIMYGPCARLNFPESSSVDSIASDGSSSSSVCDEKSPSGDSADTSNGDELAKAEDKPKFSEVGVFEENEEKQVLVQFPTNEECRTRIHQGIEGELENVSKNYGIDGEDNHMEMEPIDMDMIMTRADGSTSGTNEHGIMIKSEETTGEPCCNPRPSCEHFDSITTEIDASTTKKHMEEVISEILQLCSNKCSKISNIQPQNEQYKYKHLDEMKTEHKGLDSMQFADYEVGNDYSFLSPDYDFWLIGREEVT >OIW02772 pep chromosome:LupAngTanjil_v1.0:LG11:1183250:1184548:-1 gene:TanjilG_29548 transcript:OIW02772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKDKIFKLAKGFRGRAKNCIRIARERVEKALQYSYRDRRTKKRDMRSLWIQRINAGTRLHGVNYGNFMHGLIKENIQLNRKVLSELSMHEPYSFKALVDISQKAFPGNKNVVIPPRKVNL >OIW02638 pep chromosome:LupAngTanjil_v1.0:LG11:2401733:2403826:-1 gene:TanjilG_24089 transcript:OIW02638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLSSTLLVFFFFFFFIYDVTVTATTVFDFGTLTLSSLKLLGDAHLNNGTVSLTRDLAVPNSGAGRALYSRPIMFRQYGAHSPASFTTFFSFSVINLNPSSIGGGLAFLLSPDDDSMGDAGGFLGLGGGGSFVAVEFDTLMDEEFNDINGNHVGVNLNTMVSTQVCDLGDIGIDLKSGDTVNAWIDFDGSNKGLSVWVSYSNLKPKEPVLTLNLDVDQLFNDFMYVGFSGSTQGSTEVHSVEWWSFNSSFDSSGASPPPPAMSLMNTTANSIKSPPPSLAPSASSNAQQKESKSSCHNGLCKRGLGAVAGVVTAGAFVLALFAGAMIWVYSKKFKHVKKMDSLGLEVIKMPKQFSYKELKAATKCFNANRIIGHGAFGTVYKGILPENGDIVAVKRCSHNGQGKNEFLSELSIIGTLRHRNLVRLQGWCHEKGEILLVYDLMPNGSLDKALFETRTPLPWPHRRKILLGVASALAYLHQECENQVIHRDIKTSNIMLDEGFNAKLGDFGLARQTEHDKSPDATVAAGTMGYLAPEYLLTGKATEKTDVFSYGAVVLEVASGRRPIEKDATGVGKVGVRSNLVEWVWSLHREGRLLTAADQRLEGQFDEEEMRRLLLVGLACSHPDPLARPTMRSVFQMLVGEAEVPVVSRAKPSTGFSTSHSDLLLSLQDSVSDCDGVITISTSSSENNFNGGDIV >OIW01746 pep chromosome:LupAngTanjil_v1.0:LG11:18804238:18805231:-1 gene:TanjilG_03884 transcript:OIW01746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKVLLVYYSLIFGFAIITCSATTYIVGDSSGWDISTNLDTWVADKKFKIGDSLIFQYSSSSYSVDEVTRENYDTCNTTNILKSYGNGNTTVTLTRGGDWYFVCGNQLYCLGGMKLDVHVEGGKALSPAPAPKAMFGSNQKTNAVPDSQSPSSKKSTHLSNGAINYARGALYLIYIALLANVFGVLGI >OIW01542 pep chromosome:LupAngTanjil_v1.0:LG11:28666553:28667275:1 gene:TanjilG_19468 transcript:OIW01542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNEFFSDQEATNHNETTLLQDPYYEEDQEDTLSLCDLPTYSSDSAQWDDYFSIERQSSTQTNDDDDDNDDFFEFSSEEFTTSIHETTAKNIIFCGKLIPFKEPPQQHRNDQTSDVHLPCHKPKHVMSRSSVIPCDAKGSKNNNLCDYASIKKVSLMRSTTKSRWNLFMFGFGVSTEMDLRDIRSRQSRQGPATTIPASELGREMVKNKGKKNAKGLWRILKTLDFGCLSSNVVKVAFV >OIW01766 pep chromosome:LupAngTanjil_v1.0:LG11:18460826:18462131:1 gene:TanjilG_03904 transcript:OIW01766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWRKGPWTSEEDMLLIDYVKQHGEGRWNSVARFTGLQRNGKSCRLRWVNYLRPDLKRGQITPQEESIILELHARWGNRWSAIARSLPGRTDNEIKNYWRTHFKKKARNPSDAAEKAKNRLLKKQLFQQQQQLKQHQVQQQQQQQLQFNLDMKGIMNLLDENDHMAPSISQETQEIVSMYPNTTTYEEGYFYSMHNGNIFAPTSSNDETLWDGLWNLDDVLNNFSAASATRKITMHNLVAPYC >OIW02245 pep chromosome:LupAngTanjil_v1.0:LG11:6188042:6188857:1 gene:TanjilG_15128 transcript:OIW02245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKSPHDSSLSFSRRYLNFHWKKKGLGDEEEEEILNITSSTHFSEEDEKEEDNIHQLMIQMPKEVSVVPLEVKKKKHSSKSKFKSALHVFTKSHSLNSSSLRKRVMVGTLFGYRRGHVHFAFQEDPKLGPTFLIQLATPTSVLVKEMASGLVRIALECEKKVGNNNNKGVKLLEEPLWRTYCNGKKCGYANRHECGPEEWKVLKAVEPISMGAGVLPMSSVGNGVGSEGELMYMRAKYERVVGSKDSEAFYMVNPDRSGGPELSIYLLRV >OIW01333 pep chromosome:LupAngTanjil_v1.0:LG11:35145134:35147816:1 gene:TanjilG_10494 transcript:OIW01333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQKKSEVQIGKETIGVSSDFNHPFSVHQKFHHNHNHIHNHFFPQIITTQHELLLSSSTPYKRTQTPFSSLSKSPTLSQLSHHSRPQPQFKTLNITAVIRSTVICLLRRLKYLRNHRHLRPTLLLSLPFFYFLVSHSTHSFLLDFLSAFAFSAVLLLSLNLTLPRLPSLRLFLARSLKPSASPRPVPRLPVFWTIGSRHKAERRAVAVAASPGSNSCSECWVQVYGNGDVYEGEFHKGKCSGSGVYYYSMSGRYEGDWVDGKYDGYGVETWARGSRFRGQYRKGLRNGFGVYRFYTADVYGGEWFNGQSHGCGIHTCEDGSRYVGEFKWGVKHGLGHYHFRNGDTYAGEYFADKMHGFGVYHFANGHRYEGAWHEGRRQGLGMYTFRNGETQSGHWENGVLDIPSTQSTTYPVSPVGVYHSKVLNAVQEARRAAEKAYDVAKVDERVNRAVAAANRAANAARVAAVKAVQKQMHHVNHESFPIPIV >OIW02697 pep chromosome:LupAngTanjil_v1.0:LG11:1770212:1771816:-1 gene:TanjilG_29473 transcript:OIW02697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKALILVGGFGTRLRPLTLSFPKPLVDFANKPMILHQIEALKAIGVTEVVLAINYQPEVMLNFLKDFEEKLGIKITCSQETEPLGTAGPLALARDKLIDESGEPFFVLNSDVISEYPLKEMIEFHKSHGGEASIMVTKVDEPSKYGVVVTEEATGQVEKFVEKPKLFVGNKINAGIYLLNPSVLDRIELRPTSIEKEVFPKIAAEKKLYAMVLPGFWMDIGQPRDYITGLRLYLDSLKKRSSPKLASGTHIVGNVIVDETAKIGEGCLIGPDVAIGPGCIVEAGVRLSRCTVMRGARIKKHACISSSIIGWHSTVGQWARVENMTILGEDVHVCDEIYSNGGVVLPHKEIKSNILKPEIVM >OIW02906 pep chromosome:LupAngTanjil_v1.0:LG11:276861:278954:-1 gene:TanjilG_29682 transcript:OIW02906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAWWMNLKDNVKCGNKLTDVIRHPKKYGKGSSYVSEKRKMGRNSGALMETHVSQVVSRPSNTLAQLHELSIEEPSRKIVEMIFEKAWMNISKQLKNVRTVLRVSYSAEVLERFEKYREKVKKNACLRYPRHPRSTVDGNELLRLYGTTMRCFHGNNSAKKVYDFCKDPCCCLCQIIQFNFNVEYAEIQFNTSGKQLSATPRVKNLKRAAIVCRVIAGTSGNEVDGEYEWSQHRASLFSHL >OIW01190 pep chromosome:LupAngTanjil_v1.0:LG11:33326457:33326669:-1 gene:TanjilG_10351 transcript:OIW01190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWIQRKQCLSSWNQFMIYILQISCDDSVINVASGKVAGLDNVIVEHPDLEPGSDHALSKVVVTIKTSLKL >OIW02770 pep chromosome:LupAngTanjil_v1.0:LG11:1198686:1210031:1 gene:TanjilG_29546 transcript:OIW02770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVMTPAPIDQQEDEEMLVPHTNSTENNHQPMEVVAQPETANNTVESQPVEDPPSSRFTWRIDNFSRMNTKKLYSEVFVVGGYKWRVLIFPKGNNVDYLSMYLDVADSTSLPYGWSRYAQFSLAVVNQIQNKYTVRKDTQHQFNARESDWGFTSFMPLGELYDPSRGYLLNDTLVVEAEVLVRRIVDYWSYDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDMPSGSIPLALQSLFYKLQYSDTSVATKDLTKSFGWDTYDSFMQHDVQELNRVLCEKLEDKMKGTVVEGTIQKLFEGHHMNYIECINVDYKSTRKESFYDLQLDVKGCRDVYASFDKYVEVERLEGDNKYHAEQYGLQDAKKGVLFIDFPPVLQLQLKRFEYDFMRDTMVKINDRYEFPLQLDLDRENGKYLSPDADRTVRNLYTLHSVLVHSGGVHGGHYYAFIRPTLSEQWYKFDDERVTKEDIKRALEEQYGGEEELPQTNPGFNNTPFKFTKYSNAYMLVYIRETDKDKIICNVDEKDIAEHLRERLKKEQEEKEHKKKEKAEAHLYTIIKVARDEDLVEQIGKDIYFDLVDHDKVRSFRVQKQMSFNLFKEEVAKEFGVPVQFQRYWLWAKRQNHTFRPNRPLTHVEEAQSVGQLREVSNKVNNAELKLFLEVERGLDLRPIAPPEKTKDDILLFFKLYEPEKEELRYLGRRFVKSTGKPSEILTMLNKMAGYDPDEEIGLYEEIKFEPNVMCEPIDKKLTFRASQLEDGDIICFQRAPAMDSEEHFRYPDVPSYLEYVHNRQVVHFRSLDKPKEDDFCLEMSRLYTYDDVVERVAQQLGLDDPSKVRLTPHNCYSQQPKPQPIKYRGADHLSDMLVHYNQTSDILYYEVLDIPLPELQGLKTLKVAFHHATKDEVVIHTIRLPKQSSVGDVLDDLKTKVEMSHPDAELRLLEVFYHKIYKVFPPTEKIESINDQYWTLRAEEIPDEEKNLGPHDRLIHVYHFTKDTAQNQMQIQNFGEPFFLVIHEGETLDEIKLRIQKKLQVPDDEFAKWKFAFFSLGRPEYLQDSDIVSSRFQRRDIYGAWEQYLGLEHIDNAPKKAYAVNQGINLPILFSEPPHV >OIW02721 pep chromosome:LupAngTanjil_v1.0:LG11:1559662:1565655:1 gene:TanjilG_29497 transcript:OIW02721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYSKEEKYQRILRGVKTLFFLITMFMSLLLFSAPALFVIADAFVPSVLLSALSPSSLSLQSFLSIFNNYDFRYSLIDIPLVSIIRSFIIFCVYSFCDGPRLSRGPYLGITTLCSVLSLIFVLLKAVLVFSNVGVDGRGYVRVSEIALFVCSCALASFHSRHGKAYLFNKVANVSMGEKEDRQMMTGMHTVVDIFCVGCGSIVGWKYESAHEKSQKYKEGKSVLERFKLSGPDGSNYWVSSHDSHIGGSDADDV >OIW02602 pep chromosome:LupAngTanjil_v1.0:LG11:2638474:2641233:1 gene:TanjilG_24053 transcript:OIW02602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASYFQTLLVLVMVTLVTLLVIPVNAELSPHFYDKICPQALPAIKSAVFQAIKKEQRIGASLLRLHFHDCFVNGCDGSVLLDDTASFTGEKTAQPNNNSIRGFSVVDEIKAVVDKACKRPVVSCADILAIAARDSVAILGGSQFWYQVLLGRRDARTASKAAANSNLPPPTFNFSQLISNFNTHGLNVRDLVALSGGHTIGFARCTTFRTRIYNETRLIDPKFAASLRNKCPKKGNDNVLEQLDATVARVDTTYFKDLLHKKGLLHSDQELFKGKGSASDNLVKLYSRSTSAFARDFKASMIKMGNLKPLTGRKGEIRCNCRRIN >OIW01195 pep chromosome:LupAngTanjil_v1.0:LG11:33370614:33372470:-1 gene:TanjilG_10356 transcript:OIW01195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALTSSCSKAIVMGLGSYVHRDELKNIHVKFQLQKSCEFGEQFLIVGDDPMLGSWNPSNALPMSWSDDHIWTTHMNIPAGKTIQFKFLLKGKSGDIIWQPGPDRILHTWETMDRVTICEDWDNAGSQKLIQEYQQGYSNEEELDIDSNSKLSQTHHAKKKSLIMAENIGSLDEIIENANHIITAQKLIQMNEESANNP >OIW02622 pep chromosome:LupAngTanjil_v1.0:LG11:2495691:2500779:-1 gene:TanjilG_24073 transcript:OIW02622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLWSCNFNSQLANALSIEGDYRGSLYALECGYVCATEICYPELQMFFATSILHVHLMQWDEDSLVEQAVNKCNDIWDSIGPDKRRQCPGLLFYNELLHIFYRLRLCDYKNAAPHVDNLDAAMKADMQQMQKVQELIMELDALDQSLSRSDLHYRERAALSEKQAMIQKQLRNINGLSSVGQETLEPVYFGNVTKKPGDKLQLGPPPIDGEWLPRSAVYALVDLIVVIFGRPKGLFKECGKRIQSGMRLIQDELVKLGITDGVREVDLQHSSIWMAGVYLMILIQFLENKVAIELTRAEFVEAQEALIQMKNWFMRFPTILQACECIIEMLRGQYAHSVGCYNEAAFHFIEALKLTESKSMQAMCQVYAGVSYICIGDAESSTQARDLIGPVYGVMDSFVGVREKTGVLFAYGLLLMKQQDLQEARNRLARGLQLTHTYLGNLQLVSQYLTILGSLALRLHDTVQAREILRSSLTLAKKLYDVPTQIWVLSVLTALYKELGERGNEMENAEFQSKRLADLNKRLGDAQASIYHIELIEKARFEVHQLHESDMKRAMAGPTTMGVNLDIPESIGLSAPLPPPSSSRLVDIDTSRRGKRRI >OIW02418 pep chromosome:LupAngTanjil_v1.0:LG11:3855778:3861576:-1 gene:TanjilG_05011 transcript:OIW02418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSKTQVHNGNVHSTDTIAGVGAGSSSSPSPPPSPPPRRHSGVSRCRRRPRQAKSSSHTTHSFRTLAAGIIGRRGVRYFFLLPLLYISGLLMCVGPFYALIRQPPLPGSRYRSHEVFQKLWQDIESDNSSAIELSSVWKYKRKLREQKPCPNLTALHQEHFVSPGLNGFLIVEANGGLNQQRSAICNAVAVAGLLNAILVIPHFEFHNVWKDPSEFGDIYDEDHFISTLDGYVKVVKELPEALMERHNYNMSNITNIRVQAWAPVSYYLGAVYPVLQKEGVIRITPFANRLAMSVPPHIQFLRCLTNYKALRFTSSISTLASKLVNRMIEKSSRTDGKYIAVHLRFEEDIVAFSCCIYDGGEAEKLEMDLVRQKGWRGKFRRKDHIIRPSFNRVDGKCPLTPLEVGVMLRGMGFDSNTSIYLASGKIYHGERYLVPLIKMFPNLHTKESLATSDELAPFLGYSSQLAALDYTVCLNSEVFVTTQGGNFPHFLMGHRRFLYDGHAKTINPDKRKLVVLLDDLDISWRTFKEQMEDMLSESDRKGIMVPRVRKINRKTSIYMYPLPECRCLQQFLVNQIDHNLDVPNNYSSRHLNR >OIW02552 pep chromosome:LupAngTanjil_v1.0:LG11:3303468:3304771:1 gene:TanjilG_12866 transcript:OIW02552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANSQRPGTSYAGAYRSREGLSTRSVAASDEIQLRIDPMDLDDEITGLHRQVRRLRNDNLPNCSTCDTFVSMPFDHRLVDLDWNITLVGMVFELVDNGSCDTVEVGVLARETLRRSSHVMRKKSYMYEKDSLPRMWSMVFIVGHPAVVNLS >OIW01500 pep chromosome:LupAngTanjil_v1.0:LG11:27345414:27347732:-1 gene:TanjilG_19426 transcript:OIW01500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAALCTRPKPSFLSSFFFQTASLHNHNSARFINGSSLHFYCPGGDGRRRHHSSACTIGGSCGGAASIWHVVLPERAGASICCDLRWRSALPHHELRGEGSWNAAWDARPSRWLHRPDSAWLLFGVCACLAPPLLLADVNTEVPSAEHDSDGGGGDLKGPGCDEQNEVSSAYRITGVLADGRCLFRAIAHGACLMNGEEAPDENRQRELADELRAQVVEELMKRREETEWFIEGDFDAYVTRIQQPFVWGGEPELLMASHVLKTPISVFMRDRSSGDLVNIAKYGEEYITKEKEIAINVLFHGYGHYDILEISSDSDVA >OIW01485 pep chromosome:LupAngTanjil_v1.0:LG11:26894470:26904500:-1 gene:TanjilG_19411 transcript:OIW01485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNSMSDTSFYVESDEEDAEKEMHNDRNHSDYSIDSNENHPQRKPSSYSMQWPQSYRQSIDLYGSVPSPSIGFLGAPSLSTLGSSFISTSLIRRQHTAEKLPPIRKPLLQPEADEQQRQSSHGLLPPLISRKSSIQKDASKVSHEIEFAGQCTFGQAVLNGINALCGVGILSIPYAVKEGGWVGIGMLLLFAVFSFYTGLLLRYCLDSEPGLETYPDIGQAAFGTTGRVAISIILYSELYACCVEYIILESDNLSSLFPDAHLSLGGMQLNSPTLFAIIATLAILPTVWLRDLRILSYISAGGVIASIVVVLCLFWVGILDNVAIPSHRSTFNLATFPVAMGLYGYCYSGHAVFPNLYTAMANRNQFPAVLLTCFAICTTMYVGVAVMGYLMFGDATLSQYILNMPQSLVFTKIAVWTTVCSF >OIW01524 pep chromosome:LupAngTanjil_v1.0:LG11:27983455:27987507:1 gene:TanjilG_19450 transcript:OIW01524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMFMAPSSISINLTHPFSSLVNLGHPLNNKPVRKTKNTNIQCTLHSPNFPKQPYNKPLITNEDSDTTNNKPQWNPFQKAIASIIDNFESALNSRELQQPLRKTADPRVQISGNYFPVPERPVVESLPVTGTVPESLNGVYLRNGANPMFEPLAGYHLFDGDGMVHAVKINNGVVSYACRFIQTERLVQEKKHGRAMFPKAIGELYGHIGILRLILFYTRALAGILDLRRGNGVANVSVVYFNGKILAVSEEDFPYELQITPTGDIVTVGRYNFDGQLKCAMSAHPKIDPQSGELFTLSYDFSKSYMKYFWFSPEGKKSPEVDIHLSAPTMVHDFAITENFVVIPDQQVVFNISEMIKGGSPVIYDAAKKSRFGILPKYSTDESSIIWIETPNTFCFHFWNAWEEPETNEVVVIGSCMTPPDSIFNEREEGLKSVLCEMRLNLKSCKFTRRSIVSETNGEVMSLEVGMVNRNRIGRKTRFAYLAVAEPWPKVSGLAKVDLVSGEVKRHNYGDGRFGGEPFFVPRENNNGVGEENVREDEGYILVFMHDEKTWKSELQILNAVDLKVEATVQLPSRVPYGLHGTFVEAKKLLGQVLETKEKVEVKN >OIW02775 pep chromosome:LupAngTanjil_v1.0:LG11:1162846:1165287:-1 gene:TanjilG_29551 transcript:OIW02775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATVTPENPRTSPTISRSVTHTVNGSHKFVIQGYSLAKGMGVGKHIASDLFAVGGYHWAIYFYPDGKNPEDNSTYVSVFIALANDGSDVRALFELTLVDQSGHGKHKVHSHFDRSLESGPYTLKYKGSMWGYKRFFRRSLLESSDFLKNDCLKINCTVGVVVSAIENLQLHSINVTESDIGSHFGALLDNMEGSDVTFNVAGEKFPAHKLVLAARSPEFRSKFFDGLDVEQQEIIVTDLDTKVFKALLHFIYRDTLTEEVDEVSSTTSSDFLISETLTAKLLAAADKYGLERLRMMCESRLCKDICVNSVSIILTLADSCHATELKSVCLKFAAENLAGENKNEFRYCAFSAEKK >OIW02507 pep chromosome:LupAngTanjil_v1.0:LG11:2985939:2987953:-1 gene:TanjilG_12821 transcript:OIW02507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEAKQEQPKAESKPENKAEENKEEKPAEEKPGEEKKEEKPAEEKPAEEKKEEPKPPASCVLFVDLHCMGCAKKIERSIMKMRGVEGVVIDMAKNEVTIKGIVEPQAICNTITKKTKRRANVISPLPTVEGEPIPEVVNSQVSGPVTVELNVNMHCEACAQQLKKKILQMRGVQTAVTELSTGKVIVIGTMDAKKLVEYVYRRTKKQAKIVPQPEPEPEPEKKEENKEGEEKPAEEAKPEETKEEKKEEKKEEEKAPEEEPKKEEIGENIKDEKKEEKGGEEGTKEEAKKDENEFVVNNIDEEGMKRMMYYYPYQPLYVIERIPPPQLFSDENPNACCIS >OIW01165 pep chromosome:LupAngTanjil_v1.0:LG11:35401231:35402728:1 gene:TanjilG_17722 transcript:OIW01165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSEWIWGVATATQFGFGVRSLTKGYSGDNRFMPLKAFAVASLFLGSAASASVLILKYNGIHKKVEWVERGCSYSYVCNEMGFVASIWKMSVDENAGFRRKKDVFM >OIW02933 pep chromosome:LupAngTanjil_v1.0:LG11:113102:125364:-1 gene:TanjilG_29709 transcript:OIW02933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKFSIITLFSSLLLLAAICKAQPVTIDIKKFGAQNGDITQAFINAWKEACAATSNVKIVIPKGTYKMSGVEVKGPCKAPIEIQVDGTIQAPADPSQLKGAEQWVTIGYVSHFTLSGHGIFDGQGATAWKQNKCGSNKSCARRAMNLGFNFLNHSVVRDITSKDSKYFHVNVLSCNNFTFDGFKVSAPHDSANTDGIHIGRSNNVKVLNTKIATGDDCVSLGDGNTRLVVKNVECGPGHGISVGSLGLYDNEDNIDDFLVKNVTIKNADNGVRIKSWPSTPVSITVTNMRFEDITMVNVANPIIIDQEYCPWNECSKKSPSKVKISKVYFKNIKGTSATKEGVILLCSKSVPCQGVELSDINLTYKGAPTVAVCANAFINAWKEACAATSNVKIVIPKGTYKMSGVEVKGPCKAPIEIQVDGTIQAPADPSQLKGAEQWVTIGYVSHFTLSGHGIFDGQGATAWKQNKCGSNKSCARRAMNLGFNFLNHSVVRDITSKDSKYFHVNVLSCNNFTFDGFKVSAPHDSANTDGIHIGRSNNVKVLNTKIATGDDCVSLGDGNTRLVVKNVECGPGHGISVGSLGLYDNEDNIDDFLVKNVTIKNADNGVRIKSWPSTPVSITVTNMRFEDITMVNVANPIIIDQEYCPWNECSKKSPSKVKISKVYFKNIKGTSATKEGVILLCSKSVPCQGVELSDINLTYKGAPTVAVCANVSPKISGKAPTCTAAKSIF >OIW02635 pep chromosome:LupAngTanjil_v1.0:LG11:2419201:2419886:1 gene:TanjilG_24086 transcript:OIW02635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGVVFPNRSFPMDISSFSQIDTFHWILDMNTFVGEAYDQVREICIFLLNSFTLPPDKALAVYIQSPGSPFVFVGAVTVARPSAVLSLPWPDPGCSDGSGQLQLTADAQLLTAKIGVSVEDLASLPSLDVAAEKRIERLAMKVGENLFNFMQSFCGVDGSKLIVPMDILDRWFKKFQERAKRDPEYLKGFAL >OIW02435 pep chromosome:LupAngTanjil_v1.0:LG11:4000236:4015554:1 gene:TanjilG_05028 transcript:OIW02435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSERSLQLLSEIGTQLAQQTRPKKDFIVKYLRQAANALSQLEQSELPQTAKEVQAAKKRDADLKPLANALVELIHGLHRQEDKDVKLLIAISVTELFRVMAPEPPFEDKYLKDVFKLIISLFKDLADTASPYFSKRVKVLETVAQLRCCVIMLEIDCAKLVLEMFKIFFSVVRDDHHDSLIYSMSSIMINILNESEEGSQQLLEVILRNLLKERKDTTCAAYQLASSVIKTCALEDELNDLVCRFLTSCIHDRDSVGCELKESYHEIIFRVFQCAPQMLLAVIPSLIEELLADQVDVRIKAVNLVGKLFALPEHHAALKYPELFVEFLKRFSDKSVDVRISALQCANAFYAANPYGRESHEIITSVEDRLLDFDDRVRMQAVLVACDISKSNLKLVPSKLISQATERLRDKKISVRKRALQKLVEVYQDYCKKCCDGSMPVSDHYEQIPCKFMMLCYDKDCKEFRSQNMEFVLADNLFPEHLSVEERTKHWIHMFSLFSSLHEKALDTILTQKRRLQDEMKNYLALRKKLKEICPEEILKKTEIIFTKIAASFPDSLKAKECLQKLNQIKDNNVFKSLEHLLEEQTFTNGQVIQGKLLVMIGDGNHPYEFLRSLFSKCSPNIFSSEHVNCILDYLSHNESGNNHLEDSSVNLLLAIVRIFPSMLKGLENQFQMLLERKSPVNDRLIEVVAKTGSHVSFHLSDIYPFLERMCLDGTRTQAKLAVSAIAALSYEQSVFLSLYEGLIDYLYSQWNVPTVLQSLGCIAQYSFTTFETRDEEITTYICKKIIQMEHLEDGRAETPSDDTSHCSESCQLKIYGLKTLVKSFLPHQGNHVKRGIGGLLDILSRMLRESDSFISTGAEGNCENDCAHIRLAAAKAILLLSRKWDLHITPEIFRLTTLIAKDSSSFVRSTFLNKTQKLLKERKLPIRFACAFAMAVTDGIEDLQYNNNKYIAEFIKDYRKRQTSAVQGAIFDYPVYILVFLTHVLAHSSDFPFEDCQDEKMYADLCSPLFFVLQAFTDVSLADGDLDLVNGDVLNLFSIFRAIRKAEDAVDPQMTTKLHMLAEFGIFHLNALNHNAISLSQSPGQILLPSSLYRPSLTKKDANSKSAKSFFDEGFLSRVFQRLKSNVPQKPAKAFPKHGRKGQEGVQLSNINIYGVLDLASRKPDDLSKKAITNAKTVRPDIPSGKRRKHVPPCDSGSIGLHECSTIEKQQKLTSNHCEKTLENNLLSSCGSVSCKDSLAESNVPTRVLKRAAACSSENAVISCKDTVQSFKRPRTHVKDTCGSKKQDILADVSNNQFSHRDPNEHSFLSGMEKSTTTIGGVAAKEGTSLNQENASVSGKRNCTDNSASEVVNTNARATRPTLGSRRRRKV >OIW01103 pep chromosome:LupAngTanjil_v1.0:LG11:35684426:35685427:1 gene:TanjilG_25211 transcript:OIW01103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHVVSQGHSLPSFLTRDLHLNPFHQFQPHHHNHNNDNTEEDNNKNNVDNFLNRGLNRDQNKNITTNTITSRVSAVSEDGREFSSTMSLGDNSEMGAIRRSRGRPTGSKNKPQPPIIINKDSANALRSHLIEISDGCDIMESVAAYARRRQRGVCILSASGAVTNVILRQPASAGAVVTLNGRYDILSLSGSFLPPPAPPAAASSLAIYLGGSQGQVVGGSVVGPLLASGSIVIMAASFGNASYERLPLEEEEETSPVHGGGGLGLGSSEIIGEQQHRNRNHNHNHNNYHQQQIEADHNTTSVYNGVSHNLLNSFELSSAEGGFWGGNGRSSF >OIW02939 pep chromosome:LupAngTanjil_v1.0:LG11:63129:64574:1 gene:TanjilG_29715 transcript:OIW02939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQPFVSSSSLTFSSHAVKHSLGPRPLQYPFGFSKKASFLVKAASTPPVKQGANRPLWFASKQSLSYLDGSLPGDFGFDPLGLSDPEGTGGFIEPRWLAYGEIINGRFAMLGAAGAIAPEILGKAGLIPEETALPWFKTGVIPPAGTYEYWADPYTLFVLEIALFGFAEHRRLQDWYNPGSMGKQYFLGLEKGLGGSGNPSYPGGPFFNPLGFGKDEKSLKDLKLKEVKNGRLAMLAVLGYFIQGLVTGVGPYQNLLDHLADPVNNNVLTSLKFH >OIW02504 pep chromosome:LupAngTanjil_v1.0:LG11:2960701:2963571:-1 gene:TanjilG_12818 transcript:OIW02504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEGERAKPKEEQQQQHHHEEEEEEEGSLFVESSSRWNNQGFQILQLSEKLINANLSTKIEAAREIRKLIRKSPSSAKTRSKFGASGVIQPLVFMLSSSNLDARQSSLLALLNLAVRNERNKVKIVTAGAVPPLVELLKRQNSSIKELATAAILTLSAAELNKPIIAASGAAPLLVQILNSGSVQGKVDAVTALHNLSTSIENSTELLDASAVSPLINLLKECKKYSKFAEKATSLLEILSNSEEGRINITVADGGILTLVETVEDGSLVSTEHAVGTLLSLCLSCRDKYRELILKEGAIPGLLRLTVDGTVEAQNRARRLLDLLRDSPPQKRITNSVFEK >OIW02678 pep chromosome:LupAngTanjil_v1.0:LG11:1900922:1901950:1 gene:TanjilG_29454 transcript:OIW02678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRKKWSELEEQTLLSKYSDLLRSGTLSKLKTREKKFKPVADHVNAVHHLRDPATFPFKWSWRDVSIKVQNMRHQYLGVKQKIRVSPHHFNWKDGINHWENFLVYKDVFGDVQLDLKNKKKKDVDGFGNCDELGFEDEDEDDEDDDDDEEEEEEEEEEYEVDALGSIGIGVLELREAVGRREERRREREFRKEKEEMEVVREKRRRERELDLELELELRERRLRWEVEKRGRVERELEEERRRRKRVEERMEEEMMEWRERMVAMQVEHEKQMMQMQVDACQNQMQILGIMARLVCQFFGSGNDGLGGGLGALPPQVLQNLHDTGGGLGNVKPDANSPSEFI >OIW02103 pep chromosome:LupAngTanjil_v1.0:LG11:8423353:8426316:-1 gene:TanjilG_26643 transcript:OIW02103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEKVSSWSHKPEGLFSACDGSSCKELKRLTSENTKMPDHCFKGSNVGSSGSKLCVDDTIMGNKCVVEDDSVSQYSIDNISQTDNALSFLDNDLWRDIGNFEDVHGTMSCDFNFGMESLDNEDEFGWLSSSHGIEGSDDAMKSAFEFMSAEMCPLKSTSDYSVSLKENVEGLPISDCNKKAAPIIDEKLRTQIDVDHDAVSTLLSTLSESDMISGNTDDMMPREKGKMSKPMAGKRKSLENGESVCPYAHMEQYADMKQPLGSSSSGVTCQDSINKHRPNMDSDSYGCIPMQNSPMNQNGCGDDYGLDAVGKFVHCDSQPLNSSFKSENMENPLSFQNPGSAQQINHKFENENDSHSEVEGVSIGFSQEIDSSNVHESSSLSSALDNISLEAASFCQLQRIMDQLDIRTKLCIRDSLYRLAKSAEQRHTDANTNGQTGGDAEMCKAVKIPDANRCMGFMDMETNTNPIDRSIAHLLFHRPSDPSMFPRNDTIAFKSNSMVHGSVTDLSVKQVCQEESTAGVEQKSLGVTPS >OIW02313 pep chromosome:LupAngTanjil_v1.0:LG11:5466848:5472003:1 gene:TanjilG_11207 transcript:OIW02313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTKVLLSQLRTSFTFTMNPLSSRATLPIFSPILFSFNLKHLPLQNAPFHLRPLSTHSSSGSRGKVRASKSLIDEESELSGWVSELRTDSYRGRLTSDDDDNRDSVRGRGSGMGRGRGGGRGGGRGRGGSGGGGGGRIDESTRRGTRDSFDSGNRGRGSVSGRGRGRGRDMRGESTRRETRDPLDSFSRRNSRAIQNFNGEKDEFRSSRNNNNNSDNREAVFGKSSRRGVELRNATRMSSQSKSEEEDEDEDDDEDEVVDRFNSRGFDEFLSDDDDESEGSEEDDEILSKSKTALFGNEKTTPRAASSGGSESYLSDTRFDQCSVSPLSLKAVKDAGYEKMTVVQAATLPIILQGKDVLAKARTGTGKTVAFLLPSIEAVAKLPPSDRDQRRPPIHVLVICPTRELASQAATEATKLLKYHPTIGVQVVIGGTRLALEQKRMQANPCQILVATPGRLRDHVENTAGFATRLMGVKVLVLDEADHLLDMGFRKDIEKIIAATPKQRQTLMFSATVPEEVRQVCHIALRRDHEYINTVEEGSEETHSKVHQTHLVATLDKHFSFLYTLLKEHIADDVEYKVLVFCTTAMVTRLVAELLAELKLNVREIHSRKPQSYRTRVSEEFRKSKGIILVTSDVSARGVDYPDVTLVIQLGIPADREQYIHRLGRTGRKGKEGQGILLLAPWEEFFLSTAKDLPIEKAAVPSVDPETTKKVEKALAHVEMKNKEAAYQAWLGYYNSNKKIGKDKYRLVELANEFSRSMGLDNPPAIPKLVLGKMGLRNIPGLRTK >OIW02597 pep chromosome:LupAngTanjil_v1.0:LG11:2668162:2670648:1 gene:TanjilG_24048 transcript:OIW02597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSGDIPPNQTIYIKNLNEKIKKEELKRSLYCLFSQYGRILDVVALKTPKLRGQAWVCFSEVTAASNAVRQMQNFPFYDKPMRIQYAKTKSDCIAKEEGSFVPREKKKKQEEKADRKRREDTQQSSVPNGTHGASNGGPTASFRQGSSAQEASPPNNILFIENLPHETTGRMLEMLFEQYPGFKEVRLIEAKPGIAFVDFEDEVQSSMAMQALHGFKITPQNAMIITFAKK >OIW01944 pep chromosome:LupAngTanjil_v1.0:LG11:12363985:12372018:1 gene:TanjilG_25100 transcript:OIW01944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTYFGQRNSKLQVAARCDLFVGLDEFYAEEFVAHASAVNCLKIGRKSSRVLVTGGEDHKVNLWAIGKPNAILSLSGHSSGIDSVNFDPGEVLVVAGAASGTIKLWDLEETKIVRTLTGHRSNCTSVDFHPFGEFFASGSLDTNLKIWDIRKKGCIHTYKGHTRGVNVIRFTPDGRWVVSGGEDNTVKLWDLTAGKLLHDFKCHEGQIQCIDFHPSEFLLATGSADKTVKFWDLETFELIGSAGPETTGVRSITFNHDGRTLLSGLHESLKVISWEPIRCHDMVDVGWSRLSDLNVHEGKLLGCSYNQSCVGVWVIDISCNEPYELNNVNQLNAHSEHKSSSGHMSMLNENTKVRVSISQNLDPLVKETKSLGRFFSGFDASGSYCPVTVYFLSLIYVSHEAIGTTPSTPQRINLNYAPKAASGGAVAGPNTAAQKRASLRSHTSSIVVPAINKPDIIPVIVPRTSIGSEPGVESRKEVGVVGRLISFPLQSRAEDIHKFSNRDEVDKPLFSPSESASPQDSKIFPSDVTSSQGNPSS >OIW01764 pep chromosome:LupAngTanjil_v1.0:LG11:18498669:18499325:1 gene:TanjilG_03902 transcript:OIW01764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEKAVLELVFGQSSSDSEGEDEEVRFHWEEIREVKGLWVSRNFLSPHKQSTLFSNVHSENWFTQPSNNQAMRFGDLPSWATELSHSVRDSVLAASDDDQLLPLPLDLLLRQPLFDQMIVNQYQPGEGICAHVDLLRFEDGIAIVSLESSCVMHFTSVDNELVSVPVLLNPGSLVVMSGEARYRWKHEINRRPGFQTWEGHELDQMRRTSVTLRKLCE >OIW01565 pep chromosome:LupAngTanjil_v1.0:LG11:24784402:24788055:-1 gene:TanjilG_09389 transcript:OIW01565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGALVSKFWFMLFPAKEYKIVVVGLDNAGKTTTLYKLHLGEVVTTNPTVGSNVEELVYKNIRFEVWDLGGQERLRTSWATYYRGTHAVIAVIDSNDRARISIMKYELFRLLGHDDLQHSVILVFANKQDIKDAMTPAEITDALSLHSIKNHDWHIQACCALSGEGLYDGLGWIASRVSGKAST >OIW02543 pep chromosome:LupAngTanjil_v1.0:LG11:3226970:3228130:1 gene:TanjilG_12857 transcript:OIW02543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLGDALQGPECVHFSNQRHSLEQQPPQDSNCAGIENILVIGHSNCAGIETLMNMQEDVKSRNFIHKWVSNGEVAKLRAKAATAHLSFDQQCRFCEKESIDQSLLNMLTYPWIEDRVKRELLYLHGGYYNFLNCSFENWTLDFKACNVKEGRSYAAVKEQ >OIW01259 pep chromosome:LupAngTanjil_v1.0:LG11:34432657:34436045:-1 gene:TanjilG_10420 transcript:OIW01259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKYDLTTRIAPNLDRHLVFPLLEFLQDRQIYDDDHILKAKIQLLNKTNMVDYAMDIHKTLYNTQHLPQDMVDRRVEVVARLKSQEEAAAPLVAFLQNAAAVQELRADKQYNLQLLNEKYKIGPVQIEALYEYAKFQFECGNYSGAADYLYQYRALCTNSERSLSALWGKLAAEILMQNWDIALEELNRLKEIIDSKNFASPLNQVQSRIWLMHWSLFIFFNHDNGRTLIIDLFNQDKEQGDRAPSKQRYLNVIQTSAPHLLRYLATAFVVNKRRRPQFKDFIKVIQQEQHSYKDPITEFLACVYVNYDFDGAQKKMRECEEVILNDPFLCKRVEESNFSTVPLRDEFLENARLFIFETYCRIHQRIDMRVLAEKLNLNYEEAERWIVNLIRGSRLDAKIDSQTGTVTMEPNHPNVYEQLIDHTKALNGRTYKLVSQLLEHVQAQAAR >OIW01135 pep chromosome:LupAngTanjil_v1.0:LG11:35911226:35913125:-1 gene:TanjilG_25243 transcript:OIW01135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFSNQRKVPIILFDVMDTLVRDPFYHDVPSFFGMSMTELIHCKHPTAWIEFEKGFINEVELASKFFKDGRDFDLQGLKTCMTNGYSYIQDIEGLLLALKQNNYEMHAFTNYPIWYHLIEDKLKLSKYLSWTFCSCINGKRKPDTEFYMEVLSHLEVDPVNCIFVDDRQKNVEAAIEVGIRGVHFKNVNLLREELSLMGIDITTDEDQ >OIW02537 pep chromosome:LupAngTanjil_v1.0:LG11:3175069:3198823:1 gene:TanjilG_12851 transcript:OIW02537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVLCVLEVWRAATIPSYIVRFTCVLLVWILSRILGASVGFRVGGCNSLRDVIVKFKKGAVESVSIGEIKLSLCHASINLGVGFSYWDPKLQLLICNLEVVMGPSSKSPGKKKTRKSRTRVLSRGKWIIIGNIARCLSVCLRDLVLKTPKCTVQIRQFNVDISKDGGCKSDLSVMVQIFPIVVHIGEPRVSCDQSSNFSGGGCSASNQASIAAIEKSSAPFICEKFSVSCEFGHDRGAGLIIKDVDILCGEVSVNLNDGLFVKRKSSLESPGSDRKIGTSADSTTMKQPSKKQQAFASFSSMFPEKVSFYLPKLDVSFLHREYGLSVENDIAGIQLKSFKSRSIGDVGESARLDFQLELNEIHLLREAGSSILEILKVDLVSFVRVSVQPLSPVRAETEIKFGGLQCNIIMSRLKPWLLLHGSKKKKVVLREETPAVVKPQSTESKPIMWTCNVSAPEMTIMLFNLAGTQVYHGCLQSSHVFANNISNMGTTVHVEVGELNLRSPDEYQESLNESVFGVESNSGSIIHIKKVSLDWGKKDMKSSPEDGPRCMLGLSVDVTGMGVYLTFKRVESLISTVIPFQALLKKLSASKKKSTQSQGRLSKTSGKGTKILKCNLELCSVYVLGETGLENTDVLDPKRVNYGSQGGRVIIDLSADGTPRTASIISTVSNEYQKLKYSISLEIRHFKLCVNKEKQSTQMELERARSIYQEYMEESRPVTKVDLFDIQNAKFVQRSGGRGENAACSLFSSTDINVRWEPDVHLSLIELVLQLKLAVHSKKLEECGNEQLGDLSNMRDANRKNEATVESGHLEKKKKKASIFAIDVEMLTLSAELGDGVDAMIQVQSIFSENASIGLLFEGLMFSFNGARIFKSSRMQISRIPSKSTCASDAKGPVATTWDWVIQGLNVHICLPFRLELRAIDDALEDMLRALKLIIAAKTKLIFPVKKETSKVKKPSSVKFGCVKFFIRKLAFDIEEEPMQGWLDEHYQLLKKEAGELVVRLNFLDEFISKANQGPKSTDDTNNSSQERKVIFNDVEVDISNSSTIESMREELYRRSFRSYYQACQNLVLSEGSGACKDGFQAGFKPSASRSSLLSISALDLDVTLTKIDGGDDGMIEVIKKLDPACLENDIPFSRLFGSNILLNTSSLVIQLRDYTFPLLSASSGKCEGRIVLAQQATSFQPQMRQDVYVGRWRKVCMLRSASGTTPPMKTYSDLPLHFQKGEVSFGVGYEPVFADISYAFTVVLRRANLSVKKPGPLIIPPKNERSLPWWDDMRNYIHGKISLLFSETRWYILASTDPYEKLDKLLITSSSMEIHQSDGRVILSARDFKILLSSLESLANKCGSKIPTGVSSAFLEVPEFTVDVTMDWDCDSGNPLNHFLFALPIEGKPREKIFDPFRSTSLSLRWNISLSSFLPSIEKQSPSSIARDSIEGDGTAQHPTRIAHDVSAASPTVKLGAHDLAWIVRFWNLNYLPPHKLRFFSRWPRFGVPRIVRSGNLSLDKVMTEFMIRIDSTPTCIKNMPLHDDDPAKGLTFMMTKLKFELCFGRGKQKFTFESKRDLLDLVYLGIDLHMPKVFLNKEDCTSVAKLVGMTPKSSQPVSEDKIIPEKGCMTQKSCDDGFLLSCDYFTIRRQSPKADPATLLAWQEAGRRNVEMTCARSEFGNKSEADENMQSDPSDDDGYNVVIADNCQRVFVYGLKLLWTIENRDGICSWVLGLSKAAAPPKPSPSRQYAQRKLLEENKQHDGVETHQDDAAEVIQDDAAETHQDDKAETHQDDGAKTHQDGEAEINQDGGAQINQDGGAVINQDGGPEIHQDDVSKRLLTGNSSDSPSAQPVGTSGSFSSPPSLVKADNSPPDKNENTDDSMEGTRHFMVNVIEPQFNLHSEDANGRFLLAAASGRALAQSFHSVLRVGYEMIEKAVGTKDVHSGGYEPEIAWKRMELSVMLEHVQAHVAPTDVDPGAGVQWLPKIRRGSPKVMRTGALLERVFMPCDMYFRYTRHKGGTPELKVKPLKELTFNSHNITATMTSRQFQVMLDVLSNLLFARLPKARKNSLSLSVEDEEVEEEADEVVPDGVEEVEIAKINLEKKERNQKLIHNDIIKFSLWNDTSGDILPEKEDDLWMIFGGRALLVQGLKRELVSAKISRKEAYAALRVEMHKAAQQRLMEKEKHKSPSYAMRISLQINKVVWSMLVDGKSFAEAEINDMIYDFDRDYKDVGISQFTTKYLVFKNCLPNAKSDTILSAWNPPAEWGKKVMLRVDARQGAPKDGSSPFELFQVDIYPLKIYLTEAMYRMMWGYFFPGEERDSQRRQEVWKVSTTAGARRVKKGSSVHEASASSSHSKKESEASSKSSFTSMLFPSSSQPSVPADSARASKAQNTKPNPGTGSTSELRRTSSSDRTWEETVAESVADELVLQSVPSPQKVPFDSNEKQDEASKTKSKDSKGVKAGGSSHEEKKVAKSQEEKRSRPQKMMEFHDIKISQVELLVTYEGQRFVVNDLKLLMDQFHRVEFTGTWRRLFSRVKKHIIWGVLKSVTGMQVKKFKDKGQSQPTAASGPEIDLNIDNESQAAKSNQHPPTWPKRPNDGAGDGFVTSVRGVFHTQRRKAKAFVLRTMRGEEENDFQGDLSENDVEISPFARQLTIMKAKKLIKRHTKKFHNKGKKGSPSQQSEPLPLSPGDIAFDSDSSSGSSSFDGFDE >OIW02733 pep chromosome:LupAngTanjil_v1.0:LG11:1473322:1478679:-1 gene:TanjilG_29509 transcript:OIW02733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMDTMLYFFFLVPLLTHQTSSTPFSHLDHFTLSPSLRNPDPQQYLEITYSPPFTPSCSHQILNHSFANTINSPPHSTPYSPPSHCFSSPNSRILLHFHATCKGEQYDRIAAIWLGGVELLRTSTAEPTNAGIFWNVRKDVTKYYSLILRSDLNLTMMLENIVDNEYTGVYYVAVTLLFYYDDAVRVPFGRSFSRSLMEESEPESGFNESPPPADLIVPISSDGKRGFWFMVETENDLHSRSIQIPQNTHRAVLELCVSFHGNDEFWYSNPPNSYITANGLATGRGNGAYREVYVTIDGEVVGSEIPFPVIFTGGINPLFWEPVVAIGAFNLPSYDMDLTPFLGKILDGKEHSFGIGVVNGISYWLVNANLHLWLDHECSVVYANRVIYNSPETSIERIEEFSGLDGEFEVEANKETQIAGWVASSAGNITTTISQGFTFKNLIKFQNNGTYKMVKQRFRAKKKVKVINERGELVARLKVKRRYPLRVITLTQQPCCNKTDSDRYILVTNVTHAFKEKHVGGWFSHLVSNVQDSNGWMEVEDHNVLSGETTTKQNYSYIDGFDCYNRNVAAADGRIIDDDSTPFICVKITHRRHNNGASKSILEYDMPKHNCAKISANTETEQTARGLKKHLKRLNAPKHWMLDKLGGAFAPKPSSGPHKSRECLPLILILRNRLKYALTYREVIAILMQRHILVDGKVRTDKTYPSGFMDVVSIPKTNENFRLLYDTKGRFRLHSIREDEAKFKLSKVRSVRFGDKGIPYLNTYDGRTIRYPDPLIKANDTIKLDLEENKIVDFIKFDVGNVVMVTGGRNRGRVGVLKNREKHKGSFETVHIQDSTGHEFATRLSNVFTIGKGTKPWISLPKGKGIKLSIIEEARKRIAAQQPITA >OIW02722 pep chromosome:LupAngTanjil_v1.0:LG11:1550575:1556050:-1 gene:TanjilG_29498 transcript:OIW02722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKQAYTVCFCFSRRFKHALAEAPPEINTFFQNYSENELMTPSQLQRFLVEVQKQENATIEDAQAIIDSLKHFHRKGLNNESFFKYLLSDNNQPLPPSLGVHNDMTLPLSHYFIYTGHNSYLTGNQLSSDCSDVPIIRALKRGVRVIELDIWPNASKDNVDVLHGRTLTTPVELITCLRAIKEHAFETSEYPVVITLEDHLTPDLQAKVAEMVSQTFGDTLFYPTIESLKQFPSPESLKKRIIISTKPPKEYLEAKEIKEKGEASQHGKASVDEEALGKEALNIERGTIADVKDNIVDEEDLNDDDDEEDSDESDTKLQNNGAPEYRRLITIHAGKPKGGLRESLKVDADKVRRVSLSEGQLEKAVITLGKEFVRFTQGNIVRVYPKGTRITSSNYNPLLGWMHGAQMVALNMQGYGRSLWLMQGMFKANGGCGYVKKPDFLLKTGPNNEVFDPKAKLSVKTTLKVTIYMGEGWYYDFKSTHFDKYSPPDFYTRVGIAGVADDTVMRKTKVIEDNWLPNWNEVFEFTLTVPELALLVIQVLEYDMSDKDDFGGQTVLPVWELRSGIRAVPLYSYKGEKYNSVKLLMRFEFN >OIW02066 pep chromosome:LupAngTanjil_v1.0:LG11:9359730:9387526:1 gene:TanjilG_21115 transcript:OIW02066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPEGLNKRPLKDIVGELVKYKFNCVRLTYAIYMWTRYSNKSVSDTFATMNATKVVEGISKNNPWVLNVTHINVFETVIKELGAQNVHVLLDNHVSKPEWCCGDDDGNGFFNDMFFDPKEWIQGLTLAAKQFAGNSAVVAQSLRNELRGPRQNENDWYNYMSEAAVAIHKQNPKVLVVIGGLSYATDLQFLKNRSLEIDVGNKTVFETHLYSWSGTPKDTWLKQPLNIVCSNTIKGIDNRAGFLTTGNNAAPLIFSEFGFDQSGGHVEDTRFLTCLQTYLVSTDIDWGLWSFHGSYYIRGDQVQLDELYGVLNSDWDGLRYPNFIDKFQLLQRKNQDPTSEGSDLYIMYHPLTGKCAQVNNKNELELGSCESQTRWSYNGFLVLLNSTKKCLTNSGEGLPVTVSDACQSQSSFRKPASLSRLHLATTNKDGYAVVTGGNKGIGLSTCKLLASNGVTVVLTARDHKRGLEAVQKLNELGLKDHVVFHHLDVTDPATIPPLVDFITAQFGKLDILVNNAGISSIVVDDVALAASDLEEKGPHGFDWSNILKEDDQTVEPTIKTNYYGPKEISEALIPLLQLSDSPRIVNVSAIVGCLEFIPDGRAKEVLSDIESLTTEKINDIVNQFLEDYKEGSLKTKGWPTHLSAYTVSKAALNAYTRILAKKYPSFCVNALCPGHVKSDLSHNTGNFTPDEGAECVVRLALLPNGSSSGLFFNRSEVTPF >OIW02273 pep chromosome:LupAngTanjil_v1.0:LG11:6566478:6567575:1 gene:TanjilG_15156 transcript:OIW02273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEIWNQPPNQGKTLFKKLKHKNNSFQDTKMQRKLRIIWHDPDATDSSSDEGGDQEMHGNNKRTVLEVALPCFPPNLVTGDASTDSSSNTELSQKRVLNKIPPAKRRTAGKYRGVRMRKWGKWAAEIRDPFKGARLWLGTYNTAEEASQAYERKKLEFEIMAEAMCGDKSSNNNADDVRVSGEAMAAQDKSSFNYTVSSGAASVSDSRSAATLDDSECVLSHSSPLSVLELDTSTSKASISVENGKVSSNEVVVKKCLEAEFAELTSIVDEGSEMNDLEAELADLEMPDLSILNAPLPSTDAPSAFEFDWLSFDGFDDDLGGLEDIHIGGIGDDGPSALPDFDFGDFSADEFAGWIEEALHIPCI >OIW01203 pep chromosome:LupAngTanjil_v1.0:LG11:33469275:33469613:1 gene:TanjilG_10364 transcript:OIW01203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFKSLEEFWAFYMNQHSKPSTRRWHFVGTLFSILFLLCSVFISWWFLFIVPFVGYGCAWYSHFFVEGNVPATFGHPFWSFLCDFKMFGFMLTGQMDREIKRLGKRPVLQVF >OIW02819 pep chromosome:LupAngTanjil_v1.0:LG11:883132:891083:-1 gene:TanjilG_29595 transcript:OIW02819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDLNRTGTVERDIEQAITALKKGAYLLKYGRRGKPKFCPFRLSNDESVLIWFSGKEEKHLKLSHISRIISGQRTPIFQRYPRPEKEYQSFSLIYNDRSLDLICKDKDEAEVWFSGLKALISRSHHRKWRAEPRSDGVPSEASSPRTYTRRSSPLHSPFGSNDSLQKDSGDHIRLRSPYESPPKNGLDKAFSDVVLYAVPPKGFFPSDSASGSVHSVSSGGSDSMHGHMKTMGMDAFRVSLSSAVSSSSQGSGHDDGDALGDVFIWGEGTGDDGVLGGGTHRVGSCLGVKMDSLFPKALESAVVLDVQNIACGGQHAALVTKQGEFFSWGEESGGRLGHGVESDVLLPKLIESLGNTNIELVACGEYHTCAVTLSGDLYTWGDGTYNYGLLGHGNQVSHWVPKRVNGPLEGIRVSSISCGPWHTAVVTSAGELFTFGDGTFGVLGHGDRKNVSLPREVESLKGLRTVRAACGVWHTAAVVEVMVGNSSSSNCSSGKLFTWGDGDKGRLGHGDKEAKLVPTCVAALVEPNFCQVACGHSLTVALTTSGHVYTMGSPVYGQLGNPQANGKLPNRVEGKLSKSFVEEIACGAYHVAVLTSRTEVYTWGKGANGRLGHGDTDDRNTPTLVEALKDKQVKSIACGTNFTAAICLHKWVSGVDQSMCSGCRLPFNFKRKRHNCYNCGLVFCHSCSCKKCLKASMAPNPNKPYRVCDNCFNKLRKAVETDSSSHSSVSRRGSINQGSLELIDKDYKLDSRSHNQLARFSSMESFKQVESKSSKKNKKLEFNSSRVSPVPNGGSQWGALNISKSLNPVFGSSKKFFSASVPGSRIASRATSPTSRRPSPPRSTTPTPTLGGLTSPKIVVDDAKKTNDSLSQEVIKLRSQVENLTRKAQLQEIELERTTKQLKDAIAIAGEETAKCKAAKEVIKSLTSQLKDMAERLPVGAARNVKSPSSIASFGSTPGSNEVNHASIDRLNIQATSPEADLTGPNSRLLSNGSSTISNRSAGHNKQIQSDATNRNGSRTKDSDSHNETEWVEQDESGVYITLTTLPGSIIELKRVRFSRKRFSEKQAEQWWAENRARVYEKYNVRMIDKSSVGVGSEDLAR >OIW01843 pep chromosome:LupAngTanjil_v1.0:LG11:17105893:17106708:1 gene:TanjilG_15707 transcript:OIW01843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWNFASSCIAGSVGMRNDSLKATHTASECSDDDASVVSKEDGLECPICWESFNIVENVPYVLWCGHTLCKNCILGLQWAVVKFPAQPIQLPLFISCPWCNLLSFRLVYRGNLKFPRKNYFLLWMVESMNGERVNSVKSNSTLCGDHQSPWPIKDNLTNTNGSQSCRNNLRRAQGHHSVSWSSNQYHGNTTSYLSMERFQAFIWKSLVLFFHLTAKLPLIVIFLLLVLYAIPASAAILALYILVTLLFALPSFLILYFAYPSLDWLVKEIIT >OIW02940 pep chromosome:LupAngTanjil_v1.0:LG11:52174:55124:-1 gene:TanjilG_29716 transcript:OIW02940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYSALVHNSGVFGSFSSDTDEAADLADELLAEGCWVETTDGDHIQQQQDIEINGGSFVGKRWWIGPTSSSSTSTSSSVKERLVVSVGYLKEYTKNTNVLIQIWVPQSTRSSGFEFNHDLVVTSTNPNSNVRFFRSQDYPRVHHQLQQYDVRGCLSLPVFQRGTATCLGFLEITTTNHNSSSFINYHHRPQQLIDNNLSIPLDHGAADFRSCHQNFIPPSSVKVYDELYQAALDEIVDVLTSVCKTHNLPLALTWAPCIQQGKCGCGHSTTDQNYVYCVSTVDSACFVADLDMLGFQEACSQYHLFRGQGIVGTAFTTAKPCFAIDITAFSKAEYPLCHHASMFGLHAAVAIPLRSVYTGSADFVLEFFLPKDCHDPEEQRHMLKSLSMLVQQACRSLHVVTEDEFTLPLPPPPPPLLSSSYNKEEEAHMIEKLLFASTPCCSSQVESSSSWIAHMMEAQEKGKGVSVSLEYEVEEAKEEFKVTKWDGSNEVVVEGERREVFSSEFSELSSGSRSRASTVEVGSGGGEECYSFSGRRSSSTTTTTTTNSGCGLRKTGEKRRTKAEKTISLPVLRQYFAGSLKDAAKSIGVCPTTLKRICRQHGITRWPSRKIKKVGHSLKKLQLVIDSVQGAEERHPNDHAFLKRELLIQEDTRSKAAGLRVKASFVDEKIRFSLQTNWSFRELEVEIGSRFSINDMRNIVVKYLDDEGEWVVLACDADLEECKDLHRSSQGRTIRLSLFQSSPNNTNNNTNAMFGGCSSTSPSSN >OIW02503 pep chromosome:LupAngTanjil_v1.0:LG11:2957318:2959015:-1 gene:TanjilG_12817 transcript:OIW02503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGRYAFSRTGNFRPENLGQNAMAMIGNVCFSMFVVGVLVFTILAATYEPDDPLFHPSSKITTFLTSKSNATFKSDNTVVKTGEDFMATNETIFGNVINMTDVDNSVVNDFVEAAAAECESNGPIDCKDPEVFHTMMKATIEKFQDIHFYRFGKPVPGSSDSTCDMAWRFRPKEGKSAAFYKDYRRFVINRSENCTLSIVSIGEYHTGKNARKRKKHQKAGLEKKQVKGNNVNSLPVVGEVVNDSLPVVESESSFSHDKYLIYVGGGDRCKSMDHYLWSFLCALGEAQYLNRTLVMDLSICLSSIYTSSKQDEEGKDFRFYFDFEHLKEAGSVLDKEQFWADWERWQKKDGMNQHIVEDYRVTPMKLMDVKDSLIMRKFGSVEPDNYWYRVCEGETESVVQRPWHLVWKSRRLMDIVSAIASKLNWDYDSVHVVRGEKARNKELWPNLDAHTSPDALLSTLRDKVDEGRNLYIATNEPDTSFFDPLKDKYTTHFLDEYSDLWDENSEWYSETTKLNNGVPVEFDGYMRASIDTEVLLGGKKQIETFNDLTSDCKDGINTCNVATN >OIW01701 pep chromosome:LupAngTanjil_v1.0:LG11:20172226:20173374:1 gene:TanjilG_01208 transcript:OIW01701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEVRCLPCSGVSGRTTAIYSCSSLSHYSIHSHVKIRGLKCASAGASFVCEARKNPDFSRQNKHGSSRSRNRHNESRDSFENFEEDMLSLKNGPLVSLSTAGKSQATAAPGPREKEIVELFRKVQAKLRERAATKEEKKVETPQGRGKENGTVDSLLKLLKKHSNEQQKKSSGGGRGKDFSLDGSQESSQYDGGRSTKFSNLDNAPKDNSRESSFSSVTRPRSSFQRRSPVPRVKHQSVSYNEEDTNVVPPSSEVRVNNHDQRDLKLDHKVEPSSELNLDHELEPDSEPDLDLESENDSEPDLVPKDNLFFPDIPIAELTDNDSHDSEQTYEEEHAEEQLVVQNEDLSALKLSELRALAKSRGLKGFSKMKKDDLRELLTGN >OIW02585 pep chromosome:LupAngTanjil_v1.0:LG11:2752525:2757230:-1 gene:TanjilG_24036 transcript:OIW02585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPSQQSLEHGISHQNLKRTSCATVLTLAYQSLGVVYGDLSTSPLYVYKTTFSGKLSLKEDDEEIFGVLSFIFWTFTFIALFKYVFIVMSADDNGEGGTFALYSLLCRHAKLSILPNQQPTDENLCAYDASAIEDSEDTWQSSFLKLFFKKHPRFQKGLLIFVLLGTCMTIGDGVITPAISVLSAVSGVKVKITQLHDNYVVIISCVILVGLFSIQHHGTHRVAFMFAPIVAAWLLCISGIGIYNILHWNPQIFHALSPLYMLRFLKTNGIEGWLSLGGVVLSITGVETMYADLGHFSALSIKIAFTCLVYPCLILAYMGEAAFLSKHHYDIQRKAVFWPVFIVATFAAIVGSQAVISATFSIISQCCALNCFPPVKIVHTSSRIYGQIYVPEINWILMCLCLAVTIGLRDTNMLGHAYGLAITTVMFVTTCLMTLVTVIVWKQGIIKAIMCFLLFGTIELLYISASFCKVPEGGWVPLVLSCLFMGIMFTWNYGTIKKHQFDMENKVSMSRILSLGPRLGMVRVPGIGLIFSNLVSGVPAIFGHFVTNLPAFHQVLVFVCVKSVQVPYVCENERLVISRVGPREYAMFHCVVRYGYKDVQQENYNFEIRLVSAMIQFVQVEDEDVPQVRTRELSIDGVSVDGLGISPHTSNMSYCNVDGHHQESLYKYESLKILKAKESGVTYILGHSYAKAKKSSSILKKFAIDVVFAFLSKNCRDPDVVMNLAHTSLLEIGMVYNV >OIW02486 pep chromosome:LupAngTanjil_v1.0:LG11:4563298:4565889:-1 gene:TanjilG_05079 transcript:OIW02486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGLLLLICMIVSLIFTHRWSQRNKKGPKTWPFIGAAIEQLMNYDRMHDWLVQYLSKSKTVVVPMPFTTYTYIADPANVEHVLKTNFNNYPKGEVYHSYMEVLLGDGIFNADGELWRKQRKTASLEFASRNLRDFSTKVFREYALKLSTILNQTPFLNQEIDMQELLMRMTLDSICKVGFGVEIGTLAPNFPDNCFARAFDTANIIVTLRFIDPLWKIKKILNIGSEAQLDRSIKIIDDFTYSVIRRRKAEIEDAKKCGQQDKIKHDILSRFVGLGENNATDKSLRDVVLNFVIAGRDTTATTLSWAIYMVMTHAHVADKLYLELKTFEENRAKEENISLLQCDIEDPESFNQRIEQFSRLLNKDSLERLHYLHAVITETLRLYPAVPQDPKGILEDDVLPDGTKIKAGGMVTYVPYSMGRMEYNWGPDAASFIPERWFKDGILQNESPFKFTAFQAGPRICLGKDSAYLQMRMVLAILCRFYKFKLIPGHPVKYRMMTILSMKHGLKLTTEKRS >OIW01227 pep chromosome:LupAngTanjil_v1.0:LG11:33922204:33924583:-1 gene:TanjilG_10388 transcript:OIW01227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNENSASEGQISVQNLPLSSSTKKKGGWRAIRYILANETFEKVASMGLIANLIVYMHTQYNMDNTASAQVFTIWAGSTNFLPLVGAYIADAYAGKFKTLFFSSIASFLGMGFVALGAGVPSLRPPSCSSHSETGYSDCIPATGSQLAILYIGLALFAIGSGGLRPCNISFGADQFDTTTEKGRSQLESFFNWWYFLFTVALLLALTVVVYIQTNVSWFLGFIIPTVCFACSLTIFILGRNTYICSKPKGSVISDLVKVVVAANRNRHVDIKKDTELSFYDPPLDSELDPRMTKLPHTNRFRFFDKAAVITKPSESGNDVKSVDTWRLCSVQNVEELKSVIGTLPVWLAGILCFLSMTQANSFGILQVIQTHKSIGKFVIPPAWMGLVPMIALSLWIFLYEKIYVPYKDTRNKRGTRLTVEQRILTGIVLSIVCMVVSGLVEVRRREAALKHGLFESPVSIWWLVPQFALSGLVEAFAAVAMMELLTSYWPDSMKTLGGAMFFLSLSICNYLNSLMIKIIESATGKNGTIPWLGGNDLNKNRLEYYYYTIAFLAGLNLLYFQFIARRFLYSEVLQTSSKVDPEDEENGNSNMLPHT >OIW02685 pep chromosome:LupAngTanjil_v1.0:LG11:1861491:1861715:-1 gene:TanjilG_29461 transcript:OIW02685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIEQKQGCRTPVHRSCRIPAASACPPAPKKKPVVYPAMKKQRVPPKGGYFNPPDLDLIFRVAPTREAIFYPYP >OIW02694 pep chromosome:LupAngTanjil_v1.0:LG11:1800338:1801141:-1 gene:TanjilG_29470 transcript:OIW02694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEESEYLMWMKRKQILKSHLEAVGDASINNFYYSSCVERAFAEDAARVLGGSIWPPRSYSCTFCKREFRSAQALGGHMNVHRRDRARLKQNLSPHNGQTQTLLEVDHHKNDRNNSLGNHFSSQISSSHQLDCCLNPNSSLAATITTTRTSPSYSSSIIGSSYSEHQGIVDAREDKFKGFGCDNYVETSLSVGWSSMFGQKSPIIPCEGSRGKTIINGKRLKTNISSLPVMLLKPCSNDRGLAFLSAEVKMEDLDLELRLGKQHKVK >OIW02683 pep chromosome:LupAngTanjil_v1.0:LG11:1867291:1868233:-1 gene:TanjilG_29459 transcript:OIW02683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGGGGTADFFYKEAQRLGYVARSAFKLVQIQKQHKLIKPASSVLDLGCAPGAWLQVACQNLGPLRNGGFVLGIDIKKVKVPPIHCDSRVQTISADVMTLPIHQLRSLSPKQKGFSVILSDMCPSVSGITTKDAALSVELGTRAFNLAVGRASPVYVDDDDDNREEQAGNDSSNGVLQIGGNLLIKLLESEDSREVGQICKPFFTKTSWLRPKATRPSSREIYLICQGLKPHAKI >OIW01999 pep chromosome:LupAngTanjil_v1.0:LG11:10851480:10852931:1 gene:TanjilG_00238 transcript:OIW01999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKRKLRSSDSEATKQSEIESPIEQEQQTLEGEGVEQEQQSMEEYQHNNMPIDQQPPNIEEEDEEDEDETLEDQNQEQDSQPSNDASALVKVEVNGDVNNNEEEEEDLELEDEPVEKLLEPFTKEQLHSLVKQALEKFPDFVENVRHLADVDPSHRKIFIHGLGWDATAETLTSVFSKYGEIEDCKAVTDKLSGKSKGYAFILFKHRSGARKALKQPQKTIGNRTTSCQLASAGPVPAPPPVAPAVSEYTQRKIFVSNVSADIDPQKLLEFFRQFGEVEDGPLGLDKLTGKPKGFALFVYKSVDSAKKALEDPQKQFEGHVLYCQKAVDGPKGKQGYHQQPHHQQHQHHSHHHHQPHYQRKERNKHTSGGPAHGGGHLMAPSGPPVGYNPGVSRAQGLNPVLGQAISALLTTQGAGFGLGNFLGGLGGAPVNPSVPPAGYGNQPAMNYGNQQGMQQGYQNPQMGQSSGVRPHPGAGAPYMGH >OIW02055 pep chromosome:LupAngTanjil_v1.0:LG11:9500199:9501540:1 gene:TanjilG_21104 transcript:OIW02055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKPRYYDFFTRNMVPLKHYWPISAKNMCEDIEFAVNWGNTHIDKAQAIGKGGTNYILENLKMKFVYDYMFHLLNEYAKLMRFKPTIPEGAIEICSETMACPIRGLRKRLLMESMVNSPSDTPPCTMPPPYKPEALKMLIQENEDLIKKVKTRAMDNSN >OIW01423 pep chromosome:LupAngTanjil_v1.0:LG11:30822907:30823263:1 gene:TanjilG_25719 transcript:OIW01423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPLPLTHPLLLPSTSHIGLALMSLLMCAIALLMCASHSRRRRQLSSCYGVFEEEPVIELDNEVVISGGVQQEEGSLWQKNILMGGKCQLPDFSGVIIYDSNGNVVPAKTPPLLTWK >OIW02877 pep chromosome:LupAngTanjil_v1.0:LG11:450995:451624:-1 gene:TanjilG_29653 transcript:OIW02877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDKYSRVRQHSGIWNSLRDGDFEEEDVWDVLKDKSSDYSYGVIKPKDKESSPVSVPRTLSTSSRVIPRGSSSNSSACSSHEGKVLQQSAPVNIPDWSKIYMNNNNKNKKASKNVSRFDDDYEFYYGDKDDYGGGDVVDNYGGDSDEDDNDNDEFDSKLPPHEIIARRLARSQISSFSVLEGAGRTLKGRDLSKVRNAVLTKTGFLESL >OIW02600 pep chromosome:LupAngTanjil_v1.0:LG11:2652638:2656338:1 gene:TanjilG_24051 transcript:OIW02600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTSFSLPTGFGAPRSGFQIKDVLKSEEQHRTGYCEASIEKELEIAIFKAGGIHDSNFGDLDKVKWGRSSRTDKGVHSLATMIAFKMEIPENAWKGDDDGVALANCINSYLPHTIRVFSVLPSKKRFDPRRECNLRKYSYLLPADIIGIQSHFSQDEIDFHISEFNSILNVFEGEHPFHNYTVRSKYRKKYHTWRRRNGGVSDITKSPSSVSACQSESEESDDDDFVNSRPTTVSEKEQSHKSSECSESNALRDQKSSLGVHARWLYEPDETDRLNVSHFRNILRCSCGKLETSLGYNYIELHIWGESFMLHQIRKMVGTAVAIKRNIIPRDILLLSLIKFSRIVLPLAPPEVLILRGNTFQMRSSAGGFTRPEMTSLVESEQILKGVDEFYESVMLPEVSKFLDSSKSPWAKWVENLHKYSSIPDADLDEVRKAWRTWKESYIAKSTSESS >OIW02307 pep chromosome:LupAngTanjil_v1.0:LG11:5401814:5408961:-1 gene:TanjilG_11201 transcript:OIW02307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCIPSKLAENKSLPLEVAENKLRPAGPGYDDFSILASETPFAANEVETLHELYRKLSTSIVKDGFIQKEELHFALFRNINRRNLFVDRVFDLFDVNKNGHIDFGEFIRSLSIFHPKTSEAVKVKYAFKLYDLRHTGYIEHEEECNESTSICVNLGKLNVLNSWKVYSPPLQLKEMVFAMLNESDLALSDDVVETIVDKTFVEADSKGDGRIDMEEWEEYVGKNPSVLKNMTLPYLLCCITQELNDRKNDDVGGDDYRRKYDFSKDTRDYEAVEQIVPRKPLDRDILLEPIIVNPKVELASEIWNMIHSSYDGLDSGHYPIRSAEGTGGAYFMLDSTGQKYVSVFKPIDEEPMAVNNPRGLPSSLDGEGLKKGTRVGQGAFREVAAYILDHPISGHRTLFGDEKGFAGVPPTVMVNCLHKGFNHSGDFTAKIGSLQMFMENSGSCEDMGPGAFPVNEVHKITVLDMRLANADRHAGNILISKEEENGQAVLIPIDHGYCLPTSFEDCTFEWLYWPQARQHYSPETIDYIKSLDAEEDIALLQFHGWDLPVECARTLQISTMLLKKGVDRGMTPFAIGSLMCRESLNKDSVIEGIVQEALDSVLPGTSEATFLDAVSYVMDQRLDEIANSIS >OIW01803 pep chromosome:LupAngTanjil_v1.0:LG11:17907853:17908254:-1 gene:TanjilG_03941 transcript:OIW01803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNHHPRKMLPPGTSRKRKHAEANEPAKPKAAEPVPSNRLLAGYLAHEFLTKGTLFGQKFNPDSTRFNAKPSARSNKIGANKSQLNSQAVEPSRSSVKKENESYDEVASIMKTDGTHIKGIVNPTQLSRWIHM >OIW01399 pep chromosome:LupAngTanjil_v1.0:LG11:31444754:31445548:-1 gene:TanjilG_02555 transcript:OIW01399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATMVQYWNTELRIFEFPNVDVAPTIEVPIPDRLRVYMFTKPVEVNEDTIEKIIKVRPEPSSIIKQARTIGLKWVFLKKHIAKMEEQQNWEMFKPTFALAIYGMVLFPFLNNMIDHSSFDVFYKFIRFGVNPTPVILVESFLSFQKCHLRGGNKIRCCVQLLYIWMMTRFKHHYYSLGSRYPLKRYRNVSTKEIQLSEWAKLFKEVTPRNFGTKCCLYDRHDKIMYSCGDRPNMILMGPRGCIAFTPTLVLGQLKWGMNQIKDE >OIW02079 pep chromosome:LupAngTanjil_v1.0:LG11:8950429:8957129:-1 gene:TanjilG_14778 transcript:OIW02079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQGELPEGTLENILEQESLKWVFVGGKGGVGKTTCSSILSILLATVRSSVLIISTDPAHNLSDAFQQRFTKTPTLVNGFSNLYAMEVDPTVEHDEMTEGMDSLFSELAGAIPGIDEAMSFAEMLKLVQTMDYSVIVFDTAPTGHTLRLLQFPSVLEKGLAKIMSLKSKFGGLFNQMTRMFGMGDDFGDDAIVGRLEGMKEVIEQVNKQFKDPDMTTFVCVCIPEFLSLYETERLVQELTKFEIDTHNIIINQVLFDDEDVESKLLKARMKMQQKYLDQFYMLYDDFHITKLPLLPEEVTGVDALKAFSRHFKTPYQPLCSSDKVERLEHRVSALKDQLKEAEEELKRVKA >OIW02291 pep chromosome:LupAngTanjil_v1.0:LG11:5220406:5221297:-1 gene:TanjilG_11185 transcript:OIW02291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLMGSRAYCCFKCQNLVAFHDDIVSKDFQASRGRAFLFSHAMNIILGPKEDRQLITGLHTVADIYCSDCGEELGWKYIKAYEETQKYKEGKCVLEKFKISKGNE >OIW02132 pep chromosome:LupAngTanjil_v1.0:LG11:8253886:8255430:-1 gene:TanjilG_06727 transcript:OIW02132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFKGFNLIKLLLLTQCLTVLCASEDFDFFYLVQQWPGSYCDTMKACCYPTSGKPVANFGIHGLWPNRKDGSYPSNCDPNSPFNPSEISDLTSNLQSEWPTLACPSNDGTGFWTHEWEKHGTCSESKLKQHDYFATALALKQKTNLLKALTSAGIKPDGESYTLSAIKEAIKKGVGFTPYIECNVDKSRNSQLYQVYLCVDASGLNLIECPVFPRGQCGSSIEFPTF >OIW01515 pep chromosome:LupAngTanjil_v1.0:LG11:27669498:27673880:-1 gene:TanjilG_19441 transcript:OIW01515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGPLGLRNVGSNCSISDLDDFNLSRLLDKPRLNVERQRSFDERSLSELSIGLARAGLDHNDLSSPGARSGLNSPGSSARNSFEPHPMVADAWEALRKSIVYFRGQPVGTIAAVDHQSEEILNYDQVFVRDFVPSALAFLMNGEPDIVKNFLLKTLYLQGWEKRIDRFKLGEGAMPASFKVLHDPVRKTDTLMADFGESAIGRVAPVDSGFWWIILLRAYTKSTGDLSLAETPECQKGMRLILSLCLSEGFDTFPTLLCADGCSMIDRRMGIYGYPIEIQALFFMALRCALSMLKDDAEGKEFIERIVKRLHALSYHMRSYFWLDFQQLNVIYRYKTEEYSHTAVNKFNVIPDSIPEWVFDFMPTRGGYFIGNVSPARMDFRWFVLGNCVAILSSLATPEQSMAIMDLIEARWDELVGEMPLKISYPAIESHEWRIVTGCDPKNTRWSYHNGGSWPVLLWLLTAACIKTGRPQIARRAIELAENRLLKDGWPEYYDGKLGRYIGKQARKYQTWSIAGYLVAKMMLEDPSHLGMISLEEDRHMRPVIKRSSSWTC >OIW01778 pep chromosome:LupAngTanjil_v1.0:LG11:18293848:18297822:-1 gene:TanjilG_03916 transcript:OIW01778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQHVRRESYPCVTSSRIDCLGHQASRRHDLMVAEADDLAWPFERVTNLDDEEVRETAYEIFFTSCRSSPGFGGRHALTFQSNHENNGGNGDGGKLNQVVTKPTSRVKKVLGLKMLKRSPSRRMMSSIASNGVSSTPSSPVAGAGNPFSHTLPPFRPRRPMTVAEIMRQQMRVTEHNDNKLRKTLMRTLVGQMGRRSETIIIALELLRHLKPSEFSDSQEYHMWQKRQLKVLEAGLLLYPSIPLEKNNSFSMRLRDIVSNGESKPIDTGKNSDTLRTLCNSVVSLSWRSANGTPTDVCHWADGFPFNIHLYTKLLQSVFDIRDETLVLDEVDELLELMKKTWSTLGITLPIHNVCFTWVLFHQYIVTGQVEPDLICASHAMLNEVANDAKKEKDSFYVKILSSVLSSMQGWAEKRLLNYHEYFQRGNANQIENLLPVMLSASRILGEDLTITDGEGEEKGDVTIVDSSGDRVDKCIRSSMKNAFDKVVEAVNAKSSEYERRKELSEVLIQLAQETEDLVIKERQHFSPILKKWHSSAGAVAALMLHTCYGQVLRQYTSEVTSLTSESVQVLQRAGKLEKVIVQIVVEDSTECDDGGKTVVREMVPFDIDSVILSLLGKWIDESLYKGKECVKRAKETETWNPKSKSEPFAQSAAELMKLAATTVEEFFQVPIAITESLVQDLADGLENLFQDYMMFVAACGSKQNYIPLLPPLTRCSRDSKFMKFWKKAAPCSSGFEEQHCAKRIHEDHHHLPKPSTNRGTQRLYVRLNTLHYLITHIHSIDKALSLNPGVVSSNHLRFANSRRAQSNNSSSYFESANLSIVAACQHVSEVAAYRLVFHDSSSVFYDSLYVGGVARGHIKPVLRILSQNISQLTTSLIDRAQPLALKEVMKASFDSFLMVLLAGGSSRVFYRSDHDIIQEDFEHLRILFSNCVESLIADNVVQGEAAVVEGVIGLMGQNTEQLMEDFSIVTCEGSGMGILGNGQNLPMPPTTGKWNRSDPNTILRVLCYRNDRAANQFLKKTFQLAKRR >OIW02270 pep chromosome:LupAngTanjil_v1.0:LG11:6480493:6484898:-1 gene:TanjilG_15153 transcript:OIW02270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKNDNYARRKKGGLITMPFIFANEITEKLAVVGFNTNMISYLTTQLHMPLTKAANTLTNFGGTSSLTPLLGAFISDSYAGKFWTITAASMIYQIGMITLTLSAVLPQLRPPPCKGEEVCEEASAGQLAVLYISLLLGALGSGGIRPCVVAFGADQLDKSDPKQTTKTWSYFNWYYFVMGASMLVAVTVLVYIQDNVGWGLGLGIPTIAMFISIIAFIVGYPLYQNLDPCGSPFTRLIQVIVAAFRKRNIPHTDFLYRNDELDASISLGGRLHHTEHMKFLDKAAILTEEDNNKKPNLWRLNTVHRVEELKSIIRMGPIWASGILLITAYSQQGTFSLQQAKTMDRHLTKSFQIPAGSMSVFTILTMLTTTAFYDRVFIRIARRFTGLDRGISFLHRMGIGFVISTLATLVAGFIEMKRKNAAKAHGLMEYSSATIPISVFWLVPQYSLHGMAEAFMSIGHMEFFYDQAPESMRSTAMALFWTSISIGNYVSTLLVTLVHKFTSGPNGSNWLPDKNINKGKLEYFYWLITLLQFINLIYYLLCAKFYTYKPVQVHDKGDSSSEENQLELSTAI >OIW01755 pep chromosome:LupAngTanjil_v1.0:LG11:18643568:18646846:-1 gene:TanjilG_03893 transcript:OIW01755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSKSKNGTSPIRVFGQRSIASTFRSLQPNPSNNHESQENVRNQDSQSKNLSLSDFLNRKLNKSSPVPHTVPSGNEGHVHILETLYLLGKSTPFQSPLGLSTPTGTGEQTETVKQVEDGRKNGSDKLIFETFKKSDEEKGDFGDPLVVDELENAVAGDTQESRKRRNPFAGGNENQTVRKHVVVLGGESKLKQKGHTEKNSNKKPKPLYNHYANGRGWWNDDMEGVDNEELGFNEVWEGVGSTTLGGIADWH >OIW02748 pep chromosome:LupAngTanjil_v1.0:LG11:1368052:1371813:1 gene:TanjilG_29524 transcript:OIW02748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALASLNPPFQSLPYFSSTPRTSFPTSRVYISNRNPFFTFSVRAQMGSTEGSASITSYTEPVEEVLKIKEWEVGMFQNEVAASQGIRIRRRPPTGPPLHYVGPFEFRLQNDGNTPRNILEEIVWNKDVEVAKLKERKPLIALKRAIDNAPPARDFIGALKAANERTGLPGLIAEVKKASPSRGILREDFNPVEIAQAYQKGGAACLSVLTDEKYFKGSFENLELIRNAGVKCPLLCKEFIIDAWQLYYARSKGSDAVLLIAAVLPDLDIKYMVKICKLLGLSALVEVHDEREFDRVLGIEGIELIGINNRNLETFELDISITKKLLEGERRKIIQEKNITIVGESGLFTPSDIAYVQEAGVKAVLVGESIVKQSDPGKGISNLFGKDVS >OIW02380 pep chromosome:LupAngTanjil_v1.0:LG11:3466972:3472793:1 gene:TanjilG_04973 transcript:OIW02380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRPLQRGVAGVRTPDSKSKDKLEKEDWDNKRSSSDHSPLSPFRLHFSDNNSHSKYGINENGFASDPFVVGSPRSRVKLMMLLMKFSLAFIVVLALTGSFWWTMSISSSSRGHIYHGYRRLQEKLVSDLVDISELSSGPSKFKELESCSEELENFVPCFNVSENVALGSTDGNEFDRQCGRELRQYCLVLPPVNYKIPLHWPTGRDVIWIANVKITAVEVLSSGTLTKRMMMLDEEQISFRSASHMFDGIEDYSHQIAEMMGLRNESYFIQAGFKELESCSEELENFVPCFNVSENVALGSTDGNEFDRQCGRELRQYCLVLPPVNYKIPLHWPTGRDVIWIANVKITAVEVLSSGTLTKRMMMLDEEQISFRSASHMFDGIEDYSHQIAEMMGLRNESYFIQAGVRTILDIGCGYGSFGAHLFHSQLLTTCIANYEPSGSQVQLTLERGLPAMIASFTSKQLPYSSLSFDMLHCAWCGIDWDKKDGTLLIEADRLLKPGGYFVWTSPLIKSRNKEDQKRWKLVQDFTENLCFELLSQQDATVVWKKTSKKSCYSSRKSSSAPPLCGKGHDVETPYYRDLQNCIGGTQSSRWIPIEKKERWPSRANLNKNELAVYGLQPDEFAEDSESWRTAVRNYWSLLSPLIFSDHPKRPGEEDPPPPYNMFRNVLDMNAHFGGFNSALLQARKSVWVMNVVPVSGLNYLPLIHDRGFVGVLHDWCEAFPTYPRTYDLVHAAGLLSLETSHPRRCTMLDVFIEIDRVLRPEGWVIIRDTVPLIESARALTTQLKWEARVIEIESDNDQRLLISQKPFHKKQAS >OIW02217 pep chromosome:LupAngTanjil_v1.0:LG11:6961452:6963377:-1 gene:TanjilG_31966 transcript:OIW02217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRKLDSPVQTQMAVAVTRSPLSRDYHGNQGFGGRPTTGRRRVFVQTDKGCVLGMELDRSDNAHTVKRRLQLALNVSTEESSLTFGDIVLKNDLSAIRNDSPLLLTRNVMHRSSSTPCLSPTGRDLQHKDKSDPIEIIGQSSRLDRMKDLVKDIKKAIKMGIEPTLVHSGLGGAYYFRNSIGESVAIVKPTDEEPFAPNNPKGFVGKALGQPGLKRSVRVGETGFREVAAYLLDYDHFANVPPTALVKITHSIFNVNDGVNGNCFRRNRLVSKIASLQQFIPHDFDASDHGTSSFPVASVHRIGILDIRILNTDRHAGNLLVRKLDGNGTFGQMELIPIDHGLCLPESLEDPYFEWIHWPQASIPFSEDELAYIGNLDPIRECDMLRRELPMIRESCLRVLVLCTIFLKEAAAFGLCLAEIGEMMTREFRCGEEEPSELEVVCLDARKMLAEREELSPRTELGDDEFLFDIDCEEAGYDSTLKIAMEDPLTRSPFQPGLGNGFTRSQLSKLDECIEEGEEEADEDSPQGFVTSLAQEKIPSISNLSMSLKNTMLSEKNQNHKYSGGKADNGCFANTSSGHRSANEQLPASISFVKFADMTEDEWTVFLEKFQELLYPAFAKRKSITLGQRQRQRLGTSCQF >OIW02663 pep chromosome:LupAngTanjil_v1.0:LG11:1962996:1963711:1 gene:TanjilG_29439 transcript:OIW02663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNKFATMLHRSTNKMVVNIVYAVLEWVLIVLLLLNSMFSYLITKFAKSVGLKPPCLWCSRVDHVLQPRESTNLHKDLVCETHAAEISRLGYCSNHQRLTETQSMCVDCLTSTPNHGENENIKRCSCCNESMSRKLYPTYLLMKPSWERKDSNPAIASAASKAIYELKKQWEIEEGDSWRFMMDVNTMEEKQSRESGNEEDTK >OIW01239 pep chromosome:LupAngTanjil_v1.0:LG11:34109364:34111220:1 gene:TanjilG_10400 transcript:OIW01239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYENRFRQAQRPKYDCLLFDLDDTLYPLSTGFHKVCTQNIRDYMVEKLGIDKSKIDELSNLLYRNYGTTMAGLRGIGYDFDYDDYHSFVHGRLPYENLKSDPVLRNILLSLPYRKLIFTNADKIHAVKALRRLGLEDCFEGIICFETLNPINKNIVSYDEDTIELIGSSKTSHIITRNGGSRSQIFDIIGHFAQPNPCLDLPKTPIICKPSENAIEFALKIADLNPQRTLFFEDSVRNIQAGKRVGLHTVLVGASQKVKCADYALENIHNLKQAVPELWEGDIKSEIDYPNKLAMETFVTA >OIW02534 pep chromosome:LupAngTanjil_v1.0:LG11:3148385:3150238:1 gene:TanjilG_12848 transcript:OIW02534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCIPKLSSASPFLCPTKTHPKVLDAHPDDQVQDELHRWPTPSEALEEIKAIGKISGPTAITGLLLYSRAVISMIFLGYLGEMELAGGSLSIGFANITGYSVISGLAMGMEPICGQAYGAKQWKLLGLTLQRTILLLLSISIPISLTWLNMKTILLWLGQDQEISSMAQTFLHFSIPDLFLLSLLHPLRIYLRTQNITLPLTYCSAISVLLHVPLNFLLVVHLKMGIAGVAIALVLTNLNLILFLSSFVYFSGVYKESWVSPSMNCIKGWSSLLALAIPTCVSVCLEWWWYEFMIMLCGLLVNPKATIASMGILIQTTSLVYVFPSSLSLGVSTRVGNELGANRPSKARIAMIVSLFCAVVLGLGAMLFTTLLRHQWGRFFTNDHEILELTSLVLPIVGLCELGNCPQTTGCGVLRGCARPIIGVNINLGSFYLVGMPVAILLGFVAKMGFEGLWLGLLAAQVSCAGLMLFVLSRTDWNVQIERAKEMTKDSTITSTCAASNSKLLAFTESEGSKNNAHACDLEEIVIVDNELTKTSLVEADPLIIPLPKTL >OIW02878 pep chromosome:LupAngTanjil_v1.0:LG11:448201:448689:1 gene:TanjilG_29654 transcript:OIW02878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQQIPPYARKQAVDTLYLEAKCRIEDPTYGCAGIIFRLYQHIHEIEVELAKIQTQIAFHNLQNPHVEVESNFNAFSTIEAESNLNILPPQSSMGQFQYDHSNQSPWFY >OIW02847 pep chromosome:LupAngTanjil_v1.0:LG11:668713:670690:1 gene:TanjilG_29623 transcript:OIW02847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFPMVLLRLVNLVLYKLLANSCYKAARKVKGYGFHFGYLSSKTQHQPSFPSVTKCDLEGRVSQTLICDIHNVLLKSQSFFPYFMLVAFEGGSIFRALLLLLSSPILWILDYDHKLRVMTFITFCGLKIKNMENTSRAVLPKFYLENLNLHAYEVLASAGSKVVFTSVPRVMVEGFLKEYLSVGDVIGTELNTVGSYFTGFISGSGLLVKHRALKDYFGDKKPDIGIGSSSVNDHLFISLCKEGYVVNNEEGRSNPSSVMPRDKYPKPLIFHDGRLAFLPTPSATLYMFMWLPIGILLAIYRILVGVLLPYKCAMTLGVWSGIVLNVKGCILPRSEKNKGVLYICTHRTLLDPVFLSTSLARPLTAVTYSVSKVSEFIAPIRTVRLTRDRKRDGETMQRLLREGDLVVCPEGTTCREPYLLRFSSLFAEMADEIVPVAMNANVSMFYGTTASGLKCLDPFFFFMNPRPCYHIQILEKVPKEFTCAGGWSSFEVANYIQRLLGDALGFECTTLTRRDKYMMLAGNEGIVKDDKNSRK >OIW02250 pep chromosome:LupAngTanjil_v1.0:LG11:6286464:6290230:1 gene:TanjilG_15133 transcript:OIW02250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQASDDDGANNNDLSATLLLSNNSKHYISKWRILYIGIIISLKKPTTTASSSSYESLPEFEPPSRTPSSKSYVEIDVEEKKEEEYEEQHIWNEIARILEERDLESLLELGGVDRVCTFLDSQRQHSQEGTLHNNGNYRVSPVNGTSFSSSLLNSCKRNSYTISMLLITAGMSFATEFKEEGPKCGWHDGVAILFAVILMVASPSIANFWRERKMKLSKKLEFGVKRGEGCPMVAIADIVVGDKVFLRKDDKVPANGLFLGGDNFLLAESLKGKSDSENNPFLVAGSNVIEGHGWMLVTSVESKINLDVKRGLLECKIENPFSYIDKFTLTVSTLVAFVVLIRLVCKKDVDSSGIPEIKGKVSVGMLMEVLDRIFFRPQGKVSILTGLLISAILCMQHGMPLMVAISLKCGIDKVVSDHDVVINDLSACTTMGLVTVICIDASGGIVAKPLEVSRIWMGDGDISKVEGSQSHLVLPEVLQQGVGLLSALTAGPSFSPMPSSLVSWAETCGMNKNSFTEKFNILQRRRLNSDQECVGALVTIAGDNEQVWHCHWSGTASTILEMCSQYYDSKEECHVMVNEKVEFEQMIKEMEDNGLKTIAFAYRKTQVQEIEQDGLILLGLIGLKDTCPESNKLALEYLRSAGIQIKLVSEDDIMEVRAIASELGLEVQVDGSDVLEGKELEDMNRGAILDKVDVALVMGGFGPEEKLLMVQCLQEKGHVVAFIGRLTTSHASVLKVADVGIVHSSLSTTVNRESSSICIKCFSALKPIVSAGRSKYHNIQKFIQLQLTLSISGSLITLITTMSTEEPPLTPIQLIWVNMLMCILGGSMMVMELKSQEQLLNQPYPSNRKRNESILTNEIMKSIVIQVLYQASVSMIFEFGGHVTEMQKQLKKTMIFNTFLLCQVFNQLNNMHFLKMEVLKIVVKSYCFLLAIGACFVMQVLVIEYAKGLANCMRQNATEWFICVLVGALSWVFEWGLKHYQHISCFRVI >OIW01413 pep chromosome:LupAngTanjil_v1.0:LG11:31007361:31013797:-1 gene:TanjilG_25709 transcript:OIW01413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNVLLQINKPYLPLVSGELSFNNGVIIVASSFILVFWICVSLLQMAYGVAIMMGALSPFLSVKIVMNVMKIWSLKKMMMKKKMNAKNINE >OIW01845 pep chromosome:LupAngTanjil_v1.0:LG11:17130213:17135976:-1 gene:TanjilG_15709 transcript:OIW01845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWQSIVSSPEVAKENKHQIVETEHLMKALLEQKNGLARRIFSKVGVDNTRLLEATDKYIQRQPKVLGESAGSMLGRDLEALIQRARDYKKEYGDSFVSVEHLVLGFAQDQRFGKQFFKDFQISQQALKSAIESVRGRQSVIDQDPEGKYEALEKYGKDLTAMAKAGKLDPVIGRDDEIRRCIQILSRRTKNNPVLIGEPGVGKTAISEGLAQRIVQGDVPQALMNRRLISLDMGSLIAGAKYRGEFEDRLKAVLKEVTESDGQTILFIDEIHTVVGAGATNGAMDAGNLLKPMLGRGELRCIGATTLDEYRKYIEKDPALERRFQQVYVDQPTVEDTISILRGLRERYELHHGVRISDSALVEAAILSDRYISGRFLPDKAIDLVDEAAAKLKMEITSKPTVLDEINRSVLKLEMERLSLMNDTDKASKDRLSRLDAELSLLKEKQVELTEQWEHEKSVMTRIQSIKEEIDRVNLEIQQAEREYDLNRAAELKYGSLNSLQRQLESAEKELDEYMNSGKSMLREEVTENDIAEIVSKWTGIPVSKLQQSEREKLLYLEQVLHKRVVGQNPAVKAVAEAIQRSRAGLSDPHRPIASFMFMGPTGVGKTELAKALASYLFNTEEALVRIDMSEYMEKHAVSRLIGAPPGYVGYEEGGQLTETVRRRPYAVILFDEIEKAHSDVFNVFLQILDDGRVTDSQGRTVSFTNTVIIMTSNVGSQYILNTDDDTVPKELAYETIKQRVMDAARSVFRPEFMNRVDEYIVFQPLDRDQISNIVRLQLERVQKRIADRKMKIQVTDAAIQLLGSLGYDPNYGARPVKRVIQQNVENELAKGILRGEFKDEDAILIDTELTPFGNGQLPQQKLVFKKLEADSKSTTQDSLERAQAP >OIW02451 pep chromosome:LupAngTanjil_v1.0:LG11:4146370:4158441:-1 gene:TanjilG_05044 transcript:OIW02451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKSPSSPEQQQSISSSPSQPREFNEATGMDDPESTMATVANFVEQLHTNLSSPLEKEFITECLLGISRKRKDARALIGSHAQALPLFINILRNGTPIAKVNVAATLSVLCKDEELRLKVLLGGCIPPLLSLLKYESTDARKAAAEAIYDVSSGGLLDDHVGMKIFVTEGVVPTLWNQLNPKNRQDKVVEGFITGALRNLCGDKDGYWKATLEAGGVDIIVGLLSSDNAVSQSNAASLLARLMLAFSDSIPKVIDSGAVKALLQLVGQENDISVRASAADALEALSSKSIKAKEVIVSADGLPILIGAIVAPSKECMQGDGGQALQEHATRALANICGGMSDLILYLGKLSYSPRFSAPLGDIVGALAYTLMVYEEKVDVDVEHLDATKVEDILVTLLKPRDNMLIQERVLEAMASLYGNICLSKWLNQADSKKVLIGLITMAATDVQEYLILSLTSLCCGEVGIWEAIKKREGIQLLISLLGLSSEQHQEYAAQLLAILTNQVDDCKWAITAAGGIPPLVQLLETGSQRAREEAANILWSLCCHSEDIRACVESAGAIPAFLWLLKSGGPKGQEASAMALTKLVRVADSATINQLLALLLGDSPNSKANIIRVLGHVLTMASAKDLLQKGSAANKGLRSLVQVLNSSNEETQEYAASVLADLFIVRQDICDSLTIDEVVLPCMKLLTSKTQVVATQSARALSALSRPTKNKAANKMSYIVEGDVEPLIKLAKTSSVDAAETAVAALANLLFDPLIAAEALAEDIVSALIRVLAKGTFEGKQNASRALHQLLKHFPVGEVLKGNAQCHFTVLALVDSLRDMDMDGTDAEDALDVIALLARTKQGVNFTYPPWSALAEIPSSFEPLIRCLSEGPPLVQDKAVEVLSRLCRDQPVILGDMLSASSRSIGSLATRIMNSSSLEVKVGSVALLICAAKEKKELSMDLLDASGFLKPLICSLVDMMKQSSSCSSLEIEAHAARGFMERNAFQEFDEFDIPDPATVLGSNVAMWLLSIIASFHVNNKLRIMEAGGLEALSSKLARHTSNPQHVKNLVASDNAVSQSNAASLLARLMLAFSDSIPKVIDSGAVKALLQLVGQENDISVRASAADALEALSSKSIKAKEVIVSADGLPILIGAIVAPSKECMQGDGGQALQEHATRALANICGGMSDLILYLGKLSYSPRFSAPLGDIVGALAYTLMVYEEKVDVDVEHLDATKVEDILVTLLKPRDNMLIQERVLEAMASLYGNICLSKWLNQADSKKVLIGLITMAATDVQEYLILSLTSLCCGEVGIWEAIKKREGIQLLISLLGLSSEQHQEYAAQLLAILTNQVDDCKWAITAAGGIPPLVQLLETGSQRAREEAANILWSLCCHSEDIRACVESAGAIPAFLWLLKSGGPKGQEASAMALTKLVRVADSATINQLLALLLGDSPNSKANIIRVLGHVLTMASAKDLLQKGSAANKGLRSLVQVLNSSNEETQEYAASVLADLFIVRQDICDSLTIDEVVLPCMKLLTSKTQVVATQSARALSALSRPTKNKAANKMSYIVEGDVEPLIKLAKTSSVDAAETAVAALANLLFDPLIAAEALAEDIVSALIRVLAKGTFEGKQNASRALHQLLKHFPVGEVLKGNAQCHFTVLALVDSLRDMDMDGTDAEDALDVIALLARTKQGVNFTYPPWSALAEIPSSFEPLIRCLSEGPPLVQDKAVEVLSRLCRDQPVILGDMLSASSRSIGSLATRIMNSSSLEVKVGSVALLICAAKEKKELSMDLLDASGFLKPLICSLVDMMKQSSSCSSLEIEAHAARGFMERNAFQEFDEFDIPDPATVLGSNVAMWLLSIIASFHVNNKLRIMEAGGLEALSSKLARHTSNPQAEYEDTEGIWISALLLAILFQDANVVLSPATMRIIPSLTLLLRSDEMIDKYFAAQAMASLVCNGNKGIDLAIANSGAVAGLIAIIGHIESDMPNLTGLSEEFSLVQSPDQVVLAHLFEIEDVRVGSTARKSIPLLVDILRPIPERPSAPPVAIRLLISIANGSDTNKLILAEAGALEALNKYLSLSPQESTEAAISELLRILFCNSDIVKHEASASSLNQLIAVLRLGSRNARYHAARALHELFDAESIRNSELAKQAIQPLVDMLNTTSGSEQEAALLALIKLTSGNSSKASLFTDVEGNPLESLYRILSSTASPLELKSHAAQLCFAIFGNSKIRADPIAAECIEPLISLMHSDSGTAIESGVYAFERLLEDEQQIELAAAYNVVDLLVSFVFGTNYPLVEATISALIKLGKDRTPSKLDMIRAGIIDNCLSLLQSAPSSLCSTISELFRILTNSNAIAMSSDAAEIVEPLFHVLLRRDFNLWGQHSALQALVNILEKPQSLATLKLTPSQVIEPLISFLESPSQAIQQLGTELLSHLLAQEHFQQDITTKNAVVPLVQLAGIGILNLQQTAIKALEKISKSWPKAVADAGGIFELAKVIIQDDPQPPHALWESAALVLSNVLRSNADYYFKVPAVVLVKMLLSTRDSTITIALNALTVHERSDATSAEQMMEAGAIDTLLELLRSHHCEEASARLLEALFNNVRVREMKVSKYAIAPLSQYLLDPQTRSQPGKLLATLALGNISQNEGHARYSESVSACRALISLLEDQSAEEIKIVAVCALQNFVMNSRTNRRAVAEAGGILVIQELLLSPNIEVAGQAALLIKFLFSTHTLQEYVSNELIRSLTSALGRELWSTATINDEVLKTLHVIFMNFPKLHISEAATLCIPHLVGALKSGGEVAQDSVLDTFFLLRQSWSTMQIDIAKSQAMVAAEAIPILQMLMKTCPPSFHERADALLHCLPGCLTVTIKRGNNLKQTMGSTNAFCRLTIGNGPPKQTKVVSHSTSPEWKEGFTWAFDVPPKGQKLHIICKSKNTFGKSTLGRVTIQIDKVVTEGVYSGLFSLNHDGNKDGSSRTLEIEIIWSNRISNDDI >OIW01541 pep chromosome:LupAngTanjil_v1.0:LG11:28640229:28640948:1 gene:TanjilG_19467 transcript:OIW01541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSKEFFSDQEAMHHKESTLLQDPYDEEDQEETLSLCDLPPYRSDSAQWDDYFSIERHSSSQSNGDDDNDDFFEFSSEEFTTSSHETTAKNIIFCGKLIPFKEPPQQHRNDETSNVPLPCDKAKHIMLRTSVMPCDAKGSKNNNLCDYASIKKVSLMRSTTKSRWNLFMFGFGVSTEMDLRDIRSRQSRQGPATMIPASELGREMVKNKGKKNMKGLWRIFKSLDFDCLSSNVVKAAFV >OIW01633 pep chromosome:LupAngTanjil_v1.0:LG11:22234417:22237039:-1 gene:TanjilG_14632 transcript:OIW01633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAITRHLTNRTYPISSLTRRCLSSALPSETNNSHAPPYSSFHLKPRVPPPEDLKNKHVQWVFLGCPGVGKGTYASRLSNLIGVPHIATGDLLREQLASSTSLSSQLSETVKQGQLVSDEVIISLLSKRLTAGEAKGESGFILDGFPRTVKQAEILEGVTEIDLVINLKLREDVLLEKCLGRRICSQCGGNFNVASININAENGNPGIIMAPLLPPANCMSKLTTRSDDTEVVVKERLRIYNEMSRPVEEFYRIRGKLLEFDLPGGIPESWPKLLQALNLYDYEDKQPATA >OIW01718 pep chromosome:LupAngTanjil_v1.0:LG11:19335509:19339186:-1 gene:TanjilG_05171 transcript:OIW01718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHSVKGGWAGQTFALAKRNESEGRKSRIRRSKEERKAMVESFIKKYQESNNGKFPSLSLTHKEVGGSFYTVREIVRDVIQENRVLGPAKFTLEDATSDQFFEQNPLGSIARDPKSYLAASSYENHSEHNNLQDTSEKMLSVSDGYYTGVEHQALDQGHAVNVIQVDMINKEAVEATVISDGFYIGAEHPMVNNGHVINGRQVDVTNNKTVEATVASDFTGAEHQIVDVHVINGSRVDVVNKESNESTIPDMQVSQPMVSKQNVEQELATATTPMAKVTPLTEEAETFPLSPVMSTTDGKEHDLGELRGSINFPEKDIKTLELEHVEERSELNGIEPTKNSDILDEKIEDALENQILKNNSNTGHDEEKILGDPLVGNTQHSIRKEHIGHGVEDCTYNEVRTKISIQDGLQAKNLTKTNTEGSKPLQDGQHTDNKQRVDGELGDSSKRISNPTLDRINLDSWQGKPKSSAKEECNPILAILKVFVDGFMKFWSE >OIW01281 pep chromosome:LupAngTanjil_v1.0:LG11:34632497:34634029:1 gene:TanjilG_10442 transcript:OIW01281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KLAIYNQMSSLHNSRETLAGLTLNDILANQKRLSNTSHIETKSRTLLDIIKEDESNKKDRRSWKAFKDKLRVKRAGSAWTSSIHIPTSDPLIQFQHMSTNYNTHQVDDLNSSLAPATKPQFSRRSSTRYGSPGDSSGDDSSGAGAGAGAGGALRPMLSRRNSTNVPSQSEPYRRGRVVTFRDSFEDDDSDDEMNNGSGRVMSAREAVAAQEASEAAAAEAEMEEEEEEEEQPMTMSLMDLLEETDREMGLEGSRYILSDDDFDEDEDDDEDEGDDDDDNGEDAMEYTCCICMVKHKGSAFITCGHTFCRMCSRELNVSRGNCPLCNNFILEILDIF >OIW01206 pep chromosome:LupAngTanjil_v1.0:LG11:33485229:33487651:-1 gene:TanjilG_10367 transcript:OIW01206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQIRLTKAPSSEGSAGVKLSPVETVTVACPDHLILADLPVAKAIGGATATSLVKTLGRRSRCQLGERVRFCVRCDFPIAIYGRLSPCEHVFCLDCARSDSLCYLCDERIQKIQTIKMMEGILICAAPHCLKSFLKKADFESHIQDSHADLLRPNADKEDGNESEAQRVRQSTASDSTARGPQRPFFSPGSNPQLHDQEEKTHRQRPRDQPPSRSNMQSNPPYFSQHHPSDTPSGSIGGVQQGFHQQSFGMHHTLQESSQFSDRQQSVGLETQFPEYPSMHSGQPPNIPTLVTSNPMPNPPLPFGYPPYPQERGQPFYAAPYDNIPRQDSASNIGGDKSSWHGFTQGGVPNGPKFPGNYPQPWHAGMDGVTFEQGQGGMVPQRVALPPPLSQPPHVPHLKQNYYSGEGGHDGQGYGWQQHHDNSDNFGGQG >OIW01440 pep chromosome:LupAngTanjil_v1.0:LG11:29326644:29328026:1 gene:TanjilG_30914 transcript:OIW01440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSEEDELLNLSLSVSSGRERKKKGRTIRANNSNSIISPMMNSYEGKIFWLLQMREQMLRQNHHHRKKGVIEDGNGFPLIHLLLTTATAIDEKNLGTSLENLTELYQTVSLTGDSVQRVVAYFADGLAARLLTRKSPFYDMLMVEPTSEEEFLAFTDIYRVSPYYQFAHFTANQAILEAFEAEEERNNRALHVIDFDVSYGFQWPCLIQSLSEKATSGNRISLRITGFGNMKELQETESRLVSFSKGFGNLVFEFQGLLRGSRIINLRKKKNETVAVNLGSYLNTSSGFMNIFDTLGFVHSLNPSIVVLVKQEGIRNLRTFLSRFTESLHYFAAMFDSLDDCLPLESTERLRIEKKLLGKEIKHVLNYDMDNGFDCQKYEINETLKARMEHHGFVGTKISSKSMIQAKLLLKMRTHYCSYQIEEEDGDGFRISERDEGRAISLGWQNRFLLTVSAWKPL >OIW01848 pep chromosome:LupAngTanjil_v1.0:LG11:16344019:16344585:-1 gene:TanjilG_07143 transcript:OIW01848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTLSTLTLRFPSSSTHYPTPSSYPTHSKLYLQFPSSNSNNSSHRATHIRPIAAVSAPEKIEKLGGDISSLTLEEAKILVDYLQDKLGVSAASFAPVAVAAGPGAAATDAPAVVEEKTEFDVVIEEVPSNARIAVIKAVRTLTSLALKEAKELIEGLPKKFKEGISKEEADDAKKQLEAAGAKIAIV >OIW02475 pep chromosome:LupAngTanjil_v1.0:LG11:4416828:4417442:1 gene:TanjilG_05068 transcript:OIW02475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRRMMMGLGTVVGMSNLRNGIVGLGPMGNPIRIGAARGLAGTGISTPMTSMVVSTPQVGSQSTMGVPPMNKQVQQQAIPQQMSQRTPMSLQQMSSGAIHAATSAGIPEPCPESPQMSSQTLGPINNITNSPMDMQGVNKNNSVSNGQ >OIW01641 pep chromosome:LupAngTanjil_v1.0:LG11:21201447:21203936:1 gene:TanjilG_18212 transcript:OIW01641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSAKEAKQHILHENQSARVDIMKLDLSSVKSVESFVDNFIALDLPLNILMCYSDKKAYGQSKLANILHANELSRRLKGAATTCYVALHPSLKGVTGKYFLDCNEFQPSAFARDKILGSKLWDFSNKLIKSLSKP >OIW02760 pep chromosome:LupAngTanjil_v1.0:LG11:1284401:1288407:-1 gene:TanjilG_29536 transcript:OIW02760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGDGVDEILYPPKDNNIVPDYLTEYTFEGRWDKVIDMYNDSPEVHTAMISKTEGTALHMAVDLYEEEVVAKVVDAMIRHGTVYKALTKANELGDTPLHVAASRGFAKICKCIIGGNNERIDLMSEKNKMGETPLFQAAINWQKQAFAYLSQVSRDSVVLAHLVRESDGDSILHCAIEREYFDLAVIILRYYDFLSTHTNKEGFTPLKVLATRPSAFRSSSNLSWWKLILYQCTLVAPLEPESKMRGHLRKMDKLLSHKMNFPENYATLYMLVSKSLSFFIGKMPWEEKKPDTENPRSNEHSKCLNIEVSELVSKNQKVGFFPQNYTTIQQFVRSVYVHILGLSGVELKTIKKMKKNHKWSEQLLKALMQRPYSAFTGAGGLPETADSSVDDEMLKQFSRWNKKQGHPGTLATQESIPTEAETPSEASKPEKLEEEEEEEKKVLTKTVRDDKDGIAYKMEITKNGTEAKEEVDLKETPFLVAARYGIVEMVHEILNEIPSAIHNTNKKKENVLLVAVKNRQPLVIDTIRMRMKTEVWNNLLLAVDDDENTMLHLAAQALGKDMPWQIAGSALQMYIKSLVPQHFYFRNNIHNKTAGEIFKESHKDLVADSGEWLKDTSESCSVVSGLVAGVSFAAASSIPGGTNDEGRPALEGKTAFDVFAISSLIGLCFSVTGLIMFLAILTSRKEARDFRRDLPLKLLIGLSSLFVSIASMFVSFCSGHIFMLNNKYRTFLIPIYASTCLPVCFYAIAQFPLYFDLIIGILTKVPKGSNKGDSL >OIW02912 pep chromosome:LupAngTanjil_v1.0:LG11:243956:244963:1 gene:TanjilG_29688 transcript:OIW02912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAPIYASSCPLSFNPNTSQTHYHHSCGYSTFTNHVPSSPFSKYHLNSLHTHTVVDEVDHDVGGHNLWLKMQDEARFDVTVEPILSSYYHSSILSHKSLESALANHLAIRLSNASLPSSTLFDLFKGILDGDDEHNIMDAVKDDLRAVKERDPACISYVHCFLNFKGFVACQAHRVAHKLWLQGRKVLAVMIQNRVSEVLAVDIHPGAKIGSGILLDHATGIVVGETAVIGNDVSILHSVTLGGTGKATGDRHPKIGDGVLIGAGTCILGNIKIGDGAKIGAGSVVIKDVPPRTTVVGNPAKLVGGKNNPIKLDKIPSFTMDHTSNISEFYDYCV >OIW02163 pep chromosome:LupAngTanjil_v1.0:LG11:7799502:7802533:1 gene:TanjilG_02387 transcript:OIW02163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKALTRVTSLKVGLGNSWITKKAKEEFSNISGDITTFSNTVEEKAKWVFNKLKGKPLKSLPDLLREYNLPPGLFPQNIICYEFDETKGKLVVHLPSACEVSFKDSSVMRYTTRVKGVLTRGKLSAIEGMKTKVLVWVKVTAVAVEGYKSDKVWITAGVKKARPKDVYDAPRDAVRVAEF >OIW01602 pep chromosome:LupAngTanjil_v1.0:LG11:23286769:23287505:1 gene:TanjilG_08783 transcript:OIW01602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMTEMEILRKEIDSEELVLYREKLSSFPPNTDSVQELEDNDKDLIDGTGLEAMGNCLVPPEVKMVEEVYDHLNEEVFGPWMLVQRNKSKNRQQGGHLEKGGKSVGSQKVSQHIVTFKNSGPVGAKNAYSPHLVGVDFGLSGSKKEVTNGNKSEEQLGPNSLTRPASNDYDFKETNCNFSMNEMGAWARYSKSQNPTSSNSLVKVTATIILRNLFQISRL >OIW01359 pep chromosome:LupAngTanjil_v1.0:LG11:32502824:32505572:-1 gene:TanjilG_12899 transcript:OIW01359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSSFTHLNNTNNNSNNSSSNMSTLHDDYTALNVPKFKSFSPPSLPFTPSPISPSSFLAFSSGFSPTHEFLNSPNLFLNSPNIFASPTSDAFSDQSFNWRNSYGEDQQQQQHNKEEDKSYPDFSFQTQTNPAPPSQFSSTMFQVQEQQNKQDIWKFSEPKKQTDFTSERAPTKSEYTSTQNFSSEMQSNLNCTNAFQYARKQRKSEDGYNWRKYGQKQVKGSENPRSYYKCTHPNCSMRKKVERCLDGQITEIVYKGSHNHPKPQSNRRTSSQKMYQPSSSCTNSGISDQSVVTLLGNNPQIETVSLQEDSSASVEEEEFEQTSQTSYYEGNEVELGPDAKRLKEENGNEGYYASGNRTVREPRVVVQTTSEIDILDDGYRWRKYGQKVVKGNPNARSYYKCTAPGCAVRKHVERAVHDVKAVITTYEGKHNHDVPAARGSTGYRNPMNSNVLAAPAPIRPLAVTGYSNSSSFTNSLYKPTSGSQESFALDMQQSSKAKDDSFLQSFMSNN >OIW02022 pep chromosome:LupAngTanjil_v1.0:LG11:10640947:10642908:-1 gene:TanjilG_11615 transcript:OIW02022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEKKTVIGLSWRPNLPIASSSKAEDGSHAKSRTEVSTSTLWKPNSQLVDGLFVPPSDPRKLNKLLRKQVKDTAGNSWFNMPAQTITPELQKDLKLLKLRGAIDPKRHYKKGDSKSKTLPKYFQASSFMGTVVDSPLDYFSGRLTKKERKATLAEELLSDQNLAAYRKRKVREIEEKNQPSGNEKWKIKGKNTRKRAKERRVY >OIW02858 pep chromosome:LupAngTanjil_v1.0:LG11:581662:586882:-1 gene:TanjilG_29634 transcript:OIW02858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METDNSKAEEFKRLANEAFGARKYAQAIELYTKAIELNSQSAVYLANRAFAHLRLEEYGSAIQDATKAIEVDPKYSKGYYRRGTAHLAMGKFKEALKDFQQVKKMCPNDPDATKKLKECEKAVMKLKFEEAIAVPESQRHSVAESIDFHSIDVEPQYSGARIEGDVVTLDFVKNMMGDFKNQKFLHKRYAFQIVLQTREILRALPSLVDINVPSGKRFTVCGDVHGQYYDLLNIFELNGLPSEDNPYLFNGDFVDRGSFSLEVILTLFAFKCMSPSAIYLARGNHESKNMNKIYGFEGEVRSKLNETFVELFAEVFCCLPLAHVINEKVFVVHGGLFSVDGVKLSDIRAIDRFCEPPEEGLMCELLWSDPQPLPGRGPSKRGVGLSFGADVTKRFLQENNLDLVVRSHEVKDEGYEIDHDGKLITVFSAPNYCDQMGNKGAFIRFEAPDLKPNIVTFSAVAHPDVKPMAYANNFLRLLS >OIW01789 pep chromosome:LupAngTanjil_v1.0:LG11:18057873:18062635:-1 gene:TanjilG_03927 transcript:OIW01789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGLISAASIQQSATSAQQKTQLPNPLLLSDSEILNKAYLTHVKDDQECDIKTLYDFVSNVLSASTLGTAIVNSQSQITTLTSFKPEFTELKLISSQMITTRGTAQCAHQTTLWILQRLRGFSWDAKALITLVAFSLEYGEFLHLLRLPTSDMLGNSLKQLNQIQTRNVPSDIIELLTFLEQQVLPIIRKWALWYGNDYDTEKVYSLLLATQEIPLVVYWTIAATVACTGKFVGSTLEYNLSEFQLKLSIFVDKLNYHLESCGNQIGKMDDYEKRIKDSERVKNVVGFLKLLIYGNGSQTPLIYEGNTLVKTGVEVFKQKYVLLFISNLESVQDEIFLLNSIYKRLQENPKVVKGFNKEDFKILWVPVVDDWNDNRKNKFNELRKEIKWYVLEYSSELPGIGLIHEILKYDIEKKDPIISLINPQGDIMNENAKPIIFQWGIEAFPFRKEDAEDLIKKWLWLWKLIEKVDPNINTEDITTRDHYFFIYGGNDIKWIQDFSTAMKKIKQHEYINDFDLTIHRHQLGKDNPDKVPYFWIGIDGKKPKKQCQSNLDCEIQKALESLQCLKKDPFGWVLLSKGHNIKLLGHGEPMLQTVVDFNKWKENVVEKESFDVAFIEYYDNVVKAISARCDNYISDVVATITCPNPTCGRVMEVTSVNYSCCHRDNPNSCSITLI >OIW02199 pep chromosome:LupAngTanjil_v1.0:LG11:7250001:7250318:1 gene:TanjilG_21852 transcript:OIW02199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKQNSLKSEVVTGSEYVSQHVQDPKCLPTEGDTSHTVMTQPSENKLDDEKNRIKTSTSASSSTWNTWSNRVEAVRELPFSFAGDVVDSDLVSMYGMLLYSSYVL >OIW02202 pep chromosome:LupAngTanjil_v1.0:LG11:7189302:7191372:-1 gene:TanjilG_21855 transcript:OIW02202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKILERHERYAYAERQLVANDSETLGNWTIEYSRLKAKIELLQTNHRHYMGEDLGSMSLKELQSLEQQLDTALKTIRTRRNQLMYESISELQKKEKVIQEQNNMLAKKIKDKEKANTAQQAGPWDQPNYRVDPSFLIQQPHPTLNIGGNHREEAAPEVGRNDLDLSLEPFYSCYLGCF >OIW01899 pep chromosome:LupAngTanjil_v1.0:LG11:13748170:13751266:-1 gene:TanjilG_15224 transcript:OIW01899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRSYSNLLELASGEAASFGSMKTSVPRIMTQPLENVCYDQSSFSLNRGRIIIVANQLPIKAHKEPDGDKNWKFSWDENSLVLQLKEGLGNDDVEVIYVGFLNEEVHPNEQDKVSQILLESFNCVPTFIQPDMFTSYFHGFCKQYLWPLFHYMLPLSPEFGGRFDRSLWQAYVSVNKIFADRIVEVINPEDDYVWVHDYHLMVLPTFLRRRFNEVRLGFFLHSPFPSSEIYNTLPVREELLIALLNSDLIGFHTFDYARHFLACCSRMLGLSYGSKRGYIGIDYYGRAISIKIIPVGIHMGQLQSVLSQSKTEEKVREIVRQFPDQGRTMLLGVDDMDIFKGINLKLLAMEQLLIQHPGYQGKVVLVQIANPPSGQGKDVKKMQAETKATAKRINETFGKPGYDPIILIEEPLDFYEIVAYYAVAECCLVTAVRDGMNLIPYEYIISRQGNETLDEVLGLVPSRKKKSMLVVSEFIGCSPSLSGAIRVNPWNVDAVADAMETALEMADSEKQLSHEKHYRYVSTHDVGYWARSFLQDLERTCSDHVHRKWCGIGFGLCFRVVAFDPNFRKLAMEDVVSAYKRTTTRVILLDYDGTLMPQACVDKSPTSKSIEILNSLCKDKHNMVFLVSSRSRKTLSQWFSPCENLGIAAEHGYFIRMNQDAEWETCVPSLCCTWKQIAKPIMQLYNETTDGSTIEDKETALVWDYENTDPDFGSWQAKELLDHLDSVLANAPVTVKSGQNYVEVKPQGVSKGLVPKRLLSTMQEKGKSPDFFLCIGDDRSDEDMFEEIAKLMASPSSSPRGEVFSCTVGPKPTMAKYYLHDTSEVVTLIKLLASVSEQTSLY >OIW02237 pep chromosome:LupAngTanjil_v1.0:LG11:5962285:5963607:-1 gene:TanjilG_15120 transcript:OIW02237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIMAYIISTSSIQAESYVFGDSSSPKIIHLTPWDLTCIAFETNRKGLLFKNPINVEHQIQHLKQSLSSTLAFFPPLAGRLVIVQHDEDNTVSSHILCNNAGALFVHAVASDNTCVSDILHSKYVPPILHSFFPLSGAKNYQGTSQPLLAVQITELVDGIFIGISMNHLVADGTSLWHFINSWAEISRGCDVVSKLPSLERWFPNPNRCPIRFPFNEEDHVEIFEGCTNYQRIFHFTKEKIAEIKSKANAEAGTDKISSLQALLTHLWRTVICNQQLDPEKECDYGLAINVRGRILPPLPDSYFGNALIIDAIRMKAGELLLEGGLGKGALEMHKMIASYSDEKLKILYQSWVGPPNNDMSELGGISNILRTSSSPRFNVYGNDFGWGKPVAVRSGNTLNGFTTLFAGPEEGSIDLIVCLPYEVLEAIGNDPHFMDPFSI >OIW01570 pep chromosome:LupAngTanjil_v1.0:LG11:25213387:25215626:1 gene:TanjilG_09394 transcript:OIW01570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFLPQRSVKREALFIYWLSGNGRGYSHYALTRRAGKKDVMSVSALIRLQCIPIHSLCVGGAEFNKGPWQARPSEIRSLRTFFLDMDQAPTSFLALARKRSRSPPTNKIGECIKSTSFIDGRVPTGQRFGFMHYTRLRGGSYKAYSRQGLPASKRWKRLYLLMFSPTGILPLPLKFWLAFPDLGVLTAEQYGMVRAFIMERNNDTTASLRIESCECMVGREPKMSEQQIQRFFIGGQRQNRARNLSKLSVVLANHTNDMILNTPYNNVKAALIELALLSSFLLGFMLERVLTEHLFITLFPKNYINGLVA >OIW01798 pep chromosome:LupAngTanjil_v1.0:LG11:17961264:17961572:-1 gene:TanjilG_03936 transcript:OIW01798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGLDNEDEAGEGPHRATPPPYHTTVTPLEPHSGRTEVHDEEVEYRETFVDEQGRRVGEEENTSRWEKEETEVEITTVGGEKKANDKVINQVGKVHTSSDS >OIW02422 pep chromosome:LupAngTanjil_v1.0:LG11:3887221:3892703:1 gene:TanjilG_05015 transcript:OIW02422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAFGWYGPLIDLHSASSLIGDFVQLLVFVHRSFLVQCKSSKGGHIMRTDIQVGDETTPYFGVSLWQKDMASKIAADFKIAKYGDIVEARTVQWSSMLCLIHPYESLISRGVEELITGCSLVGTTTKVKLRKVIKWVQQSRSSICNIKLPSNQKIECLPKNWKVLEERTPLDCFSLLEVSQLTTSCKAIVCASIGEMIPQLNARTIGDTETEKIFLSMRVYKSEYNNLVEDLLCIGCQLCGSPLDSECEQNAIPLICSKSSSRLHSLYVWDESDYMPILVKNKAAEILFGNIKAEKVYSSYKVQMVNPKLGPSHKCKDKDQDARLANNPRLSGEGCSSASGLDADKSLTMEEQHLRVKKLNFYGVWLLILKMLLKQGKNSPLKFEVVVDPSLNIENGVGGPLLCIGDLLSDVGEEGGTAVSDTRRHEPLPSDLSNATSIPDLTKLFQENYEHLNSSLSGTDHSWTSLTLKLCTALETANQLIQTTNINAASLLDKVGELQKIVKRGDSAIAAARAVYVAPEKGSGALIDNEGFKNSRS >OIW01130 pep chromosome:LupAngTanjil_v1.0:LG11:35874518:35881985:1 gene:TanjilG_25238 transcript:OIW01130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDSSSANSYHHHHHRSFSSSSSTHIATSSSGDIDRNSATPIVQELMDLTELTNQGHIINPAKVTKNNRISALASKFFDEKVPLKKRLRWLKRASMVKDDGTVEFEVPGDIKHQSLDFRTGVTDNDIQDEESDEAILVHNIRPLQIAMLIVGTRGDVQPFVAIAKRLQEFGHRIRLATHKNFKDFVLNAGLEFYPLGGDPKVLAGYMVKNKGFLPSGPSEIHIQRNQIKAIINSLLPACNEPDPDSNIPFRAEAIIANPPAYGHTHVAEYLKVPLHIFFTMPWTPTSEFPHPLSRVKQHVGYRLSYQVVDALIWLGIRDLINEFRKKKLKKRPISYLSGSYTSLPDVPYGYIWSPHLVPKPKDWGPKIDVVGFCFLDLATNYEPPKSLVEWLEEGEKPIYVGFGSLPLQDPEKMTKIIVEALDKTGQRGVINKGWGGLGNLAEPKKSVYLLDNCPHDWLFPRCTAVVHHGGAGTTAAGLRAECPTTVVPFFGDQPFWGDRVHARGVGPAPIPVDEFTLERLVDAIRFMLNPEVKNRAVELANAMKNEDGVAGAVKAFYKHFPREISEAKPKPLTLEHKHTKLFSIRGCFGLSNTAE >OIW01151 pep chromosome:LupAngTanjil_v1.0:LG11:35290425:35292619:1 gene:TanjilG_17708 transcript:OIW01151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAVKDKLQDMTALRKAKAEAKAQERAEKENAKEMMNNNPADKDIAKARMDIAHEVRRAKEAEAAMALHVAKAGERAEREIAKHSPYPDAGGMNMHGSNMHGSNMHGSNMHGTNMDGTNMHDTNMHDTNMHGTNMDGSNMHGTNMDGTNMHGTNMDASNMDGTNMHGVNMHGAPHGSHTRK >OIW01081 pep chromosome:LupAngTanjil_v1.0:LG11:35453889:35454677:1 gene:TanjilG_25189 transcript:OIW01081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENDAHSTNNTKITTTSSSSTSSSTTTTSSNSNSNSCTKKITKKGLSNDSTKALNSQNGTNECNKRKRSENEAIKHPTYRGVRMRNWGKWVSEIREPRKKSRIWLGTYPTAEMAARAHDVAAIAIKGKSAFLNFPKLSQELPKPASTSPKDIQAAAAKAATTIFEQASNKHCEVHDCAETELNQVVEQASSSTLSLDNSLESSTSPSTINDYDHDDDALFDLPDLFPDGNNGLFSYSSSWHLCTVYSGFKQDEQYLWENYSS >OIW01114 pep chromosome:LupAngTanjil_v1.0:LG11:35753786:35755456:-1 gene:TanjilG_25222 transcript:OIW01114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDDVAVDALSTDNWLLRAEELVPVAIDKAREVKGFPCKWKMIVSKLEQIPSHLSDLSSHPCFSKNALCMEQLQAVSKTLGEAIGLAELCMKEKNEGKLRMQSDLDALIGKLELNLRDCGLLIKTGVLGEAMLPLAVSCPVEESDVVTHSNVRELLARLQIGHMESKHKALDSVIDVMKEDEKNVLAVLGRSNIAALVQMLTATSPRIREKTVTVISSLVESGSCENWLVSEGVLPPLIRLVESGSAVGKEKATISLQRLSMLEETAREIVGHGGVCPLIDLCRTGDSVSQAAAACTLKNISAVPDLRQTLDDEGIAKVMINLLNCGILSASKEYAAECLQNLTASNENLRRAVISEGGVRSLLAYLDGPLPQESAVGALRNLVGSVSKDTLVSLGVLPRLAHVLKSGSIGAQQAAASAICRICSSNMIKKMVGEAGCIPLLVKMLESKSNSAREVAAQAIASLIMLSLNRREVKKNDKSVPNLVQLLDPSPHNTAKKYAISCLGLLCSSNKCKKLMISYGAIGYLKKLTDMNIPGAKALQDRLERGKLSLFCKK >OIW01306 pep chromosome:LupAngTanjil_v1.0:LG11:34827775:34831623:-1 gene:TanjilG_10467 transcript:OIW01306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYITEWDKFVERSIELFRADPESTRYVMKYRHCDGKLVLKVTDNRECLKYKTDQAQEARKMEKLNNIFFALMARGPDVDLSEVTGKDQVDAQPTKKGRGRKQ >OIW02187 pep chromosome:LupAngTanjil_v1.0:LG11:7517072:7517599:1 gene:TanjilG_02411 transcript:OIW02187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCQRLGKKPQHTKKDCNILSKRVQSKVRIPKAIKIILKGFFSTFHFLCHPKPCRAHHFPTTTRPCVLSNDHHVYGENFSTISIQDLFAKHASSDMHGKKIHALEEAERGKEVIDSNDLKGENNDEDAWKIVCTKSPRNQVDVMAEEFISKFREDIRLQKERSLKEFQEMLARSV >OIW01293 pep chromosome:LupAngTanjil_v1.0:LG11:34714295:34714954:1 gene:TanjilG_10454 transcript:OIW01293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITTQITRNDSFHNDVEVNFAKLQGKDFEYYMQTYSIVLGRNSKKCIVDVDLSSHGGGKNISHYHACIFFDFTRHHYALEVLGKNGCLVKGIPYLRGNPPIKLDSQDLIQIGDIKLYFILPIRRISGSQHCPHHMVMPIPVNGSAFALNYNYHLAAAAAAATEVGIVMKKGKRNYCEDDNDDVDVDGSSVKKSKVSEYEHNSYGGVRSRGKDLMVRGMG >OIW02821 pep chromosome:LupAngTanjil_v1.0:LG11:867803:869986:-1 gene:TanjilG_29597 transcript:OIW02821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNLKVVLFCMFIILVTNNVLVNGANEDRKVYIIYMGSLPEENYSPQSEHISILQQVLGDDFDTNHLVRNYKRSFNGFAAKLTDQEVKNIGRMRGVVSVFESKQLELHTTRSWDFLGLQETTKTSPIESDMIIGVFDTGIWPESESFDDEGFGPPPKKWKGTCAGGKNFTCNNKIIGARYYSENVSARDSVGHGTHTASTAAGNKVKGTSFYGIAEGTARGGVPSARIAVYKICDDSQCSDAAVLAAFDDAIADGVDLISTSLGSKVQRNFTEDPLAIGSFHAMEKGILTVNSGGNEGPLKGSTASLAPWLFSVAASNTDRRIIDKISLGNGVTLTGQSVNSFTPNGTKIPLVVGENVLRQGSDCVGTPSASACLVDCLDPKQVEGKIVVCSSTSALDTAIENGAYGSIIQYDQNMSVVVPIPTTILDTNTYTIAHSYANSTTSPQAEILKSETINDPNAPSIADFSSRGPNAIISEIMKPDITAPGLEILAAYPPILTPSGNPQDKRSSKYNFISGTSMACPHVAAIAAYVKSFHPDWSPAAIKSSIMTTSTPMKGTDDKEYAYGSGLVNPVKAINPGLVFDLCKGDYINLLCNIGFDTPKIRKLSGENSTCPSLTPQRSTVRDFNYPALAIHVKPNQPFVFNFTRTVTNVGFANSTYKVHVRKSSHLKIKVVPRVISFKSLNEKQSFVVKVVGGKFPDKSVPSSSLEWTDGTHNVRCPVVVDVSK >OIW02538 pep chromosome:LupAngTanjil_v1.0:LG11:3200403:3204049:-1 gene:TanjilG_12852 transcript:OIW02538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMSNQNGAAENGDASGQLSIDHIQYETHDLEDGNGGIGSCVIEEVNSDGVYVSGDGGGGDHDFSLQPCDGSSQLTLAFRGQVYVFDSVTPDKVQSVLLLLGGRESPCPDTAPQHDQRVFSDNGRTMVLQQGPMEYPARCSQLQRAASLSRFRQKKNKRCFDKIVRYDVRQEVALRMHRNKGQFTSSKKQDGANSWGTDQESGQDDSPHETACTHCGISSKSTPMMRRGPNGPRSLCNACGLFWASRQVGKGNYSDYGTAIRAHSNVVAFSVKDNPDLVSDR >OIW01619 pep chromosome:LupAngTanjil_v1.0:LG11:22633546:22634199:1 gene:TanjilG_22663 transcript:OIW01619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPPLKAVTLTHVRYQKGDKLGHFLAWVSLIPVFISLSGFITHFIFRRELQGIFFFIGLIISQFINEFIKTTVQQARPETCVLLETCDSHGWPSSHSQYMFFFATYLTLLLFSKRHVILHLLTWSVAFFTLYSRVYLGYHTVAQVFAGAGLGVFIGAVWFWIVNNVLYLCFPIIEESVFGRVFYVKDTSHIQNVLKFEYDKARAERQRAGSISKSE >OIW01295 pep chromosome:LupAngTanjil_v1.0:LG11:34748188:34750767:1 gene:TanjilG_10456 transcript:OIW01295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNLRFPRSRIRIFRFFSAISITLCILFTLSFFFTTHSYSTNQQHYHSGSDGGVVSGFLSIKRSILALKSDPLKPRLDQIRKQADDHRHLALVYASYARKLKLESSKLVRIFAELSRNFSDLMNKPQYRTFFSNDAVPVDEAVVRQMEKEVKERIKTTRQVIGEAKESFDNQLKIQKLKDTIFAVNEQLTKAKKQGAFSSLIAAKSIPKSLHCVSMRLMEERIAHPEKYSDEGKPTPAEVEDPKLYHYAIFSDNVVAASVVVNSATKNAKEPWKHVFHVVTDKMNLGAMQVMFKLKDYNGAHIEVKAVEDYKFLNSSYVPVLRQLESANLQRFYFENKLENATKDTTNMKFRNPKYLSILNHLRFYLPEMYPKLHRILFLDDDIVVQKDLTGLWNIDMDGKVNGAVETCFGSFHRYAQYMNFSHPLIKAKFNPKACAWAYGMNFFDLDAWRREKCTEEYHYWQNLIVTKTIARCLMAADTVSFHDHVMLTNASQAANENRTLWKLGTLPPGLITYYSTTKPLDKSWHVLGLGYNPSISMDEIRNAAVVHFNGNMKPWLDIAMTQFKPLWTKFVDYELDFVQACNFGT >OIW01967 pep chromosome:LupAngTanjil_v1.0:LG11:11871906:11875252:-1 gene:TanjilG_11541 transcript:OIW01967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHLYALDFDGVICDSCGESSLSALKAAKLRWPPLFHAVDSTIDDWIVEQMIKVRPVVETGYENLLLVRLLLETRVPSIRKSSVAEGLTVEGILENWFKLKPIVMKEWDEDKDELIDLFGKVRDEWLKTDFAGWISANRLYPGVADALRFASSRVYIVTTKQSRFADALLRELAGVIIPPERIYGLGSGPKVEVLKKLQKMLEHQGLALHFVEDRLATLKNVIKEPELDEWNLYLVDWGFNTEKERKAAAVNPRIQVLELSDFSKKLI >OIW01937 pep chromosome:LupAngTanjil_v1.0:LG11:12132692:12133438:1 gene:TanjilG_25093 transcript:OIW01937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSFNNPNFINQGRLLLFEGDSYGGKLFLLEGDGSDDIRKAHIEGIDWCTSLFKSVRNWKEFFKFLATLFGVFIKLGEESMNMTRVDVGRILMVVPTNNKIDHFQKVWIGDTPFNIRVVEEESIIIPYLSRTRRRNQIIDVWKGEGSDTLGSDDEEWWPENISEEGERSYSIEEDDDVASSFRSLQLNLSKSSGGGKKQLKNLNDSDIPSNFCVKDTADVAD >OIW02915 pep chromosome:LupAngTanjil_v1.0:LG11:229181:231823:-1 gene:TanjilG_29691 transcript:OIW02915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSDNESGGQNLGNAHGELSLREQDRFLPIANVSRIMKKALPANAKISKDAKETVQECVSEFISFITGEASDKCQREKRKTINGDDLLWAMTTLGFEEYVEPLKVYLQRFREMEGEKTVAARDKEGGGVVVNSGYDYGAVNAMGMMMHHQGHVYGSSGFHQVAVSGSNSGGGGGPLIMGKGGPSYPGAGSNAEIVMVMATSTFFSPLISISHNPFHSSLFISPSLTNSTRKPNLIVAKSNGSDSADPSDRFISALCYFYPFFDGVQYGKYVITQFYPLQAVIQPLVPAIRVFKSFPFNGFLVFLTLYFVVVRNPNFTRYVRFNTMQAIVLDVLLIFPDLLERSFNPKGGLGLDFIMSLDSTVFLFLFVSLIYGSSSCLLGQIPRLPIVADAADRQVL >OIW01697 pep chromosome:LupAngTanjil_v1.0:LG11:20119856:20121708:-1 gene:TanjilG_01204 transcript:OIW01697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVADHSQNTFMDLKLKKVYRYVIFKIDENKREVVVEKTGTPAESYDDFAASLPANDCRYAVFDFDFVTSDNCQKSKIFFIAWSPSTSRIRAKMLYSTSKDRFRRDLDGVHYEIQATDPTEMDLEVLKDRAN >OIW01323 pep chromosome:LupAngTanjil_v1.0:LG11:35039087:35043194:1 gene:TanjilG_10484 transcript:OIW01323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANTNRMVYFLVLLQISLSIVLTQSAPESSLVTQLPGFNGTLPSKHYAGYVTVDQNSERNLFYYFVASESNPSKDPVVLWLNGGPGCSSMDGFVSNIIYLDSPAGVGFSYSKNKADYRTGDVKTAIDSHNFLLEWFKLYPEFLSNPLFLAGESFAGIYIPTLAQQIVQGFNAGAKPKLNFKGYLIGNGVTDNEFDGNAIVPYAHGMGLISDQIFEVLGSLNPYDTLEPCYHGSENTKSDNRLPLSFRKLGETDKPMPVRRRMFGRAWPLGQHVKSGIVPTWPELSYTGSVPCFDDEVGTVWLNNEAVRKAIHTVDKSVVDEWKLCTNNIEYNHDEGSMIEFHKKLTSNGYRALIYSGDHDFSVPYTGSEAWTRSLGYKIVDEWRAWLVDGQVAGFTQGYEKNLTFLTIKGAGHTVPEYKPKESLYFYKRFLDGLPM >OIW02564 pep chromosome:LupAngTanjil_v1.0:LG11:2920335:2924444:-1 gene:TanjilG_24015 transcript:OIW02564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMLSALALGGTTTAKPLPLTPPQNHRNKLTEHNQRHSLKPNLTFHTRFQFQFLSISSPSLRKLPRLRVSSSNNAQFNSVPEESQLPQQKQSNFIEFITSERIKVVAMLALALGLCNADRVVMSVAIVPLSLANGWSRSFAGIVQSSFLWGYLLSPIAGGVLVDNYGGKVVMAWGVALWSLATFLTPWAAETSLLALLVVRTMLGMAEGVALPSMNNMIARWFPQTERARAVGISMAGFLLGCALALTLSPILMSQGGIFSPFVIFGLSGFLWVLVWLSATSSTPDRCPQISKFELEYILNKSQKSFPVEIAKPKKVKVVPPFRRLLSKPPTWSLIVANAMHSWVYHVDLRRAAWFSAVPWVVMAVMNYLAGLWSDMMIQSGKSVTLTRKIMQTIGFVGPGLCLIGLVTAKNPSLGSAWLTLAFGLKSFSHSGFLVNLQEIAPQYSGVLHGISNTAGTLAAIIGTVGVGFFVELVGSFQGFLLLTSLLYFLAALFYCLYSTGEIVNFDDPGE >OIW01996 pep chromosome:LupAngTanjil_v1.0:LG11:11537850:11538668:-1 gene:TanjilG_14027 transcript:OIW01996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVIDYLSHYFSVSPSHGKRRKPLQTVEIKVKMDCDGCERRVRNSVAYMKGVKQVEVNRKQSKVTVTGYVDRNRVLKKVQNTGKRAEFWPYIPYNLVAYPYIAQAYDKKAPSGYVKNATQALRSPNALDEKLTSLFSDENPNGCSIM >OIW02420 pep chromosome:LupAngTanjil_v1.0:LG11:3870168:3872095:-1 gene:TanjilG_05013 transcript:OIW02420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLRVQTIASKSKDGAIPAMFVRSETEQPGITTVHGVKLEVPIIDFNNPEEGKVLSEIMEACKEWGMFQIVNHEIPSHVIRKLQSVGKEFFELPQEEKELYAKPLGSDSLEGYGTKLQKEVNEKKGWVDHLFHIIWPPSSINYHFWPKNPPSYRETNDEYLKYLHGVVDKLFKSMSIGLGLEEHELKKAAGGDNIIHLLKINYYPPCPCPDLVLGVPPHTDMSYITILVPNEVQGLQAFRDGQWYDVKYVPNALVIHIGDQMEILSNGRYKAVLHRTRVSKEETRISWPVFLEPPPEYEVGPHPKLVNQDNPPKYKTKKYKDYAYSKLNKIPH >OIW01342 pep chromosome:LupAngTanjil_v1.0:LG11:32658168:32661550:1 gene:TanjilG_20524 transcript:OIW01342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLQVLASTTSVIGHQLFDGVAVTKSDYQALRALKHDLIDFKGVLKSWNDNGVGACSGSWVGIKCVNGEVIAIQLPFKGLGGKISEKIGQLQSLRKISLHDNLLNGPIPYSLGFLPNLRGVYLFNNKLSGSIPPSIGNCPMLQSFDISKNLLSGKIPPSLSNSTRIFRINLSYNSLSGSIPSSLTMSHSLTILALQYNNLSGSIPDSWGGIENRNKNKSFVSKLQVLTLDHNLISGTIPVSISKLSLVENISLSHNQIIGSIPSEIGALSKLRFLDLSNNAINGTIPKTFSNLTSLVSLNLEGNKLENHVPDSLNRLQNLSVLNLKNNKLNGQIPSTIGNITAITTIDLSKNKLVGEIPDSFAKLGNLSFFNVSYNNLSGHVPSLLSKRFNSTSFTGNLQLCGYIASKPCSSPSPQILPSPSPKPSSKPHHHKLSTKSILLIVAGVILIILLLLCCFLLCCLVKKRGASKEKNGKAKSASARNVEKGGGGGIESSSGGEAGGKLVHFDGPFVFTADDLLCATAEIMGKSPYGTAYKATLDDGNQVVVKRLREKTTKGQKEFETEAASLGKIRHQNLLALRSYYLGPKGEKLLVFDYMPKGSLASFLHARGPETVIEWPTRLKIAIGVTQGLCHLHKEENIVHGNLTSSNILLDEQTNAHITDFGLSRLMTTSASTNIIATAGNVGYNPPELSKTKKPNQNTDVYSLGVIILELLTGKPPGEPTNGMELPQWVASIVKEEWTNEVFDLELMRDAPAITDELLNTLKLALHCVDPSPSARPDVHQVLHQLEMINPDLVAPDDKKGSLMHEPFDTSDRV >OIW02522 pep chromosome:LupAngTanjil_v1.0:LG11:3078300:3082196:1 gene:TanjilG_12836 transcript:OIW02522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEECFVAMNMASSVVLVGILSFIFYAYINEWYKSERIRRKLIKQGISGPPPSFLRGNLPDMKRIQAQISTTMKASTNNSYNFLEHHDDYTATIFPYFEHWRKQYGRLYTYSTGMKQHLYVNQPELVKEINQCNNLDLGKPSHNSNKLRPLFGNGIVRANGLSWAHQRKLIAPEFFMDKVKGMVGLMIESAQPLLTKWEQCIEAHGGGNGMVEVKVDADLRGFSADVISRVCFGHSYSKGKEIFSKIRILKEAISNSGYLFGLGRGTWKQSESSNLEKEIESLIWELVEERKASSSSEIDLMQSLLEAAKNDENQGQGKNFCKQFIVDNCKNIYLAGHETTAISASWSLMFLALHPEWQSHIRTEVAQYCPNGIPDADSLPLLKTVTMVIQEVLRLYPPAAFVAREAYEDIQIGNLKVPKGVNIWTLIPTLHRDIEIWGEDANEFKPERFKEGVSKACKFPQSYLPFGSGARLCVGKNLGMMELKIVIALIISKFQVSLSPSYKHSPICRILVEPEHGVDLLIQKI >OIW02450 pep chromosome:LupAngTanjil_v1.0:LG11:4140413:4143889:1 gene:TanjilG_05043 transcript:OIW02450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKNSCNVALKELKHWMTSEKVKTSIATFPSSAEIVTEPLGVVLVISAWNYPFLLSLDPVIGAIAAGNAVVLKPSEISPATSSLLAKLLWEYMDNSSIRVVEGAVDETSALLQQKWDKIFYTGNGRVARVVMAAAAKHLTPVVLELGGKSPAVVDSNINLEVATRRIIAGKWGSNNGQACISPDYIITTKEYAPKLVDALKTELEAFYGKNPLDSKDLSRIVNSNHFARLTKLLDDDKVSGKIVYGGEKDESKLRIAPTVLLDIPRDSLIMSEEIFGPLLPIITVDKLEESFDVINSAPKPLAAYIFTNNKALKEQFVTNVSAGGLVVNDTAIHLAVHTLPFGGVGESGVGAYHGKFSFDAFSHKKAVLYRGFIGDASVRYPPYTNIKMRLLKALLGGGILDIIRALFRWS >OIW02330 pep chromosome:LupAngTanjil_v1.0:LG11:5656317:5665738:1 gene:TanjilG_11224 transcript:OIW02330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTFDCFSNMYDVALKPRLLRTLIRDHVPDEKHPFSNTLEISRVISLVKTHRLLSESVNQFVDPKVVEAWKSNVTSWVERILSLLSSNSPDKCWVGISLLGVTCTECSSDRFLESYSVWFQKLLSFLQSTEGSHLVRVASCASMSDLFGRLTVFPKLKKDASSCAVKVIQPVLKMLHDDYSELIWEGALHLLCTMITSFPFSIQRHYDSIESAIGLKLLSGGCSRSMLKKLAHCLALLPKSRGDEESWTVLMQKILIAINDHLNFAFQGLEEETMRKVVTGLLVPPGRQPPPPLAGFILAEEVTNKAIKRSEQLLMSNVSALMFGCCTMLTESYPVKINVPARLLLALVERILMVDGSLPQMSLPFMTAIQQENICSELPVLHLCSLELLTTITKVLGRQILPHAASILRIITMYFKTCALPELRIKVYSAARILLMSVGVEGESQCNGKVACLVIRKSWIQIVETVSSLIRNIINYLRGMASCLAQEIVNNASADLSNIEKSGGTVNGLNSNASTGAPLLPSHRKRKHSSTTSSLQEHDEGGGLGVEYPKNRLLTPISLRIAALEALEALITVAGALRSERWRSQVDNLLIVVAIDSFKEGSAIEEIGLFLLNEPAATATDLQLAALHALLASFLSFNRVRPPYLAQGLKLFHRGKQQTGTKLAEFCAHALLTLEVLIHPRALPLGDYNHTFGEAQRNLPDEYTWRNNSTTFGLPQVGNDTLNTDDDLFARWMGNDNEVDVPLAKNTKYTEDASEVATFPDAEMTAVEDENILNSDQPGDSAVQFQEPISFTTSIPVAEARDSVATTKIVSERIVSDGTMPDSEDNHMESGRGISVNKAFQSSPLQTTEGSTIVHEYALTLNHGSSLDNEDPFPDIVDEDPDDSGSEEA >OIW02355 pep chromosome:LupAngTanjil_v1.0:LG11:4946686:4947282:1 gene:TanjilG_08502 transcript:OIW02355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCVFKGYHHHETLEDMMVKVVTSNGGIMELHSPITVECITKEFPGHGIFQNKSITTQLFSQPLPNNQELHGGEVYYLLPLINPTTQLTNTATATAAAATLTPYRLSMCDRNNSNNKMWSETEVFPRYNSSGVWKVKLVISPEKLSEILSQESRTEALIESVRTVAKCGNGVPSSVANSDQWSVSSSWKGSIMGLDS >OIW01738 pep chromosome:LupAngTanjil_v1.0:LG11:19008476:19009929:1 gene:TanjilG_03876 transcript:OIW01738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMLLHKKPFVLSFSALVIVFSCQCSATQFKVGDSSGWVIPPYPLYYTKWSYSHFMRVGDSLEFHFDPKFYNLVQVQKSDYEHCTTLKPQLVFSTSPAIIPLKEKGTLFFICTISNYCCLGQKIAITVHENIPPWLPPLSPSSAPSPSRVPLPPSSAPASSISPVPANSTAPGGNNNGGNSPTQGEKSNAVALVYRNSTFNVSFWRILSMFGSFFGLWMI >OIW02737 pep chromosome:LupAngTanjil_v1.0:LG11:1437513:1438568:1 gene:TanjilG_29513 transcript:OIW02737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSTYGTVYKATLEDGSQAAVKRLREKITKGQREFESEVSVLGRIRHPNLLALRAYYLGPKGEKLLVFDYMPKGSLASFLHARGSETIIDWPTRMKIAQGMAHGLFYLHSHENIVHGNLTSSNVLLDENTNAKIADFSLARLMTTGVNSNVIATAGALGYRAPELSKLKKANTKTDVYSLGVILLELLTGKPPGEAMNGVDLPQWVASIVKEEWTNEVFDIELMRDASTNGDELLNTLKLALHCVDPSPSARPEAQQVLQQLEHIRPEAEVSSSSGDDFAIPSTTE >OIW02198 pep chromosome:LupAngTanjil_v1.0:LG11:7253798:7255122:-1 gene:TanjilG_21851 transcript:OIW02198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHEEKVTMDLISPSEHLCYVRCNFCNTVLAVGIPCKRLLDTVTVKCGHCSNLSFLSTRPPYSPQTQTIDHTLSLQGFYSDTKKGHASSSSPTTSNESVSPKAAPFVVKPPEKKHRLPSAYNRFMKDEIQRIKAANPEIPHREAFSAAAKNVNFLHPQFSH >OIW02366 pep chromosome:LupAngTanjil_v1.0:LG11:4842788:4850078:-1 gene:TanjilG_08513 transcript:OIW02366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDNGEEAPRSAPRLNERILSSMSRRSVAAHPWHDLEIDSSAFHYSSSDSSHHLNNAQVDRILYSSVVYPHNYGFIPRTLCEDNDPIDVLVLMQEPILPGTFLRARAIGLMPMIDQGEKDDKIIAVCADDPEYKHYTDFKELAPHRLMEIRRFFEDYSSAFHYSSSDSSHHLNNAQVDRILYSSVVYPHNYGFIPRTLCEDNDPIDVLVLMQEPILPGTFLRARAIGLMPMIDQGEKDDKIIAVCADDPEYKHYTDFKELAPHRLMEIRRFFEDCILNNPNHITCYLILVYIIFTI >OIW02002 pep chromosome:LupAngTanjil_v1.0:LG11:10870297:10871928:-1 gene:TanjilG_00241 transcript:OIW02002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEGSSKRKRPNILVTGTPGTGKTTMSTALAESIQFRHINVGDLVKDKNLHDGWDDELDCFILNEDLVCDELEDVMEEGGNIVDHHGCDFFPERWFDCVVVLQTDNTVLYDRLSNRGYNETKLSNNVECEIFQVILEEAKESYPEDRVVALKSDTIEDMSRNITTLTDWVRNWHSAS >OIW01631 pep chromosome:LupAngTanjil_v1.0:LG11:22174231:22177227:1 gene:TanjilG_14630 transcript:OIW01631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRSCWKPSVEGDDGDESGRVDGLLWYKDFGNHLYGEFSMAVIQANSSLEDRGQLESGPLSSNHLGPQGTFIGVYDGHGGTEASQFVSDNLFCNLKRLTSEHQGMSENVIKKAYSATDEGFLSLVKKHWLNKPHIASTGTCCLVGIICNDMLYIANSGDSRAVLGRVERATKETSAIQLSTEHNVNQETMRDEIRSKHPYDSQIVVMRHNVWRVKGLIQVSRSIGDAYLKKAEFNKDPLPSKYRLHETFFKPILSCEPSISTHKLHPDDQFLIFASDGLWEHLTNQEVVNIVTNNPPNGIAKRLVKAALREAAKKREMRFADLQKIEQGVRRHFHDDITVIVVYLNPRLSDNNNCSHSGSPLSVKGGDPAYSY >OIW02402 pep chromosome:LupAngTanjil_v1.0:LG11:3719145:3719936:1 gene:TanjilG_04995 transcript:OIW02402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISNITTNSDDYIGNESCIDLQNENDMVDICETYKTNCDNKAKKSCKKNEKRVFPPPMPLLARTENLACHMPWVLKRYYTTEGRLILKEEKVKHHEYFRAHRENGRLTLQLVPHDDHDEDEEEDEDEDEEEFFGTSEVEVSATNSASREDTHVHQSNITNNRFADVEENKSSNIVVSGEFLETRSEVNAAASSPDEEINDQTIFITNTNRFVEEEDRVNIPAESDNVVGGANGGLNCLNCSSVNSASSGIFGVSVHPIRIIHG >OIW02838 pep chromosome:LupAngTanjil_v1.0:LG11:771612:776816:1 gene:TanjilG_29614 transcript:OIW02838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQRNVENTENSLEKIKRQLASASGRNLLQGPLLKRSETLRKWNERWVILDPTTGRMEYKTKRNEPTVKGTITFDANSTITESPVNFHGLPKYDGCCICILVVTFITYIGTPQKKDYFLCAETPGAARAWVSTLHATQLVLKAHKEAVDSLSGNGSTKLGTVATVVAAANSTALECSKEIEAAMQISLRNALGIMTNKTTDGPMDDLTIMKETLRVKDEELQSLARDLRARDSTIKEIADKLSETAEAAEAAASAAHTMDEQRRILYAEIERLRKESDKQQEVFSQKLKESEERIVGLSKEREQLTKQRDAAIQEANMWRSELAKAREHDVILEATVVRAEEKVRNADTNAEARIKEAVQRESAAIKEKQELLAYVNILQAQLQRQQIDTSQVFEKTESCSDTKHVDPTEENVDKACLSVSREIPAESVVHMANDQVNVRPVGDNEWNDIEATEARVADVREVAAETDESSLDIPVISQPGTNHHHEQGSNSFHQP >OIW02333 pep chromosome:LupAngTanjil_v1.0:LG11:5678954:5686479:-1 gene:TanjilG_11227 transcript:OIW02333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEKKRKMAHGERKVKVRDIEDSFSSFTIEETLKLRVGLLNWYDHNHRELPWRKTFKEEEKEEELQKNAYGVWVSEIMLQQTQVQTVIPYYNRWMLKWPTIHHLSQASLEEVNEMWAGLGYYRRARFLLEGAKQVIAEGGRIPKVASLLRKIPGIGDYTAGAIASIAFNEVVPVVDGNVVRVIARLKAVSTNPKKSVTVKRFWELAAEAVDPLRPGDFNQALMELGATVCTPLNPSCSSCPASEICQALSISKCDSSIAVTDYPIKVVKAKQRRDFSAVCAVELIGLDTVLDENPSTSKFILVKRPDEGLLAGLWEFPSAPLDGETVSSARREAVNQFLKKAFKIDTKKTCNIVLREDVGEFVHIFSHIRLKLYVELLVLQFKGGVDGLLKSQENETTTWKFVDSNSLSSMGLTSSVRKIVSKFKTSNRNKITRFAVQESSTSTTASAETETKTKEIKAAPKAEPTQKKPLAKAPAKSLPQMMEEDVIPSLKAIFEAQEDLSDIELVFQDNKLEGSFVNKGYPYSFWAFFPTGLTGPKGFSLSSYNSGSSTIEPFLVDEKKITARHIIFWVEKRLAAQGIIPVWKE >OIW02734 pep chromosome:LupAngTanjil_v1.0:LG11:1462859:1463799:-1 gene:TanjilG_29510 transcript:OIW02734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGNSPCASCKLLRRRCTKDCTFAPYFPSDDPQKFAIVHKVFGASNVSKMLQEIPVQQRADAVSSLVYEANARVRDPVYGCVGAISYLQNQVSELQMQLAVAQAEILCIQMQHDPVVMPTPDIIDSDQKSYFLQNEFPQYLNFGSSTNVIHDSLKRENIFGHDMVS >OIW02052 pep chromosome:LupAngTanjil_v1.0:LG11:9544444:9545590:-1 gene:TanjilG_21101 transcript:OIW02052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKKNNLAKRKNQYEFDLRREKQEKENKQKKLQAKQNKMKVDGNDKKRKKGASGFQVGKRRVKTRMTALAKAKAAQAMELDK >OIW01791 pep chromosome:LupAngTanjil_v1.0:LG11:18046445:18051207:-1 gene:TanjilG_03929 transcript:OIW01791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGLISAASIQQSATSAQQKTQLPNPLLLSDSEILNKAYLTHVKDDQECDIKTLYDFVSNVLSASTLGTAIVNMITTRGTAQCAHQTTLWILQRLRGFSWDAKALITLVAFSLEYGEFLHLLRLPTSDMLGNSLKQLNQIQTRNVPSDIIELLTFLEQQVLPIIRKWALWYGNDYDTEKVYSLLLATQEIPLVVYWTIAATVACTGKFVGSTLEYNLSEFQLKLSIFVDKLNYHLESCGNQIGKMDDYEKRIKDSERVKNVVGFLKLLIYGNGSQTPLIYEGNTLVKTGVEVFKQKYVLLFISNLESVQDEIFLLNSIYKRLQENPKVVKGFNKEDFKILWVPVVDDWNDNRKNKFNELRKEIKWYVLEYSSELPGIGLIHEILKYDIEKKDPIISLINPQGDIMNENAKPIIFQWGIEAFPFRKEDAEDLIKKWLWLWKLIEKVDPNINTEDITTRDHYFFIYGGNDIKWIQDFSTAMKKIKQHEYINDFDLTIHRHQLGKDNPDKVPYFWIGIDGKKPKKQCQSNLDCEIQKALESLQCLKKDPFGWVLLSKGHNIKLLGHGEPMLQTVVDFNKWKENVVEKESFDVAFIEYYDNVVKAISARCDNYISDVVATITCPNPTCGRVMEVTSVNYSCCHRDNPNSCSITLI >OIW01085 pep chromosome:LupAngTanjil_v1.0:LG11:35513988:35514602:1 gene:TanjilG_25193 transcript:OIW01085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLRGNMFCLGKRDQNGNNNSNSYGWDSFEITTSTSSNLINAFNTTAEENSAVNSHEAGLANALMFLPQPHYNNNNNSNGRVNHNHHHHRHQPQLYGGDGLHVDPHLMCLKLGKRHYFEDTSGAASTVGVLASADKRGKGGGGGGGGGLKTASSLPRCQVEECHVALLNAKDYHRRHKVCEMHSKAPKVMVLGLEQRFCQQCSR >OIW02535 pep chromosome:LupAngTanjil_v1.0:LG11:3160383:3165619:-1 gene:TanjilG_12849 transcript:OIW02535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVALRRLSSSIHKPPHFPSAASLYSTWIKQLNEPLEVIDPEITDIIELEKARQWKGLELIPSENFTSLSVMQAVGSVMTNKYSEGYPGARYYGGNEYIDMAETLCQKRALEAFGLDPAKWGVNVQSLSGSPSNFQVYTALLKPHERIMALDLPHGGHLSHGYQTDTKKISAVSIFFETMPYRLNESTGYIDYDQLEKSAALFRPKLIVAGASAYARLYDYARIRKVCDKQKAVLLADIAHISGLVAAGVIPSPFDYADVVTTTTHKSLRGPRGAMIFFRKGVKEINKQGQEVLYDYQDKINQAVFPGLQGGPHNHTITGLAVALKQAMTPEFKHYQQQVLSNSSTFAQSLLEKGYELVSGGTDNHLVLVNLRNKGIDGSRVEKVLESVHIAANKNTVPGDVSAMVPGGIRMGTPALTSRGFAEEDFKKVAEFFDEAVKLALQIKANAQGTKLKDFVAALQSDAHIQSEIAKLRHEVEEYAKQFPTIGFEVETMKYSK >OIW02362 pep chromosome:LupAngTanjil_v1.0:LG11:4880532:4884133:1 gene:TanjilG_08509 transcript:OIW02362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSITASKLLIADLASTVDHVPSNFIRPVHDRPNLQQFQSFNASSIPIIDLHGLHVSNRSEIIQNIAQACQNYGFFQVVNHGVPEDVVDKMVKVSKEFFDLAESERLKNYSEDSSKTTRLSTSFNVKTEKVSNWRDFLRLHCHPLEDYVKEWPTNPPSFREDVAEYSRNMRRLSMTLVEAISESLGLEKDYIDKALGKHGQHMAINYYPPCPEPELTYGLPAHTDPNAITILLQNHVPGLQVLKDGNWLTVNPVPNTFIINIGDQIQVISNDRYKSVLHRALVNCEKERMSIPTFYCPSPDAVIGPAPTLIDHDHPAQYTKFSYREYYDKFWNRGLSKETCVDMFKA >OIW01522 pep chromosome:LupAngTanjil_v1.0:LG11:27862658:27864945:-1 gene:TanjilG_19448 transcript:OIW01522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMFFFFSVDCVAAVCVIFHETVVLKVAMSCEGCAGAVKRVLGKLDGVESYDIDLKEQKVVVQGNVQPDIVLQTVSKTGKKTTFWES >OIW02814 pep chromosome:LupAngTanjil_v1.0:LG11:913758:913970:-1 gene:TanjilG_29590 transcript:OIW02814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRHRRQNSQVLPPEILTGDEDLAKSSFDFSHITANNQETKDAKSTTKDAPTTTHPSPATVKKPPPAKSA >OIW02675 pep chromosome:LupAngTanjil_v1.0:LG11:1911734:1911967:-1 gene:TanjilG_29451 transcript:OIW02675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGVGLPICVQCGTAGNPCRCKVVGPTVGFLALAAAAVVEWPLGAFIYCFGRHVKGRRIMAHPATVVYPSVNRAIPI >OIW02941 pep chromosome:LupAngTanjil_v1.0:LG11:46580:50006:-1 gene:TanjilG_29717 transcript:OIW02941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGAVLVALAAAIGNLLQGWDNATIAGSILYIKKEFKLESEPTVEGLIVAMSLIGATVVTTCSGAVSDLIGRRPMLIISSVLYFLSSIVMLWSPNVYILLFARLLDGLGIGLAVTLVPLYISETAPPEIRGSLNTLPQFTGSAGMFLSYCMVFGMSLTNAPSWRLMLGVLAIPSFVYFLLTLFFLPESPRWLVSKGRMVEAKKVLQRLRSREDVTAEMALLVEGLGVGGDTAIEEYIIGPANEFTDAEDPSSKKDQIKLYGPEQGLSWVARPATGQSSIGLVSRKGSMTNPSGLVDPLVKLFGSVHEKLPESGSMQSALFPHFGSMFSIKGGNQPRNEDWDEETLSREGDDYVSDAAAGDSDDNLNSPLISRQATSMDKDIHPAAAVAHGSLSSMRQSSLLQGEPAGIGGGWQLAYKWSEKEGPDGKKEGGFKRVYLHQEGGPGTRRGSQVSFIGGDVATDGEIVQAAALVSQSALCSKDLVHEQPIGPAMIHPSETASKGPSWSDLFEPGVKHALIVGVGIQILQQFSGINGILYYTPQILEQAGVGYLLSNLGLGSTSASFLISTLTTLLMLPCIAVAMRLMDISGRRTLLLTTIPVLIASLVILVLGSFVDLGSTVNASISTICVIVYFCCFVMGFGPIPNILCAEIFPTRVRGLCIAICALTFWIGDIIVTYTLPVLLNSVGLSGVFGMYAVVCAIAWVFVFLKVPETKGMPLEVIIEFFSVGAKHPQVAT >OIW01493 pep chromosome:LupAngTanjil_v1.0:LG11:27145685:27148052:1 gene:TanjilG_19419 transcript:OIW01493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDKEERNMVPFPFPSPSSETVTQVKGFQSFQSTNATVTNADGSDSFYMKLTELLDSSGLGLIVNVRETLLDLYLFYLEVTKRGGYHQVARGKKWGEVVSALKLEGCNAKLSGQVERLYARFLYQYERLYFYRSPSKHSAAASSNKGSLKGKWKSRASLSQLKNIQDGQMVTKMCPYPSCQTTAGDEFVGEQVVLPAPSIGEEKKKRRGAPRGRNGYQIFLKNECARLKTSGEVSDGTNILRVATDAWNKMSETEKQPYVEESKKIKEKSKEAMISDTKQKNTMEWKGPSVSGDYYIPLLPETDN >OIW01459 pep chromosome:LupAngTanjil_v1.0:LG11:30031655:30035061:1 gene:TanjilG_30933 transcript:OIW01459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNPSLSLGTGLFSGITKLCKGLAVILISGHIVVHLFPSSLTYLALIPARTIPFVWNLITAGYIEQSVYGVVVSTFGLLFIGKLLQPIWGSREFLKFIFVVNFLTSVCLFITAIALYYITRQEIYLYMPLSGFHGVISGFLVGIKQIIPDQELPLLKIKTKWLPSIAILLCIAISFWTLEAAAYLPTIISGTYISWIYLRYWQTKPETKHRGDPSEDFAFSTFFPEILRPVIDPIASIFHRLLCGRSDVFDDAQSYALGSEHLPGSDSIESSRRRERGARALEERLAAERLAAARSELQRDASENV >OIW01430 pep chromosome:LupAngTanjil_v1.0:LG11:30698328:30701658:-1 gene:TanjilG_11148 transcript:OIW01430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNSEDGNNNNGGSPLTSASNNSTKFSSEEHTHTHTHTHTHQQLQHSHSGQRKMVVRKRMASEMEPTHTMLPHPHGNRFPRRSNNDNMSSSLLKCSSLSPSVEKATSFNNNYHYKTSSSSRVDNNVAVVPNPTTPNYSTMLLPSSSSSTTIDPNYHISQNQLTSPQVCGFSGLPLFPASQQRNHHHNSSTSIGANVEVVASPSMEDNNNNNNSAATAWIDGILKDLIHSSNSVSIPQLINNVREIIYPCNPNLAVVLEYRLRLLTSHDNNDSSNSAEVGKNNTTEVGVVLNQNQRPLLPSTTTTVNVIPDNNFPPDSSGAAAPVVMNQMLSNWVVLPITHDGTSSVVPTPLPPTHHDISSHQEECCDTVATTAPDTTRKKKEEMRQQKKDEEGLHLLTLLLQCAEAVSSENLEDANKMLLEISQLSTPFGTSAQRVAAYFSEAISARLVSSCLGIYATLPSTIVSHTTHKVASAYQVFNGISPFVKFSHFTANQAIQEAFEREDRVHIIDLDIMQGLQWPGLFHILASRPGGPPYVRLTGLGTSMEALEATGKRLSDFANKLGLPFEFSPVADKVGNLDPERLNVSKTEAVAVHWLQHSLYDVTGSDTNTLWLLQRLAPKVVTVVEQDLSNAGSFLGRFVEAIHYYSALFDSLGSSYGEESEERHVVEQQLLSKEIRNVLAVGGPSRTGDLKFHNWREKLQQCGFRGISLSGNAATQASLLLGMFPSEGYTLVEDNGILKLGWKDLCLLTASAWRPPFHTTTSTTAITHHHITN >OIW01564 pep chromosome:LupAngTanjil_v1.0:LG11:24771874:24778242:1 gene:TanjilG_09388 transcript:OIW01564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSGGPESVKRVQSLFSRDARGKHRIQAQLKRVEQEAKFLEEELEKLEKMEGASVLCKEMLTNVETRHDPLLPVTTSPLNPLWDRWFEGPKDSKGCSCWIL >OIW01842 pep chromosome:LupAngTanjil_v1.0:LG11:17051946:17067453:-1 gene:TanjilG_15706 transcript:OIW01842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSFSSLPGSIGGRFFGRNPDSTAGNGGDSSSDTVVVHDDSSPAIDTVECYACTQLGVPAFHSTSCDSYQQPQWEASAGSSLVPIQNQSKGSTVRVRSRINSGPFGLVLDPRTKHVQVWNRALLLARGVALAIDPLFFYALSIGREGTPCLYMDGWLAAVVTVARSIVDAVHLIHLWLQFKMAYVSRESLVVGCGKLVWDARDIAAHYLRSLKGFWFDLFVILPVPQTVFWLIVPKLIREERLKIIMTIMLLIFLFQFLPKVYHCICMMRRMQKVTGYVFGTIWWGFGLNLIAYFIASHVAGGCWYVLAIQRVASCIRQQCERTHGCNLSLSCAEEVCYQFMSSDGTVGTPCGGNSTIAARKPLCLNGEGPFKFGIYQWALPVISSNSLAVKILYPIFWGLMTLSTFGNDLEPTSNWLEVIFSICTVLSGLLLFTLLIGNIQVFLHAVMAKKRKMQLRCRDMEWWMSRRQLPSRLKKRVRHFERQRWAAMGGEDEMELIKDLPEGLRRDIKRYLCLDLIKKVPLFHNLDDLILDNICDRVKPRVLTKDEKIIREGDPVPRMVFIVSGRIKRNQSLSKGIVASSILEPGGFLGDELLSWCLRRPFMERLPASSATFTCLESAEAFVLDAEHLKYITDHFRYKFANERLKRTARYYSSNWRTWASVNIQIAWRRYRNRTRGPVTPVRDNDVGGTERRLLQYAAMFMSIKPHDHLE >OIW01759 pep chromosome:LupAngTanjil_v1.0:LG11:18580154:18581298:1 gene:TanjilG_03897 transcript:OIW01759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEATSPPHDDHESGGDQSPHGCSSAAREQDRFLPIANISRIIKKGLPANGKIAKDAKDTMQECVSEFISFITSEASEKCQREKRKTINGDDLLWAMATLGFEDYIEPLKVYLHRYREGDTKGSVRSGDGSARRDQAGLAGQNSQLVHQGSLSYMNNQDTCIVIHGDSRNEMK >OIW02121 pep chromosome:LupAngTanjil_v1.0:LG11:8626875:8630776:-1 gene:TanjilG_26661 transcript:OIW02121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGAKDKMTKVSATHSIEEKEPNNAELSKNSKLSNKKHGGWKAMPFILGNESFERLATFGLSANFMVYLIREFHLEQVSAANIIYIWSGIGHFAPVLGAFISDTYIGRFRTIAYGSFSTLLGMLVVTLTAWLPELHPPTCTTQQLALNQCFAAPKTSQLGVLFLGLCFLSIGTAGIRPCSIPFGVDQFDPTTDEGKKGVNSFFNWYYTSFSLVLLFTSTVFVYIQDSVSWKIGFAIPTLCMFLSIILFFVGTRIYVYVKPEGSVFPGIAQVLVAAYKKRKLKLPSVEKGDGIFYDPPLTGTVVLSKLPFTHQFSALNKAALIMEGEVNPDGSRVNKWKLTSIQQVEEVKCLARIIPIWAAGILSLTSMSQQGTFTVSQALRMDRHLGPKFQIPAGSVGVISLMTITLCVPLYDRVFVPTLRKITKHEGGITLLQRIGIGMVFSILAMVVAGMVEKMRRNVALSNPTPLGITPMSVLWLTPQLFLMGLCEAFNIIGQIEFFNRQFPEHMRSIANALFSCTFGFASYFNSILVTTVRSSTETKNHPNWLTKDINAGKLDYFYYLVAGIGVVNLIYFLYVSQKYQYKGSVDLQHKVLDVELGPQKAQRESESH >OIW01678 pep chromosome:LupAngTanjil_v1.0:LG11:20500004:20502262:1 gene:TanjilG_19786 transcript:OIW01678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFEEESFEHTLLVVREVSVYKIPPRTTSGGYKCAEWLQSDKIWSGRIRVVSRNDRCEIRLEDPNSGDLFAACFVYPGQRDVAVEPVLDSSRYFVLKIEDGQGKHAFVGLGFAERNEAFDFNVALSDHDKYVRREHEKESGGGDVAGEESQIDIHPAVNHRLKEGETIRINVKHKISSGTGMLSAAGLTGGHAGTPKPKILSLAPPPSGAGKIRSPLPPPPNDPVAARIASTSRSTGLKGTNDSVKHSTDSLSDFSQLQKNLPSTATSGSNTASGWAAF >OIW02835 pep chromosome:LupAngTanjil_v1.0:LG11:787922:791486:1 gene:TanjilG_29611 transcript:OIW02835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSYPFRVYSFLALPFSLYEYPCCDLEEGGSTYNLQETSNLRDSVAMLDSKEIMKFKSYQNQANLFVKEYLLADPLIPYTSIIGGIFACKMVSDLTQLFSTVYFKTYSSLTKIQRIEWNNRAISTIHAIFITAMSLYLVFCSDLYSDNQAAELITDRNTSMSTFALGVSVGYFIADLGMILWFFPSLGGYEYVIHHLFSLVAVAYSMLSGEGQLYTYMVLISETTTPGINLRWYLDIAGMKRSKAYLINGVVIFIAWLVARILLFVYMFYHVYLHFDQVQQMHNFGQILVIVVPLVLSIMNLVWFAKIIKGLRKTLAKRQ >OIW02643 pep chromosome:LupAngTanjil_v1.0:LG11:2117504:2119919:1 gene:TanjilG_29419 transcript:OIW02643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKLIGEEEQHKHATLQKNTTKEIHLLQLLQFFILSILFPKPGNTVPLLHRIKTSLARDVPLIPEASRNTAHYILLWTRAGTPLRSLLVISVGTITLVSLTGLLVFMLFVLAATINAVVISLLISLAAAGGFLALFFAFVTAIYIGALSVAIFAISTAVFWTTVAILITTGWVAFFYTVWLATSKSLGFAKHSLSATGSAITTYSASWGTRNLPQKD >OIW01375 pep chromosome:LupAngTanjil_v1.0:LG11:32117301:32119949:1 gene:TanjilG_12915 transcript:OIW01375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELILAADFKPTDKILLSCGGPPSSTDADGRDWTTDIGSKFESSSAAGKNSTTSPAATQDPAVPEVPYMTARVFYSRFTYTIPVASGWKFLRLYFYPASYAGLNASNALFSVTAQQSYTLLRNFSVAQTTLALNYAYIVKEYVIHVEGETLNVTFVPSLNASNSYAFVNGIEVVSMPDIYTSSDSSSTMIVGTDAPFTIDNSTTLENVYRLNVGGNDISPSHDTGLFRSWSDDVPYLFGAAFGVTEPADPSVNIEYPPGTPSYIAPNDVYTTARSMGPNPQINLNYNLTWLFSIDSGFSYLVRLHFCEGSTNITKVNQRVFDIFLNNQTAQPGGADVIAWADAFSLSHSNGIPVHKDYVVFVPNGEPRQVLWLALHPDQTSKPQYYDAILNGVEIFKINDTTGNLGGTNPIPPPLQDKIDPSLARSHPHGKSTSRIGVIAGGISGGVVLLLLVTGLFAFVCRGRHGKESSTSEGPSGWLPLSLYGNSHSAGSAKTNTTGSYASSLPSNLCRHFSFAEIKAATNNFDDALILGVGGFGKVYKGEVDGGTTKVAIKRGNPLSDQGVHEFQTEIEMLSKLRHRHLVSLIGYCEENTEMILVYDYMAYGTLREHLYKTQKPPLPWKQRLEICIGAARGLHYLHTGAKHTIIHRDVKTTNILLDEKWVAKVSDFGLSKTGPTLDNTHVSTVVKGSFGYLDPEYFRRQQLTDKSDVYSFGVVLFEILCARPALNPTLAKEQVSLAEWAPHCYKKGTLDQIMDPFLKGKITPECFKKFAETAMKCVSDQGIERPSMGDVLWNLEFALQLQESAEESGKDFGGKTMLNEEETLFSGDDCKGKKDTDASNVSDSRSSGMSMSIGGRSLASEDSDGLTPSAVFSQIMNPKGR >OIW02485 pep chromosome:LupAngTanjil_v1.0:LG11:4554354:4554758:-1 gene:TanjilG_05078 transcript:OIW02485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLGKLTKLKSAIKRWPSLTKLSRNNSCVSAASTDSNKGGASSSSSNEVEQQQQQHGLHTIYVGKSRRQYLVNSDIIDHPVFQELVDKSCSNSDGVVVSCEVVLFEHLLWMLESNETQMGSMDELVEFYNCAC >OIW01805 pep chromosome:LupAngTanjil_v1.0:LG11:17859773:17871598:-1 gene:TanjilG_03943 transcript:OIW01805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKFSKELEAQLIPEWKEAFVNYWQLKKQIKRIKLSKIPKQSHTVEGDFGHSIFDSIHLLAKKFSYNFSGSRDDNFNIIKVRKKTRKGSDEEIYETELAQLFSEEDEVRVFFVRLDEELNKVNQFYKKQEGEFVERGETLNKQLQILHDLKQIISDRRRKSSPPSKPSNAEVFSRSPARNSDYSVSFGDSDETNSEVSQTDEAIMTTLEKNGINFVNSGRRTKTKKGKPKMGIRIDVPVASNPSGAITAITSMLWEDLVNNPTGEFIHKRKIQCAEKIIRSAFVELYRGLGLLKTYSTLNMVAFSKILKKFDKVVRLMDEVESIFTKHFANNDRKKAMKFLRPQQNKDSHMTTFLVGLSTGCFVSLFCVFAILAHLCGIFSPTKEPAYMETVYPVFSVFALLSLHLFMYGCNLFMWKNTRINYNFIFEFSPSTALKHRDAFLICTTLMTTVVGAMVIHLLLRAAGFAPGQIDAIPGILLLCFIVLLICPFDIFYRPTRYCFIRVIRNIVCSPFYKVLLVDFFMADQLTSQIPLLRHLETTGCHILAEVFKTHHPETCHSGRLYMEITYIISFLPYFWRALQCLRRWHDDSDVNHLANMGKYVSAMVAAGARITYSRIDNPLWFAIVLITSVVATTYQLYWDFVKDWGFLNPNSRNPWLRDDLVLKNKSVYYMSMGLNFLLRVTWVQTVMHFRVGPVQSRLLEFFLASLEVIRRGHWNFYRLENEHLNNVGRYRAVKTVPLPFREDSD >OIW01451 pep chromosome:LupAngTanjil_v1.0:LG11:29608883:29609524:-1 gene:TanjilG_30925 transcript:OIW01451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLDSQRERSMALDSEGLEGLIPLARLLLTLGGTLFLAFWPLILIIVASFSALYFYFGSTFVLIKFN >OIW02059 pep chromosome:LupAngTanjil_v1.0:LG11:9455478:9459841:1 gene:TanjilG_21108 transcript:OIW02059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTESLTKPETAPAPILPPVLPPAPVVDPEPPLAEAPPLAASVDEKAVVPPAPVVPVEETKVLAIVEKEKTPDPVNKKVTLDRDVALAEVEKEKRLSYVKAWEESEKSKAENKAQKQLSSVASWENSKKASLEAELRKIEEQLEKKKAEYGEKMKNKIALVHKQAEEKRAMVEAKRGEEFLKAEELAAKFRATGNTPKKFLGCF >OIW02745 pep chromosome:LupAngTanjil_v1.0:LG11:1396661:1398260:-1 gene:TanjilG_29521 transcript:OIW02745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFCSSLMISLALFVLVLGSANASLSKDFYYSSCPKLFDTVKCTVKSAISKETRMGASLLRLFFHDCFVNGCDGSILLDDTSSFTGEKNANPNRNSVRGFQVIDNIKSALEKVCPGVVSCADILAIAARDSVSILGGSSWDVKLGRRDAKTASQSAANNGIPPPTSNLNQLISRFNALGLSTKDLVALSGGHTIGQARCTTFRAHIYNETNNIDNSLASMRQSNCPRASGFGDNNLAPLDLQTPTSFDNKYFNDLIQKKGLLHSDQQLFNGGSTDTIVHGYTTNPTSFYSDFVNAMIKMGDISPLTGSNGEIRNNCRRVN >OIW01551 pep chromosome:LupAngTanjil_v1.0:LG11:25489791:25490356:-1 gene:TanjilG_10833 transcript:OIW01551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVSGLAGVVYGLFGGLTLVCAASCFYLSVKPNAFFADFSLSCGLVFKGTWLLQAGFSLYTDAFGLRGCQKISSFLDPQQESIDVKCDLDEDRLRGVALMNLLFTLHALVVMVLAFGLFGVLASIRNLRGGEARGPLLSELESTSNRVRAIPELEME >OIW01120 pep chromosome:LupAngTanjil_v1.0:LG11:35791809:35793582:-1 gene:TanjilG_25228 transcript:OIW01120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRKKKFEMVEDDSDSDIETLESDIKQMAHKLLQYRSTLPDQLNNTLVSLLATHRPHFPQPSALELNICSGENSSAPEDQEIAKKVKLLNEKISSNCSAMPVVLKNMKDCIAKFDKLDSYNAIVHPAFKRKRSG >OIW01347 pep chromosome:LupAngTanjil_v1.0:LG11:32706624:32707943:1 gene:TanjilG_20529 transcript:OIW01347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTKLGMYEYFKLPHHLKNLQRAASSRRTKLLFLPKGMSKREKNRSQYDNRKKFISWTIEWRFHSTDIVLHEHGVNENTNFCSILEKHLKPGPWNHKLRQFCEEQFDNLKLFIRKYPKGPKSPFKELDMKAPIRQQLANVNILEFPVVFVFLPSHRIDFEVIKDANPTTPRALQKDIEGKECIEGVSFREEEIEDDNNSADPRVFDLMKHPESSSSPQMPIQNRNLEQAPNKPLLEGQKVGNLSLPSLETDELKFSEDLPFDFDDESIMEVFDLMNEMDPDDYFNFDFDFAKKTEDEIDLIGSGGLSSVPEEDLEEGEIAE >OIW01769 pep chromosome:LupAngTanjil_v1.0:LG11:18398938:18399717:1 gene:TanjilG_03907 transcript:OIW01769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFPRKSLFLLLLLVFFTNLCPPHLAESASDYTTLVYKGCSNNAFTDPSGVYSQALSSLFGSLVSQSTKVKFFKASSGTAENTITGLFQCRGDLTNSDCYNCVRKLPVLSEKLCGKTSAARVQLLGCYMLYEVVGFEQISGMQMLFKTCGGKNGNGRGFEEKRDTAFSVMENGVVNGHGFFATSYQSLYVMGQCEGDVGDSDCGECVKNAVQKAEVECGSSVSGQVYLHKCFISYSYYSNGVPGKHPSFGSSSSSSGNH >OIW01262 pep chromosome:LupAngTanjil_v1.0:LG11:34450988:34452157:1 gene:TanjilG_10423 transcript:OIW01262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPSSSSSLSSHMATEITHLSSDLIELILSFLPISTLIKVSTVCKNWHCIISSSSFSSTTTNHNHKPWFFLHGIHNISYKNNQSFAFDPTSNTWFLLPTFPTTTSNQHQPNTSFIGTNGFFFITAPKFCYTTILHPFWHATPNLHFPRINPLLCVFNDNKFVVVGGVKFIGNLVDIEDRLDVEIYDPSLGSWEICPPLPSDFRSGNSSSSLSSALFKGKLYVFGIYSCFVSSFDLEKHVWSDVQTLRPHGVVFSFLVSCRKQLVLAGICNLAHGSCFNLWKVDEITMEFSEIGAMPHDLLYDLFDGDEDDKFASLKCVGLGDLIYVFNEDYHRVYPACVCEIDADSGECSWRRVPQMPLPVNKFHKVISFCSTISLHSVLGQRQNLGLH >OIW01318 pep chromosome:LupAngTanjil_v1.0:LG11:34954952:34960935:-1 gene:TanjilG_10479 transcript:OIW01318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGHWGILVSDPLLQNQFTQVELRSLKTQFMSMRRENGRLIIGDLASKMSRLKVIGDNLNEEERASYIQDLYQNTDEEVDFELFLKVYLKLQTFASSRTGSTAKNSSAFLKAATTTLLHTISESEKSSYVAHINNYLGEDEFLKKYLPIDPSTNDLFEIAKDGVLLCKLINVAVPGTIDERAINTKRLLNPWERNENHTLCLNSAKAIGCTVVNIGTQDFIEGRRHLVLGLISQIIKIQLLADLNLRKTPQLVELVSDSKDMEELMSLSPEKILLRWMNFHLKKTCYKKIVTNFSSDVKDAEAYAHLLNVLAPEFTNPSTLVVKNPFERAKLVLEHADKMGCKRYLTARDIVEGSPNLNLAFVAHIFQHRNGLPTRTKQSSLLETLPDDNQDSREERAFCLWINSFGNSTYINNVIEDVRNGWVLLETLDKVSPGIVNWKIANKPPIKMPFKKVENCNQVVKIGKQLKFSLVNVAGNDIVQGYKKLILAYLWQLMRYNILQLLKNLRFHSHGKEITDVDILQWANSKVSSSRSQSRMDSFRDKSLSDGIFFLELLSSVQPRAVNWSLVTKGVTDQEKKMNATYIISIARKLGCSIFLLPEDITEVNQKMILTLTASVMYWFLKHPHEERIIGTSDSESGSQMETISNSTLDDSASDSSAEDNGNV >OIW01245 pep chromosome:LupAngTanjil_v1.0:LG11:34189527:34189694:-1 gene:TanjilG_10406 transcript:OIW01245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPDLSYNESTTTHTLVMGVAPIKAYMEGSEMGFESENGGCKCGTNCTCDSCNCK >OIW01919 pep chromosome:LupAngTanjil_v1.0:LG11:14118198:14119451:-1 gene:TanjilG_15244 transcript:OIW01919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDKTQSLNKKNDDDGDEVKQQLDPKSPATAGYWGGWGLSPLSFLTDLQKAATVAAEEISRNAAAVAETASKSIAELKINAEDSESSKEDNGGEGSPAEKENGDEDDKRRKAALERLEKASDDSILSQASIGD >OIW01310 pep chromosome:LupAngTanjil_v1.0:LG11:34867979:34873667:-1 gene:TanjilG_10471 transcript:OIW01310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHFNNNNDLFDYVVDDRYDVVEFQHQHDAVPSSNFDFDSDSEFDDGFETSALKSDTSAMEARNGKDIQGIPWESLNNSRIQSREIRLKHYKNYENLYCSRQNLDKECLKVQKGMTFYDFQFNTRLVKSTIVHFQLRNLLWATSKHDVYLMQNYSVMHWSSLLRRGKEVLNVAKPIIPTVKHPGFSAKPVSRVQISTMSVKENLIVAGGFKGELICKNLNHSGVAFCSKITTDDNAMTNHVDVYRNPTGSMRVISANNDSHVRVFDAEKFASLCCFKYDWSVNNTSVSPDGKMLAVLGDSTGCLIADANTGKVTESLKGHLDYSFSSAWHPDGRILATGNQDTTCRLWDIRNLSQSLAVLKGRMGAIRGLSFAADGRFLAMAEPADFVHIFDSRSGYGQSQEIDLFGEIAGISFSPDTEALFVGISDRNYGSLLEFTRRHHNNYLDSMF >OIW01432 pep chromosome:LupAngTanjil_v1.0:LG11:30674712:30675002:1 gene:TanjilG_11150 transcript:OIW01432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVVQYFCPGTIVKYQTHHQVVDGMEDPCRIILDRIFWTFKPCIEGFGYCKPILQVDGTFLTGKYTGTLLIASSQDGNRRVFPVAFAIVEGEAKEA >OIW02340 pep chromosome:LupAngTanjil_v1.0:LG11:5724454:5724891:-1 gene:TanjilG_11234 transcript:OIW02340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKNKGKGGKNRKRGKNEADDEKRELVFKEDGQEYAQVLRMLGNGRCEAMCIDGTKRLCHIRGKMHKKVWIAAGDIILVGLRDYQDDKADVILKYMPDEARLLKAYGELPDNTRLNEGIGGGLDEEEDGDAADYIDFEDEDIDKI >OIW01737 pep chromosome:LupAngTanjil_v1.0:LG11:19028832:19034185:-1 gene:TanjilG_03875 transcript:OIW01737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSVFGGKKNNDLPPSIQDASNNITKRGDTVEEKIKKLHAELNRYKEQIKKTRPGPAQEAVKARAMRVLRQKRIYEGQRDMLYNQTFNLDQVHSAAEDIKDAQQTNLQDKMVDLMDVSNEIQETLGRSYKCLMTLTRMNSLVVKLDVLKADMGDETEADGIPSYLQPDKEPDLDAELKLPSAPAGHTAPGRSNAQAEDDPGLPAAP >OIW02226 pep chromosome:LupAngTanjil_v1.0:LG11:6757207:6757458:1 gene:TanjilG_23934 transcript:OIW02226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METKGGKKKSSSSSSKSSLFYEAPLGYSIEDVRPNGGIKKFRSAAYSNVRFHNTIESLVLLNYLIFADIFFLMILLQCARKPS >OIW02299 pep chromosome:LupAngTanjil_v1.0:LG11:5305144:5319140:1 gene:TanjilG_11193 transcript:OIW02299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTETIPSSSSSSSSLTTKLNPIDHFPLHLHRSDVVPPAPTFSQSTIDFLPNFSGYTWIAYGASSILVITHFPSPLSASQTRIGPIFRQPFQLSSPVTAVSWSPATPSSGDLAAAAQNCIEIFRHQSATSRGSFCWRQNAVLVQQTKVEAIRWTESGDGIISGGMEVVFWKKSNQSWEIAWKFKADQPQTLVCATWSIEGPSATAAQPSKGNIKGSSINEVSNCVLVCQSNGLSEYSKVKLRHPLPVTMIQWRPSRGRISNRYEMHPARHVLLTCCLDGTARLWSEIDSGKSKRTGKDMNDQKNTGRNFCVIAVIEINQVLKGTLGSDIFVTWGTEIRGIFKTGEDAKQVFFKEEFDHDVRKCDWLVGFGPGMLLSFWAVHCLDDVSPMRFPRVTLWRKHELQDNDIGDVYKFNSSDFKNALLLHKFIMLRNCLSGPPVICSPLQLLPCNSLVWSFFRIQAIHDHVENSHRKDTDNISTHLTGGVLNIDGHSGEILKVSVHPYICEVQFAASLDSNGMVLFWSLSNISNCILGHPTLIPSWELCGKLATQGSCSRYTTLRWAPSVLDDKLIFFMGHARGIDCFIVDICRVEEENIECHYLCTIPFSGHGPYEDGPCDIFAIPLNSTCNKTFLNNKLMLLAIWKGRFQALSWEVILHSFDMPTSSCECNFDAKSLDDHSVWAFESTFVNKRYFITVNPCSSEFPSSTDLVTSFAVVDAGTLSHRQPEFDFVSDLGSSYLAYTMATGYSDGSLKLWRSNPGNPLTLHLPWELVGSFVAHDGPINGICFSDCGQKIATCCNGSNSNTVNTIHIWDAVHLISAGTFILEDKLTVESYVIALNWMTLGTGQLLLGVCLKNELQVYAPRRYDGLTSSNCVNFPKVNIWIRIAFAHTPLPIYDFSWGPRASAVVIHGNYFSIFSHWLLHVDNKQGHMGEIYEDRLSAVFTDCDIGAFRELSNGNNYEDNDSMRSIKINMKDNNLFSSLFLPEEQLKSEPLSNNGLWSILEVAETISGSLPTYHPNVLLTNISSGNWKRAFVAVRHLVECLTSNDDPKKRYIAKRTGLPDITLPYYLEGLISKSSKDKEFQWGGDSASVMSISQAQSSSDFFPFHSGSSAENKSTTSTKSELNGFVESLENFPELSHLISIEKSGILAIIDLLCEVSSPHSSSPYQSLDEPGRRFWVALRYKQLLFHRKFTRAASFEELLVNSRLFVWAYHTDCLEDLFGSVIPSEPTWQEMRALGVGFWYTSVPQLRARMEKLARARYLKNKSPRDCALLYIALNRVQVLAGLFKISKDEKDKPLVGFLSRNFQDEKNKAAALKNAYVLLGKHQVELAIAFFLLGGDHSSAINICAKNLGDEQLALVICRLVEGSGGPLEHQLITKYILPSAIDKGDYWLASLLEWEIGNYYQSFHRMLEFSVNRVAQESIVMSSCGPFLDPSVGVYCQMLATKNSMKNVVGEQNSAILLRWATLMTVTALKRCGNPLEALEYFSSSLSMLGTADQGSELGDEDDVLSSTLKPFPRKSSNWLSADVSAHLEFHIKLNLALQYLTKLIREHPSWPGTLAESNVEAYYSDEYVMQHEKSVESFKQRLYAGLTLFEQRFLLSPFCLISMTSLLLSHHGLLYIGYDMADGCTPGELSQKSNIIDAFKLCHSRVKPVFKTVEEISVLYSRFFSACSMDYSQQSLTYIEKSATTECGSKFLNASQCQFEGHLISFWYLRSILRIQLDSISKDLVTKQLYYILDLFEYYLHFSLAWLERNSEALLFMMGPFLVHSNGHNPYEVDMVNLKKIIPKIAEMLTQNSCLSNIQNLQVSKCAAEDKQAADIKHSIPDDERWRILGTCLFQHMSRFMISNLNLVLDQLEYGNVSGSSHRDYANREYTVMSVDSDNISLLKQIRLVSLSLCDLLMTTVNHVSSYHVKQLADLLWQKCENNLNVVTFEWLKQPSQSESNDNQDLDILELVNRKDKYLVHQLLWDHCTDSKLISDCFAQEKLNWSSDLDHRPTKGWNDMYIIMKGLHKTDDDTHDNGCKLSPKSSSHDVGSPVKGKFPSDHAYARSNQKDAICMDIGVFQNPKELCKRNGELLEALCINSTDQREAALATNRKGIVFFHLEDGIPYTGESDVLWAKADWPQNGWAGSESTPAPTCVSPGVGLGSKKGVHLGLGGATVGVNSSAWPSRDLIAGGGFGILSYAGNGASGLRWEVQQDFEDFVDPPATLENISSKALSSHPMRPFFLVGSSNTHIYLWEFNKDKATATYGVLPAANVPPPYALASISALQFDHFGHRFASAGLDGTVCTWQLEVGGRSNVRPTESSLCFNGHASDVTYFSSSGSIIAVAGYSSNAVNVVIWDTLAPPTTSRASILCHEGGARSLSVLDNHVGSGSVSPLIVTGGKGGDVGVHDFRYIATGKGKRHRHTDNIGQSSITSLTHDKDHNVDGMLWYIPKAHSGSVTKVVTIPNTSMFLTGGTDGDVKLWDAQNTKLIHQWSKIHEKHTFLQPSSRGFGGGVVRAAVTDIQVVPDGFLTCGGDGTVKWVRLNSQSPAWPWI >OIW01983 pep chromosome:LupAngTanjil_v1.0:LG11:11012750:11016944:-1 gene:TanjilG_14014 transcript:OIW01983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRLDHFNYRPDSYSTFPQRYIINFKHWGGAKSNAPIFAYFGAEEPLPDDMHVGLLEDNAPKFNALVVYIEHRYYGKSTPFGSFEESMKNATTRGYFNSAQAIADHADVLLHIKKTLSTQHSPIIVFGGSYGGMLASWFRLKYPHIALGALASSAPILYFDGIAPRHGYYYIVTKDFKETSESCYNTIRKSWYVIDRVAKKLNGLSILSKRFKTCKKLSKTYNLKDYLNSMYSDIAQYNDPIKNTLKVVCDAIDGAANKTDVLGQIFEGVVAYDLKNQSCYEMEGNTEPTETSIGWAWQKCSEIVHPMGINKEDSLFQPEPFNMTKFVNDCHKQYGVLPQPHWVTTYYGGPDLKLNLKRFASNIIFSNGLKDPYSSGGVLKSISNSIIAVTTKNGSHCLDLGFEKPSDPHWLNTQRNTEMKIIKRWIDKYHADLRRSTK >OIW02454 pep chromosome:LupAngTanjil_v1.0:LG11:4186557:4194485:-1 gene:TanjilG_05047 transcript:OIW02454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVKAHSAAADSSRPSSSFVKRGDRQMFTVELRPGETTIVSWKKLMKDANKVNNTNNSGSTSAPEHHRSIPNPALESRIAPGHPTEIEEKDVPQPNRFSAVVEKIERLYMGNDSSDEDVLDVPDDQYDTEDSFIDDAELDEYFEVDNSAIKHDGFFVNRGKLERINGPPVLPNQEPKKRRRKDISKNLGENKDGSGSNKHVKVGKTAAGKTAVSGENHEELKLRSQLDPVVCLKASKDNLPSTVTEAKDADKQKIGVPESKNTVDKYKNASGLLDASHQKCHENSACAHSKLQPGRLASNLDGSENTSRPKEKSGIREIPDLNLSEKSENVHKKDGSSVRPKTSTLEKAIRELEKMVAESRPPTAENREADSTPQAVKRRLPREIKLKLAKVARLAQASQGKVSKELLNRLMSILGHLMQLRTLKRNLKIMISMGLSAKQEKDVRFQQLKKEVVEIIKMQAPSLEAKQQQHAGATVDFGPEGKAINKRKFSMDITLEDKICDLYDLFVDGLDENSGPQVRKLYAELAELWPNGYMDNHGIKHAICRAKERRRALHSKHKNQEKNKMKKLLAPQQEENVQFDAGSITSQQNLQDKLGPESSSHVVGSMNKAVSTSVTVNGPKQEKAKVSSSSSLDDVKVADGVVVKKKVKRKAEPESEGNHICPEKQASLQGEERTRSLKQSAGLPLKSNLQPTSIPGPEHSS >OIW02294 pep chromosome:LupAngTanjil_v1.0:LG11:5269029:5270264:1 gene:TanjilG_11188 transcript:OIW02294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAPCCSKVGLHKGAWTPKEDALLTKYIQAHGEGQWKSLPKKAGLLRCGKSCRLRWMNYLRPDIKRGNITLEEDDLIIRMHSLLGNRWSLIAGRIPGRTDNEIKNYWNTHLCKKMRNQGPDLDEESPSASKKKTKKNKQKKDKKKNKETNEDEEIQKKTKVYLPKPIRVKPLTLPRTDSSFTFDSSASSSQGNNNSNSKVESQEGTEDVMNLVCEVGMGENDGFGFFCEDHDIVNESNVLECQTYFPMDHGQGTLEKLYEEYFQLLSVEDTCQYELDLDSFAESFLV >OIW02161 pep chromosome:LupAngTanjil_v1.0:LG11:7810563:7814661:-1 gene:TanjilG_02385 transcript:OIW02161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METKPSTNFVDKLVCPGDVVLDLSTMTNQTIKLGGGLRQDCDTISVTKAGRLRFSKPNKYWVESSQKRYVPHAEDSVIGIVVDHRSDNFIVDIKGPTLALLPVLAFEGGTRRNIPKFEAGTSIYVRVVTANPEMNPELACTDASGKAAEFGALKEGYMFECSTGLSRMLLSSPTCPVLEALGKKLSFEIAVGLNGRVWVNSASPSTTIIVANAIMNSESLSGVQQKIMADKLLQKIQ >OIW02671 pep chromosome:LupAngTanjil_v1.0:LG11:1919170:1923130:-1 gene:TanjilG_29447 transcript:OIW02671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLHCGAFSFRSLNFSHPSSSINFTQYSVKASSFSSPLPSPLLRFNVTGKAIPGDGKAETKESSLVVSFGEMLIDFVPTVNGVSLADSPAFKKAPGGAPANVAVGIARLGGSSAFIGKVGEDEFGYMLADILKENNVNSEGMRFDPGARTALAFVTLRSDGEREFMFYRNPSADMLLQEDELDLDLIRKAKIFHYGSISLITEPCRSAHIAAAKAAKDAGVVLSYDPNLRLPLWPSADSAREGILSIWETADIIKISEEEISFLTKGEDPYDDAVVRKLFHPNLKLLLVTEGADGCRYYTKDFNGRVEGLKVDAVDTTGAGDAFVAGILSQLAEDLSLLQNEEKLRDSLKFANVCGALTVTERGAIPALPTKEAVLNALFKPVS >OIW01851 pep chromosome:LupAngTanjil_v1.0:LG11:16193502:16196911:1 gene:TanjilG_07146 transcript:OIW01851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETEIQKLDPETEFLASKRKTGNEWETFKENVRPLKRGRNVTVLNQALKSHTDNHLKKSLLSHRRKLIEAIDEYKGEDPLLPWLQCIKWVQEAFPPGGDSSGLVVIYEQCVRAFWHSDRYKDDLRYLKVWLEYVDNCFDADVIYAFLDANGIGKTHSDFYISYGLHLESKNKLKAANQIFELGISRNAEPIDKLKAAYRKFMARSMTRPKARDDTVEKAPVRSFGTVLAKGENRRCLPPLNCDPSAKNDRTRAAPLAIYKDSVAATDDTCPHQPDMPNSWHILGPRAERNKENNAIPSKWKSYKIPQRPGTRMGGATASSCIPVFVDEECQESRSVKAEGSRSSSLQLRQEDEDRQLKRETELLRKNPLRNFPHNSLPR >OIW01713 pep chromosome:LupAngTanjil_v1.0:LG11:19398010:19401974:-1 gene:TanjilG_05166 transcript:OIW01713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGQTIVSSGDGGSNVSNICSYCDMKQKCWCKGKLENHNYYNRFFSFGFVFFFGCFGLFGSIATFYGWLVFSPIVHKTLNLFGCQVDNEGSWSIGIFYGDSPFSLKPIETANVWNNESAAWPVANPVVTCAAVSDAGYPSNFVADPFLFIQGDILYLFYETKNSITMQGDIGVSKSTDKGATWQQLGIALKEDWHISFPYVFEHDEQIYMMPESSTKGEVRLYKAVKFPLLWKLEKVIMKKPLVDSFIINYNGRYWLFGFENSGFGTKRNGQLEIWYSDSPLGPWKPHKKNPIYNINKSLGARNGGRPFLYEGNLYRVGQDCGETYGRRVRVFKIESLTSDEYKEVEVPLGFVEPTKGRNAWNGARYHHLDVQQLPSGDWIGVMDGDRVPSGDSVRRIILGCASVSVSVIFIVLLGVLLGFVNCIIPPNWLIHNSGKMSFSLLAWEKSSPFSSRVRRVFTHLNRIPTFLRGKINQNTLARRFVLTIIFVIGVGLMCISAKNICGGNGSEEPYPLKGQYSQFTLLTMTYDARLWNLKMYVKHYSRCSSVREIVVVWNKGVPPNLSDLDSAVPVRIRVEEKNTLNNRFKADSLIKTRAALELDDDIMMTCDDVERGFNVWRQHPDRIVGFYPRLIDGSPLKYRGEKYARKHNGYNMILTGAAFIDSQLAFKRYWSEEGKQGREMVDKLFNCEDVLLNYLYANASSSLRTVEYVRPAWAIDTSKFSGVAISRNTQVHYGLRSSCLIKFSEMYGSLTGRKWGFDSRKDGWDV >OIW01465 pep chromosome:LupAngTanjil_v1.0:LG11:30182280:30189069:-1 gene:TanjilG_30939 transcript:OIW01465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKGSSATKDKDKEKYVSSIKKQQQDASCREAAASKRMQDLMRQFGSILRQVIDKPMDFSTIKNQMEAKDGAGYKNVREICADVRLVFKNAMKYNDKKSDVHVMAKTLLAKFEEKWLQLLPKVTEEEERREEEEAESQLAMQFAEEAAHVKTARDLSNELYEVDMHLEELREMVVRRCRLVLSHHVS >OIW02433 pep chromosome:LupAngTanjil_v1.0:LG11:3992426:3993859:1 gene:TanjilG_05026 transcript:OIW02433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSSRETLLNQFSMKETWKSTSNRWNGKDKYSHVGEASMNQMEGFTMYENEDNGIIVRKRVMVVIDNTSYSKHAMMWALTHVTNKGDLLTLLHVVPPHKASESSCSTNIVKYLGSLCRDCKPEVKVEALVIQGPKLATVMSQVKKLDVSVLVLSQKKPPSLLSCLCGNSSSSTEEFTEYCINNAECLTIGVRKRSQGMNGYLISTRWQKNFWLLA >OIW01585 pep chromosome:LupAngTanjil_v1.0:LG11:24187540:24190936:1 gene:TanjilG_23896 transcript:OIW01585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRYLFTHQNIDGGWGLHIEGCSTMFCTALSYVSLRLLGEEIDDSAMQNARKWILDRGGVTSIPSWGKLWLSVIGLYEWRGINPIPPEIWLVPYFVPMHPGRMWCHTRLVYLPMSYLYGTKFVAPINSIVLSLRTELYTLPYHLLDWDHARNLCAKEDFYYPRPMIQDMLWGFLHYLGEPLLMSWPCSKLRKKALDHVMQHIHYEDENTQYICIGPVNKVLNMVCCWLEDPNSEAYKCHLSRIKDYLWVAEDGMKMQVRRNSSGNPSEWYRHISKGGWPFSTRDNGWSVSDCSAEGLKVAILLSNMPFETVGKAIETEQLYDAVNYILSLQNPSGGFASYELTRSYSWLEKINPTETFGDIMIDYQYVECTSAVIQGLALFREKCPQHLNMEIQTCMAKAGNYIQTIQLPDGSWSGSWGICYTYGTWFGINGLIAAGKTYQDSHCIRKACEFLLSKQNPCGGWGESYVSCQNKGQRDPWPLHRAAKVLINSQMENGEFPQQVRLVFEESEMSYCSQAYTWCCARRR >OIW01817 pep chromosome:LupAngTanjil_v1.0:LG11:17249410:17259892:-1 gene:TanjilG_28880 transcript:OIW01817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFPSPIIHFLLLLLFFSLLHRPIFAVKKSYVVYFGAHSHDPELSSINFNQVTESHYEFLGSFLGSSNTAKESIFYSYTRHINGFAATFEEGVAAEIAKHPKVLSVFLNNGKKLHTTRSWEFMGLEHDYGVMPSSSIWKKARYGEGVIIGNLDTGVWPESKSFSEEGLGPIPSKWKGICDNGADSDFHCNRKLIGARYFNKGYASVTGPLNSSFDSPRDNEGHGSHTLSTAGGNMVPGVSVYGQGYGTAKGGSPKSHVAAYKVCWPPINGDECFDADILAAFDMAIHDGVDVLSLSLGGGSTDFFNDSVAIGSFHAAMHGIVVICSAGNSGPADATAENLAPWYITVGASTMDREFPSYVVLGNNITLQGESLSSARLPHKFYPIIKATDAKLASATAADAVLCQNGTLDPAKVTGKIVVCLRGISARVDKGEQALLAGAVGMVLANDEASGNEILADPHVLAASHVNFTNGVTVFSYINSTKFPVAYITHPMTKLNTKPAPVMAAFSSKGPNTIIPEILKPDITAPGVSVIAAYTEAEGPTNQEFDKRRIPYNSISGTSMSCPHISGIVGLLKALYPSWSPAAIKSAIMTTATTLENDAEPLLNATDGLASPFSYGAGHAQPNSAMDPGLVYDSTIDDYLNFLCALGYNETQISIFSKGPYNRQRKFSILNLNYPSITVPYLSRSVKVKRTLKNVGSPATYIVHVQNPNGIAISVKPSILEFKHGEEKSFKVTLKAKKGKTTNNYVFGKLTWSDGKHYVRSPIVVKAF >OIW01271 pep chromosome:LupAngTanjil_v1.0:LG11:34531539:34534985:1 gene:TanjilG_10432 transcript:OIW01271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCCFWCVHKSMSCLRESQCPFCRNQYYHFPTICQMLHFMLLKIYPVAYKGREIQTLEEEKKTGFYSPQFDADTGRSQTKFGHSGSPSSSSSINLVSNTCNGGTSECIERLQSAAQEGDEGTIVFEHSSYGAPIGIGTPSAEGKLLSENELLPQQKISVEDVMCTMCKQLLFHPVVLNCGHVYCETCVVNLADEDLRCQVCQLPHPKGLPKVCLGFDQFLEETFPEDYARRRVAVQLSKTKAQPVTSSNCSSDNGNKGENIDWWSDPDSKVHIGVGCDFCGMFPIIGDRYKCSDCKEKMGFDLCSDCYNTRSKLPGRFNQQHTSEHKFKLVQPNIIRNIMLRLVTGQSADNSIDSETFENLQFTSEALFDEGEENQNDSEATS >OIW02107 pep chromosome:LupAngTanjil_v1.0:LG11:8457502:8458875:-1 gene:TanjilG_26647 transcript:OIW02107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSRTKLTQLLLHHHLHRTNIPSTAKTLTTTTNTATSTRDEYFAAIHHVSNIVRRDFYMERTLNKLRITVTPELVYRVLRACSHSPSESLRFFNWARSHHPSYNPTSLEFEQIIKTLADSNNYQPMWNVIEQFKTHHCHVTISPEAISSVIEAYGKHRHVDQAVKVFNKASVFKCDQNNVGLYNSLLFALCESKLFHGAYALIRRMVRKGVSPDKRTYSILVNAWCSNGKMREAQEFLKEMSDKGFSPPVRGRDLLIEGLLNAGFYESAKEMVRKMMKEGFVPDVGTFNALVEVLCKFDEVEFCVGLYHEVCKLGLVPDVNTYKILIPAVSKAGLMDEAFRLLHNSSEDGHKPFPSLYAPIIKALCRRGQFDDAFCFFSDMKMKGHPPNRPVYTMLITMCGRGGRFVEAANYLVEMTEIGLMPMSRCFDMVTDGLKNCGKHDLAKRIEQLEVSARGF >OIW02441 pep chromosome:LupAngTanjil_v1.0:LG11:4073578:4074447:1 gene:TanjilG_05034 transcript:OIW02441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVIFEIQRGKSFCIEVGFFDNVLEMKEKIQKYHGIPTSKQTLIFNGQVLPDDGDIWKCEIFHNSHIQLLVAPDHNFDDKPPIVKDDDLNYNSPTPTIITTKIHLNVKTASSKVLPLDIDVSDTVMTLKEKIHEMDQNVPVHRLILHATGTELHDHQLLRDCDNVSDNSDIDVSLKPLPPVTTTSVVVIGGGNCGSSSSGGGSKKLKLMVLPKSGTKKIPIEVNASDNVGELRKELQKLHQRIQFHLPQEGYFFIYKQNVMDDDRSFRWHHVGQGDTIEIFNGNVTGGS >OIW01282 pep chromosome:LupAngTanjil_v1.0:LG11:34636690:34637069:1 gene:TanjilG_10443 transcript:OIW01282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIIGTYGEVYCLPLAWRATMPAMSHSRRSLRSTHVASPPSKGLSSPKSAQRRTCTRGVPVRDFRASKTNTMFKDQLQSSTYSAT >OIW01132 pep chromosome:LupAngTanjil_v1.0:LG11:35887286:35889744:1 gene:TanjilG_25240 transcript:OIW01132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTPPSLLSLTIDSAVLNLSNISDLSPIPAHILLDLLLRILKAGKLTEKVLRLFIATGKDEVLSLVQALNIQHIFTPVLPTNKVKVLLAFEKHFAETKQDFSLLDNLYSAAQKMSQVNIIDNEVDIVIGALHSDMTSFMNAWRPVFSRFHLIIIKDPDLQEELQIPEGFNIDVYTKSDIDRLVGSSTSVLFSGYSCRYFGFLISRKKYVVCVDDDCIPAKDNTGNSVDAVAQHIVNLKTPATPFFFNTLYDPFCKGADFVRGYPFSLRSGVDCALSCGLCLNLADLDAPTQALKPEQRNLRYVDAVLTVPLRTMMPVSGINIAFNREAVGPALVPALRLVGEGKLRWETVEDIWCGMCVKVICDHLGLGVKSGLPYVWRTERGNAIESLKKEWKGVKLMEDIFPFFQSVSLPQSATTAEDCVIEMAKTVKEQLGKVDPMFSEAAEAMEEWIKLWKLVGSGQSS >OIW02356 pep chromosome:LupAngTanjil_v1.0:LG11:4929099:4939811:-1 gene:TanjilG_08503 transcript:OIW02356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELDNIYSFLDSPDNDDDDISDLPHRSIDEILNDCDTSSSSSPSPPSSPKPSPSLNHSLVTLNSHNSVRSKPGSFSRPDEPYRTRPFSSLFAGVRSNAKPGAALAAAAAASRSVLTPHAAAMRRAGSGSLQKVASSVAGSVEPSLIRGGGSGFDDVSSSKGELGDSSDKFGGSQSSSVENFNEVTTKVEKFQNIDEINDAELATEVDDSILKADNIIGSEVHNDYSLVSKEKSDLDEDEPDSEKNMNYAPFTDNDEKPSITNLDISDKSVSENGLMIEAVETEELVNGSCSVEDSYNEVNVGDNDDSSSISQDVNELVEGILEELERGRDTGRGDEKLKFSKKPLELAEELEKKHASTGFHLEEGAAAQPMRLEGVRRGSTAVGYFDANTDNAVTRAISSQAFRHEHGSAQVLAVHANYIAVGMTKGLIVVVPSKYSIYHADNTGGKMLMLAEQGDRLHAPVTSMSFNQQGDFLLAGYGDGHVTLWDVQKGVVAKVISGEHTVPVVHTLFLGQDPQNTRQFKAITGDCKGLVLLHSFSVVLLLNTFKIKTQCLLDGQRTGLVLSASPLLSDEFSGSASIPASSLSSMMGGVVGGDAGWKLFNEGSSFVEEGVVVFVTYQTALVVRLTPKLEVYAQLSRPDGIREGSMPYTAWKHMAQTCSSTENNSAESVEKVSLLAIAWEQEVQVAKLVKSDLKVYGKWTLESAAVGLAWLDDQMLVVLTSTGQLYLFAKDGTVIHQTSFAVDGIGGDDLVSYHTHFINIYGNPEKAYHNSVAVRGASIYILGPTHLVISRLLPWKERILVLKKAGDWIGALNMAMTLYDGHAHGVVDLPRTLDAVHEAIMPFSVELLISYVDEVFSYISVAFCNQIGKLDQSNDSNSRNNSVHSEIKEQYTRVGGVAVEFCCHIKRTDILFDKIFSKFMDTHVQQRVQILDKKFPETFLELLEPYILKDMLGSLPPEIMQELVEYYSSKGWLQRVEQCVLHMDISSLDFNQVVKLCRECGLYSALVYLFNKGLDDFRAPLEELFAVLQNSEKENATALGYRMLVYLKYCFTGLAFPPGHGTIPRTRLPSLRKELVEFLLEESNMHKSQKVSDFVSKGPYLNLYLLLELDSEATLDVLKCAFVVDEISNALTSSLSTDDSSIEDAKIETDNVTETQNTLVQSTVDALIQIIDMNVVTTDTTSSSGDDGLLKEWPSKDIVYLFEFISYYVALQRAKVSKGVLCQILKYLTTDSYFTTNVSSHSSTPKNREKQVLALLEVLPESEWDTSYVLELCERAQFHQVCGLIHTIRHEPVAALDSYMNDVDEPVHAFSFINRTLSQLTGNNHAAIRSAVISRIPELIELSREGTFHMVISHFSDESAHILTELHSHRRSLFLYLKTLIELHLFGTLDLSKLRRDHIMNPCNDKQVKDYHQGLSDYLENISNFPKYIRENPIHVPDDLIELYLELLCQYEGGSVLKFLEMFDSYRVEQCLRLCQKYGIIDAAAFLLERVGDVGTALSLTLSDLNDKFVELDAAVETVVSSQSLGGSSNVEVFNIVSRIKEVNDIHKLLHACIGLCQRNTPRLNPEESEAHWFKLLDSFCDPLMDSNAEERANDRNNYFGVLAGLDDSQPDKNTYKSSWKISKSRNGQILRRLLSQFIKDIVEGMIGLVHLPAIMSKLLSDNGTQEFGDFKLTILGMLGTYGFERRILDAAKSLIEDDTFYTMSLLKKGASHGYAPKSLVCCICNCLLTKNFISSGIRIFNCGHAIHLQCEALEIESSSRGSSPGCPICMPNQKPLPSRNKSVIAENGLINKFSSARQYPHGSTVHPHESDLSENMYGHQQISRFEMLNSLQKNQRFIQIENLPQLRLAPPAVYHEKVNKVPGFLAGEHSNSSAVVEKQSRNKQNRELRVKGKEKTSKR >OIW01607 pep chromosome:LupAngTanjil_v1.0:LG11:23182279:23182884:-1 gene:TanjilG_10916 transcript:OIW01607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPEVVLHRTLSQSNTKVSTTATTATTISDVARRPSATSSRSTKDRHTKVNGRGRRVRMPPLCAARIFQLTRELGHRSDGETIEWLLRHAEPSIIAATGTGTVPAESVTTASATVSSVPSVPCHVQPVSSVPGGHGMFAALALQPSQPTCRLDLCQPAVGIEYAAAAAAANGYRHMPFTALLLQPATVENTQQEESLSEQ >OIW02778 pep chromosome:LupAngTanjil_v1.0:LG11:1149339:1152251:-1 gene:TanjilG_29554 transcript:OIW02778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLHRRPHDGDAAVPLRRHKSPPSSPKASDALPLPLYLTNAVFFTLFFSVAYFLLHRWRDKIRSSTPLHVVTFSELAAILSLIASFIYLLGFFGIDFVQSFISRSSNDAVWEDHINHAVCQQIPVLPPPEPLISSISTEEDEEIVKSVVEGKTPSYSLESRLGDCRRAAAIRREALQRVTGRSLQSLPLEGFDYESILGQCCEMPVGYVQIPVGVAGPLLLDGFEYTVPMATTEGCLVASTNRGCKAIYASGGASSVILKDGMSRAPVVRFSTAKRAAQLKFFLEDPLNFDTLAVVFNRSSRFATLQRIQCTMAGKNLYMRFTCSTGDAMGMNMVSKGVQNVLDFLQNDFPDMDIIGISGNFCSDKKPAAVNWIEGRGKSVVCEAIIKEEVVEKVLKTNVAALVELNVLKNLVGSAVAGALGGYNAHASNIVSAIFIATGQDPAQNVESSHCITMMEAINDGRDLHISVTMPSIEVGTVGGGTQLASQSACLNLLGVKGSSKDSPGSNSRLLATIVAGSVLAGELSLMSAIAAGQLVKSHMKYNRSSKNVCQM >OIW01220 pep chromosome:LupAngTanjil_v1.0:LG11:33756592:33758615:-1 gene:TanjilG_10381 transcript:OIW01220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKKQVGSSSSSSSSFTSELFGSNPNQSPSSGIFGSIFSPPPKVLGRESLSSQLSAKTSNEGWNSKIDTQDYKYKCNDGETTSTERKDLSSIYHEQRVQPCHLSSSIYYGGQDIYSQPQNTHNSGFNTLYKKDGGEDDSGSASRGNWWQGSLYY >OIW02706 pep chromosome:LupAngTanjil_v1.0:LG11:1667722:1669663:-1 gene:TanjilG_29482 transcript:OIW02706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGKSRLFTIGLVSSWYSSNIGVLLLNKYLLSNYGFKYPIFLTMCHMTACSLLSYVAIAWLKMVPLQTIRSRVQFLKISALSLIFCVSVVFGNISLRYLPVSFNQAIGATTPFFTAVFAYLITLKREAWLTYFTLVPVVTGVVIASGGEPSFHLFGFIVCVAATAARALKSVLQGILLSSEGEKLNSMNLLLYMAPMAVVFLLPATLIMEENVVGITLALARDDMKIVWYLLFNSTLAYFVNLTNFLVTKHTSALTLQVLGNAKGAVAVVVSILIFRNPVSVTGMMGYALTVLGVILYSEAKKRSK >OIW01673 pep chromosome:LupAngTanjil_v1.0:LG11:20727668:20732513:1 gene:TanjilG_19781 transcript:OIW01673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCLNSGERLGGGVDETVPSSESVATKDYSASGLSCLPGQVNKKPDTGNIEEAESSLRESGVLNYEEARALLGRYEYQKGNIVAALHVFEGIDIGSVTPKIKNSLSRSGERHKRHSQNHAESPMSRHAVGLLLEAVFLKAKSLQVLERFKEAAQSCKVILDIVESSLPNGLPDNFGAECKLQETMSKAVELLPELWKLADCPRDAILSYRRALLRHWNLDAETIAKIQKEFVVFLLYSGVEATPPNLRSQMDGSFVPTSNVEEAILLLMILLRKISLKRIEWDPSILDHLSFALSVSGDLSALAKQLEELLPGTIHRRERCHSLALCYYVAGKDLVALDLLRKLLGSREDPKHVPALLMASKICCEESSLAEEGVSFARRALENLNGRCNQLENLANCLLGVSLSAYAKLATSDSERCERQSEALHALETAGRKTEMKDPVVVYHLSLEYAEQRKLDTALYHAKCFLKLEGGSNIKGWLLLARILSAQKRFLDAESVINAALDQTGKWEQGNLLQAKAKLSIAQGHLKSAIETYTQLLAVLQIQSKSFGSGKRLYKDNRDLTRNFEVEIWHDIAYVYISLSQWHDAEVCLSKSKAIKPFSTSRCHATGKMYEARGLYKEALKAFRDALDIDPSHVLSLISIAVVLRRCSNRSNPAVRSFLMDALRHDRFSASAWYNLGLLHKDEGTISSLVEAAECFQVAHFLEESAPVEPFR >OIW01428 pep chromosome:LupAngTanjil_v1.0:LG11:30747868:30749319:1 gene:TanjilG_25724 transcript:OIW01428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGIVSLKRTALIRQHSEKLGIGFRLFSTQGASTASTPQPPPPPPPPEKTHFGGLKDEDRIFTNLYGLHDPFLKGAMKRGDWHRTKDLVLKGTDWIVSEMKKSGLRGRGGAGFPSGLKWSFMPKVSDGRPSYLVVNADESEPGTCKDREIMRHDPHKLLEGCLIAGVGMRASAAYIYIRGEYVNERINLEKARKEAYAAGLLGENACGSGYDFDVHIHYGAGAYICGEETALLESLEGKQGKPRLKPPFPANAGLYGCPTTVTNVETVAVSPTILRRGPEWFASFGRKNNSGTKLYCISGHVNKPCTVEEEMSIPLKELIERHCGGVRGGWDNLLAIIPGGSSVPLIPKHVCDDVLMDYDALKAAQTGLGTAAVIVMDKSTDIVDAIARLSYFYKHESCGQCTPCREGTGWLWMIMERMKVGNAKLEEIDMLQEVTKQIEGHTICALGDAAAWPVQGLIRHFRPELERRIREHAERELREATA >OIW01559 pep chromosome:LupAngTanjil_v1.0:LG11:25702383:25713495:-1 gene:TanjilG_10841 transcript:OIW01559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSEFDESLKEENLPENSIVDSSFYFILEQHRTLMSLVLFGRHGFRNRLEELGYELEDEEVESLFWHFKAAAGKKKRITDADLRAMVSNEVLKAEPIWKLGDLQVICGTLGFSTTTVKLVSADGSTHVACSVGIGPVDSTFKAVNLIVKEPVKLLEYSMNVVKEGINIIATTHVVLYKDHRTFSGTGSGFDGVVSSVEAYIVALNKMLGFKE >OIW01379 pep chromosome:LupAngTanjil_v1.0:LG11:31974374:31978748:1 gene:TanjilG_12919 transcript:OIW01379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESVSVLNPKLIHTHFSIPFNNNNALHSSLNFYPLLKTPRFTLTRFSNPNSLTTLNHRRNYRNHLTVAFAASNEDSEHREIDVEKEDSEASDGTEESQEAWQRSLDTFKEKALKFQGVSQEAYEAYSKKAVVILNDTTEQLKIHADKAKQDLSVTAKELTEEGKEYLNKATENSPEVKEVVETFTSPTDDLSRISGIRDFYVGVPYGLILSLGGFINFMVTGSTTAIRFGVILGGALLALSISSLKAYKRGQPSPRALKGQAAIASILSLREISTIGRGSSYVTALISVAVVAFYVYRIVVDGEQQQKGFESAEEN >OIW01152 pep chromosome:LupAngTanjil_v1.0:LG11:35293379:35297030:-1 gene:TanjilG_17709 transcript:OIW01152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTTSTFLTLPFPLSKPFLPKSTSFSPSNQRFTVLQRNSLKINAISKPLEPTKVVPQGDRVLIALQDLPDKTAGGVLLPKSAVKFERYLVGEILSVGAEVGEVEVGKKVLFSDINAYEVDLGTDSKHCFLKASDLLAVVE >OIW02759 pep chromosome:LupAngTanjil_v1.0:LG11:1290797:1293159:-1 gene:TanjilG_29535 transcript:OIW02759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVSEVQQLQLQIQLQHHHNQETPMCKKIQVQEDENSKVVEGDDFLIPPLNFAMVDNGIFRSGFPEPVNFSFLQTLRLRSIIYLCPEPYPETNLEFLKSNGIKLYHFGIEGHKEPFVNIPEDTIREALKVLLDVRNHPVIIHCKRGKHRTGCLVGCYRKMQKWCLSSVFDEYQRFAAAKARVSDQRFVELFDISSMKHLPISFSCLKR >OIW01836 pep chromosome:LupAngTanjil_v1.0:LG11:16897915:16898982:-1 gene:TanjilG_15700 transcript:OIW01836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHIHHAPPQTEHVVALTSTTLGTLKLNFPCHHDHDDDGDDSGDDLTFTNDNFFRYKEDKKKKKKSEKKEEFSMGLIEAKTWSNMIEQKITKVVTPMTPTKTPPGEPQTIINTWELMEGLEDTSPFRFRSISFDSNAVVDSPKPVMFVQITQEDESKINPAISEFEPEVLSSFCQPFVFNPAADNKFGKDKVVLYFTSLRGVRKTYEDCCKVMSILRGLGVRVDERDVSMHSEFKEELKELLGDGYGVGGGLPRVFVGRGYIGGAEEIQKLHESGKLEKLFVSCDKIEDNGSGLCEACGDIRFVACENCYGSCKIYRGSDDDDDDDQDDGATDRYGFQCCPDCNENGLIRCPVCCY >OIW01400 pep chromosome:LupAngTanjil_v1.0:LG11:31275358:31277296:-1 gene:TanjilG_25696 transcript:OIW01400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSPIKDLTTSSISVESQGQAPSPLRKMIAVASIASGVQFGWALQLSLLTPYVQLLGVPHAWSSIIWLCGPISGLIVQPIVGYYSDRCKSRFGRRRPFICTGAIAVAIAVILIGFAADFGHSLGDNLTLKTRPRAVAFFVLGFWILDMSNNMLQGPCRAFLGDLSAGNQSKIRSANAIFSFFMAVGNILGYAAGSYGKLYKVFPFTETKACNVYCANLKSCFFISIILLTILVIFVMIYVEEIPLTSSSNINDENDIGITLCIRSLFGAFKELKRPMWVLLLVTCLNWMAWFPWVLYDTDWMGKEVYGGEVGHKVYDMGVHAGSLGLMFNSIVLGVMSLVVEPLSRLVGGLKRLWGLVNFILAIGLAMTVLITKEAEAHRQFTVVAKGVREALPPSTGISGGAFALFSVLGIPLAITYSVPFALASIFSSTSGAGQGLSLGTLNLAIVIPQMIVSAISGPLDGAFGGGNLPAFVMGAIFAAMSGVLSIVLLPTPQPQDLAKAAALGGGFH >OIW02410 pep chromosome:LupAngTanjil_v1.0:LG11:3794475:3797872:-1 gene:TanjilG_05003 transcript:OIW02410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQSAPFPSHVDPKIWRTCAGAAVKIPNLHSRVYYFPQGHLEHVSPSPSPSPHYSSLHNFPVVHCRLSNVQFLADPLSDQVFAKLLLQPTPNRFPTDSRNEVAGEFGDGDNVVSYAKILTPSDANNGGGFSVPRFCADSVLPPLDFEANPPVQTLNVTDVHGKVWQFRHIYRGTPRRHLLTSGWSKFVTQKNLVAGDSVVFMKNSNGEVFVGIRRALWFSGATNNMAEDEEHGEEYNGGCWRRETGRVSVKAVMQAAEAAAQGMPFEIVYFPRAGWSDFVVSAEAVDEAMRSAWGHGMRVKMAMETEDSSRMTWFQGTVTSASVPNSEPWRGSPWRMLQVAWDEPEVLQNAKRVSPWQVELVSPPLLHTTFPPTKKFRAAQGLGVLSDREGDSYFPMAWFTNSSMGHLNKTLLSSETFPVGMQGARHDAFSASKLFNFTNDIDNSYLRMGSSYFGNNTMPMLKTVSTELNIGSSQSENLSPNSHSSLPSFGTDFAGGHNCNAAKVGSGSFLLFGKIIQPVGSYMRDADCMENRVSKGCGVGETEGIDNPPDHSFDLLELTQQA >OIW02942 pep chromosome:LupAngTanjil_v1.0:LG11:39829:45074:-1 gene:TanjilG_29718 transcript:OIW02942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNTCRGSFKGKYTQAFSHPQDNNNNNSSSNTNTNTTHSSSSSSDPDNNNNLNNNNLNLHIKQPNTIMRRGPDNQAYYVLGHKTPNIRDLYTLGRKLGQGQFGTTYLCTHNSTSLQYACKSISKRKLISKEDVEDVRREIQIMHHLAGHNNIVTIKGAYEDPLYVHIVMELCSGGELFDRIIQRGHYTERKAAQLTKIIVGVVEACHSLGVMHRDLKPENFLLVNKDHDFSLKAIDFGLSVFFKPGQVFTDVVGSPYYVAPEVLLKHYGPEADVWTAGVILYILLSGVPPFWAETQQGIFDAVLKGHIDFDSDPWPLISDSGKDLIRKMLCSRPSERLTAHERKLISKEDVEDVRREIQIMHHLAGHNNIVTIKGAYEDPLYVHIVMELCSGGELFDRIIQRGHYTERKAAQLTKIIVGVVEACHSLGVMHRDLKPENFLLVNKDHDFSLKAIDFGLSVFFKPGQVFTDVVGSPYYVAPEVLLKHYGPEADVWTAGVILYILLSGVPPFWAETQQGIFDAVLKGHIDFDSDPWPLISDSGKDLIRKMLCSRPSERLTAHEVLSPANTTIVNLFTAVPLKDHCGYSTPNRVHGLGYGGDREGEGHQRGHPWICENGVAPDRALDPAVLSRLKHFSAMNKLKKMALRVIAESLSEEEIAGLREMFQAMDTDNSGAITFDELKAGLRRYGSTLKDTEIQDLMEAADVDNSGTIDYGEFIAATVHLNKLEREEHLIAAFHYFDKDGSGYITVDELQQACAEHNMTDVFLEDIIREVDQDNDGRIDYGEFAAMMQKGNPGVGRRTMRNSLNLSMRDAPSPH >OIW02407 pep chromosome:LupAngTanjil_v1.0:LG11:3756257:3757063:-1 gene:TanjilG_05000 transcript:OIW02407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRDGPLYNFNFDDEVQSSHLGHTGNPLHHNMQVDELLKNVISSTEINEQFVPNTPSSFPIGCLNGTSFGNQNCHELWEVLQGQQFKTSMENNQLHQSSFREIEDYYVPPAAIAEPQPFMPIDDPMVIVSQQQHNWLSMPMQVPDINFHQQEELHRQFNVSSELVYENPDFEMVYSENSMVSAMPPSTSSETKEGGGVFGRMRIDPNEMMEKTIERRQRRMAKNRESAAKSRAKKQVSIVCWFYLYLYEGFDTQMIRHSHSPLHTEHA >OIW01864 pep chromosome:LupAngTanjil_v1.0:LG11:15749890:15751653:-1 gene:TanjilG_07159 transcript:OIW01864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNPVSLMLPNLTEWKVYWIKRDGDVWFLNGWKEFAEYLSLDAAQFLMFQYEEKSQFKVIVFGKSALEIKYPSSIGNDKKVEEVDESDCSLEIVVDGKRQKYSSTSQSCKKIKTSIKEEPECYAEMKNDVKKAPSGFDIDDMSKALLDKVKNTFRSENHFFLCKCQRTYTEKDLLNIPKDFLEHLRNKGGNATLSVKERSWDVRIKFSSYKQALLCEGWRTFSQDNNLKLGDVCAFVLDKREGISFQVVIFRLREHHSTLFAEDYRLGQELQP >OIW01840 pep chromosome:LupAngTanjil_v1.0:LG11:17006159:17012575:1 gene:TanjilG_15704 transcript:OIW01840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVSIAVRVPSWFSRRKLLDDKLCDLHKCTNLHHINQIHAQILKSNLHHDPYIVPKLISALSLHRHLISAVNVFNQFPDPNVHVFNSLIRAHASNNSHPSHSFNAFFDMQCSGLHPDNFTYSFLLKACNSLSLVQMVHAHVEKLGFYGDIFVPNALIDSYCKFGCVGIRAGMKLFMVMEERDVVSWNSMIGGLVKNGELDDACKLFDEMPKRDMVSWNTMLDGYAKAGEMNKAFGLFERMPERNVISWSTMVWGYSKAGDMAMARMLFDKCPMKNLIIWTTIISGYAEKGIVKEATVLYDKMEGVGLKPDDGFLISILAACAESGMLGLGKRIHASIQRCRFRCSTKVLNAFIDMYAKCGCLDAAFGIFSGMSKRDLVSWNSMIHGLGIHGHGEKALKLFSRMVHEGFEPDKYTFIGLLCACTHAGLINEGRNYFYAMEKVYGIVPQVEHYGCMIDLLGRGGHLEEAFGLLSNMPMEPNVVVLGTLLGACRMHNDVDLAKAVCEHLFKLAPSDPGNFSLMSNIYAQAGDWVNVANVRLQMKSTGGQKPSGASSIEVNFGKKIDGTGGPTCLVQGCHLSSSSPSDDDKPTLNTDWRSFRAKLVAGEQLKKPEESSSLKNNNNPDIVVDHPSSLITIGDKWAHLIHEPEKGCLLIATEKLDGVHIFERTVILLLSMGPLGPSGIILNRSSLMSIRETRSTSLDVNGTFSSSPLFFGGPLEEGLFLVSPKEGDNGIHIDEVEKSGVFEEVIKGLYYGTKESVGCAAEMVKRNMIELEDFRFFDGYCGWEKEQLRDEIRAGYWTVAACSPSVVGLENVGSIGMWDEVLGLMGTRKVK >OIW01404 pep chromosome:LupAngTanjil_v1.0:LG11:31203231:31205003:1 gene:TanjilG_25700 transcript:OIW01404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRHLYSQLPPPQNADKFDNIGNDVALFRHMSDMHPRPSVVEFTKILGTIVKMKSYATVIYLHTYMESKGIMPFIVSLNILMNCYCLVGQMGFAFSVIGKILKWGYKPNVVTFTTLMKGLCANGKMLDALDIHDELMAKGFQFDELTYGTLINGLCKNGETSVAIQMLQKIDGLLVKPNLVMYNIVIDGLCKDGFVNEAHDLCSEMIAQGVSPDIVTYSALIYGLCSAGKWSEVSLFLSKIVDQNINIDVCTFNIIVNALCKEGILLEAHTICDVMIERGLQPDIITYTILMNGYCLMDEVDEARKLFDMMIERDLVPDVWSYNILIKGYCKIKRVDEAMNLFGDMLLKSLDPNIISYNTLVDGFCKSGRILDAWKLVKEMHYCGLPPDVTTYNILLAAYSKIEHLDKTIALFKHLIFERGFVPNVWSYNILISGCCKNKRVDEAINLFKDMCVTGLVPNIVTYNSLIDGLCNCGRISSALEFADKMCNDGQPPDIVTHNILLNALCKRQQLDKAIALLNHIVDRGFCPNLHTYSILISGLYKGGWLKTAQKIFQHIFIRGHSPNVQTYNIIISEIRKGGLIPKRKAFLL >OIW02117 pep chromosome:LupAngTanjil_v1.0:LG11:8566945:8567886:-1 gene:TanjilG_26657 transcript:OIW02117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGANISNMECYPYASSQSSHILTFHSTAKWKAHFDSSKGMNKLMVIDFTATWCGPCKYMDHVVQEFAAKYTEVEFIKIDVDELMGVSQEFQVQAMPAFILMRKGKVVDKLVGAKTEELETLIEKHLN >OIW01832 pep chromosome:LupAngTanjil_v1.0:LG11:16828478:16830916:-1 gene:TanjilG_15696 transcript:OIW01832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWLLYLRSNFPIKTTHTSFRFLSTALAHFNNTSTETEESFNQNDTVSSNNLHTFHVLQTLNRLHNHPSLALSFFNEVKRKGFAHNVSTYAAFVRILCYWKLDRRLDSLFLELIALSKEYSSFEINELFETLLEEANYVNNNDNRSHSHYLFKAFDGFVKTCVSVGMFDEAIDFLFRNRRRGIVPNILTCNFLINQLVENGKVDMALAIYKQLKRLGLSPNCYTYAIVVKAQCKKGDLEGAAHVFDEMEEARVTPNSYCYSAYIEGLCNNHSSNLGYEVLQACRRDNAPIEVYPYTVVIRGFCNEKKLDEAERVLLDMETQGLVPDVYVYSALIHGYCKSHNLLKALALHNDMISRGIKTNCVIASHILHCLGQMGMTLEVVGQFKELKESGMFLDEIVYNIVFDALCKLGKVDDAMEMVKDMKANSMVLDIKHYTTLISGYCLQGKLTSAFSMFEEMREKGFTPDVVTYNVLASGLSRNGHACEAINLLEYMESQGVKPNTTTRKMIIEGLCSAGKVVEAEAYFNSLEAKSVEIYSAMVTGYCEADLIDKSYELFLMLSNQGNIAKEASCFKLLSKLCMVGDTNRAVEFLERMLLLNVEPSKIMYSKVLAALCQAGNMKKARSSFDFFVERGFTPDVVTYTIMINSYCRMNCLQEAHDLFQDMKSRGIKPDVITYTVLLDGNLKANLKRHFFHRHREGENIATFDFSNIWRDMKQMEISPDVVSYTVLIDGQIKIENFQDAIILFDEMIKKGLEPDTVTYTALISGFCKKGHMEKAVMLLNEMSSKGMTPDMHVISALKRGIVKARKVQFLK >OIW01444 pep chromosome:LupAngTanjil_v1.0:LG11:29375663:29376160:1 gene:TanjilG_30918 transcript:OIW01444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METQDFSFPRINDTCAHNIDSPPLWNLSPAPSPNPYQGKKEEKENDWFGEKIIAHGQRKSFSCIEIGRKRIEIEDGDDHEDKMDLLWEDFNEELYSTTGSATSSSREVVEFRSCAQALIVAKTKSKTNALVSTKSKSSMVVIVKVLKKFFSINNNVQGRQRKSLR >OIW01852 pep chromosome:LupAngTanjil_v1.0:LG11:16162081:16167572:-1 gene:TanjilG_07147 transcript:OIW01852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDLAVVNLHGEISEQHGAENGEDQQKVKVGGDCNGGSHVTTTENGGDVGGKIQSDGIGGDVGRSGVVVTADGGSTASDLVAVIDDNGDGGAELEGLKVVDGESQVTVLKVAESEAEGECDAVHVDNKESDYTDDSVVSNSTAKEEIPETEINNGEVLVDAGVDVAGVSADLESVTILDASGDVDVAVVEVGVKDLNKIHDHNQDEEIKSGAVISKDVQDGVMDRELSEQAVENGNVDGVTTVISSNVAVDAQSGVEDEIHGDTIGVVHNEVGFHNSMENKIHGDSNGVTVTDAADEVGLHGSVENEIHGDSNKGTITDANDDASVHSSVENHHDSNGDTIADANGEVDVHSSVENEIHGDSNGDIVADSSEVGVEDSVDNEIHDDVKGVDVTSAAEVLGDEKDVVTVLEGVEDENKSHGDFESVAAENGLAVKSDVPVVVDAVSARDVEECADEGDQVSSGEKVQIESIDSGGGDEKDGGTVVQEGGESISETDVDKGLECKSIASTDVSDEKDIVTNQSHGESESVVADVQNGLEDTNLSECAEKNAVSVYVDNVSATTDVKESADEFVQNGLEKAQLESVSDVEKSEVTAYVNGLAEPELSGYSEEKENPVEGEVGSKPKSSEGEKLLALNSTDMTTDDNVISDMNGNEVESKADPSADISDIKNITVESKAEPSNSAVENKGEPLANISDMKNNVVQSETEPLVQGEISVEGEGGNHAEGDSIPTQEGSTTADSIDGQNVGSEVVRTPFYYLIRIPRYDDDENIQEQIENALKQVDEKTDLRAKIRAEINSRKDICNECLQDFRAAKSAEQTARNLLKSKRQEMDSVKSTMNRLNNVISVADIDNKIRNMEHMIQHETLPLNEEKQLIRQIKQLKQSRGELSSIIGKQDQSQQSTDQDGSIEEHTKRSMLLKKEFDLLRNNLLKAETVTKAAKDKYDDEWDKLSVLQGQFNVADNIRQEAYTKLRALKSQLHEKKKYFWEYKGAVAKGQDLAAEGKKEELQCFCIDQVERIMELWNKDDEFRKDYIRCNTRSTVRRLQTLDGRALGPDEQPPVIPNAIYTERASKNKSQIMQSTPEQEKKPTESVDIKDEPVTKVVVQNTEKSQTSKAKKPAKPATVEKSPVVVSRWGDEPDEPEDTIEEPVRTKEEEELILNAEKARRESEAEKLKEKRRQEEIEKAKEAMERKKRNAEKAQQRAAVKAQKEAEQKEKEREKKARKKERRRAATTDNAENAEHEPTPTSETLTRSIDEIDQSDKPVEVAKKPQKPSHFAKQTKSKSVPLPLRNRGKRRIQPWMWWALIAVLIAAALFYTGNNSPLRSWLQSFF >OIW02583 pep chromosome:LupAngTanjil_v1.0:LG11:2781906:2783589:-1 gene:TanjilG_24034 transcript:OIW02583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASWVRGKCIGKGAFGTVNIAVTKSNGHVFAVKSVDRKIGLPGQIAALENEIKILRRLVSPHVVNFLGDDITCEATTSYRNLHLEYMPGGTVADMDYADVDELLVRRYAWCLVHALRHVHELGVVHCDVKGSNVLLAGDGSVAKLADFGSAVEFDHLAKVVPRGSPMWMAPEVIRREYQGPESDVWSLGCTVIEMITGKPPWKDNGVDTLRQIGFSGEVPEFPIALSELGRDFLEKCLRREIRERWSCDQLLQHPFLVIESPNKVTESSPRCVMDWFDSEFTESEDEEIEMDSENSAKGRIGKLATELRANWETEGWVDVRAELSFEAEASTTTTTGGCEFEEEEIGLNWEFENVVRAEEEMEVGRSLEYSDSGIFGTAKNERVKREIRRNGRLVWRCECDEWNRNRNRGSGCGCRLDELNHGI >OIW02326 pep chromosome:LupAngTanjil_v1.0:LG11:5626508:5629686:1 gene:TanjilG_11220 transcript:OIW02326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVLVNIKVQLDVVTGLQLVSVLEGVKEGVVKKAPSYEIATICKSLVAGGVSVQNPHNIKYIGTIQGLKYIWRTEGFCGLFKGNGTNCARIVPNSALKFFNYEQASKCALAQCLEDARLTPLLRLGAGACAGIIAMSATYPMDVVQGRITVQTEKSPYQYRGMFHALSTVLREEGPRALYKGWLPSVIGVMVGWNHAAPVLTGDGRGKVLLQHSGMVDAFRKPVRHEGFGALYKGLVPNSVKNVGGCGQAPCKIKSYSNLYGLTQDVIVIIPFFTG >OIW01393 pep chromosome:LupAngTanjil_v1.0:LG11:31684303:31690846:-1 gene:TanjilG_10823 transcript:OIW01393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKEVIEGFNFEQSHGKERVRVARVWKNQESGRYFVVEWRVSISLLSHCINSYIHDDNSDIVATDTMKNTVYAKAKECSEILSAENFAIELAKHFTSFYKQVTTAIVNIVEKPWERINVDGQPHEHGFKLGSEKHTTEAIVKKSGELRLTSGIEGLSLLKTTKSGFEGFIRDKYTALPETRERMLATEVTAQWRYSYESLQSVPQKPLYFTEKYLDVKKVLIDTFFGPPNVGVYSPSVQSTLYQMAKATLNRVPDISSIQLKMPNIHFLPANISNKEGHIANNFIVAPRLNKGRSCARGSHFVESEEGRCTQAYLKSEETVLGFEPMTSRSHRNQPYCSVEAHPLKFMRKYDVHATIT >OIW02929 pep chromosome:LupAngTanjil_v1.0:LG11:155714:156853:-1 gene:TanjilG_29705 transcript:OIW02929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVCCTWREVSRSDHLWQRLTRQIWRRTHRLRATWHHEFIYWHRTARNFTTGRHSFTIPHFDPSGAGDHRQTLICRCLALSDRHLACGFADGTIRLFDLETWSHVSTFRSMHGHRFGPFSRSISGIVITNNSVLTFGRLDGDIYVAVLNEPGLARRAVVGDVVNNGVLVEFAGSARRWVGLFAGVPGRAFQIWDSQTEQVISVGGSLTDPDALMGWHMLTELVESVGRVRVSECELVVACTTSRLVCFNIMNPELLLRDVGSTSGFVVTSLDVTHEAFVVIERNGIGTVRRTGSFERVSRFRVRGSWTRGLLGCIWLGFVVTYSGGGGVMRVWNIEQPVGMLCCTMAIRLGEGNSMVANQRHVAISWNDTSIHLLDFGV >OIW01975 pep chromosome:LupAngTanjil_v1.0:LG11:11653062:11655436:-1 gene:TanjilG_14064 transcript:OIW01975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYFPDEVIEHIFDSVVSHHDRNALSLVCKSWYRIERFTRKSVFIGNCYSISPERLIQRFPDLKSLILKGKPHFADFSLVPHGWGGFLYPWIEALAKSKVGLEELRLKRMVVSDESLELLSRSFRNFKSLVLVSCEGFSTDGLAAVAANCRYLRELDLQENEVEDHKGQWLSCFPESCTSLVSLNFACLKGEVNPGALERLVARSPNLRSLRLNRSVPPVTLTKIMMAAPQLVDLGIASFVHDTDLFIFIDMKDAILKYCKSITSLSGFMEVAPHCLSAIYSVCPNLTSLNLSYATGIQGNELIQLIRHCGKLQRLWIMDCIGDRGLSVVGKTCKDLQELRVFPSVAYGNLGAVTEKGLVAISKGCPKLHSLLYFCHQMTNAALITVAKNCPNFIRFRLCILDATKPDPDTQQPLDEGFGAIVQSCRCLRRLSLSGQLTDRVFLYIGMYAEQLEMLSIAFAGESDKGMLYVLNGCKKLRKLEIRDSPFGNAALLMDIGKYETMRSLWMSSCEVTVGACKILAKKMPRLNVEIFNENEQADCNVEDGQRVEKMYLYRTLAGKRKDAPEYVWTL >OIW01920 pep chromosome:LupAngTanjil_v1.0:LG11:14131360:14133687:-1 gene:TanjilG_15245 transcript:OIW01920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYPCSNKALGCFLLFSVIVSSISTISYAHFRFPHEEGSYSRKHFAFGDVTDQFVDDGSFAPQPKPETFGVYPPTTLPQFADVAPQPEPETFGADPPRVGNDFNETKIVSNERLKNAYVALQALKESIYSDPFNTTGNWVGEDVCSYNGVFCAEALDDPKLNVVAGIDLNDADIAGHLPEELGLLKDIALFHINSNRFCGIIPNSFENLTLMHEFDVSNNRFVGIFPSVVLKWKNLKYLDIRYNDFEGCLPQELFDKDLDAIFLNNNRFTCAIPETLGKSNASVVVFANNNFTGCIPKSIGNMKNLNEVIFLGNDLGGCFPQEIGFLENLNLLDVSSNGFVGTLPNLASLKNVEVIDIAHNKLSGYVSNNICQLPLLSNFTFSNNYFNGEAQTCLASSKSNIAFDDSKNCLPGRKNQKKYKDCLPVLTRPIDCSKQCGGGNGANFPSTPKPTPSSPTPKAEPPPTPETELIPTPKIESPPAPKANPSPFLPPVEDIPPSLSPVSPPPIIVQTPPTYVLSPPPPVQSPSPSVQSPLPPVQSPPPVVQSPLPPVQSPPPPVHSPPPPVHSPPPPVHSPPPPVHSPPPPVNSPPPPVHSPLPPVHSPPPPVHSPTPSVLSPPPTIHSPSPPVHSPTPSVLSPPPTVHSPPPPVHSPPPPPPVHSPPPPVSSPPPPVYSPPPPVHSPPLIVSSPPPPVHSPPPPLLSSPPPPVHSPPPPVHSPPPPTSVYSPPPPVHSSPPPVHTPTPPSVPTWDDDIILPPIFGSEYRSPPPPSIVGY >OIW02520 pep chromosome:LupAngTanjil_v1.0:LG11:3058183:3061570:1 gene:TanjilG_12834 transcript:OIW02520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLHSNNMQPESSKLEQIVYQFLLKSLHIILDSRVPSLRPHDRSGELSTGSRARKSDKWFNLVLGDRPSALDNLNFWHKNLMDPMIIDIILVHEGTGSSVETVIERWVVQYECPRVMAPQTGDITGSYKKTYKKSIVLFRTLYSQMRLLPAYRIFRQLGTSSHTCNFDIIYKVSSFSDPFSRAEGGTLEEHTFLPVEAPPGRLCISVTYRTTLCAYNLQCSPALRPKIITDYVGSPNTDPLRSFRNSEKGFHPTSFPLRGVEPPSSAPFGRPHSWTSGFHKAAPFVQNQPYVGSPPVYRGSPKPYGFPSPPTDNYGVRVQNYRQRSTGYDEHLSPPFSSSPSPSPPTHLSSGIPMQTRIRSESAPVTIPLPMMGKSSRNLSPNFSDPSRNPLPPLSPRRIDSSSHESSSGVRSFRKVEASRIGEAHHGMTNYAGLKIARDNKDDSGRFSGLLSSSDSPRIGFSRSSSRLSFQDDLDDGDFTCPFDVDDVDIPVAQPSHNLDGKSASEATSSLPIGRKSQDAAVGVLVHMLRTAPPLRQDSSCYSSHSPKTRFDGGVATASGFFMARKTADALEELQSYKEMRDLLLSKSGNRILNKDEA >OIW01402 pep chromosome:LupAngTanjil_v1.0:LG11:31218158:31218472:1 gene:TanjilG_25698 transcript:OIW01402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLACLVCHSVDSPSPSHSFRSYSVSSAENQGRCHAITACLNKKVSLPPPTINSSIASSSSKVTPQPCISSNGGIPGAPRLVRSRAVTRDRVRNWNFDEVVMEP >OIW02359 pep chromosome:LupAngTanjil_v1.0:LG11:4905177:4906817:-1 gene:TanjilG_08506 transcript:OIW02359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFLQMLQSVESTPHIFPFKEPSFRTILSLQNMKKQQPWESTAYIPIMETQVQAAMELESCVTHDILEMQSPMKSESNELQQHTPSCVEKMMSYDECNHVIIANANTQSCHKTQIATREKRKRKRTRPTKNKEDVENQRMTHIAVERNRRRQMNDHLSVLRSLVPPSYIQRGDQASIIGGAIDFVKELEQLLQSLEAQKMVRKNEESGSGNGSYSSGLCKPAGSLSSEEAKFGDEVRAEMKSNLGHIEVTLIQTHVNLKIECQRRHGQLIKAILALEDLRLTILHLNITSTVTSVLYSFNLKIEEECKLSSANDIAEAVHQIFNFINGN >OIW01517 pep chromosome:LupAngTanjil_v1.0:LG11:27706536:27711084:1 gene:TanjilG_19443 transcript:OIW01517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQIKKLTEQKNLKESGLCSMALESEKVVQEFTYSDSDKRKKLDGFTLFFNEGAKDMIEANLGKRDLKSLESESKYDLRKSLAWDSAFSTSPGFLEPEELFNTSNSGHSQYGCDILGHEVHQLLSAKYPEPETKSVIDEVNLRKSLAWDSAFSTCEGVLNPEELSLVNKGFKKSEIHILPRTEELWMSYESNCTIDSDGSSLASLEVELFADIRASIPHLATKKVDEVPKLKKFSAESAVSDTYSLLKPPKIVGRRRNIPLAPTKRVSLVSNDVIGERKIIQATDGKCLIVKPNPGKTCSDNRSSSQASEISSLIPRSSTNESKVLHSPYRQFYTRGSPLKSSAIKNDLNLNSTACNCTSTLTPPSECRITDQITPDNCDHKCHGSMTCTDSDATAQSGLEVQRRGQSSVLYDDKGSMCRNPCTEIKGSKFVSSDTSRNVKPSGLRMLPPKLGFFDDDSLMPIENGGGKLHSGMQNAVSKVGFCSRSLNMYNRLRPGKIQNTQTMKDIKTTNIFHQQTKRSITSRTKKSTSSLAPVSKAQSEPHCVEKSKDYLRNDLHILHEDEKENIIDFESNLKYLHEQVRTLGVSGDVVIEFDAKNGSS >OIW02149 pep chromosome:LupAngTanjil_v1.0:LG11:7905591:7906703:1 gene:TanjilG_02373 transcript:OIW02149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFTHATVLFHAHIKSKHKTTSTTNNNNNPLPLNQTPFSAELQSNVVTNRRKLMSTFVATSVAVLGVQGTPLAVAQNWGTRSFLREHFFEPGLSPEDAVARIKQTAEGLHSIRDMLETMSWRYVMFYIRLKQAYLDQDLKNALSTLPENRRKEYVITANELVDNMAEFDRYVRSPKVYESYLYYEKTLKSIDELVAMLA >OIW01265 pep chromosome:LupAngTanjil_v1.0:LG11:34489071:34491746:-1 gene:TanjilG_10426 transcript:OIW01265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIELMEGSSINKRKKMKQHQQTYNKYIFKVPKQTHHDLGISSKAMEIMNNFINYMFNKLALESSQLALEKNPYQCNQSNFDVSTILTQASKSILSPHKKTKKTRFEYGEDVEYIELKKGSSINKRKKMKQHQQTYNKYIFKVLKQSHHDLGISSKDMEKMNNFINDMFDKLAHESSPLALYYKKTSITDRDIQTAVRLVLPDELAKNVVFEGAKAVTNFTIM >OIW02424 pep chromosome:LupAngTanjil_v1.0:LG11:3901184:3902314:1 gene:TanjilG_05017 transcript:OIW02424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVNGGRGHSQRWYQQCFVPINAESSDDSDTESVIIPNTSTTTNATWEEEFLPPPLSPPPPPIPPPSPPPIPPPPPPTLPTDNRWQVSFPPLPMTLSPPPPPPPLPTDSPWDVFIAPSLMTLSSPPLPTDSQWDAFFAPSPMTLSPPTSPSQFSPGLSPPPRLLSSPPPPPISTNPWEEHFSSSSLLPLPPPPSLQPWGPLRLSSTSMLRSNEALFSNESSQHDTHDHPLISKVKFSELDEKDKVPNCPICMEEFKDDDEASKLPCNHTFCYKCILRWINNKKTCPVCRLQLDDCKGDDSFDQEPHQIPEPVIENHIQNSWNYFFPSGSRVSESSEGGNSDEGGNSDEGDFESACEELGDSNANGDIVGTSQSGHA >OIW02259 pep chromosome:LupAngTanjil_v1.0:LG11:6390204:6405880:1 gene:TanjilG_15142 transcript:OIW02259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKQLIVAVESTAAMAPYWDTLLVGYLDKIIRCFAGNNSTGQKSSASNIEFALVTYNTHGCYSGCLVQRTGWTRDPDVFFRWLSTIPFTGGGFNDAAIAEGLAEALMMFQNSQCGDPNQQNLDMQSHCILVSASNPYPLQTPFYIPLLQNLKQIESIDSDPNSCLYDAEAVAKAYPLLSVSLSVICPKQLPKVKAIYDAGKCNSGAADPAVDAKNSTFLILISESFKEARAALSRSGIASLPSNQSPVKVDSVSATSVIGAPPTSMSSGNVSIANWQPISAGNVAPATVKVEPLPVSSMIAGPAFPHSSSVSCATSTNQGVPSLQTSSPSSASQDNFTSNENVQEPIVSIVQPIRPVNPALANVNILNNLSQVRQVMNYAALSGGTSMGFQSMGQSPVAMHMSNMISSRMTSSVPVTQNVFSSGQSGITSITSSRTLTGPLQAGQNTDIGSLTPASSNLSGSSNIGISQPLYNLQGDVSMGQHVQGMSQGNLSGARTVKNGVNMNQNVMNGLGPSDASSRNGTMIPTPGVSQQAQLGLQPLVNNTANMTLPQQGPGSMQSTQQSKYVKVWEGSLSGLRQGQPVFITKIEGYRNYSASESQYVEKADFLVFRAMNPHGFLSQLHEKKLCAVIQLPSQTLLLSVSDKECRLIGMLLPGEVQSDQKWVDNGLPRNAKWWYSTFHTVTAMIGAGVLSLPYAMAYLGWGPGTLMLLLSWCLTLNTMWQMIQLHECVPGTRFDRYIDLGRHAFGPKLGPWIVLPQQLIVQVGCDIVYMVTGGKCLKKFMEIACTNCTPIKQSYWILMFGAIHFFLSQLPNFNSVAGVSLAAAVMSLCYSTISWGACLARGRVDNVSYAYKKTTTSDLMFRVFNALGDISFAFAGHAVVLEIQATIPSTPQKPSKVSMWKGAVGAYFINAICYFPVALIGYWTFGRDVPDNVLLALEKPAWLIASANLMVFVHVVGSYQVYAMPVFDLIEKMMIKRLNFPTGLALRLVARTSYVAFTLFVGVTFPFFGDLLGFFGGFGFAPTSYFLPSVMWLIIKKPKRFSINWFINWASISIGVCIMLASTVGGLRNIVTDASTYSFYT >OIW02234 pep chromosome:LupAngTanjil_v1.0:LG11:6661260:6662567:1 gene:TanjilG_23942 transcript:OIW02234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDIISTSSVQVESDVFGDSSSPKIIHLTPWDLTCMAFETDNKGLLFNNPINVEHQIQHLKQSLSSTLAFFPPLAGRLVIVPHDEDNTVSSHILCNNAGALFVHAVVSDNTCVSDILHSKYVPSILHSFFPLSGAKNYQGTSHPLLAVQITELVDGIFIGISINHLVADGTSLWHFINSWAEISRGCDVVSKLPLLEHWFLHPNRCPIRFPFNEEDQTENSEDCTNYERVFHFTKEKIAEIKSKANEEAGTDKISSLQALLTHLWRTVIRNQQLDPEKECHYFLVIGVRERIVPPLPDSYFGNALVIDGIRMKAGELLLEGGLGKGALEMHKMIASYSDEKLKILYESWVRPQSISEAGGLSNMLGTSSSPRFNVYGNDFGWGKPVAVRNGMPMNRVSTLFAGAEEGSIDIMVCLPYEVLEAIGNDPHFMDPFSI >OIW01820 pep chromosome:LupAngTanjil_v1.0:LG11:17430301:17437087:-1 gene:TanjilG_28883 transcript:OIW01820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSRNHWGGSFELSTDNLAEASGGHHDNSHAGEWDRAALLDHGGGHSQQQRFDLDETLQSWVLERAAEKKKKKYVDFGCIMVSHKALKWIIGSILVAFCVIALPIIISKSLPKHHSRTTSPDNYTIALHKALLFFNAQKSGILPKSNGIPWRKNSGLNDGNDTDVKGGLVGGYYDAGDNIKFHFPMAYAMTMLSWSVLEYKQKYMAVDEYEHARDLIKWGADYLLLTFNSSATKINKIYAQVGGGLNGSTTPDDHYCWQRPEDMTYERSTITIFKGPDLAGEMAAALASASIVFQDDITYSTTLIKGATTLFAFARDSGKRSTYSHGDPNIQPYYNSTGYYDEYMWGAAWLYYATGNSSYISLATNPSIPKNAKAFRMIPDFSVLSWNNKLPAAMLLLTRFRMFLNPGYPYEDMLKMYHNVTSLTMCSYLHQFNVFNFTKGGLIQLNHGKPQDLQFVANAAFLASLFADYMESAGVPGWYCGPNYFPISVLKAFATSQIDYIMGKNPMNMSYIVGYGNKFPRHVHHRGASTPNDNTHYSCTGGWKWRDSPNGNPNNITGAMVGGPDRFDQFHDSRSNYNFTEPTMAGNAGLVAALISLTNNIGSGIDKNTIFSKVPPLGPQNPPPPPPWKP >OIW01683 pep chromosome:LupAngTanjil_v1.0:LG11:20355357:20361362:-1 gene:TanjilG_19791 transcript:OIW01683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLRDIGASLPPGFRFYPSDEELVLHYLYKKITNEEAMKGTLVEIDLHTCEPWQLTEVAKLNATEWYFFSFRDRKYATGFRTNRATTSGYWKATGKDRTVLDSTTCEIVGMRKTLVFYRNRAPNGIKSGWIMHEFRLETPHMPPKEDWVLCRVFHKGKEDNNAKHSPQLMFDSTTPSLTLVSSPSTNQTIPVGYNNLAHFSSSSPNNLNHHHPNLNQNNSLMNILNYSRDTNTNCSTVTQNTPKGDDGYEFLWDIDLEENSFQDGVASNLDGMRFEVDNNSIVLL >OIW02483 pep chromosome:LupAngTanjil_v1.0:LG11:4541934:4544864:-1 gene:TanjilG_05076 transcript:OIW02483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRFRRLFDASVNATKRALSGNFDDLMPPPERFIYNFNSKKELNKWHLYSDSELGGLSTASLEITESENGPTTGIFSGNLSLDVDEGSKWNISRGGFCGMRSKKFNAFIDLDSYDTIAMKIKGDGRCYISTIYTENWVNSPAQMEDNSWQAFVYVPKDNWYIAKIPLSRYLPTWRGNVIDAEIEMNPSRILGMSLSVNAEGGVPGAITGPGDFRVELDWIKALRHNEL >OIW02428 pep chromosome:LupAngTanjil_v1.0:LG11:3936368:3956700:1 gene:TanjilG_05021 transcript:OIW02428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSGKMFNMAKPYLLTIALQFGMAGTYIFSMASLNHGMSRYVFVVYRNAIAAISLAPFALIFERPVVDQGFTFLGMQYTSASFASAIMNAVPSVTFVLAIIFRIERIKIMQFRSQVKVIGTLVSFGGAMLMTLYKGPEIHMFHSETIHHQHDSHSLQNHKNWVIGTLFILLGCVAWSSFYILQSITVKKYPAELSLSALICLMGALQSAVVALVADHRPQSWVIGWDFRLFGPLYTGIVSSGISYYVQGLVMQSRGPVFLTAFNPLCMIIVSALGSFFLGEHLHLGRMESMRIKQLGCQAKVIGTVVSLGGALLMAMYKGPLVNIMKSSTNHVGQPGNVNDPGADHWVMGACFLLIGCAGFSCFYILQGIVTSAIQFYVQGKVIKTTGPVFVTAFNPLRMIIVTALACILLAEKLYLGSIIGGVVVVMGLYLVVWGKSKEQKKHLIPQSPEKEINLQLPVTVPINESNNDNKTQFVADKNNDIEA >OIW02345 pep chromosome:LupAngTanjil_v1.0:LG11:5790871:5792457:1 gene:TanjilG_11239 transcript:OIW02345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLKSASKTFKAKSAFGEFIYRELSAVAGAVTITCLMVANLVGFVVGPRGINWLLSSFINKEGLSTSFFLLHFS >OIW01483 pep chromosome:LupAngTanjil_v1.0:LG11:26845849:26846172:-1 gene:TanjilG_19409 transcript:OIW01483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPQEIDNLNQLESIDLSSNHLSGEIPQSISGLSILGNLNLSYNNFMGKIPSGIQLQSFTNLSYIGNPNLCGPPLTKKCSQVDKSNDIKPKGEDNNDEDKSEVHWWS >OIW02303 pep chromosome:LupAngTanjil_v1.0:LG11:5355023:5355556:-1 gene:TanjilG_11197 transcript:OIW02303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQQQQPLNAATDDDVTTTTTTKPDNSSGGGTRHPVYRGVRKRRWGKWVSEIREPRKKSRIWLGSFPEPEMAAKAYDVAAYCLKGRKAQLNFPDEVHHLPPLPPASACTARDIQAAAAKAAHMMIKAAGSSEKSGIASSSDGNGDGGGIDDFWGEIELPELMNSKWWTFSGDITACL >OIW02801 pep chromosome:LupAngTanjil_v1.0:LG11:985342:1008209:-1 gene:TanjilG_29577 transcript:OIW02801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSSSSSSSSSSLPAKSDAEIEELLDRMLTRLALSDDSKLQLLLSKLLPLSISSLSSQSLQVRNKVLEILSHVNKRVKLQPDIGLPLSELWKLYSESGAAPMIRNFCIVYIEMAFQRVSAKEKEELAPVLLVNISKLPLQHQEIILRIVVKVIGECHSKQIGDEVAAKYQKVNNAQDRNLFIEFCLHTMLYQRVSQSGGCPPGLSVAQSNRVAGKQQLQRDDLLLRKLGILNVLQALELDPELVYPLYIVASVDCEEPVVKRGEELLKKKASGANLDDLNLINRLFSLFNGTFGVENGNSESKVSPGSPTLKAKLMSIFCRSIAAANSFPSTLQCIFGCIYGNGTTSRLKQLGMEFTVWVFKHAKIEQLKLMGPVILSAIMKSLDNYSSLEADASAREVKTYAFQAIGLLAQRMPHLFREKVDMAARLFHALKAESQSLRFVVQEATISLAAAYKGAPFAVSEDLVTLLLKNSQVDESEVRFCAVRWTTSLFDFQHCPSRYICMLGAADAKLDIREMALEGLCLLRSESQNVGLNYPKLGMMLDYILKQQPKLLESNEIREQNLLFPSETYVAMIKFLLKCFVSELEQNKSLEGSSEFLSSVKTFCSLLEHSMSFEGSVELHVNASKALLVIGSRIPEVVASHYALKVSWLKQLLSHVDWDTRESIARLLGIVSSALPIPTSSAVITELISLFRQTHKPRFESQHGALCAIGYVTADILSRPPSMPEIVLQSTLRCLVDVVNSETSALAAVAMQALGHIGLRVSLPPLDDSNSDGILIILCDKLSKLLSGDDIKAIPKIVISIGHICVNETSSTQLDVALKLIFSLCRSKVEDVLFAAGEALSFLWGGVPVTADIILKTDYTSLSTASNFLMGDLDSSVSNQSPTEQSKYSEDYHVSARDAIVKKLFDALLYSSRKEERCAGTVWLVSLTKYCGHHPTIQRMLPEIQEAFSHLLGEQNELTQELASQGMSIVYDLGDESMKQNLVNALVSTLTGSGKRKRAIKLVEDTEVFQDGALGESVSGGKLNTYKELCSLANEMGQPDLIYKFMDLANYQASMNSKRGAAFGFSKIAKQAGEALKPHLRSLIPRLVRYQYDPDKNVQDAMVHIWKSLVADSKKTIDENLDLIIDDLLVQCGSRLWRSREASCLALADIIQGRKFYEVEKHLKRLWSTAFRAMDDIKETVRTSGEKLSRTVISLTTRLCDVSLTDMSDAHKAMDIVLPFLLAEGILSKVDSVRKASIGVVMKLTKHAGTAIRPHLSDLVCCMLESLSSLEDQGLNYVELHAANVGIQSDKLESLRVSIAKGSPMWETLDSCIKVVDAESLDKLIPRLAYLVRSGVGLNTRVGVANFITLLLERVGVDIKPYANMLVKLLFQVVKEEKSTTAKRAFASACAKVLNYIAAAQAQGLIEDTAALHAGDRNSQIACALLLKNYSSVAGDVVGGYQAVIIPVVFLSRFEDDKNVSSLFEELWEEYTSGERVTLHLYIGEIVSLICDSLSSSSWASKKKSAQAICKLSEVLGESLSSHHGVLLQSLMKEIPGRLWEGKDVLLLALGALSKSCHNAISAEGSASSIAILNLVSSACTRKGKKYREAAFSSLEQVIEAFGNPEFFNMVFPLLSELGNSELLKSGQAPLVSDATKSESDSVEEIFVPHNKVVDCLTSCIHVAHINDILEKQNALIQIYAAFLSPEHKWTVKTTTFLSIKELCSRLNSVVKDSQGSDELASVASLVQEMLHSISPKILHCISTVKIAQVHVSASECLLEVLKLAIDVPSVSVINEGFKDELVHQCEIEKNQEAKSLLRKCVTILQDWKR >OIW01221 pep chromosome:LupAngTanjil_v1.0:LG11:33769016:33772031:-1 gene:TanjilG_10382 transcript:OIW01221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATDKSVMAVIRAGRPTFRNQNDKIAFAVHASFLASGYILTATGPQALNDTAFYNSSNDEVSIDQWNELNDEYAFIYANPEKGLKKVLLKCVVMNENLIVDGLAEGSSEPAHFVINVEEYAGEDGGNNYSQQFKNLEKLVKSIDAEILSKLDGSTAKASSSSNRSSETNDRTRQGIYEPGAGFGGLVAPPNHPSGIILPPVPSGSGSDLFPGPGAGMYPTRGDPGFGGSMLFGPNDPRWLGGGGPAFHGGQPGVPPGARFDPYGPPGVPGFEPNRFARS >OIW02686 pep chromosome:LupAngTanjil_v1.0:LG11:1842813:1843091:-1 gene:TanjilG_29462 transcript:OIW02686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAMSTCNNNKESATSYFDEKWKFSKKDGSSRSRFSRSSTGTTFIKNSSTTQKKFVFARKCARLVKEQRARFYIMRRCVTMLICWRDYSDS >OIW02168 pep chromosome:LupAngTanjil_v1.0:LG11:7694641:7696143:-1 gene:TanjilG_02392 transcript:OIW02168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHLKQAHAQVFITGLDTNTFALSRVLAFCSHPHKGSLTYACKVFDQIQNPTICICNTIFKAFLLNGKFTNTIHLFTKVVQNGLSPDNYTTPYVLKACAALQSCSLGKMIHGYSSKLGLVFDIYVGNSLMTMYSVCGNMVDARQVFDEIPSLSVVSWTVMISGYAKVGDVNSARFFFDEAPEKDRGIWGAMISGYVQNNCFKEGLYMFHLMQLTDIVPDESILVSIFSACAHLGALDIGIWIHRYLNQARIPLSVRLSTSILDMYAKCGHLKLAKALFDLMPERDIVCWNAMISGMAMHGNGTSALKLFSDMEKAGIEPDDITFIAVFTACSHSGMAYEGLQLLEKMCSVYKIEPKSEHYGCIVDLLSRASLFEEAMAVIRRITSSSNGSEETLAWRAFLSACCNHGQAQLAEFAAERLLQLENHSGVYVLLSNLYAASEKHSDARRVRDMMKNKGADKTPGCSSVEIDGVVNEFIAGEKVHPLMEDIYSVLEKMHMQLD >OIW02378 pep chromosome:LupAngTanjil_v1.0:LG11:3436103:3437062:1 gene:TanjilG_04971 transcript:OIW02378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLSYSSLLFSLFLTISLLHSSSEARKLSESEQQLAVFKYHKGPLLTGKISINLIWYGKFKPSQRAIISDFITSLSSSPSKPSSQPSVATWWKTIEKYYHLTTSKNSKSQNLALSLGAQIIDENYSLGKSLTDNQIVKLASKGAQKDAINVVLTSVDVTVDGFCSSKCGNHGSSSGARINGKRYKFAYIWVGNSERQCPGQCAWPFHQPIYGPQNPALVAPNNDVGLDGMVINVASLLAGTVTNPFGNGYFEGPKEAPLEAASACTGVYGKGAYPGYAGNLLVDPTTGASYNANGVNGRKYLVPALVDPKTSACATLV >OIW01154 pep chromosome:LupAngTanjil_v1.0:LG11:35310045:35313763:1 gene:TanjilG_17711 transcript:OIW01154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFVLLAIKSGCDYLFKLLLIGDSGVGKSCLLLRFADDSYIDSYISTIGVDFKIRTVEQDEKTIKLQIWDTAGQERFRTITSSYYRGAHGIIIVYDVTDEESFNNVKQWLSEIDRYASDSVNKLLVGNKCDLKENRAVPYETAKAFADEIGIPFLETSAKDATNVEQAFMAMTASIKSRMASQPANNARPPTVQIRGQPVTQSSGCCSS >OIW01490 pep chromosome:LupAngTanjil_v1.0:LG11:27097683:27100204:-1 gene:TanjilG_19416 transcript:OIW01490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVFCVSDFVDRNRKLFSGLNALFLSLVPLTQVSRSRSLLLMVKPTVFLVAIGLGTLLHLTLLVFNSTAVQTLSTISGGSKSIFSRKENAIALILVASQKTLPVMVAVIEPLGGAFGESGLLILPCVAAHLNQIIFDSFLVNFLRPKDNSNNVKVA >OIW01727 pep chromosome:LupAngTanjil_v1.0:LG11:19158477:19159286:-1 gene:TanjilG_03865 transcript:OIW01727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIERLMIQFLRAILVVVRGRYALRKGLIPYRWDERISLIIPKKSYLCNRLVPLMGGSMAYSNVNPSFSLLLCAFLSSLVFFMLVSAAPTSLMLKRAFPNHGMELREMDMQRHGRMLQSSVVNLPVNDTIQARHCIERQNCCL >OIW02399 pep chromosome:LupAngTanjil_v1.0:LG11:3691395:3693948:-1 gene:TanjilG_04992 transcript:OIW02399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERIFEIVNANVEDAQTFFDSTNTASLSNIFNQLGLPYNGNFEDLTENNNQAHTTESATNENPVLPPLILDDPNPLPGSPNSSDPLANEAEQDYSSSMVALFSEGAAYQGQNENSQMKIQNSLYDPTLGNSGST >OIW01783 pep chromosome:LupAngTanjil_v1.0:LG11:18192572:18194323:1 gene:TanjilG_03921 transcript:OIW01783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAGAWIVKIGNQVSTNLKQVLFLEPSTKEKQNGKMQDKNVMIGILSFEVANVMSKTVHLHKSLSDSEISKLRNEILKSECIKNLISSDEAYLLELALAEKLEELNRVASVVSRLGKKCSVPALEGFEHVYGDMVSGVIDVKELGFLVKHMDGMVRKMDRYVSATRSLYSEMGVLNELERAEKKFQNNQNEESRRAFELKLKWQRQDVKDLKEISLWNQTFDKVVELLARTVCTIYARIAVIFGDSALRKSSVGLGGGSPPMQNECGLVSGQINVPMSSEKLRRNRSKRNGCHSGSIGRTAVQRRGNIGELAYLQPEDFGFPCGTSPGRLFMECLSLSSSVSKFDDEDYVINFEDRYSHVSGYAVVNNVKKKEHLYHSGGLNHAASGIPFTGDLRQVKSSVQSCSTFGPKSRLALYAPPSTLGGCALALHYANVIIVIEKICCYPHLVGEEARDDLYQMLPTSLRVSLKAKLKTYVKNLAIYDAPLAHGWKVTLDGILRWLAPLAHNMIRWQGERNFEQHQIVSRTNVLLLQTLYFADKEKTEDAICELLIGLNYLCRYEQQQNALLDCASSFDFEDCMEWQM >OIW02019 pep chromosome:LupAngTanjil_v1.0:LG11:10562458:10565531:-1 gene:TanjilG_11612 transcript:OIW02019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCPSSFECGILGNMHFPFTNTTHSECGLVIKGCDNHTADKEISLENQWCKIERILQEKYEYNLVFIRYPMFEGFSSLCTAFNYNVSDIIVPHDSPMMSLQILNTFKFIRCNRNRYDANENIPVYHRCSDYDIYHGHEFYYFYEHEHLDGCSAIQLPVALPPIFVDPLQITLSSELVVEVTLSEPCFDCYHVRRGQCRADKGNISCVNTNDVRQQQQPNFATGISIGLAIMIIIALFVILFMHKRRYNKSSCNQNQPRNINSAPSSNIITETGTVIYFGIPVFSYEELQEATNNFDQARELGEGGFGTVYHGKLRDRREVAVKRLYEHNYRPVESFINEIQILTRLHHTNLVSLYGCTSRHSHELLLVYEYVPNGTLDSHLHGDKAKPGLMPWHVRMKIAIETASALCYLHASDIIHRDVKTSNILLENNFCVKVADFGLSRLFPIDVTHVSTAPRGTPGYVDPDYHICYQLTSKSDVYSFGVVLVELISSLPAVDMNREREEVKLANLAVKKFRRKAFSELVDPSLGFHSDENLERMITSVAELAFQCLQRDKDLRPSMGEVLEVLQRIESSNDVPGNLEGIDVHCAETSCSYALPNTLMKPQRLHQTL >OIW02194 pep chromosome:LupAngTanjil_v1.0:LG11:7332023:7332440:-1 gene:TanjilG_21847 transcript:OIW02194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMGSLLEDFESMRAGKVLLHHVNEVIVVMNGAWWILHQKCYAIFNAAVVISVEGGELGSEFLIALNAENCEITTETTFALSG >OIW02170 pep chromosome:LupAngTanjil_v1.0:LG11:7670892:7673286:1 gene:TanjilG_02394 transcript:OIW02170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGFRKAPPSKPASGSDNESEKMTTLTPDRRTASEPVVLPKSKGNYFDDDDDDDDWGRKPSSTASSSVSSKDRYKNGFRDSGGLENQSVQELENYAVYKSEETTNSVNNCLRIAENIREDATKTIDMLHQQGEQITRTHNMIVETEKDLSKGEKLLNNLGGMFSMPWKPKKTREIQGPVITADKASKKNIGSKEDREKLGLAPLPKGRSAPTTPPNESANAYQKIDVEKAKQDDALTDLSDILGDLKGMAVNMGSELDRQNKALDHLDADVDEMNSRVKGANQRARKLVGLPESTTPKGGSSLLKAAPVWSDRLAADWFATSEEAKERGEVE >OIW01609 pep chromosome:LupAngTanjil_v1.0:LG11:23142968:23146530:-1 gene:TanjilG_10918 transcript:OIW01609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGKVQVDESYVPVLKDDEMEDEHLLEEHRNGHISMDGSLDSHTTLNGMDDLGLEVLVLSMFGTSSYYWDDVEARVLDGILDDVEINDLDGIDVFSGACDEYFLDCDFVNDPEVLGYGLSKGSLLQNSSSESHSSGFSGSSIVGGVSESTKVPSAQPECKNYSPDGTTTSESSDALRNNPSQPSNGDCMYIISLDMKHLHELNNDHPLAGGILSFENEKDAAEEPQPTALREKRFRKPTKRYIEESSNLRSKEKVPTAATKNKNLSLSSCDELNVRPKALGKIPDEKYRNENSDVTLSALKVCRGHPKKKKLENDKEPLSSESEDEPKRKDRRKSQKMWTLPEVKKLVDGISEYGIGRWTNIKRFFFSSSSYRTPTDIRDKWRNLLRASSAQKSYDKEAEQNDELALRPLPLDVVHRVRELAKIHPYPRARGSKKSCVRSSLGKRNFQRKRCS >OIW02857 pep chromosome:LupAngTanjil_v1.0:LG11:595100:602752:1 gene:TanjilG_29633 transcript:OIW02857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEGFPPFYQNPENEVPKAYVENERPPFKAPPKHYAYGLKQLIEECWDEKPSRRPTFRQIIEILEDTNYRLAPKGGLKVRGMDMSDAIIVKSSRLKSVVWNDFDRIKKGDTCVAVCRHCKKKLSGSSTSGTSHLRNHLIRCQRRSNHGIAQYVTARDKRKEGTVTIANFNLDIDQKKDDSLSLVNIKFEQEQMKEETVNTGNSNFDQRRSRFDLARMIILHGYPLAMVEHVGFRVFVKNLQPLFELVTLNRVEADCMEIYEKEKQKMNEMLDRLPGKISLGVDVWTATCGSEYLCLTANYIDESWLLRRRVLNFIIIDPLHTDDKLSETIMSCLMDWDIDRKLFSIVLDSSTSDSITLRVTERLSENRFLYCNGQLFDIRCASNVLSVLVQHALEAVSEIINRVRESIHYIKSSQRVHEKFNVMAKEVGITSQKCLSIDNPLQWNSTYSMLEAALEFRDVFMLLQENDSGYTINLSDVEWERVTAVTSYLKLFVEVTSVFTRSKYPTANIYFPELCDVKLHLIEWCKNSDEYISSLALRMRSKFDEYWEKCSLGLAVAAMLDPRFKMKLVEYYYPQIYGSTSAGRINEVLEGVKALYNEHSIRFPLDFDDQGLAWQVGNGPLCLPSSVKDSRDRLMGFDKFLNETSQGEGGKSDLDKYLEEPLFPRNVDFSISNWWKVHTPRYPVLSMMARNVLGIPMSKVPQELAFNNSGRVLDRDWSSLNPVTVQALVCSQDWIRSELEN >OIW02902 pep chromosome:LupAngTanjil_v1.0:LG11:300024:307071:1 gene:TanjilG_29678 transcript:OIW02902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQNSNNAKTSKSGFLSTISKSLSSNSNRKSSFTSFSTNENSPPTHPNIQINEQLTQPEHPLQFDPSVVVRIRPSNTGEREGNQTVKKVSSGTLCVGDRQFTFDSVFDSNSNQEQQMSEGKQFNYQCRCCFLEIYNEQIGDLLDPTQRNLEMKDGSKHALCIDNLTEEYVTSYDDVTQILIKVGTREVSTQVVLKVEACLHNMYTLSAKMFDLCMLQGLSSRKVGATTLNSKSSRSHIIFTFVIESWCKGISSSGFSSSKTSRINLIDLAGLDRNKVDDAGRQHLRENINVKKSLSQLGHLVDTLTKKTQSGKAEQIPNGNSCLAHLLQESLGGNAKLSEQQMSEGKQFNYQCRCCFLEIYNEQIGDLLDPTQRNLEMKDGSKHALCIDNLTEEYVTSYDDVTQILIKVGTREVSTQVVLKVEACLHNMYTLSAKMFDLCMLQGLSSRKVGATTLNSKSSRSHIIFTFVIESWCKGISSSGFSSSKTSRINLIDLAGLDRNKVDDAGRQHLRENINVKKSLSQLGHLVDTLTKKTQSGKAEQIPNGNSCLAHLLQESLGGNAKLSVICSISPDNKNNGESLHTLRFGQRVRSIRNEPVINEIKEDDVNDLSDKIRLLKEELIRAKADGHSSISGINGYFQGHNVRESLNQLRVSLNRSLLLPHIDNTTDEEVHVDEEDIRQLRQQIDELYGSCEQNPKEISVSEDCVQYYSVEESCDTDKISDNEVEKEDVCSGEMLSGKLHHEDSVLSGNTLYTSVDDLESISSTSRAIKSTFRDSISVSSCYRSPILEEPPLSESPKIRSTKRKSQISFSSSCLGSWKNVAEEKVSSNQDILGQSFIQGDHLRSSLRSSKVLPGPAESLAASLQRGLQIIDYHQQNSALTKSSASFSFGRSTLIPCPEIDKDEKFSFDERNVNLLCESCRKKIPDQDSSEVQDSLKSSIDTVKVPKDLENVMEKAILREKELENVCKEQEARIEELNKLVESLKGEKELDSIIVYGQESSRQTKHEEEYNKSQKDEDKLQVGATSASHLTDIVEEKYEIKEVQEELRQGISSFDAAEKEELLKEIQNLRSKLQLCSEAPVKRSTDRLRSSLISRSIQLHKSGVFFHDNGGEELQKERQIWTEMESEWICLTDELRVDLDSIRQQAERVELELRLEKKCTEELDDALKRSVLGHARMVEHYADLQEKYNDLVAKHNAIMQGIAEVKKAAAKAGKKGRARFAKSLAAELSALRVEREREAKFLKKENVSLKIQLRDTAEAVHAAGELLVRLREAEHAASVAEENFTRVQQDNEKLRKQLEKLKRKHKMETITMKQYLAESKLPESALKQLYREESDVAVHSNADDDEAWRAEFGAIYQEHY >OIW01653 pep chromosome:LupAngTanjil_v1.0:LG11:21637418:21648230:-1 gene:TanjilG_18224 transcript:OIW01653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTGSRMEVVSGTQIFSAKVRKTIQSIKEIVGNHSDADIYVALKETNMDPNETTQKLLFQDPFHEVKRRRERKKEPQNQNVGNKSSAEWSEPRRHSESVGQGMKFHAPYERNFRRANYSRNTLPGNGREFRVVRDNRVNRIYKEVKPPSPQCSTSANEQSNANTSENGSAIAATNQSSSGVRNSSALNGPTQKLLFQDPFHEVKRRRERKKEPQNQNVGNKSSAEWSEPRRHSESVGQGMKFHAPYERNFRRANYSRNTLPGNGREFRVVRDNRVNRIYKEVKPPSPQCSTSANEQSNANTSENGSAIAATNQSSSGVRNSSALNGPSDSHARSSKDAVSNASDRKFASEGKQSAVLNAATRVQSNKPNSSQQNSTTVASTSSAVGVYSSSTDPVHVPSPDSRASSVVGAIRREVGVVGVRRQSSDNKVKQSSAPSNSSTHSHNGKAGTLANSFQSVGALSKTEQISQTNVKEPSFSGMSVSRPSMNNQHNSRPHQPVGHQRASQHNKEWKPKSSKKPSSNSPGVVGKPKKAASPTAKNSRDIESNTAELQDKLSQVNIYENKNVIIAQHIRVPETERCRLTFGTIGIEIDTLRAQSEHQLTEASEKSNDESTASLTVPPPELSTDDVFRSKQVDLQEDHVRSTELVSPASDATAEQQLPDKKVSSTPHNPDNYANIGLVRDNVPSYAPSESHQQDSHDMPGFSAYDPPTGNEVPYFRPTVDESVRGQALPSPHEGLSSHAANSIPASAIPLIQQQQPPIAQMYPQVHVSHYANLMPYRQFLSPVYVPPMGMPGYSSNQSYPHPSNGSSYVLMPGGGSHLNANSLKYGVQQFKPVPAGNPAGFGNYANPTGYAIIPPGVVGAATALEDSSRVKYKDNLYVPNPQAETSEIWLQNQRDMQSTPYYNMQGQAAHAAYMPSHTGHASFNAAAVQSSHMQFPGMYHAPPQPATIPGPHHLGPPMGNNVGVGVAAAAPGAQVGAYQQPQMGHLNWTTNF >OIW02926 pep chromosome:LupAngTanjil_v1.0:LG11:175890:177273:-1 gene:TanjilG_29702 transcript:OIW02926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNNNNNTDLVDSMYRVETISRFAQWKILNFPSCTYRKSDPFNISIWNWYLSVERNRVSCIKLYPQISKHNLPIASFIIRLLSSAGDHKSLAHSETREKLLSNTEDFVWLIETPLPGKFIIDIEFLDLKISCPKGGGDPFSIWPNRSMLQRSNAANLESLGRMLTEGIHTDITIHACDGSIGAHRAVLAARSPVFRSMFSYNLMEKDLSTINISDMPIEACQAFLYYLYGNLKHEEFMMHRLALLHAADKYDICDLREACHESLLQDIDENNVLDRLQHAWLYQLPNLKLGCMQYLVKFGKIFYIQHDFTAFLQSAHRDLISQLFHQVLDACQGL >OIW01647 pep chromosome:LupAngTanjil_v1.0:LG11:21302094:21303830:-1 gene:TanjilG_18218 transcript:OIW01647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPIETPEKFTISHNTSHPPLNERILSSMTRRSVAAHPWHDLEIGPEAPKIFNCVIEIGKGSKVKYELDKKTGLIMVDRVLYSSVVYPHNYGFIPRTICEDSDPIDVLVIMQEPVLPGCFLRAKAIGLMPMIDQGEKDDKIIAVCADDPEYRHYNDIKELPPHRLAEIRRFFEDCILIRLSNSIV >OIW02286 pep chromosome:LupAngTanjil_v1.0:LG11:5135554:5137384:1 gene:TanjilG_11180 transcript:OIW02286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTHIDNLWVLALASKCTQQNIAYLLLIMATLWITMSLFHWSHPGGPAWGKYYSTWRKSKSSSSDSDHKNNMIIPGPKGYPLIGSFSLMTSLAHHRIAAAAKACNATRLMAFSLGETRVIVTCHPDVAKEILNSSVFADRPIKESAYSLMFNRAIGFAPYGVYWRTLRRIAATHLFCPKQIKASETQRNEIATQMIGFFKTRHTEVGFVTVRHILKRASLNNMMWSVFGQRYDANSGMEEELSGLVEQGYDLLGTLNWGDHLPLLKEFDLQKIRFTCSKLVPKVNRLVGSIITEHRTHTTESNRDFVHVLLSLNGPDKLSDPDMIAVLWEMIFRGTDTVAVLIEWILARMVLHPEVQHKVQEELDMVVGCVSRPLTEEDMAALVYLPAVVKEVLRLHPPGPLLSWSRLAITDTTIDGYHVPAGTTAMVNMWAISRDPDVWKDPLEFMPERFVAGEGEPEFSVLGSDLRLAPFGSGRRTCPGKSLGLATVSFWVARLLHEFEFIPCDDKMNGVDLTEVLRLSCEMANPLTVMVRPRRGF >OIW02432 pep chromosome:LupAngTanjil_v1.0:LG11:3986171:3988991:1 gene:TanjilG_05025 transcript:OIW02432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTHQAVEVAKTVLEVADVAWNAVEFNHHIRPRNLPATANDHSSDNDDFESLRSENRRLRNLLSQNLRLLHNISESPSFLNNCPTDMHDRLVDTVRSDEYLTRLKLLQQESASGGNQFPFNDATEVDYRSDDILINVDSQESSWWVWVTDEPNNVEEWSGIEDESYLVISEEHVVDGVANFMARCVLSNPKALKLSPEELQKTLSKALAGTSKLEKVLDIWSAGKLFYALSTWGLALAGLYQSRAALRIAAKGIHSGSKLALKAL >OIW01699 pep chromosome:LupAngTanjil_v1.0:LG11:20142764:20145535:-1 gene:TanjilG_01206 transcript:OIW01699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPFLLSLSLCLVLLYTSACLGEGLDRFNECQLDRLNALEPDNRIESEGGVTETWNSNKPELRCAGVAFEKHTIEPKGLHLPSYTNYPQIIMIVQGEGALGISVPGCTETFEEAQQSQSRQERRRGQRSQSQEQEDSHQKIRHFREGDILVIPPGTPYWTYNYGDEQLVAINLLDTTSLSNQLDPNPRRFYLAGNPEEEYPETQQQRQQRQQHQRPSGRRHGQHQKEEEQEGKNNILSGFDPQFLSQALNIDEDTVHKLQNPNERIKQIIRVEEGLGVISPKWQEQEEEEEEKEEPRQRRRRERREEREEEEKEEEDEPRESRRHRGGHEEEEVEEERGRGRGGSEWKRTTRRRHTRGDEGQEEEETTTTTEERRRRRGGRGSRQEEEEEQSPPRSRNGLEETICTAILRENIADPTRADLYNPTAGRISTANSLTLPILGWFQLSAEYVNLYRNGIYAPHWNINANSVIYVIRGRGRVQVVNSQGNSVFNDDLRRGQLLVVPQNFVVAHQAGDEGFEFIAFKTNDQATTSPLKQVFRGIPAEVLANAFRLSLNQVSELKYNGNHNPLVTPQSQSQDHNLVKVA >OIW02553 pep chromosome:LupAngTanjil_v1.0:LG11:3307441:3312504:1 gene:TanjilG_12867 transcript:OIW02553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIDCMLSLNPSKTLPINPTYPLLSTPRTRITFKSNNFQTVMRSGGEAQPPQPSLTDALFNSPRKNQILEAINTKSLSNRCLSETNLHLTVPGIGSKIPGKVRDIYDSGDYLVLVTTDRQSAFDRILASIPFKGQVLNETSLWWFERTKHITSNAVVSAPDKNVTIAKKCTVFPVEFVARGFVTGSTDTSLWTVYNNGIRNYCANVLADGLLKNQKLPENILTPTTKAADHDVPITPDEIIERGLMTRADYEEASAKALRLFEYGQQVASEHGLILVDTKYEFGKANDGSILLIDEVHTPDSSRYWIANSYLERFQNGLDPENVDKEFLRLWFKSHCDPYKDEVLPDAPEDLVRELAWRYIFLYETITKSKFEVLSTEEPIHDRISRNVASALSSLK >OIW01536 pep chromosome:LupAngTanjil_v1.0:LG11:28394585:28396139:-1 gene:TanjilG_19462 transcript:OIW01536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGIDAEKERSMKRILLIVNIIILAIGVCGGPLILRLYFIHGGSRVWLSSTLQTAGFPIILLPLTIAYIIRRRDFYSTTTTNAEKPKMVNMKLPLFLASSFVGLLLGVDDYLYSYGVARLPVSTSALIVASQLAFTAIFAFFMVKQKFTAFSINAVFLLTLASGVLALNSSGDRPAGESNKQYLLGFIMTLLAAMLYGFVLPLVELVYKKTNQDITFAVVLEIQFVMSLAATIFSVIGMTVISREARNYGLGETTYYVVLVVSAIIWQLGFLGSLGVIYCASSLLSGIMVAVSVPITEVLAIIFYKEKFKAEKGVSLVLAAWGFVSYFYGEYKQAKRIPNEPIPEPELAQNHSVLEP >OIW01362 pep chromosome:LupAngTanjil_v1.0:LG11:32443392:32449079:1 gene:TanjilG_12902 transcript:OIW01362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGSFATKLVVRRSSPLHRYLCQRRISQPATAERRKEVLMAPEMPPFDYVPPPYTGPSGDEIMAKRKEYLSPSLPHFYQTPLNVVEGRKQYLYDDRGRRYVDAFGGIATVCCGHCHPDVVAAIVEQTKKLQHSTVLYLNHVIADFAQALASNLPGKLKVVFFTNSGTEANELAMMIARLYTGCHDIISLRNAYHGNAAGTMGATAQSIWKFNVVQSGVHHAVNPDPYRGVFGSDGEKYARDVQDIINFGTSGNVAAFISESIQGVGGIVELAPGYLPAAYDSVRKAGGLCIADEVQAGFARTGSHFWGFEAQGVVPDIVTMAKSIGNGIPLGAVVTTPEIAQVLTRRSDFNTFGGNPVCTAAGLAVLKVIEKEKLQENAFEVGSYLKERLNGLKYKYELIGDVRGRGMMLGVELVSDRELKTPAKAETLQVIDQMKELGVLIGKGGYYGNVLRVTPPLCFNKEDADFLTDAMDYTLSRM >OIW02709 pep chromosome:LupAngTanjil_v1.0:LG11:1655052:1659167:1 gene:TanjilG_29485 transcript:OIW02709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFVALSNTVKVPTFTTIFHTSTTHPLPNTFFPFPSLRSCACKAIISTNQISSHPAQKSNNNMLQPHSVDFELSSLTALSPLDGRYWGKVKELTPFMSEYGLIFYRVLVEIKWLLKMSQIPEIIEVPSFSEDAKSYLQSLIDDFSVNDALEIKDIEKVTNHDVKAVEYFLKQKCQSNAEVAKVLEFFHFACTSEDINNLAHALMLKDALNSVIFPVMDNLIEALCKLAKDNAHVPMLSRTHGQPASPTTLGKEIAIFAVRLSRERKDLSQVEILGKFAGAVGNYNAHVVAYPDVNWPHVAEEFVKSLGLSFNPYVAQIETHDYMAKLFHSLIQFNNILLDFDRDVWGYISLGYFKQITKAGEIGSSTMPHKVNPIDFENSEGNLGVANGGLSHLGMKLPISRWQARPTLLSLFYELFNYSKSSSSVVPMVEMLEIRELVCSRWTKFNQDMCTCRRDLTDSTVLRNMGVGIGHSLLAYKSTLQGIGKLQVNEARLSEDLNQCWEVLAEPIQTVMRRYGVPEPYEKLKELTRGRAVTKESIREFIERLDIPEAAKLNLLKLTPGTYVGAAVELARTVENAVSR >OIW02913 pep chromosome:LupAngTanjil_v1.0:LG11:240768:242742:-1 gene:TanjilG_29689 transcript:OIW02913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAGRTTSAVAAIFTSLLFGYFICNYYSKKKFVNKKKNNNGLVDAIGNTPLIRINSLSDATGCQILGKCEFLNPGGSVKDRVALQIIQEALESGQLRRGGIITEGSAGSTAISIATVAPAFGCKCHVVIPDDAAIEKSQIIEALGATVERVRPVSITHKDHFVNIARRRASEANEFALKNRSQLNGKDTKQANGHESDGYRHSSLFSTDCQGGFFADQFENLANFRAHYEGTGPEIWEQTSGKLDAFVAAAGTGGTVAGVSRFLQEKNPNVKCFLVDPPGSGLFNKVTRGVMYTKEEAEGHRLKNPFDTITEGIGINRVTKNFAEGKLDGAFRGTDMEAVEMARFLLKNDGLFLGSSSAMNCVGAVRVAQSLGPGHTIVTILCDSGMRHLSKFYNSEYLSQLGLTPKATALEFLGIK >OIW01442 pep chromosome:LupAngTanjil_v1.0:LG11:29351602:29353451:-1 gene:TanjilG_30916 transcript:OIW01442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGPVHEGPTYLGIWEGYDGNGLTINDHEEWEMATRWATAFLFGQALSVVSPEMAYASGTVKMNEIYEVGELFDLGIQLLYLLLLLGLLGAGTYFVIRQVLVRRELDLSAKELQEQVRSGDADATGLFELGAVMLRRKFYPAATKYLLQAIQKWDGDDQDLAQVYNALGVSYVRDDKLDKGITQFEMAVKLQPGYVTAWNNLGDAYEKRKEYKAALKAFEEVLLFDPNNKIARPRRDAMKEQVEMYKGVPLKAKEKR >OIW01722 pep chromosome:LupAngTanjil_v1.0:LG11:19224645:19226182:-1 gene:TanjilG_05175 transcript:OIW01722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPKPFYSVLTIVACIIIWRSSIVGATWCVARNNAIASALQPQLDYACSHGADCSGIQPGGICFNPNNIYNHASYAFDSYYVRMGRASGSCYFGGTAAIAVTDPSYGPCRYPSSLKRKDEGTNTTMTESPMNNSKLHSSQNVRKLGQRTQYDNQTK >OIW01435 pep chromosome:LupAngTanjil_v1.0:LG11:30637777:30647085:-1 gene:TanjilG_11153 transcript:OIW01435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKISTQNAIQRYDVGHSHNRSKGEQSNRVQDLITKFEPTLPKDRVNIKKASTQAGYKHKWVGSSATRFCKRVFRVRDVGLYMVIDPHNKQDAVKNYAREDKNGTISSGSNDDKPGGRKFPRGDGGMNEHHFSALTIHVTGFGPPQESRCQFSHSVTENDDINNSFYLRIMRISLMKLMILNPRGGHIDQVIHNKKSSNDDGGKSCELTFGSYCLWQQEHKEDMKDVMIKKLKDQLFVARSYYPSIAKLPSQDKLSRQLKQNIQELEHVLSESTTDADLPSLIEKKSQNMEVAIARAVPVDCNNVDKKLRQIFDLTEDEADFHMKQSAFLYKLNVQTMPKSLHCLSLKLTVEYFKSSHYDEEKAAAEKFKDSSLYHYVIFSNNVLAATVVINSTVMHAKESQNQVFHVLTDGQNYYAMKLWFLRNNYKEAAIEVLNVEHLDLDSQKGDPLHLSLPEEFRVSFHEVDNPSMSQIRTEYISIFSHLHYLLPDIFRNLNKVVVLDEDVVIQQDLSVLWNLKMGEKVNGAMQFCSVRLGRLRSYLGQKGFSPNSCAWMSGLNVIDLVRWRELGLTQTYQRLINELSIQEGSMKGSAWSASLVTFENKIYPLNESWVVSGLGHDYVIDTQAINTALVLHYNGKMKPWLDMGIPKYKSYWKKFLNKEDQLLSECNVYS >OIW01788 pep chromosome:LupAngTanjil_v1.0:LG11:18076568:18078181:-1 gene:TanjilG_03926 transcript:OIW01788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGELGVLNALDIAKTQWYHFTAIVIAGMGFFTDAYDLFCISLVTKLLGRIYYTDITHPKPGVLPPNVQAAVTGVALCGTLAGQLFFGWLGDKMGRKRVYGMTLMIMVLCSVASGLSLGSSPKSVIATLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGFGILAGGIVALVVSSAFDHKYKVPSYEEDAKASLVLPAFDYVWRIILMFGAVPAGLTYYWRMKMPETARYTALVAKNAKQAASDMSKVLQVEIEAEEVKVQQITENQNQKFGLFSKEFAKRHGLHLVGTTTTWFLLDIAFYSQNLFQKDIFSAIGWIPPSKQMNAIHEVYRIARAQTLIALCSTVPGYWFTVAFIDYMGRFAIQLMGFFFMTVFMFALAIPYDHWTKKDNRIGFVVIYSLTFFFANFGPNATTFVVPAEIFPARLRSTCHGISAAAGKAGAIVGAFGFLYAAQSKDPKKTDAGYPTGIGIKNSLIMLGVINFFGILLTLLVPESKGKSLEELSGEHEEDDAEAIEMTGSTRTVPV >OIW01672 pep chromosome:LupAngTanjil_v1.0:LG11:20733860:20738759:-1 gene:TanjilG_19780 transcript:OIW01672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKLCYFNLKAIIPVKVKKSSSIVLSHRRFSFSTGHRNSNSNSYTRSVSMSSEDGWIKSASKSAFGFGVSAAFLFSVFCDSHSALAQSLTVAFPISRAPEVNAVQRTLVEAWGVIRETFVDPTFNHQDWDLKLQQTMVEMFPLNSADAAYTKIRGMLSTLGDPFTRIISPKEYQGFRIGSDGNLQGVGLFINVEPRTGHLVVLSCIEGSPAALAGIHQGDELVEINGERLDGIDSEAAAQRLRGNAGTTVTVKVKDSEKNLDIREVKLQREFIKLSPISVAIIPHTSPYGKVTKTGYVKLSAFSQTAADDMKHAIQEFENQDVHSYILDLRNNPGGLVKAGLDVAQMWLDGDETLVNTIDRSGNMLPINMVNGHAITHDPLVVIVNERSASASEILAGALHDNGRAILVGNKTFGKGKIQSVTELHDGSALFVTVAKYLSPALHDIDQVGIIPDVQCTTEMLNSTKKISRKDKSLASSLEADSCIMVAEQELDTKGTAS >OIW02510 pep chromosome:LupAngTanjil_v1.0:LG11:3004756:3009409:-1 gene:TanjilG_12824 transcript:OIW02510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGVKEWFRSSQAVLSMLLVQLFATGMQLLSRVILVQGTFIFALIAYRHIVAALCVAPFALYFERGHAKKFTWSVCSWIFINALVGMTMALGLFYYGLRDTSATYSVNFLNLVPICTFLVSIICGMEKLGLQTWSGKAKAVGAILCVGGAIATSLYKGKDIYIFHGYHTHTNVVAHKTYMLGGTFFLVGSCLSYTAWFIIQGALATAATFCLLSWAITIKGSTYPPMFNPLALIFVALSEALILGEPLKVGMFLGMVLIIIGLYSFLWGKNKETQCLPQPNVAAGEVASMATEPVGMQSIAIVPSSSPKDSVILEIQKT >OIW01479 pep chromosome:LupAngTanjil_v1.0:LG11:26689195:26698549:-1 gene:TanjilG_19405 transcript:OIW01479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLKEDLRCLHVVDVTTRHDESCCQLNISSRVDVTSNHSDVIYVLPGSPCRDLPVRGKGFSSEPTPDVQHCCWINKTLSSERNMKTRQNERMFHRPREGSPSNQGLLVRGVGLINQIRGASGIISAQWKAKGQISEKAKEWRQTIRDSSNGSLSKRLACSTKPESSVLSAFKPCLSFHACKSTEEEHHLVVIGLTTIVRLAALVEISTVHSPVLSQLRSLNHYHIVRGVSTSLARGWDSFTCIPASTTKSSTLNALTTALQPFLGFVESGFPFTHNGGAAPTRPGRRPRVIPHSSHNKSTLKLTYPLEKSSECELVGLKGCFPTRLEDSVEMSTAKGMSSGSIEEGGEKKRMMPDLELVESLLVTDVDAFSTLFGVATLGAFGLRKQLSDDVIVHPLFIRHPASGLPTCNWYAIRQVFILCGLEYDLPYVSKKEIFRKIR >OIW02004 pep chromosome:LupAngTanjil_v1.0:LG11:10033770:10037776:-1 gene:TanjilG_11597 transcript:OIW02004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDISDEFSGTICSICYESLNPINEDLQSISICGHVFHELCLQQWFEYSKAKKHTCPVCKQGCKVDDACRLYFQSIGEGEAVLSAQKPFDFQEDAGVLRKEVKLLEVKVSGLSSQLNRQGKELEEVTEELCACKNQAKIDIALKNQALNEKTSLQFQLRMKSEELEKSSFERFRLEERNMALAKELAALKLASDLDLDEEDVLRLATLGNGANNKDTIDTLKKSLVLRNKSYKELMAKCNLLGRGEARYSKKLEKAKEKIAKLKAKVQELETAAEVKENEYLMSHKHKASKKAKSSKTLENSVNSNPDVLAASEYSSKEQMKQISTPQSGKDLTLNNNSKSVQSLNIENSDAAKNKAANFGNGSETTLSVDKEREVISIDDDSAFTKPLPEHPKLDCKDQDMDDVALRKATQAKPEAAMQGKCNLAESSRIDIDIEIPNTSSGVMDEDVTLLYNVKQAEPMINIRKESPLTLSSPGDICFTGGLLGPDGTQRYLGKWCKRGQNSEPVSAKSSGNGDLIAVGADGRGGRTKALRCSNQTFSDGKENSLSSKRLKLRSKTNSLQSKGCLQIEHFFGRATQ >OIW01472 pep chromosome:LupAngTanjil_v1.0:LG11:26413542:26413847:1 gene:TanjilG_19398 transcript:OIW01472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRKNGRIGSRKHARKIPKGVIHVQASFNNTIVTVTDVRGRVISWSSAGTCGFKGTRRGTPFAAQTAAANAILTVADQGMQRAEVMIKGPGLGRDAALRG >OIW01315 pep chromosome:LupAngTanjil_v1.0:LG11:34922373:34923152:-1 gene:TanjilG_10476 transcript:OIW01315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLYKIIFLLFLCTSSCAAFEDPLGEFCNKDTNISSGSKLSSNIDKLLSQLVLKTPSTGFVATTYGKYKQDQVFGLAQCRGDVSTQDCFNCIQDAAKQIRDRCPDQADARIWYDYCFLRYNSKSFIGEVDTSFGIFYFNVENVTDPKGFNKELGTLMDQIRAQAVMPKDEGLGKGNSKLSPFVTLYALVQCTRDLSELSCAQCLAIAVNNFSTFCNNRKGCRVLYSSCYVRYELYPFYFPLDSKNKGDSKTLRAIVYP >OIW01577 pep chromosome:LupAngTanjil_v1.0:LG11:24591033:24591374:1 gene:TanjilG_21157 transcript:OIW01577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGVKNNHVKMKRKRQGKRNKKEIKVTYISSPMMFKTSASNFRALVQELTGQDSNIAEMFMEVPNDFVGFVHNKGLMQECSEAYLTDYIEFDLRSSIEPFNGHMQYDLLSFDM >OIW01951 pep chromosome:LupAngTanjil_v1.0:LG11:11937657:11940675:1 gene:TanjilG_13815 transcript:OIW01951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALIITTFVVVLCVLPAMVVAIRSLTMKPFIVKGRVYCDPCRLGFETPITTYIPSAVVILQCKDKITNKIVYKKLVRTDSSGSYTILVDAFHENQICDAKLVTRPMHHCNEPTLGRDQSPVILNRHNGIITYDRFVNNIGFMTKEVASDCAKILRQYQEFDNDKNELSHYN >OIW01235 pep chromosome:LupAngTanjil_v1.0:LG11:34061390:34062241:1 gene:TanjilG_10396 transcript:OIW01235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEQGQYRVSTKRKYSMSSSNLTSSETNYPSSYDESSWEEKAFAKDASGFGCIWPPRSYSCSFCRREFRSAQALGGHMNVHRRDRARLNQHQPTSPQLNETLNIVQNPLNSGYLYPSSLCGLANTNNNTNPNYSDPHHVIASSSMVLTPSVNKDFIEETHNIPIYSSSIFHKSSNLSSKSFSNLAESGAERISKGLKNDHKTDVAVSMNLNLYVCRAYTPVQFETEEEDLISFKKRKMDASIPFFPKQSSVDTNHMQSQMFEFSPSSIEEVDLELRLGYRPKV >OIW02179 pep chromosome:LupAngTanjil_v1.0:LG11:7568088:7572981:1 gene:TanjilG_02403 transcript:OIW02179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQLAILSRTLLRHKSHSIRTITSFPFLSQEPQLADPISPPSTTTTTTTTTSLPPNPASGSPLYNENWRNPIPATSLSPSSIIPAGFFNDPSFSASNSFSDATYDSQTLLNLFADWTASQKWHDVKNLFEAWVKSLDRNGKPNKPDVDLYNNYLRASLMIGASAAELLDLVAQMDEFGIKPNTVSFNLVLKAMHQANETLAAEKLLERMLQTGNESLPDDESYDLIIRMHFLTDQIDAAFKYIDLTLKSDYKLSTKVFMDCVRSCVNKGRLDTLVTIIERCKTTDQNKALCPSWNMCQFITEEALRKDNSKLAFYGLEFMARWMVKGERERPVVLLSVDEGLVVSALVTAGRTYNSELLGAAWAVLDRSLRKRKAPNPESYLAKIYAHASLGNLQKAFGTLHEYESAYGDSSEEAEDLFSPFTSLHPLVVACSKKGFETLDTVYFQLENLSQAERPYKSVAALNCIIVGCANVCDLDRAYQTFESIGSAFGLTPDIHSYNGLMHAFGKLKKTHEATRVFEHLASLNVKPNAKSYSLLVDAHLINRDVRSALAVIDDMIAAGFEPTKETLRKVRRRCLREIDYESDERVQSLARSLNIRVGGEARRDILFNLDYSMDYA >OIW01790 pep chromosome:LupAngTanjil_v1.0:LG11:18054930:18056378:-1 gene:TanjilG_03928 transcript:OIW01790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLPTRQFHPSATATFFDSFHSSPKFHYFRYTSSTHHSHNLTLKPPFRISSFNSLKKPVFYCNPSQGFSTESWLKNWNHSNKHSRPKPPRALLDYHDSGSGHVSKFGFSSSDDDGDDNDRGSGSGSGRTMDRIVEKLKKFGYVENGNEKQDRTRGNLIEKGSVEDIFYVEEGMLPNTRGGFSPDSPFGVGNIGSDGEVRFPWEKPKEEDIEKRNSSRGRKTKTSLAELTLPESELRRLRNMTFQKKHKTRIRSGGVTKAAVDMIHERWRESEIVRLKFEGDAALNMKKIHEILEDPQHFFFPGIRAGHPDSQS >OIW01083 pep chromosome:LupAngTanjil_v1.0:LG11:35467924:35480659:-1 gene:TanjilG_25191 transcript:OIW01083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSMMSESDRKRRHFSSLSPTAIVVPTKKLPILPISLDKKLDIAILQFQCQKLTQKLDTQKLEYIALENKFSHLKVRQQPYDSTLAVVKKSWEQLNNDLELFSERARESSSKLESKYSSIKGDGSSSTAQDIFLSRLLQTGATESSSTYNFATQLEENRKITTENAKSSLKNIITTINNFWCLKDGLHTAVLKKLPGVVSCRQNLSIDLEEVKNLRLTFSELHLKHKSLASELLFHRDLDAKNKANLRRLKGELESTVAELEESNHRLATLEEEGEGAKGAVLPVLSVGSTQVAGVKARDKQKDLHDMESNLKELLDQVSSRLVELKSLHEERIRILQQLCDLQNSLKNLKCITSSRAFQLVRDQIEKSKSGVLEYQALFEKLQVEKDNLAWKEREWYIKNDIADIFQRSVAVSDSRVADLRAEIQKMIHERNVIENKLKEEAREPGRKHVIAVFKSLVSSFPKEMESMETELSKYKESASDIHSLRADVTSFASIVERKVKECDNLSVSTAGELAEINRLHAVVRDLRMVEQQDNLLLEMFRYESIDSRDVLEAREAEYKARALVQMLTSSLDEHKLELLVKTAIEAEARSQQRLAATEAEIVDMRQKIEASKSDRHGIVEHHAHCPQHDVVVGRFLIVAAFVAHRSHYFHPSTENLHVLFHKQPCSSFQ >OIW02901 pep chromosome:LupAngTanjil_v1.0:LG11:308468:310471:1 gene:TanjilG_29677 transcript:OIW02901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLRNVKIKTSTCKRIMKELHSYEKEVDREAAKTADMKNKGADPYDLKQQENVLAESRMMIPDCHKRLEASLADLKGILAELEESGEKEGPEIDEARSTIAEVEKVIETSEA >OIW02297 pep chromosome:LupAngTanjil_v1.0:LG11:5286798:5287167:1 gene:TanjilG_11191 transcript:OIW02297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGLLKLPRDTIASGKNPNKALDYAIRASKSFNGVQFEEAVQVLDRFISLLEYDKTGSGFEIVKFSGYMQHEDTYSMIGQLDRSILCHDSGLKIQIEALDKSDRTVAETCR >OIW02753 pep chromosome:LupAngTanjil_v1.0:LG11:1340697:1344719:-1 gene:TanjilG_29529 transcript:OIW02753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKRERENPCAVCGHYHKYEEGEVCSICGHRLMVGEKTSVQVSAFPSVILPEFLYLGSYDNASRSEILKTQGITRILNTVPCQNLYKNSFTYHCLPDGKTLPFDEGIQFLEQCEKDKARVLVHCMSGKNRSPAIVIAYMMKSKGWRLEQCYQWVKERRPSVELTEGVYQQLQELENKIFGSNDGGSSVLPSFPPASSISFGFPKINDSPPFPAFSGVETTSIFARPPLAIAPTAFTFGAVQPQNSATGSSYGANPDGTDIQMDGS >OIW02039 pep chromosome:LupAngTanjil_v1.0:LG11:9856454:9862462:-1 gene:TanjilG_13777 transcript:OIW02039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSVNPNPSQGFYFFDPSSMALPGVNPLPPPQPPAPTAADSSTSAASSSTVIVIEDLSKKIRKPYTITKSRESWTEQEHDKFLEALQLFDRDWKKIEAFVGSKTVIQIRSHAQKYFLKVQKNGTSEHVPPPRPKRKAAHPYPQKAPKTTPTASQVTGPLQSSNAFIEPAYIYSSDSSSVLGTPVINVPLSSWNRNAIPPVSVPQVTKDDIGFTGAGQTVRPNCCYSSSNESTPASQPSSQGIDQGDQGRPLKVTPDFSQVYRFIGSVFDPDSANHLQRLKQMDPINVETVLLLMRNLSINLMSPEFEDHKRLLSSYDADSGKKKLGNLSSKSLPDKSKGAILSA >OIW01109 pep chromosome:LupAngTanjil_v1.0:LG11:35726724:35728625:-1 gene:TanjilG_25217 transcript:OIW01109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNNVEYPISENTLQLLYDASNTVNFEKSLEILIEISKSNVGRHDLASKRVLCAVLNILYSVTNASHNHHHHVISLCFKLLRNLCAGEIINQNSFLELNGVTIVSDILRSEVVSSSPDKGLVRWGIQVLANVSLAGKQHQCAVWEELYPVGFLSLAKFRSRETCDPLCMVIYTCCDGNPELFREVSSDGGWPLMAEIVRTASSAGFGEDWLKLLLSRICLEESQLPVLFSKLRLVDIAEGEDTDSNVDHRSSEQAYLLQILSEIVNERLRDITISMDVALFLFGVFKKSVSILEHGVRGKSGLPSGSAAVDVLGYSLTILRDICAQDSVGDNRDNAEDVVDVLLPYGLIELLLYLLGVLEPPAIIRKGIKQFDNQDGASCSSKPCPYKGFRRDIVALIGNCMYRRKNAQDEIRNKNGILLLLQQCVTDDDNPFLREWGIWSVRNMLEGNPENQRIVAELEIQGSADVPEISALGLRVEVDQRTGRAKLVNVPSNERS >OIW01865 pep chromosome:LupAngTanjil_v1.0:LG11:15706166:15711519:1 gene:TanjilG_07160 transcript:OIW01865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQELEQSIPNNETPNLIHNNIASTKTLTIVQPHCFLPKPTPPRNRSSNSNNKNNVSSSNHKNDVVSSTFAKFKFLKQRSNEISSVISRSVLSLKRSIDEQNDSSFSNSIRDVTEFTLSGLKVVVEVKNDASFTFGSVTFFSKSNCRDCSAVRKFFREKRLRFVEINVDVFPEREKELFERTGSSMVPKIFINEKFIGGLVELNAMRINGELEKALTAVSEKCCDGGAPAAPEYGFDEVADEEEEEGEMVRVVRIMRQRLMIQDRWMKMKIVRNCFAGNELVDLLIHHLLCTRVKAVEIGKRLCKKHFIHHVSGINDFVEGNHFYRFLEHEPFIPKCFNFHGATNDSEPKTAAAVCDRLTKIMSAILESYATDDRLHVDYEAIRKSEEFRRYVNMTKDLQRVSLLELSENEKLAFFLNLYNAMVIHAVIRVGYQEGVINKNSFSDFQYLIGGYPYSLSDIKNGILRSNRRSPYSLVKPFGTEDGRLELVLAKMNPLIHFGLCDAAKSSPIPRFFSPDGVSHELRCAAREFFAKDGIEVDLEKRTVHLTPIFKR >OIW01734 pep chromosome:LupAngTanjil_v1.0:LG11:19068080:19070867:-1 gene:TanjilG_03872 transcript:OIW01734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGGVCSIQLQALTPEAVTVVKQAVNLATRRGHSQVTPLHVASAMLANSTGLLKKACLQCHSHPLQCKALELCFNVALNRLPASTSSPLLGTQYSTTPSLSNALVAAFKRAQAHQRRGTIENQHQHQQHILALKIELEQLIISILDDPSVSRVMREASFSSTLVKTRVEHSVSIEECSQKLHSKDISSTTKPQLALCGSISNVSQSRPYGQVGASFMNPIDHVNNEDVTSVLSELVNRRNIVIVGESLSNAEGVAMRVMEKFEVGNVPEELRYVKFVSLPLMYFRNISKVEVEQKLEEVRTLVKSYVGRGVILYLGDLKWLLEFWSSHCEQRTKYYCSVEHMVMELKKLVSGSGESNRLWLIGISSFKTYMKYKICHPSLESLWELHHFTVPVTSLSLSLNLDSDFQAKERSKEIFKDVLFEDRARIRKNLTCCKDCSQNFEKEAQSIANSISKKVSTTKLPTWLQNCKQERSHIMEDQENARLEEICKKWNSFCNLVHRNHSIIEKPVLFALSCPSSPTSISSHERKFNLHHSHLNWPIISEPKKSPKECHLFTETCDDDSNLIMFMPETNVPKPDLLSNPNSSPNSASSSEIAEGLESTEMFKELSAKNLNILCDALEQKVPQHKEIIPDIASTILCCRSGMKKVDKHLMRREDRQETWLFFLGTNSQAKENISKELAKVVFGSYSNFITIGMSNLSSLGADYSTEEESCKRKRPRYELGSTYLQRFGEAVNENPHRVFFMEDLDQVDYFSQKGVEKAIECGSLSLSSSESFPLKDAIVVFSCESFTSVSRKSLAAENEGKENLEEKIPSLSLDLNIAIEDDVALSGENGILELVDKKFNFNI >OIW01162 pep chromosome:LupAngTanjil_v1.0:LG11:35371392:35381887:-1 gene:TanjilG_17719 transcript:OIW01162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRVRRKVARRSEGNVVLGGWPEIQDEVLDLKCRGIVDWTSLPDDTVIQLLSCLSYRDRASLSSTCRTWRSLGSSPCLWTSLDILSNRFDVNMASSLSPRCVHLQKLRFRGAESADAIIHLRARNLRELSGDYCRKITDATLAVIGARHELLESLQLGPDFCERITSDAIKAVAHCCPRLNKIRLSGVRDVNADAINALAKHCPKLADIGFIDCLKVDEVALGNVSSLRFLSVAGTSGMKWGVVSNLWHELPNLIGLDVSRTDIGPSVVARLLASSQNLRILCALNCPILEEDASFSASKYKNKLLISLFTDIFKGLGSLFFDATYKGKNVFLDWRNSNKNDKELNEIIPWLEWMLSHTLLRSAESPQQGLNNFWVEQGAALLLSLMQSSQEDVQERAATGLATFVVIDDENARIDCGRAEAVMRNGGIHLLLGLAKSWREGLQSEAAKAIANLSVNANVAKAVAEEGGIDILAGLARSTNKLVAEEAAGGLWNLSAGEEHKGAIAEAGGIQALVDLIFKWSSSGDGVLERAAGALANLAADDKCSTEVAAAGGVHALVMLARNCKFEGVQEQAARALANLAAHGDSNSNNAAVGQEAGALEALVQLTRSTHEGAARALANLAAHGDSNSNNAAVGQEAGALEALVQLTRSTHEGVSQEAAGALWNLSFDDRNREAIAAAGGVQALVALAQSCSNASTGLQERAAGALWGLSASEANSIAIGREGGVAPLVSLARSEVEDVHETAAGALWNLAFNPGNALRIVEEGGVSALVYLCSSSVSKMARFMAALALAYMFDGRMDEFATVGTSLESTSKSVSLDGARRTALKNIEAFVLKFSDTQAFAAAAASSAPAALAQVTEGARIQEAGHLRCSGAEIGRFVTMLRSPSSTLKACAAFALLQFTIPGGRHATHHASLMQNAGAARVLRSAAAAATAPLEAKIFARIVLRNLEHHQIEYKV >OIW02516 pep chromosome:LupAngTanjil_v1.0:LG11:3043272:3043767:-1 gene:TanjilG_12830 transcript:OIW02516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGFKNRYMVMEVFMDPNRDQVGVDPIIITQFNVSKTIRDSILVNFGECGLGSAIGPFLVKYVNPVTNLCIIRISREDYQKVWAAITMVRSIGNYPVVFNMLDLSEKR >OIW01606 pep chromosome:LupAngTanjil_v1.0:LG11:23232743:23236905:1 gene:TanjilG_10915 transcript:OIW01606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESVKTMFCSSPPFSQRTQHGNSILSSSPSFTSVLIIREQAAPAGVSWSCGCSVQNSLTSVLLQEQPDEYRPALHMYKEDKISQMEMVSVYEESTTDSADQLVHDFRRQLHLWPGLQNLLTSPQEGVIAASSTLQNVNAESERPADSVQCDTISLAKKVLLSSKQAASVAEDLKSIKADDDSLPFGSASTGLADSSIGRKKTVRSTRLLERRYKQRKTSKSKVKDEESCIARKKDVLVQEEKKTHEGFEQDDPLRLFLWGPETKKLLTFEEETQLVAHIQDLMRLEEVKTRLQSQFGREPTIAEWADGVGLSCQVLQAKLDFGNRSREKLIQANLRLVVHIAKSYQGRGLSLMDLVQEGSTGLMKSVEKFKPKAGGRFGSYSFLWIRQAIRKAIFRHSKTIRLPETVYSLLGKVMEAKKLYIQEGNLHPTKEELARRVGITEDKIGELLFVARYPNSLQQAVQADQETTFQEITEDESIEAPDMNVEKQLMRRHVLNVLRTLRPNERKIIQLRFGMVDGEPKSLSEVAEIFGLSKERVRQLETRALYKLKKCLARQGLDAYTDLLV >OIW02872 pep chromosome:LupAngTanjil_v1.0:LG11:481352:484269:1 gene:TanjilG_29648 transcript:OIW02872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGVVERRVIPSDNSCLFNAVGYVMDHDPKKASELRQVIAATVASDPDKFSEAFLGKPNAEYCSWILDPEKWGGAIELSILAEYYGREIAAYDIQTTRCDLYGQGKNYSERVMLIYDGLHYDALAMSPFEGAPEEFHQTIFAVQNDRTIGPVEGLALNFAKEQHRKKSYTDTSNFTLRCGVCQIGVIGQKEAVEHARTTGHVNFQEYS >OIW02369 pep chromosome:LupAngTanjil_v1.0:LG11:4792524:4796054:1 gene:TanjilG_08516 transcript:OIW02369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGKRRKNDDSDNDEGQKKPEIKKIKREELLPSMIKNKEKRSEVHAKLKRQKKLDKRSKQKARDVAEKRALELGEEIPERMVPRTIENTRELDETVCKPDDEELFAGNDADEFSSVLKREQTPKILITTCRFNSSRGPDFISDLLSVIPNAHYYKRGTYDLKKIVEYAKNKDFTSVIVVHTNRREPDALLVIGIPDGPTAHFKLSKLVLRKDLKNHGNPTSHKPELVLNNFTTRLGHRVGRLIQSLFPQDPEFKGRRVVTFHNQRDFIFFRHHRYIFESREVKKTDSKGKKDKDGTSETGPERKAIARLQECGPRFTLKLTSLQHGTFDTRGGEYEWVHKPEMDTSRRRFFL >OIW01482 pep chromosome:LupAngTanjil_v1.0:LG11:26837232:26837948:1 gene:TanjilG_19408 transcript:OIW01482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAPPPPPTPAPEPALTLSQNTPLGHPIFTRIRLATPSDVPQLHKLIHQMAVFERLTHLFSATESSLSSTLFPSTTPTPQPFHSFTIFILEVSPTPFTDTPNNPFYNPTEKVVNLDLPVEDPERETFRVQNGNDVFIAGFVLFFPNYSTFLGKPGFYVEDLFVRECYRRKGFGKMLLSAVAKQAVKMGYGRVEWVVLDWNINAIKFYEEMGAKILQEWRICRLTGEALEAYGGAE >OIW01370 pep chromosome:LupAngTanjil_v1.0:LG11:32184943:32187304:1 gene:TanjilG_12910 transcript:OIW01370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMVRCNSEIPMPPPSPIPTGRGTRSAGNDIFSQFLEKTIHLPQLTLPEPPHHHFPPAPVEVDLRSLPLIATDSLVRSAREFGAFRIRYHGISRNELRGIVDEAESVFEDWKRFVVERNERGGEIIPSVRSKKGALEFTAIKILGNQSQHRNFWVHMGNVASRLDSIVEQVSLVLQRDTSPEFKKRIDDTESVICLCRYPHNNVSKQDEEVSDEKRETSCDHALRFYLPMEHCIFYLQTERGPLSFDAGPENIVVTVGKQLEV >OIW02946 pep chromosome:LupAngTanjil_v1.0:LG11:21040:24499:1 gene:TanjilG_29722 transcript:OIW02946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNEVLEFDIGLGREEYDDEGDVDVDGVGDIEFAADGCSRGGGGGGSEIYIIPPETGDEGMMIDLLDLEPYEGMEFVSEEASKAFYNSYARRIGFSTRVSSSRRSRRDGAIIQRQFVCAKEGFRNLNEKRTKDREIKRPRTITRVGCKASLSVKMQDSGKWVVSGFVREHNHELVPPDQVHCLRSHRQISGAAKTLIDTLQAAGMGPRRIMSALIKEYGGISKVGFTEVDCRNYMRNNRHRSLEGDIQLVLDYLRQMHAENPNFFYAVQGDEDQSMNNVFWADPKARMNYTFFGDTVTFDTTYRSNRYRLPFAPFTGVNHHGQPVLFGCAFLINESEASFVWLFKTWLMAMSGRSPVSITTDHDSVIRSAIIQVFPEARHRFCKWHIFKKCQEKLSHIFLQHPNFEAEFHKCVNLTESIEEFESCWSTLVNRYELRDHEWLQAIYSDCRQWVPVYLRDTFFAEMSITQRSDSMNSYFDGYVNASTNLNQFFKLYEKALESRNEKEVRADYDTMNTLPVLRTPSPMEKQASELYTRKIFMRFQEELVGTLTFMASKAKDDGEVITYHVAKFGEDHKSYHVKFNVLQMKATCSCQMFEFSGLLCRHVLAVFRVTNVLTLPSHYILKRWTRNAKSNVILQEHSCDVYTYYLESHTVRYNTLRHEALKFVDEGAKSPETYDVAIDALQEAAKRVAHAMQNEGRVPINNGKVKSHLLNDERHGNYTSGCQEENLGQHMSEDEMDKNIRELMSELDCAYRKCEIYRSNLLSVLKAVEDHKLELSVKVENIKISMKDSL >OIW01636 pep chromosome:LupAngTanjil_v1.0:LG11:22279471:22288877:1 gene:TanjilG_14635 transcript:OIW01636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSNTCNSSPKSMETSSTTTTTPSDASAKKVRKPYTITKSRESWSDEEHDKFLEALQLFDRDWKKIEDFVGSKSVIQIRSHAQKYFLKVQKNGTMAHVPPPRPKRKAAHPYPQKASKNVLMPLPASIAFASSILAPGYATWGETSLLMNAGSNQSMTCEEELGNLHGNEADIGIKGITQIGNSNLSGVGNSNRTLLTSDMQNHGKQAPMLHGLPDFAEVYSFIGSVFDPESNGHVQKLKEMDPINFETVLLLMRNLTVNLSSPDFEPIKNVLSTYDDNTLEHGVSAGIDAKKQPNDLSCQGS >OIW02648 pep chromosome:LupAngTanjil_v1.0:LG11:2079720:2081883:-1 gene:TanjilG_29424 transcript:OIW02648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSASSSRKVKKNEQVAQCKVNKPRWLDALLGETFFDSCEAHPFRRNELNQYCINCNQPACRFCVSSGPHDHHQILQIYRYVYRDAVYLSDMKKFIDCSGIQPYKCNSKWVISLNPLPHNGSAVNYEASCSICSRKLTEPHKYSYCSISCKVKAVLEKPNDSFPPFISIQSPSHETQEETSEPQMEEATEQQNEETSKPQSLRKRRRKGTPHRAPFF >OIW01966 pep chromosome:LupAngTanjil_v1.0:LG11:11867247:11868557:-1 gene:TanjilG_11540 transcript:OIW01966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIFVVVFLAMVTLFHGSVARTIDVATPAPEPMSATPPLSLIPESAPTSAPSNGSVTYTVGDNIGWTIPNDGASAYVTWASSKNFKVGDILVFNYEKNAHNVEEVTKEKYDSCNSVSPLSIYSNTPQRVTINKSGPHYFICGVPGHCSAGQKLAINVTTITATATATFPSSNATLPSTSTTLSFSPPQNHGAASLGLFGIMLYIVVAFFY >OIW02037 pep chromosome:LupAngTanjil_v1.0:LG11:9838296:9839363:-1 gene:TanjilG_13775 transcript:OIW02037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRPQDPHHPRSFFPFGNPFRVIAPKGSHMSPQLRALLQAFEARLTDRLSKLMLKSKEETLSLSWMTKAMESLLDSYNDIRTLISDLELPVSDWDDKWIEVYLDISRKVLDICNAFSSEFSRLNTCNLSLKYALHNLDGTSSKLFVRACSSLDDWKQHIHSMNPRIEKCSTILDNLMRSLDLPKVKNSAKGKILMQAMYGVKVQTAFVCSVFTAAFSGSPKKLIDLDVADMYSWAPAFSRLQSLINDEIRTNFSNGKFSVLIELEVVDAIVKELNPSIQGGADATVQTESLLKTVEELGKAEEKLSKGLDLLAKGVDQFFKVVLTSRSDLLSAPSSAKAVKNCGFGGNANLKVVS >OIW01880 pep chromosome:LupAngTanjil_v1.0:LG11:14977083:14978180:-1 gene:TanjilG_31062 transcript:OIW01880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGCGETLIEQHVVEKILRTLHPKFEHVVVVIEEAKDLDNLTIDELQGSLEVHEQRFMERQIYRQSDHALSAQFKKKDGPGQNDKFRRDKTKWQGGRRIETDNFKLGSNSQQRTNSNNQNNCFEVFKAKKGNNQLGRMKKKDKSKIQCFNCRNWGHFASECKEKRVIQTKEEEARLEKDEESEEEVLLMARSIDSLEEANKSDDALLMVTNQSSGSWYLDSGCSNHMTGNKDWFVTLDKSVETRIKFADDSIIKAEGIGRVMIKKKDGSTSYISSVLYVPRMKSSLLSLGQLLEKGYKMRLEEKMLKVFNKKGELVLKAPLAQNRTFKIGIQLGNQKCLESVTDEKWIWHQRFGHLNFKSLEHLS >OIW02532 pep chromosome:LupAngTanjil_v1.0:LG11:3139243:3142929:-1 gene:TanjilG_12846 transcript:OIW02532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRIRALPLPPPFLTLTTTMLSPSPSSSSANSDTRIPSFPTPSSRNPINSSSFRNLPLFSNSQVQSQSMALSKCFSNSTNPIPISGVPESESDDGSNSLVVVSFYKFADFHDHARLRKPLKELCQKLRVSGGIILAPEGINGSICGTLESVEKVISFIQSDDRLKGLRLVESPVSPEEEAIHHGHSSSSPLAAGEDAPFRWDHVRVKLKKEIVTLGMPDVSPIEKVGKYVGPKDWNALITDPDTVVIDVRNKYEIRIGKFKGAVDPCTPSFREFPSWVDEHFQLSSTDDERSKDEVDNSVQSAEKVMDSPKQHMPRVAMYCTGGIRCEKASSLLLSKGFKEVFHLEGGILKYLEEVPETESLWEGECFVFDKRVSVEHGLVPGNFKLCYGCKQPVSDADMEAQEYEYGVSCPYCFSQKSEEEKERARARQRQFERWGIIGGPDKGRRPTSKPESVSQKTNQLSHSV >OIW01150 pep chromosome:LupAngTanjil_v1.0:LG11:35285447:35287644:-1 gene:TanjilG_17707 transcript:OIW01150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFNEAPPGDSKNGEKIFRIKCAQCHTVDKGAGHKQGPNLNGLFGRQSGTTPGYSYSAANKNMAVNWEEKTLYDYLLNPKKYIPGTKMVFPGLKKPQDRADLIAYLKESTSK >OIW02386 pep chromosome:LupAngTanjil_v1.0:LG11:3523615:3527524:-1 gene:TanjilG_04979 transcript:OIW02386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTLPHQNADNAMRNDDELRMWLNLFPNQPPYLINLYRDHVASFTSPESAFMNPFSLYGDKSPFECSSTFHNRNVHFQSQSSSDDLRLCEFFSGMNIRNDHEPESGNDSTMGYNNMSSLTFPCSSNPNIRSFIKQETTNPFQHFDNEATPDTKGSTFVPPFENHGRTPCYGEFQLHNPNPSFATTSYPCDDFLCSQSLDADWNVNSPFAFSPNMQQNLGLNLNTQRARATPNIDLSQVRNGEDPLAFNCDNSFILQGEDVKYCVHNACMCKNNSCNEVGIQQKVPQFIPNVPVSHENSSKSTLGSVIHESLLHKFYSLADTQGFIYVLAKDQNGCRFLQRMVDDGPPEVMRVVFDGVIGYVVELMIDPFGNYLVQKLLDVCREDQRLQIVIMLTREPGQLVTTSMNTHGTRVVQKLIETLKTKTQVSLLRSAIQPGFVDLIKDLNGNHVIQRCLQCLSCQDNEFIFDAATKFCVDIATHQHGCCVLQRCIDSSLGKYRDKLMTEICRHALLLAQDPYGNYVITHIIEMKIPSVSAKLISRFKGNYVYLSMQKFSSHVVESCLKHVAESTARIVRELLSATHFEQLLQDPYANYVVQRALGVTKGPLHASLVEAVRPHKFLRNSPYCKRIFSGGLLKK >OIW02280 pep chromosome:LupAngTanjil_v1.0:LG11:5024965:5028143:1 gene:TanjilG_11174 transcript:OIW02280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQTPQMVPVMPSFPPTNITTEQIQKYLDENKKLILAILDNQNLGKLAECAQYQAQLQKNLMYLAAIADAQPQTPTMPPQMAPHPAMQQGFYMQHPQAAAMTQQPGLFPQKMPLQFGSPHQMQEQQQQQLHHQQQQAIQGQMGLRPGGPNNGMHPMHSEATLGGGSGGGPPSAPGPNNTRGRSKQDASESGAAGGNGQGISAGAHNSGDGESSYLKGSEEAK >OIW02180 pep chromosome:LupAngTanjil_v1.0:LG11:7562459:7564028:-1 gene:TanjilG_02404 transcript:OIW02180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLVYFVLFMTLTGHSSANYCLCKDGVGEQALQKAIDYACGAGADCAPITQNGPCFQPNTIKDHCNYAVNSYFQRKGQVQGSCDFSGAATPSVTGPPTSVSSCVFPSSPSNAGTSTSTTPTTTTPGTSPSTLTPPTGTTPIGTSPGTGTGTGTGMGSSTGTGTGTGMGSGTGMGTGTTTGSPNVFGISPASSTGPGFTDPNHGVVHVISTKMLLLSLVLTFWLVTFLRF >OIW01752 pep chromosome:LupAngTanjil_v1.0:LG11:18672964:18673751:-1 gene:TanjilG_03890 transcript:OIW01752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEVKVHGFWYSPYTLRVVWTLKLKGISYKNIEEDRFNKSPELVEYNHVYKKTPVLVHDGKPICESMIIVEYIDEIWPHNPLLPLHPYQRAQARFWIKYVDDLTCAVGSLFRSNTNEERDKTIEKIWEHLRVVEEHCFGDVKKLFGGDTINIVDIAFGSTINFIVTVEDIIQVKILQLEKFPLLLSWFQIFKDVPVINENLPHHQERVAFIKSIIQKSLASA >OIW02298 pep chromosome:LupAngTanjil_v1.0:LG11:5290110:5291701:-1 gene:TanjilG_11192 transcript:OIW02298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYGSNSGESTRFYDFKVQTKTIAKLCSSKDIVTINGMFPGPVVYAQEDDRIIVKDTNKTPFNVTIHWHGVRQKLSCWYDGPSFITQCPIQAGQSFTYSFTVVKQKDTFFWHAHVSWLRGTVYGTMIVYPKIMVPYPFKVPYQEQIIILGEYWLQDLQHIEKATIASGGAPPTADAYTINGHLGPNCQAMKNGVLTASMNNISFVHPNISILEAYYKKIKGSYTEDFPDVPPKFYDFVYGAPNNITYDTQSLNGTRTKVLEYGSRVQIIMQNTGTVNIENHLIHFHGYSFYVVGYGTGNYNPLTAEFNLVDPPYMNTIGVLVSGWAVIRFVADNPGINLNVYFISVLLFLPLSYLVQGYPPIISPPSARASVPHFLAHW >OIW02843 pep chromosome:LupAngTanjil_v1.0:LG11:683374:689548:-1 gene:TanjilG_29619 transcript:OIW02843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGSSLNVVLSKKTSVFGLKLWVLIGIGVGAFIVMVLCILYIWVIFRRKSRTRRSLDKHSLSQIPNVSKDINVDKVGVQNSHVQQENALVPLHDKANDKNADNVSVHLGMRRFSDPDNVSQCSSIYHHERGFSSMSGEEGSSGNANKQTALSYGGLVTASPLIGLPELSHLGWGHWFTLRDLQQATNRFSAENIVGEGGYGVVYKGRLINGTEVAVKKLLNNLGQAEKEFRVEVEAIGHVRHKNLVRLLGYCVEGVNRLLVYEYVNSGNLEQWLHGAMHQHGILTWEARMKVLLGTAKALAYLHEAIEPKVVHRDIKSSNILIDDEFNAKVSDFGLAKLLDSGESHITTRVMGTFGYVAPEYANSGLLNEKSDIYSFGVLLLEAITGRDPVDYARPANEVNLVEWLKMMVGTRRAEEVVDSSLEVKPSTHALKRALLVALKCVDPDAEKRPKMSQVVRMLEADEYTVREDRRNRRRSRTASMEIEAVKESGPSDAEKVGHSESHAPETTNSARLRLLRLSEAADKLQKQAAIRIQKGEENDAREMLYQRKKVLNALENTKRRIELLDEFSIKLSEAISLKESQLIGNTMNIEDTTEDASSPVRIIAPKEEVQNDFTNDDLNPNTMKLGDVQDVQLSKESEGNPIDEKEMENFQGSFSNNSWNEKNIASSLSEISSYEDFMEHIDQKLSEIEAELVTVLNVSTLVLNSKERPNNLRLQQIMELLDSIHGIRQRYNISIY >OIW01714 pep chromosome:LupAngTanjil_v1.0:LG11:19392765:19394489:-1 gene:TanjilG_05167 transcript:OIW01714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEMERDFDSKLKIQGDSSSNGGGGGIVQKLKSFAFRAPQENYSIQDFELGKIYGVGSYSKVVRAKKKDTRTVYALKIMDKKFITKENKTAYVKLERIVLDQLDHPGIVQLYFTFQDTFSLYMALESCEGGELFDQITRHAPTVSSPRRTHV >OIW01240 pep chromosome:LupAngTanjil_v1.0:LG11:34121793:34125096:-1 gene:TanjilG_10401 transcript:OIW01240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLQKKLNYGFNGYQVPSIPTASRSARGRTTIRKKHDSNQIQAFEILASVAGNFLQENESSVLDNAASAKDPHAFIDLNIKHKQEDEGGSFKRGLFEQGTFSGVASPCVTGSQGGHNSQRIMGDSSLFGNHLEGQGQNVLEREDERIIHVKGSFDRLIEPDRRNLGSLPNDSTGRKLVHRDYDENFVRCTQLISRNNISGSTPDMLKLMEASPFTSDMKNSRDSSVHEDSQRMYPFKKRKFFTQTSSSASDRGSHCQGIFDSSDTRINGANHSAAIEESSPMAGKRVHPGSRDCNVKLSIKSFKVPELFIDIPETATIGSLKRTVLEAVTAALGDELQVGILLQGKKFRDESKTLIQTGISQDDKHHRLRFMLEPRYTQIAPPTYNKDPCLLTTGSRQKIFRQPTSLTLQQGTYNVSQEHSLTKFESCVESDHNAVSSLTDNSVNNNSSKCRTLVAVPAVNMGMGPLAMVPFRHKSGNRDFSRRRIRRPFSVPEVEALVQAVEKLGTGRWRDVKQSAFDNVKHRTYVDLKDKWKTLVHTARISPQQRRGEPVPQELLDRVLIAHAYWSQQQCKHQLKAM >OIW01142 pep chromosome:LupAngTanjil_v1.0:LG11:35195595:35196955:1 gene:TanjilG_17699 transcript:OIW01142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METKQTGSKGAEALLHLSPGSSISVAYHPVFGSHDDVILLELDEKLLPDVLNERVIVRGQPDEDAVLCTPSKTYAMKFVGTSNSVLLVPPENQSKSYENLQESDGNHDDEKVVAPVIKVVPGYMELVEVAPRLDKLKSLLLENPYKLEEYDAGDFEENEESRAGLYNWNDLRDNIQASDEELKSGLQLLSAVEINGYWRLVHESYMNMILEMLLRNSVLNDWPLDALNEDEVVNMLETDGFSGVLARHCLHVYGVKVDDSMHSCTWKLDKRQVCIHFAREILRGGKRKLESFIDEWRRKTPDGMEPTFDLLEGEILTEKLGIETWVSAFSVSSLPSTPAERFSILFRERPRWEWKDLQPYIRDLKVPGLSAEGLLLKYTRRTQPSSDTEPVFSAR >OIW02284 pep chromosome:LupAngTanjil_v1.0:LG11:5084107:5084355:-1 gene:TanjilG_11178 transcript:OIW02284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISFEPMDSSAAIPGSTPLVSSPPSSGPLQSASTSLVLPSPSMKLTEHNFLVWRHFMVATLTSNRANRFVDGTNIPHGFSLR >OIW01890 pep chromosome:LupAngTanjil_v1.0:LG11:15419739:15420311:1 gene:TanjilG_31072 transcript:OIW01890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPNYGVREQDQYMPIANVIRIMRRILPTHAKISDDAKETIQECVSEYISFITAEANDRCQREQRKTVTAEDVLFAMGKLGFDDYVGPLSLYLQRYRESEGEPAAVRRVANYGSHHHSLQPPPPPPQQQPLGGGGGGVSYGSGFLPSPVVGMFDPSTAGMFYRDDGSAGSGNNSIAAFDPFAHIKRDRM >OIW02923 pep chromosome:LupAngTanjil_v1.0:LG11:184942:187121:-1 gene:TanjilG_29699 transcript:OIW02923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKRFIYPVPTYDDIMNNEQNVSVSEIEALYELFKKISSAVIDDGLINKVFDLFDTKHNGILGFEEFARALSVFHPNAPIDDKIEFSFQLYDLKQQGFIERQEVKQMVVATLAESGMNLSDDVIESIIDKTFEEADTKHDGRIDKEEWRSLVLRHPSLLKNMTLQYLK >OIW02005 pep chromosome:LupAngTanjil_v1.0:LG11:10063747:10065166:1 gene:TanjilG_11598 transcript:OIW02005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQDWDLYAVVRGCSTTTSTTVTTTTSSSVSSSTFGNCYKPAASSSCGFFSILPISENQSCQSLSFSNPFDAKSSSIEELHDLCKPFFLKSPSTSSFQPSSPLSSFSYSSSSPKSTHTQKKQKPHHHAGSGSATTPRSKIRKNQLKKVCEVAAENLSSDIWAWRKYGQKPIKGSPYPRGYYRCSSFKGCLARKQVERNKSEPSMFIVTYTGEHNHPAPTHRNSLAGSTRQKPQAATGEESDKMCAKTTSPETSEAEEEVEFAHQSVTKSESKEDIEDLMNDDEEENEFGLPDMVLSDDFFEGLDELTGPIEAAPTSGVCFGDPFTASVALPT >OIW02870 pep chromosome:LupAngTanjil_v1.0:LG11:490649:493982:1 gene:TanjilG_29646 transcript:OIW02870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADFAAPSFSLGFDLSFDSHQSPPLSPSPHHNHHRHDEDFPPQVPDSDPETGPDPPRRILKRLRRAPPHLCFDVDDDIQEFSSQDDVDDGGDVVQGAHACWSARNHSVCSSSKVSLKGCGVLTPYSLSNSRERKRKQASDIPISLKMETGQSGLTFTKLTTTPSRRFQLLDSNSDDPVGEHINADHKTDSCSKEATHSQSKAATFFEQNCSAKMEMGQSALKVPKITISPLRRFHLIDSDDPVGEDVSADRKIDPCSKEATYNQSKPVTSIEQNGSARMEMGQSGFNFPKLKSSPLRRFQLIDSDSDDPAVGHVSAAQKIDPYSKDATCKKSTAVNSFEHSGSARTKTGQSAFLFPKFASSPLRRFQLIDSDSDDPVDEDVSAAHKIDPCSKYAAYNQSEPVTSSEKSRKMPFDMKRGQGLLKYFSPLKNFSIPTPALNEVCEEYFRSAKDKEVENSGIDISESHPEMYFGVNSSCQKDQQLWESSGPLPPAHRYFFHEVPRIQQLVHRRLCNFSPLGVNRVNQQPNVSHIDYVGQFGCTCASKTHETQKGFANSSIRGASKSANLTVEETFDASGGWVDPKISSPFSNGESSRQKTTKRNSRSNVSKGKNKTEKSTSSNVSCSSSNWVEPKRCSNMPKDAGKRRVQASAQSSGHWYTSDGRKVYVSKSGQELTGPNAYKQYRKESGAGFKKSKAKTSAKKTNSKKRS >OIW01666 pep chromosome:LupAngTanjil_v1.0:LG11:21019076:21022797:1 gene:TanjilG_04634 transcript:OIW01666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKEKDTKHNPPNLVAKLMGLEAFPSGELNLAIDRSQKNYYSQNVYGFHSGSPLNKEISMLHEVHHASPEQVSYRDIYEKWVQNSQRTTSHVRGKTGLTKWSEEDVDGKKMALVHQNFMEAKRMLADERLRRSTQFSDALEVLSSNSDLLIKLMDELHCASTPLSETNNINLLKPLKIVDNDNAGMKNKNDEKHYSCSASKEVEEFRVQSTRIVVLKPSSDVSPTTLSTRNFQSGNFYERPETLYSSLFSNRGDESSCNKPDHDDFEAMSTFSMHSLDTINGFGAGSYSPESSVYKEAKKRLSERWTIMASNSNVVQEQMHVKKSSTLGEMLSKKSVTSEVEGIIINEDQKPTKFVSCSDSSTDEEIRIHSSAKTLPMSSYVTGSSAVCETGLRVEVSDHDDAIIAHGSKVVKKSKSVKSSFKGKVMSFLFSRNKKPTKEKCNLSQSSVTETSVSSVNSLGLIRDDVFQSFNSFEECSLSSLCGSLNKTLSDSVSNGQQQGIITPEPGLSVSKTMVPEISSENQDQPSPISVLNPPFEDDNAAHDSFEYMKGGHLGSMMLLKTNVIDKSPPIESIVRTLSWNDSCSELASPYSFKPLMVSSLDSKFEGQELHLLVEKLLSTAGLDDQVQFNSFYPIWHSLESPLDPSLRDKYAYNLNIKDPPHYQPLYEAKRRKMRSNQKLVFDCVNAALLEMTSSHYGSEKYFLKSRMCSGTHTVQEGELCPYLMDHIVAQMKDLIGSEVRFVWRDSGDSNRLVVENVVRKEVVGIRWVELMELEIDILEREIERKLIQELVENVVADFTSGMA >OIW01581 pep chromosome:LupAngTanjil_v1.0:LG11:24112646:24114496:1 gene:TanjilG_23892 transcript:OIW01581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHAKSSALLPFATSFIFSIAPYKNFPIAHGFAFMISPTMAINGALTGNYLGLFNRSTTGNASNHVLAIEFDDFRNEEFNEVNDNHVGVNLNSIMSLYSEPAGFWGGENFEELKLASGENYQVWVEFENSEINVTIAPAGEKKPHRPLISKPINLSGVLLDEMYVGFSGSTGKMVDVCRILAWSYSNSNFSIGDALKTKHLPLFVHPKASIFRSKGFTIGVVFGALFITGCCGLVFLSLFRTRREEKEERIEDWELEYWPHRINYQEICDTTSGFSEEKVIGIGTSGKVYKGVLKGVEVAVKSINHETQHGMREFLAEISSLGRMKHRNLVGFRGWSKRKGGKLILVYDYMRNGSLDKRIFECDETNMLTWEERLRVLQNVACGILYLHEGWDVEVLHRDIKASNVLLDNDMNARLGDFGLARLHHQGHVADPTRVIGTLGYMAPELVRVGRPSTATDMYSFGILMLEVVCGRRPIVAEKPPLVDWVFSLIEKEELSCAIDERLNSQSGFNSEEAKKVLHLGLLCASSEPGDRPTMRQVVKVLDEIKCNECNEECMHASLLGKINSAASWSKRSANSPHGHYPTFDEICQTSFYSTMSSVSYPSPRPEPDFISEGR >OIW02490 pep chromosome:LupAngTanjil_v1.0:LG11:4595768:4597225:1 gene:TanjilG_05083 transcript:OIW02490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRGVWQLQKLIVSFSDWGGSSRGIRAFMESNLPAFKEKNPQLEVVTELIRGRHPHLKGFYKNKNERVICVKNMDPEEVLLHATRLRDSLGRKVLKLKTRHVVKHPSVQGTWTTAVKF >OIW02546 pep chromosome:LupAngTanjil_v1.0:LG11:3249029:3250598:-1 gene:TanjilG_12860 transcript:OIW02546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKICYRLLILILVLASFPLISSSFISRTSPLNSSPQKVEPNSFDSINIDQLLSLFFRDLTSEGIMKKTQEQKVVFLSNENDNKKGQEFKVVNDYNMVHILLNDLEKQNSLLDNTVDFIFTTNFLAASKFIDRTLKTGGVATVILNDNPSAAFYKPTNYKVLYMRRFDLIALAMKKTGPQVTSIVADEITNISAQRKLLGYATEAKKAALQNLEDVLLEPPRSASGKSRKYLKRTKYLPDLMGDSLESYPRRVFIDVGLPEKDGGSGTDWFPKNYPTRNKNFEIYKIETVTESSSGKDVPQIEMSDWLRRNVKEEEYVVMKSEVEVVEEMMKSKAIMLVDELFLECKPRSSAKHNNGDNVNDGNNGRSRRAYWECLALYGKLRDEGVAVHQWESNVDKRMPYDEVSSWELERSRV >OIW01735 pep chromosome:LupAngTanjil_v1.0:LG11:19041294:19049810:1 gene:TanjilG_03873 transcript:OIW01735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIAVSGGDDLLSDKAAKMRESLQKSQTITDNVVSILGSFDHRLSTLETAMRPTQIRTHSIRKAHENIDKTLKAAEVILAHFDQFRQAEAKILKGPHEDLENYLQAIAKLRSNIQFFGSIKGFKSSDGVINHANNLLAKAISKLEDEFKQLLSSYSKAVEPERLFDCLPNSMRPASGSPAHEHDPSDKNHSNHHSEAHNNIVDDVVYTPPALIPPRVLPLLHNLAQQMIDAGHRQQLLKIYRDTRSNVLEESLQKLGVEKLNKDDVQKLQWEVLEAKIGNWIHFMRIAVKLLFAGEKKVCDEIFEGFGSLSEQCFAEVTTNSVSMLLSFGEAIAKSKRSPEKLFVLLDMYEIMQELHSEIETLFKGKACTEIREAAIGLTKQLAQTAQETFGDFEEAVEKDATKTAVTDGTVHPLTSYVINYVKFLFDYRSTLKQLFQEFEGTEDSPQLASLTMRIMQALQTNLDGKSKQYKDLALTHLFLMNNIHYIVRSVRRSEAKDLLGDDWVQRHRRIVQQHANQYKRNAWAKILQCLSIQGLTSSGGGSGTAGDGGSGSSSGASRAVVKDRFKTFNIMFEELHQKQSQWTVPDSELRESLRLAVAEVLLPAYRSFVKRFGSLVESGKSAQKYIKYTGEDLDRMLGEFFEGKNTNETKR >OIW01394 pep chromosome:LupAngTanjil_v1.0:LG11:31365478:31371279:1 gene:TanjilG_02550 transcript:OIW01394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTATTRLRRLGTVVGAAAAVCGGAILLHSPISVSDGGGSHLASVQQKIHDPFAAVPSREVQRSSLIGTSTANPLDVLVIGGGATGSGVALDAVTRGLRVGLVEREDFSSGSSSRSTKLIHGGVRYLEKAVFKLDYGQLKLVFHALEERRQVIDNAPHLCHALPCMTPCFDWFEVVYYWIGLKMYDLVAGARLLHLSRYYSAKESTELFPTLATEGKGRSLRGTVVYYDGQMNDARLNVGLACTAALAGAAVLNHAEVLSLLKDDASERIIGARIRDNLTGKEFDTYARVIVNAAGPFCDAVRKMADKDARDMICPSSGVHIILPDYYSPVGMGLIVPKTKDGRVVFMLPWLGRTVAGTTDSNTPITFLPEPHEDEIQFILDAISDYLNVKVRRTDVLSAWSGIRPLAMDPSAKNTESISRDHVVFEDHPGLVTITGGKWTTYRSMAEDAVNAAIRSGKLTPSNGCVTNNLRIVGGEGWDPAFFTVLTQQYMRMKLSSKGKIVPGIMDSAAAKHLSRAYGTLAERVAAIAQNENLGKRLAHGYPFLEAEVAYCARHEYCESAIDFIARRSRLAFLDTDAARRALPRVIQILAAEHKWDKSRQKEELQNAKAFLETFKSSKNAQFHDGKHH >OIW02661 pep chromosome:LupAngTanjil_v1.0:LG11:1971440:1972585:1 gene:TanjilG_29437 transcript:OIW02661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSIRGTFFTMNPQPLDQYPFALKASSPPSPTMATEEVNKPLSLPPYPEMIMKAVEALNDPNGSNKSAIASYIESTYGEIPAGHTPLLTHHLHKMKESGELVFLKNNYMKPDPNAPPKRGRGRPPKPKAAMPPGTTVSSPRPRGRPPKDPNAPPSAKVVPSGSGRPRGRPKKIARTVPPPPPVAAAPVSSGRPRGRPPKVKPQLTEVSVES >OIW01946 pep chromosome:LupAngTanjil_v1.0:LG11:12407900:12410681:1 gene:TanjilG_25102 transcript:OIW01946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAPAQIPTSFGHELRACLRCRLVKTYDQFRESGCENCSFFKMEEDHERVVDCTTPNFNGIISVMDPTRSWAARWLRIGRFVPGVYTLAVSEALPEEMQLMLEAIEKCRLDIDLGTQLTLLNHGVPQLKKASMECLYDKASFGVCELYSSQALCEDERVQYNPPKRL >OIW02767 pep chromosome:LupAngTanjil_v1.0:LG11:1239225:1239659:1 gene:TanjilG_29543 transcript:OIW02767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLFTASTFTYSPVNFGTREIRKSGNASMRRSCIKAMKTEKSLEELYKIKVERKVSPERLAELGVSRWSVWKTGKTKLPWDWQVDQLVYIEEGEVRVVPEGSKRFMTFLAGDLVRYPKWFEADLWFMGPYQERYSFRAYGDDD >OIW02034 pep chromosome:LupAngTanjil_v1.0:LG11:9804187:9807676:1 gene:TanjilG_13772 transcript:OIW02034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METQLDGNEDAIATIKDIRQQLEARIESQHKAHMEMLASIQTVIPNLVSSLDLSLKVVSSFNHRPFAPTPPLPPPYPKLNPKKNVELTHHSNAETCSDGSIEADQTNPKSQKLKTSQDSNPVGQVESESVSPIAVVRSMVAVCLLGRVPFSPIDSSTVLRKLQNDQTVTPAEKASLQELGGDSGATLAVEIALRSMAKDNGGVELDEFVVSGTARIMVLNIDRTRLLRELPESAQYQQVESSSGDGNANQNQGQQITTSDTNANGGLLGMGRPILRQMSDMWMPHGEPHMSGMQPMFPGGPRGAPRMMGMMGTPRGIGISPMHRFPLGPNAAGSNPNSMPQKPKTFEDEMKDVEALLNKKSFREMQKSKTGEELLDLIHRPTAKETAVAAKFKTKGGSQVRQYCDLLTKEDCRRQSGSFIACDKVHFRRIIALHTDINLGDCSFLDTCRHMKACFLYLILSYFNLVFFIVMLITCKYVHYEYDPTPDVPPTVMGAPPPRPLKPQRAEYCSEVELGESQWINCDIRNFRMDILGQFGVIMADPPWDIHMELPYGTMADDEMRTLNVPALQTDGLIFLWVTGRAMELGRECLELWGYKRVEEIIWVKTNQLQRIIRTGRTGHWLNHSKEHCLVGIKGDPEVNRNIDTDVIVAEVRETSRKPDEMYPLLERISPRTRKLELFARMHNTHAGWMSLGNQLSGVRLVDDGLRARFKAAYPDVEVQPSSPPRASAMEVDSNVAAQTRSPFAITESKPTATQLADPTAPETSHASEEKPMAVDVEMN >OIW02774 pep chromosome:LupAngTanjil_v1.0:LG11:1167511:1175530:1 gene:TanjilG_29550 transcript:OIW02774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSVNDALKTVLNICERLPPITVSLHDALNKVLAQDILAPDPLPPYPASVKDGYAVIASDGPGEYPVIAESRAGDDALGVTLVSRTVAYVTTGGPIPDGADAVIQVENTEQVNTAPDEPKRVKILAKTTKGRDIRPVGFDIKKDATVLTCGERLGASEIGLLATVGVTMVKVYPTPTVAVLSTGDELVEPTTGHLNRGQIRDSNRAMLLAAAIQHQCKVVDLGIAKDDEEGQGRILDNAFASGIDILLTSGGVSMGDKDFIKPLLGKRGKVHFEKVSLKPGKPMTFAEIDSQSTKSKILAFGLPGNPVSSLVCFNLFVVPAIRHLSGWTNPHHLRVQVRLHQPIRTDPFRPEYHRATVIWNNDDGTGNPGFVAESTGHQMSSRLLSMKSANALLEFPATGSVVSAGTPVTAIIISDLGPRAFGENQAPSESALSGIKLHKMATDSSGDTEFRVAILTVSDTVALGAGPDRSGPRAVSVINSSSEKLGGARVATTAVVPDDVPIIQDILRRWCDIEHMDLIITLGGTGFTPRDVTPEATKQVIEKETPGLLHIMIQESLKVTPFAMLSRSAAGIRGSTLIINMPGNPNAVAECMEALLPALKHGLKQLRGDKREKHPRHVPHAEAAPADVWEQSYRSATGDGSELSCSCGN >OIW01416 pep chromosome:LupAngTanjil_v1.0:LG11:30907120:30909694:-1 gene:TanjilG_25712 transcript:OIW01416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFGQGYISKEHRELHQSATESADPSSVSPLQISLKSPRSLKSPRSPKSPRSPKVQGKGSNLSPRHCKQSHSQIDGRPKKGGSGGKGTWGGLLETDDLNSLDPNDPNYDSTEDYDNLNEKKKNTDLDDYKKKATIIVEEYFTTDDVVATINEIRELGKPLYGYYFVKKLVSISMDRHDKEKEMAAILLSALYADTFEPAQVYKGFSKLVDSADDLIVDIPDTVDVLALFLARAVVDDILPPAFLKKHMASLPKDSKGVEVLKKAEKSYLSAPLHVEIIERRWGGSKNMTVDDVKVRINNFLKEYVVSGDKKEVFRCIKDLKVPFFHHEIVKRALIMAMERRQAEGPLLDLLKEAAEDGFINSSQMSKGFGRLIDTVDDLTLDIPNAREVLQQLISKAASEGWLCVSSLKSFSVDPEKNSIKDSTAINFKTKTQSIIQEYFLSGDISEVNSCLEQENSSNCAELNAIFVKKLITLAMDRKNREKEMASVLLSSLCFPADDVVSGFVKLIESADDTALDNPVVVEDLAMFLARAVVDEVLAPQHLVEIGTQCLGPDSIGSKVLQMAKSTLNARLSGERILRCWGGGGSSRPGWAVEDVKDKIGKLLEEYESGGEIREACRCMKELGMPFFHHEVVKKALVAIMEKKNERLWGLLKECFETGLITMNQMVKGFGRVAESLDDLALDVPDAKNQFAYYVEQAKIQGWLENSTSFSKQEHANATENGNL >OIW01344 pep chromosome:LupAngTanjil_v1.0:LG11:32668493:32674142:-1 gene:TanjilG_20526 transcript:OIW01344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDILSIALPAALALAADPIASLIDTAFVGHIGSVELAAVGVSASVFNLVSKVFNVPLLNITTSYVAEEQALIRKEDSTQSDDNGLSGKYQNKKLLPSVSTSLALAATLGIAETVALLLGSGTLMNIMGIPADSPMRGPAEDFLMLRAFGAPPIVIALAAQGTFRGFMDTKTPLYAVGKFSLHFGSIGCQFLAFACAGNFLNIILDPILIFGFGLGVRGAAFATVISEYLMAFILLFKLSGKVWLAPFDFDGRKVFSYLKSGGLLIGRTLAVFITITLTTSVAAKQGPIPMAGHQICMQVWLSVSLLTDALALAGQALLASSYSQGNYEQARVVIYRVLQIGLGAGFTFSVILFFGFGAFSSLFSTDSEVLGVAQSGVLFVAGSQPVNALAFVIDGLYYGVSDFAYAAYSMLLVGLVSSIFLLLAAPLLGLPGVWTGLFLFMTLRVVAGIWSFKDRGKMMKGMLVGNGM >OIW02614 pep chromosome:LupAngTanjil_v1.0:LG11:2531320:2534197:1 gene:TanjilG_24065 transcript:OIW02614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPADSPYAGGVFLVSIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRTKYEATARSWTQKYAMG >OIW02131 pep chromosome:LupAngTanjil_v1.0:LG11:8344312:8345565:-1 gene:TanjilG_25415 transcript:OIW02131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELEAEADHQNGIRSRPNFPLQLLEKKDNDDSSEHPCSTTANDNSVGGGGDINNSLSFGEQSKKPPPKRTSTKDRHTKVDGRGRRIRMPAACAARVFQLTRELGHKSDGETIEWLLQQAEPAVIAATGTGTIPANFTSLNISLRSSGSTMSAPSHYFRGNYFNPSTFSSNAAAAQLRNRGEWEWNMNNNNNKNMLMEDSRRSTMLFPGSSENSNNNITSLLNFNPNVNAMLQTKQEEPGSGGGSLELMASDSDASLGRKRRQEQPEVSNMGSYLLQSSTSGSIPASHASNSATFWMVAGNGNQGMSGGNNGGDPIWAIPSVAGNSGMYRGAMSSGGIHFMNFASPMPLMPGGGQLGPGMGGGSGGGAAMVNESNLGMLAALNAYRQMQANGGVPESPASTRHHHGGDDGHDTSSQHS >OIW01874 pep chromosome:LupAngTanjil_v1.0:LG11:14771185:14788314:-1 gene:TanjilG_31056 transcript:OIW01874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGQLSFVVGSHIWIEDFDEAWKDVEILESNDQEITVSSESGTKVISKSSNIYPKDPEFPPDGVDDMTRLAYLHEPGVLQNLQVRYDVNEIYTYTGNILIAVNPFRRLPHLYANETMARYKGAGIGEHSPHPFAIADSAYRRMINDGASQAILVSGESGAGKTESTKMLMHYLAYMGGRAVTEGRSVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDQKGRISGAAIRTYLLERSRVCQVSDPERNYHCFYMLCAAPKEDVNRFKLGNPRQFHYLNQSNCYELDALDDSKEYLATRRAMDVVGISSDEQDAIFRIVAAVLHLGNIEFVKGVDDGTDSSQPKDDQSRFHLKTAAELLMCDEQSLEDSFCKRVMVTRGEAITRSLDPNSAALSRDALAKIVYSRLFDWIVDKINNSIGQDPNSKNLIGVLDIYGFESFKTNSFEQFCINLTNEKLQQHFNQHVFKMEQEEYTKEEIDWSYIEFVDNQDVLDLIEKKPGGIIALLDEACMFPRSTNETFAEKLYQTFRDNKRFSKPKLSRTDFTVNHYAGDVTYQTELFLDKNKDYVVPEHAALLRASKCSFVAGLFPPLPEDTTKSTKFSSVATQFKQQLQSLLETLSATEPHYIRCVKPNNLLKAGIFENINVLQQLRCGGVMEAIRISCAGYPTRKSFDEFVQRFAILEPKVLKACPDEMTACKRILDKANLKDYQIGQTKVFLRAGQMAELDACRAEVLGRSATVIQRNGRTYICRKHYVLFRLSTIELQRVARGQLARYRYEYMRREAASLKIQKQCRMYISRTAYKTIYVSAVHIQAGMRGMTARNDLRFRKRTQAAIVIQSHCRSYLARTRFRRLKKATIAVQCSWRRTIARRELRKLRMAAREAKALEAAKVNLEKQVEELTSCLETEKRMREAKTQENEKLQSSLHEIELQFQEAKAMLVQEREAAKKAAEHIQQFAVNAVDNELLNKLTEENEQLKEQVSSFEKKTNEIPVNVVDNELLNKLTAENEQLKELVNSFEKKTTNEIPVNVVDNELLNKLTAENEQLKELVSSLEKKSTHEFSVNVTDNELVNKLTAENEQLKELVNSFEKKTTDEFSVNVTDNELINKLSAENEQLKERVNSFEKKTTHEFSVNVPDNELINKLSAENEQLKEMVNSLEKKTKLELPVNISENELISKLSSENEHFKDLVNSLEKKIDETERKYQESNKLSDDRMTQIMETETRMIDLKTSMQRLEEKLSDMETENQVLRQQTLLSSSSRRMSGKFSPAAVPPLENGHQAPPSSTLAKPFGSESMRRSQMERQHESVDALFKCVAKELGFSEGKPVAAFTIYNCLLHWKAFEAEKTSIFDRLIQLIGSAIEDQDNNDNIAYWLSNTSALLFHLQRCLRVPTTKKPPTPTSFFGRMTQGFRSSNSLSSSAFDVVHQVDAKYPALLFKQQLAAYVEKIYGIIKENLKKDLLPLLSSCTKAHKTTNDNNQPDVSWLSIIECLNKFLKILKENYVHPVLVQKIFNQIFQYINVEIFNNLLLHQECCTFINGEHVKAGLAELELWCSEATEEYVGSPLDELKHATQAIRFLVVRQKDGLSYDDLTNDLCPVLSAHQLYRICTLYQDDSDTMQSVSSDVTTSLKFLMSDDEENDSKSFLLEDNSSIPIIVEEVSSSIKEKTIPKVKPPSELLENPAFQFLHDYS >OIW01787 pep chromosome:LupAngTanjil_v1.0:LG11:18118264:18119229:1 gene:TanjilG_03925 transcript:OIW01787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHHSSTSFPIIAIAIIGILATAFLLVSYYIFVIKCCLNWHSIDLLRRFSPSTRREDPSPTYSPATEPRGLNDAMIRLIPLIQYKTKQEGGTTSSSSNREFGERSICECSVCLNEFQEDERLRIIPNCSHVFHIDCIDVWLQNNANCPLCRTSISLTSRFQIDQLLTRNTTFSIPQDQNPNIGGDEDFVVIELGNDDNNDRGQNNALELPPTCPIISQSPRRLLKGRKLHNKVISMGDECIDIRAYEQFSVQPIRRSFSMDSSGDRHLYLTVQEALQQNKQVINEVSSIEGCSGSGRAKRSFFSFGHLSRSRSSVQPVYLEP >OIW01148 pep chromosome:LupAngTanjil_v1.0:LG11:35274703:35275761:1 gene:TanjilG_17705 transcript:OIW01148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRPQDPPRSFFPFGRNPFWMRSPKGTNLSPQLLAILHVFEATLEERLRKLMPKSKDEILCLSWMTLAMQSLCETHHDIKNLIANLELPVGDWDEKWIDLYLDISVKLLDICIAFSSELSRLNQGNLLLQCALHSLNSASPKQFVRASSSLDGWRQHIGSKNPRIDKCGAILDDLVESLDLPKVKKSAKGKVLMQAMYGVKLVTVFVCNVFAVAFSCSAKLSDLDVADMYSWTPAFKRLQNVVNEETRVRISSGRFPILKELEAVDSSVKELYPTVKGVVDTFAVESLTKTVEELARANENLSQGLDLLAKGVDDFFQVVLTGRDALLYNLRSDGTVNDFSMGGNIVAQVVD >OIW02562 pep chromosome:LupAngTanjil_v1.0:LG11:2933664:2934527:-1 gene:TanjilG_24013 transcript:OIW02562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEKTTEEPLPSKVAQSTVTCFYQTNVAGYWRNLSVLWCKNLMNHSLHITVDSVGGDLHYSCKIDVKPWHFWSKKGYKTFEVDGNRVEVYWDLRSAKFSGSPEPISDYYVALVSDDEVVLLLGDYKKKAYKRTKSRPALVEAMLLVKKENVFAKKSFATKARFDENRKESDIVVDSSTSDPNDPEMWISIDGIVLIHVKNLQWKFRGNQTVMVNKQPVQVFWDVHDWLFSGSGTGPALFIFKPEAECEKESSAVEGCESDVSSVGYYSTLNTATLEFCLVLYAYKLE >OIW02094 pep chromosome:LupAngTanjil_v1.0:LG11:8830400:8833593:-1 gene:TanjilG_14743 transcript:OIW02094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDGKRKKKKNRGGSKKKMTHEQVLAFKSVSEWVFLDQPSSSTSSASLASCVVDDFGVQKAVGRGGEKVVFELHSHSKCSDGFLSPSKVVERAHINGVKVLALTDHDTMSGIPEAVESARKYGIKIIPGVEISTLYSPRGDSEAEEPVHILAYYSSIGPSRLEEFDKFLSNIRDGRYLRAKNIVLKLNKLKLPLKWEHVCRIAGNGVAPGRLHVARAMLEAGYVENLRQAFNRYLFDGGPAYSTGSEPLAEEAIKMIRDTGGVAVLAHPWALKNPVAIIRRLKEAGLHGMEVYRSDGRLAAYSDLADSYGLLKIGGSDYHGKGGQGESELGSVNLPVLVLYDFLNAARPIWCGAIREILESYAEEPSDSNLAGITRFGRIRGFKGGSSLSCGQDLIDHCLPLWLTSEEMENEEFEAIKLKLYNVSDTKEGIQVLI >OIW01429 pep chromosome:LupAngTanjil_v1.0:LG11:30743703:30743888:-1 gene:TanjilG_25725 transcript:OIW01429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVREHMNYEEVMVQVVRSKSIARKAENFPLSIVPYISDYFHLRVIYELVLQKYRRMSNVRI >OIW02769 pep chromosome:LupAngTanjil_v1.0:LG11:1215778:1221805:-1 gene:TanjilG_29545 transcript:OIW02769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLGDIGLSAAINLLSAFVFLLAFAILRIQPINDRVYFPKWYMKGLRSSPLHTGAFVSKFVNIDFKSYVRFLNWMPAALQMPEPELIDHAGLDSAVYLRIYLLGLKIFIPIAFLAFSVMVPVNWTNRTLERSNLTFSSIDKLSMSNIPLGSNRFWTHLVMAYAFTFWTCYILKREYHIVATMRLHFLASEKRRPDQFTVLVRNVPPDADESVSELVEHFFLVNHPDHYLTHKVVYDAKELSSLVAKKKKNQNWLDYYQLKYSRNKSVRPTKKTGFLGLCGNKVDAMDFYTTEIEKLSKDIELEREKVTKNPKSIMPAAFVSFRTRWGAAVCAQTQQSRNPTIWLTEWAPEPRDVYWDNMAIPYVSLSIRRLIILVAFFFLTFFFMIPIAFVQSLANIEGIERAVPFLKPFIEMNFIKSLVQGFLPGIALKLFLIFLPTILMLMSKFEGFISLSALERRSASRYYIFQFINVFLGSIITGSAFEQLDTFSRLPANEIPKIVGVSIPMKATFFITYIMVDGWAGCAGEILRLKPLIFFHLKNFFLVKTEKDREEAMDPGTIGFNTGEPQIQLYFLLGLVYAVVTPFLLPYIIVFFGFAYVVYRHQIINVYNQEYESAAAFWPDVHGRIVFALVISQILLMGLFSSKEVANSTPLLIVLPILTIWFHIFCKGRYEPAFIRHPLQEAMVKDTLELTKEPNFNLKEFLQNAYIHPVFKGDEDVDSDVMSEGWEQEPAVVQTKRQSRRNTPVPSKHSGSLSPSHSLH >OIW02798 pep chromosome:LupAngTanjil_v1.0:LG11:1019027:1023799:1 gene:TanjilG_29574 transcript:OIW02798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRVTPDFGYTMQKEAVPAVSSDVVFASSQFPSYRIGPNNQIMETEGDPKALSMKEVVAHETAQLLEQKNRLSVRDLASKFEKGLAAAAKLSEEARLRQAASLEKHVLMKKLRDALESLKGRVAGRNKDDVEEAIAMVEALAVQLTQREGELIQEKAEVKKLTNFLKQASEDAKKLVDEERAFARAEIDDARAAVQRVEEALKEHERMSQASGKQDLEQLMKEVQEARRIKMLHQPSKVMDMEHELRALRVQLAEKNRHYLRLQKELVRTKKREENTLHLYELEGTETLGSYLQIHPCSDNAPEVSKCSIQWYRVSPDEAKKELISGATKSVYAPEPFDVGCILQVDIISEGQHTTLSTTGPIDPAAGLGTYVEALVRKHDTEFNVVVTQTNGSHHPTESIHVLHVGKMRMKLCKGRATIAKAYYSNSMQLCGVRGGGNAVAQALFWRLKQGHFFVLAFESERERNAAIMLARRFAFDCNIMVGGPDDRAPLVT >OIW02065 pep chromosome:LupAngTanjil_v1.0:LG11:9398381:9399421:1 gene:TanjilG_21114 transcript:OIW02065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELKVYAVVTGGNKGIGLSTCKLLASNGVTVVLTARDHKRGLEAVEKLNELGLKDHVVFHHLDVTDPATIPPLVDFITAQFGKLDILNNGY >OIW01729 pep chromosome:LupAngTanjil_v1.0:LG11:19104306:19111192:-1 gene:TanjilG_03867 transcript:OIW01729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLMAEAERRVEDVRRELVHMRAFLKEIETQRQAEVEGLDEWLDDLNDVARNAEDLLETFFDKTVQRSWIFSFVDIFKIHLELYRISKKMRKLSERRMKYDRSHKSANDESQQETSDNARVVPAVEKLSYILKENLVAGSEMKKMVEEVRDEVVSMVNVVFNLKSKEISSEREKVWLEEANKVCNFAASVIEDFITRRARTWFCKDKLMCFLALPGSSKKKLRKEMNYIKTQVRGALFRRLTYGVGEEDVGDKTGFSYGDWKRDVWEIIALQVAAGYLFVMQDPVVVILCAVISNFAIQAKENVYKMMSVNKRLESIKRDLALTHAFFKDIRGVEGLNKRQEVWVEQIREAAQQAQAILDECELKMSRYNGLYQHIFPPLVFDNGINEILCKIHNNWERKIIFDIANIEGSVQGSCSEIQIVVEHNDNSDTEEDPLLPAGMANNDQLVKMSIEKEVETIREDLRMMKALFHDAEEMGELDGRSNIWMEQMRENTREMECRNDEYASKFEDKPISIFNFHALHKIESKINETRRNILELSRIRKRYGIHLQSRAESSYMVHRLLERTQPLTIKEYDTIASNTPPYLMRCLSYFVLFPPDFEIPARRLIVLWVAQGLVRYEWAPPEQVAESYLKELIDLSMVQIAKAKHNGKVKTCRLPNALRQSTSFQHYLSIYSTNSDLMADHQDENDNCYNDIHSDTVTASASLKRLYKNVRSFLSFDARNGSKPGQDIGQFLNRCISSGCFLLLRVLDLERVYKPQLPKNIARLSRLRYLGLRWTYLESLPSSISSLLALQTLDLKHTYINILTSSIWKMQLRHLFLSETYRTRFPPHPIGNYLFDLQTLWGLFVDVKTPVKGGLDRLINIRKLRLACQSMSLNEMDMESQLKAVAKWIMNLKHLETLILKSRKEDGQPWDLHLESLADHAHLTDMYLMGILKSSSIESLFPPSLIELTLSHSELKQESVEILGHLPKLQSLCLFAGSYIEETLHCKNGNFPQLDILKIWKLEQLTKWNIEEEAFPLLTKLEIRSCNFLHVLPDGLQHVNTLTELKLTTMSENFMKRARSVDKHKFPSSCNVFHCYIKNGMKPRLADPSFRPQKIHIHAAVENFLNLLRIRYSSPLFCLRTANAIQERVRFHNTGPSWVGGVIVMSIEDGHDDFPGLSQLDPIYSFIVVVFNASPKEVSFVNPSLKSRSFQLHPIQVMSSDELVKTSTYEVSSGCFVVPQRTTAVFVEPRKI >OIW02445 pep chromosome:LupAngTanjil_v1.0:LG11:4104767:4108954:1 gene:TanjilG_05038 transcript:OIW02445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAIATASSLTLPIFCSRASKFETKRGLKGGFGVFAVFGEVEKKSAWGPLFNVEEPRSKVPEYKGKVLDIYQAIEVARYDIQYLDWRARQDVLSIVLLHEKVVEVLNPLAREYKSIGTMKKELAELHEELADAHRQVHKSEARVGTALDKLAYMEELVNDKLLQERSTTEIAPTSSPPSTSARFVDTEKRRKPRKRLNVSGPVQSYHPNLKNFWYPVAFSTDLKADTMVPIECFEEPWVIFRGKNGEPGCVQNTCAHRACPLDLGSVNEGRIQCPYHGWEYNTDGKCEKMPSTRQQNVKIKSIPCFEKEGMIWIWPGNDAPTATLPSLLPPSGFVIHAELVMELPVEHGLLLDNLLDLAHAPFTHTSTFAKGWVVPSLVKFLTPSSGLQGYWDPYPIDMEFKPPCMVLSTIGISKPGKLEGQNTSQCATHLHQLHVCLPSSKHKTRLLYRMSLDFAPLLKHIPFMQYLWRHFAEQVLNEDLRLVLGQQERMNNGANIWNFPVSYDKLGVRYRLWREALEQGAKQLPFSKKNENE >OIW02617 pep chromosome:LupAngTanjil_v1.0:LG11:2518295:2519010:1 gene:TanjilG_24068 transcript:OIW02617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQPQSHSETTINTNGSEIQEPLPKIPKLHQNGDVSSDTPLLRVKKLSDKAVLPSRGSPLSAGYDLSSAVETKVPARGKALVATDLSISIPQGTYARVAPRSGLTWKHSIDVGAGVIDADYRGPVGVILFNHSDVDFEVKVGDRVAQLIIERIVTPDVVEVEDLDSTVRGAGGFGSTGV >OIW02007 pep chromosome:LupAngTanjil_v1.0:LG11:10082462:10086562:1 gene:TanjilG_11600 transcript:OIW02007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTVRPLLTRRGFSTSTEKLVASVLFERLPVVIPKIDPIVYAFQEFSFRWRQQYQRRYPDEFLDKSDARGKGDYQIDYVPAPRVTEADKNNDQKSLQRALDRRLYLLIYGNAYGAPSGKPVWHFPEKVYESEDTMRKCAESALNSVIGDLSNTYFVGNAPMAHMVVHPKEDPSGSTPFKKFFFKSQVIAKNKFNIGKCEDFVWVTKDELMEYFPEQSEFFNKMIIS >OIW01234 pep chromosome:LupAngTanjil_v1.0:LG11:34034286:34036606:-1 gene:TanjilG_10395 transcript:OIW01234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKITSLLVLKCTGVDGSDPLILANASDLNHFGYFQRSSVREFIVFVARTVAKRTPLGQRQSVQHEEYKVHAYNTNGLCAVGFMDDHYPIRSSFSLLTQVLEEYQKAFGESWRTVQADSTQPWPYLNDALTKFQACKYLLFIILASSRGEIDPAEADKLMKIQRELDETKIILHKTIDSVLARGEKLDSLVEKSSDLSAASQMFYKQAKKTNQCCTIL >OIW01930 pep chromosome:LupAngTanjil_v1.0:LG11:13056533:13057664:1 gene:TanjilG_14163 transcript:OIW01930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPLQFTTHPHPPSSSSLHITNPNSCSFCPTPRSLFNTCINSRHHRLLRRNAGVIAVRIFAMSGNSSSAFKMNLNEYLVTLDKPLGIRFALTVDGKIIVHSLTKGGNAERSRIIMVGDTLKKAGDSSQNSLVEIKDVGDTKYEF >OIW02060 pep chromosome:LupAngTanjil_v1.0:LG11:9446926:9450050:1 gene:TanjilG_21109 transcript:OIW02060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMSSSFSFSNETTWGKRVSQKVLTLYNSLPKKGKPERHEFTVLSAFLLSSPSNELEVVALGTGRKCIARSFLRPCGDVVHDSHAEVVARRALIRFFYTHIQHLTGTCSKNTPTNGNKRFKINDDNMPFEVDSECGDASLSSIVSPLGERNGSIGNSSKQNGMVERKPGRGDPTLSVSCSDKIARWNVVGVQVKSMTLFLYIPGALLSYFLQPVYLSSVTVGLPSNVPDRFQFEDTLKRALCKSILPFSNELTAPYRVNQPQFHAAPVPPKDFQQSERAANILTCGYSICWTKCGLHEVVIGTTGRKQGTSSRGALYPSSELSLCKKRLLEVFLSLRLECLTSSLANMFTYRELKDGAKEYNLASKFFKGEAPFSDWFLKPLGYEAFPIPKLCN >OIW01099 pep chromosome:LupAngTanjil_v1.0:LG11:35643816:35645114:1 gene:TanjilG_25207 transcript:OIW01099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSIIPSTPGKFKMEKSSYMHRSRLHSSIAKLTFWSLVFIGIIYIFFFKAPSSYSASLPTDLSRRSLRTYTYGGATWEKRVRSSARIRSPNGVSVLVTGAAGFVGTHVSAALKRRGDGVLGLDNFNDYYDPSLKRARQALLERSGVFIVEGDINDAALLRKLFEVVPFTHVMHLAAQAGVRYAMENPGSYVHSNIAGFVNLLEICKSVNPQPAIVWASSSSVYGLNTKVPFSERDRTDQPASLYAATKKAGEEIAHTYNHIYGLSLTGLRFFTVYGPWGRPDMAYFFFTKDILKGKSISIFEAANHGTVARDFTYIDDIVRGCLGALDTAEKSTGSGGKKRAPAQLRVFNLGNTSPVPVSDLVTILERLLKVKAERNIMKLPRNGDVQFTHANISYAQRELGYKPITDLQSGLKKFVRWYLNYYSVGKKSVE >OIW02021 pep chromosome:LupAngTanjil_v1.0:LG11:10627707:10631391:1 gene:TanjilG_11614 transcript:OIW02021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGAGLNFGRVRGEDRFYNPVQPCRFNENERVRREKSVESVRNETENRVGSDEPKKPVEVPSSEPAKNRLSNLERFLQSITPSVTSQYLSKTTMRGFTTCNVEFHPYFVLGDLWESFREWSAYGAGVPLVLNDDDSVVQYYVPYLSAIQIYGATAKPSLKSGRVREDSDNDFRDSSSDGSSDSEEVSHSMGRLSLRDHNTAPQDGFSSDDGESANSQGCLLFEYLEQDLPFSREPLADKILDLTFRFPKLETLRSCDIQPSSWISVAWYPIYRIPTGPTLKDLDACFLTYHSLYTPVGGSQGVPAPRVSDPAETDGIPRMSLPVFGLATYKFKGSMWTPNGGYERQLSSTLMQAADDWLSLLQVRHPDFAFFSRR >OIW01526 pep chromosome:LupAngTanjil_v1.0:LG11:28082787:28084809:-1 gene:TanjilG_19452 transcript:OIW01526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFTEKMQRFKMGFRDYIQALEEERCKVQVFSKELPLSLDLITQAIEGCRQQLSGTTTEYNLNVQSECSGQTTSMEGPVLEEFMPIKKRGSHDFGDEDEQHSHKSKVSKDNNKKSDWLKSVQLWNPCPSSEEDVTRKVSLMEVKRNGSGGAFQPFHKKEKACQTIESLCKAPSSTPVAAASSTAVTVTRDNAESSKKEDKDGARKQRRCWSQELHKCFLQALQQLGGADTATPKQIRELMKVDSLTNDEVKSHLQKYRLHTRRPSPMNHNNGIPQPAPFVLVGNIFVQPHDYATVASSTASAELTTVAAPAGIYAPVATHPPAVSHTLGDSIKKPPFKKVQPSEYSHSEERANQSEGAVHSNSPASSSSTHTTTTSSGC >OIW01317 pep chromosome:LupAngTanjil_v1.0:LG11:34939360:34941022:1 gene:TanjilG_10478 transcript:OIW01317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGISVPEGETSVTLDLLKQIQAQFAKDRDWDQFHSPRNLLLALVGEVGELSEIFQWKGEVQKGLPDWKEEEKVHLGEELSDVLLYLVRLSDICGVDLGKAALRKVELNAIKYPPIIVKVL >OIW01153 pep chromosome:LupAngTanjil_v1.0:LG11:35298899:35306248:-1 gene:TanjilG_17710 transcript:OIW01153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSNFSLHFLVQTFLLFTLFLNAVHVNSKKCYIAYLGAHSHGSTPSSIDLETATYSHYDLLASILGSHDKAKEAIIYSYNRHINGFAALLEEEEAKDLAKKGNVVSVFLSKEHKLHTTRSWEFLGLHRNGMNSAWQKGRFGVNTIIANIDTGVWPESKSFSEKGIGPIPAKWRGGKVCQINKLHGSKKIPCNRKLIGARFFNKAFEAANGKLPSSKHTARDFIGHGTHTLSTAGGNFVPGAQVFGNGNGTSKGGSPKARVAAYKVCWSQTDETKCYGADLLSAIDQAISDGVDVISVSVGGRNNVSPNEILTDEVSIGAFHALANDIVLVASAGNDGPKPETVENVAPWVFTVAASTIDREFTSTLTLGNNDQYKGASLFVNLPTNKSFPLILSTDAKLPNATFQDAEVCKPRTLDSSKVNGKVVICVRGGKIKSVAEGQEALSAGAVGVVMSNGDQSGNTILAEPHVLSTTNTVNQKFKPNIHVYYNTTKNPTISMSTAKTLIGRKPAPVMASFSSRGPNPIVPSILKPDITAPGVNILSSYSLAASASNLASDTRRGFPFNVLQGTSMSCPHIAGIVGLLKTLHPSWSPAAIKSAIMTTASTRDNTKKPIQDAFDKTLATPFAYGSGHVQPDIAMDPGLVYDITIVDYFNFLCASGYNQKLITALNSHNPFTCSNSHTTKDLNYPSITLPNLGLSAINVTRIVTNVGPPSTYIANVKLHGFKIVVVPNSLTFKKVGEKKKFQVTVQATKVIKHGDYSFGELKWTNNVNHVVRSPIVARRK >OIW02852 pep chromosome:LupAngTanjil_v1.0:LG11:629198:630109:-1 gene:TanjilG_29628 transcript:OIW02852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDNVANGVESTVKIQALESERDELATENAETNDEIKKLRAEIEGLRSNDVELRDVIEELKKEVERSKDAEKAVEAIAARAAELETELVRLQHDSISETGAAEEARAEVAETKKVLKEKESRVENLERELGELKKLKAENEVKVRDLEKRVGVLETKEVEERNKRIRIEEELREKIDEKEKEILSLRKKIDVLEEGDAAGKKSESEEWNKEKLNLQEALRESEEKVRNLESKVALLREEAGEAEKVIGSFKEKAVEIVNGSVNGTQGEEKGLNLQWPVVAAGSTGAVVIAAAVIYAFYAKRR >OIW02749 pep chromosome:LupAngTanjil_v1.0:LG11:1364147:1364470:1 gene:TanjilG_29525 transcript:OIW02749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAIDSVFDPLREFAKDSVRLVKRCHKPDRKEFSKVAVRTAIGFVVMGFVGFFVKLIFIPINNIIVGSG >OIW01579 pep chromosome:LupAngTanjil_v1.0:LG11:24618684:24620676:-1 gene:TanjilG_21159 transcript:OIW01579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTTLVPPWLELVLNTSFFNVCRIHGDAARSECNMFCLDCNCDAFCFYCRSSRHKDHQVIQIRRSSYHDVVRVAEIQKVLNISGVQTYVINSARVLFLNERPQPKSGKGVVHICEICGRSLLDPFRFCSLGCKLVRIKRNGDASFALDDRNNAIAMEGGSRQQEEKLREGSQQDMYPGTHLPPTSNARRRKGIPHRAPFGS >OIW02691 pep chromosome:LupAngTanjil_v1.0:LG11:1817688:1820282:1 gene:TanjilG_29467 transcript:OIW02691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILQLTLNGSGAGASVPSSAFFGSNLKKVTSRLPDTKVSSGSFKVVAVEEIDPKKQTDQDRWKGLAYDISDDQQDITRGKGMVDSLFQAPADAGTHYAVMNSYEYISTGLREYNLDNTMGGLYIAPAFMDKLVPLILGVWGGKGQGKSFQCELVFAKMGINPIMMSAGELESGNAGEPAKLIRQRYREAADIIRKGKMCALFINDLDAGAGRMGGTTQYTVNNQMVNATLMNIADNPTNVQLPGMYNKEDNPRVPIIVTGNDFSTLYAPLIRDGRMEKFYWAPTREDRIGVCIGIFRSDNVPKEDVVKIVDTFPGQSIDFFGALRARVYDDEVRKWIGTVGVDSIGKKLVNSKEGPPTFEQPKITVEKLLEYGFMLVQEQENVKRVQLADKYLNEAALGDANQDSIKRGSFYGKAAQQINIPVPEGCTDPNAENFDPTARSDDGSCLYTF >OIW02073 pep chromosome:LupAngTanjil_v1.0:LG11:9327687:9330357:-1 gene:TanjilG_14596 transcript:OIW02073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGTAAKPSSNAETEKSSKEAEVENASEEALLFLKVVGHGIPIPEEYNTNGFFSNFLRSFINVHHIQRGQISCTILAKLPIANGFGTLHGGAVGSFVEVLATACARTVVAEDKELFLGEISISYLSGTPLNEEVLANASVVKRGRNLTVVTVEFKLKKTGNLLYISHATFYNMPVARL >OIW01450 pep chromosome:LupAngTanjil_v1.0:LG11:29513993:29516173:-1 gene:TanjilG_30924 transcript:OIW01450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQGSSLSVENSEKDVLVSSNGIFTAGFYPVGNNAYSFAIWFTQNPTVVWMANRDNPVNGKYSKLSLLTTANLVLTDAGKYNIWSTDTASTSSVHLKLLSSGNLILQQLEPSLVLWQSFDFPTDTLLPQQQLTRHSTLVSPRSNTNYSSSYYHLFFDNDNVLRLLYDGPEISSIYWPDPWLVSWNAGRSTYNTSRIAVLDTLGQFVSSDNFTVMATDYGTVLQRRLKVDCDGNIRVYGRRNGGEEWYVSWQSNLTPCRIHGICGANSMCTYDPNSGRSCSCLSGYKMKDDTDWSLGCVPKFNLPYDNVSDFLSQEHLEFYGYDFGFYPNYTFDQCKALCMHFSDCKGFQHSFGDGVFNCFPKFQLLNGYRSPSFVGTTYLRVPQMRKDSIGKYSCPANNETLQLKRTYVKEEENGSVKFMMWFSTGLGGLEVLCIFLVWFLWFSNTQEPGADVHSYALATNGFRRFTYSELKLATKGFSEEIGKGAGGVVYKGILSDSRVAAIKRLKETNQYGEREFLAEVRSIERLNHMNLIGMWGYCAEGKHRLLVFEYAEHGSLAQNIQSNQLDWTKMYNIAIGTARGLAYLHEECLEWILHCDVKPQNILLDSNFEPKVADFGLSKLLSRSDIKHSNFSMIRGTRGYMAPEWVFNLPITAKVDVYSYGIVVLKMLTGKSATMDVMDDDNGVELQHRRLVTWVRDKFNKGYSSSLSISLVEEIMDPAIEGDYT >OIW01236 pep chromosome:LupAngTanjil_v1.0:LG11:34082020:34084044:1 gene:TanjilG_10397 transcript:OIW01236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILLFLLISVSSQLDQVFYAGFKDAIGNNNLTLNGVAEVEKNGILRLTNDTSRLLGHAFYPSPFHFKNSTNGKVFSFSTSFALAIVPEYPKLGGHGLAFTIAPSKDLKSLPSQYLGLLNSSDIGNFSNHLFAVEFDTVQDFEFGDINDNHVGIDINSLQSNASATAGYYTDDSTKQDLTLKSGKTILAWVDYDSALTLVSVTLSPSSTKPKKPILSFVLDLSTIFHDTMYVGFSASTGLLASSHYILGWSFKINGKASLLDLSSLPQLPGPKKNQTPMIIGVSVSVSLFALCVIFIGIYLFWKIKNADVIEPWELVIGPHRYSYQELKKATKGFKEKGLLGQGGFGKVFKGTLPNSKTEVAVKRVSHESKQGLREFVSEIASIGRLRHRNLVQLLGWCRRRGDLLLVYDFMANGSLDKYLFDEPEIVLSWEQRFKIIKDVASGILYLHEGYEQVVIHRDVKASNVLLDSELNGRLGDFGLARLYEHGANPSTTRVVGTLGYLAPELPRTGRATTGSDVYAFGALLLEVACGRRPIELKALPEELVLVDWIWDRYKEGRIFDVIDPKLNGNFDENEVLLVLKLGMICSNDDPNVRPSMRQVVRYLDGEVELPYEFRKPEDLRHHEGFDQFLHSLALSSFHKMSSSSYFGNREMDTTFPSFGYSPQSLLHARGETR >OIW01319 pep chromosome:LupAngTanjil_v1.0:LG11:34975912:34978924:1 gene:TanjilG_10480 transcript:OIW01319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSIPILCPKTHPNPKLQPLTFQPLLRASNLKVFRRVSKGGCGLSSITCSTSMFKGRVGFLHHGHGNFSFLSFGVDESVVSEVGSERDWSQILSALLPFVVAATAVSALVQPSTFTWVSKELYAPALGGIMLSIGIKLSMDDFALAFKRPLPLSIGFIVQYVLKPVLGVLIAKAFGMPRMYYGGFILMACVSGAQLSSYASFLGKGDVALSILLTSSTTIASVIVTPLLTGLMIGSVVPVDAVAMSKSILQVVLVPVTLGLLLNTYAKPVVSVLQPVMPFVAMICTSICIGSPLAINRSQILSSAGLQLIFPVLTFHAVAFALGYWFSSIPSLRQEEHVSRTISLCSGMQSSTLAGLLATQFLGSTQAVPPACSVVAMAIMGLCLASFWGSGYQIRNLLSLHTLRTNSTVKA >OIW01237 pep chromosome:LupAngTanjil_v1.0:LG11:34086061:34087071:1 gene:TanjilG_10398 transcript:OIW01237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRRESSFWCYRCNRIVRVPLIAGQNSPFCPYCTTGFLEEIRNPNPSDQGGDRRTTTPTGSGDRSPFNPVIVLRNGNDVVSSSSETRNFELYYNDVVSGSGLRPLPDTVTEFLMGSGFDHLLNQLTNLEGTAVQLSDRPGQSFYPIGASKTAIESMPVVKIIPTHVDAESQCAVCMEPFELDCDAREMPCSHIYHSGCILPWLSVRNSCPVCRHELPTEGTGEDAVGLTIWRLPGGGFAVGRFIGGVGGELPVVYTEMDGGFNGIVGGGGVVSSRISWESSLGRRRSRDRRGFGGVLRSVFSYFRRIRSSVSSNSGISERSRSSTVFSRLSRRGS >OIW02667 pep chromosome:LupAngTanjil_v1.0:LG11:1943233:1947693:1 gene:TanjilG_29443 transcript:OIW02667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDENSALIEAILREQEEEEEAHIRRYKLTSKYYTDKANQINEWQTVSYQKRNRKHNSKQPIASDNHNSADLRLHVGDPSSSSSSADVFRSVEKHSEERRRRIIESQIDAAAASADSAASRSKLHSDDDDDDDHGAEGGAVQSGSSVVKKVKQKKPKVTVAEAASRINADELSAFLAEITASYESQQDIQLMRFADYFGRAFSSVSGAQFPWLKTFKESTVVKIVDIPLLHISEDIYKISTDWIGHRSFEALGSFVLWSLDSIFADLASHQGVAKGSKKVAQQSSSKSQVAIFVVLAMVLRRKPDVLINLLPIMRESKKYQGQDKLPVIVWMIAQASQGDLVMGLYLWASLLLPMLSSKSGCNPQSRDLILQLIERIIAFPKARPILLNGAVRKGERVVPPSALDTLLRVTFPLPSARVKATERFEVVYPTLKEVALAGSPGSKGVKQLAQQILSFAIKAAGEANPDLSKEASDIVIWCLTQNPECYKQWDLLYTDNLEASIAVLRKFSDEWKDHSVKHPVLDPLRETLRSFSQKNEKALTDVEDDAHRALLKDADKYCKIILGRLSQGHGCIKSMAFVSVIFAAGAVFISQNPHLWNYDKLSEMLNLS >OIW01131 pep chromosome:LupAngTanjil_v1.0:LG11:35882877:35883425:-1 gene:TanjilG_25239 transcript:OIW01131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRFTLVTSLPKFGHAGATIGRASPWNPRVFAAATPRPIQVPKSPNEQEGSITSDGVNQGASETIKNNLNEALQDKAYSSTTQHVSNKTRDMAGEASMKAQNITEKAKQTMQEAWDSTKKTANKAADTVMGKTQESADCIKENAEKVRRNINTKN >OIW01213 pep chromosome:LupAngTanjil_v1.0:LG11:33627437:33627910:1 gene:TanjilG_10374 transcript:OIW01213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLFSKPFGRRHEPPRPKAWDSFQESEPYPLVTSQSEPYPPIINAHIEWKDTPEAHVYKVHLEGMNNNNVKVEVDEGGRVLCIIGEKRVKKQEHRGGLHHVEFSSGTFIQRLTLPENSMVDHVRAHMDNEVLTVTVPKHRVMNNNNNRVRNINIYEH >OIW01998 pep chromosome:LupAngTanjil_v1.0:LG11:10848932:10849339:-1 gene:TanjilG_00237 transcript:OIW01998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSSLQRHSSMTLAGALSGKRVDDVWREIQQGQQKQYGDDMKIEDREITYGKITLEDFFVEVGICVEHSAIPTMKLNTTDSSIPQSLQQITGLSPSPSVSSLSDTKLGRKRDAPDAYEKALERRMGRKIMNSG >OIW02771 pep chromosome:LupAngTanjil_v1.0:LG11:1186670:1195189:-1 gene:TanjilG_29547 transcript:OIW02771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDDMLMQFSSNSSNQSDQSLPTKIAKLEARMVGRGSSNASQQSTRSPAPSPASKFAGVAENVVEPSTSSDSDDDNGGEFLIQANTQKRQRHEEHASSNVFERAEVVTDGRQTSLEAAETKTNVDVNKKKPGRGRGGSGSSRGRGSRVNDQTRTPIPHSSVLALNGQIDNDGRLKDQFLNDNYASLEEVVASLRAKVVAMEEDLRKSKQDTSDYQNLCRQLEKELKDVTDHEQQMKPKRTKIISDLLISVSKAERQEARLKVRQDSLRLGNIGVIRAGTVISETWEDGQALKDLNAQLRQLIETKEAIERQRKLFKKRQPDKGDGTDAEAGLPEDVLIHDEIYKSRLARKKQEEELILRERDRYEIEKGKLIREMKRIRDEDGSRFNNFQILNHRYALLNLLGKGGFSEVYKAFDLVEHRYVACKLHGLNAQWSEEKKQSYIRHAIREYNIHKTLVHHHIVRLWDIFEIDHNTFCTVLEYCSGKDLDGVLKATPILPEREARVILVQVFQGLLYMNKRTQKIIHYDLKPGNVLFDEFGVAKVTDFGLSKIVEDDVGSQGMELTSQGAGTYWYLPPECFELNKTPLISSKVDVWSAGILFYQMLFGRRPFGHDQTQERILREDTIIKARRVEFPSRPTVSNEAKDLIRRCLTYNQAERPDVLTIAQDPYLTYSKK >OIW02070 pep chromosome:LupAngTanjil_v1.0:LG11:9304510:9305019:-1 gene:TanjilG_14593 transcript:OIW02070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRTLEITILSCENLRLNKRSIKKNTFVTVQFDASSEVCITRVDSDGGSYPSWNENFVMDMPLHARFITAEVKCKTITGVKNVGFARIPVSDFIGGYVPENQVHFLSYRLWDSKVNRNGVINVSVRVKVSQHTSSNSTSLPVAVKGVPVARNGSSRVVTGIPAVWLNCQ >OIW02381 pep chromosome:LupAngTanjil_v1.0:LG11:3474121:3476504:-1 gene:TanjilG_04974 transcript:OIW02381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSLSWLSHSHVSARSSTSTGKGKNYEGSMKYGFALVKGRANHPMEDYHVAKFVQIQEKELGLFAIYDGHLGDRVPAYLQKNLFSNILKEDKFWDDPAASISKAYKSTDRAILSHSSDLGHGGSTAVTAILINGQRLWIANVGDSRAVLSRKGQAVQVTVDHEPNTERGIIENKGGFVSNLPGDVPRVNGQLAVARAFGDKSLKLHLRSDPDVQSNDIDIDTDILILASDGLWKVMPNQEAVDIARRIRDPLKAAKQLAAEALKRESKDDISCVVVRFRC >OIW02025 pep chromosome:LupAngTanjil_v1.0:LG11:10759286:10761990:1 gene:TanjilG_11618 transcript:OIW02025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLECREAHFWYILPDEIKNANLLNRYLDILSPGEKENVLRMSGDQLKKRALLARALVRTTLSRYQTNCQIDPKSLKFRKNDYGKPEVDWQYADDRSLPPLHFNISHTSSLIACGVTVGSAIGIDVEEKQRRLKNDILAFARRYFSPHEIEMLTTIADPELRRQEFIKLWTLKEAYVKAIGKGFSASPFKTFTVRLRDHMKECIHLPPHMISKAPEIIVEPSDDRKNLPGNWNFALLELAGSHYAAVCIEQDNINGGSKGSIPVNLTIRKTIPFVEDECISASDTAVVISGSTRLIVRD >OIW01875 pep chromosome:LupAngTanjil_v1.0:LG11:14812315:14814738:-1 gene:TanjilG_31057 transcript:OIW01875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTENKSHKYPKLKGSIFLRCFGSPDSNIKVSIETTIKGSDSIPKEKRTRWFSWKKIQIKKKSTSKTVPFEPSVPVKAHYSKLRSKSTLQHKSQAPATSPPPPIPPPPVLTVTPYSTPTQTRHDGSNNIEDTRQETSEVSPKHAKRHVRRLQKTYKPTTTSYDSVIGISIVMVTMVMMIFWGRLCAIVCTSAWLYFISRSRLNVTKNDDNKKLNDNLDLDVDSELYKKKVIMEGLLNRTRRRSNSTIEE >OIW02594 pep chromosome:LupAngTanjil_v1.0:LG11:2688644:2690107:1 gene:TanjilG_24045 transcript:OIW02594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYPTCHERLVMAYTFYYYFILMHFCIIIVAATSNALTRPKFSSILVFGDSTVDTGNNNYIITVAKGNHLPYGRDFPGHVPTGRFSNGKLIPDFLASYLNIKDTVPPFLDPNLSNEQLLTGVSFASAGSGFDELTTLASNAISVSNQIELFEVYLSKLKGIAGGNKAKQILGDAFVIVSAGTNDFILNFYDLPSRKLEFNITAYQDFLQNNLQTFIKRLYDLGCRNFAVTGLPPIGCLPFQITLKFEKDRKCVEDENLDAKVYNRKLEKRLLGIQAMLRGSRVVYTEIYDPLIDIINRPEKYGFAKTNVGCCGSGLLEVAPSCNAITPTCDDASKYVFWDSVHPTEAVYQHIAKYIEMEVLFKFQFHRDYTYK >OIW02567 pep chromosome:LupAngTanjil_v1.0:LG11:2907730:2908684:-1 gene:TanjilG_24018 transcript:OIW02567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLQNDIDLLNPPVELEKRKHKLKRLVQTPNSFFMDVKCQGCFNITTVFSHSQTVVVCGNCQTVLCQPTGGKARLTEGCSFRKKGD >OIW01902 pep chromosome:LupAngTanjil_v1.0:LG11:13850678:13851190:1 gene:TanjilG_15227 transcript:OIW01902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKNPLYNTHVKLLAFDLLSLSQHSPDSFSRRSIPLSRAETLGTVTLRDHKPNTFLRFAIDDGTGCVPCILWLNHLNSPYLARRRSPQDVRLIADLAARAAAVVKVGVVARVRGRISRYRGAVQVTVSDVMVERDPNAEVLHWIECVNLARNCYNLLPHPSSSSFLLHK >OIW01411 pep chromosome:LupAngTanjil_v1.0:LG11:31046178:31051671:-1 gene:TanjilG_25707 transcript:OIW01411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLLHTPSSFSTFPSPFPNQRTASVTLHFRFQPRYRSFSRREIRCAVADGGGDGDSFTAKSGYLFELSATEADSLAEYSVSNIAAVYYRKPLVVARRLFQTGIAFGKWFGLRYVDSLLERSNDMFETRAAELRKILVELGPAYIKIAQAISSRADLIPPSYLDELSLLQDRISPFSTEVAFNMIEQELGLSLGEVFSEISPEPVAAASLGQVYQARLRKTGQVVAVKVQRPGVQAAISLDILILRFLAGLARRAGKLNTDLQGVVDEWASSLFREMDYNNEASNGIKFRELYGSIPDVVVPLMYKEYTTRKVLVMEWIEGKKLSEVKDLYLIEVGVYCSFNQLLECGFYHADPHPGNLFRTYDGKLAYLGT >OIW01916 pep chromosome:LupAngTanjil_v1.0:LG11:14098702:14100967:-1 gene:TanjilG_15241 transcript:OIW01916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEVDPAFIQAPEHRPNLHTIEAKGIPLIDLSSLSKTNNDPSSIEGLVREIGSASKEWGFFQVINHGASIDLRQKIETVAREFFAQNLEEKNKVRRDGVQVLGYYDTEHTKNVRDWKEVFDFGVEDPILVPASCDLNDKELTHWFNQWPQYPPQFKELCEEYAQDVLKLALKLMELIALSLKLPAKRFDEFFKDQTSFIRFNHYPPCPSPHLALGVGRHKDAGVLTILAQDDVGGLEVKRKSDGQWVRVNPTPHAYIINVGDIIQVWSNEAYESVEHRVMVNSERERFSIPFFLNPAHYTMVEPLEELTNEQNPAKYRPYNWGNFFTTRKRSNFVKLDVENVQIYHFRV >OIW02669 pep chromosome:LupAngTanjil_v1.0:LG11:1931905:1933557:-1 gene:TanjilG_29445 transcript:OIW02669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKGKMWEFGEGGNNVCGNGMDELLEVLGYKVRSSDMADVAHKLEKLEDVIVTTQQNGISNLATHHTVHYNPTDLHGWVHNMLNQIDNSDNNNNNDVVPSVGVYNDDSDLRIITGVASFPPPNGEGGNAHTPNTEPPLPLSLPLTDSLIRNSSIRSELCIGDKPEAMRPVVLVQESTGVRLVQALMACAEEIQQGNMTIADTLVNQIGLLAASQGGAMRKVAYYFAQALAWRIYGFYPLQTLDCSSYSDVLQCHFYESCPYLKFAHFTANQAILDAFSAATTVHVIDFGINQGLQWPALMQALALRPGGPPAFRLTGIGVTQPENADSIHQVGLKLAQLAQNVGVPFEFRGFVCNSLADLNPFVLEIRSGESVAVNSVFELHRLLARPGSVEKVFNIIKEINPEIMTVVEQEANHNGSVFLDRFTEALHYYSSLFDSLEGSFPGSSDSALMSEMYLGRQICNVVAREGEERVERHETLSQWRVRMSSAGFEPVRLGSNAFRQARMLLALFSGGDGYRVVENNGCLMLGWHTRPLIATSAWRVAAATDSVV >OIW01303 pep chromosome:LupAngTanjil_v1.0:LG11:34801114:34803259:1 gene:TanjilG_10464 transcript:OIW01303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISRDSMHKRRATGGKKKAWRKKRKYELGRQPANTKLSSNKTVRRIRVRGGNVKWRALRLDTGNFSWGSEAVARKTRLLDVVYNASNNELVRTQTLVKGAIVQVDAAPFKQWYLQHYGVEVGRKKKTASKKDTAEEGEAAAAEETKKSNHVQRKIEKRQTDRNLDSHIEEQFGGGRLLAAISSRPGQCGRADGYILEGKELEFYLKKLQKKKGKGAA >OIW02456 pep chromosome:LupAngTanjil_v1.0:LG11:4214588:4216580:-1 gene:TanjilG_05049 transcript:OIW02456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGNLEGSDDKRSRAERRWRRRELNCYGSAPRTTVKVENKISLEAYVSVIDLDEDSRVDSTDINDKTGYNNADKDDCIGGSDIVAETGYNDIDVDKDNHIDGSDIDIDVNTGYNNDNDDDSDPDYKKFLENLRVEGNSYVYSVIVGNQRVHIRYEQDEEEENNDDKVEEEKGFTWRGETGNLGIADSDSTREQIGGSVDSQQNLETERRHSNRRSHSGTSRIPENNCNTELDIDVDEDYEIMLNSRMRDYDSDNLENVGTGNLGIRGVDSIREQTTNPVESLHSQETERGPCNKSRHSEASRVQKSNDNTELEIDVDEDYQTFLNSYRTGCDSESWVPMTCELKGTSLSVRRNSGASYQAFDTPLVQSDYDEDYLLFLNSNPIIDGDLYMCDRNIISLEGDSNSSDSDDLILLEPNQIGENTPFIPSKMFDSSCFENETNPRQLPACDQSQFRRRLMEYLEKPYDQEEYDGYLTEVHRQRHKERHFETRQGVVKSYPTYGFNKSYLELYPGRCIWKVNIGKV >OIW02230 pep chromosome:LupAngTanjil_v1.0:LG11:6712698:6712973:1 gene:TanjilG_23938 transcript:OIW02230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPFTYMLYNSSIFAQCVTSSFTLRIPNISPSSVSSPSNIGYTRAQLFSYLHRRWLILSSMEHATLTSHQEDFRHKDPSWIYRLLVASILH >OIW01302 pep chromosome:LupAngTanjil_v1.0:LG11:34794889:34798881:1 gene:TanjilG_10463 transcript:OIW01302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDFAIPPIGDINDDFGLPDDNILKVGEEKEIGTLGLKKKLLKEGQGWDNPEVGDEVEVHYTGTLLDGTKFDSSRDRNSPFKFTLGQGQVIKGWDEGIKTMKKGENAIFTIPPELAYGESGSPPTIPPNATLQFDVELLSWTSVKDICKDGGIFKKVLTEGEKWENPKDPDEVLVKYEAHLEDGKLVAKSDGVEFTIGEGHYCPALSKAVKTMKRGEKVILTVKPQYGFGEKGKPAQGDEGAVPPNATLEITLELVSWKTVSEVTDDKKVLKKILKEGEGYERPNEGAIVKLKLTGKLQDGTVFLRKGHDDEENLFEFKTDEEQVIDGLDRAVVTMKKGEVALLTIAPEYAFGLSESQQELAVVPPNSTVYYEVEVVSFEKEKESWDMNTEEKIEAAGKKKEEGNALFKAGKYARASKRYDKAVKFIDYDSSFSEEEKKQSKALKVASNLNNAASKLKLKEYKEAEKLCTKVLDLESTNVKALYRRAQAYMHLADLDLAEFDIKKALEIDPNNRDVKVEYKTLKEKQKEYNKKEAKFYGNMFNKLTKA >OIW01129 pep chromosome:LupAngTanjil_v1.0:LG11:35866958:35872017:1 gene:TanjilG_25237 transcript:OIW01129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLRHALPSRFLKPLLHHSSRFSSSSQTATLLFPFLSHSRRFNLIPMTAHNPSAVDSSPDHVSDDWYSVPEIRLRDHWFTVPLDYSQGLHSSPRISVFAREVVAVGKEEQTLPYLLYLQGGPGFECSRPTESSGWIQKACEEFRVILMDQRGTGLSTPLTVSSMSQFKSADELADFLKHFRADNIVKDAEFIRVRLVPDAGPWTILGQSFGGFCAVTYLSFAPQGLKQALLTGGIPPIGHGCTADSVYKACFEQVINQNDKYYKRYPEDVKIVQELVNYLAEQEGGGVALPSGGILTPRGLQTLGLFGLGSGAGFERLHYLFERVWDPTLVLGAPKRISYYFLSSFEKWSSFDTNPLYALLHESIYCQGSPSKWSADRIRNEAEDKFDAVRAAREGVPVLFTGEMIFPWMFDEIHALKPFKDVAHILAEKKDWPPLYDVEVLNKNKVPVAAAVYYEDLYVNFNICMETASQIAGIRLWITNEFMHSGLRDGGSKVLDHLFSMLNGKKPLF >OIW01689 pep chromosome:LupAngTanjil_v1.0:LG11:20010783:20012410:1 gene:TanjilG_01196 transcript:OIW01689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVTPFDQDAEYDRAKEVKEFDETKAGVKGLVDSGIIKLPRFFIHSPETLSFAKTKTPLCFQVPVIDFTGYDERYRREEIICEICEASETLGFFQMVNHGVPVCVMDDMLRVVKEFHEQPKEVKKEWYSRDHGVKVRDAVSKYINHIMKLREILSELLSEALGLKREYLGSIECMKSETMVCHYYPACPEPNLTFGSTKHSDPSSLTILLQDTIGGLQVFHENQWVDVNPVQGALVVNIGDFMQC >OIW01396 pep chromosome:LupAngTanjil_v1.0:LG11:31405207:31409668:1 gene:TanjilG_02552 transcript:OIW01396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVVKRDGRQETVHFDKITARLKKLSYGLSTDHCDPVLVAQKVCAGVYKGVTTSQLDELAAETAAAMTTNHPDYASLAARIAVSNLHKNTKKSFSDTIKIMYDHFNKRSGLKAPLIADDVYEIIMKNAVRLDSEIIYDRDFDYDYFGFKTLERSYLLKVEGQVVERPQHMLMRVSVGIHKDNIDSAVKTYHLMSQRWFTHASPTLFNAGTPRPQLSSCFLVCMKDDSIEGIYDTLKECAAISKSAGGIGVSVHNIRATGSYIRGTNGTSNGIVPMLRVFNDTARYVDQGGGKRKGAFAVYLEPWHADIFEFLDLRKNHGKEEHRARDLFYALWVSDLFMERVQSNGQWSLFCPCEAPGLEDCWGEEFEKLYTRYEREGKAKKVVDAQNLWFEILKSQIETGTPYMLFKDSCNRKSNQQNLGTIKSSNLCTEIIEYTSPTETAVCNLASIALPRYVKDKGVPLESHSSKLVGSRGSKNRYFDFDKLGEVTATVTANLNKIIDVNYYPVDTARRSNLRHRPIGIGVQGLADTFILLGMAFDSPEAQQLNKEIFETIYYHALKSSCELAAKEGPYETYSGSPVSKGILQPDMWGVTPSSRWDWDSLREMISKNGVRNSLLVAPMPTASTSQILGNNECFEPYTSNIYNRRVLSGEFVVVNKHLLNDLTEMGLWSPAVKNNIIYESGSVQNIPQIPHELKAIYKTVWEIKQKTLVDMAVDRGCYIDQSQSLNIHIEQPNFGKLTSLHFYAWSKGLKTGMYYLRTRAAADAIKFTVDTSALKEKPKVLEEDEGTKMAQMVCSLTNREECLSCGS >OIW02575 pep chromosome:LupAngTanjil_v1.0:LG11:2854275:2854748:-1 gene:TanjilG_24026 transcript:OIW02575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDETAVKSKPDWLNNRTKASGECTGDVGRGGGDEESDDDEECDGEAWNTLSRNFRQAQSVLDQNRVLIEEVNRNHESKIPDNMAKNVGLIQKINSNISKVLSIYSDLSSSFSNSVSQQRGFAPATAKRKNSDGDGDGNDEDDNKVEDVAEPVSEKSE >OIW02599 pep chromosome:LupAngTanjil_v1.0:LG11:2658494:2659807:-1 gene:TanjilG_24050 transcript:OIW02599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVARVHRRTGMHSSLQRLRSITKSHARRKTSMILDASEYIRGLKQRLLELNQLAVAAAQKVIDCGPMPMKLKVEAQEKGFMIEVLSQRSCEGLLVFILEAFEELDLEVVEARVSCVDNFCFEAVGIKGNNEDMGHMEAQVVEQVVSQAIQNWREVTKQY >OIW01941 pep chromosome:LupAngTanjil_v1.0:LG11:12229621:12231240:1 gene:TanjilG_25097 transcript:OIW01941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARDQLGVLSALDAAKTQWYHFTAIIIAGMGFFTDAYDLFSIANVTKLLGRIYYTHQGAPKPGTLPPNVSLAVNGVALCGTLIGQLFFGWLGDKLGRKKVYGLTLAIMVFSSIASGLSFGHTSKGVVTTLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGFGILFGGIVALVTSTIFDHVYSAPTYAVNPEASLVPQADYVWRLILMLGALPAAMTYYWRMKMPETARYTALVAKNVKQAAEDMAKVLQVEIGEEQEKVDKLTVRDSNNFGLFSKEFVKRHGLHLVGTCTTWFLLDIAYYSSNLFQKDIYTSIGWLPPPSEMNAIHEVFRVSRAQVLIALCGTVPGYWFTVAFIDYLGRFFIQLMGFFFMTVFMFALAIPYDHWTKKENRTGFLIIYALTFFFSNFGPNATTFVVPAEIFPARLRSTCHGISAASGKAGAIIGAFGFLYASESKNPATRDAGYPAGIGMKNTLLVLAVCNCLGMFFTFLVPESKGKSLEELSGENEEKSTDKISEHPTASNKTLPV >OIW02515 pep chromosome:LupAngTanjil_v1.0:LG11:3037529:3038812:-1 gene:TanjilG_12829 transcript:OIW02515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKVLDLILVPTGLLLMFAYHFWLLVRVVKHPTKTVIGVNAIHRRSWVEAMMEDVPKNGVLAVQSIRNNIMASTLLASTAIMLGSLITVLMGTDNKEKTVTSESFGDRSQIGLSIKFFSILVCFMFAFLLNVQSVRYYSHASILINVPFKKLSQNLSHQMLTVEYVAATINRGSYFWSLGLRAFYFSVPLFMWIFGPIPMLFSCFTLLFMLYFLDVTFECGWVGADENVVKAEVDKHHIDMEIGKAN >OIW01392 pep chromosome:LupAngTanjil_v1.0:LG11:31633219:31635977:1 gene:TanjilG_10822 transcript:OIW01392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAGICSIQLQALTPEAANLVKQALNLATRRGHPQVTPLHVASAMLSTSTGILRRACLQCHSHPLQYKALELCFNVSLNRLPASTSSPLLSPQYSTTPSLSNALVAAFKRAQAQQRRGSGSIESQQQPILALKIEVEQLILSILDDPSVSRVMREAGFSSTLVKTKVEQAVSVDVCSQQPPPPRNHSNNHSTKSQVLDGSNMNLPSSLSFGQFGGSSIETIDHVNNDVTNALSEFVMRKRNTIILGDNIANAERVAKGIMERFEKGNVPGELKYVQFVTLPLISFRNLSKEEVEKKLVELRRVVKSYVGRGFILYLGDLKWLFEFWSFYCEQRTHYYCSVEHMVMELKKLVSGNGDNGRVWLMGIANFRTYMKCKICHPSLETILELHPFTIHVGSLSLSLKLYSDFQARERRNVLLKDEYFEDRAKVRKHLTCCRDCALNFEKEAESMNKKECITSLPSWLQNCKQERNDIMEDQEKPRLVDICKKWNSFCSSMHGHTSLEKQLFLVSSCPSSPTYVSLHERKSNLGFSHLNLPIISEPKQAPKEYDELCTETVVGDCCEGKLIMFMPERNVPKPDLFSNPNSSPNSAYSSEEVDGLDSTQMFKEHNEDNLKILCDALENKAPQHREIVKEIASTVLLCRSGMRKGNNYFLKREDKQETWMFFLGVGSEAKGVISKELAKVVFGSYSNFVSIGMNSFSSLRDNESKNKRPRNEFGSSYLQRFGEAVNENPHRVFFMEDLDQVDNFSQKGIMQAIENGSITLPCGEYAPLKDAIVIFSCESFSSVSRSCSPAKKSQSDENKRKENMHNLEEKSACISLDLNIAIEVDSTNVHVDGDSEILELVDKQINFNMHEL >OIW01499 pep chromosome:LupAngTanjil_v1.0:LG11:27251330:27262495:-1 gene:TanjilG_19425 transcript:OIW01499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSTNNTITPSESGNSKLQMTIEDEQHQQGEGGSFKSWAKQTEESYQLQLALALRLSSHSSSAKDPNFLEFDSASNSSSISPQSLSHRFWVNGCLQYSDRVIDGFYLIHGMDAYTWTISTDMQNVGTIPSFESLMSVEPGDDSSIVVIAFDKSRDSGLRELQSRVLSLSSNWITTKDATDQLANIVYSRMGGGSSTEENLCTGWKECIQILKSCMHSVILPIGSLPVGLCVHRALLFKVLADLINLPCRIAKGCKYCRKDIGASCIVKFGSDREYIIDLVGMPGTLSQPDSSLNSASSMLIYSPLCHPKFKPVETAEYTKTFAQLYFLDSQALHLVFDTTSGGAVHHSDRMDVQRTEAFSANYAVRNNHLTVFMALLDSPFDTPSFAGPTTEAYVSFNEANQSVMDYPSHEVDLDEEDLDIRWSELILKENIGTGSFGTVLRADWRGSDVAVKILKVQGFDAERFEEFKKEVSLMKRLRHPNIVLLMGAVIQPPKLSIVTEYLSRGSLYELLQIQGIGSSLNEKCRLRMAYDVASGMNYLHQMRPPIVHRDLKSPNLLVDDTYTVKVCDFGLSRTKANTYLSSKTAAGTPEWMAPEVIRGELSNEKCDVFSFGVILWELVTLQQPWRQFNPSQVVAAVGFMGKRLEIPSHANPRVAALIELCWDTEPWRRPSFSNIMKCLQNIIADTEG >OIW01398 pep chromosome:LupAngTanjil_v1.0:LG11:31435579:31439992:-1 gene:TanjilG_02554 transcript:OIW01398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSINLNLKPHYSQYLFLVFFALLHLNSAAIMSDSAAKPLDSVDSSTSSAPAVYIVYTDKPVGEDPTPYHIQTLSTVFGSEDAAKEALLYTYKNAATGFSARLTPEQVAEISKQPGVLQVVPSRTLQLHSGGSGLLNLH >OIW01424 pep chromosome:LupAngTanjil_v1.0:LG11:30791989:30795387:-1 gene:TanjilG_25720 transcript:OIW01424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIKSIELLKWFASNEEMMEVLAAVAADLGDMIEDVNSIAVIPLKGAMTNEVFQIKWPAKSDGHLRTVLVRIYGEGVEVFFNREVEIQTFECMSKNGQGPRLLGRFTTGRVEEFIHARTLSAADLRDPETSALIAAKMREFHNLHMPGAKKAQLWQRVRNWIIYAKSLCSPKDTMIFGLDKLDAEINMLETLLSEGYQEIGFCHNDLQYGNIMIDEETRSITLIDYEYASYNPIAYDLANHFCEMAANYHTDNPHVLDYNKYPGLEERQRFIHIYLSSEGKKPSNIEVEHLLNAAEKYTLANHLFWGLWGVISSHVNSIDFDYKEYAKQRFQQYWLKKPILLDSPSIISQSEIVNGSLATLT >OIW01238 pep chromosome:LupAngTanjil_v1.0:LG11:34094714:34095591:1 gene:TanjilG_10399 transcript:OIW01238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDEKRHLESMNNHTVKSMTDTTAINVRSEFESAKDNNSFIEESDTSMSVNEEAKVEEEGTNTYLYVKSVRCSEDATIVDSECELSYHEADTLTLENYEEHLLGLESFSGHGYSELAEDNSEHSIDKECEDFLYSNKVNPHAYVLSSGQWNVDKEAQSSTRPPTIDLEFEQYFSTLML >OIW02668 pep chromosome:LupAngTanjil_v1.0:LG11:1938617:1939708:1 gene:TanjilG_29444 transcript:OIW02668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTVRIQSTATVMHLRLHQNAVVSSNYFFNHSRPVRNMKPIRVSMSSLPPSDPFEICVKASVTTPNRIGDCPFCQRVLLTLEEKHLPYDLKLVDLANKPEWFLKINPQGKVPVIKFREKWVADSDVITQTLEEKYPSPPLTTPPEKTTVYACFL >OIW01963 pep chromosome:LupAngTanjil_v1.0:LG11:11846010:11848512:1 gene:TanjilG_11537 transcript:OIW01963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFCLSNISTNKTFVAHASLHQKPDPPSQQTNVPQPQPSLNTTKNIKTPSFSKNKLRQRPPNPIVIQIERAVGAGSFRDTEPRHALLFHSLDVVDNKKSVVDWFLGQAVEGALEKNLRITGEWLANNSEKKVRSSGKGILMFMIQWMLPIWAISLLVACGAIKLPFNSPFLDDLIM >OIW02395 pep chromosome:LupAngTanjil_v1.0:LG11:3633425:3636829:1 gene:TanjilG_04988 transcript:OIW02395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTRYINSKIWLLNLVILFSQLFLSHGRQNLKFRLKAVNLGGWLVTEGSITPSLFDGIPNKNFLDGTSIQLKSVTTGKYLSADSRGGGGTILVANRSNASNWETFRLWRLNENSFRFRVYDKKFVGLDGINVVAVSNISTHSETFHFVKESDNSSRIRIKTSKGYFLQTKNEELVTADISEVNGWRNNDPTIFVLNISSTLQGEFQLTNGYGPKKAPLVMKKHWSTFIVEQDFKFIASNGLNAVRIPVGWWIVSDLTPPLPYVGGSLNALDNAFLWAKKYGLKVILDLHAGPGSQNGFPSTSTRDGYQEWGKTDTNIQQTIDVIDFLIARYANITSLYAFGLLNEPRAPGVTLETLKKYYNAAYKVVRKHSPMVYVVFSNRLDTSNPRELLPIANGLSRCVIDVHYYNLFGSIFQNMTSVKENIDYIRINRSSQLNSITTTNGPLTFVGEWADEWNAKGATKEEYKAFAKIQLKVYGRATLGWSYWTFRSVRNHFSLEWMIKNGYIKL >OIW02844 pep chromosome:LupAngTanjil_v1.0:LG11:678742:681809:1 gene:TanjilG_29620 transcript:OIW02844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLEALVPLLDCDDMQAVNDDNTNYSACLSNEMKNQGNRKFHSSSKDSTLHGGGLWINGLICAFEFIRKSTAAAVREVKKNKNNGFQGNQDNKFNSFSEPPLPVELEIGDLDDLDELHYGKDYHSSQSQTSYNVFGKEGLPRSYWRPIGWTRISELVQAVYSDDGWASQQRDLTDDESDIPVADVATPYWERPVGPIWWCHLDAGHPFVTTWLASSHWLHPAISIALKDESRLISERMKHLLYEVPVRVSGGLLFELLGQSAGDPLVEEDDIPIVLRAWQAQNFLVTVLHVKGSASNINVLGILEVQELLAGGGKNIPVCIHEVVAHLACRLARWDDRLFRKHIFGAADEVELMFMNRRNHEDLHLFTIILNQEIRRLSAQVIRVKWSLHAREEIVFELIQQLRGNATRSLLEGVVKSTRQMIEEQEAVRGRLFTIQDVMQSAVRAWLQDRSLTVTHNLGIFGGCGLIMSIITGLFGINVDGIPGASGNPFAFLIFSAILAVLGAVLIGIGLIYLGLKKPMIEENVAVRKLELQELVRMFQHEAETHAQLRKTVPHKDIPKSAAVRPTNGAHRHFTFSKLFRR >OIW02581 pep chromosome:LupAngTanjil_v1.0:LG11:2823417:2827928:1 gene:TanjilG_24032 transcript:OIW02581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKLKHRGPDWSGLHQHGDCYLAHQRLAIVDPASGDQPLFNEDKSVVVTVNGEIYNHEELRKQLPNHKFRTGSDCDVIAHLYEEHGENFVDMLDGIFSFVLLDTRDNSYIVARDAIGVTSLYIGWGLDGSVWISSEMKGLNDDCEHFEVFPPGHLYSSRESGFRRWYNPPWFSQAIPSAPYDPLALRHAFEKAVIKRLMTDVPFGVLLSGGLDSSLVASITSRYLATTKAAEQWGSKLHSFCVGLEGSPDLKAGKEVADYLGTVHHEFQYTVQDGLDAIEDVIYHVETYDVTTIRASTPMFLMSRKIKSLGVKWVISGEGSDEIFGGYLYFHKAPNKEEFHQETCRKIKALHQYDCQRANKSTFAWGLEARVPFLDKEFINVAMNIDPEHKMIKRDEGRIEKWVLRRAFDDEEHPYLPKHILYRQKEQFSDGVGYGWIDGLKAHAAKHNSASLTVPGGPSVACSTAKAIEWDAAWSKNLDPSGRAALGVHISDYDNQNNQVNKTVEFEKIIPTEAPLGVAIQG >OIW02897 pep chromosome:LupAngTanjil_v1.0:LG11:331005:332978:1 gene:TanjilG_29673 transcript:OIW02897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTSNFLPNHFTIPIVATACANMGLIHHGMTLHGLASKTGLFDVVGSSFVSLYFRCGRMGDALLVFDEMPVRDVVAWTALVIGYVQNGESEKGLECVCEMHRVGEVDERPNSRTLEGGFLACGNLGALYEGRCLHGFVIKSGIGYSKVVQSSILSMYCKCGVTQEAYQSFCEVIDKDLLSWTSLIGVYARSGMMSESVRYFWEMLDNQIYPDGIVVGCILSGFGNSINLVAEGKAFHGIIIRRHYAADEKVHNSLLFMYCKFGMLSFAERLFNRCQQNMECWNFMVFGYGKVGKNLECIELFREMQYLGLHSDSISVVSAIASCARLGATNLGKSIHCNVIKGFMDDNVSVTNSLIEMYGKCGKMVFAQSIFNRHGRDVISWNTLISCHILDQYHEEAINLFNKMILEDQKPNTATFVIVLSACSHLASLEKGEKVHRYISEGGFKLNLPLGTALIDMYAKCGQLEKSRKVFDSMKEKDVICWNAMISGYGMNGYAVSSVDIFQHMEKSNVKPNGITFLALLSACAHGGLVDEGKNLFSKMQSYSVKPDLKHYTCMVDLLGRSGNLQEAEALVLSMPVSPDGGVWGALLSACKTYNQIEMGIRVAKYAIDSEPENDGYYIMMANMYSSIGRWEEAENARRTMKERCSMGKKAAWSLL >OIW02122 pep chromosome:LupAngTanjil_v1.0:LG11:8661667:8666720:1 gene:TanjilG_26662 transcript:OIW02122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFHLTHSLFFSAFISTTRFRIPVHRFTSLSLGSSPRKRNSLKVLCKRRKKKKNIREECDSSFLEEEILVFMQNSKNPNVFPTRDELVAAGRVDLVEAIVKEGGWFTLGWDLNEDVSHEIEDLNEGYREIKVFEDGLGNEIDGNSGTLAFGDKSLFSSSDNSSQQDRSVEIEAGQSGIEGILNRLENERNSSFGLEFREKEDSMSSENHVHKDQWDHRTTMAAVDAVVENSSRLSTLSPTSNHYSDCQIKLDQHRSELGSDDLRNSTKPEGWRTWIVQRTGFKNADFEDAEIAPNEAKKGGVSDVSGQLDLLKIREFSDEPTIGETRLGSLDRNASHNDIKSRILHLESELSSVLYSLRSSSNEVIKQTDQKSSSGDLSELSDAWEFQENEIINAQDRLRTIRAKLAVLEGKMTLAIMDAQKIVEEKQKRINDAHRALQLLKTTSVIWPNTASEVLLVGSFDGWSTQLYPGKYEIKFIVDGEWKIDPLLPIVDNNGHVNNLLVVHD >OIW01409 pep chromosome:LupAngTanjil_v1.0:LG11:31079837:31082885:1 gene:TanjilG_25705 transcript:OIW01409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQNHAIRFGVIGCADIARKVSRAISLAPNAVIAAVGSRSLDKAKLFAEANGFPDDAKLYGSYEAVLDDPDIDAVYVPLPTSLHVRWAVVAAQKKKHVLLEKPVALNALEFDEIIEACESNGVQFMDGTMWVHHPRTSVIKDFLSDAHRFGELKSIHTCFTFGADADFLQNNIRVKPDLDALGSLGDAGWYCVRAILLAANYKLPKTVLASRDPVLNEAGVIMAAGASLYWEDGKVATFYCSFFSNLTMDITAIGTKGTLHVHDFIIPYQEKEASFYTSSESKFDELVTKWDSQPSKHTVNTDLPQEALMVTEFSRLVGEIKFNNSKPEKKWPTISRKTQTILDAVKASIDRGFEPVHIQE >OIW01348 pep chromosome:LupAngTanjil_v1.0:LG11:32728175:32739094:-1 gene:TanjilG_20530 transcript:OIW01348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGRLCSLLAELGYQGADALDPDSFEWPFQYQDTRPILHWISSTLRSSNILSFSELSQYEQFKQEGKLLEGGDLDFAYDSISAFSDRRDNQEAVFGAEEGLKEIKEATQAYKAEASDLQRQLRHLQSQFDMLSSQASTLTQGRRSRVGATSIVNGHLTTIDDSLSVRNLQMNAVLGRIASTTQELAHYHSGDENGIYLAYSDFSQYLLGDSSCLKELNQWFAKQLDTGPFRLVAEEGKSKCSWVSLDDASNIYVRDVEKSHHQRVSELQRLRSIFGISERQWVEAQVENAKQQAILTTLKSQVSSDEAHIHLDLHSLRRKHSELKGELSNLYSREEKLLSETIPDLCWELAQLQDTYILQGDYDLKVMRQEYYINRQKAFINHLINLLARHQLLKIACQLEKKQMLGAYSLLKVIESELQAYLSATEGRVGRCLALIQAASDVPEQGGVHDSDHFLHAIRDLLKVYSNTQAALSTYVSAPGIVQQISALHSDLTTLQSELENSLPEDRNRCINELCTLIQSLQQLLFASSTTAQPILTPRPLMKELDEMEKINAKLSAAVEEVTLEHVKKNEIVKHHSQEIGLQRRVFVDFFCNPERLRSQVRELTARVRALQIS >OIW01873 pep chromosome:LupAngTanjil_v1.0:LG11:14732324:14735495:1 gene:TanjilG_31055 transcript:OIW01873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEREKWNEHKNNGYYDEFLCDVDGAVDRDTPIRERSPMIPPSISVSSSSSPSRPLGHIEHHVSKFDTLAGIAIKYGVEVPDIKKMNGLVTDHQMFALKTLQIPLPGRHPPSPCLSNGSSTIGHGNSDHSSPDLAHRELLESFSSLRTKYSGRKVSPAMSSLQGYYGLKGSSTSSEHTPMSDRPMSRHRKSKSLVNVILEEIMEKCDTVPAAGAWESDSDKRNDKLVRRRQKSEADFTRIPELLMRPDNSSSGVLPSRTVKGLALRQKASSRTATDSESNGLSPVPIGFGDASLTGGSSGVRKSSSTSNLQDQDNNGSSSSIWPTSRWNLKPDIQAFTTATIAKPIFDGLPKPITGRRNKAALD >OIW01421 pep chromosome:LupAngTanjil_v1.0:LG11:30830022:30836841:-1 gene:TanjilG_25717 transcript:OIW01421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAATVGGQTASLYVGDLESNVNEGQLYDLFSHVAQVVSIRVCRDQTQRSSLGYAYVNLPTPLDAANAMEHLNFTPLNGKPIRIMYSHRDPSVRKSGYANLFIKNLDTSIDNKGLHDTFASFGTILSCKVALDSSGQSKGYGFVQFDNDESAQTAINKLNGMLLNDKKVYVGLFVRRQERAPSNGSPKFTNVYVKNLSEAYTDEDLKQLFGPYGTITSAVVMKDTNEKSRCFGFVNFQSPDSAAAAVERLNGTPTNGEKVLFVGRAQSKAEREAHLKAKFEQERIGRYEKLQGANLYLKNLDDSVTDDNLKELFSEFGTITSSKVYQNILLLCLPTSDTKEGKLDAIVRLLLSDHQVLETVSPLAGMIGRKPLYVAVAQRKEERKARLQAQFSQIRAPGAVAPLPAGIPGYHPGTPRFAPQQLYYGQGTPGLIPPQPAGYGFQQQLVPGMRPGVSPNYIMPYQLQRQGQPVQRLGVRRPGNIQQVQQNQMLHRNSNQGFTYMANGQNGMDPSVVPHGLAGPVMSLPFDGSGVTADPNGHHPGALSNTLASALASATPENQRMMLGEHLYPLVARLTPNNQTAKVTGMLLEMDKSEVIHLIESPEELKMKVSEAMQVLREAGPGSDVGDQLGSLSLNE >OIW02811 pep chromosome:LupAngTanjil_v1.0:LG11:932095:941144:-1 gene:TanjilG_29587 transcript:OIW02811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWGKAAHIYPLHTYQVFKSGPLFISSKGIGWKSWKKRWFILTRTSLVFFKNDPSALPQRGGEVNLTLGGIDLNNSGSVVVREDKKLLTVLFPDGRDGRAFTLKAETSEDLFEWKTALEQALTQAPSAALVMGHNGIFRNDTSDSIEGSFHQRRDKRPVKSLVVGRPILLALEDIDGGPSFLEKALRFLEKYGTKVEGILRQSADVEEVDRRVQEYEQGKTEFPSGEDAHVVGDCVKHVLRELPSSPVPASCCTALLEAYKIDRKEARLNAMRCAIAETFPEPNRRLLQRILKMMHTIASHSHENRMTPSAVAACMAPLLLRPLLAGECELEDEFDVSGDNSAQLLAAANAANNAQAIITNLLEEYENIFDEENIQRCSMSADSRVENSGSEDSTDDDNIDVKENGAHDAENEVGPETDDDAERVHSGKLSESSGYAGSDLYDYKAFGGDDSDVGSSTGIHTKIENKNLNADPITPSPVDQNKQRKGNEKDTPNLLPCAESYRSMGEILSSLDSGNHLPVLGIESGSAKQTGKASSSSFSSKRSTFWGRSNPRKTPSVESVDSSGEEELAIQRLEIAKNDLQHRIAKEARGNAILQASLERRKQALHERRLALEQDVSRLQEQLQSERDLRAALEVGLSMSSGQFSGSRGMDSKTKAELEEIALAEADVARLKQKVADLHHQLNQQRQHHFGSLTDAGDRYQQTQNHPQQRFLQQDFDSTLASVNHERKQRTEESLLSTDWRNIKGQVLGGGNGSRQPSRKQFMDSSPSDSKSTEASTSMSVDDIGAVDSGSVPFTSRAAEVIEYGRHPSVASSTLVELTTRLDFFKERRSQLMEQLHNLDLNYGSTTWQDSVYKPSSPSWS >OIW02118 pep chromosome:LupAngTanjil_v1.0:LG11:8575540:8579374:-1 gene:TanjilG_26658 transcript:OIW02118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSATASSEVSDGPVLNLINKRLRALRKKLNRITYTEESLSQGKQINKEQEEVLRSKPSVLSLIDELEKLRQPLQTALAEELNLALTRNNTKTETLETGNSGSAEPGNENKPDEAVVVEDILNLLYFGSLFDVKSQSDFASTMLTRTHERGCCLTYDYVTDDATDLLREKDLDLIAALRGLLISRPADSSLSHKNALQRCIEHAKLWLARAEEPIEANADVTYAGLRERLSKIMLSEYFTTTPEMKATVEVAAAAAAGNYYPVEVEVEGSVSPSQEKVEGTGDFQGHGSGDDQFDPEGEHQKGEVEAESAVDVVSVEHEQTVPVVDVEHNQQDIEGKEQHYPRRGYQSQRGGRGGAGGGRRGYSNGRGGRGGRGGGRGYQNGHNQYYDQQPGNYYPRNYQNNRGRGGGRGGGYSYDNHGPGGQVNNVASNGVQS >OIW02574 pep chromosome:LupAngTanjil_v1.0:LG11:2858355:2861788:-1 gene:TanjilG_24025 transcript:OIW02574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSESIGRTLRDGALEGELAPTLTIKDSLSSPFAFHVFSHILLQLSSRITTQNSQSRGIVIVALSRSPSYYIALLKMKGFDVASSNNWIHILDCYTDPLGWKEKISKPSNVTDPSHQIPLATSSYKTVKDIDKLFSVITEHGRGLVGDNKARFSVAIDSLSELLRHASLQSVAGLVGNLRSHEQISCIFGLLHSDLHEERAAAVLEYMSSMVASVDPFHHSVDGQRGYLGNSSSEQNFTKGKFNVRSKRRNGRVRVTCEEFKIEFGGISFSNVSSVDGITTAALVPKVQFNLQLSEKERIDRAKVVLPFEHQGNGKPIQIYDGRRSLEESNSETTQISSVKKEGDMGEIIYFRDSDDEMPDSDEDPDDDLDI >OIW01642 pep chromosome:LupAngTanjil_v1.0:LG11:21218656:21228218:1 gene:TanjilG_18213 transcript:OIW01642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEVVVCVLALENGRNENNEESRTELKRDYHQCIADTVDDNTEPGQSPKKKQVKDDSNDEVCSDVMNPKTSATENELTTFHDISSQPSESAKTFECGELTCTCLENSSSSDETLSDEASDQNNKNNISNTSHNDMDTSDMTMSCVVMEIPKHASLSGIRKITFKFSKKKEDYDEEYSAKPDLDNNSELYENSCGMGYVGTGDIDFYTARNMELKMSKKVVPNYYPTNVKKLLSTGILDGAIVKYMYNPMKVELRGIIGGGGYLCSCSLCNYSRVLSAYEFEQHAGAKTRHPNNHIYLENGKLIYSIIQEIKAAPLSILDEVIKNVAGSSVNEECFQAWKESLLESNGRVQAYKNYSTKLTGMPHKHISQSVESVSHLSSLHVPSHFVQQMYLKRTTDEWKYAVKKSSCLYNSGIQLKRSADSCTKRRDNDLHRLLFMPNGLPDGADLAYSVKGQKLLGGYKQGNGIVCGCCDVEISPSQFEAHAGMAARRQPYRHIYTSSGLTLHDIALSLASGQNLAMGDSDDMCAICGDGGDLILCNGCPRAFHAACLGLECAPESRWHCLNCRDNVDNGRESSMERPIMIQLTRDDKAPEFETGGCVVCRQHDFSVAKFDERTVIICDQCEKEYHVGCLLDIGLCELDELPKDKWFCCDDCNGIYLALQNSFSAGADIIQTSLSELIIKKHEERGLCTYEGMSDIQWRILSGKSRYPEHLPILSRAAEIFRECFDPIVAISGRDLIPVMVYGRNISGQEFGGMYCTVLVVNSVIVSAGLLRIFGCNVAELPLVATSREYQGKGYFQVLFSCIERLLSSLNVEKLVVPAAGDAESIWTKKLGFRKMTEDQIFSWGNHIFDSIMKGLNALACNYVQRHREVQLTLFNKTSMLEKTVQPGRK >OIW01514 pep chromosome:LupAngTanjil_v1.0:LG11:27646351:27647385:-1 gene:TanjilG_19440 transcript:OIW01514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHQSDMKVDGYTLPALMLYYAENGLFPQAHTTWEQLVNSSFVPTVPFLSKLFDAYGKQRNFDQVIRILRYVDLRDFSISPAVYSLAISCFGKGGQLGLMEDTVKEMVSRGFNVDSETANAFLLYYCIFGSSKDMENAYGRLKKSRFLIEEEAIRAMAAAYVKGRKMYELGEFLRDVGLGRKNVGNLLWNLMLLSYATNFKMKSLQREFLRMLEAGFRPDVTTFNIRALAFSRMSLFWDLHLSIEHMKHEKVLPDLVTYGCVVDAYLDRRLGKNLDFALSKMILDDSPQLSTEPFVFEAVGKGDFHLSSEAFLEFKTQRQWTYRALIQRYLKKHFRRNQIFWNY >OIW02425 pep chromosome:LupAngTanjil_v1.0:LG11:3908125:3908820:-1 gene:TanjilG_05018 transcript:OIW02425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKRENNKIHTTQSSKSMQSLSSPSSSASSNDKKKKYKGVRMRSWGSWVTEIRAPNQKTRIWLGSYSTAEAAARAYDAALLCLKGSSANLNFPLTSSHYIPQENTSMSPKSIQRVAAAAANTFMNNANSNSITSPSPLIASNSSSLVSSPLSMSSSSPSNHIDDDFSLLSSFKDYTSCDQTHESMAMMDSWYGFDGYHVDQMLSGTLFDIDSTQLLDDLYEESDIRLWNFR >OIW01367 pep chromosome:LupAngTanjil_v1.0:LG11:32277218:32278624:-1 gene:TanjilG_12907 transcript:OIW01367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQKVRVLMQRYELGRLLGQGTFAKVYHARNLLTGMNVAIKVVDKEKILKVGMIDQIKREISVMRLVKHPNVVELFEVMASKTKIYIVMEYAKGGELFNKVVKGKLKEDAARKYFQQLISAVDYCHSRGAYHRDLKPENLLLDENDNLKVSDFGFSVLAESKCEDGLLYTTCGTPSYVAPEVIKRRGYDGTKADIWSCGVILYVLLAGYLPFRDANLMQMYKKIGKGEFKFPRWFAPDVRRLLSKILDPNPKTRISMVKVMENSWFKRGLVKEKPVITNMETKQISPLDAADGVFEVCENGDPIADSKQEQTKPCKLNAFDIISFSTGFDLSGLFDDDVHKKEVRFTSNKPASIIISKLEEMCNQLRLKIKKKEGGLFKLEGSNEGRKGALGIDAEIFEITPQFHLVEFKKCHGDTMEYQKLLKEDIRPALNDIVWTWQREQQQPQQLQHEGEVQEEQIEAISSQQIS >OIW02244 pep chromosome:LupAngTanjil_v1.0:LG11:6161199:6162566:-1 gene:TanjilG_15127 transcript:OIW02244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKFSKQFEGQLIPEWKEAFVDYWQLKKGLKKYQVLNNTNNSMHQSSSVPKSIISSLRNYSLFGHQHRDHGTIQVHRKLASSTIKGDIYETELLEQFADTDATKEFFACLDQQLNKVNKFYRTKEEEYMERGDSLKKQMEILLELKSTFMEKHGKEGCSEDSKEDQSISCTFSNEEDSVRNRELQEEIQETSTDDKDEVPCLDSPRADEFGKSMQMKREDGKMRTHSGRIINCKGKNLRINIPLTTPSRTFSSISYLVWEDLLNQSSRKCGAEGSKVYVNKTKLHHAEKMIKGGFV >OIW02009 pep chromosome:LupAngTanjil_v1.0:LG11:10329056:10330215:1 gene:TanjilG_11602 transcript:OIW02009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQLLDKAKNYVSEKISDVAKPEASVTNVDFKRVSGNNVEFLSMVSVRNPYSTPIPICEIRYSFKSADREIASGTIPDPGSLKAKDTTMVDVPVKVPYSILMSLAKDIGADWDIDYQLDIGLIIDVPVMGNITIPLSQKGEVKLPTLSSMFA >OIW02590 pep chromosome:LupAngTanjil_v1.0:LG11:2721344:2723451:1 gene:TanjilG_24041 transcript:OIW02590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCYLVAKKIASCVIYETNSYSLNSLQKEHVTHHVHPNPEMVNAARKFSELQDQDHLVIQVDSSFDDALIMSQFMACISKLKNQNEFIVPRVQKHVNLFQTKELLIRNNLLIDSLPLEIIRDLQETVKLMVAAGFEKECCHIYSSCCRGFLEECLRLEELDLKEEEKVLDIMMQRWMNACYVALGILFPSERKLCQRMFFGVSPAADLSVMVVCWEFTIGLLNYANDLATGSRSLNHFRCSLRVFRTLPGLISMFDSVFSNHHSASLKNEAIEIRKRLGEAIRVIFMEMEDHIFRDLVPKVVPHDGIDLITYFVESCFNIVLEYRDTLEQIFKEYPMPMVSDREGTFSSILSIQMDRIMELLQNNLEAKSKNYTDPAFGYVFLMNNYNYIVHRATCGYETTLGSDWIKKHTTKLQQNLEHYQRTWDKVLDILKLDSNESMTPLAAAESMKENLKLFNQQFKQICSIQTTWFVFNEQLREEIETSIEKMLLPAYENFIGRFQTLIGKDAYEYNEYVMFDIEALLNNLFLGKQNGQPREGNISL >OIW01533 pep chromosome:LupAngTanjil_v1.0:LG11:28211132:28214508:1 gene:TanjilG_19459 transcript:OIW01533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVGRNVAAPLLFVNLIMYFLVLGFASWCLNRFINGTTYHPSFGGNGATMFFLTFSILAAVLGIVSKFIGGNHIRMWRSDSLASAGATALIAWAVTALAFGLACKQINIGGHRGWRLRIVEAFIMILTFTQLLYLLLIHAGLFNSRYGPGYRDNDYGVGDPMHKGSAIPATGTRV >OIW02539 pep chromosome:LupAngTanjil_v1.0:LG11:3204831:3206162:1 gene:TanjilG_12853 transcript:OIW02539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGAPSFMPLFIITIILIHPNIANATDNIRETSQISKLDRDDDNGGIATISPTVSTHNYDHDHQGKKSKALKPSIVIVVCVLTSVCSITFMILLYIKHCIRGNTSSTSRNLNNSSEERKNSGVERSMVESLPIFRFGSLRGQKEGLECAICLKRFEDHELLRLLPKCNHAFHVECVDMWLNEHSTCPLCRDKVDLEDIVLIDQQQLPPPSLIRHQSNAQNEGFDINTTPQPLPRPQLHNPNQVMSRRHSWVGKSDNGIIEISLEDIEETSSHRRSLDDSVVRRIEGKRKDGKLATHENKRSKKREKDHRLEHRIIVSSPTTKSSQCPNVYQKRWSNIEPCDLLCLTPDMIISENYTRTASSSSQQQHQNRRVSLPFFIRNQNVEDEMEKGGMNMNMNMRTVSETTGMNGNRGRGRGREREKEEERQEGAIKRWLAWISKTHSQ >OIW02472 pep chromosome:LupAngTanjil_v1.0:LG11:4355919:4370533:1 gene:TanjilG_05065 transcript:OIW02472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVEMDTSPHLFDPHNLTTREKFRRYGKRHSSSGASAHENSASKLSETGLFYDGQNIHSPTNAALFLENIKQEVEDFEEKTPYSSRKRLSADIPGVPGVDAGFDSVRHSLKACKQEGDSLGDGAETIFTLFASLLDSSLQGAARLMPFPDLILRFEDACRNVSESTRYGLNLRHRVVEDKLMRQKAQFLLDEAATCFLFFFLLPVTEELSKDQILVSETSHVVACEFVAEDHTAQLSLRIVQWLEGLASKALDLEAKVRGSHVGSYLPSSGVWHHTQRYLKKGASDTNVVHHLDFDAPTRENANILPDDKKQDESLLEDLWTLLRAGRLEEACGLCRSAGQPWRAASLCPFGDLNQFPSIDTLVKNGKNRTLQAVEFESGIGHQWHLWKWASYCASEKMAELGGKYEAAVYAAQCSNLKQMLPLCTDWESACWAIAKSWLDVQVDLEVTRSLPGGVDQLRTFSDAIDGSHGLANGSIDASNGPENWPIQVLNQQPRHISSLLQKLHSGEIIHETVTRQCKEQPRQVQMALMLADIPHILDLIWSWIAPSEDDQNVFRPHGDPQMIRFGAHLVLVLRYLLAEQMKDTFRDKILSVGDNILHVYALFLFSKEHEELVGIYASQLAAHRCIDLFVHMMELRLNSSIHVKYKIFVSAMEYLPFSSGDDSKGNFEDIIERILLRSREVKVSKYDNLSDVAEQHRLQSLEKAKVIQWLCFTPPSTITNVKDVSEKLLLRALVHSNILFREFALISMWRVPAMPIGAHTALGFLAEPLKQLSDTLEISEDYNFSEDLREFQDWREYYSCDATYRNWLKIELENAEVSVSELSMEEKERAISTAKETLNASLSLLERKETPWLISTDHIYESAEPVFLELHATAMLCLPSGECLCPDATVCTTLMSALYSSAGEEVVLSRQLMINVSISSRDNYCIDVVLRCVAIADDGLGPNDLNNGGILGTIMAAGFKGELPRFQAGVTMEISRLDAWYSDKDGNLVCPATYIVKGLCRRCCLPEVILRCMQVSVSLMGSGVLPDCHDKLIELVGSSETHFLHLFSQQQLQAS >OIW01543 pep chromosome:LupAngTanjil_v1.0:LG11:28732476:28733051:1 gene:TanjilG_19469 transcript:OIW01543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPFSWDNGIHQKPTDKFIYSFEDLDAREATETEEKLNWMETGRTLECYALAHVGALIRQPELSVNPTDMDSTNFPGSGKGKSHAPPS >OIW01994 pep chromosome:LupAngTanjil_v1.0:LG11:11471959:11473086:1 gene:TanjilG_14025 transcript:OIW01994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLLSSKQPFTIVTALLFLLLIHHISPPPPVLAATPHVINFRSPNLYPEGLAWDPKGQHFLVGSLRHRTISAVSDAGVVETLISDPSLPENVTILGLAVDSRNNRVLAVIHAMKPHPPFNALAAYDLRSRHREFLSLLPSADENDAVRPIANDVAVDFKGNAYVTNSAGNYIWKVNDRGEASIFSNSPRFTEHPVVRDTPYSFCGLNGIAYVSNGYLIVSQSNTGKLFKVNAEDGTARQVLLNGDLTAPDGVVLRPDGVLLVVSPVEGKVWFLKSNDGWGEGVVFDKINLDLEGYPTSVVVGEMDRAYVIYGRVNEGILGNSERESFGIEEVRSPKESEDENIWMYVMIGLGLAYFMYWRFQMGQLVKNMNKKIN >OIW02388 pep chromosome:LupAngTanjil_v1.0:LG11:3542127:3543320:-1 gene:TanjilG_04981 transcript:OIW02388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSGNSRSISSSGDEEYDSRVDHSTMLPSTFLNHPPTHFGQSSSLNVSSHHHNPSLFDLSSSYLHSIPNTNPNSFLHLDTTTTSTSQSKRPEPNCTNPANLQGFNQGLFPSPHGLSHDENKNARVVSSALTNNASATRNSKKRTRASRRAPTTVLTTDTSNFRAMVQEFTGIPAPPFSGSSSYSITRRLDLLNGSSSSLRTSASHHLDTTPSFYPLRPSPQKLHHQQNPFPSTLLHKNNMVDAIASSTTNNNNSINYQLPPDLGLPYHHNNSQNIMLSMQQNYPNILPFQQTPLHSLGNHVLSGFGAKSPASLSVQSLEELGMNHGHVNSADLLGASSVSLPQGHGRVTSDHGNGRELNFSKASASRSLNHEKNLENNSTSTTRGEGNVDSWIRSSD >OIW02908 pep chromosome:LupAngTanjil_v1.0:LG11:262824:269967:1 gene:TanjilG_29684 transcript:OIW02908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFVSLMHSHTDFLLKPIFLHGLSASFHLLLLLLVLVPWVWNKFTVGVRHDSKDKSKDTLLKKSIFCSLGASVFNLILFLFNCFFWYTSGWSDEKVVTLLDLALKTVAWGVVCVCLHKQFIFFLCSGQNRFSSFFRTWCVFYLFISCYCLVVDIVLLYEKHVVLQVQHLVSDVVSTCLGLFFCYLGYLVKNEGAESSTIQEPLLNGHSDTNVSNGLGSKETNGDNTVTPFSSAGIFSTLTFSWVGPLVAVGNKKTLDLEDVPQLDNKDSVVGAFPTFRDKLEADCGAINSVTTLKLVKSLLLSAWKEILFTAFLALLNTLASYVGPYLIDSFVQYLNGQRLFENQGYALVSVFFFAKIVECLTQRHWFFRLQQIGIRIRAVLVTIIYNKALTLSGQSRQGHTSGEIINFMSVDAERVGVFSWYMHDLWMVVLQVTLALLILYKNLGLASVAAFVATILVMLANFPLGSLQEKFQSKLMESKDTRMKATSEILRNMRILKLQGWEMKFLSKITELRNTEQGWLKKYLYTSAMTTFVFWGAPTFVSVVTFGTCMLIGIPLESGKILSALATFRILQEPIYSLPDTISMIAQTKVSLDRISSFLRLQDLQSDIVERLPPGSSDSAIEIAGGNFSWDLSSSNTTLKNINVTVSHGMRVAVCGTVGSGKSTLLSCMLGEVPKVSGIMKVSGSRGYVAQSPWVQSGKIEDNILFGKEMDRERYEKVLEACSLKKDLEILSYGDQTVIGERGINLSGGQKQRIQIARALYQDADIYLFDDPFSAVDAHTGSHLFKECLLGLLSSKTVVYVTHQVEFLPAADLILVMKDGKITQCGKYADLLNSGTDFMELVGAHQQALSALNSLDGGTVSGEISTLEQDVNVSGVKEKNGNKDMQNDTTGDKNEAIGQLVQEEEREKGRVGLSVYWSYITTAFGGALVPFILLAQILFQALQIGSNYWMAWATPISSDVEAPVTGTTLIVVYVALSIGSSFCILARAMFLVTAGYKTATILFNKMHHCIFRAAMSFFDSTPSGRILNRASTDQSAVDTDIPFQIASFAFSLIQLFGIIAVMSQAAWQVFIVFIPVIAISIWYQQYYIPSARELSRLVGVCKAPCIQHFAETISGTSTIRSFDQQSRFQELNMKLTDGYSRPKFNIAGAMEWLCFRLDMLSSITFAFSLIFLISIPQGVIDPGLAGLAVTYGLNLNMIQSWVIWNLCNLENKIISVERILQYTSIPAEPPLVVEETRPDPSWPSYGEVDIHELQVRYAPHLPLVLRGLTCTFLGGLKTGIVGRTGSGKSTLIQTLFRIVEPAAGRVMIDGINISSIGLHDLRSRLSIIPQDPTMFEGTVRNNLDPLEEYSDEQIWEALDKCQLGEEVRKKEGKLDSAVSENGENWSMGQRQLVCLGRVLLKKSKVLVLDEATASVDTATDNLIQQTLKHHFSNSTVITIAHRITSVLDSDMVLLLSQGLIEEYDSPETLLEDKSSSFAQLVAEYTMRSNSSFEKSDEH >OIW01345 pep chromosome:LupAngTanjil_v1.0:LG11:32677943:32683604:-1 gene:TanjilG_20527 transcript:OIW01345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYQWRKFEFFEEKYAAKCSIPDEEVENGDDGVAANKNKKIQCCSSGRGKVVTGFDDGMVCLFDRGLKFNYAFQPHSSSVHFLQQLKQRNFLVTIGEDEQLTPQQSALCLKVFDLDKMQAESSSTASPDCVGILRIFTNQFPEAKITSFLVLEEVPPILLIAIGLDNGSIYCIKGDIARERINRFKLQVENNSDKSPSCVTGLGFKVDGRSLQLFAVTPSSVTLFSLHDEPPRRQTLDQIGCGLNSVAMSDRAELIIGRSEAVYFYEVDGRGPCWAFEGEKKLIGWFRGYLLCVIADQRMGNHTFNIYDLKNRLIAHSVLVKDVSHMLYEWGNIILIMADKSALCIGEKDMESKLDMLFKKNLYTVAINLVQTQQADAAATAEVLRKYADHLYSKQDYDEAMAQYIQTIGHLEPSYVIQKFLDAQRIYNLTNYLEKLHEKGLAYKDHTTLLLNCYTKLKDVEKLNLFIKSDDSIGELKFDVETALRVCRAANYHEHAMYVAKKAGKHEWYLKILLEDLGRYEEALEYISSLESSQAGMTIKEYGKILIEHKPVETIEILIRLCTEDEDRRGDSNVVYVSMLPSPVDFLSVFIHYPQSLMDFLEKYTNKVKDSPAQVEIHNILLELYIANELNFPSMSQVNEDAVNVPSAKTLILSSQSNGTIAEHKGSQEETDRLERRKKGLSLLKSAWPPETEHPLYDVDLAIILCEMNAFIDGILYLYEKMKLYKEVIACYMQKHDHEGLIACCKRLGDSGKGGDPSLWADVLKYFGELGEDCSKEVKEVLTYIERDDILPPMIVLQTLSRNPCLTLSVIKDYIARKLEQESKMIEEDRQAIDKYQEDTLSMRKEIQDLRTNARIFQLSKCTACTFTLDLPAVHFMCMHSFHLRCLGDNEKECPECAPEYRSVIEMKRNLEQNSKDQDRFFQQVKNSKDGFSVIAEYFGKGIISKTRNGSTSGLGSGNSTSSSVI >OIW01887 pep chromosome:LupAngTanjil_v1.0:LG11:15311738:15326271:-1 gene:TanjilG_31069 transcript:OIW01887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRVYGTGTYDFRRHRVAEYPVELKAVELSQKPGGGGVISSTITLSEIQRDRITKIAEENWLKTSEETAKKPFDSELVRKMYETELLVKEGQKPVPLQRVMILEVSQYLENYLWPNFDPKSATFEHVMSMVLMINEKFRENVAAWGCFYDRKDIFKGFLERVLRLKEGRELSIAEKTNYLVFMINAFQSLEDEVVSMTVLRLASLKSWYSLSYGRFQMELCLNPGLVKKWKRMVRKEASKGGQHLDPSTTTEVMFLRNLIEEFMEILNSEVFPQIQLSGEDDELIDASGLGLANDACILYCERFMEFLIDLLSQLPTRRYLRPLVADVAVVAKCHLSTLYRHEKGKLFAQLVDLLQFYEGFEINDHTGTQLTDHEVLESHYSRLQSFQLLVFKKMDKLRELALTNIGSIHKRADLSKKLSGLPIEELRDLVCCKLKLVSKEDPWSERVDFLIEVMVSFFEKQQSQKEAINALPLYPNEQIMWDESVVPSINYSGEGCLALPKLNLQFLTLHDYLLRNFNLFRLESTYEIREDIQEAVPHLLAYINNDGETAFRGWSRMGVPIKEFKISEVKQPNIGEVKPSSVTAKVTFSISSYRAQIRSEWNALKEHDVLFLLSIRPSFEPLSAAEEGKASVPQKLGLQYVRGCEIIEICDEEGTLMNDFSGKIKRDEWKPPKGDLRTVTVALDTAQYHMDVTNIAEKGGEDVYGTFNVLMRRKPKENNFKAILESIRDLMNEYCIVPKWLENIFLGYGDPSAAQWTNMPDLLETVDFKDTFIDADNLKASFVDYEVSFVNPDGTENLNPRPPFKIKLPRMLKGSGGALNGSTVSTAGAVNGISMIDGNHQKERLIIETYTPPDPGPYPQDQPKQNSVRFTSTQVEAIISGIQPGLTMVVGPPGTGKTDTAVQILNVLYHNCPSQRTLIITHSNQALNDLFEKIMQRDVPARYLLRLGQGEQELATDLDFSRQGRVNAMLVRRLELLSEVERLARSLQLPEDVGYTCETAGYFWLLHVYSRWEQFLAACAENKDKPSFVRDRFPFKEFFSDTPHPIFKGESFEIDMRAAMGCFHHLKTMFQELEECRAFELLKSTADRANYLMTKQAKIVAMTCTHAALKRKDFLQLGFKYDNLLMEESAQILEIETFIPMLLQRQEDGHARLKRCILIGDHHQLPPVVKNMAFQKYSHMDQSLFTRFVRLGIPYIELNAQGRARPSIAKLYNWRYRDLGDLPYVKEAAVFHKANAGFAYDYQLVDVPEYLGKGETTPSPWFYQNEGEAEYIVSVYIYMRLLGYPANKISILTTYNGQKLLIRDIINRRCVPHDFIGPPSKVATVDKFQGQQNDFILLSLVRTRFVGHLRDVRRLVVAMSRARLGLYVFCRRSLFEQCYELQPTFQLLLKRPDQLALNMSEITSYTERDVEDPGPRHHIHLVSGIEEMSSIIDRLYQERLTHQFVQNGSYFSHSAPSLNSDEVQSRKQTVATGTPEQAEDMDIPHESEETEKVDNHVAVNQPESNVEDVTMVDSSAHISNQSSMP >OIW01628 pep chromosome:LupAngTanjil_v1.0:LG11:21951219:21951590:1 gene:TanjilG_14627 transcript:OIW01628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTEEEKERGGSMIHLPHLAAGTKEVPPPRPNRVQAMTPTSIAANVMSRTTAVAKPHPTAVHLLVVELSRVHEPMAIAVSGAAHSAISIVQVVSPPRQSSILQPIHRAGGTKLGTLFPNFSKS >OIW02545 pep chromosome:LupAngTanjil_v1.0:LG11:3240956:3246294:-1 gene:TanjilG_12859 transcript:OIW02545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVTVDLGFSGLVFPSLVCVVVLLIGFVVPRKWKQSVARAEEVKRLLDFAKEEATRVENEASYQYGAVLVAKNNECAVCFCPTTTRCARCKAVHYCSGKCQIIHWRLGHKDRCHPPSTYRETDGLTLRSDIGNKVVEPDYGGIRDEKFQVESTEYTTSSKKLPSSDNIRIESNAWVNVTDNSSELSNNSFSGFSASNSATESSDDSSVCESMTSNEHERSEGRVFADPTLVISDTTSNDDSKGIAIPLSPKFTSLVDSVDCFSTKDKLNQVRPGSGKEESKLTSNGSSGLSMRKRATVEPSMVSSGFWDKTHAPRGTKDDSSSVTLQSHSNYSLPKSVRNNMPCTSLASSENEGMDYSGCAEASSIHNLQRVVSKASNHTMNNHGSTLKSAEIKSLPHASGNTNLVSRTKELSHYDAKCGDNGNQSGTSTSTQVANSSLNSNNGLKTSVLKVAAQFRESNSLKHFPQTVGSDIGRRFNDKGLFPYDLFVKLYTWNRVELLPFGLINCGNSCYANAVLQCLAFTPPLTAYLFQGLHSRSCANRKWCFICEFQNLILKSKDTKSPLSPMGILSQLHNIGSQLGNGREEDAHEFLRLTIETMQSVCLMEAGAYASESLKEETNLIGLTFGGYLRSKIQCSKCGGKSECQERMMDLTVEIEGVTTLEEALRRFTCTETLDGENKYHCIRCKSYEKAKKKLTISEAPNVLTIALKRFKSGKFGKLNKPIRFPEILDLAPFMSGTSDRSPIYRLYGVVVHLDTMNAAFSGHYVCYVKNFQNKWFKVDDSVVTAVELDRVLTKGAYVLLYARCSPRAPRLIRNMIVSSDSKSKVNGKTVTMKARLSSTDSGASEFMINSISPDGSPTMETLHSKFDHMKRILEEDSSSDNASLFSNNSDEGSCSTDITRDSSGTDDFADYTFW >OIW01753 pep chromosome:LupAngTanjil_v1.0:LG11:18667847:18672232:1 gene:TanjilG_03891 transcript:OIW01753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLSAEESQEDEHSSVFYKLLLEILRFSASMLSALLNCPNFGDKELMNTIGTFILEVLNVTKDSISDAKKIESFGPEILKVSHMIIEAVIKLCKVRSELVNLETYDDKLLSLDKPTNVGHAIKITRCAIEKLSQIGVIAAKNGGSSVNILNASWKGVVSLLQIGGGHFAEVNIAKILLTLLALITEPLKLAAEAWSSTLKDNVSVTEAKRIVVPVKFYMINAVKICSLYPHQAHTVHREITLCVLMVTSFWIFVINEKLLKCASAVVTEVLEGTTLDLVLSLLNSDKLNLDQKLEVLEWLFISEEDSHSVLDGPTLADCNLTWVIEVFCKTCEGMSRARVLIMGRVALFINFLRYSHVFDEDVKVAITPKLHWFLDILVQEDVYSHVLVLQLPLLCGSGKTTELVWQPMFTSFLQAFKTFMLVISSSTAWRELEVFLLENFFHPHFLCWEIVMECWCFLIRHAESHMANSIISKLCSLLKQLASLESVFHCYSPFRKLARSICLLLTYGPPSMVNQVYMSLVGDGRSQLSLIMCLALFIEGFPLDLLTDELRNTSGQRIISDYFDFIDNFDEASLVACPSGSAGIPVFILSASLQSLPVRLSDIDAKTLKFLVLITNCYKGASDKVIKDQCLRLFSETLEIISNLKHLYTSNDIEQAITKIENIFISEPPALMYKCKPNLAHFMTGLIHMEMSESDDDAKSCAAWELYHLLLKERHWAFIHLALTTFGYFAARTKCNQLWRFVPQDAALSYDLVSGMEANKERFMLEFKKFLNKEAALSFAPNPEHLEQLGRDGLILKQMVHKISMIAKEKEGCESMEVDDDKNQFNKKRKLPDKISRGVEMLKSGLTIIGDGLSQWKLNQYETNELHAKYLTQFSQLEEVITHFEELAGNGEAC >OIW02173 pep chromosome:LupAngTanjil_v1.0:LG11:7623926:7625371:1 gene:TanjilG_02397 transcript:OIW02173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKICCIGAGYVGGPTMAVIALKCPEIEVVVVDIAAPRINAWNSKHLPIYEPGLDDVVNECRGRNLFFSTDVEKHVAEADIVFVSVNTPTKTQGLGAGKAADLTYWESAARMIADVSKSDKIVVEKSTVPVKTAEAIERILTHNRKGINFDILSNPEFLAEGTAIADLFNPDRVLIGGRETPGGQKAIKTLKDVYAHWVPDERILCTNLWSAELSKLAANAFLAQRISSVNAMSALCEATGADVSQVSHAIGTDSRIGPKFLNASVGFGGSCFQKDILNLVYICECNGLPEVANYWKQVIKVNDYQKNRFVNRVVSSMFNTVSGKKIAILGFAFKKDTGDTRETPAIDVCKGLLGDKANLSIYDSQVTEEQILKDLTMKKFDWDHPAHLQPSSPATSNKQVSVVSDAYEAIKDAHGICILTEWDEFKKLDYQKVYENMQKPAFVFDGRNVVDANKLREIGFIVYSIGKPLDSWLKDMPAVA >OIW01692 pep chromosome:LupAngTanjil_v1.0:LG11:20055071:20055253:1 gene:TanjilG_01199 transcript:OIW01692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTISRAIVLPLQSVYASSREESWIRCVSRFSCRGSLLLILVSSMGMNKDLVVLVWKCAIT >OIW01934 pep chromosome:LupAngTanjil_v1.0:LG11:13220163:13226427:1 gene:TanjilG_14167 transcript:OIW01934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPASVVISGTFSASEFISALEFLTCTSAEFISDRFSCATVMSGTNSSSEFIPTSIAFPELIFPRSFSSSTNSITTGVIPTTVIVLSRLFSSLELVSAMNFTVTGFSSLAKHVYGASKVAATSSTGKLELLRKLGADLPIDYTKENFEDLPNKFDVVYDAVGQTERAFKAVKEGGKVVTIVPGGFHNGIFFILASDGAILDKLKPYLESGKVKPILDPKSPFPFSQTVEAFSYLETGRVTGKVVIHPIP >OIW02701 pep chromosome:LupAngTanjil_v1.0:LG11:1721666:1723092:-1 gene:TanjilG_29477 transcript:OIW02701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPSHSFTLTESETELGFPSEFPYEFDSFALEHLISPLENVVCSTETASSVQEDLFAGLTRRLSQASLHENRPSQYAVRINTSNKTEIQKKARVISGSPESTLIGSVGWSGRSPGSGLTNPNTSSRILSSDTTAFSNDAWDTIHAAAEQVARSKIIGDVSNLYYHNKVHRGFPPHVAVENHTDHLLNSNNLNQAPHFLYLQLKQEQINTTLQMLKQQCGVVRGLETEPYLSSYQQQLEVQNNSCEFGYGSVKCKHHMPKSTWHPPQVKHKNQHVQPNRRSGSGPVLNGGSRDKRVCTGTGVFLPRSYMDPHEPHCTPLNLNIVDLNATTQQRFANAYDELLAKRNAIQMQQKLCLRREDARSYEIRLPQEWTY >OIW01157 pep chromosome:LupAngTanjil_v1.0:LG11:35325509:35328585:-1 gene:TanjilG_17714 transcript:OIW01157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERVAEPRALPRTLPDLAEVSNTHAAAIREARKEVSSMHGVREVNQISKARDGPLTRLGSIKELAQLSNARVVFVREDMGFDTRCSQQPVSPAAPTRTWKGKASFPKREELMPDIEILKGSDDSFRESGPSSFSGVSHPPEPIDTDPMRTVYVPISQNKSESGCLMKSMSLKGPFLEDLSIRVPSKKPSLAILSPEEILVEESNDTGNLSSPYLGARVSQNTENSLLAPDSDEKECVWDASLPPSGNVSPHSSIDSNGVVRAMSIATSCASTYRSDAITSDGMLSLDRNFDNAKGSVRGDSLESGKTSASRASDSSVLSDDSNWSNLTGSAYKPHKGNDPRWMAILAVRARDGILGMSHFKLLKRLGCGDIGSVYLSELSATRCYFAMKVMDKASLASRKKLTRAQTEREILQLLDHPFLPTLYTHFETDRFSCLVMEYCPGGDLHTLRQRQPGKHFSEYAARFYAAEVLLALEYLHMLGVVYRDLKPENVLVRDDGHIMLSDFDLSLRCAVSPTLVRTYDGDPSKRAGGAFCVQPACIEPSSVCIQPSCFLPRIFPQKNKKSRKPKAEPGFPGNRLPELVAEPTAARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLYGKTPFKGSGNRATLFNVVGQQLKFPDSPATGYASRDLIRGLLVKEPQHRLGVKRGATELKQHPFFEGVNWALIRCSTPPDVPRPVETEPPAKFETVDTVAVGNNSKRMAGNDMKPGGNFLDFEFF >OIW02582 pep chromosome:LupAngTanjil_v1.0:LG11:2793129:2794996:-1 gene:TanjilG_24033 transcript:OIW02582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCSVVVSNSPVFSPSSSLFCNISPSPDSHTLSLSHLKPSSSATTSSCSSPSNSTSSPSSPFRLRLSKPHTVSSTSPNGPVLKRKRPGRLDIPVSSLSFGVPATPSAVARDVMEAEGDGFSVYCKRGRREYMEDRYSAADNLRGESKLAFFGVFDGHGGAKAAEFAAKNLENNVLDEVIISDEDDVGEAVKRGYLNTDSDFLKEDLNGGSCCVTALIRNGNLVVSNAGDCRAVISRNGVAEALTSDHRPSREDEKERIETLGGYVDLCRGVWRIQGSLAVSRGIGDRHMKQWVTAEPETKVLRIEPEHDLLILASDGLWDKVSNKEAVDIALPFLIGNKKQQPLLACKKLVDLSVSRGSMDDTSVMLIKLEHYV >OIW01792 pep chromosome:LupAngTanjil_v1.0:LG11:18037753:18044950:-1 gene:TanjilG_03930 transcript:OIW01792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLPTRQFHPSATATFFDSFHSSPKFHYFRYTSSTHHSHNLTLKPPFRISSFNSLKKPVFYCNPSQGFSTESWLKNWNHSNKHSRPKPPRALLDYHDSGSGHVSKFGFSSSDDDGDDNDRGSGSGSGRTMDRIVEKLKKFGYVENGNEKQDRTRGNLIEKGSVEDIFYVEEGMLPNTRGGFSPDSPFGVGNIGSDGEVRFPWEKPKEEDIEKRNSSRGRKTKTSLAELTLPESELRRLRNMTFQKKHKTRIRSGGVTKAAVDMIHERWRESEIVRLKFEGDAALNMKKIHEILEKRTGGLVIWRSGNSLSLYRGVSYEDPSLQQNKQISRKSENSSKFLSPPSYDSVEKPSDFASSSGTNMPTEELEAIDDQKEKDGLPKVNYEDEVDKLLDSLGPRYTDWSGCDPLPVDADMLPTFVPGYQPPFRVLPFGVRASLGLREATALRRLSRTLPPHFALGRSRQLQGLAAAMIKLWEKSSIAKVALKRGVQITTSERMAEDIKKLTGGMLLSRNKDFLVFYRGKNFLSPEVTEALLERERMAKTMQDDEEEARLRASSLVIPTINTTELSAEAGTLGETLNADAKWRKTLDECQKQNVMREVEQFRHAKLVRKLERKLFIAERKLMRAERALMKVEQTLVPSEYKADPDSITDEERFMFRKLGLRMKAFLLLGRRGVFDGTIENMHLHWKYRELVKILVKAKTFDQVKKVALALEAESGGVLVSVDKVSKGFSIIVFRGKDYKRPSTLRPRNLLTKRKALARSIELQRHEALSKYITNLNNKANKIKSEIDQMDIVKNQGDEALYDELDSAYPSDDEESEVEDGVVGDDDDEAYLETYNSENDSEVEDDSEVENDSFNSPTEEFDS >OIW02314 pep chromosome:LupAngTanjil_v1.0:LG11:5477036:5482191:1 gene:TanjilG_11208 transcript:OIW02314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTKVLLSQLRTSFTFTMNPLSSRATLPIFSPILFSFNLKHLPLQNAPFHLRPLSTHSSSGSRGKVRASKSLIDEESELSGWVSELRTDSYRGRLTSDDDDNRDSVRGRGSGMGRGRGGGRGGGRGRGGSGGGGGGRIDESTRRGTRDSFDSGNRGRGSVSGRGRGRGRDMRGESTRRETRDPLDSFSRRNSRAIQNFNGEKDEFRSSRNNNNNSDNREAVFGKSSRRGVELRNATRMSSQSKSEEEDEDEDDDEDEVVDRFNSRGFDEFLSDDDDESEGSEEDDEILSKSKTALFGNEKTTPRAASSGGSESYLSDTRFDQCSVSPLSLKAVKDAGYEKMTVVQAATLPIILQGKDVLAKARTGTGKTVAFLLPSIEAVAKLPPSDRDQRRPPIHVLVICPTRELASQAATEATKLLKYHPTIGVQVVIGGTRLALEQKRMQANPCQILVATPGRLRDHVENTAGFATRLMGVKVLVLDEADHLLDMGFRKDIEKIIAATPKQRQTLMFSATVPEEVRQVCHIALRRDHEYINTVEEGSEETHSKVHQTHLVATLDKHFSFLYTLLKEHIADDVEYKVLVFCTTAMVTRLVAELLAELKLNVREIHSRKPQSYRTRVSEEFRKSKGIILVTSDVSARGVDYPDVTLVIQLGIPADREQYIHRLGRTGRKGKEGQGILLLAPWEEFFLSTAKDLPIEKAAVPSVDPETTKKVEKALAHVEMKNKEAAYQAWLGYYNSNKKIGKDKYRLVELANEFSRSMGLDNPPAIPKLVLGKMGLRNIPGLRTK >OIW02871 pep chromosome:LupAngTanjil_v1.0:LG11:486370:489623:1 gene:TanjilG_29647 transcript:OIW02871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIPYNSHHAPSHSGDIHSLNNPFHKRKPITTRSKRLGAPTGKRSRPETPLLKWKINDQAHANSSSLAPSVPRTYRNSDNNKNRTHVAVSARRLAAGLWRLHMPEMDMNGCRRTEDRLGLQHGIGHVGLPFHGHPNGTTHGYDLKNISQSPRSISGTKSGHFCEPEPPFQFSNTEMEGATKWDPLCLKTSNVAQHIYSQMKLLDHKVSAVSAVSALEAELEQARSRIQELETERCSSKKKLEHYLKKVSEERVQWRSREHEKIRAYIDDIKSELNRERKSRQRIEIVNSRLVNELADVKLSAKRYKQDYEKERKARELIEEVCDELAKEIGEDKAEVEALKRESFKFREEAEEERRMLQMAEVWREERVQMKLIDAKIALEEKYSQMNKLVADLESLIKSRGMDPNSKEMREAQSLQRASAAMNIQDIKGFSYEPPNSVDIFDIFEDANFGEPNERDIEPCVSHSSASHASNIHKVSLEANVVSKDGIKRHSDVFMDDNGDIEGEESGWETVSHAEDQGSSYSPEGSDKSLSRNNREINVSRRSVLEWEQNAGEETPITEISEVCSIPTKQSKKLSSIARLWRSGLNNGDNYNNISMEGMNGRISNEKLSKVGIMSPDHGLGKGGLSPQDLLYQLSSPESGNPQSHRGMKGCIPRNTLKSSLKSKLLEARMESQKFQLRHVLKQKY >OIW01876 pep chromosome:LupAngTanjil_v1.0:LG11:14854566:14857242:1 gene:TanjilG_31058 transcript:OIW01876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQLKDGLSPHCMEPQVCGLIHYQLFFPTDLSGRCWVEHGCDYLTGKLPGLGMDEDSISIKVNNGECDRDLPAHYNRLGKSADEADVEEIIEGSSKTSATDQQIQVQENVHSQIKTFCTFMDEILLPSEKTVTDPFESSQQANTLPRRSGLSFAVGRSVSPTNDSAVPQTKPLSQAEVSQKLKDQLGYTLSVKPSQIPHKDAGQGLFVDGAVDVGAVVAFYPGVVYSPAYYRYIPGYPKVDAQNPYLITRYDGSVINAQPWGSGGDERQLWHGRKMREIKADVKGGEKGSEKLWKLLSKPLEGNQGDNSEVLELRNPLALAHFSNHPPKGVLPNVMICPYDFPLTENNMRIYIPNILFGKAEVKMRRFGSFWFKSGALKNSGSNIPILKSLVLVATRALQDEELLLNYRLSNTKKRPQWYAPVDEEEDRRRWS >OIW01322 pep chromosome:LupAngTanjil_v1.0:LG11:35019930:35026785:1 gene:TanjilG_10483 transcript:OIW01322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANTNRMVYFLVLLQISLSIVLTQSAPESSLVTQLPGFNGTLPSKHYAGYVTVGHKHGRNLFYYFVASESNPSKDPVVLWLNGGPGCSSFDGFIYEHGFNAGAKPKLNFKGYLIGNGVTDYEFESNAVVPYAHGMGLISDQIFEVLGSLNPYDILEPCYHGSENIRSDNRLPLSFRKLGETDKPMPIRRRMFGHAWPLREPMKNGNVPTWPQLRGALPCTDNEVANEWLNNEAVRKAIHTVDKSVVGEWDLCTNNLEYNHDELSMIKFHKELTSNGYRALIYSGDHDFSVPYTGSEAWTRSLGYKIVDEWRAWLVDGQVAGFTQGYDKNLTFLTIKGAGHTVPEYKPKESLYFYKRFLDGLPI >OIW02563 pep chromosome:LupAngTanjil_v1.0:LG11:2926488:2931978:1 gene:TanjilG_24014 transcript:OIW02563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGDNPKTHPVLKSFKSAMKKFAVFFTLVFSGKSKTASKVIGSYDKKVSSKLRGLSSSTSSSLEGTNKSSSIRKFSSSYASASTASEQLEIGNFSFEEIYKSTANFSPENIIGKGGFGTVYKGKLDDGTLVAAKRARKDVLNTHFSEFKNEIYTLSKIEHLNLVRLYGYVEHGEEKIVVVEYVSNGTLREHLDGTRGDGLDIGHRLDIAIDVAHAITYLHMYTDNPIIHRDIKGSNILITENLRAKVADFGFARLSDDPGATHISTEVKGTAGYMDPEYLRTYQLTDKSDVYSFGVLLVETMTGRHPIEPKRAITERVTIRWAMQVQKEGDAVIAMDPRLRRSPASVKAVNKVLNLAFHCLAPSKQSRPSMKSCAEILWAIRKVFRDETLSYDPTLPSHHPETFP >OIW01200 pep chromosome:LupAngTanjil_v1.0:LG11:33421337:33424902:1 gene:TanjilG_10361 transcript:OIW01200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSEANALLKWKASLDNTSQTLLSSWTNNGNSPCNNNWVGIECDDESKSVSYISLLNMGLRGTLQSLNFSSFFNILSIDISNNSFYGTIPTQIGLLSNLDTLSLSNNNLSGTIPKSIGNLSKLSHLDLSVNNLVGTIPPEITQLIGLIEVDISNNTLIGSIPTSIGTLANLSFLGLGNNELSGHIPSEIGKLVNLRNLWLEGNNLSGIIPDELGMMKSVNDIDLSGNFLSGRIPSSIGNLSNLQHLSLHNNTLSSFIPSEVGKLYSLVTIHLQSNNLSGSVPQSIGNLVNLETIILWKNNLSGSIPSTIGNLTKLKKISFCSNELSGPFPPSIGNLTNLIDLHLAYNHFIGHLPPNLCHSGKLEKLSANGNNFRGQIPKNIKSCSSLVRVRLEQNHLTGNITEDFGEYPNLNYMDLSDNNFYGHLSPKWGKCYSLQSLKISNNNLSGYIPPEIAEAINLHVLNLSSNHLTGQIPMELGKLTLLNQLSISNNHLSGNVPIQIASLNELQTLELAANDFSGAIIEQLGNLPKLLHLNLSQNRFVGHIPFEFGRFNSLQSLDLSKNLWSGKIPATLGELKYLETLNLSHNNLSGAIPSSFDDMLSLTFVDISYNQLEGPLPSIPAFQKVSIEALRNNKGLCGNISGLNPCPSSHNKPQHHKNNKVLQLVLPITLTILTLLLLVSIVSYCFCLSVSTKENQVVESQTQNLFSIWSYDGKMVYENILEATEEFDNKYLIGVGGCGYVYRAELPTGQVVAVKKLHSIQSEETVNLKAFQREIEALTKIRHRNIVKLYGFCSHSQHSFLVYELMERGSIDMILRNDAQAVEFDWNRRVNVVKGVANALSYMHHYCSPPIVHRDISSKNVLLDVDYEAHVSDFGTATLLNPDSSNWTSFAGTFGYAAPELAYTMEVSEKCDVYSFGVFALEIMFGKHPAEVILSTMLLSSAKLVASTIENLLLNEKLDQRIPYPTNQFAKEAITSILRIGIACLSENPRSRPTMEQVVKELMISNCSMDDQVHIITVEDGS >OIW01296 pep chromosome:LupAngTanjil_v1.0:LG11:34752767:34756533:-1 gene:TanjilG_10457 transcript:OIW01296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSEQQVPPRTPAADIVGNAFVDQYYHMLHESPELVHKFYQEVSKLGRPEQNGLMGITTTLADINKKILSLGYGELSAEIISVDAQESYGGGVLVLVTGFITGEDNIKQKFTQCFFLAPQEKGYFVLNDVFRYVNENGFEESARDIGSPVSHDNVADPTVSEAQVSEQISVTAEEGEGEEVYNAENGQASIEEEEEAPVPEVVDEIPDDSQIVAGLTSQIEDVPKKSYASIVKVTKESPAQSSTAAVVSLKYVVKSQDQQGTAAPPPPNTSETNVSSINTNDIGNNQETEAEGYSIYVKGLSPNATPALVENEFKKFGPIKSGGVQVRTQKGFSFGFVEFEVASAMQSALEGKPIQYALFLLFSYPSSCLELPDKGDNAMFIVNMLLLPLRSVGNSRIRFPTGRAPGYRSEGSRGRGGNYGNGRGYGRGGDFNGRGDYGYRNGNRGGFSSRGDGGYQRSDNTGASGGGRNDHTGASGGRVNRTGGSAVNATPKTTAVRVPASA >OIW02258 pep chromosome:LupAngTanjil_v1.0:LG11:6383094:6384890:-1 gene:TanjilG_15141 transcript:OIW02258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGGLVSHYEQDTHKIEQYLPLLENLLFYVDAKSTNNRMAQRTAALKIRWSSGLTSSSFFNLSGLKFFQIDNLRFELAMTLFLYGGILRERALEVLSTDLVQSSTFSRQAAGIYHHLAYDVLPSLRPVLPPEKPSEALTEVSTIMSLICLAEAQAAAVRRAEEKDTSPSLLAKLHHGIALFLEEAIGILSTVVKQYKDISSRLLEFISSCKSLHELKGKQYVAESLRASDQIGAAIAVLRSGLINAKKKIPREESWKSIYQKQIHDASEVLRKFEHENYVVWSQKIPSVNELPLPQGSKIVNIIDYSPKRWERQLVFKT >OIW01742 pep chromosome:LupAngTanjil_v1.0:LG11:18950713:18951183:-1 gene:TanjilG_03880 transcript:OIW01742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVARSKRGIVLYQRKYTLDLLEETGFLGAKPASTPMEYNNLHSQSGTPLTDDSSYRRLIGKLLYLTNTRPDISYDVGALSQFLCSPSDLHYKAATRILRYLKNSPGKGIFFPAENTTTIQGYSDSDWAKCIDTRKSITGWCFFLGNALISWKSKK >OIW02640 pep chromosome:LupAngTanjil_v1.0:LG11:2345078:2355180:-1 gene:TanjilG_24091 transcript:OIW02640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTTAAPDLSLHISLPSTSASCNNTTATTLPNNYEDLTTITVSQAHTELSLGRDFTPTQETQQNPYYQSQTHDFHHLHHNHYKNSTSYATSTSNTAAATNNTTPMNHTNYDLPLDGLRPIKGIPVYHNRSFPFMPLDHSRDHHKDLLHQQHQHNMCLYHHVPSYPSLSSSCSSSSPYFAAASLDPMSLRAAATAATRFNGMCGADAFNSLPSLHHHHSQFGVNTSDTSPGLIRSKFLPKLPIRRSMRAPRMRWTSTLHARFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQMYRTVKTTDKPAVSLGHSNGSGEDDMSPIGSSVDRDGLSQFTDQRGWPDQPVQQDMDYTSTIATLWSNSSRYTINSAFSYCMEEEENEDEDEEEEEQEDEQEDEDEEEKEEEEEEEEEEDQDEVEDDDDDDEDEDEDCMMMRKRKRRRKRKRNRMRMRMRMRIRLRIGRGRR >OIW01334 pep chromosome:LupAngTanjil_v1.0:LG11:35149953:35161890:-1 gene:TanjilG_10495 transcript:OIW01334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRTTTVDCPGCPPLRALTFDTLGLIKVVEARDKQQGGIPKIVERWGEPDSSKSVVAVSMLDRKTQPLMAVARKNGQIEVICPVSGDLQATISNANGSDLKSEENNIAGLHLFAKQNLEVASGSCTLLTCTTNGNASIRPIEATDSSTESSCNDSSKTWSICSGGNILCCKVDTSEKFALFGGKGFEVNIWDLDNCTKVWNAKSPPKNSLGIFTPTWFTSATFLSKDDHKKFVTGTNNHQVRLYDISAQRRPVLSFDFRETPIKAVAADIDGYSVYVGNGTGDMASFDIRTGKLLGCFIGKCSGSIRSIVRHPELPVIASCGLDSYLRLWDTTTRQLLSSVFLKQPLTHALFDSNFIVEENPAGAESLPSKEETGNIIHEEVEASSLKRKKSSKNKENINGDERNKKSKRSEERKKSKGKDGEEKIASKEKVSKSTSKKRNKSSKYEISDEGF >OIW01406 pep chromosome:LupAngTanjil_v1.0:LG11:31131239:31153421:1 gene:TanjilG_25702 transcript:OIW01406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAEDLGVEAKEAAVREVAKLLPLPELLQSISSIKADYISRQQANDAQLSTMVVEQVEQAQAGLKSLSLSEKSVNQLRENFVSIEKLCQECQTLIDNHDQIKLLSNARNNLNTTLKDVEGMMSISVEAAEARDSLSDDKEIVNSYERLTALDGKRRFALAAAGSHKEEVGRLREYFEDVDRTWETFEKTLWGHISNFYKLSKESPQTLVRALRVVEMQEILDEQVAEEAADAEGDGAISAVTNPHQSAIKSTSSMASSKNLTQQKMKVHGKGFKDKCYEQIRKTVEGRFNKLLTELIFEDLKAALEEARVIGDELGDIYDYVAPCFPPRYEIFQLMVNLYTERFIQFLRLLSDRANELTNIEILKVTGWVVEYQDNLIGLGVDDSLAQVCSESGAMDPLMNSYVERMQATTRKWYLNILEADKTQPPKKTEDGKLYTPAAVDLFRILGEQVQIVRDNSTDVMLYRIALATIQVMIDFQAAEKKRLGEPASEIGLEPLCAMINNNLRCYDLAMELSNSTIEALPQNYAEQVNFEDTCKGFLEVAKEAVHQTVSVIFEDPGVQELLVKLYHKEWSEGQVTEYLVATFGDYFTDVKMYIEERSFRRFVEACLEETVVVYVDHLLTQKNYIKEDTIERMRLDEEVIMDFFREYISVSKVENRVSILSDLRELASAESLDTFTLIYTNILEHQPDCPPEVVEKLVGLREGIPRKDAKEVVQECKEIYENSLVDGRPPKTGFVFPRVKCLTASKGGLWRKLT >OIW02711 pep chromosome:LupAngTanjil_v1.0:LG11:1638950:1642118:1 gene:TanjilG_29487 transcript:OIW02711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITRLSDVVLDCVMPYIHDPKDRDAISQVCRRWYELDSLTRKHVTIALCYTTTPERLRRRFPHLESLKLKGKPRAAMFNLIPENWGGFVTPWVKEIARYFDCLKSLHFRRMIVTDSDLQNLARSRGHVLQALKLDKCSGFSTDGLYYIGHFCRNLRILFLEESSITENDGEWLHEIAMNNTVLETLNFYLTDFSKVRNQDLELIAKNCPNLVSVKITDCEILGLVNFFRHASALEEFCGGSYNEEPEKYSAVSLPAKLSRLGLTYIGKNEMPIVFPYAAILKKLDLLYAMLDTEDHCNLIQRCPNLEVLETRNVIGDRGLEVLAQNCKRLKRLRIERGDDDQGMAEEEGVVSQRGLIALSQGCPELEYMAVYVSDITNACLEHIGTHLKNLCDFRFVLLDREEKITDLPLDNGVRALLRGCNKLRRFALYLRPGGLTDVGLGYIGQYSPNVRWMLLGYIGESDAGLLEFSKGCPSLQKLEMRGCSFFSEYALSVAATRLTSLRYLWVQGYGASPSSLDLLAMARPYWNIEIIPSRRVLANNDPEEPIIVDHPAHILAYYSLAGQRTDFPDTVKPWDPATYVVT >OIW02676 pep chromosome:LupAngTanjil_v1.0:LG11:1910018:1910326:-1 gene:TanjilG_29452 transcript:OIW02676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKKNYNTSETVETPTRSNDSKFISKLNSNLSSRALSMVKMLSWKKEQVEGDGERDYGDKDEEVLWRKNILMGERCRPIDFSGKILYDSEGNMLPDLSHQNE >OIW01107 pep chromosome:LupAngTanjil_v1.0:LG11:35712509:35718814:1 gene:TanjilG_25215 transcript:OIW01107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGCCFPVTFDRSPRGSFRLVRNSSFELSKRGGRRENQRGPVVVCFGEMMVNLVPTVEGVSLGDALAYEKSPAGATANVAVGISRLGCSAAFIGKVGKDEFGYMLSDILKQNGVDNSGLLFDENARTGLVFYQIKSDGEHEFMFYRNPSADMLLRPAEIDMKLIKKAAVFHYGSVSLIKEPCKSAHLAAMNVAKMCGSVLSYAPNIALPLWPSPEAARETIMGIWNYADIIKVSEEEVRFLTQGDDPYDDKVIMKKLYHCNLKLLLVTEGAQGCRFYTKDFKGKVSGFEVEAVDTTGAGDSFTSGFLSIVAAHKQIYKDERRLREALDFANACGAVTVTGRGAIPSLPSKDAVLRILLSY >OIW02726 pep chromosome:LupAngTanjil_v1.0:LG11:1526746:1527768:-1 gene:TanjilG_29502 transcript:OIW02726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSPKSYSASAPDMTLMSPLCLSSSLPMSTVSTPPMSTLAASSPKSGNMWQNQTNLNLSSLQLPSSQLKAALSARDLDFEMELLGLGSPSHACQQQQQQQLIEEIARISSPSFRRRMGDLHPTNLDELLASADASTLSQLHGLSVQPSTSTQMQTLNTLQTRQNMNHLRASYPSNNMPSSPVYKSSPYGFDSSAAVAAATMNSRSAAFARRSQSFIDRGAAANHLGLSSPSSPSCRQSSALSDWGSPTGKLDWGVNEDELSKLRKSASFGFRNNGVSSPMAQSELVEPDVPWVHSLVRDRSERFGAEKKQQQQQQYDLSKEMLPPWVEQLYIEQQEQMVA >OIW02261 pep chromosome:LupAngTanjil_v1.0:LG11:6413918:6418722:-1 gene:TanjilG_15144 transcript:OIW02261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHDEVIWQVIRHNHCSFMAKITTGNFCRNPYNVTGICNRSSCPLANSRYATIREDNGVFYLYMKTIERAHMPNKLWERVKLPRNYEKALELIDKHLLYWPKLLVHKTKQRLTKMTQMRIRMRKLALKTREKIMTTPRKEKKREARREEKAEKAALLEKSIEKELLERLQKGVYPDSDIYNYPFEAYNNVIDKEGVQAADEEDEEEAEVEYVEGYDDLEEEDDIEDFGAFGIDESQGDFEDDDNDGNSDDEEAEAVANRVKRKTDSIAKRLDKDASDSKLKKAKVLVEVEREDADEKQRVVQ >OIW01823 pep chromosome:LupAngTanjil_v1.0:LG11:17550581:17551049:-1 gene:TanjilG_28886 transcript:OIW01823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATEVEGGRRDDRKRCQGHKPNQSSRDDFFRWLFTVAQRKVAMITFDVLIVYDFALRGR >OIW01997 pep chromosome:LupAngTanjil_v1.0:LG11:10842377:10842868:1 gene:TanjilG_00236 transcript:OIW01997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNYISCTLAPPMMKNTRTARVIFPTGEIKQYKSQVNVAELMLECPTFFLSNSRSLHIGHRFSALAADEELEFGNVYIFFPMKRVNSIVIAADMAVLFMAANSAAKRISGLEVKPNVIGVENDVPRLSLEGVDESGLFQNRLSFCRSRKPVLETIKEEPIWVR >OIW01908 pep chromosome:LupAngTanjil_v1.0:LG11:13970058:13972416:1 gene:TanjilG_15233 transcript:OIW01908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRIFFNLELFLLGLEKYGKGDWRSISRNFVVTRTPTQVASHAQKYFIRLNSMNKDRRRSSIHDITSVNNGDVSASQAPITGQTNGSAGNSTGKSGKQAPPASTGVPSVGIYAAPTIGQPIGGPLVSAVGTPVNLPAPGHMAYGVRAPVPGAPMNIVPMTYPMSQTSAPHSVNNGDVSASQAPITGQTNGSAGNSTGKSGKQAPPASTGVPSVGIYAAPTIGQPIGGPLVSAVGTPVNLPAPGHMAYGVRAPVPGAPMNIVPMTYPMSQTSAPHR >OIW01751 pep chromosome:LupAngTanjil_v1.0:LG11:18680396:18690855:-1 gene:TanjilG_03889 transcript:OIW01751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GVALSKHGEAAKVISEEQLSPAEMALRIKLLQEDSKLQKLHKELVASGKLTESEFWATKKEFLILYKNIIVQKLLDRDESRKLKQQIGFKNSLIFDTKPTSDGRIFALKPAVHQAFLNFVPKKMTEVDFWNKYFKAEYLHSTKNTVAAAAEAAEDEDLAVFLKDDEILEVEARRKVRQVDPTLDMEADQGDDYTHLPDHGLFRDGIKEVSEAQNTLYRRTLCQDLNRQGAVVLEGKTSDMEMEPPRTVAEVLARRRQGESDGVVEEERRNRISKMAQIEDLQAENDHHFAPLCIKDPREYFDSQQVNAVKTLYDSQAGTEKIRCSLTSEEAYGSLRASISNIKAIGLRDPLFSHEVALKVLNGLTKNISSTKYHLGKNSQESVLDILPNTTKEKLLDHWVCSQELLRHFWSSYPITTQNLASKTKRLKDAMSQVYSKLEEIKVSAKSDLRHQVSLVVHPMQQALDAALLHYEADIKKRNAKGQKPNGYV >OIW02279 pep chromosome:LupAngTanjil_v1.0:LG11:5002028:5006259:-1 gene:TanjilG_11173 transcript:OIW02279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTKAPRPRIRVGKYELGKTIGEGSFAKVKLARNVDTRDHVAIKILDREHLKKEISAMKLINHPNVVKIFEVMASKTKIYIVLELVRGGELFDKIASSGKLKEDEARSYFQQLINAVDYCHSRGVYHRDLKPENLLLDTNGVLKVSDFGLSTYAQQEDELLRTACGTPNYVAPEVLRDRGYVGSASDIWSCGVILFVLMAGYLPFDEPNHAALFRKISRADFSFPSWFAPESKKLLKRILNPDPLARIKIEELLEDEWFKKGYKPASFTEEEGVNVDDVAAAFNDSKENLVTERKEKPVSMNAFELISRSQGFNLDSLFEKQTGVVKRETHFTSQRPANEIMSKIEEAAKPLGFNVHKRDYKMKLQGDKSGRKGHLSVATEVFEVAPSLHMVELRKTGGDTLEFHKFYKNFSSGLQDIVWNAEEKK >OIW02346 pep chromosome:LupAngTanjil_v1.0:LG11:5796542:5797709:1 gene:TanjilG_11240 transcript:OIW02346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLSSDNFLQTFTQSENQPNHVPLIPSSTFRYGHEELETMVVSESLGDSSPPYTATSCAEKNKNLVGNKRKRNNNEVIHVRAKRGQAADSHSLAERVRREKINEKLRHLQDLVPGCYKAMGMAVMLDVIINYIHSLQQQIEFLSMKLSAASMYFDFNTSDMGATKTMQQRNASTHEIQEMETIAGEGYGGFCYFNPTWPLY >OIW01554 pep chromosome:LupAngTanjil_v1.0:LG11:25569445:25577004:1 gene:TanjilG_10836 transcript:OIW01554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRQMEEIQKKLAMLNYPRANAPAQSLLFAGMERYALLDWLFFRLLGDKSPFSQQNLQGDVLDRDEETARIQYLAEIAKFLGITTTVDTEAIQGHGSYEDRTEMLRLIVDLVEATMYADNPDWSVDEQVAKDIQLIDSIAEKQAQIFSEECKLFPADVQIQSIYPLPDVSELESKLSEQSKILLNLQQKVDDLASKHAYNPDEEYTEVESQLRGHLESFLETARTFNMIYTKEIRPWTHMMEVPQLHGFGPAANRLLEAYKMLLKFLGNLRNLRDSHAALAFGSSETSEEPSSVTRVISECESALTVLNRDLGVLSASIAREQGEKMNI >OIW02020 pep chromosome:LupAngTanjil_v1.0:LG11:10574480:10576631:-1 gene:TanjilG_11613 transcript:OIW02020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHDAMILYICLSVGLVSILIVLLLLLYKRKYGSSGIQFETTNSYSDPSSTPDAENGNVYFGVPLFSYKELEEATSRFDQNKQIGDGGFGTVYYGKLQDGREVAVKRLYQHNYRRVEQFMNEVQILTRLRHKNLVSLYGCTSRQSRELLLVYEYISNGTVASHLHGELAKPGLLPWSIRIKIAIETASALAYLHASEIIHRDVKTNNVLLDSSYCVKVADFGLSRLFPNDVTHVSTAPQGTPGYVDPEYYQCYKLTSKSDVYSFGVMLIELISSQPAVDMDRHKGEINLSSLAIKKIQESKFSELVDPYLGFDSDSEVKRMIISVAELAFQCLQRDKELRPSMNEVLDVLKRIESEKNEPEVHQEEADVYSAGASDCNVHPPLPPKSPEWDQVVKQPSSPITVTDNWESQTTTPNVSS >OIW01139 pep chromosome:LupAngTanjil_v1.0:LG11:35934075:35937173:1 gene:TanjilG_25247 transcript:OIW01139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGWEDVYTVVVAMVPLYVALILGYGSVRWWNIFTREQCDAINKLVCYFTFPLFTFDFTAHIDPFKMNFMFIAADTISKFIIVVVLALWAKWCSKGSYCWSITSFSLCTLTNALVVGVPMLKAMYGSFAVDLVVQSSVVQAIIWLTLLLFVLEFRRAGIEGTHAIKPKPKTTIISSSSRVMEGAASEGGEEKDVEEAIGVAVDVKDELILEDSDSISPPFCKLMKVVWLKLVVNPNSYGCVLGISWAFISKRWNFEMPSIVEGSILIMSRAGTGTAMFSMGIFMALQEKLIACGPSLTAFGMVLKFIAGPAAMAISAIAIGLHGDVLRVAIIQAAIPQSITSFIYAKEYGLHPEVLSTAVIFGMIVALPILVAYYWMLEFIH >OIW01385 pep chromosome:LupAngTanjil_v1.0:LG11:31782203:31786147:1 gene:TanjilG_12925 transcript:OIW01385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTVVMRLLSSSSSSSLSLLGSIKVAVLPIAKVFTMCFLGFLMASKYVNILPAHGRKLLNGLVFSLLLPCLIFSQLGQAVTLQNMLRWWFIPMNVVLGSISGSLIGLLVAFIIRPPYPFFNFTIIHVGIGNIGNVPLVLIAALCRDPSNPFGDYDTCSTNGTAYISFGQWVGAIILYTYVFNMLAPPPEGTFYIDDEGLASLKRTPSNNGASPEQAPLLPQSDTVTTIDSNASKKWKIKDFLAFLYEKLKLKQILQPPIIASILAMALGAVPFFKQLIFTTDAPFFFFTDSCIILGEAMIPCILLALGGNLVDGPGSSKLGLRTTAAIIFARLLLVPPVGLGIVMLADKLGFLPPDDKMFRFVLLLQHSMPTSILSGAVANLRGCGKESAAILFWVHIFAIISMAGWTVLFLNILF >OIW02243 pep chromosome:LupAngTanjil_v1.0:LG11:6154618:6159579:-1 gene:TanjilG_15126 transcript:OIW02243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKFSKQFEGQLIPEWKEAFVDYWQLKKGLKKYQVLNNTNNSMHQSSSVPKSIISSLRNYSLFGHQHRDHGTIQVHRKLASSTIKGDIYETELLEQFADTDATKEFFACLDQQLNKVNKFYRTKEEEYMERGDSLKKQMEILLELKSTFMEKHGKEGCSEDSKEDQSISCTFSNEEDSVRNRELQEEIQETSTDDKDEVPCLDSPRADEFGKSMQMKREDGKMRTHSGRIINCKGKNLRINIPLTTPSRTFSSISYLVWEDLLNQSSRKCGAEGSKVYVNKTKLHHAEKMIKGGFIELYKGLGYLKVYRNLNMLAFLKILKKFDKVTSKQILPIYLKVVESSYFNSSDKVMKLADEVEELFVKNFAEDNRRKAMKYLRTSQAKESHAITFFIGLFTGCLLALLAGYVIMAHVTGLYRPQQHSVYMETVYPVLSMFSLMFLHFFLYGCNILAWRKTRINYSSIFELTPTKDLKYRDTFLICTMGMTAVVGVMLLHLTLLTKWYSYAQVQGIPGLLLLVFLLMLVCPFNIIYGSSRYHFLCVIRNIILSPLYKVVMLDFFMADQLCSQVPMLRNLEYVACYYITGSYKTQDYGYCMRTKHYRDLAYAVSFLPYYWRAMQCARRWFDEGQTSHLVNLGKYVSAMLAAGAKVAYEKDGSVIWLCLVVIMSSAATMYQLYWDFVKDWGLFQINSKNPWLRNELMLSRKAIYYFSMGLNLILRLAWLQTVIHSSFENVDYRVTSLFLAALEVIRRGLWNFFRLENEHLNNAGKFRAVETVPLPFHEVDEED >OIW02466 pep chromosome:LupAngTanjil_v1.0:LG11:4311769:4313240:1 gene:TanjilG_05059 transcript:OIW02466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNKFNGKQDNRKPNTYTSNSYHAKQEPQEEFRDRTHGLLVIGTFGNSNEIKEILDNQKNTEEDPSTSEEIADFTPEEIVKLQKELTKLLRKKPNVEKEISELPLDRFLNCPSSLEVDRRISNALCNDSGDKEEDIEKTLSLILGKCKDICVADNKKKAIGKKSISFLLKKMFVCKSGFAPTPSLRDTLQESRMEKLLRTILHKKIYAQNSSRASSMKKFLEDRKMTGKGNEDEPEERTDDRCKWVKTDSEYIVLEI >OIW02550 pep chromosome:LupAngTanjil_v1.0:LG11:3282493:3283227:1 gene:TanjilG_12864 transcript:OIW02550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSKETNAPRQSSTECCCMCGDPGFSDHLFQCKLCQFRSQHRYCSNIYPKLDSLETCNWCLSQKESEKSPNSSNSSSPYRNNNNNSPYDENKKMRKGLRGSTSALQLQLQKKPIKKLHKSPEAKSPSSQPVLISTRKRVITNGALEERLRRTKSEDITNTSVGTPKQVFRNKVRRYKLLDEVSS >OIW01957 pep chromosome:LupAngTanjil_v1.0:LG11:12037046:12040611:-1 gene:TanjilG_13821 transcript:OIW01957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSKALCFITHQAIFNTVLSGDLDGLKIQLEQMNKDESSSSSPSSLFSEFFSLQNDAGETMLYIAAEHGFQEVFSFLFRFCDFEVVKIRSKADMNSFHVAAKCGHLDIVREFLSTWPEVCNLCDSTNTSPLYSAAVQGHLDVVNAILDVDVSSMMIVRKNGKTALHNAARYGNLPIVKALIARDPAIVCIKDRKGQTALHMAVKGQSTSAVEEILEADPTILNERDKKGNTALHIATRKARSQIVIFLLSYTAMDVNAINNQQETALDLADKLPYGDSALEINEALAEYGAKHARHVGRVDEAMELKRTVSDIKHEVQSQLKQNEKTRRRVSGIAKELKKLHREAVQNTINSVTVVSVLFASIAFLAIFNLPGQYLMQGPEAGKANIADKVGFRVFCLLNSTSLFISLAVVVVQITLVAWDTRAQKQVVSVINKLMWAACSCTCGAFLALAFVVVGRETWMAITITLLGVPTLVGTLACLCYFVFRQHFGTYRSDSQRQIKRASGSKSFSWSYSAHISDVDEYNSDIEKIYAL >OIW02932 pep chromosome:LupAngTanjil_v1.0:LG11:133273:135133:-1 gene:TanjilG_29708 transcript:OIW02932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPPRQQSRVGGLQTSLCLVSPDPRLSPEEPRSNSDNLHESQTESASSRETWPTAVAIAAKKMDNGKTEIDIPEQSVTRHVSNADKISLQDIARERVDIIYEKMHRLPEEFLEELKNGLRVILEGGSDSQHRDEFFILQKLVKSRSDLTAKTLIRVHRVQLEILVAINTGIQGFLHPSISLSQTSLIEIFVYKRCRNIACQNQLPADDCTCDTCTSNNGFCNLCMCVICSKFDFQVNTCRWIGCDLCSHWTHTDCAIREQLICTGPSVKSGVGPSEMVFRCQACNRTSELLGWVKDVFQHCAPSWDGEALVRELDFVSRIFHGSKDPRGRKLFWKCDDLKGKLNSGKMDAKAACRAILMVFQELEMDSPKGLENAETGRLIAPQEACNRIAEVVQEAIRKMEMVADEKMRMFKKARLTLEACDRELADKAREAAELKMDRQKKKSQIEELERIVRLKNAEAGMFQLKANEAKQEAERLQRIALAKSDKSEEEYTNNYLKQKLSEAEAEKQYLYEKIKLQESSRVSQSSSGGDPSSMLMYSKIHDLLYNVPLMADSQSNDCHPLRSNP >OIW02317 pep chromosome:LupAngTanjil_v1.0:LG11:5527952:5529193:-1 gene:TanjilG_11211 transcript:OIW02317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRRRENSFENVAQRDKRLRFVLKLRRILLREPDRTMSIKDLGRYKTQLGTLRKRQFVAMLKKFPAVFQVMEEGLYSLKFKLTPQAEKLYLEELKIRSEMEELLVMKLRKLLMMSIEKRILLKKITHLRTDFGLPQGFHDTICDGYPQYFRVVATGRGPALELTHWDEELAVSVAELSLEENRINEENDLLMERPERLNREKLLKGLNLSSGEVRRIMQFGDMPYISPYSDFSSLRSGTQVNEKHDCGIVHEILSLTVEKQTLVDNLSHFREEFGFSQQVRGMLISHPDMFYVSLKGEMDCVFLREAYHGSELIEKDPLMRIKEKLRSMVAVPHQNGADRRDGDGLEKNVSRKDGSGEEKGEWSDAYNFVSDDRFGDCDSDDDWRDQEDDTPPNFFEDVEPLEIGQGSSFHFP >OIW02846 pep chromosome:LupAngTanjil_v1.0:LG11:671326:672674:-1 gene:TanjilG_29622 transcript:OIW02846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQVKITATISTTEKDITTPITTIPNLVPTITTTPPFLNPNSNPDTLSPASTLPTITPTTVNSSPISTGISYCIASPTTSNTALQVALDYACGYGSVDCSAIQSGGSCYNPNSIRDHASYAFNKYYQKNPVPNSCNFGGTAVITNTNPSTGTCQYQSTSTSSSVLNTTNSSGANVFGSVPVPTSPSPSAAPDTSNNFAYICVTLWVLAILENNYL >OIW01969 pep chromosome:LupAngTanjil_v1.0:LG11:11744538:11746606:1 gene:TanjilG_09079 transcript:OIW01969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTPLSSPLSAASYDRIEAVKQFDNTKLGVKGLIDSGIKTIPSFFVHPPETLSDLNSRSQSLNIPTVDLSAISSSRSAVIHQLHHAASTVGFFQVTNHGVPPELLRRTIAAMKAFHEQPPEQRSKVYRREMGTGVSYISNVDLFQSKAASWRDTLQIRLGPVTADREEVPEVCREQVLEWDREVVRVGEVLLGLLSEGLGLSAERFREFVEGRVLVGHYYPFCPQPDLTVGLNSHADPGALTVLLQDHVGGLQVRTEDGWVDVKPVPDALVINIGDLLQIISNDEYKSADHRVLANSSGEPRVSVAVFLNPSNREKTFGPLPELTSTEKPALYRNFTFNEFLTRFFKKGLDGKTLTNFFRL >OIW02893 pep chromosome:LupAngTanjil_v1.0:LG11:367002:369671:1 gene:TanjilG_29669 transcript:OIW02893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLEKLLSMKGGKGEASYANNSQAQAMHAKSMHHLLKETLDKVELHAPDTPFVVVDLGCSCGSNTLNVVDVIINHIIKRYEAIGCFEQPEFSAFFSDLPSNDFNTLFQLLPPLVPNSGVSTMEECLAANNHRSYFAAGVPGSFYRRLFPARSIDVFHSAFSLHWLSQIPECVLDKRSSAYNKGRVYIHGAGESTTNAYKKQFQTDLAGFLSARSVEMKRGGSMFLVCLGRTSADPTDQGGAGLLFGTHFQDAWDDLVQEGLISCEKRDSFNIPVYAPSLQDFKEVVEANGSFVINKLEVFKGGSPLVVNQPDDATEVGRALAISCRSVSGVLVDAHIGDKLSDKLFLGVEKRAACNAKELLEKLQFFHIVASLSVAQ >OIW02126 pep chromosome:LupAngTanjil_v1.0:LG11:8800635:8802296:1 gene:TanjilG_26666 transcript:OIW02126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFKIKNVPSFIVFLLFLFFFTTVNSDAGGNWVQLHRSIGISAMHMQVLRDNKVIIFDRTDFGPSNLSLPNGRCRFNPRDMALKLDCTAHSILYDLTTNTFRPLTLQTDAWCSSGAATTDGTLIQTGGFNDGYNKLRTFTPCPEHNLCDWIELQEQNLTTARWYASNQILPDGRIIVVGGRSAFSYEFVPKSYQNDKSFYYLRFLRVTRDSNPGEENNLYPFLHLLPDGNLFIFANRRSILFDFYRNRVVKEYPVIPGEEKRNYPSTGSSVLLPLNLTGLNGTRLPEAEVMVCGGAFPGAFNFANRKKVFLEASRTCGRIRVTDPEPEWVMELMPMPRVMPDMLLLPNGNVIILNGARNGTAGWENAANPVFNPVLYKPGSRRFELLAPANTPRMYHSSAVLLPDGRILVGGSNPHRIYDFRAYPYPTELSLDAYYPDYMGPEFVPFRPSIIAVEAALNTASYNGTFSVTFSLQEFRGRVSVALVAPSFTTHSFAMNQRVVVLAVTEVDEVAQGAYKVTARGALSPTVAPPGYYMLYIVHAGIPSVAVWVQVK >OIW01978 pep chromosome:LupAngTanjil_v1.0:LG11:10897909:10906487:1 gene:TanjilG_14009 transcript:OIW01978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QGILIGFGNLDEVIRIIRESSSNSIASAGLRNEFNLSEKQAEALLDISLRRLTRRESGNFEAESRSLTEQISKLEELLSSRKNILELIEQEAIELKNKFTGPRRSIVEDTDDGQLEDIDVIPNEDMLLALSEKGYVKRMKPSTFNLQNRGTIGKSVGKLRVNDSMSDFLVCRAHDHVLYFSDKGIVYSARAYKIPECSRTAAGTPLLQILSLSDGERITSIIPVSEFADDQFLLMLTMQGYIKRVPLNSFSSIRSTGIIAIQLVPGDELKWVRHCTNDDFVAMASHNGMIRTLGRNTRGAVAMRLKEGDRMASVDIISAASWNNLETVSIFPDSRAKRQSGPWLLFVSESGYGKRVPLSSFRMSPLNRIGLIGYKFAAKDRLAAVFIVGFSLAEDGESDEQVVLVSQSGTVNRIKVRDISIQSRFARGVILMRLDHTGKIQSASLISAEDCEPEEVLAIAQG >OIW02233 pep chromosome:LupAngTanjil_v1.0:LG11:6694207:6695989:-1 gene:TanjilG_23941 transcript:OIW02233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPSFSTLDYSLDNHNHNHLYPQHLMKFQIGESSGGNDNVIVDYMPQQPPPPPQISPSGFYGAASFDKLSFADVMQFADFGPKLALNQAKTCEETGIDPVYFLKFPVLNDKMEDKNLMVINTEAGDGEAQNDDEKFNMVSVEGNARAGVSDEKWGIIRDEETRVSDDHNSVQIRYLGHEELQNNNSTVQENKNKRKRPRTVKTSEEVESQRMTHIAVERNRRKQMNEHLRVLRSLMPGSYVQRGDQASIIGGAIEFVRELEQLLQCLESQKRRRILGEDQSRQVGDPVLAIQQPIQPPFCPPLPNDHLKLVELETGLHEETAKSKSCLADVEVKLLGFDAMIKILSRRRPGQLIKTIAALEDLQLIILHTNITTIEQTVLYSFNVEVASDSRFSAEDIASSVQQIFSFIHANTSM >OIW02160 pep chromosome:LupAngTanjil_v1.0:LG11:7815457:7819327:1 gene:TanjilG_02384 transcript:OIW02160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRDLLQLHFETPISDAISRIRFAPHSNNLLISSWDSSLRLYDVDASVLRVEAHSEASLLDCCFQDDDSVAFSAASDGLIRRYDLHSGIIDTVGSHDDIATCIGYSNETYQLITSGFDKKLLFWDTRAEKASSCLRSLSTEVNCMSVSAFNLTVGAGASVHVYDLRKSEKPIQSKEPYNGTQLRCVSSIPYAKGFAVGSVDGRVALQISYSSSSNDIGCCAVVPNQGGYIFRCHPKSKDAKHHFASVNDIAFSPLDNEGHVIMWDAGSRKRLAELPTYPNSIASMSYNHVGQLLAVASSHTYQEANEM >OIW01670 pep chromosome:LupAngTanjil_v1.0:LG11:21093727:21098118:-1 gene:TanjilG_04638 transcript:OIW01670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQGTPGGLNRQGGDRKPDGGDKKDKKFDPPPPPTRVGRKQRKQKGPDAAARLPTVTPVTKCKLKLLKLERVKDYLLMEEEFVANQERLKPQEEKAEEDRSKVDDLRGSPMSVGNLEELIDENHAIVSSSVGPEYYVGILSFVDKDQLEPGCSILMHNKVLSVVGLLQDEVDPMVSVMKVEKAPLESYADIGGLDAQIQEIKEAVELPLTHPELYEDIGIKPPKGVILYGEPGTGKTLLAKAVANSTSATFLRVVGSELIQKYLGDGPKLVRELFRVADDLSPSIVFIDEIDAVGTKRYDAHSGGEREIQRTMLELLNQLDGFDSRGDVKVILATNRIESLDPALLRPGRIDRKIEFPLPDIKTRRRIFQIHTSRMTLADDVNLEEFVMTKDEFSGADIKAICTEAGLLALRERRMKVTHPDFKKAKDKVMFKKKEGVPEGLYM >OIW01329 pep chromosome:LupAngTanjil_v1.0:LG11:35113644:35116972:-1 gene:TanjilG_10490 transcript:OIW01329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPRAFTEKYGEGLPKTIILKAPNDEEWEVNLVKHDGKIWFHKGWKEFAEYHSLEEGHFVVFKYEKSSNFEVFIFDMSALEMDYPFKRVEGKRAYNDRRDKHAMVESLEDCRPSQQRKDNSSLEFLQLNKKSRCVEVESISNLSKATLCHTGIKCEEGPHTTAKQITALDMAHSFKPSNPSFMVVMHPSYIFSRVLPSLPPKFCKRHFDFDNERREVNLRVSNGRVWPVRYVITKIKRGTKFELSRGWNAFAKDNNLKVGNVCTFELVDKRNLSFEVYISGGTDNSICSTSQEKPEIATSSKQTTALDRARNFKPCNPSFLVVMHRSYVNFRAKLNLPSKFCKRYFDMGIKNGDISLMSANGRVWPARYCIRGNCDGSKFEFRSGWKQFSEDNNLEVGDVCIFELIDRTKLAFQVCIFRVSDNSNCPASQESSDEEVKESDMNMKGM >OIW02141 pep chromosome:LupAngTanjil_v1.0:LG11:8156098:8164257:-1 gene:TanjilG_06736 transcript:OIW02141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRSNARTRVGSNRNKVDPMLKLQTRSSNPRPNLFARNPKPSPNTFRFHCTICISLFFILLLFLSYTFFSSSKSNNNNNSYRNHQRYQIIIDGGSTGSRIHVFKFRGVDSFDFGNDGLASMRVSPGLSSFAEDPDSAGRSLAELVEFGKGRVPRESWGVTEIRLMATAGLRMVDVEVQGEILESCRKVLRESGFMFRDDWASVITGSDEGMYAWVVANYALGTLGGDPLNTTGIIELGGASAQVTFVSREPMLYGFSREVKFGDTTYNLYSHSLLHFGLNVAHDSWRKALISGEYNLASQSLQAGLHIDPCTPTGYSHSVESWKFSHGSLSDKRQFKSTIQTRGNFSECRSAALMLLQKGKEKCSYQRCDIGSTFVPKLQGKILATENFFYTSKFFGLEPRAYLSKLMSAGQEFCGEDWLRLKEKYHSHDEEELLQYCFSSAYIVALLHDGLGIALDDERVNVANQVGNVPLDWALGAFILQTAADADVQNHGWMATIFSDESPTLVRLIGILIILLFTAWSISKWRKPQLKTIYDLEKGRYIVTRVVR >OIW01871 pep chromosome:LupAngTanjil_v1.0:LG11:14506698:14519044:-1 gene:TanjilG_31053 transcript:OIW01871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMENSIGKPIPSIDAAIPSSQSPKQEGKREGDDDLEKLVYSKKQKRDEVAENQKNMSEIRSDDFSSYPEQDQDQKSAKNGTPENEDTPATISNSSEYSGGDSDEDKAVMDIDLGDNSEESDVETQKKKMKTLQCTALNAQKHDSKTVVRDTSNDILDGHDDNEDNDYDSSDDSRNSDTPVKGSENLDENDEEIEEKSFRILEERPRTPATLKVQNVTSKRICVRNLSYSVERDDMENLFKECGEVVDVRFITDSEGRFRGFGYISFATEEAALKALELDNTELLRCPIKIVIARERGKYIHRSSNFSNFGETFQSGASVRLKTVFVAGFNKSLTEEKIKTSLEEHFSSCGEITRISIPKYPDSVSVKGYAHLDFKDFDSCKKALQLNKTEIGGYYLSVEKAMPRRQYDGRDGGGHQHGWRDGGDRQYGERDGGGRQYGGRRDGGGHQFCGRDGGGYRHSGRGGGCLQYGWRGYGVDHQYGGRGGGGYLHGGRDGDGLRYGGRWDGGGHQYSGSDVGGHQYGGLDGGGHPYGGRDGGGRQYGGRDISGQQYGGRDIRGQQYGGRDIGGHQYGGRDIGGRQYGGRDGGGPQYGGRGRGGPQYGGHDGGGHQYGGRGGGSGHGYGGRGGGGRGMQSWGRSGDSWRH >OIW02382 pep chromosome:LupAngTanjil_v1.0:LG11:3482730:3484420:1 gene:TanjilG_04975 transcript:OIW02382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSLTVMVDSPPKPEFPPEKAHRQRKSNQDQIATHEPEHSPSTIQKAIAEFVGTYILIFAGCGAALINETLPLTIVGIAMVAGLALTVAIYSVGHVSGGHFNPAVTIALATVRKIQLKLVPIYVLCQFMSATLAIFTLKVLYHDKVDIGVTVTQFTSSTSHLEALVWEFIITSILVLTICGVATDHRGSKDLAGAAIGISVLINVIIAGPITGASMNPARSLGPAIVSGVYKNIWVYLIGPTLGAIFATVLYKFLRVSKPAKPEDRT >OIW02674 pep chromosome:LupAngTanjil_v1.0:LG11:1912902:1913168:1 gene:TanjilG_29450 transcript:OIW02674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNNLFNLYRNCISDGRIDHSGGMRHDFATLHMAEGIDDSTYRPLHQRRHRKRQKPERWPNHFAPARVAGGATLNANWNPYSNHLMIK >OIW02316 pep chromosome:LupAngTanjil_v1.0:LG11:5523183:5525447:1 gene:TanjilG_11210 transcript:OIW02316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNGGDVIAIETQYIRRHHKHEPRDNQCTSALVKHIKAPVHLVWSLVRRFDQPQKYKPFVSRCITQGDLGIGSVREVNVKSGLPATTSTERLEQLDDEEHIFGIKIVGGDHRLRNYSSTITVHPEIIDGRPGTMVIESFMVDVPEGNTKDETCYFVEALIRCNLSSLADVSERMAVQGRTDPLNL >OIW01681 pep chromosome:LupAngTanjil_v1.0:LG11:20458529:20460711:-1 gene:TanjilG_19789 transcript:OIW01681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFSIRATKLTTLLESRITNFNTNLKVDEIGRVVSVGDGIARVYGLNEIQSEEMVEFASSVKGITLNLENENVGIVVFGSDTAIKEGDLVKLTGSIVDVPAGKSLLGRVVDALGVPIDGRGALSDHERRRVEVKAPRIIERKSVHEPMQTRATSESEILYRVYVVIGQKRSTVAQLVQIVSEANTLEYSILVAATASDPATLQFLAPYSGRVFYLHSRLLERAAKRSDQIGAGSLTALPVIETQATDVSAYILTNVIPITDGQICLETELFYRGIRPAINVSLSVSRVGSAAQLKAMKQVCVAELSMMMAPHGGKGGSRTDSFQRLVLDLNLPPDDKTRRAELENRAIFHLVGLDEYNTTDIIDCQVTIERAIEKALLSDGFSLDELGDSRKRDEIRGFLFYAKGELLSYRKSLEMQEELEYGTHRSKAYRDIIDAISSSKLFLRRVKGIKRWDKGDML >OIW01173 pep chromosome:LupAngTanjil_v1.0:LG11:33043234:33048873:-1 gene:TanjilG_10334 transcript:OIW01173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLLNLVSLSPKTFSNTRTTTSSFQPRILQQPSSLSFNNSTVKSQAPDKAPGKDGSSFNQLLGIKGASQETNKWKIRLQLTKPVTWPPLVWGVVCGAAASGNFHWTFEDVAKSILCMLMSGPFLTGYTQTMNDWYDREIDAINEPYRPIPSGAIAENEVITQIWVLLLGGLAVAGTLDIWAGHDFPILFYLAVGGSLLSYIYSAPPLKLKQNGWIGNFALGASYISLPWWAGQALFGTLTPDIIVLTILYSIAGLGIAIVNDFKSIEGDRALGLQSLPVAFGAETAKWICVGAIDITQLSVAGYLLGAGKPYYALALVGLIVPQVIFQFKYFLKDPVKYDVKYQASAQPFLVLGLLVTALATNH >OIW01726 pep chromosome:LupAngTanjil_v1.0:LG11:19163794:19175752:-1 gene:TanjilG_03864 transcript:OIW01726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQMQNNLLHSRAYWVSESVIAWNVDDVGKEGFCYLLSSNHASLSISNWQIQGEDVKIKLQEDRAGLPANVVEKFPHIRGYRAFRLPPNLDVKSLLKTQLAVAIYDSDDRCRDCTGLQLPGVLDELYSYNGPLGAHYSEEAVSLYLWAPTAHAVHAYIYKDPSGDDPIEIVLLEEEHGVWITKGPKSWEGCYYVYEVTVYHPSTLRVEKCYANDPYARGLSPDGRQTFLVNLDFDQLKPDGWDNLANEKPVIHSFSDISIYEMHVRDFSANDLSVQPEFRGGYLAFTLLDSAGVLHLKKLSSAGITHVHLLPTFQFAGVDDQKENWKSADTSILESLPPDSDQQQALITAIQNFDGYNWGYNPVLWGVPKGSYASNPNGPCRTIEFRKMVQSLNRIGLRVVLDVVYNHLQGSGLIDEHSVLDKIVPGYYLRRNADGFIEQSTCMNNTASEHIMVERLILDDLVHWAVNYKVDGFRFDLMGHIMKSTMVKAKNALHCLTKEKDGVDGSSIYIYGEGWDFGEVAKNGRGVNASQFNLSETGIGCFNDRIRDALLGGSPFGHPLQQGFVTGLLLQPNGHDHGTEANAESMLAASKDHIQIGMAANLRDFVLTNSDGEEAKGSEVLAYGGTPVAFASCPTETVNYVSAHDNETLFDIVSLKTPMDISVDERCRINHLATSIIALSQGIPFFHSGDELLRSKSLDRDSYNSGDWFNRLDFTYNSNNWGVGLPPRDKNESNWPLIKPRLADPSFRPQKIHIHAAVENFLNLLRIRYSSPLFCLRTANAIQQRVRFHNTGPSWVGGVIVMSIEDGHDGFPGLSQLDPIYSFIVVVFNASPKEVSFVNPSLKSRSLQLHPIQVMSSDELVKTSTYEVSSGCFVVPQRTTAVFVEPRKI >OIW01767 pep chromosome:LupAngTanjil_v1.0:LG11:18423690:18425651:1 gene:TanjilG_03905 transcript:OIW01767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHILAPFQLLELNVISGQDLASVSRSMRTYAVAWVHIDRKLSTRVDTEGHNNPTWNDKFVFRVDDEFLYADTSAIMIEIYALHWFKDIHVGTVRVLVANLIPPPSKPFHNNHAPMGMRFVALQVRRPSGRPQGILNIGLTVLDSSMRSMPLYTLNSSAVGYRHLMGEQDAYENHNHIMSPQVLVAKKPELRRTKSDTSSMIGIEVVARQQRTKANKGKASSVITGSEVSTKSFNKIQNQTNKNKKKRGKKSRSKTSSMLSGFSDGNAKREKTSSILSYSALEWNKHDHNHYEEEKTNNYENVASIVSDSEIQVKPQPPPPPPPPQPLSHLDNNDDHNKFVLVDENNKIISSFKTVSEDATSDIDMNLKHNHHVTNIAYHASPKPQFLNSPVPEFRNSPKPQFRNSPAPEFRNSPKPQFRNSPVVVQQFLKSPAPQYLQSPGPKYLKSPAVMQFKNSPKPHFRNSPVVVPQFPNSPAISKFNPAVGLGGSHRGTPLHPIGRLSGAFDQYGTPHRSNKGNAFPPLITESELGPSPSEVAAAIAKKPVFHEVDNSTVGGLSLDDQSIEGLQSKLERWRTELPPVIDRSEMTSYPTSSSKTKSSSRHTRRHTDGEAGLFSCFSNICGVECSVVCGGPKAKKNQRRRSPSSDTTSFL >OIW01372 pep chromosome:LupAngTanjil_v1.0:LG11:32153648:32154286:-1 gene:TanjilG_12912 transcript:OIW01372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLHVAIYKTHLHRHVLNMAASYKYNNTQDSLVSNESNFDTNMVIILAALLSALICALLLNSIVRCVMRCSRSFYNNETSEQTAVRLAATGLKKHDLMKIPVAVYGSGENIPVTECPICLGEFENGDKVRMLPKCNHGFHITCIDMWLVTHSSCPNCRHSLLLEKSSTKVSCLRSNDVDGNNDNNNNGGRVARDRLWENRSHQEENLVVIVE >OIW01855 pep chromosome:LupAngTanjil_v1.0:LG11:16060169:16060700:1 gene:TanjilG_07150 transcript:OIW01855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRILVTEGVGFIGSHLVDKLMENEENKVIVADNYFTGSKNNIKKWIGHPRFELIHHGIVSSFYYQLSVRLKLLHTTCALVYVFFNFRSSCCIYLKLLCEMIYVNLRIQNIMQSAQASS >OIW01656 pep chromosome:LupAngTanjil_v1.0:LG11:20767097:20772601:-1 gene:TanjilG_04624 transcript:OIW01656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETLTTVSQPRNGLHISHSDSDEENGDYNQQHWQHNENQAFSLAGIVASKDFEGEKGFFSNAEKLKEGPEKISLVIKDQGEDGYLLAEARQPLWRKVSIPSSLINPYRIVIILRFTILVFFFQYRISTPVHDAFALWLISVICEVWLALSWLLDQLPKWFPITRETYLDRLSIRFEEKLLGPVDIFVTTADPLKEPPIITANTVLSVLSVEYPAEKVSCYVSDDSASMLLYDTLSETAQFARIWVPFCNKYNIEPRAPESYFSQKVDYLKDKVHPAFVRDRRAMKREYEEFKVRINVLVAEAQKKPEEGWVMQDGTPWPGNNTDDHSGMIQVCLGSAGAPDKEGKELPRLVYISREKRLGYKHHKKAGAMNALVRVSAVLSNAPFLLNLDCDQYINNSKVLREAMCFLMDPQLGKKLAYVQFPRRFDGIDCNDRYANHNTVLFDINMKGLDGIQGPVYVGTGCVFNRQALYGYKPLSEKRSKMSSSSWPSCCCCSGDSRSIFDLEEMGEELEGFDEQEEPSFTSKKNFEKRFGQSPVFIASTLMEDGGLPKGINSRLMMKEAIHVISCGYEEKTEWGKEIGWLYGSVTEDILTGFNMHCRGWKSVYCMPERAAFKGSAPINLSDRLHQVLKWALGSTEIFFSGYCPLWYGYSGKLKWLQRLAYTNAIVYPFTSIPLLVYCTIPAVCLLTGKFIMPTLTTLASIWLMALFICIILTCVLELRWSGVSIQDWWRNEQFWVIGGVSAHLFAVFQGLLKVGGLDTTNFTVREKTADGTLLGKLYLFKWTTLLIPPTSLVILNMVGIVAGISDAINNGYDSWGPLFGKLFFSFWVIVHLYPFLKGLMGRQNRTPTIVVLWSILLALIFSMIWVRFDVFLPKKTGPILKQCGVDC >OIW01654 pep chromosome:LupAngTanjil_v1.0:LG11:21667321:21671305:-1 gene:TanjilG_18225 transcript:OIW01654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTCIACTKQLNSNGSLHQQEEDEEAVHIPSTKHAIKNITSQIKDMAVKASGAYKNCKPCSGSSNGNRNGNYADSDLGSDSARFNLAYHRTGSFNSTPRLWGKKVEARLKGISSGERTPTSVSGRTESVMFMEEGESKEWIAQVEPGVLITFVSLPQGGNDLKRIRFSRAMFNKWQAQRWWAENCDKVMELYNVQRFNQQSVPLPTPPISEDESSKIESARDSPVTPPLSIERAPRHLHHQLKGMGYSSSDSPDHHRMKPQPCYKTIGQSSTPNLSNMSRPKTERQSIDGSVRTSSSREDDHSGEFSISNASDMETEWVEQDEPGVYIIIRSLPGGAREVRRVRFRQDFNLINSLCIPFIIDKANRPDKLHSEKNLEKCTPDYGGKRTVLGYKSNTYEIVVTIEEPFHITLQQANRSQHESLPVLCILDSVSFFRVDNLQLTATFDFGVSLWSCKNADEGKY >OIW01968 pep chromosome:LupAngTanjil_v1.0:LG11:11877418:11882444:-1 gene:TanjilG_11542 transcript:OIW01968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSFSIIFLVCLALSSLAIKALNVSYDGRAITIDGKRRVLLSGSIHYPRSTPEMWPSLINKAKQGGLNVIETYVFWNAHEPLHRQYDFSGNLDLVRFIKTIQDEGLYAMLRIGPYVCAEWNYGGFPVWLHNIPNIELRTNNRVYQDEMKNFTTKIVDLMRHEKLFASQGGPIILAQIENEYGNVMGPYGNGGKEYIKWCAQLAESYQIGVPWVMCQQNDAPDPMINSCNGYYCDNFQPNNGNKPKTWTENWTGWFNNWGGAIPHRTAEDIAFAVVRFFQYGGTFQNYYMYHGGTNFGRTSGGPYITTSYDYDAPLDEYGNFNQPKWGHLKNLHVVLKSMEAVLTQGSSSTVDYGNMTTATVYSYAGKSACFLGNAHPTNDNTINFQNVEYTIPAWSVSILPDCRSEAYNTAKVNAQTSIMVKKQNQADNGAEPLKWEWMYEPFRQMKNGKILGNVSMTASKLLDQKVVTNDISDYLWHITSVDVQKGDPILSNHTTLRVKTNGHLLHVFVNGKRVGSQYAKYDKYKFDYEANVSLKQGKNEITLLSGTVGLPNYGAHFDDVQVGIPGPVLLITSKDNTDIIKNISNNSWSYKVGLHGENVKHYKQASGKGWYTNGLPTERGFVWYKTMFESPIGSDPVVVDLKGLGKGQAWVNGNNIGRYWPKYLASEDGCNSTCDFRGAYNPSKCLTGCGESSQRWYHIPRSFLTYNGPNTLVLFEELGGNPYDVKFATITIEKACANAYEGNKLELACNENQVISEVKFASFGLPVGNCGSFKKGECDSSNALSVIKSQCVGQQSCSIEVSERVLGPTGCRVSQLRLAVDALCGTTVSTEMKKH >OIW02566 pep chromosome:LupAngTanjil_v1.0:LG11:2909960:2912945:-1 gene:TanjilG_24017 transcript:OIW02566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMQWIRLMIVLAVCMLPLSVEGMVRHYKFNVGQRGTLWWHAHILWLRATVHGAIVILPKLGVPYPFPRPHMEQVIILSEWWKSDTEAVINEALKSGLASNVSDAHTINGHPGPIKNCASQGGLNLQVQPGKTYLLRVINAALNEELFFKVAGHKLTVVEVDAAYTKPFNTDTIVIAPGQTTNVLLKANHASGKYLVAASPFMDAPIAVDNITATATLHYTGSLGSTITTLTSLPPKNATPIATTFSDSLRSLNSKKYPARVPIKVDHSLFFTVSLGVNPCATCVNGSRVVAAINNVTFVMPKISLLQAHFFNISGVFTDDFPGKPPVVYDFTGKKQPTNFRTNRGTRLYRLPYNSTVQLVLQDTGMLTPENHPIHLHGFNFFVVGKGQGNFNPKNDTKNFNLIDPVERNTVGVQSGGWTAIRFRADNPGVWFMHCHLEIHTTWGLKMAFVVDNGKGPNESLLPPPRDFPKC >OIW01509 pep chromosome:LupAngTanjil_v1.0:LG11:27577277:27579816:-1 gene:TanjilG_19435 transcript:OIW01509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKRITKELKDLQKDPPVSCSAGPVGEDIFHWQATIMGPADSPYAGGVFLVTIHFPPDYPFKPPKVAFKTKVFHPNINSNGSICLDILKEQWSPALTVSKVLLSICSLLTDPNPDDPLVPDIAHMYKTDRAKYESTARSWTQKYAMN >OIW01013 pep chromosome:LupAngTanjil_v1.0:LG12:13252:20526:-1 gene:TanjilG_14196 transcript:OIW01013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPLKNGLNLLPNLNGIVHHLNSISENEDWCNVDPSRAFVGNVKRLVVKLKELNTQGYEVILVTSGAVGLGRQRLRYRRLANSSFSDLQNPQNELDGKACAAVGQSCLMALYDTMFSQLDVTSSQLLVNDGFFRDAGFRKQLSDTVSSLLDLRVIPIFNENDAVSTRKAPYEDSSGIFWDNDSLAGLLALELKADLLVLLSDVEGLYNGPPSDPNSRLIHTYIKEKHQGEITFGDKSRLGRGGMTAKVNAAVCAAYAGIPVVITSGFATNNIMRVLQGERIGTVFHKDAHLWINIKEVSAHEMAVAARDGSRQLQVLSSEERKKILLAMADALEKNTSTITLENKADVADAETAGYEKSLISRLTLKPEKISGLVKSVRMLADMEEPVGQILKRTELADNLILEKISSPLGVLLVIFESRPDALVQIAALAIRSGNGLLLKGGKEARRSNAVLHKVITSVMPDTVGDRIIGLITSREEIPDLLKLDGVIDLVIPRGSNKLVSQIKESTKIPVLGHADGICHVYLDKAANIDMAKQIVRDAKTDYPAACNAMETLLVHKDLSSNSGLDELIIELQREGVQLFGGPRASSLLKIPESSSFHHEYSSLACTIEIVEDVFAAIDHINQHGRHDFCIIFILIMDSISIIFSKISYFPCGFCSAHTECIVTEDSEVAETFLRQVDSAAVFHNASTRFCDGARFGLGAEVGISTSRIHARGPVGVEGLLTNKWY >OIW00897 pep chromosome:LupAngTanjil_v1.0:LG12:2895314:2895997:1 gene:TanjilG_19838 transcript:OIW00897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKKGTFYKQFKRHGFEHDYDVVGEIFNSSIATGKLSQASTREPPTSDEDKEIEEDFLSKGVHIDYVTIDIDRDDLQEIGKKRRVIGSSREPRHKETKNSRIDKLDAALDKLTDTISIRAEASRVKAEQYKASITSPLSDPYCIEKCMELLDSIDDVSSKIYNAALVKFAEKVWRSMFVIMSPLRRKEWLASLE >OIW00226 pep chromosome:LupAngTanjil_v1.0:LG12:14536489:14539819:-1 gene:TanjilG_27477 transcript:OIW00226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAGYSQANLCSEAIQLFNEMLGLEMVKPDNIALVSVLSACAKLGEVEQGFVVHDYIRRNGIRVDSYLATGLVDLYAKCGYLETARDVFESCKDKNVFTWNAMLVALAIHGKGSVLVEYFSRMIQEGVQPDGITFLGVLAGCSHAGLVHEARKFFNEMETLYGVPKESKHYGCMADMLGRAGLIEEAVEMIRGMPSGGNVGAWGGLLGGCRIHGNVAIAKKAAEQVMQIKPEDGGVYSVMANIYAHNEQWDDLVKMGQWVALLNKGFKGGSNTCTLTTSTAPKMKASPTATGDYNHSHNQYQQRNNAKPANFVPIYVAIGMIAMATGLGLHTAWQQLRNSPTVRVKKEKRETLPEVVEPEHVAEEAENFINKSFFRKVAHVQDQSYPDKDIIPDPIRKDVYAHQPRVETLKSVGVDPATLDHD >OIW00116 pep chromosome:LupAngTanjil_v1.0:LG12:15729150:15734703:-1 gene:TanjilG_29106 transcript:OIW00116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTTKGGKVMNPTDAYRKELRKKELKRNKKERKKVREVGILKKDPDQLKKQIDNLEMMKADGALDKARKHKKRQLQDTLNLVIKKRKEYEEKMKEKGETPVMFSHLGPPRRTAEEERLNHPEPEDSVYYHPTLNPTGAPPPGKPPMFKSSIGPRIPLSGASSSTTEPEDDALAVPPPPSALPEASSVSLTDGAVLPSSLPLPPPPPMPPKPATAVPSSLPLPPLPPPPPGPPPKELVANRTVPPPPPPLQQSQPPPPGTSEADERNQPALSNDLPSKKPGQVQLPPPPPPSRMPPQSGINHSDGAMVASDNKNSLSSHEIPKMVPGPPLPMQQPPVPGAPSAPTLQPDILPPGISRFPPPPPPPDMRPPLPVAGLLPGQAPPPGMMVPSMPMPPYGPPPGPPPMMRPPLPPGPPPTFQEGYLAMPPPPQKPSYVKSAASTVVKRPLAQHTPELTAMVPASVRVRRETAMAKTKPKPPVSTSRTATGTPGPTIVKPESVSSSSAPKAPSIDDSYTAFLEDMKALGALDG >OIW00216 pep chromosome:LupAngTanjil_v1.0:LG12:14019595:14021759:-1 gene:TanjilG_27467 transcript:OIW00216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAITSAAATSATSASSKLIYSLSSPSPSYPSNSPTFRATTPKLTPLSSSFLSPKLTHSSTSRHHTHHRSFTIRAARGKFERKKPHVNIGTIGHVDHGKTTLTAALTMALAANGNSTPKKYDEIDAAPEERARGITINTATVEYETENRHYAHVDCPGHADYVKNMITGAAQMDGAILVVSGADGPMPQTKEHILLAKQVGVPNMVVFLNKQDQVDDEELLELVELEVRDLLSSYEFPGDDIPIVSGSALLALEALMANPAIKRGDNQWVDKIYELMDNVDSYIPIPVRQTDLPFLLAIEDVFSITGRGTVATGRVERGTIKVGETVDLVGLRETRSTTVTGVEMFQKILDDAMAGDNVGLLLRGIQKIDIQRGMVLAKPGTITPHTKFTAIVYVLKKEEGGRHSPFFAGYRPQFYMRTTDVTGRVASIMNDKDEESKMVMPGDRVKMVVELIMPVACEQGMRFAIREGGKTVGAGVIQSILE >OIW00968 pep chromosome:LupAngTanjil_v1.0:LG12:770242:775272:1 gene:TanjilG_16217 transcript:OIW00968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLRTAIDSSFWDLNIASPQTLDGWAKAIPEDPIPLDSSISSRLLRPQQFTFFKNHLPIPIIPSFSPTSNQNLGSFSLQSLLLRVISTRWWLGLTGQFRPRKLITDIKNDICNAEEFDLSTVKDVGKHFIDKSLFSLGLTTQFALTPSTSMLFSIEGHGEKERRRHKVMAYHKLPEHDLTLEAAWPQLFVDHKGKYWDVPESISLDLASVISDSGLRYHFGLHENRGNPQAVNAIDGKPPLSLLPGLCAKAAFTYEKIKHFWRDEEAAKEAEQDREPDPDKVLPYDSRLSVPHAAISGIMGGSCSSWIWKGNSFFGNDSREDLEVSIRSKRSRLSADLFGSVCYTFQRGKFTEDFGDLTRVDARLDISSASAFANKVLNSFKSSAADISEQPSASPRFNLIFQQQVAGPVVFRADSRISLESFIRRNGIPIEDFICSLSYSFKGLESGKVVAWYSPKRKEGMVELRLFEF >OIW00881 pep chromosome:LupAngTanjil_v1.0:LG12:3414863:3419551:1 gene:TanjilG_22679 transcript:OIW00881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKKQKLFTTQQHKKKNNNNLFETLSEELIFTILDFLEGDPPTKKSFSLVCKSFYFIESKHRRTLTPLRTDHLPAVLNRYPSVTDLDLTLCPRVTDNSLALVAGAYRDTLRRLDLSRSKFFSGNGLLSLGVNCSNLVELDLSNATGLRDGAVAAVARAKNLEKLWLGRCKLVTDMGIGCVAVGCRKLRLICLKWCVGVGDLGVELVAIKCKELRKLDLSYLPITEKCLSSIFKLQHLEDLVLEGCYGIDDDSLNDDVFKQESKTLKKLDISGCQNISHIGLSKLTSISGSIEQLILADGSPVTLALADGLNKLSILQSIILDGCLVTSSGLMAIGNLRISLKELSLSKCMGVIDEALSFLVSKHKDLRKLDITCCRKITDVSIASIANSCINLTSLRMESCTLVPREAFGLIGQKCYYLEELDLTDNEIDDEGLKSISSCSSLSSLKVGICLNITDRGLAYVGMNCSKLKELDLYRSTGITDLGIAAVSGGCPDLEMINTAYCTSITDSSLFSLSKCSNLKILEIRGCLHVTCIGLAAIAINCKQLSRLDIKKCYNIDDSGMIPLAHFSQNLRQINMSYSSVTDVGLLTLAGISCLQSFTMLHLQGLVPGGLAAALLACGGLTKVKLHLSLRSQLPEPLIRHVEARGCVFEWRDKVFQAELDPKCWKMQLEDLMQ >OIW00320 pep chromosome:LupAngTanjil_v1.0:LG12:15391605:15398787:1 gene:TanjilG_27571 transcript:OIW00320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSMLSGERRWAGASSRRGGMTVLGKVAVPKPINLPSQRLENHGLDPNVEIVPKGTLSWGGRSSSSASNPWGTSLSPNTDGGTSSPSHLSTRPSSGESGTRPSTAGSDRAFDTTSNAWGSNSRPSSASGTLISNQTSLTSLRPRSAETRPGSSQLSRFAEPLIENSGAWSSARTTEKLGVAQPKNDEFSLSSGDFPTLGSDKDKSVLNSDMQGQSSESHAHPVSSSGLSKEIYDTSAVDDVHVNTNVKGGTVDSWRRDYEAHNDDMRPSIETWQRNTHHYPNAGIPPQHFDAWHGPPVNNPQGGVWFRGPPSGPPFGNPVAPGGFPIEPFPYYRPHIPPTGLANPQPVPSPGTGPRGHHKDRDAYRPHIPDAYIRPGVPIRPGFYPGPMGYEGYYGPPMGYCNPNEREVPFMGIAAGPPIYNRYPNQNPPEPGNSQGRSGGYGTAGKPLTSEHVEFSHPPDTAGPYRVLLKQHEPDGKNKPENWEDSVNTGASYVNGRERAKMNDWENEQRSNYRKTEEIDLRVSAHGEEASSRTFENEVSGSSVIKAKSLESSGNMKKFGDISARKLDDAASDMLEVPPKSSALKDASLIHKIEGLNAKARDNSSARNKEEQRNKFHAGSTFVNQVQSEAGAAIFTVRTHATEVTSPTHRKVGASGGQKNLESLSTGENATSRQTAHGMQGKSDHRNKGILTTQDADGWRKKSVVSDCLVSPGPLLEASDVLVGDHIISIETYNRSGSYNKGRHDGESVQARLDSVDDHAQRAKMKELAKQRTKQLQEEEEERIRRQKAKALAKLDELNRRSQTVAGSTQKENATSSEVQNKQDELQPSESEVVAGKSGVVKSAVNSNTYTVCQFNDTSFNKVEKPILSSEPPSVTHKNSGKGTVLIQNLPQDANGADATNALQAYNSIASKQKQMSHKQKQSFSSEKKLSEKVVSTNSTALNFENDKVVDVMPSCNVTNEVGLACGSGLPVNSSAMVEPSVNQKKNRNVKNKHKVEESSSLTAPPLAPKESNLSEISVESDKPKASDFELDQCSLHPASLSKDSNQFSEQHRHLANEESHARMTSQGKSQDSRRKPRSLQTNRPAEKSHGSDVVMWAPVKPQNNTELMDESSEKSNTKAANPAKRDQQVHNLKNKRAEMERYIPKPVAKEMAQQGSTHQVVSSISQATAGQDVERAASGSQSPQIAQHTNSAFGKVGFLVESKNRDGRYNKQGKAHGSWQQRNLTETTNVHDMQNALDHDSNSVSNVQRPTEHHVDHKSETSLVKGQTKHFNDSSDHDGLSNLINHDSAAPVSVPYIKDHAVTGRGRRGPFRGHKGPGVNHDADHNRSTGETEKIEKHVSSSEHIQTDVAASKENRVVGERLTSHWQPKSQASNNQRGDRPIDQNVGSLVIGVKNDPALDSESIWAGRNKESNAYAARPHHDKSVSGKSKEGEAPHFGNQESGRERKSAPAKRPSLSPNQVSSVEQAPTSVDLRHGQYPSSGIGKNGNQNWFGRGHESRGDWKSPAQDNRHHNHQPKNRERPGSNMHSEYQLVGSYVDGKPDHFGRPRDSSYGGPRDSNHGGGRFTERGHTNSRRGAGNFQGRQGGAD >OIW00954 pep chromosome:LupAngTanjil_v1.0:LG12:2007121:2011698:1 gene:TanjilG_10032 transcript:OIW00954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDLHLELQESGWEELRKEARKIEGDLDVKLSSYAKLCARFNQSGHVDGGSSPLGSSRSGKSMEMEIQSLLEKLLDINDSMSRCAASAGPATSITQKLARHRDILHEFTQEFRRINGNLISMREHAELLSSVRDDITDFKTSGGLSPRMQLLRERAAIHGSISHIDDVINQAQATRSVLGSQRALFGDVQGKVKVLGDKFPIIGSILGSIRRRRSRDNLILAAVIAACLKYSSIVPVSDETQWFREWTIQHRPNITENSHNETILHPRWDGVVVVTNTMKIFSPVT >OIW00016 pep chromosome:LupAngTanjil_v1.0:LG12:18570383:18571480:-1 gene:TanjilG_26353 transcript:OIW00016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSLNQYSWRLIRSTTWLVQLHLFHYKFNPVKTIKTNMLGLAKRVGARFLLTSTSEVYGDPLQHPQAETYWGNVNPIGVRSCYDEGKRTAETLAIEALVLRNKDPLHFSWVAAIFVPP >OIW00538 pep chromosome:LupAngTanjil_v1.0:LG12:12184675:12190682:1 gene:TanjilG_24268 transcript:OIW00538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGKGGGGSGGGGIPAASRKMVQSLKEIVKDMSDLEIYATLKDCNMNPDEAVHRLLSQDPFHEVKKTKRDKKKDNTTNTNDYYSRSRSSNNSTRAVASTRVPPPRKPLPKKEYQNGTPAPSHNLNTTQPPSYRSTDSVGVFDGLPSSASQQHAPLQQSPWMGNPGQRSMADIVKMGRPQVAVNRVSNQQGRASKVSDTSHDQGFTINQQGDEWPSIEHQSGVNEWPSVEHHSAVNQWPSVEHRSAGNQWPSSVEHNQPAVNEWPSVEHQQPAVNEWPVEHHQPAVSVSTVVDAVPDSEYYTNSSNFGELNWQQKTNDEEVPVDNPDNVGTASVSAKSKLEDNEESNLYQDVDSYQPHRHPFDNNEAEGAPVSSVAANFEQLNLHAEDQGTESDEESPSVVIPDHLQLHTPECLNLSFGSFGCANNAALSESEPHASRHLENNLEDTSEAPDVSIIGSSDARQPEYGDEHLTTSSDGNLVHRTGVGAGTYEHSSISQSEALKSETPEPVQENQYSFPSSSRVYTYENAQQADVAFPHSQASSQMQDLSSFSNVMQAYTNSLPSALLDSAVQMTRDDIPYSPFPAQQPVPAKYSNIASSIGGPTITMSEALRANSISTPQPNPQAQPGANVATGPALPQHLAVHPYSQPTLPLGHYANMIGYPFLPQSYAYMPSAYQQAFAQNSTYHQTLAALLPQYKNSISVSSLPQSAAIPPGYGYGSSTSVPGGNFSLNQPAAPTSTTIGYDDVLNSQYKDSDHMVSLQQNENPPMWIHGAGSRTMSAASPSAYYNIQGQNQQPGLSRGLGVSLEVMINEGHFSQSDNLYVPLFGLKRPCMAGALCHEVVFYLYNYALDV >OIW00153 pep chromosome:LupAngTanjil_v1.0:LG12:15984838:15985452:1 gene:TanjilG_29143 transcript:OIW00153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPSVISNPVTNTDRSRDSKRRKKNKSQLRHQQQHSNSPTKWKSQEQQQIYSSKLHKALTTSSPPRGGKAVREAADRVLAATAKGRTRWSRAILTNRLKLKFRKQRNKKQRMIAAATGISRCKKPRVSVLKLKGKGLPSMQRKVRFLGGLVPGCRKEPLPVILEETIDYIPALEMQVRAMTALFNLLSSSGDAASASNSAPPS >OIW00282 pep chromosome:LupAngTanjil_v1.0:LG12:15098128:15098331:1 gene:TanjilG_27533 transcript:OIW00282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSKVEEAMKRNRQQQQTQQQQHQKPPIQCNKGKAGKFKRSSSNLEEDGASSAILFLACIVCAPSYG >OIW00045 pep chromosome:LupAngTanjil_v1.0:LG12:18729203:18732490:-1 gene:TanjilG_26382 transcript:OIW00045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPSVVQENGSRNKRKFRADPPLGELNKIIPAPQHACFNYEFSAEKFEISRGHVQATAGDLCSVNHDRFDGLKLGLGLCSPGSSSKIGPCQPKEEVEADEYDDIDWNDLTEAQLEELVLSNLDAIFKSAIREIVACGYTEEVARNAVSRSGICYGCKDTVSNIVDNTLPFLRKGQEVDTSREHYFEDLVQLEKYILAEMVCVLREVRPFFSTGDAMWCLLICDMNVSHACAMDVGPSSSLIIDRNADGCSSSQTELQSKAETKVPELSLPSPRKSVPAVSLNSQSKKSLVTGIPGVNNLKNSQTIGGLSENEGANRGPVCVDKAFSATGTSQSEGKYGNVRKVHSGSSKRDYILRQKSSHVEKSYRTYGSKGSSRGGKQSGLSGSILDKKLKPVSESSTMNKSASLQISKAAGVEVTEDNLGASFSPNAGPSAPAAFNLDSAAAVFRSINTPYSVHPANTIPAFSSPSSLSTTDTDLSLSLSSKTKLSTTPVCCNNEAPNSSHVGKPFDKSLGQWVPQDKKDEVILKLVPKARGLQNQVQEWTEWANQKVMQAACRLGKDKVELKTLRQEKDEVERLKKEKQSLEENTIKKLSEMENALCKASGQVQRANAAVQKLKVENAALRREMEAAKLRAAETATNFEEVSRRERKTQLQFQSWEKQKFLLQEELMSEKHKSAKLLLESEQAKMQQEQVEARWQQEAKAKEELLLQASSIRKEREQIEELTKSKEDIIKSKAEKNLQRFRDDIHKLEKEIAQLRLKSDSSKIAALKMGIDGSYASRFVDMKNGNALEEHRASVISELVSDYSATGSVKRERECVMCLSEEMSVVFLPCAHQVVCTTCNELHEKQGMQDCPSCRSPIQRRIPARFTHI >OIV99884 pep chromosome:LupAngTanjil_v1.0:LG12:17687637:17688950:-1 gene:TanjilG_26222 transcript:OIV99884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGGGGGNSSRRKTLSGTKRKGKKSHNLNHRNPENLIAIISQVNNTARSFLSRNDLTLLPSQSLILESLISSTSLSLSIILSLFPKHSLPLTLSPPPLPECWFRRFLSGTSDSQWFHAFRMSKASFYSLLDLLSPSLNSSIPQIAPDCALAAAIFRLAHGATYGAVARRFGVTPTEACRVFFTVCKAVNENLGYLFELRTDSERVVVGFGFSSLPNCFGVLGLAKFGIGHELLGKNGFLMVQALVDSEGRFLDVSAGWPSTMKPETILHQSKLYVGVEDSKELLQGPCYKISDGCLIPQYILGDSCFPLLPWLLTPYNRVNEEDSFSSAERAFNCAHSNAMGLVGDAFARLRARWQLLSGTRKWKQECVEYLPFVIVTGCLLHNFLIKCNEPTPDKDASCVEKQVDIVAFDGMGDESALSIRDALALHLSRVSQRK >OIW00248 pep chromosome:LupAngTanjil_v1.0:LG12:14772279:14773788:1 gene:TanjilG_27499 transcript:OIW00248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHDTPIIFAVGVLGNIASFICFLAPVSTFYRVCKKKTTEGFQSVPYVAALFCSMLWIFYAYIKKGEILIITINSFGCFIETIYLAIYITYCPKKARMFTLKLIFLFNLGGIFLIILLTHVLAKERAARLEILGWICVVLSTSVFAAPLSIIKVVIRTKSVEFMPLPLSALLTISAIMWMAYGILLRDIYVTLPNIVGVTFGIIQMVLYAMYRKQKPVKDQKLPEHKGDINDENLASTVTNTNHEVIPQLVEIEIGEEKKEQKQVQDEPEKNQDQTERNNNINKTRELGGIQVQQQKKG >OIW00707 pep chromosome:LupAngTanjil_v1.0:LG12:8878027:8891982:1 gene:TanjilG_09676 transcript:OIW00707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNSSSSNTKKATYNDHGFLDIIFSWSLQDIFNEDLYKYKVKNIELSFNSVDHYFGSYVYPLLEETRAQLCSSMEILSSAPFAEVVSLCTVKSDEKNFYEVSTDSWKNRSSGNGKELYKILSGDVFILADFKPETAADLLRVGKMWCLASLVGIAEEQIVEDRDIISTFKVIASEYIDPAELRKKSVFLVFLTNIIPSRRIWKALHMSEDGGKSKLIEKILCAGDLVKETCDYCPEQIDALSDVRTLEGLSSELNQSQYNAICACLSSVHCDHKPTVDLIWGPPGTGKTKTLGTLLFALLKMNGRTLVCAPTNVAIKEVASRVVSMVKESFRKSDDLFCALGDMLLFGNNERLKVGADIVDIYLDDRVKQLTLCFAPQSGWLSCVFSMIDLLENCVSDYHIFVENKLIKEQEHIDDDDNTNMTKDEDSSDCSEGMCSSFLDFVRERFLSKASSLKDCVSILCTHVPRSYILEDNFENLVCLFHLLDSFQALLFQSNIVSEVLEELFSPPEEQHSSSESFMGAEYLLYKSRSECLSLLRSLKDSLGELNLPHFLNQESIREFCLQKSSLIFCTASSSFKLHSVVMVPLSILVIDEAAQLKESESTIPLLLPMINHAILVGDERQLPAMVLSNVSYEAGFGRSLFGRLSSLDHPNHLLDIQYRMHPAIKIVGGREESDEDGRSRKNMVEVAVVMQILKKCFKAWLDSKDSVSIGIVSPYAAQVVAMKDQIGRKYDSHNGFNVNVRTIDGFQGGELDIIILSTVRTSGISSLGFISSPQRTNVALTRARHCLWILGNSKILTSQDNVWKYLVLDAIKRDCFFNADDDKDLAKAIWDAKKELDQFDDLLKGDSLLFRNSRWKFTFHSYALVQVLFSDYFLKSFKNLKSERTKKSVINLLLKLSLGWRPKRIKVDLLYGNSSLMLKQFKVEGIFVLCSKDIVKESNYTQVLKIWDVLPPEDIPKLVKRLDSIFGSYTDDFISRCNEKYFEGNIEVPIMWEKSVEIMKLKNLGHNGDAAESSVCSDQRIYVENSKVEESLLLMKFYSLSPVVVSHLLSDRIGNEIDLPFEVTDEEREIILFPRSTFVLGRSGTGKTTVLTMKLFQKENLHHMAVEETYGMDSAAVPCLNLDKEYNGNSTMNERPVLRQLFVTVSPKLCQAVKHHVVRLKRSLIGANVSTESSSIEEDNIDSDASVLLRNIPDSFVDLPTTSFPLVITFQKFLMMLDGTLGNSFFERFSDISSHSQNLGVRSIVLETFIRNKEVTYDRFDSLYWPHFNSQYTKKLDTSRVFTEIISHIKGSIQSTEPGDGKLSRQGYLSLSENRASSLSKQQRMMIYDIYQSYEKMKMDKGEFDLADIVIDLHRRLSVKSFKGDEMSFVYIDEVQDLTMSQIALFKYVCQNIDEGFVFCGDTAQTIARGIDFRFQDIKSVFYKKFVLESKKSSYNHGKEKAKVSEIFLLKNNFRTHAGVLRLSQSTIDLLYRFFPYSIDVLEPETSSISGEAPVVLECGNKENAIVTIFGNNGNVGGKIVGFGAEQVILVRDDSARKEILDYVGKNALVLTILECKGLEFQDVLLYNFFGSSPLKNRWRVIYEFMKEQDMLDSTELKSYQSFNDSKHNILCSELKQLYVAITRTRQRLWISENTDEFSRPMFEYWNKKCLVQFKELDDSLAQAMKVASSPEEWKSRGIKLYHQSNYGMATMCFERAGDTYWERRSMAAGLRAHANHLRDVNPEDANATLREAAEIFEGIGLADIAAQCFSDLGDHERAGKLFLEKCEKPDLKRAGDCFNLAGCYEVAAQVYARGNFFSDCLTVCANGGLYEIGLDYIQHWKQNETSGHRTVGIHDLFTIEQNFLESCARNYFDHKDIKSMMKFVRAFHTIELKRDFLRSISLFEELLLLEEELGNFMEAADIAKMMGNIIREADLLGKADKLMEAYELIVFYVVGNSLWSVGSKGWPLKPFSQNAEFLKRALSYAKKVSGNFYELACTEVDILSNEHSNIFKIMIDLKFSRLHGKIGGECLCLWKLLDAHFQMNSSKYVWEDNLLNDSVEGMILKNQLSVETLFYCWSSWKDHIVHILQDLPSLKSGDIQQHSSYVKFVLNYLGVQKQFSNLNENYNLLIPDANWVIKMGDRSLRKNGKLFSVDVHFLVSAAHSYWCSELLSVGMAVLHNLEALYKFSVNKFVSEFCQFRTLILIYEVSKFLLESKWFTHSHSNLKTLEKFLRHPIDSFCRYVLPLDKSKSLTKDMVTLRATKTCQNLLEEVIYENINGKNTLTFGQIGKVAVLVLGMANRKNELYVEIMKRFENGSPWDGFFYSLHRNPAQAISQADEAVIDLCTLSQFHQALQLTYNVNWRLAFDYISPSCFMDLIERILLLTSCWKGFIFATKSSFIEWFIYQDGNSLPVPNLSLKADVQRVVEDVHKFIADVLRELLYNQTDTQSWIAKSNMGMKDYFPLFVLRLVVSICLLNLISGKSIPFLHDLLAKRHITKLLPMNFFKVLSNGKKNLGLKVFAMAFKVIGNPLVIVRLSDKLSEIVCPDAISVDLEICQQRELMLQALFPTRVHNMDGESASVIVDASGSETTKFPSTNCSDFQSESSAHVSFWDMLEKLLVVVEKPCLSRILHYCKILKDFVDDCVELLISSICGSLPQNPVNLEDKNEMGEMIHLVDEIKQLSLALSVSDSVTDKQAKVIDEFCKKILSRREKVEHVLNQLFLLYKKNIIVDNEALLDSISTTDSGENVPNDLEQSEEDMSKNSQSLEEETQDNMCKNSQDRKKNSQGTKNSGHGKIMENAQGKKNKPKKNRGNKKNRGKK >OIW00325 pep chromosome:LupAngTanjil_v1.0:LG12:15422769:15424157:1 gene:TanjilG_27576 transcript:OIW00325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRLVAKRILGTPTASPTVRVLPRFYHERVVDHYNNPRNVGSFDKNDPTVGTGLVGAPACGDVMKLQIRVDDKTGKIVDARFKTFGCGSAIASSSVATEWVKGKQMEEVLSIKNTEIAKHLSLPPVKLHCSMLAEDAIKAAVKDYEAKRTKATTTAEKAANA >OIW00787 pep chromosome:LupAngTanjil_v1.0:LG12:6654913:6655419:1 gene:TanjilG_19592 transcript:OIW00787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLKNLIYTLIVSHMNRIIGAISKVKTIFIDILKDKKNKRKKIIMGSFRLHYNWCSSKSFHHVISDSATRYLYYDSNWNTASSVIVADKQEGGNGEDFHDSELVGYLQWLEENVDDGGSKIISTTKEKECNHEIDMIAEMFIANCHDKFRLEKQESDRRFQEMLARSL >OIV99896 pep chromosome:LupAngTanjil_v1.0:LG12:17774482:17775345:1 gene:TanjilG_26234 transcript:OIV99896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSKFHAFLFISMLFISSTTPILGCGYCGNPPKTHKPSKKPKSPVTPIVKPPHIVPPITVPPIVKPPKVLPPIIVKPPKVLPPIIGKPPVTVPPVTVPPILKPPVTLPVPIPPVTVPPVVKPPVTLPVPIPPVTVPPVLKPPVTLPVPIPPVTVPPVTVPPVTVPPVTVPPVTVPPVLTPPTTPGQGGNTPCPPPKSPAQATCPIDILKLGACVDLLGGLVNIVVGDPAVNECCPVLQGLAEVEAAACLCTTIKLKLLNLNIYVPIALQLLVACGKSPPPGYTCSI >OIW00222 pep chromosome:LupAngTanjil_v1.0:LG12:14509029:14514564:1 gene:TanjilG_27473 transcript:OIW00222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAEEVAEASPVVHYHASDIELISTERVLPHSGSLKAPLNNQKAEDEKNYVEVTMEIEGGSIALHSVKTVAGDEKVGLIGKKLEKKASFGANAMQNATIRMKQLKRLASFSKPVKRYDRNKAAATHALTGLKFISKTGDSGAGWAEVEKEFNKLTASTDGYLSRDLFAQCIGMNKGFDAFAEKLFDTLARQRGIQGGSINKVQLRDFWDNISDQSFDSRLKTFFDMVDKDADGRITEEEIKEIICLSATANKLSNIQKQAEEYAALIMEELDPDETGFINIDNLETLLLHGPDQTTRGDSKYLSQMLSQKLTPTFAENPIRRWYRDTKYFLQDNWRRVWVLALWIGVMFGLFAYKFVQYRRKAAYEVMGHCVCMAKGAAETLKLNMALILLPVCRNTITWLRNKTKLGVAVPFDDNLNFHKVIAVAIAIGVGIHGIYHLTCDFPRLLHASNEKYKLMKPFFGDKPSNYWHYVISWEGVTGIIMVLLMAIAFTLANPRLRRGQNNLPKSLKKLTGFNAFWYSHHLLVIVYALLVVHGIKLYLTKEWYKRTTWMYLAIPITIYGLERLVRALRSSTKSVKILKVAVYPGNVLALNMSKPQGFTYKSGQYMFVNCAAVSPFEWHPFSITSAPDDDYLSVHIKIVGDWTRNLKTKFSQACRAPLNGQSGLLRAECKGDNSPSNLPKVMVDGPYGAPAQDYTQYEVVLLVGLGIGATPMISILKDMVNNFKAMEEDEWDTIEEGGSPLSSTHQKKARFNDFKTRRAYFYWVTREQSSFDWFKGVMNEVAEEDQRGVIELHSYCTSVYEEGDARSALIAMLQSINHAKNGVDIVSGTRVMSHFAKPDWRSVYKRIALNHPDSQVGVFYCGPAALTKQLRQFALDFSHNTSTKFDFHKENF >OIW00404 pep chromosome:LupAngTanjil_v1.0:LG12:13034527:13037967:-1 gene:TanjilG_05754 transcript:OIW00404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPWFSFTAYRNWWLRHSFSNAGLKSTTTDLGDTTIMHCWVPQTPSSSKPSLLLIHGIGANAMWQWNDFISPLTHRFNLYIPDLLFFGESHTTRRERSESFQARCVMDMMTCHGARKMSVVGVSYGGFVAYSMAAQFGEERVEKVVLCCAGVCLEEKDMDDGMFQVKSVDEAVSILLPQTPNKMRELVKLTFVKPAKFLPTCFLNDFIHVMCTEYRQERKELIEALHKDRKFSNLPKMTRPTLIIWGELDRVFPFALALRLKQHLGENAKLAVIKNAGHAINVEKPKELYKNLKSFLIDPTTPSKEENHSNGHKVD >OIV99773 pep chromosome:LupAngTanjil_v1.0:LG12:16888233:16888821:-1 gene:TanjilG_26111 transcript:OIV99773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTLNLFTNVPVDSVIASDILRDATKAVAKIIGKPESYVMILLNGGVPIAFSGTEEPAAYGELISIGGLGPSVNGKLSSTIAEILQTKLYIDSSRFYIKFYDVQYYLV >OIW00364 pep chromosome:LupAngTanjil_v1.0:LG12:12623708:12625358:-1 gene:TanjilG_05714 transcript:OIW00364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPILVCTLAYGASYITMYPRLRCSLYYGAPSSMVHPTLWCTQPYGASSLMAYRPPAGYLECPSLTPERSSHEEVENVGNSVSAARFTVNKLDTGEAHVVGHSSTSSSLNPTQVINLHKGNEVNSAVQGLAQDMVDKYQVKLVLMPIVKQIISKHGDIFKNCTVVTTKYRSKLLEMICNIIIDLQEKKISETNEDHLQDIVLLLDDMKNKNVDVEWLHQRLVEILQAREVLKQTSMLKEKREFSRQKVENAEKELKEKERDKDGLAILFKAACAEVADCKEKLAAARDESARIDKTIVDSESKAGRFLNCSLVD >OIV99859 pep chromosome:LupAngTanjil_v1.0:LG12:17536835:17537917:-1 gene:TanjilG_26197 transcript:OIV99859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANKEMEVVKGLDLNRYMGRWYEIASFQSRFQPKDGVDTRATYTLNDDGTVHVLNETWSGGKRGYIEGSAYKADPKSDEAKLKVKFYVPPFLPIIPVVGDYWVLYIDHDYQYALIGQPSRRYLWILSRKSHLDEEIYNELVEKAKEEGYDVSKLHKTPQSDTPPEEQGPQDTKGIWWFKSLFGK >OIW00721 pep chromosome:LupAngTanjil_v1.0:LG12:9130793:9131254:1 gene:TanjilG_09690 transcript:OIW00721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKGIPFVVSRRNPNWKGIEIPNTQFPLLSQRRQRRRMVRWWQRQGAEYFPTTRLLSRVPSLPFFGSVSCGGDCDSSVMSLQGGGSRWFGLLFSSTLMGCGSGDDGSWTRRLSAVGIPTSSLAPMKVNSSPSSLFVSYSIGFGTVGLLFGFFR >OIW00234 pep chromosome:LupAngTanjil_v1.0:LG12:14627293:14631662:1 gene:TanjilG_27485 transcript:OIW00234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKRQLPNGPNASQRIYLIGRLRKAVKWATLFSQLCALKADSRTSLEAEAYESYMKGSLLFEQDQNWDVALMHFKSARAVYEELGKYGDLDNQVLCRERVEELEPSIRYCLHKIGQSNLQASELLNIGDMEGPALDLFKAKLEAVMAEARSQQAASMTEFHWLGHRFPISNAKTRVAILKAQELEKDIHGPSADSIPADKRLVIFDKIFSAYHDARGYIRADLATTGSAESVKDDLNGLDKAVSAVLGERTIERNLLLVKVAKSKLPKRHDDKNEKVTKPEELVRLYDLLLQNTSDLSDLVSSGRDKNVEEISFAEDCSNKSLAFRAERCFFVAKSYSVAGMRAEAYALYSRARSLADDALKKLQRLNSDNKIMVKELEDLFNECRSNSCIEHAMGIMEEKRTQENLSERVSNISLAGAELLEKFLLEKLDVYESAVGDSNVKRAPRITGFPPAFQAISRNPIVLDLAYNTIEFPSIENRMKKDRKAKGGFMSRIFG >OIW00921 pep chromosome:LupAngTanjil_v1.0:LG12:1490615:1492877:1 gene:TanjilG_09999 transcript:OIW00921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNPKRRLEKRSKVELETGTDEMPDFTEKVPKDVLSHILTFLPLDESIRSGILSKKWKDLWRITTHIELDEKKLIKPLSQLLISRKSRKTKDVTKGASRYALLLYRIMSRHYGNLLSFRILHLWKSLLFGEVKSWVEHVLTTKKGVQKLSLECEPDNGEMGQCFLFKDNIPKLNFSNGIFQRLDSLEMINYNINCSNAFVGCKNLKTLKLKKINLDDGTINDILNNCVVLENFTLNESTGFNKLIIMIESLKVLKLQGLCVDELKVSCENLEVLLLDSITCPTNTASIYAPNLKTFSSYYYSLFGNMHAAKEGYAIVKGYEILAHSALDFENTIIGSSNDYDFPYPISMFWEKQELCYCVHEKLKFVYIKAFKGNELEVEFVKYLIAKATMMKKVTIFCNTLTEDAENLLSLPKTSTNLSINFKVNAINIMN >OIW00157 pep chromosome:LupAngTanjil_v1.0:LG12:16029638:16032199:1 gene:TanjilG_29147 transcript:OIW00157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKLHIEGSSSGTGSGPFKRKPVIIIVVGMAGSGKTTLLHRLVAHTHQKNIRGYVMNLDPAVMTLPFASNIDIRDTVKYKEVMKQFNLGPNGGIMTSLNLFATKFDEVVSVIEKRADQLDYVLVDTPGQIEIFTWSASGAIITEAFASTFPTVVTYVVDTPRAENPTTFMSNMLYACSILYKTRLPLILAFNKVDVAQHEFALEWMEDFEAFQAAVSSDQSYTSTLTQSLSLALDEFYKNLRSVGVSAVSGAGIDAFFKAVETSAEDYMENYKADLDKRREEKLRLEEDRKRESMDKLRRDMEKSGGETVVLSTGLKDKEKGSKSMMDEDEVEEDEDMDDDDDLGIYSEEDDAIDEDEDEEVDRFVM >OIW00353 pep chromosome:LupAngTanjil_v1.0:LG12:13919707:13926376:1 gene:TanjilG_29920 transcript:OIW00353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDLGIQFLRLLTSPDSSSISIFGWLITASLGVMAAVYAILKWQKRSSLNWIKAAARAKKKVWKKFKVPLSHHLWVEDYTYREQPSTCCFCLTSLWPSQNLGSTAMPHTPLHRCSVCGVAAHFYCSQYASNDCKCVAQAGFSHIRHHWSERWVNVDENHEMSAFCFYCDEPCGVPFVKASPTWHCQWCQRLIHVKCHNKLTRDSGDVCDLGPLRRVILSPLCVKEVDEDKKGARLSSIINSSVRGQIKKRHNRNKYGGSCRINGKVHDSSVNDAVLLEYVLNGLADLKKSNDELFDHMNSGRLLRNGEAVTPSRVKKHTLVDLPQDARPLLVFINARSGGQLGPSLHRRLNMLLNPVQIFELSASQGPEVGLEFFKSVQYFRVLVCGGDGTVAWVLDAVERHNFESPPPVSILPLGTGNDLSRTLNWGRGLSALDGQGGLTMLLHDISNAAVTMLDRWDVKIAEENSEGKPNNVKTKSMMNYLGIGCDAKVAYEFHVTREIYPEKFSSQFLNKLRYAKEGAKDIMDRTCADLPWQVWLEVDGIDIEIPKDSEGLIVLNIGSYMGGVNLWQNDYDHDDDFSHQSMHDKMLEVVCVCGAWHLGKLQVGLSQARRLAQGKVIKIHSSGPFPVQIDGEPFILQPGYLEITHRGQVFMLRRTSEDEPKGHAAAIMTEVLLDAECKGIINASQKKVLLQEMAINLS >OIW00339 pep chromosome:LupAngTanjil_v1.0:LG12:15531384:15534355:-1 gene:TanjilG_27590 transcript:OIW00339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVMKLMMSDYTVETINDGLNEFNVEFHGPKESLYEGGVWKIHVELSEAYPYQSPSIGFANKIFHPNVDELSGFVCLDVINQSWSPMFDLINVFEVFLPQLLLYPNASDPLNGEAASLMMKDKKLYDQKVREYCERYAKKENITNSTADEDSDEEDMSEEKSGSSDVDDIASHADP >OIW00502 pep chromosome:LupAngTanjil_v1.0:LG12:11756131:11762166:1 gene:TanjilG_24232 transcript:OIW00502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHHQPYPLLLMFHPHHHHHLLLLPTLVSLSLLVHCGGRTFSGINSGQRHQGLRAQAMTTPTHGKSIPGKGNSNDEPDHIVVLVHGILASTSDWTYAEAELKRRIGKNFLIYASSSNTFTKTFAGIDGAGKRLADEVMQVVKKRRSLKRISFVAHSLGGLITRYAIAVLYSPDAYSRDQSCDPGNGSKENSERTSFSKGGLIAGLEPVNFITLATPHLGVRGKGQLPFLLGVPILEKLAAPIAPFVVGRTGSQLFLTDGKPNRPPLLLRMASDSEDAKFLSALGAFRCRTIYANVSYDHMVGWRTSSIRRATELGKPPRRSLDGYRHVVDVEYCPPVPSDGPQFPPKAVKAKEAAQNAPNTQNTVEYHQIMEEEIIRGLQQLGWKKVDVSFHSAFWPFFAHNNIHVKNEWLHNAGVGVIAHVADSLRQQASLILTASL >OIW00293 pep chromosome:LupAngTanjil_v1.0:LG12:15181708:15184072:-1 gene:TanjilG_27544 transcript:OIW00293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLFKCLTMAESSLISLPSLSKPNYPFLPNHSKHNKLQFSCSHSSLSRYLSIRKTHNSPVLTFVAQTSDWAQKEEGEGEGEGEGEEALWENQGEPNWENQDADEESGEGEGAQDGIFEVREEPSDDAKIYVGNLPFDVDSEKLAILFETAGTVEIAEVIYNRATDQSRGFGFVTMSTAEEAEKAVEKFSGYDFNGRLLTVNIAAPRGAKPERSPRAFDNTLRVYVGNLPWSIDNARLEQIFSEHGKVENARVVYDRETGRSRGFGFVTFSSEAEINDAIAALDGQSLDGRAIRVNVAEDRPRRSSF >OIW01024 pep chromosome:LupAngTanjil_v1.0:LG12:138102:145884:-1 gene:TanjilG_14207 transcript:OIW01024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTIFTCLSVTPTSSSLFFSNPRSVPLTSSFLSKTSFSVSPNPIFRGLPKRLNSNSRVLVRATVDIGGGGIPRPGGVLESEKLSSDVRKRTMEAVDGCGGRVTVGDVASRAGLNLNQAQKALQAIAADTDGFLEVSVEGDILYVFPKDYRLKLNTKSFRIKAEPFVEKTKAAVEYLIRVSFGTALIASIVIVYTTIIALITSSRSEEDNRGRRGGRSYDSGFNFYFNPLDLFWYWDPYYYRRRRIQTDNEKFNFIESVFSFVFGDGDPNQGIEEERWKLIGQYIASNGGVVAAEELAPYLDIDSMDGLKDDESYILPVLLRFDGQPDVDEEGNILYRFPSLQKTASQKGKRKEYVGRRWADWIGGIEKFFQENKWEFSKTSSSERAMAIGLGGLNLFGVIILGTMLKDMPVARSSFIKFVADIFPLLQIYAGSFFAIPLVRWFLIRNKNAQIENRNKVRQQCARAVELPDTSLRQKLFSARDMAQKTVIGPDQIVYSTDKDLLEQDYESREWDKKFRELERSD >OIW00166 pep chromosome:LupAngTanjil_v1.0:LG12:16071353:16075761:1 gene:TanjilG_29156 transcript:OIW00166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLASPNPIHSERILATDLPIVDLKAEKSQVIKLIVKACEEYGFFKVINHGITNETIAKMEESAFGFFAKPVTQKRQASPCYGCKNIGFNGDMGEVEYLMLNATTPSIAHLSNTISTDFSSRVSAYTEAVKELACEILELMAEGLGVPDKSVFSRLINHVDSDSVLRLNHYPPIHYPNKDRDKTTCYNYTKVGFGEHSDPQILTILRSNDVGGLQISPEDGLWIPVTPDPSAFCVNVGDVLEVMTNGRFVSVRHRAMTNSYKSRMSMVYFGAPPLHASIIAPPVMVTPQRPSLFRPFTWADYKKATYSLRLGDNRIDLFRTIPHGL >OIW00455 pep chromosome:LupAngTanjil_v1.0:LG12:13506269:13512050:-1 gene:TanjilG_05805 transcript:OIW00455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTGGRLVAGSHNRNEFVLINADENARIKSVQELSGQICQICGDEIEVTVDGEPFVACNECAFPVCRPCYEYERREGNQACPQCKTRYKRIKGSPRVEGDEDEDGIDDLEHEFDYENPDAYGQQPISESLYSGRGSHNNIYGIHTHLEHGSPPLNSEIPLLTYGEEDPDISSDRHALIVPPYMNNGSRVHPMPYPDPSIPLQPRPMVPKKDIAVYGYGSVAWKDRMEDWKKRQSDKLQVVKHEGDNNGGGYGDELDDPDMPMMDEGRQPLSRKLPIPSSKINPYRIIIILRLVILALFFHYRILHPVTDAYGLWLTSVICEIWFAVSWIMDQFPKWYPIQRETYLDRLSLRYEKEGKPSELCNVDVFVSTVDPLKEPPLITANTVLSILAVDYPVDKVACYVSDDGAAMLTFEALSETSEFARKWTPFCKKYNIEPRAPEWYFGLKIDYLKNKIHPAFVRERRAMKRDYEEFKVRINSLVATAQKVPEDGWTMQDGTPWPGNNVRDHPGMIQVFLGHDGVRDIEGNELPRLVYVSREKRPGFDHHKKAGAMNALVRASAIISNAPFLLNVDCDHYINNSKALREAMCFMMDPQVGRKICYVQFPQRFDGIDRHDRYSNRNVVFFDINMKGLDGIQGPIYVGTGCVFRRHALYGYDAPKKKKPPSKTCNCLPKWCCCCFGSRKKKNANSNKKKVKHSEASKQIHALENIEAGTEVSNTVKAPNMTQTKLEKRFGQSPVFVASTLLENGGVPFGVSPASLLKEAIQVISCGYEDKTEWGKECGWIYGSVTEDILTGFKMHCHGWRSVYCIPKRPAFKGSAPINLSDRLHQVLRWALGSVEIFFSRHCPIWYGYGGGLKMLERFSYINSVVYPWTSLPLIVYCTLPAICLLTGKFIVPEISNYASIVFMALFISIAATGILEMQWGGVTIDDWWRNEQFWVIGGASSHLFALFQGLLKVLAGVNTNFTVTSKAADDGEFSELYIFKWTSLLIPPMTLLIMNIVGVVVGVSDAINNGYDSWGPLFGRLFFALWVILHLYPFLKGLLGKQDRMPTIVVVWSILLASILTLLWVRINPFVNRDGPVLEICGLNCDES >OIV99936 pep chromosome:LupAngTanjil_v1.0:LG12:18039071:18039418:-1 gene:TanjilG_26274 transcript:OIV99936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTFTPITAAIKASATAGNLTPDPHRRRNSSANWWTPLFSWSPEPDYIDNNSKSSESDPSVTVSKPSRTRFTGGFTEEKAKQLRLMSTKPFHDRMYHSAIASRLASDFNARSDQ >OIW00564 pep chromosome:LupAngTanjil_v1.0:LG12:12452757:12456863:-1 gene:TanjilG_24294 transcript:OIW00564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFESRSLFLFFFLFLTLVSASESDHKYQQDDPVTLWVNKVGPYNNPQETYNYYSLPFCHPSSSVEAAHKWGGLGEVLGGNELIDSQVEIKFQRNVDKTVFCQVALDEAKVKQFKDAIENNYWFEFFVGYVGELHPDKNSDSGKHVLYTHKNIIVKYNKDQIIHVNLTQDIPKPLEAGKHLDLTYSVKWIPTNVTFRHRFDVYLDYPFFEHQIHWFSIFNSFMMVIFLTGLVSMILMRTLRNDYAKYAREDDDLESLERDVSEESGWKLVHGDVFRPPRYLVVLSAVVGTGAQLALLVLLVILLAIIGMLYIGRGAIVTTFIVCYALTSFISGYVSGGMYSRNGGKSWIKSMILTASLFPFLCFGIGFFLNTIAIFYGSLAAIPFGTMVVVFVIWAFISFPLALLGTVVGRNWSGAPNNPCRVKTIPRPIPEKKWYLTPSVVSLMGGLLPFGSIFIEMYFVFTSFWNYKVNYNCLTCFGNKVSLCTYWFG >OIW00784 pep chromosome:LupAngTanjil_v1.0:LG12:6591980:6595244:-1 gene:TanjilG_19589 transcript:OIW00784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREILHIQGGQCGNQIGAKFWEVICNEHGIDHTGKYNGDSELQLERINVYYNEASGGRYVPRAVLMDLEPGTMDSLRSGPFGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLATPTFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRNLTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSSVCDIPPNGLKMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVAEYQQYQDATVDEEEYEEEEEEA >OIV99894 pep chromosome:LupAngTanjil_v1.0:LG12:17763001:17765623:1 gene:TanjilG_26232 transcript:OIV99894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWLFKSNHFHPDATDINLSPPDHHGGFAVKDDLSLLFHGVANFLAPPPPSSSAADSPLLSSSQTLNGIKNDFVEIGGKLKSSFSFLSTNKAVTGISKFASQLLQFDGDHRHVDAVVPGITEEVVRFVKEISAFPQCWTQFPLPLSNVDFSMSNSQREHALAMEQLVPEFVALRLNLCSYMNVEKFWIIYFLLILRRLNQHDFEHLSTPKIIEARNMLLLKLGERKHLQAEEYEKPRIVDTYEESREDSERENTLSDQNHILTDITSAVKGLEVDDMSGTEKWLEDTDIDAASLTSCIKLQQEEDVSFSDLDDDGSYSSDRLPGLREAQDKRGSSPDGSSDWIQLHGTFEKGDRQKTNIRGKDSEDESNDWLTVDEFN >OIW00765 pep chromosome:LupAngTanjil_v1.0:LG12:7433919:7434116:-1 gene:TanjilG_13139 transcript:OIW00765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKSCEHMRTKNHVMFSESEIKVAKLLIQLSISNNTTGSNNKADIHDDAKSSIAATTTRDDDEEE >OIW00566 pep chromosome:LupAngTanjil_v1.0:LG12:12470657:12472513:-1 gene:TanjilG_24296 transcript:OIW00566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFMLLIFVLVPIPTSATTSPTNNICPVDMNYVLTVPWNSSSCHNFQPLASQNQTQNSFCCQTLLSLFGIALSQNLKKNSLFQLPNLSSSTSCLQEFQSKLNSLSLPNNLVSTCFDPLQFVITPNICAHIQTEQDWLSKLGPTPPFDTACKPDLSVSSNCDACVNEGLKVLQTLNAIDGNASHSKDCFYFIILYSAGVVNEFGPESKGVMTCSLELPLNSEEGTKRKGHHALIFELTGTLVAFLVIILLGLYFWYTRWVRRKKCTENFGFYADSEEPRRLKPKPNSGSIWFKLEDLDKATNKFSPQNFIGRGGFGVVYKGIISDGTVVAVKRIEESDSQGHAEFYREVEIISNLKHRNLVPLRGCCVVDENDNPEYKTRTYLVYDYMPNGSLEDHLFPPKDNTKAKKLSLTWPQRKNIILDVANGLVYLHFGVKPAIYHRDIKATNILLDEGMRAKVADFGLAKQCSENWVWSLMKSGKLEEAFDASILEDGNANRNILERFLLVGILSSHVVVDSRPTMLDALKMLEGDIEVPPIPDRPMALGHNMYSIADFYVNM >OIW00991 pep chromosome:LupAngTanjil_v1.0:LG12:1145324:1147315:-1 gene:TanjilG_16240 transcript:OIW00991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFELNSELKQLVKRGQLREARDMFDKMPHRDEISWTTLIAGYVNAKDSYEALILFSNMWVHQGLQKDQFMISVALKACAFGMNVCFGELLHVFSLKSSLINSVFVSSALVDMYMKVGKVEQGRRVFEEMVTRNVVSWTSIIAGLVHAGYCMEGLLYFSEMWRSKVGYDSHIFAIALKASADSSCLTHGKAIHAQTVKQGFDESSFVVNTLATMYNKCGKPDNVMRLFEKMRMPDVVSWTTLITTYAQMGEEEYAVEAFKRMRKSYVIPNEYTFAAVISACANLANIKWGEQIHGHILRLGMVDALSVANSIITLYSKCGMLTSASVVFHGMTKKDMISWSTIIAVYSQGGYAKEAFDYLSWMRREGPKPNEFAFASVLSVCGSMAFLEQGKQVHAHVLCIGLDHETMVHSALISMYSKCGSLQEASKIFHGIKNNDIVSWTAMISGYAEHGYSQEAISLFEKISSIGLKPDYVTFIGVLTACSHAGLVDLGFYYFMLMSNEYRISPSKEHYGCMIDLLCRAGRLSEAEHMIRSMPFHSDDVVWSTLLRACRVHGDVDRGRRTAEQILHLDPDSAGTHITLANIYSAKGRWKEAAHIRKVMKSKGVIKEPGWSWINVNDQLNVFVSGDQSHSHSEHIITILELLSARTGDARMEIASHVENIED >OIW00251 pep chromosome:LupAngTanjil_v1.0:LG12:14816574:14819788:-1 gene:TanjilG_27502 transcript:OIW00251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNLLTNASLVACSMQVVLALPAVVRCPECSAIIPNIGIPKSPSRLCKKCGKKSKRQKKCATSRNDEGTRGLSPIDEDMEIRPESRNLEQAATTVVEVSDGTSSENHLDFSRKIKSSSNTFTWGRNGARSNTRAKKLAASRKERMSRLIDHLCKIEEDDDESNIYIELVSFEEERVRNLPRPYLCCCPSLLVKQLIQYVAYQTSLQTEEIELYLLKECPTNVTRIEGTIDTSKHQCQFLTRKEDNLAELKISNEVHGNLVIAYKKKMWDLNELLPEDE >OIW00152 pep chromosome:LupAngTanjil_v1.0:LG12:15981821:15983029:1 gene:TanjilG_29142 transcript:OIW00152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHASSAEKQRNRRLKHIPNSDLSTKKRLSAAFSSISEDSSNFSPISNISDAYHNEDVTALSLEEVSTENLLPSDTISSKKITDESTTSSALTDCYGAFALESASFSNVEGEVAENCPTKVQPKVHDWIIADPRYRKLMDEITTHVMEEICGSIQPEDSNRVDQLLHAKNRMMFLCLCIWIIVVLALFFITSDIHCPPIGQVPT >OIW00527 pep chromosome:LupAngTanjil_v1.0:LG12:12060765:12062921:1 gene:TanjilG_24257 transcript:OIW00527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGAIFSSLRRRRLPSIETFLASVDLSDVALVQTLISVAGELVSCFSDHRFPFQRKNSRSLVRKIEVFQLLLECLKDFQKLSFPSPTVVLCLKELYLLLYRSKILLDYCVQSSKLWLLLHNHSISGHFHDLNQEISTLLDVFPIKEVELIDDVREHVELLRKQGRNAKLFVDKNNDALRIRFFSFLDEFENDRIPNCNELKAFFVDKLKISDARSCRAEIESLEEQIVNHEGDVEPTISVLNGLVAMTRYCRFLLFGFEEDELDLENGNQKKAMKRLITQEIAETFLTTPKDFCCPILLDLMCDPVIISTGQTYDRRSISRWMEEGHCTCPKTGQMLAHTRLVPNRALRNLIMHWCTANGIPYDPPEVVDASAEAIASACPSRAVLEANRATSTLLIQQLADGSQPAKTIAAREIRLLAKTGKENRAFIAEAGAIPYLRNLLSSPNAVAQENSITALLNLSIYDKNKSRIMDEEGCLGSIVEVLRFGHTTEARENAAATLFSLSVVHGYKKRIADNVQAVEALLGLLQEGTPRGKKDAVTALFNLSTHTENCVRIIEAGAVTALVSALGNEGVSEEAAGALALIVRQSVGAKAVVMEEAAVGGLIGMMRCGTARGKENAVAALLELCRSGGAAAIQRVIRAPALAGLLQTLLFTGTKRARRKAASLARVFQRCENASLHYGGLGVGYTFASNSVSTRDHTSFADDVSVPMSISVPVL >OIW00195 pep chromosome:LupAngTanjil_v1.0:LG12:16282186:16296377:1 gene:TanjilG_29185 transcript:OIW00195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGAAGGFLTRAFDSMLKECSVKKYPELQKAIQNYTEITKEAGQRKQAVSSEENQAAPSAESASTNETQDGAATTTEADQPQKAEHVSDVADHGSSKPKSGNITLVLASAGNTLDGADAELILNPLRIAFETKNLKILEAALDCLHKLIAYDHLEGDPGLDGGKNSPLFTDILNMVCGCIDNSSPDSTVLQVLKALLTAVASSKFRVHGEPLLGVIRVCYTIALNSKSPINQATSKAMLTQMISVTFRRMENDLVETSSGSGGHTITEAASAENLNTKSDEIYMGDSNEKETTLGDALSQAKDTSPTSLEELQNLAGGADIKGLEAVLDKAVHTEDGKKITRGIDLESMGIVQRDALLIFRTLCKMGMKEDKDEVTTKTRILSLELLQGLLEGVSHSFTKNFHFIDSVKAYLSYVLLRASVSQSPVIFQYATGIFLVLLLRFRETLKGEIGIFFPLIVLRPLDGLEFPVNQKLSVLRMLEKICKDSQMLVDIFVNYDCDLEAPNLFERMVTTLSKIAQGTQNNDLNSVAVSQTASVKGSSLQGLVSVLKSLVDWEQSHRESERLKNNQQEGVSAEGSSEIRPREDVSNDFEKAKAHKSTLEAAIAEFNRKPMKGMEYLISNKLVDNTPASVAQFLKNTPNLDKATIGDYLGQHEDFPLAVMHSYVDSMKFSGMKFDTAIREFLKGFRLPGEAQKIDRIMEKFAERYCADNPSLFKNADTAYVLAYAVIMLNTDAHNPMVWPKMSKSDFVRMNSRDDVDECAPKELLEDIYDSIVKEEIKMKDDTSLIGKGSRQKSEGEEGRLVSILNLALPKRKSSADAKSESEAIIKKTQAIFKNQGVKRGVFYTAQQIELVRPMVEAVGWPLLATFSVTMEDGENKPRVVLLMEGFKAGIHVTYVLGMDTMRYAFLTSLVRFTFLHAPKEMRSKNGEALRTLLVLCESDTNSLQDTWNAVLECVSRLEFITTTPAISATVMYGSNQISRDAVVQSLRELAGKPSEQVFMNSVKLPSDSVVEFFTALCGVSAEELKQTPARVFSLQKLVEISYYNMARIRMVWARIWSVLANHFISAGSHHDEKIAMYAIDSLRQLGMKYLERAELANFTFQNDILKPFVILMRNSQSESKRRLIVDCIVQMIKSKVGNIKSGWRSVFMIFTAAADDELETIVESAFENVEQVILEHFDQVVGDCFMDCVNCLIRFASNKSSHRISLKAIALLRICEDRLAEGLIPGGVLMPFGADLEATLEVSEHYWFPMLAGLSDLTSDQRPEVRSCALEVLFDLLNERGSKFSRSFWESIFHRVLFPIFDHVRYSGKEDFISTDGWFRETSIHSLQLLCDLFNTFYKEVCFMLPPLLGLLLDCAKKTDQTVVSISLGALAHLIEVGGHQFSDSDWDTLLKGIRDASYAIQPPELLNALSIESMRNHGGIIGDSEANTGGSDTNKSINNDIATGHQLDVNDTGKLSPLTSTNTSADSVEGSVLQTNLDQPEGLPSPSTKSPNPADGGGLQQNQTFGQRFMGNIFLRNLTSKSKSNVSDVSRPSSPVKVADTVEPDTKNEDSPLLVIVRGKCITQLLLLSAIDSIQKKYWTKLKSQQKITIMDILLSLLKFASSYNSSTNLRTRIHLAPDGRPPVNLLRQELAGTVIYLEILQKATYGFETNQEKGPKSNGSQDTISTSDNGSSITQHSDAEENLERVAEEKLVSFCEQVLREASDIQSNTGETTNMDIHRVLELRAPIIVKCIVNFTVEVSAAFINETASLGLMMFHANIKGVAISYMYRGIGPSCHILDFHSVLHS >OIV99853 pep chromosome:LupAngTanjil_v1.0:LG12:17498051:17498629:1 gene:TanjilG_26191 transcript:OIV99853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALEAYASPNPDKVHADVLTKARESCYKARDAFYACLENESDKKPTEIASVGLLYPVQCKQCRSEFVNQCRSSWVKHFDRQYCQNKRVQRLLDDKESRRGSLTLPQPYILKPTA >OIW01052 pep chromosome:LupAngTanjil_v1.0:LG12:381250:383665:-1 gene:TanjilG_14235 transcript:OIW01052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENHFFPNSMHFEPSPHSSIPMETQQILLNSSSHQNQDCFYTEPNISNDNIAIREFIGKLGTSYMNGNNNSIKSTPLNLNSSVEGFTTDRGFAARTAKFSCIGSKSFNGRSRSNKLVMNNDELAQRSDQVMENVKLLSRVSSSPSLKTIIVGSQMEVMNSQDESMILEHNLNGDIGAKSSPDMNSRKRKASAKGKAKENLSLITNKGVEGSEDSNAKRNRSNEGEGNENGVVKVEEETKGDTSNSKPIDPMKDYIHVRARRGQATDSHSLAERVIGKALMLDEIINYVQSLQHQVEFLSMKLSSVNTKMDYNIESLISKDIFQSNNSLANQTFQLDSSAQAFYGHQNQQDSAIQYNIPNGNVTHSLVDTLDTTLCHINGFNEGGSQYPLTFCEDDLNTIVEMGFGQIANIKTPMQSPSFNGSNQVSHMKLEL >OIW00745 pep chromosome:LupAngTanjil_v1.0:LG12:9629176:9630140:1 gene:TanjilG_09714 transcript:OIW00745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFSFSFHVPKALPPLPTSPHGTVSLFIASKHKPSHNNTTPSQSLYHIITCSKQKLSDYVSLKKPSLALHLGALLALIEQPALAVTGENHPPELTSVLIQLGIVLFLYFIVAPPIIMNWMRIRWYRRKFLEMYLQFMFAFIFFPGIILWAPFLNFRKFPRDPSLKYPWSVPEDPSKVRSSYLKYPYAKPEDYDP >OIW00104 pep chromosome:LupAngTanjil_v1.0:LG12:19043673:19045606:1 gene:TanjilG_26441 transcript:OIW00104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMGVGLFPSVVSYPHMRKSSVARNSSNTNSNKVELSSEADVVVIGSGIGGLCCASLLARYEQDVLVLESHDLPGGAAHSFHIKDYKFDSGPSLFSGLQSRGPQANPLAQVLDALGESLPCATYDSWMVYLPEGDFLSRIGPTEFCKDLHTYAGPNAVQEWKKLLDAVLPLSTAAMALPPLSIRGDLGVLSTAAARYAPSLFKSFVQMGPQGALGATKLLRPFSEILDGLQLKDPFIRNWIDLLSFLLAGVKSNAILSAEMVYMFAEWYKPGCNLEYPIHGSAAIVDALVRGLEKFGGRISLQSHVENIVVENDRAIGVKLRGGQFIRARKAVVSNASMWDTLKLLPKEVVPKSYSDRISTTPQCESFMHLHLGFDAEGIPDDLGIHHIVVNDWKRGVDADQNVVLISVPSALSPNLAPPGKHVLHAYLPGTEPFELWEGLDRRSAEYRNLKAERSEVMWRAVERALGPGFKREKCEVKLVGSPLTHERFLRRKRGTYGPAVEAGTSNTFPGHSTPIPQLYCCGDSTFPGIGVPAVAASGAIVANSLVSVSQHSQLLDAIGI >OIW00580 pep chromosome:LupAngTanjil_v1.0:LG12:11134258:11139090:-1 gene:TanjilG_14806 transcript:OIW00580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADRTLAVVKPIWMKQAEEAKIKSEAEKDAAAKAAFEATFKDLEKNHERGTVLSDSESEEYEDLAKKPIGPVDPANCTAAGTGIAGGAAGAPSSFTVVTKDADERKVLTGGAQIKVKVVPGLGVGGAEQEGIVKDMGDGTYTITYVVAKRGNYMVSVECNGKPIMGSPFPVFFSAGSSSGGLLGLTPASTFPNLVNQNQTTMPNMPNYSGSVSGAFPGLLGMIPGILAGPSGGATLPGFGASLGEICRDYLNGHCAKVDCKLNHPQHNLLMAALAATTSMGTLSHAPMAPSAAAMAAAQAIVAAQALQAHAAQSAKDSTASPDKASKDDTLKKTLQVSNLSPLLTAEQLKQLFGFCGTVIECTLTDSKHFAYIEYSKPEEATAALALNNIDVGGRPLNVEMAKSLPQKPSHVNSSMASSSIPLMMQQAVAMQQMQFQQALLMQQTMNAQQAANRAATMKSATELAAARAAEISKKLKADGVGIEEKETKEKSRSPSPPHGRSLPKSRSPISYRRNRRSRSYSPPRYAKDHRSRSPLRFHHHSGIERERRSYRDIREHNDKNRRHDSYRSRDHHSSSYRRNRSRSVSPHARKSYPTESVSPKRRTESSPRRGRKPSRANSGSPGHRRGSRSSPKDDERKLRNSRRSRSRSSDDKLHSLDKNEEILYGRSKHRERRRSRSLSVDEKPQQKSRSSPRRVDESRSRHKKRSRSKSVDGKHHFPEKLDENRNRRHSDKRRSRSRSTEDRDQTDEREDEIKSEKAKRYDTKRTKSQSVDEKHHSKDKLGEKKEKKSRNCDRRRSRSISLEGRQDKGGSSSHRNLDESNSEQRPFRSKSPEGKHSSDKYGSKDERSGHREKASSKSKIKNHKQCDGSEISGRNFKEYESQGITQSCSGSAKGNHHWNDGENATCEEHSELLEDAMQEPIINVKGSTNLNDNGILISVNDKRKLEGSTKNEEADDIAGGWTKMEHRIPELLM >OIW00122 pep chromosome:LupAngTanjil_v1.0:LG12:15787239:15789170:-1 gene:TanjilG_29112 transcript:OIW00122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDVVHRIVKVNGINMHVAEKGQGPLILFIHGFPDLWYSWRHQITALASLGYRCVAPDLRGFGDTDVPATPKSYTILHVVGDLIGLLDAVASDQEKVLVVGHDWGAMVAWSLCLYRPERVKAMVNLSVAFSPRNPKRKPLDTLRAIYGNDYYICRFQEPGDIEAEFSQIGTERVLKEFLTYHKPGPLYLPKGKGFGHPIDSPIALPSWLSEEECNYYATKYDKTGFTGAFNYYRNLDLNWELTGPWTGAQIKVPVKFIVGDLDLTYNAPGAKDYIHKGGFKRDVPLLEDVVVIEGVGHFLHQEKADEINKHIRDFFSKFQARPRRLPIIYVGIVVVLFSIIIQFLFVRRI >OIW00591 pep chromosome:LupAngTanjil_v1.0:LG12:11270945:11271373:-1 gene:TanjilG_14817 transcript:OIW00591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVAAQVVVEGGGSVVSESWCVVRTDASFQALQTALDYACSAGADCSVLQPIGLCFLPNSIQAHASHVFNSFYQKKGRALGSCDFAGTATIAQGDLSMIPSPLPFTFLYIYCVAIGIGSGVDNNLVYKESGTFIMDEILVLL >OIW00242 pep chromosome:LupAngTanjil_v1.0:LG12:14708184:14712053:1 gene:TanjilG_27493 transcript:OIW00242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVLQLGLLLTLTSGFAAIFIYITGISNPSVYLNSLITHEDTQALLSLHNSFQKCMSANGLGLKAIRGNDYCQTTISFPSDTIPKWRDPKTSELEVLSYDFNLCEAVATWEQVRNSTTILTKEFIDSLPNGWEEYAWRRINKGILLNRCENKTLCMEKLSLVLPETPPYFPRQFGRCAVIGNSGDLLKTKFGKEIDGYDVVIRENGAPTQNYIDHVGRKSTFRLLNRGSAKALDKVVELDEQRKEVLIVKTTIHDIMSKMIRELPIKNPVYLMLGASFGSAAKGTGLKALEFALSMCNSVDMYGFTVDPGYKEWTRYFSESRQGHTPLHGRAYYQMMECLGLIKIHSPMRPDPNRVVKWVPSHHIIRAAKIASEKLLRRVGAGSRDPLGACSVVKKQVKRNVNAVSKLRKEALDHMRYVKSTTMYPLEHSPGHGLLCSVPSD >OIV99757 pep chromosome:LupAngTanjil_v1.0:LG12:16754269:16755593:1 gene:TanjilG_26095 transcript:OIV99757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKPISSPVPDAWYPTLSVFTLAIGLALTAFFFIYEATTSRKTRSLAQELITAAVASVFLGFGSLFLLLASGVYV >OIV99993 pep chromosome:LupAngTanjil_v1.0:LG12:18430086:18431215:-1 gene:TanjilG_26331 transcript:OIV99993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKPTMIWESNYEIDENPFPITYQFKTYDYHMSGNVNGYPALDNWPQEIPELQDSYIDPVTFMESFYSTNPLYETPTIEPISSVQLQDYDFYDTTNGFSVWNEIDAEFEESGKEMMEDEKFGKGKRVREDISVSTMMLSRETISQYFYMPITQAARELNVGLTLLKKRCRELGIRRWPHRKLMSLQTLINNVQELGRNGEEESEMKLRNAIEMLEREKKMLEEIPDMQLGDTTKRLRQACFKATYKKRMLMGMMQSQSSTPYPTSPKYFDTI >OIW00690 pep chromosome:LupAngTanjil_v1.0:LG12:8505330:8510243:1 gene:TanjilG_09659 transcript:OIW00690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSVGMRRTTRVFGVVKGGDSACVLRSGRRLWPESGDIKTRRGNEGEEWLKKVEKHTSSPSTRGAVKPKQENAMVDDANEDYVGGITESVKQKRRRCLSKGDDDGCDRFFGMVYSRRRKRASGSSSELSAGAIGKDGSDGSNMHGLQFSQQRKDQCKLAVVVKSIYPGSDLFSCLLFLVLRYVRRFKVTLKDLSGFLLSEPISGVYASQGIQFLQGSPTVNIGICQFFGIKKFMPSFCVDFSAVPLCFKYLQCEMLLKSMVRSCTFIYNLVSTPSDVEDKIDFPESHIELQISCDYFKRQPTEIGTVMSDVIEIKDNLSLHASVKGPILSGRNGKHRNIKHKGTRRRRTPHRLKKARNASLVDRSNGVLAYGLRSGQKRKSVACAGSSKKPRSSVNSCPAVSSLEASSAMVDSNERLDSSHCSANILITESDRCHRVEGAVVTLEKSASNDWLFAVKKDGLTKGTFKAEKVMRPCSCNRYTHVIMFSLDNGWKLEFPNRHDWVVFKDLYKECSDHTIPATAAKFIPVPGVRDVFDYAYSGSVSFERPDAYISVNGDELSRAMIRKTASYDMDSEDEDWLSKFNNESQEHVSEDNFELIVNALEKAYFCNPDDFYDKKSAAIRCKEFGSKEVVEAVYSYWMRKRGQKRSSLLRVFQSYQAKRLPLIPQPLLRKRRSFKRQPSQLGRGKHPSALQAIASEQDALEEKNTLLKIEKAKAAAKESKEFALQKRKRAQTLMENADLAVYKATMLVRIAEVAQAGESVDAFAAYFLH >OIV99790 pep chromosome:LupAngTanjil_v1.0:LG12:17036436:17040470:-1 gene:TanjilG_26128 transcript:OIV99790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEGDMDLRSSSHSASTIGEYAFADVGNLDHCAKYLNQSLVTFGFPASLDLFANDPVSIARTCNCIYFLLQQRQRDFEFRESSHEQRQRLLSDISRLEAKVERLEGQLQAKDREIATITRTEAKKTAALKTQIEKLQQERDEFQRMVIGNQQVRTQQMHELKKKEKEYIKLQERLNQVLMEKKKESRSGMEIMNLLQKEGRQRGTWNGKKADNDFYKKIVDTYEAKNQELMAENADLRALLRSMQVDMRDFLNAPNGLPKESLTANERVESDPSRSPLVGRTDVFDLPFHMARDQIEESLRNKMASIKERMVQLQDAQKGAEVTSEATERELELEAQLVEARSIIQEQCQYVVDLYCGPVVG >OIW01022 pep chromosome:LupAngTanjil_v1.0:LG12:105809:115925:1 gene:TanjilG_14205 transcript:OIW01022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVNKAGNLLGTNTEKDHCLFRNDGIACRRVPVSPEIFGKHEDRFGLNRENGHIKYEGLTKILGLRRMESESSEISDFISMKEPTQEMENGAFVNSLNKSNKEAGVSHSSKKAVDESIGDISGHGPIVSPRVQYEYESTYSNDHSGSGVVDESRSEKMKFLCSFGGKILPRPSDGKLRYVGGQTRMISIRKGISWEALIKKSLGFCSQPHTIKYQLPGEDLDALISVSSDEDLQNMIEEYHGLERHEGSHRLRIFLVPLGESEETSSFEASSVQQSDAGYRYVVAVNGIADPTPGKSIGGQCLTNEASQLDTSLNLAPSPNSPYTSDAKSGGGNALNSDGTFNGSLILHGSPNQSPLVSPIPIEGRGSSIGYTQLLCNNSCQGSIESNTSFITAQLQPESSSISTADYRYPQQVPVTLLSDSLCNQHDDVVKPEKHYGKHFRNSNPDKESVTPLYINPSEGYSDGLFGKKPLHKERTFHSGNPPSCLDDLICQQAESDGITYIPFVMPHAFSDSQLHESETRSGYCSQEATGLSFSLNHAKAQSSSMLLSSVSQGGLMEVHNDSILLYPQIQSMLTNNTESSELHKRQDVASSSPYSESLGVNDPVHRDSILIGKKYPVAQTNLSGSSFVVKHAEDNSLTSEMIKRTEEKNPTEMKDSMIYVGKTPGANRVLVTELNLLDSFPSNNLNAKVNMQNVWELPPEDSIAVSLGTVGVGNNNHMDKIPSHFDPSQRTGDSKKCAVNEGLNGEQGTGFSLARNSDLNGPTFKGGETTRDKISLDDMFELSIDLDSYKASQVQPSMNPKSTSLLENPTLSSASLYDAVLYDGHGPSSNLPMNHQPSPKKNTSFKKIPSLIDHDSITSSEQIIVDQVTRGHFAEKSKVSDVMSEQSRRPEKCNDVNQVEAFVVVEEVIGVVPPDIYSSLATTQNIVDEIGSRVVSLSPMEEESNILQSENEDFKDDESDRNEFLTDAMIAEMEASIYGLQIIRNADLEELQELGSGTYGTVYHGKWRGSDIAIKRIKKSCFAGRYSEQERLTKDFWREAQILSNLHHPNVVAFYGIVPDGSEGTLATVTEYMVNGSLRHVLVKKDRLLDRRKKLIIAMDASFGMEYLHSKNIVHFDLKCDNLLVNLRDPQRPICKVGDFGLSRIKRNTLVSGGVRGTLPWMAPELLNGSSSRVSEKVDVFSFGISMWEILTGEEPYADMHCGAIIGGIVKNTLRPPIPEHCDTEWRKLMEECWSPNPESRPSFTEITRRLRSMFMTLQAKGLSGMSVKA >OIW00001 pep chromosome:LupAngTanjil_v1.0:LG12:18464759:18468794:1 gene:TanjilG_26338 transcript:OIW00001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSCDNTKKLKVEDISEDIDPHLLLSIEKLQEIQDNLEKINEEANDKVLEVEQKYNVIRKPVYDNRNEIIKSIPDFWLTALGESVRELKMTSCDNTKKLKVEDISEDIDPHLLLSIEKLQEIQDNLEKINEEANDKVLEVEQKYNVIRKPVYDNRNEIIKSIPDFWLTAFLSHPALGELLNDEDQKIFKYLDSLEVEDNKDIKSGYAITLNFKPNPYFEDTKLTKTYTFLDEGATKITATPIKWKEGKSIANGVDHEKKGNKRAFVDVSPSVTFHYKFQIADLIKEDLWPNPLTYFNNEVPDDVEPIGEPFEDDDENDSEDDDNEEEDE >OIW00816 pep chromosome:LupAngTanjil_v1.0:LG12:6038799:6048654:1 gene:TanjilG_02205 transcript:OIW00816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGCIEGQNQERNHVGTIETKTLEPVASLAMAMYSLNMKILQLKTDPPFYTSSGIVRLQVPIDEKVEAIDWLHSQNHLLLPRCFFSGREQDEDNLVSVAGVGSAVFFCHSHPFSYWDWRSIRRYLSERCPLIRAYGAIRFNAKAKVSSEWQAFGSFYFMIPQVEFNELEGGSMLTTTIAWDNALSRTWENAINALEATFCKVSSSIVKSPKQAPPTLILSSHNIPSKVDWHLSVNRALQMIKQNDSSLAKVVLARSTRVVPTADIDPLKWLACLKDEGANAYQFFLQPPNAPAFIGNTPEQLFHRKSLHITSEALAGTRARGVSPALDCQIELDLLTSPKEDLEFTIVRETIRRKLEAVCEKVVIKPKKMIRKLPRVQHLFAQLAGRLGREEDEFEILSSLHPSPAVCGYPTEEAQLLIAETEVFDRGMYAGPVGWFGGGESEFAVGIRSALVEKDLGALVYAGTGIVEGSNPYLEWDELELKTSQFTKLVKLDLPLIQKVDCK >OIW00844 pep chromosome:LupAngTanjil_v1.0:LG12:4947621:4952696:1 gene:TanjilG_12248 transcript:OIW00844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHDKPIITYRHIPHLKPSSYDVVYHPNYRQPLIKHATLSFAASGITCKFACVSVAERKFDKDSMPTTSQLMKHPLAVVALVPKDAALFLAGAIAGAAAKTVTAPLDRIKLLMQTYGVRVGQESAKKTISLVEAITVIGKEEGIKGYWKGNLPQVIRVIPYSAVQLFAYEIYKKIFRGKNGELSVVGRLAAGAFAGMTSTFITYPLDVLRLRLAVEPGCRSMSEVALSMLKEEGFASFYYGLGPSLIGIAPYIAVNFCVFDLLKKSLPEKYQKRTETSLLTAVLSASLATLTCYPLDTVRRQMQLKGTPYKTVLDAISGIVARDGVIGLYRGFVPNALKNLPNSRFP >OIW00760 pep chromosome:LupAngTanjil_v1.0:LG12:7777657:7778085:1 gene:TanjilG_19200 transcript:OIW00760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCNYYGKRLLGDPNQGTKHLHNHFKSCIRRSKSDIKQRLLKTTKKGTKSVLVGSYAFNQDVARRAPKKMIIFHEYPMSMVDHILFKEFYGALQLLFKGISCNIVKGDIVRMYKEEKPKTMTFISKNQNKGYMDVTSHFIDDS >OIW00920 pep chromosome:LupAngTanjil_v1.0:LG12:1463836:1464114:1 gene:TanjilG_09998 transcript:OIW00920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PYLMSMFWKRQKLCYCVHQKLKFVYIKAFKGNKLEVEFVKYLIAKATIMKKVTIFCNTLTKDAENLLSLPKASTNLSINFKVGANNMVDEFS >OIW01057 pep chromosome:LupAngTanjil_v1.0:LG12:414211:414882:1 gene:TanjilG_14240 transcript:OIW01057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLSPFLIVFSITLFFFSPVSSITCSTQKLPSTHSYTNCTNLPTLNATLHSTYNATNHSLSVAFAADSPKSDGWVSWGINPTAPKMFGAGALIATKISGKTNVNTYNLISASVPYKQEKLSFDVWDLSVEDVNGVITIFASVKVPEKGDSLNQLWQVGPITNGTIGAHALEKVNLGSTAPLEVAAAAVAPSGSESGSKNGGVKIGKGLWLGFVLVLMSFINM >OIW00144 pep chromosome:LupAngTanjil_v1.0:LG12:15925010:15935342:1 gene:TanjilG_29134 transcript:OIW00144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAQEKSQANTNSMQRVKVYCLNDDGKWDDQGTGHAAVDYLEHPEELGLFVYDEEENETMLLHHISSDDIYRKQEDTIISWRDPEYATELALSFQETTGCSYIWDHICNVQRNMHFNTLNSEPFHSVNSELRGLPAIELSTLPLIIKTVVDSGIADHLRLTELILNDQEFFPKLVEVFRVCEDLQNMDGLHMIFEIVKGIILLNSTPIFERIFSDDFIVDIIGALEYDSEAPCVQNHRKFLKEHVVFKEAIPIKDPLVLSKIHQTYRVGFLKDVVLARLLDEATGANLNKMIHANNAVVVSLLKDDSAFIQELFARLRLPTTSLESKKNLASLDEDIVSFLHEFCSLSKSLSTVQQLRLFRDLMNEGIFDVVSDVLQYQDKKLVLTGADILMLFSSQDPNLLRSYVVRQEGIVLLGLLVKGMITDFEENMHCQFLEILRSLLDSCTLSGPQRDAIIDIFFEKHLGQLINVITNSCPSENITDANCKSVCVGRSVQRPGGTKSEILCNFLLNNVIEKVLLLTHRRERYLVVTAVRFVRTILAHHLTVWSVNLQDEHVINYFVQNNVLKPIVDVFVADGSHYNLLNSAVLELFEFLRKESLKPLLIYIVDSFWDQLVKFEYLISIQSLKIKYEQCLDNGGIKGAAAIVDPRRRIDERALEKEEEHYFNEDSDEEGIASASSRPNEKRPQQPISNGVAASYSNSSPWSCELVDYDDDEDYRPSPKKLPESSEKGTMESLRQKRKLPSKDKMPKLVKKHKLSKNSKSKDSVFSALCSTLSQALVPGKKITTNSHTAVQTVEGKMSSSEDNQDERPNISRSCSDNNSNKVAEGNHVEKETAASRSCSDCLCGTSDNIPMSGEDRQLVPSNSSPEMAVNGS >OIW01066 pep chromosome:LupAngTanjil_v1.0:LG12:514219:517377:1 gene:TanjilG_14249 transcript:OIW01066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDTDSFATLMDSTSSKIQQLQKAFAELESYRAVTLNLKWKELEAHFRGLEKSLKRRFHELEDQEKEFENKTMKAQEIVKKREAAVVAKEQATLQRLQEKRDAAVFAIVNAREKHRKVSSKGIAIVSDGDEGHGTPEVEEKPMNSACVAAEVNLQDVKTSPGIANVESMSYLELVKLCEEMDAGGIHKFISDNRKNLAAIREEVPLALRAAPNAALLVLDSLEGFYDAEVPNHDVKKDANLLGLRRTCIMLMECLSVLLSNSESVSDVISEDIKDKAKAVAEEWKPRLLDIDASNGNSLEAHAFLQLIASFGIASNFNEEELSRLIPLVSRRRQAADLCRSLGLSEKMPGVIKVLVDSGRHIDAVNLAFAFDLTEQFSPVPLLKSYLDDARKFSHVKSVNSSPTAQIEVNDRELTALKVVIKCVEEHKLDELYPIDPLQKRVIQLEKAKADKKRQTEATKPQPKRPRANGVGYSPRVTNIPCDKLSYARAADRYSQQYVYDRPYMYAVTTDNHFPRLPGSATYNFSHSHANYFGNGYHYQATYLH >OIW00667 pep chromosome:LupAngTanjil_v1.0:LG12:8156307:8158775:-1 gene:TanjilG_09636 transcript:OIW00667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLHPQLLSSITKNLYKLTRHHHSSIPFSSKFTSLPSPTSFTSIRRIPRFCKGTNFIVLGEVSSLRCYCNNSSNNGSNSNKEWTEDIEYLDESGSVLYKGKGVRSVEPGLDDHVMIGEVKKPLMNAMAVAKIAEVVKRWKWGPELEAKLDKLQFVPNMTHIAQALKVVNDGDGCLSLFRWAKRQSWYSPSDECYVMLFDGLNQHRDFDGIQVLFDEMVGDSASSGISMFLAFNRVIRYLAKAEKLEVSFCCFKKIQDAGCKIDTETYNSLITLFLNKGLPYKAFEIYESMGMANCSLDGSTYELMIPNLAKSGRLDAAFKLFQEMKERDFRPGLNIFASLVDSMGKAGRLDSAMKIYMEMRGYGYRPPPTIYVSLIESYVKSGKLETALRLWDEMKKAGFRPNFGLYTLIIESHAKSGKLDIAMSAFSDMEKAGFLPTPSTYACLLEMHAASGQIDHAMKLYNSMTNAGLRPGLSTYTVLLTLLANKKLVDVAAKILLEMKAMGYSVDVTASDVLMVYIKEGSVDLALRWLRFMGSSGIRTNNFIIRQLFESCMKNGLFESAKPLLETYVNSAAKVDLILYTSILAHLVRCQEEPNERHLMSILSTTKHKAHSFMCGLFCGPEQRGQPVLSFVREFFQDIDYELEEGAAKYFVNVLLNYLVLMGQINRARCVWKVAYENKLFPKAIVFDQHIAWSLDVRNLSVGAALIAVVHTLHRFRKRMLYYGIVPRRIKLVTGPTLKIVVAQMLSSVESPFEVSKVVLRASGDSVMEWFKKPIVQQFLLNEIPSRADILMHKLNILFPSSAPEIRSLSPPKPLIAGIAR >OIW00264 pep chromosome:LupAngTanjil_v1.0:LG12:14934952:14939707:1 gene:TanjilG_27515 transcript:OIW00264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLGGSSPEGKKKRSEYTGPEYTARKSFPRTTGERTVKSLRLSKALTIPESTTVHEACRRMASRRVDAVLLTDSNALLCGILTDKDITTRVIASEIDLEETTVSKVMTRNPVFVLSNTLAVEALQKMVQGRFRHLPVVENGEVVAILDIAKCLYDAIARMERAAEKGKAIAAAVEGIEKHWGTSASASGSNSSFIETLREQIFKPSLSTIIPENSKVVTVSPTDSVLTAAKKMVDLPASYAIVVVDDKPCGILTSNDILMRVIAQNLPPSSTLVEKAMTPNPKCAVVDTPIVDALHIMHDGKFLHLPIVDRDGVVVATLDVIHITHAAVATASQAGNTANLNSETASSMIQKFWDSAMALSPNEDEEDEQSETSMKMVSEGGETLRCASCISSSMPQAFSFKIEDKKGRLHRFTCETRSMTEVITSIIQKVSDDINPKNLPQIMYEDEEHDKVVLASDSDLAAAVDHAKKAGLKGLRLHLDYSGTNDRARVYGSRSLDYVDSEVRTLAYAVVAAGTAIIAGLGLLAFIKRR >OIV99787 pep chromosome:LupAngTanjil_v1.0:LG12:17016970:17019604:-1 gene:TanjilG_26125 transcript:OIV99787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEKRELVYEISKSHVASEILQSWSRQEILQILCAEMGKERKYTGLTKLKIIEHLLKIVCEKKSEGHKITKDSELNSSPAQAQKSAKRQRKIESPSRFHVPANNVSANNGDDMGSATYCKNSACKATLNLGDAFCKRCSCCICHQYDDNKDPSLWLICSSESPFPGVSCGLSCHLECALKHDGSCIGKNGERPKLDGGFYCVSCGKLNDLLGCWRKQLMVAKDTRRVDILCYRISLSRRLLQGTEIYQELHKIVDEALKKLKSEVGPLIDSPVKIGRGIVNRLSSGPEVQKLCGLAVESLDSLLSKRILPSPPIPSIQGANLLAPNMVRFEDVTATSLNVILVSEDPCRENIAGYTLWHRKVDDVDNPVDPTCTLLLPNRRFSIKGLTPATEYIFKVVSNDSKELGMCKVHISTKFGEDEVPSQSPTTNCSSLSNPSSVEDETNNNNPYSDQIDNRAEQYHTYHMDSDQLASGNLSNDAINCSNVGGVGLLTDTDSLSDKLHAVGTTSAISGPDVLKLENKHSPEEQVAEDMITDGLNSPVQTGRECVPLVGASEGLPNTPCKLEILKDGLGRNGRSKSRGNDQENGSGKREGPQDGSTSKKSSGERKDEGCGDRDFEYYVKVIRYLECEGHIEKNFRQKFLTWYSLRATPQEVRIVKIYIDTFIEDPSSLAEQLVDTFSECISSKRTSMVPAGFCMKLWH >OIW00049 pep chromosome:LupAngTanjil_v1.0:LG12:18747826:18748611:-1 gene:TanjilG_26386 transcript:OIW00049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPDPPSAIPLQQPPPSSSSRRLPPPCWSPDETLALIHSYRHRWHSLGRGNLKANHWQEVADAISARCPYVSPPKTPIQCRHKMEKLRKRYRTEIQRANSLPLSPFNSSWPHFNLMDSMEKGLKQENDSDQEIKNGHGLQGSNARSLNNLYRNGFGGGFRIRIPTGFNIPQPQPVNQRVNPNLNYGTRVTGKKRERDPVVSAIKVMGDGFVKMEKMKMEMAKEIEIMRMEMEMKRTAMILESQHRIVEAFAKAVSEKNKA >OIW00600 pep chromosome:LupAngTanjil_v1.0:LG12:11569146:11570189:1 gene:TanjilG_14826 transcript:OIW00600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISEFTEFIPGLPNDLGLECLTRLPHSSHRVAIRVCHQWQRLFQSDEFYNHRKKTGHTRKLACLVQAHDQPKHEDEKKPTGSVSPSYGITVFDSVDMSWNRVEPVPDYPLGLPLFCQVASSEGKLVLIGGWDPVSYEPMTTVFVYDFRSGRWRKGRDMPQKRSFFAIGSDQGRVYVAGGHDENKNATNTSWIYDPRKDEWVGLDPMTMERDECEGVVVGDELWVVSGYGTERQGMFEGSAEVLNFGSGQWRRVDGVWETGRCPRSCVENVKNRKVRRWDELDYRVRVGVNGVMVGSRALVTGSEYQGAPHGFYLVGMEEGQNRKLIGISVPSGFSGFVQSGCCIEI >OIW00836 pep chromosome:LupAngTanjil_v1.0:LG12:4594521:4596212:1 gene:TanjilG_12240 transcript:OIW00836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPQKAKSGCFSGFLQVLCVGNKTSPPVNSSNHVTELEKPELMQLVPLNKETIVDKYAATPGVVARLMGLDSLPNTKFITKGSTPDSVPRSRSVNFVDYLVEFDLKQASMHRRMKTSASFREVPALGRKQNHDLVVLYWGDGESRGEEDKLKKEEKGVRELKQKKKQGSKNMEILKEKVSSTVKKEWNQGKNKKISKLKNEPRRVPSSSSSSKQSRMVRKHHCEVKDLSNVSSSTNSSLPNKKKGFVEPKLIVNKRNQKSHKKKIETENNAENLSPVSVLDTNDYPFLYETNFIDNSHSTSPLASRSKLKSSSLVSLGDDGVEEKTNNIKGCAYTDLNREAEYYSEVFMKLHTLTEKDIRESNITPKNNEGFEEICLLFEDKILDLLLHEFVDEVVGISS >OIW00791 pep chromosome:LupAngTanjil_v1.0:LG12:6717285:6719761:-1 gene:TanjilG_19596 transcript:OIW00791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPKLTEVSQIFNRFKAAFVRNDYDNCSNLLSQLKVLLTGFRSLPPLFEDTPNAVHELTIARDIYEHAVVLSVKTEDQDAFERDFFQLKPYYTDASNRLPPSPQEYPILGLNLLRLLVQNRIAEFHTELELLSPTALENPCINHAVELEQSFMEGAYNRVLSARQTVPHDTYVYFMDLLAKTVRDIFVED >OIW00890 pep chromosome:LupAngTanjil_v1.0:LG12:3067610:3071093:-1 gene:TanjilG_20891 transcript:OIW00890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLFFCVFGIAFAQLQPITFYDSHCPGALVTIKKSISLAVLKDRRMGASLLRLHFHDCFVQGCDASVLLKDTLHFTGEQNAFPNMNSLRGFDVIENIKYKLETLCPGVFSCADILAVAAKESVVALGGLGWHVSLGRRDSTTASLRGANSDLPGPFLDLGGLISGFAKKGFTAQEMVALSGAHTIGKGRCRFFKTRIYNESNIDPSFAKSLKGNCPKTGGDDNFSSLDNSTSADLFDSGYYKSLVNKKGLFHSDQQLFNGGFTKSQVLNYTTNSTLFQNDFAKAMEKMGKLSPLIGTKGQIRKQCSRVN >OIW00639 pep chromosome:LupAngTanjil_v1.0:LG12:10253569:10256601:1 gene:TanjilG_09120 transcript:OIW00639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLMGPHHYRKKDGSNSNSSRTSPSRIEDAESVNSLLATENDNLDDEVTSPCLEVLSLKPSTDAMLDQRKSDRTSYEPEETMPKENGDNGHVKMQHSKKADSGSSSSVHPVRSIEDENLDYDSNASSSSFEFDKGERHVSNPATRFLFRPMPSKWNDAEKWIMSRQNIQAYSKKNAVHNQANRLPMSMARVAPESGNYDHKLLTGSKVMETKLVDLCRPTSHMGLEKFSFIPSEARSVSGQAHGRNPVTDCFPQSKDLKDVSELDLSCLRSTETDDQTVMPGIRSVAMRDMGTEMTPVPSQEPSRTVTPGGSATPLRSPTSSTPSTPRRSAPAPTPLDNTADEDSQVGNNKRQLSEEEMLQKTRREIAALGVQLGKMNIAAWASKDEQEKIKSSLRDTTTKEQERIEFEKRAALWEEAEKSKHTARFKRMEIKIQAWESQEKAKLEAQMRRIEAKVDQMKAQTHAKMVKKIALARQKSEEKRAGAEVKKNREAERTTAQAEYIRQTGRMASTNYICCGWL >OIW00478 pep chromosome:LupAngTanjil_v1.0:LG12:13767272:13771045:1 gene:TanjilG_05828 transcript:OIW00478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAKYTRIDNNKRPSNYCSTVTIVVFLALCLVGVWIMTSSSSVPAKEDIVQETNNNEVKQPVTDTTSNTNTQKFDDNPGDISEDSTKGDNSVPVTSEDNPAVPEKQEEKLEGKNEEKSSEDNKTEDGGDNKTEDKDSQTENAESNSENKKDGVDNSSKGDSEETSSMKSDSGEEENKSDSDESEKKSDSDETEKKSDESSKTTEEKVEERGNEESDESSKSNENKTDDTVSGAQSDIVNESTTQNGSFSTQATESNKENESQGSSQQTATHNWKVCNVTAGSDYIPCLDNMKAIKQLKTTKHYEHRERHCPEEPPTCLVSLPEGYRRSIEWPKSREKIWYYNIPHTQLAEYKGHQNWVKVTGEYLTFPGGGTQFMHGALHYIDVIQQSVPDISWGKRSRVILDVGCGVASFGGFLFDRDTLTMSFAPKDEHEAQVQFALERGIPAISAVMGTKRLPYPGRVFDVVHCARCRVPWHIEGGKLLLELNRLLRPGGFFVWSATPIYQKLPEDVEIWNAMKELTKAMCWEVVSITRDRLNGVGIAVYKKTNSNECYEKRSKNEPPICPDSDDPDAAWNVTLQACMHKVPMSTTERGSQWPEQWPARLTKTPYWLRSTQVGVYGKPAPEDFTADYEHWKGVVPNYLNGMGIQWLNVRNVMDMRAVYGGFAAALKDLKIWVMNIVPVDSPDTLPIIYERGLFGIYHDWCESFSTYPRSYDILHADHLFSKLKKRCNFEAVVAEIDRVLRPEGTLIVRDTVETITELENLVKSMQWEVRMSSSKDNEGILSVQKSMWRPKEVETLEYSIGVPRVR >OIW00328 pep chromosome:LupAngTanjil_v1.0:LG12:15439244:15440472:-1 gene:TanjilG_27579 transcript:OIW00328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSDHWLQGTIQEESKLDSSTSSSAADMLTCSGPMMDMDQRKLRPPKDQALKCPRCDSTHTKFCYYNNYSLTQPRYFCKTCRRYWTKGGTLRNIPVGGGCRKNKKVSNSKKPNNNDQSPITQNQPHQGLVPNSYHLNPKECFQLPFQADVQFSHLNDFFGANAGTLGNPNFMENPKPNISRNFDFFGNNDHMGVVGLGLGDHANSYNGLLPTNYQGLSFGGMSLDGNNGGTNYLMETCQRLMLPYESGDLGQTGSIDDVKPNPKVLSLEWHNQGYSVPEYTNGSGSWSGMMNGYGSSTTNPLV >OIV99782 pep chromosome:LupAngTanjil_v1.0:LG12:16973745:16978494:-1 gene:TanjilG_26120 transcript:OIV99782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQVAALFVKVEDARERIPIDEVFEQLKCTKEGLSSTEGANRFVIFGPNKLEEKKESKFLKFLGFMWNPLSWVMEAAAIMAIALANGDGKPPDWQDFVGIVCLLVINSTISFIEENNAGNAAAALMAGLAPKTKVLRDGKWSEEEAAILVPGDIISIKLGDIVPADARLLEGDPLKIDQSALTGESLPVTKNPGDEVFSGSTCKQGEIEAIVIATGVHTFFGKAAHLVDSTNQVGHFQKVLTAIGNFCICSIAIGMLAEIIVMYPIQRRKYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEELAGMDVLCSDKTGTLTLNKLSVDKNLIEVFAKGVDKEHVMLLAARASRTENQDAIDGAIVGMLADPKEARAGIKEVHFFPFNPVDKRTALTYIDADGNWHRASKGAPEQIITLCNLREDVAKKAHVIIDKFAERGLRSLAIARQEVPEKTKESVGTPWQFVGLLPLFDPPRHDSAETIRKALNLGVNVKMITGDQLAIAKETGRRLGMGTNMYPSSSLLGQDKDASVVALPIEELIEKADGFAGVFPEHKYEIVKKLQERKHICGMTGDGVNDAPALKKADIGIAVDDATDAARGASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLIALIWKFDFSPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLREIFATGIVLGSYLALMTVIFFWAMRENSFFPEKFNVRHLTHEEMMSALYLQVSIVSQALIFVTRSRSWSFVERPGLLLMGAFLIAQLVATLIAVYADWGFAKVKGIGWGWAGVIWLYSIIFYFPLDLMKFAIRYILSGKAWVNMIENKTAFTTKKDYGKEEREAQWAMAQRTLHGLPPPESSNVFNEKSSYRELSEIAEQAKRRADVARLRELHTLKGHVESVVKLKGLDIDTIQQHYTL >OIW00541 pep chromosome:LupAngTanjil_v1.0:LG12:12196938:12205442:-1 gene:TanjilG_24271 transcript:OIW00541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVDISGERHSGQDVRTQNVVACQAVANIVKTSLGPVGLDKMLVDDIGDVTITNDGATILKMLEVEHPAAKVLVELAELQDREVGDGTTSVVIFAAELLKRANDLVRNKIHPTSIITGYRLAMREACRYIEEKLSEKVDKLGRDPLINCAKTSMSSKLIAGDSDFFANLVVDAVLAVKMTNARGEVKYPIKGINILKAHGKSARDSFLMNGYALNTGRAAQGMPLRVSPAKIACLDFNLQKTKMQLGIQVLVNDPRELEKIRQREADMTKERIEKLLKAGANVVLTTKGIDDMALKYFVEAGAIAVRRVRKEDMRHVAKATGATMVSTFADMEGEETFEPSFLGTAEEVVEERISDDAVVMIKGTKNTSAVSLILRGANDYMLDEMDRALHDALSIVKRTLESNTVVAGGGAVEAALSVYLEYLATTLGSREQLAIAEFAESLLIIPKVLAVNAAKDATELVAKLRAYHHTAQTKADKKKLSRMGLDLYEGKVRDNVEAGVIEPAMSKIKIIQFATEAAITILRIDDMIKLVKDESQGEE >OIW01054 pep chromosome:LupAngTanjil_v1.0:LG12:392761:393879:1 gene:TanjilG_14237 transcript:OIW01054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRLLSTGSDLFRSFSNLHVIKSLQLFTHATCSTICLAQVNLQNNQNLEQSKDATEVLSKWGCNDDDLTKIYSRCPSLRNADAANVQSKLSLLSGLGIGASDLVKIINCRPRFFASRINSHFDERLSYLTSLFESKEVLHKAIVRNPSLLLCDNSYDIKATVLIYEGLGVKKSDLIQMLLLRPTLIARTSFDNKKLEFLGKTGLSRDSKMYKYVVTLIGISRVETIREKVENFERFGLSEDEIFDLFGRSPHVLTLSTNKVQRNMIFILGTMKFEAKIILKYPHLLYVNLDTVLKPRVLLAMRIRDMGAEMKITESTILRTLRMTEERFLNLFVKCHLKEVADELMEFYKRTKEAKRLAQSSKKFIHKGFPF >OIW00663 pep chromosome:LupAngTanjil_v1.0:LG12:8101965:8110347:-1 gene:TanjilG_09632 transcript:OIW00663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRVDSDDGDNKGSMWDLDQKLDQPMDEEAGRLRNMYREKKFSALVLLRLAYQSLGVVYGDLGTSPLYVFYNTFPHGVKDPEDVIGALSLIIYSLTLVPLLKYVFVVLRANDNGQGGTFALYSLLCRHAEIKTIPNQHRTDEELTTYSRSTFHEKTFSAKTKRWLEENSTRKRAILILVLVGTCMVIGDGILTPAISVLSAAGGIKVIQPHISNGVVELLAVVTLVGFFSMQRYGTDRVSWLFAPIVLLWFLLIGGIGIFNICHYGSDVLKAFSPVYIYRYFRRGGKAGWTSLGGIMLCITGTEALFADLAHFPVSAVQLAFTLVVFPCLLLAYSGQAAYLMNNLNHSHDVFYRSIPGTAVVIVFLVTTFLMILIMILVWRCHWILVLLFTGLSLIVECTYFSSVLFKIDQGGWVPLVIAGAFLIIMYVWHYGTLKRYEFEMHSKVSMAWILGLGPSLGLVRVPGVGLVYTELASGVPHIFSHFVTNLPAIHSVVIFICVKYLPVYTVPEEERFLVKRIGPKNFHMFRCVARYGYKDLHKKDDDFEKRLFDSLFTFVRLESMMEGCSDSDEYSLCGQQTQQSSLLNNNGNTSSPNMDSTISSVDSIVPLKYPPHVNISIRSSAQVSNNTEVDELEFLNQSRDAGMVHILGNTIVRASRDSRLYKKIAVDYIYAFLRKICRENSVIFNVPHESLLNVGQIFYV >OIW00676 pep chromosome:LupAngTanjil_v1.0:LG12:8305903:8306181:-1 gene:TanjilG_09645 transcript:OIW00676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFRLTAIRRASLGASKATSKCVEVPKGYLAVYVGEKQKRFVIPISYLNTPLFQDLLSQAEQEFGYDHPMGRLTIPCSEYVFQDITSQINGL >OIW00349 pep chromosome:LupAngTanjil_v1.0:LG12:15611369:15618420:-1 gene:TanjilG_27600 transcript:OIW00349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEKAAAYYEELTRKGEGAARFKQGLGFSNSSSNDVVPKPGSALASSSSSFLSQFVKASNLSNPSESDKQAQIQSIQSKLKKKPSSESRVSERTRECDRNRRRSRSRERFRERSNESRKRSRSRDGERYRHRDRRRRRSRSRSESDSDRERRRRERDRGRRRSRSFSPRRLEKNRSDRGKVSEGGKRKNAVVDYAKLIDGYEKMSSSERVKAKMKLQLSETAAQDSEKGVGWERFEFNKDAPLDDEEVEVAEDDASLVKHIGQSFRFSAVKAKREEQIQAAHDEAIFGASTLPPSIGIDSEPEIENEKEVDKKDLVTSLLSETEEALRERLEGSQGVNDNAKVWDDEDDDETLSNSGSQNDKSIPNSDAPKLQKNDNRREKSLALLTQNFVKLFICSNMELISLDDAAKLLLGDAHNSSIMRTKVRRLYDIANVLSSMNLIEKTHTADTRKPAFRWLGLKENQNSNLNNSRKRAFGTDITNIDFKRNKVDVFTDGEFSHNPREQKELEHVSGINQVDKSNLKQCTKQSSSSYQFGPFAPANVHKVGASENNDVKQVNDWESLATVHRPNYQNEGLKELFSHYMEAWKSWNSEVAGKKPVQNL >OIW00773 pep chromosome:LupAngTanjil_v1.0:LG12:7204101:7206781:1 gene:TanjilG_22272 transcript:OIW00773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFYHPSLLGSLLESAVSSHCSLLGRVVHAYIIKNHDTPLPSFLSNHLINMYSKLDLLNSAQLVLSLTHLRSVVTWTSLISGCVQNRHFITSLIHFSNMCRDSVFPNDFTFPCVFKASSSLNMPITGKQLHGLALKGGQIYDVFVGCSAFDMYSKTGLRVDARNMFDEMPHRNLATWNAYISNAVQDGRCLDAVGAFKEFISVAGEPNSITFCVFLNACVDMSNLELGQQLHAFIVRSGYREDVSVLNGLVDFYGKCRDIVSSEMVFNRIRRKNVVSWCSMLSALVQNHKEERACMIFLQARKEEVEPTDFMISSTLSACAELGGLELGKSVHALAVKACVEDNIFVGSALVDLYGKCGSIENAEQVFSEMPKRNLVTWNAMISGYAHQGNVDMALRLFEEMTLESCGITPSYVTLVSVLSACSRAGAVERGMQIFISMRVKYGIEPGGEHYACVVDLLGRAGLVDRAYEFIKKMPIHPTISVWGALAGACRIHGKPELGNIAADKLFQLDPVDSGNHVVLSNTLASAGRWEEATLIRKEMKDIGIKKNVGYSWIVVKNRVHVFQAKDCSHERNSEIQAMLSKLRREMKEAGYVPNTNLSLFDLEEEEKTSEVWYHSEKIALAFGLIALPYGVPIRITKNLRICADCHSAIKFISRIVGREFVVRDNNRFHNFKDGLCSCKDYW >OIW00296 pep chromosome:LupAngTanjil_v1.0:LG12:15207404:15210256:-1 gene:TanjilG_27547 transcript:OIW00296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLHFGRVPVLVVSSADAAREIMKTHDRVFASRPTMKMSDIILYDSKDVAASKYGEYWRQIRTICVLHLLSVKRVQSLFTVREEEVALLMEKIRNSSYSSLPVNLSESLSTLTNDIVCRAALGRKYGGESGREFKKMMMEFTELTGSFVIGDYIPWLDWLTHISGLYARAKRVAKQFDDLMEEIVEEHINRQKGANNSSHDDPSVSEDHNDFVDVLLWIQKTNAAGFPVDRTIIKALTLDIFIAGTDTTSTFLEWAMTELIRHPNVMKKLQDEGRNVAGDRIHIAEQDLGHMPYLKAVVKETLRLHVPIPLLVPRESMQDIKLKGYHIAAGTRVIINAWAIARDPAYWDEPEEFKPERFLDSSIDVKGNDFHVTPFGAGRRICPGIAFAMAVNEIVLANLVHQFDWALPGGVAGDTLDMSETVGLTMHRKIPLVAVATPRKN >OIW01026 pep chromosome:LupAngTanjil_v1.0:LG12:163175:166736:-1 gene:TanjilG_14209 transcript:OIW01026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQQSLIYSLVARGTLILAEHTDFSGNFTAVALQCLQKLPASNNKFTYTCDGHTFNYLIDNGFTYCVVAVESVGRQIPMAYLERVKEDFTRRYGGGKAATAAAKSLNKEFGPKLKEHMQYCMEHPEEVSKLTKVRAQVSEVKEVMMENIEKVLDRGEKIEILVDKTENLRSQAQDFRQQGTQLRRKMWYQNMKIKLIVLAILIVLILIIVLSVCHGFKCGG >OIW00866 pep chromosome:LupAngTanjil_v1.0:LG12:3800449:3801108:1 gene:TanjilG_12807 transcript:OIW00866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSAPPILPISNSQPATTSTTASDPPTTAVRTLISNLSDSLRNGLSQRRPWTELTDRSAFSKPESFSEATLRIRKNFTYFRINYYAVVSVILAVSLLTNPLSLILLIGLLASWTFLYLFRPSDQPLVILGRTFSDFETLSLLAVLTVFVIFLTSVGSVLVSALMLGVAVVCLHGSFRVPEDLFMDEQDNSQSTGFLSFIRGAAAVAPSAVAPPPPGRV >OIV99940 pep chromosome:LupAngTanjil_v1.0:LG12:18067747:18070698:-1 gene:TanjilG_26278 transcript:OIV99940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQPNTHLMALIIFLLLLSHHQLSFRVNSEPTQDKQALLAFISQTPHANRLKWNSSESTCNWVGVQCDSTNSSVYSLRLPGVGLVGQIPPNTIGRLIQLRILSLRSNGLTGQIPSDFSNLIFLRSLYLQNNVFSDEFPPSLTRLTRLTRLDLSSNNFSGTIPFAINNLTHLTGLFLENNTFSGKLPSITTKLIDFDVSNNRLNGSIPETLAKFPSSSFAGNLDLCGGPLKPCNSFFPAPAPSPEATVPSNAVHKKSKKKKLSTGAIIGIVVGCVAGALLLLLLLLFCLRKRQRGQPPKQPKPVSTAARSVPTAEAGTSSSKDDITGGSAETERNKLVFLEGGIYSFDLEDLLRASAEVLGKGSVGTSYKALLEEGTTVVVKRLKDVVVTKKEFEMQMEMLGKIKHENVVPLRAFYFSKDEKLLVYDYITAGSLSALLHGSRGSGRTPLDWDSRMKIALGAARGLACLHISGKVVHGNIKSSNILLRGPDNDASVSDFGLNPLFGNGAPSNRVAGYRAPEVLETRKVTFKSDVYSYGVLLLELLTGKAPNQASLGEDGIDLPRWVQSVVREEWTAEVFDAELMRFHNIEEEMVQLLQIAMACVSVVPDQRPSMQEVVRMIEDMNRGETDDGLRQSSDDPSKGSEGHTPPPESRTPPRSTP >OIW01008 pep chromosome:LupAngTanjil_v1.0:LG12:1376504:1378363:1 gene:TanjilG_16257 transcript:OIW01008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVKNEEECYIKKGPWKAEEDEVLLNHVNKYGPRDWSSIRSKGLLHRTGKSCRLRWVNKLQPNLKNGCKFSVEEERVVIELQAEIGNKWAKIASYLQGRTDNDVKNFWSSRQKRLARILHTTTCTSKSQKNKTKVSEVQDGFTLEASKLSSSMEGESSSIMSQQSYSLSFNQNSEFIKMVPLPSSDINHAEQEFITPFESYKNTEQISFPQVPELKTDITFSMESQDLLARIDEPNFIDVFDPIHASSEYEIGVELPIGLPFFEPLSGNSGIGTRDRVENSKNSDSFFDDFPVDIFDDMEQPTSPSKL >OIW00244 pep chromosome:LupAngTanjil_v1.0:LG12:14723523:14724523:1 gene:TanjilG_27495 transcript:OIW00244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGRQHENCRQKIEYYRGAHSPWNMDAQHQHEVKEPNNALVMNKKIRSIIAERQAVILELELEAAISEKNEALAARDLALRQRDEALAQRDNALMERDIALAALQNRNNTVNLSLGGVQCGSKRTHQAAYSTKDMPIRDAAPVTVITAEAVKSRQAKRSKENKVSNSKASKSPTKLGEDLNRHASSQGTKIKSEWDKLDVGLNLVAFDETTMPAPVCTCTGVPRQCYKWGSGGWQSSCCTNTLSMYPLPQLPNKRHTRIGGRKMSGSVFTRLLSRLASEGHDLSIRLDLKSYWARHGTNRYITIK >OIW00197 pep chromosome:LupAngTanjil_v1.0:LG12:16304521:16306563:1 gene:TanjilG_29187 transcript:OIW00197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFQDFDLISERRRNDNRQKTRKRVIIGVVSSILLVGLIGCAFFVATTHYGFGQEKNEASSESNHITHSERVVKMVCSSADYKQKCEGPLSEAVKKDPNLTHPKDILKLYVKFAEEEVSKAFNKTNTLKFESKEEKGAFEDCKQLFRDAKEDLATSLSELGRVDFNKFSSKSPDFNSWLSAVISYQQTCIDGFPENSKLKKDLNTLFKDSREFISNSLAIVQKVGSLLSTFQSIGGRLLSENSESHVYSLDEEGYPEWLRHGDRRVLKAADDKPTPNVTVAKDGSGNFKTISEALKAIPETYKGRYVVFVKEGVYDETVTITKKMQNITLYGEGSQKSIITGNKNFRDGVRTFLTASFVVLGEGFIGKAMGFRNTAGPDGHQAVAARVQADRAVFANCRFEGYQDTLYTQTHRQFYRSCIITGTIDFIFGDAAVVFQNCIMNVRKPLDNQQNMVTAQGRMDKQQTTGIVLQKCHIKADDSLVPVKDKIRSYLGRPWKEYSRTIVMESEIDEFIHPEGWTPWAGNFALDTLYYAEFNNTGPGASTKARVNWPGYKVIKKEDATKFTVTQFLKGTWVQGTGVPSIQGMYY >OIV99846 pep chromosome:LupAngTanjil_v1.0:LG12:17455353:17457555:-1 gene:TanjilG_26184 transcript:OIV99846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVSLTVMTFNLHDDEPQESPNSWDKRRDLCISVITSYSPIILCTQQGLKTQLDFLQQGLPGYDQFGISRKGPQDTTDEHCTIFYDKEKVELLEGGTFWLSESPSVPGSMSWGSEVPCIATWATFQLKRVEPPGFSFQIVNTNMDAFIPRARRRSALLTWQHIASLPPSLPVVYCGGFNTQKESTTGRFLLGRAREHGVVGDMRDAWPSARVRKNVSLIRTYHGFKGDKQGALEYLKLILRALCLCWDRQTQDLHIDWILFRGRSLIPVSCEVVNDNIDGYYPSSHYPIFTEFMLPRTVRMTESPLQGDN >OIW00409 pep chromosome:LupAngTanjil_v1.0:LG12:13077638:13081463:-1 gene:TanjilG_05759 transcript:OIW00409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRNTLPRNPCPSTSDLLTWPELPPPESPSVVTASGNRSRQPSGKIGEVLGAAKFVDGKAESPVMKKTCPGYKMKEMTGNGIFSASAEDSSSQANSANSKNRTSVHGYQEAINGISRLSFITEESISLKKSTSIPEVAKQRELSRTFRETDAKGKKQISSAKNKELSGNGIFGPLPGILPHPFAAACTSESKESKDVRGPDPRNVHTSVKVSNLAGGQSNIFKRDVRTGSAQKLLSRSKLREITGSDIFADGKAENRDSILGARRLPGVGSDIFANGKAENRDSILGARRPPNGGSDIFADGKAENRGSILGARRGPGGGSDIFADGKAENRDSILGARRPPNGGSDIFADGKAENRDSILGARRPPGGGSDIFADGRAENRDCILGAHRPPNGGSDIFADGKAENRDSILGARRPTNDGSDIFADGKAENRDSVLGARRPPNGGSDIFADGKAENRDSILGARRPPNSGSDIFADGKAENRDSILGARRPPGGGINIFADEKAENRDILGARRPPGGRSSITLV >OIW00150 pep chromosome:LupAngTanjil_v1.0:LG12:15969317:15977281:1 gene:TanjilG_29140 transcript:OIW00150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTRSSFLLALLCLVLTQSESAPQAFKRDASHVQWHHGAFHNVRDSIRSDLRRMLHSRAEVPFQVPLEVNVVLIGFNGDGGYRYSIDSHKLEQLLRISFPSHRPSCLETGDVLDIEHHLVYNAFHAGQPELIALEKTLKEAMLETVKRFIYDFQTEFGREVPLFEVEATTVEPLFQRLYSYIFDMDSVGSSVTGMDKPVPSAIFIVNFDKVRIDPRNKEIDLENLMYGQIPVLTEDDMKRQEGDYIYRYRYDGGGASQVWLGSGRFVVIDLSAGPCTYGKIEAEEGSVSSRTLPRLRNVVHQSGSSPGSYQSSNDIFLGQLASLVSTTVEHVIAPDVRFETVDLTSRLLIPIIVLQNHNRYNIMDKGHNYSINVQEIEAEVKSMLHNGQEVVIIGGSHSLHRHEKLAIAVSKAMRGHSLQETKNDGRYHVHTKTYLDGALLKEEMKHSADVLASGLLEMADPSLSSKYFLRQNWMDESEGSTDSILKHKPLWASYGSNKGKKKRTKVTKQGDLQPTYGTRVIPVFVLSLADVDPNLMMEHESMVWTSNDVVIVLEHQNHNIPLSYVSETQRRHAVPSQAQRHILAGLASVVGGLSAPYEKASHVHERPVVNWLWAAGCHPFGPFSNTSHVSQMLRDVALRNSIYARLDSVLRKIRQTSETVQAFAAEYLKTPLGEPVKGKKEKSNTELWLEKFYKKTTHLPEPFPHKIVDRLEKYLDGLEEQLVDMSSLLYDHRLEDAYLNSSDILQSTMFTQQYVDHILDSERGNMRCCKVEYKYPLQTSQTYIYGGILIAGFFVYFVVIFFSSPVR >OIW00373 pep chromosome:LupAngTanjil_v1.0:LG12:12746992:12747333:1 gene:TanjilG_05723 transcript:OIW00373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHARHVFLVALVLFNIIVLSYGAAEAPKTSSPSNDSAEVDDDHEGVIGTGESGAASPNSVVAGPIGGPVPPGAFDKANKASAPSAATSSFQHFSAAAGAAATAVPSFFYLQI >OIV99953 pep chromosome:LupAngTanjil_v1.0:LG12:18159657:18161386:-1 gene:TanjilG_26291 transcript:OIV99953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVRNIAVVVEDVDAARTALQWSLENIIRYGDIITLIHVYPFTRSKTRNKARLLRLKGFQLALSFQHICNNFSNTKVEIVVIEDNQEGMKIVASVRDIGASILVVGLHDRSFLYKLAMVHNNVASYFNCRVFAIKQPPKSPLSQVGSAQGVLDSSISMDFSHIDISRLQVPDTPPPKVKYQICPDPAAIIWRLRRSRKR >OIW01043 pep chromosome:LupAngTanjil_v1.0:LG12:300330:303100:1 gene:TanjilG_14226 transcript:OIW01043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYNNFDKNGDATKPWDLHKMDYCLEKTSNFEIPEDMWNDVPQNEENLSYIFDDLTTPVKACDFAYNVNHSDLDDMQKELEECREGSQVKRRRMLQFNSQDSDHSLSSEEFSSAYIKLNEKEDNSMKDVFPEASQWMSGTTGNASASGYEDLESTEGWLAECFNDADMQFSPDDLNISGANDVQVNVAGLSDTTPSCEQNLVEKQVTRTRGNIIFRGRKSFIRTPTKLASSVAYPFAFIKPSGAHGDVTLKEINQRIRTPPPSKSKESSDDPSLYPKSAFSGKPVVGKTKIRTEGGKGSITIMRTKG >OIW00438 pep chromosome:LupAngTanjil_v1.0:LG12:13347034:13353974:-1 gene:TanjilG_05788 transcript:OIW00438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFHFPKLNKVIAEYLQKVDPKSDGAKRDWVAIYDECASVLYQEIDYTKEAANAELFASNFKNMDYMKVPTILWDYTTPQILSHGFFHADPHPGNIAVDDVNGGRLIFYDFGMMGSISPNIREGLLETFNGVYEKDPDKVLQAMIQMGVLVPTGDMTAVRRTAQFFLNSFEECLAAQRKERELAKAELGLKKPLSKEEKIMKKKECLAAIGEDLLSIAADHPFRFPATFTFVVRAFSVLDGIGKGLNPRFDITEIAKPYALELLKYPVQDFRKAWDRHSQAFYNLYRQADRVEKLAEIIQKLAVAAGSLINLATILHLNLVSVPVTVAYFFCAIFGLQVFLGIVKIKKLDEREQLITGTA >OIW00333 pep chromosome:LupAngTanjil_v1.0:LG12:15489794:15491957:-1 gene:TanjilG_27584 transcript:OIW00333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKSSPPRNGESSTQLNSPHTPLQLGSSPRSETGDPHSPALSFHSPENTPVNHDTDNSRAIIIIENAPPHSPPPLPSPLPVSDHQIPPENGPPEFVMNRAVRQEPPPNVTNFDRPAEDGKRGIEDGGDGRGRGSTAATNRVRSKSKGTGMTRKVALGFRLSEMVLCLISFSVMAADKTQGWSGDSFYRYKEYRYCLSMNVIAFAYAGFQACDLVYQLVTGKHIINHHLRYHFDFFMDQASQSTKLNISFALVYVLAYLLISASSSAATRVDDWQSNWGKDEFTEMATASIGLSFIAFIAFAFSSLISGYNLSTVYP >OIW00453 pep chromosome:LupAngTanjil_v1.0:LG12:13488992:13494896:1 gene:TanjilG_05803 transcript:OIW00453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVHCKRMLLVLVFIVCFISMQARARVLKEPHKEGFDVKFKPKEDGEANTGNLDAAIEQLLNVEKQMRLAGEVAGTRKAATDILKLCFEARAWKTLNDQIILLSKRRGQLKQAVTAMVQQAMQYIDETPDVETRIELIKTLNSVSAGKIYVEIERARLVKKLAKIKEEQGLIAEAADLMQEVAVETFGAMAKTEKIAFILEQVRLCLDRQDYVRAQILSRKISPRVFDANASKEKKKAKEGDNIVEEPAADIPTLLELKRLYYELMIRYYSHNNDYLEICRCYKSIYDIPSVKENSAEWIPVGASCIGLPFMRVSLDTMILRKICWYLVLAPHDPMQSSLLNSTLEDKNLSEIPNFKLLLKQIVTMEVIQWTSLWDAYKTEFESEKISGKSLGEKAEEDLRQRIIEHNILVVSKYYARITLKRLADLLCLSVQEAEKHLSEMVVSKALVAKIDRPMGIVFFQTVKDSNDILNSWAANLEKLLDLVEKSCHQIHKETMVHKAALKV >OIW00164 pep chromosome:LupAngTanjil_v1.0:LG12:16057717:16059313:-1 gene:TanjilG_29154 transcript:OIW00164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALDISISKVSIVVLLTYFFLPSSSQHLSYFSDLRVRENPKSDVDLVEFALNLEYLEAEFFLFGATGQGLDGFVPELAEGGPSPIGGNRASLDKDTKDVILQFALQEIGHLRAIKRTVKGFPRPLLNISKEAFAQIMDSAFGEPLHPPFNPYANHINYLLASYVIPYVGLTGYVGANPELQNGTFKKLVAGLLGVESGQDAVIRAKLYHLRDLPVHPYNLTVEEITNHISRLRNELGRKGVKDEGLLAPAYEGFELKFAGKVLAGDKYSLAYSRTPKEILRIVYGGGDEHVPGGFFPKGADGRIARSYLKSAA >OIW00671 pep chromosome:LupAngTanjil_v1.0:LG12:8190109:8190516:-1 gene:TanjilG_09640 transcript:OIW00671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKFLKPNKAVILLQGRYAGKKAVIVRTADDGTRDRPYGHCLVAGIKKYPSKVIKKDSAKKTAKKSRVKAFVKLVNYQHLMPTRYTLDVDLKDAVTTDVLQSKDKKVTALKETKKRLEERFKTGKNRWFFTKLRF >OIW00319 pep chromosome:LupAngTanjil_v1.0:LG12:15385671:15386506:1 gene:TanjilG_27570 transcript:OIW00319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKNTVVNALCLLSCTVMVMVCNGRSDAESPQYTILHTESEFEIRLYRDSLWISALAQDISFEKATWNGFHRLFQYTEGANLNFSRIPLTIPVLTSLVLGAGPLQSQAYYVSLYLPLKFQANPPLPLPELNIKPYEFVSHCVAVRKFSGKAKDGRIVKEAQKLATSLSRSPWGDSDSRSSQRGYAIAQYNTPLRIFNRRNEVWVDVHAPDLGCKSVGVTSF >OIV99827 pep chromosome:LupAngTanjil_v1.0:LG12:17312837:17315459:-1 gene:TanjilG_26165 transcript:OIV99827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSDFNLFPSDAYPETMDILSHAWCNFAVQTLQPQPQHGSVVLVDNTMKQLDPASPIINDHLTMEKSARMDDADFRSLPGWKTNDVKSWIWMQQAMHPELNYNSGFRNKLMSWKQIIPLKSVSIKKWFKEIKLKRKEEQRLQRAEMHAALSIAGVAAALAAVAAQNSENESNEDKDSAIASASALVAAHCAKVAEAMGIKKEQLGSVIGSAISGTSATHILTLTAAAATSLKGAATLKARSGCKIKLNGGVPFLPIQDNNELDFDFEKGRCILAQGAELYVETPEGKYMPRSVCIILNSEAKVVLMMRKQNLFKSKKESIVLNLHAQLYKDSETNEADTCYLIVLRTRGGNFKLDMANDYRCYKTWVTTINHMLRISSSFAKYELQFY >OIW00035 pep chromosome:LupAngTanjil_v1.0:LG12:18688431:18693578:1 gene:TanjilG_26372 transcript:OIW00035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNGGWSDGESHDRQQRRIPPPSSMLWVRNLRRFIGSGAGLGSEALMELETKRILLDIFKEKQKKSAEAGTIPSFYKKKPEDGSISHRVQRLAKYRFLKKQSDLLLNADDLDAMWVCLRENCVIDDATGAEKMNYEDFCHIASVCTEQIGPKCRRFFSPSNFMKFEKDEQGRIAILPFYLYVMRTVSLTQARIDMSELDEDSDGFLLPHEMEAYIRGLIPNLAQLRDMPAAFVQMYCRIAAHKFFFFCDPHRRGKACIKKVLLSNCLQELMELHQESEEEVTDTEQAENWFSLTSAQRICDMFLVLDKDANGTLSKQELQEYADGTLTEIFIERVYDEHVRRGKTGGGNSREMDFDSFLDFVLALENKDTPEGLTYLFRCLDLQGRGFLTTADIHSLFRDVHHKWIEGGNYELCIEDVRDEIWDMVKPADPLQITLADLLSCKQGGTVASMLIDVRGFWAHDNRENLLQEEEDPEEE >OIW00473 pep chromosome:LupAngTanjil_v1.0:LG12:13698968:13712974:-1 gene:TanjilG_05823 transcript:OIW00473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTLMRRPSRSAATTTVSMEVFDNEVVPSSLASISPILRVAKEIESERPRVAYLCRFYAFEKAHRLDQSSTGRGVRQFKTLLLQRLEKDNATSLASRLKKTDAREIQAYYQQYYQHYVRALDQAEQADRAQLSKAYQTAGVLFEVLCAVNKTEKVEEVAPEIIAAARDVQEKTEIYTPFNILPLDSAGASQRIMQLEEIKAAVSALWDTRGLNWPSSIEQHRQKDLDLLDWLGAMFGFQACILHSNRIGEDKARDNVRNQREHLILLLANSHIRLHPKPEPLNKLDDRAVDAKMKDIFKNYKNWCKFLGRKHSLRLPQAQQEIQQRKLLYIGLYLLIWGEAANIRFMPECLCYIFHNMAYELHGLLAGNVSIVTGENIKPSYGGDDEAFLRKVITPLYRVIEKEAKKSRNGMAPHSSWCNYDDLNEYFWSPDCFSLGWPMRDDGEFFKSTSDMTQGRKGAPRKSGKTGKSNFVETRTFWHIFRSFDRLWTFFILGLQVLLIIAWDGISVMDIFQTDVLYNLSSIFITASILRLLQSILDLILNFPGYRRWRFTDVLRNILKVIVSLLWVAILPLFYVHSFKGAPKEIKQFFSFFNEIKGIPALYSLAVALYMLPNILAALLFLFPMLRRWIENSDWHIVRFFLWWSQPRIYVGRGMHESQFALLKYTIFWVLLLACKFLFSYFFQIKPLVKPTKNIMDIRHIDYSWHEFFPNAKHNYGAVVALWAPVLMVYFMDSQIWYAIFSTLCGGVIGAFDRLGEIRTLGMLRSRFQSLPCAFNTYLVPSDKRQKRGFSFSKQFAEIPVALDMATQFRGKDSDLWKRICADEYMKCAVIECYESFKHILHDLVIGETEKRIISIIIKEVESSISKNTLITNFRMGFLPSLCKKFLELVEILKDADPSKRGTVVVLLQDMLEVVTDMLVTEISELAELNQSSKDTGRQVFAGTEAKPSISFPPVITAQWEEQIRRLYLLLTVKESAIEVPTNGEVRRRIAFFTNSLFMDMPRAPRVRKMLSFSVLTPYYSEETVYSKNDLEVENEDGVSIIYYLQKIYPDEWNNFMERLDCKKDSEIWEKDKNVLQLRHWASLRGQTLCRTVRGMMYYRRALMLQAFLEMASEQEILDGYKAIIVPSEEDKKDKNSQKSLYANLEAMADMKFTYVATCQNYGNQKRSGDRRATDILNLMVNLFVCEDKVAHIYHPTRWVPPTLSHPSNIWFTICSNPSLRVAYIDEVEEREAGKIQKVYYSVLIKAMDNLDQEIFRIKLPGSAKLGEGKPENQNHALIFTRGEALQTIDMNQDNYLEEALKMRNLLEEFNEDHGVRSPSILGVREHIFTGSVSSLAWFMSNQETSFVTIGQRVLAKPLKVRFHYGHPDVFDRIFHFTRGGISKASRGINLSEDIFAGFSSTLRRGNVTHHEYIQVGKGRDVGLNQISLFEAKVACGNGEQTISRDIYRLGHRFDFFRMLSFYFTTVGFYISSMLVAVTVYAYLYGKLYLSLSGIEAAIIKYARKKGDDPLKAAMASQSLVQIGLLMTLPMVMEIGLERGFRTAIGDIIIMQLQLAPIFFTFSLGTKMHYYGRTLLHGGAKYRATGRGFVVRHEKFAENYRMYSRSHFVKGIELTTLLICYRIYGSAAPDSTSYTLLSLSMWFMVCSWLFAPFLFNPSGFEWQKIVEDWDDWAKWMSSRGGIGVPSNKSWESWWEEEQEHLQHTGIWGLIWEVILALRFFIYQYGVVYHLHVAAGDLSITVYGLSWLVIVAVMVILKIVSMGRKKFSADFQLLFRLLKSLLFIGAIAALVLMFTLLSLTVGDIFASLLAFLPTGWALVQIAQACRPLVKSIGMWGSVKALARGYEYLMGVVIFAPVAILAWFPFVSEFQTRLLYNQAFSRGLQIQRILAGGKKNK >OIW00794 pep chromosome:LupAngTanjil_v1.0:LG12:6514044:6515663:1 gene:TanjilG_18104 transcript:OIW00794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSSSLVHFSMIFFLIFLHVSPLLVTSTPPPESPFLKCLTSNTSFSNQVSNIVFDQTNASYASILQAYIRNAKFNISTTPKPLIIVTPLNEHHVQGAVVCAKSIGVKLRIRSGGHDYEGTSYVSKEPFIILDMFNMRNVSIDVQNEFAVVQSGATTGELYYNIWKKSKVLGFPAGVCVTLGIGGHLSGGGYGSMMRKFGLSIDHVIDARIVDVNGRILDRKAMGEDLFWAIRGGGGASFGVIVSYTIKLVRIPEIVTTFVVGKTLEQNATELVLQWQEVAPSIDDRLFMRLLLQPSSSAVEKGKTTILANVNALFLGGADELVALLGKEFPLLGLKKENCSEMSWVDSLLSWANFEKGAKPEAMLDRRTNMASFLKRKSDFVEKPISKEGYEGLWKEMIKYDKVGLAFNPYGGKMNEISSDATPFPHRAGNIFKIQYSVTWKEPGIDVDEKFMDQTRKLFKYMTPFVSKNPRRAFLNYRDIDIGINNFGNDSYQQGLVYGVKYFNNNFQRLVKIKSAVDPGNFFSNEQSIPTHSSHKS >OIW00863 pep chromosome:LupAngTanjil_v1.0:LG12:3884669:3886135:-1 gene:TanjilG_12804 transcript:OIW00863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLSPLLSLLFYFHFFRSVLSLSSDGLALLSLKSSVDQNAGTAAFADWDDGDSTPCRWSGISCANISDEPHVVGIALAGKSLRGYIPSELGSLRYLRRLNLHDNAFYGFIPVNLFNATALHSLFLHRNNLSGPFPSSLCTIPRLENLDISGNSFSGEIPQQLNNCKNLQRLILARNNFSGDIPTSVWPELQNLVELDLSANDLEGSIPEEIGELKSLAGTLNLSFNHLSGKIPKSLGKLPSTVSFDLSNNNLTGQIPETGSFSNQGPTAFLNNPSLCGIPLRKPCSNSNSGSVNSTGSNREPGSNKGLSPGLIIIISVGDAVGVALVGLVIVYIYWKKKDYHNSNIYNDEKGKSINMCDGNGFPCMNGGFLMSEDDDEVEGDGGLVSIDKGFSFELDELLRASAYVLGKSGLGIVYKVVLGNGMPVAVRRLGEGGDERYKEFAAEVMAIGKVKHPNIVRLRAFYWAHDEKLLISDFINNGNLANALRG >OIW00267 pep chromosome:LupAngTanjil_v1.0:LG12:14967568:14986401:-1 gene:TanjilG_27518 transcript:OIW00267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYLGITLGIYHLEEFESSRIMERPILITQNAKDAIFYSYNRHINGFAALLDEDEAAMVAKHPSVVSVFESKRRKLRTTHSWDFLGIHKNGVISHDSIWKKTLGEDVIIANLDSGNERRKKLIGAKRFYKGYQARHKNAIFNTARDDSGHGSHTLSTAGGNFVDGASVFGNGNGTAHGGAPKSHVATYKVCWAQNSYCYDEDILAAFDAAISDGVDVISASLSGENTEFFQSGVSIGSFHAVANGIIVVSAAGNEGPNPTTVSNVEPWTFTVAASTIDREFANYVKLGNNKVLKGLSLSEFGLPSDKLYPLVNAENAKAHNANATDALYCKNGTLDHNKGNGKILVCHRYDGEPISQGVEVARPDITAPGVDIIAAYSEAVPPGPHDKRRTPFMTMFGTSMATPHVAGLAGLLKAIHPDWSPAAIKSAIMTTARIKDNSGEPVLDEWLLDRATPLAYGAGVIQPNHAADPGLVYDIRTTDYLNFLCGRHYNSSMIQLFYGKSYTCPESFNIADFNYPSISINHLVHGYSQNVSRTLTNVGSPAKYRVHINAPREVIVSVKPKILRFKHKGEKRKFTVTFTLRPLKKSKADYFYGSLVWTNHKHIVRSPIVVKNPILRDISAPGVDIIAAYSEGAPPGPHDKRRTPFMTMSGTSMATPHVAGLAGLLKEIHPDWSPAAIKSAIMTTAGIKYNIGEPVLDEWLFARATPLAYSTGVIQPNHATNLGLVYNLRTTDYLNFLCGRHYNSSMIQLFYGKSYTCPKSFQVTNINYPSISITPLVHGHSQHVTRTLTNNVGSPGKYRVHINAPPEVVVSVKPKILRFKHKGEKRKFRVTFKLKTLKKSNNDYFYGSLVWTDHKHIVRSPIVIKNPIL >OIW00807 pep chromosome:LupAngTanjil_v1.0:LG12:6294793:6299668:-1 gene:TanjilG_18609 transcript:OIW00807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQSPTGAATTVSLSRLLLRQTPPCLVSSLSPHSAAPYNHSHPLRLLRFSLSHSSSPNRNVAAMNVVSDRNVSHEPGSKQALISLSDKKNLAFVGNGLQELGYTIVSTGGTASALESAGVAVTKVEQLTQFPEMLDGRVKTLHPNIHGGILARRDQKHHIEALNTHGIGTFDVVVVNLYPFYDKVTSTGGVEFEDGIENIDIGGPAMIRAAAKNHKDVLVVVDSEDYPDLLEFLKGNQNDDKFRLKLAWKAFQHVASYDSAVSEWLWKQSVGDKFPPSLTVPLLLKSSLRYGENPHQKAAFYVDKRLSEVNAGGIATSIQHHGKEMSYNNYLDADAAWNCVSEFRNPTCVVVKHTNPCGVASRDDILEAYRLAVKADPVSAFGGIVAFNVEVDEVLAKEIREFRSPTDGETRMFYEIVVAPSYTEKGLEILRGKSKTLRILEAKKNEPGKLSLRQVGGGWLAQDSDDLTPNDIQFSVVSEKAPQESELRDAEFAWLCVKHVKSNAIVIAKDNCMLGMGSGQPNRVESLRIAIRKAGGAIKGAALASDAFFPFAWKDAVEEACENEIGVIAEPGGSIRDKDAIDCCNNKFTYELKSVFDITWLHE >OIW00623 pep chromosome:LupAngTanjil_v1.0:LG12:10449719:10451939:-1 gene:TanjilG_09104 transcript:OIW00623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNFSQRPIFAGEENWSGGGDGFRWHDDENRFDYGCDRGSATQDCVSNDILDRLPSDPFGMDMSTTFTAAFTELYGGYRMDEVAASDNNYRLFAMKFFYNNFHNGITFPGFPTGGNMHVEERRLQKMSIGECFNCDYEDDMGDAAGEDCLGEDELGPHPALNFALCFLGLADLLAVERVCKSLHSTVRGDPLLWRSIHLDQPLNERIADDVLFQLTNRSQGKLQCLRLVECTRITDDGLMRVLEANPTLIKLSVPGCTRLTIDGIVGILKAFRSMGTQGVRHLHIGGLYGVTQQHYEEILLLLGTDSQMLQHSHKPHFYCRGNLYLSCDDNRAIDIEICPRCQNLRLVYDCPAEGCQGVGNATEACRACTLCIPRCSQCGRCINDSEYEETFCLELLCSMCSKHLVKYSEMMEKMVGPTKSIVLPEEI >OIW00519 pep chromosome:LupAngTanjil_v1.0:LG12:12003941:12006363:1 gene:TanjilG_24249 transcript:OIW00519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEQEYSDLGGQENFAESPSSSIVYNLGGMVTSPSTSSSSLKKSRRGKQKRVVQIPIKETEGSISNTPPSDSWAWRKYGQKPIKGSPYPRGYYRCSSNKGCPARKQVERSRMDPTMLVVTYSSEHNHALPVFKNHHHHNHNHSNNNNHSSSAAAKPIIKPEPDRIIAEPVEPDDKFADEFGWFGGMETIVTTSSTVLEGPIFGGYDDDVASVFMPMREEDELLFADLEELPEFSPVFKRGSLETAEEWPRWCWTTS >OIW00622 pep chromosome:LupAngTanjil_v1.0:LG12:10459075:10463688:-1 gene:TanjilG_09103 transcript:OIW00622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKPKPASTPSQPPPSVEDLFTTLNRHINASSFQNAVKLSDQILAIAPSDEDAIRCKVVALIKDDRIEDALSAIRSSKKSLDDFDFLKAYCLYRQNKLDEALDSLKALERTDETMLLESQILYRLGKMDASLDIYQKLQKSKIDSLEINSVASLVMAGRSSEVQGILDSLRVKATSSFELAYNTACSLIEREKYTDAEQLLLSGRRVGQEVLMEDNFPDDEIEIELSPIAVQLAYVQQLLGRKQDAVEAYTDIIKRDIADESSIAVAVNNLISLKGPKDVSDGLRKLDRLKEKETQNFELAHGLDLKLLAKQKEAIYSNRILLLLHANKLDQARELVSRLVDMFPASVVPVLLQAALLVRENKAGRAEEILAQFASKFPEKSKVVYLARAQVAAAAGHPHIAADSLAKIPDIQHMPATVATLVSLKERAGDIDGAAAVLDSAIKWWSNAMTEDNKLNIIVQEAASFKLRHGKEEDAARLYEDLVKSQGSIEALVGVVTTVARLDVNKAELYEKKLKTLPGLKGIDVDSLERTSGVKQVDAPHVAVTETQEEGKNKAKSKKKRKRKPRYPKGFDPAKPGPPPDPERWLPKRERSTYRPKRKDKRAAQVRGSQGSVVREKHDVDASSNNSNPKSNQATGSKGAAAASEQTKRSSKSSKKKSRN >OIW00168 pep chromosome:LupAngTanjil_v1.0:LG12:16088278:16091874:-1 gene:TanjilG_29158 transcript:OIW00168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVLLHIWPLSGLQGQSSISFSLKLTTQKRLRLKQLFREANERCLNDPMDGVSFTLQDFTQALDHYDFHSQLGTKVIGTVFCTDENGALVEIAAKSAAYLPLQEACIHRVNHVEEAGIVPGFRAEFFIIGENEANDSLILSLRPMQYGLAWERCRQLQADNVVVNGKVVGAKKGGVMVEVEGLRGFVPFSQISTKSTGEELLEKELPLKFEEVDEKQSILVLSNRKAVADSQPQLGIGSVVIGSVRSLKPYGAIIDIGGINGLLHVSQISHDRVTDVEAVLQPGDILKVMILSHDQERGRVSLSTKKLEPTHGDMIRNPKLVFEKAEEMAQTFRQRIADVEAKARANMLNSSPREHNKGITSATEIGCWSL >OIV99970 pep chromosome:LupAngTanjil_v1.0:LG12:18279715:18284468:1 gene:TanjilG_26308 transcript:OIV99970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKLLHSLADENPDLQKQIGCMTGIFQLFDRHHIVTPRTITHNRLPPGTSHFNHDNLERDSNGIHHQQTGRDISLNKDVSEKQRVSTESSRVSFSSSGSSSMSSLDFKAFDQINFPETQMRDTGMKQRSTSPHLGRQSLDLRDVVKDSMYREARGLSVKTTAKDEAVIRVMKHRDSPRPLQLSKSDGCDRVGIDGKQSVPIDIKDPLRVLSKLREAPWYCGEAREPPRLSSYEVKDGGHWHSISKDAPRFSYDGREISRMSFDSRETIKCQPKLHELPRLSLDSRQGSSSTYNSKKHSQLSRNFSTGNSISDDKVSSLQQSCGTQSRPPSVVAKLMGLEALPESYLANDTQSSLSETGSTQGNGQFSRSSKDVLIRPLQINNSPKISLKDLNYPQRKNPDLIVKPISSSRFPIEPAPWKQQNGSRSSKKLSPKALKSPPKTPDSFPSVYSEIEKRLKDLEFKQSGRDLRALKQILEAMQVKGLLESRKEEQASNVVGSHRDYEPRHLSLIQNSRPVRQQNSQGNNLAFSTSRGSNSARTFESPIVIMKPAKFVQKTGTPASSVIPSVGLSHHTLQSGSSVHSDIKKGTPSGRITKDQSPKNTRRDASTSSSDKKASSSRNTKSTQSQPRSQQLPSSVKNSGSVSPRMQQKKLELEKRSRPPTPPSDSNKPRRRYGKQATESVSPGRKLRHKVPNPQHSDDQLSEISNESTSLSCQGDEISQQSDDSKMDMEVTSRLRSDQIIDRHTTSLKAIEQLVSGSMHKKSTLRLDEDESIAELATDGPDHPSPVSVLDSSEYTDDAPKATGDAEESKENKNKDQWNPSESLSFNSAGPGEINRKKLQSIDHLVQKLRQLNSSHDESRIDYIASLCENSNPDHRYISEILLASGLLLRDLSSELLTFQYHSSGHPINPELFLVLEQTKASSLLSKEENFPGKVEYMKLNTERFHRRLIFDAVNEILGAKLGSYPEPWLKPNGVTKKNLSAQKLLKELCFEVEKMQAKKSELSIEDEGEDGLKSLPWEDVMHGSESWTGFYGEIPLVVLDVERLIFKDLVDEVVIGEAASLRIKSSSRRKLFRKY >OIW00651 pep chromosome:LupAngTanjil_v1.0:LG12:9904844:9905191:1 gene:TanjilG_09132 transcript:OIW00651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSLSRSTSGFSFSEHWLLLAAIALIFGFLGYIVYDAIMATASELLQRLLVISPLVLIIIVHWLSTGTQLRFPMPGSEPSAIHRAGGSPWGVAFVLLLLFFFISYQPSLHNLIS >OIW00402 pep chromosome:LupAngTanjil_v1.0:LG12:13013625:13014038:1 gene:TanjilG_05752 transcript:OIW00402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCLVSCYNQPQPAISSRDLLFEEKNRLGSIPPSCHNKCNDCHPCMAVQVPSLPGHEPLKPDLTKNGAMEQALFESSSPQVNNKRYSNYKPLGWKCHCGDHFFNP >OIW00926 pep chromosome:LupAngTanjil_v1.0:LG12:1543180:1566005:1 gene:TanjilG_10004 transcript:OIW00926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDIQPERILVDVVKYLLDKPNFNAVFSESVKNVEINESFLESFCNGLELSLMEKIAISLSLSDSENPDAKLCGKSFCIAQIEKLCANPGSLNCYELIHDIIMFLKQSEGLSKYVDSFMQILSLVQFKDTPSFVLNPLLPDEMREAEFLRNMELFHASGDNDFDAILADIEKEMNMGEIVNELGYGCTVDVSQCKEIFSLFTPLTESNLSKLLGSIARTHAGLEDNQSTFLTFGAALGYNNLSELPPLNSWNIDVLIDTINQLAPQTNWIRVIEKLDHEGFLLPSEEAFSFLMSVYNHACKEPFPLRAICGSVWENTEGQLSFLKYAVSAPPEVFTFAHSARQLTAYSLFQHEICLTVFPMILKSTDGSGMILHLWHVNPNLVLRGVMDSQNDDLDNVTRIVDICQELKILSSVVEIIPSYYGIRLAAVAGRKEYLDLEKWLDNNLTTYKDFFFEECLKFLKDVHLTGSQDLSGKSFHQSGAFLNLYAETTATFLKVLKSHTNLVTSTQLSEELERLHISIVDSNPRLQNGGTTDSSACDGYADDIEAEANSYFHQMFSDSLSMNEMVHMLSRFKESSVKSNLSKLLGSIARTHAGLEDNQSTFLTFGAALGYNNLSELPPLNSWNIDVLIDTINQLAPQTNWIRVIEKLDHEGFLLPSEEAFSFLMSVYNHACKEPFPLRAICGSVWENTEGQLSFLKYAVSAPPEVFTFAHSARQLAYIDAVNGSKLQTGHSNHAWTCLDLLDVLCQLAERGHANSVRPIFEYPLKQCPEVLLLGMAHINTAYSLFQHEICLTVFPMILKSTDGSGMILHLWHVNPNLVLRGVMDSQNDDLDNVTRIVDICQELKILSSVVEIIPSYYGIRLAAVAGRKEYLDLEKWLDNNLTTYKDFFFEECLKFLKDVHLTGSQDLSGKSFHQSGAFLNLYAETTATFLKVLKSHTNLVTSTQLSEELERLHISIVDSNPRLQNGGTTDSSACDGYADDIEAEANSYFHQMFSDSLSMNEMVHMLSRFKESSVKREKSIFECMIANLFEEYRFFQKYPERQLKIAAVLFAALSLSRHPNIIPGLEIGSVVVTQSKSPLFLTYPRALGLSFKCLIFFSNFRRPSVVCFEVRGSVIKHQLVTHLSLGIALRYVLDALRKPADSKMFMFGSLALEQFVDRLTEWPQYCNHILQISHLRSTHSEIVGFIEQALVRISTGHVDVDGMSHASGISSHNSAPATLGHVEPNASSIIQAGQQHMSLQLQQRRDIPLDDRYRASVGFGSSTDVKSLLSSAGQSSVVTPLDASNTNKLHSTFSSSSMLASSGFVRPSRGAPSTRFGSALNIETLVAAAEKRETPIEAPGSEVQDKISFIINNISIANLETKAKEFTEILKEQYYPWFAQYMVMKRASIEPNFHDLYLKFLDIVNSKALNKEIVKATYENCKVLLGSELIKSSSEERSLLKNLGSWLGKLTIGRNQVLRAREIDPKSLIIEAYEKGLMIAVIPFTSKILEPCHNSLAYQPPNPWTMGILGLLAEIYSMPNLKMNLKFDIEYAGPLHISTGTLMEEEKVTPLGLSDQLPSAQGLLQATPTPAPFSLSQIPTQIPNIGTHVIINPKLSSFGLQMHFQRAVPIAMDRAIKEIVSSIVQRSVSIATQTTKELVLKDYSMESDETRILNAAHLMVASLAGSLAHVTCKEPLRASISSQLRTSLQNLSIGNEILEQAVQLVTNDNLDLGCAVIEQAATDKAINTIDTDIGQQLSLRRKHREGMGSTFFDSNLYTQGSMGGVPDYLRPKPGQLSLSQQRVYEDFVRLPWQNQSSQSSNSLSAVQSGNADLSGNSGSVSMGYEGVSRQVDDMAESNLASQFSASSIQNRAADSSSQLSLEKDSVASFPSTASTPELHAVDSSDAVKESGASSQPQVSSGVVERFGSSFSESSLTTRDALDKYEIVVQKLEALVNNDSTEAEIQEVISEVPEILLRCVTRDETAIAVAQKVFKGLYDNASNSIHVGAHLGILTAIRDVCKLAVKELTSWVTYSEEERKFNKDITVGLIRSELLNLTEYNGHMSKLIDGGRNKAATEFSISLLQTLVIEEPKVISELHNIVDALAKLATKPGSPESIPQLVEMVKNPTASAAGNAGKDDKARQSRDNKGPGMLVANREELTSVESVEPDPAGFREQVSMSFTEWYRICELPGANDTASSHFILQLHQNGLLKGDDLTDRFLRLLMELAVAHCLSTEVINSGGLQSPQQLQPMSFLAIDVYAKLVFSILKGSNKVFLLSKILSVTIRFILKDVDEKKMSFNPRPYFRLFINWLVDLGSLEPVTDGANLQILTAFANAFHALQPLKVPGFRLDLEFSSYDWCLAKLRFHMNCSNELVSAPMHVNCTLRVLLVLLHDFPEFLCDYHFTFCDVIPPSCIQMRNIILSAFPRSMRLPDPSTPNLKIDLLQEITQSPRILSEVDAALKAKQMKADVDEYLKTRQQNSPFLSELKEKLLLSPSEAASAGTRYNVPLINSLVLYVGMQAIQQLQGRTPHAQSAANAFPLAVFSVGAALDIFQTLIMDLDTEGRYLFLNAVANQLRYPNTHTHYFSFILLYLFAESNQEIIQEQITRVLLERLIVNRPHPWGLLITFIELIKNPRYNFWNRSFIRCAPEIEKLFESVSRSCGGPKPVDESMVSGWV >OIV99793 pep chromosome:LupAngTanjil_v1.0:LG12:17065728:17066078:1 gene:TanjilG_26131 transcript:OIV99793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVASNSSSLAFYGDNKNNSRNKFQSFLGDDDLVSTVIPAVTVVLEGRSICQRINLHNHASYKSLAKALRQMFVEGDEGNMENDDVLDLSNAIPGYLIAYEDMENDLLLAGDLSWK >OIW00531 pep chromosome:LupAngTanjil_v1.0:LG12:12125167:12130317:-1 gene:TanjilG_24261 transcript:OIW00531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDALRKQATKFREQVSKQQQLQAVIKQFSSSGYEKSDVVVIDEVEMQRHQQLEKLYRATRTGKDFQKEIVKAAETFTAIGYRHIEAGTKLSEDCCKYGAENSIDNILAKAASVYGDARKHVEKEQEELNQLLSSQVLDPLRSMITSAPLEDARHLAQRYSRMRQEAETQREEIARRQARVRESPTAEQVAKLHAAEAKMQELKLNMAILGKEAAAALAATDAQQQRLTFQRLVAMVEGEKTFHLRVAAILGEIEAEIVSDRQKKESAPPVVTSETGSGKTMYFLAEAMHPYSAESEKELNFSKGDFIVVRKVNAMGWSEGECNGRAGWFPSAYVEKRQRIPTSNMAGEVY >OIW00269 pep chromosome:LupAngTanjil_v1.0:LG12:14991005:14999399:1 gene:TanjilG_27520 transcript:OIW00269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLTIIACLINLSLVYGDVNGFDGQPLSKVAIQNAVVSLQSSVSITASPSLLGTKGEDTEWVTVDLDYPSPSGDDWVGVFSPAKFNSRTCWPGSDPKEQAPYICSAPIKYKFVNLKTGKASLKFQLINQRADFSFALFSGGLSNMTVTWTSGYNINESTPLVKWGPKGKKLVQSPAVTLTFGRYSVCGSPASTVGWRDPGFIHTSFLKNLWPNLMYTYRLGHLLPDGSYIWSKQYSFKSSPYPGQSSLQRVIMFGDMGKAERDGSNEFSNYQPGSLNTTDQLIKDLENIDIVFHIGDITYANGYLSQWDQFTAQVEPIASKVPYMTASGNHERDWPNTGSFYDTTDSGGECGVLAQTMFYVPAENRAKFWVVVQMTVTWTSGYNINESTPLVKWGPKGKKLVQSPAVTLTFGRYSVCGSPASTVGWRDPGFIHTSFLKNLWPNLMYTYRLGHLLPDGSYIWSKQYSFKSSPYPGQSSLQRVIMFGDMGKAERDGSNEFSNYQPGSLNTTDQLIKDLENIDIVFHIGDITYANGYLSQWDQFTAQVEPIASKVPYMTASGNHERDWPNTGSFYDTTDSGGECGVLAQTMFYVPAENRAKFWYATDYGMFRFCIADSEHDFREGSEQYKFIEHCLATVDRQKQPWLIFAAHRVLGYSSDNWYAQQGSFEEPMGRESLQKVWQKYKVDIAFYGHVHNYERSCPIYQSQCVNSERSHYSGTVEGTIHVVVGGGGSHLSKFSHVTPKWSLYRDYDFGFVKLTAFDHSSLLFEYKKSSDGKVYDSFTISRDYKDVLACVHDGCEPTTSAH >OIW00928 pep chromosome:LupAngTanjil_v1.0:LG12:1569906:1573737:-1 gene:TanjilG_10006 transcript:OIW00928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGSVVYPRVNQNGCKNFDGSFTSKPGSFPTFVLVDRGDCFFTLKAWNAQNGGAAAILVADDRAEPLITMDSPEEGNGSNNDDYVEKISIPSALISMALGDSIKTALSNGDMVNVNLDWREALPHPDDRVEYELWSNSNDECGPKCENLINFVKSFKGAAQLLEQKGFTQFTPHYITWYCPEAFILSKQCKSQCINNGRYCAPDPEQDFSRGYDGKDVVIQNLRQACFFKVANESGKPWQWWDYVTDFSIRCPMRENKFTEDCSDQVIKSLGLDLKKVTDCVGDTHANVDNPILKGEQDAQIGKGDRGDITILPTIVINNRQYRGKLSKAAVLKALCASFQETTEPSICLTPDMETNECLQNNGGCWQDKSANITACRDTFRGRVCECPIVQNVKFAGDGYTHCEATGALRCAVNNGGCWKGAQGGRAYSACIDDHTKGCKCPPGFRGDGVHSCEDIDECKEKSACQCPECNCKNTWGSYECKCNGGLYYARENDMCIGKYSASAGSGGSSFVWMFVLILAVAGAAGFAFYKYRIQRYMDSEIRAIMAQYMPLDNQPENSNHNQPHHGV >OIV99989 pep chromosome:LupAngTanjil_v1.0:LG12:18404450:18410098:1 gene:TanjilG_26327 transcript:OIV99989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METGRFGFVSKFILCAISAALAGCFATAGAFTGAIAGALAAKASKSSFLQGISLGAVAGAIISMEVLEASRAYWFMEQTGSRGTSSMADFIEGLVRGRLVEESLTPVILTAYNLQFEQARFSHTGYDEIHDVHSLAASSRGLSRDSLNNLPHYVVLKDIKAESTCCTICLQAQTRVCVGRALSWWEKTLKPNNMIEIHSAEKLVHFLVNAGDALVVVDFYSPGCGGCKTLHPKICQIAGLYPNVVFIQVNYEEMKTMCQSLHIHVLPFFRFYRGAEGCVCSFSCTNATIKKFKDALAKHGNEGCNLGPARGLDEKELKALASVGEISGNSKLLCTKLDKMEDIAVRSHDDFSSVGNMASSGVRNMLL >OIW00547 pep chromosome:LupAngTanjil_v1.0:LG12:12234225:12237662:-1 gene:TanjilG_24277 transcript:OIW00547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTIASAADQTTDLLQNLTLDNKPKSLEIPEPTKKISGNQHGTVDSGNALNGQIPPYDRSLTPLLQDFMDPAMYYFPSGYPSPAYYYSGYDGTGNEWEDYSRFMNPEGVDMTSGLYGDNGSMLYHHGYGYAPYAPYSPAGSPIPTMGNDGQLYGPQHYQYPQYFQPLTPTSGGSFAPTATVPPQREIATSVAAADKKSLPVETANGNSNGVANGGNTKGRGPTSGYQDPRFVFDGARSPVHWVDAPLFSDAQQKPASSSSITSSVPGGNNSTASRNQTFRPNSQFMGLQHPRPIPALGANPGFINRMYPNKLYGQYGNTIRSGIGYGAQGYDSRTNGRAWLAVDNKYKTRGRSGGYFGYGNDNMDGLNELNRGPRAKGNKNLKGFTPTILAVKGQNLPATLSIGEDKDKTISAPDLDQYNKAEFPEEYADAKFFIIKSYSEDDIHKSIKYNVWASTQNGNKKLDAAYQDAQQKPGGCPVFLFFSVNTSGQFVGVAEMIGSVDFNKSLEYWQQDKWNGCFPLKWHIVKDVPNNLLRHISLENNENKPVTNSRDTQEVLLEPGLKLIKIFKEYTSKTCILDDFGFYESRQKTILEKKVWEGKSTDEKTEINGELKAQNLGVASELLKDLIPAKKDTDDHKLSENGSVAKAIDAPKGAKPVVSETKIAANGTANGY >OIW00094 pep chromosome:LupAngTanjil_v1.0:LG12:18994545:18995603:1 gene:TanjilG_26431 transcript:OIW00094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METEQWLHYYYSDCDDEETFSFNGLKMNDSDELFEINIMKEEKMSLDTIIEEYENESSTVFSLDIHNWIDAIYVAVDDHGDSSLHALSWALKHVVTPSTTLFLIHVFPQIKFIPTPLGKIPRSHVHPDYVNMYLTQERGKRKFVVQNFIHHLCLDSKVKVEIMLIEGDNVAKAIVDIVGTLNIRKLVIGTTKANLNRNKSESGRGNGIGEKVVKNAQESCDVKIICEGREVSENYIIRCNSSSFADSSSSRVSHEQQLDHSSAFHPLLRFLSNLMSLFRSRHLSPT >OIV99828 pep chromosome:LupAngTanjil_v1.0:LG12:17320610:17321185:-1 gene:TanjilG_26166 transcript:OIV99828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNSSSVKQEFLRKWITDLRKYSSQKKNMSMVERKNAIKLSADLAMASTRDETTRWSKALISNPSRDHNKKVLTGYILDSSPHHTEKVLKKNLNNLLPSCSKRVIRSRKIMRTSRRMVHRSNKERVIASCIAKRLKVQKRTRRLKNLIPGGEFIDDVSLVEETLDYIQSLRAQVEVMRGLVTASELFINPS >OIW00277 pep chromosome:LupAngTanjil_v1.0:LG12:15054045:15056811:1 gene:TanjilG_27528 transcript:OIW00277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAVPNLEDVPSLDLMSELLRRFKCSSKPHKRLILIGPPGSGKGTQSPIIKDEYCLCHLATGDMLRAAVAAKTHLGVKAKEAMEKGELVSDDLVVGIIDEAIKKPSCQKGFILDGFPRTVVQAQKLDEMLQKQGVKIDKVLNFAIDDAILEERITGRWIHPSSGRTYHTKFAPPKISGADDVTGEPLIQRKDDTAAVLKSRLEAFHKQTEPVIDYYAKKSIVANLHAEKPPKEVTVEVEKVLSS >OIW00868 pep chromosome:LupAngTanjil_v1.0:LG12:3735133:3741960:1 gene:TanjilG_12809 transcript:OIW00868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVARKQQTFHVNETLPLPPHPLASSFVGRNLGKYQLGGVIFGCKNSTIKECLSKQLFGLPAQHFSYVKDIDRGLPLFLFNYSDRKLHGMFEAVGKGQMYIDPYAWTTDCSETTKYPAQVQMRVRLHCQALLEENFAQVIADNYFSRNHFWFELDHRQTSRLISLLVSVAFAPGISIPKYPMKRKNVSESLPSHGTLKKTEAFGMPKSEGHNFTQLRRREDSNAMTFLERDIQPMKTITVVEEENQDEKTLIYEKLKEFALSQESQNRSSPDIAIGSPDQNNMCSVEKMDATFEIQLLKDRCTMLESKCNLPLGLVKEIVTESSSKLQLDPKESLSLIGGSDGESQLASMDLYCPSKNVVKSRTRMSTASLYSSSIQLNGELYAFGGVNGNIWYDKVESYNPIHEMWTLFSSLSLKKESLAGFSLNNNIFVVGGGNGTVCLSELIFRFALAAMDLNGALYASGRYNGIDYFKYALGGYDGSTLVPSIKVFDFHLKAWMMEEQINHLRVYFDAANVKEGMYLSD >OIW00163 pep chromosome:LupAngTanjil_v1.0:LG12:16054818:16055318:1 gene:TanjilG_29153 transcript:OIW00163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTLNSFTLFFFLQAMFFMISIPTSHSTLIEDTCHKTPYYDVCINSLNSSPGSSSADVSGLALIMVKVIETKANVALNKIHELQKSGSSAGLTSCASKYNAILVADIPSATEALQKGNPKFAEDGANDAANEATYCQSDLPGPLTAENGAVHDVAAVTAAIVRLLL >OIW00315 pep chromosome:LupAngTanjil_v1.0:LG12:15331247:15331525:-1 gene:TanjilG_27566 transcript:OIW00315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKFPTMFSMRHFLVVSLALNVSLILRIVYDNEDNPSKYMGLKKTTTSEYDAKSRREVPIIHHLRLSTSTLANSSTCSDHPEDRDRIVNLDQ >OIW00735 pep chromosome:LupAngTanjil_v1.0:LG12:9452729:9455167:1 gene:TanjilG_09704 transcript:OIW00735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGILGEEQNIDFVINVGDNFYDDGLTGVDDPAFYESFVNIYTAPSLQKPWYSVLGNHDYRGDVEAQLSPILREKDSRWVCLRSFILNAGIVEFFFVDTTPFQDHYFEDPEDNTYDWSGVLPREEYLSNLLKDVDSALAQSKATWKIVVGHHTIKTAGHHGNTVELVEQLLPILEKNNVDAYINGHDHCLEHIVDSESGIHYLTSGGGSKAWRGDIKPWNPEELKLYYDGQGFMSVQITKNKADVVFYDVFGKVLHTSSIPKDLNPAA >OIW00151 pep chromosome:LupAngTanjil_v1.0:LG12:15979039:15979527:1 gene:TanjilG_29141 transcript:OIW00151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCPSSRSLLPTTTSSASSYFRPAFDILDADRDGKISHDDLHTFYTDIYGGGEGGDDFIGAMMTVADTNKDGFVEYDEFERVVTGERRTLGCGAMEDVFRVMDKDGDGMLSHQDLKSYMALAGFSVTDEDITAMIKFAGGDKNGGVSFDGLLRILALDGSVSN >OIW00601 pep chromosome:LupAngTanjil_v1.0:LG12:11574327:11579969:-1 gene:TanjilG_14827 transcript:OIW00601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKSIKEIGVEEFVKAGLTVEEANELNECLRDLISLNFSNTDIWYHLVTCRVLKPSYPHSLHQLVYYSLHSQNAFYWFPSLEQAKRTNLGCLMETHGSKLLGDSYKDPITSFPLFHKFSVYWSLVLKELSVSFVEPPKCILDISDPSKHGGTWLPGSVLNIAECCLQPSVHPNKRDDSIAIIWRDEGFDDSEVNHVTLKQLRQQVMLVANAIDATFSKGDAIAIDMQMTANAVIIYLAIVLAGCIVVSIAESFAPNEIEARIRISKAKGIFTQDFISRGGRKFPLYSRVIEAATCKVIVLPVIGDEVGVQLREQDLSWKCFLSSGRQNGRSDHYTPIYQSIDSVTNILFSSGTTGEPKAIPWTQISPIRTAADGWAFMDFQSGDVYCWPTSLGWAVGPTIVYSCFLSGATLALYHGSPLGHGFGKFVQDAGVTILGTVPSLVKTWKSTHCMEGLDWTKIKTFCSTGETSNVDDDLWLSSKSYYKPIIECCGGTELASCFIMGSLLQPQAFGAFSTASMTTGFVILDDNGVPYPEDAPCVGELALFPLYMGASDRLLNADHDEVYFKGMPSYKGKVLRRHGDIIKKTAGGYFVVQGRVDDTMNLGGIKTSSVEIERACDRADERILETAAISVAPANGGPEQLVIFVVLKEGYNSNAETLKKKFSKAIQTNLNPLFKVSLVKIVPDFPRTASNKLLRRVLRDQMKHELSVQSRL >OIW00877 pep chromosome:LupAngTanjil_v1.0:LG12:3321006:3328696:1 gene:TanjilG_22675 transcript:OIW00877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLSKYHIRNEHSLADPELYRSADKDDPEALLEGVSMAALVGLLRQLGDLAEFAAQIFHNLHEEVMVTAARGHCLMDRVQQLEAEVPSLEKAFYSQTHHSSFFTSGGIGWHPNLRSEQNLVTHGDMPRFIMDSYEECRGPPRLFLLDKFDVAGAGACLKRYTDPSFFKIELASIATGEVHRGKRIRKVKQKKGARLRDGEAPIVQSHSKLHQLLLEDRIENGYSNPARLVRLKKRQLNGHSAETEAGKCYMEKFLETPSPAHKMIFETSLIPLPLKLPLDDTSEAGIRILEISSINPVKNSFEYHKSPNEEELELKPFSEVNGETYGDLPEAKEQISLGVTDEMSSSDPKLPREREVTVHDQTKIRGSLDGYHSDDVASEVDNYMDALTTMDSELETDNEWKPKKGFLSIQKVTDTDGKEDHQLQARFSDSQSFGDSSTSDESNSFKEDRNGEKVQARLSDSHSTGTSSTSDSISSVRRDGNEHVELLAHFSDSQSFGNSSTSDENNSFKKDPSCFTHSASLSTVVENTPSESVLFRYAKYHEPQVEDTSSNQIPLIVEFQNTDCGKVVMHDDANVKEESSDSWQASSDLVTTELDLCSASPVTIPVGTQSDEIPPDPAELNLRLEDNEDRTGLVESISAKPISLSLLKDDAFPVNSSDKKSVDNLEDDDPYVHSDDLEDLSPVHPVNCFNGEVSSGLILESPNDEPCSAEIEVLYSDLQSKMVHSDEIRESTCSVDPFDGNGCYKNQSSPDNLVMVNDVVTENIQSEDQAFFTVPSVDNAENDAGIVTCPPSGLINSPSRSLSDLQELLSGSSDSFKVAMELAQIFIDSNKEKGENPLEPSTEITSSDTIFSPMTNVTKSVESFSSFEDLHEKEMEVSEAIGKQKTVDKPELACADVQLNLNKPVPCYVNDSEGWNNIQSSAIEQFSHSAFVDDLKMLPEFSRLDTQQSESIFNDQNDLLQNGQDNYPSSSCNQMRSETNAELFLQSQIGEQDTEFLPRDEENFASEKSQSQQMQRYRSKQENIHATSEFAPEIYGDGPSSSYSSGQEINTTKNVMDPLKSPLPDFFPKATEDNLDVIPPMPPLPPMQWRMGKLQHASLFSKREEVEVNWASVQAMQPIKPDKKSQPGFPTSERDTLLHQSQFLPVMAVESDNLQHSGFPVGVSRNPVAIPLKLPIMVNDAIGQYNYVVLDRNQIQNPFLTSPVVSSGRPPHDYIVASEREMVQNSKLCSPILPAEFAASGHDSISPPENLCHPPSQFMSLTSSEVKTTQHSISNVVSTCRPPNGYDGDFEGEMLPSSNQHLKIPPAECDVFGHDSIAPQENLIQSPSQLMLETSSEVKTLEQSISNVVSMGMAPHGYAVTSEGEMVQNSNSLPPVSPAECSVSGHDSTSIQESPTHPPSQLMKETSLEVKTLQSMSNAEGEQGHPSMLLMSPPNMGSMEPNRSFLPSMGEMPSYLDTYAQTSDFESERINGKPKNKLPRPRNPLIDAVVAHDKSKLRKVTERVVPQIAPNLEERDSLLEQIRTRSFNLRPAVATRPSFQGPKTNLKLAAILEKANAIRQAFAGSDEDDDADSWSDS >OIW00704 pep chromosome:LupAngTanjil_v1.0:LG12:8848383:8852306:1 gene:TanjilG_09673 transcript:OIW00704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRISSSKLAILMLLLCYFSSLLQQVIGQRKVLDNEATLRVLLEVKSSFVEDPENVLSDWSENNTDYCSWRGVTCGFNSNSLNHNDSVQVVSLNLSDSSLAGSISPSLGLLQNLLQLDLSSNTLVGPIPPNLSNLTSLETLLLFSNQLTGYVPTELGSLTSLRVMRLGDNELTGNIPESLGNLVNLVNLGLASCKLTGSIPPQLGQLSQLENLIVQDNELMGPIPVELGNCTSLTVFTAANNKLNGSIPSQLSQLTNLELLNLASNKLSGEIPSQLRGMSGLVYLNFMQNQLEGAIPRSLAQMGSLQNLDLSMNNLSGGIPKELGNMFQLQYMVLSGNNLSGVIPRTLCSNATNLEHMMLSDSGIYGEIPAELNQCQSLKQLDLSNNSLNGSIPLELYELFGLTDLLLNNNTLVGTISPFIGNLSNLETLALFHNNLQGSLPREIGMLGKLEILYLYDNQLSGAIPVEIGNCSSLEMIDFYGNHFSGEIPITIGRLKELNFLHLRQNELVGEIPATLGNCHQMNILDLADNQLSGGIPATFGYFKVLQQLMLYNNSLEGNLPHQLINVANLTRVNLSKNRLNGSVFAFCSSRSFLSFDVTDNAFDGEIPQQLGNSPSIERLRLGNNKFSGEIPRTLGKIHELTLLDLSGNSLTGPIPADLSLCNKLAYIDLNNNSLSGQIPPWLGSLPQLGELKLSFNNFSGTLPLGLFKCSKLLVLSLNDNSLNGSLPADIGDLASLNVLRLGNNKLSGPIPSEIGKLSTLYELQMSRNSLNGEMPNEIGKLQNLQIILDLSYNNLSGHIPSSLGTLSKLEALDLSHNQLTGEVPPQLGEMGSLGKLDLSYNNLQGKLDKQFSHWPQEAFEGNQHLCGSSIGHCDDVHHKEQTGLSESSVVIISAISTSAAIALLILALKIFFKTKQEFFRKDSDVNYIYSSSSSQAQRRPLFQLNAAGKRDLRWEDIMHATNNLCDDFVIGSGGSGTIYKAELATGETVAVKKISSKDEFLLNKSFIREVKTLGRIRHRHLVKLIGYCSSRNEHAAWNLLIYEYMENGSVWDWLHGKPVNASKTKSLDWMTRFKIAVGLAQGVEYLHHDCVPKIIHRDIKSSNILLDSKMEAHLGDFGLAKAVVENYDSNTESNSLFAGSYGYIAPEYAYSLHATEKSDVYSMGIVLMELVSGKMPTDEFFGAEMDMVRWVEMHTETHGSACEELIDPVLKPLLPGEEFAAFQVLEIALQCTKTTPQERPSSRKVCDLLLHVFNNRMVDFEKMNLDHYK >OIW00130 pep chromosome:LupAngTanjil_v1.0:LG12:15842879:15844097:1 gene:TanjilG_29120 transcript:OIW00130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKGEESDSKTQLVLEICSISTRSVVCVHKHVSSTAKETFIDWYCILGVEENAGVNTIRKRYHKLALQVHPDKNKHPKAEIAFKLVSEAYICLSNAAKRKTFDLERHKNFCIECKRILYTSGNFVAPGNSSGSGFKVWRIISRSKSCKLWRNIRDMRDRFREEAKVIEDCLHANSMVSRKESSLYNPLDYVQRSKSLHRFEKETPVFNPSDYLHQEYPHLRSQIYKNSATFWFLIDTKYAAQ >OIW00354 pep chromosome:LupAngTanjil_v1.0:LG12:13914043:13917058:1 gene:TanjilG_29921 transcript:OIW00354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLGGDDDVLVLSFLWGRWERRLVKTAIRFGQVGNICFAFLFYPVARASSLLPLLGLTSERCIKYHIWLGHITMTLFTLHGLCFIIFWAVTGQTSKMLEWKKVGISKVAGELSLLCGLFMWVATIPRVRRKMFEFFFYTHHLYIFFIVFFIFHVGTHYACIMLPGLYLFLVDRFLRFLQSRQQVSLVSARVLPCETIELNFSKDHGLTYNPTSIMFINVPSISKLQWHPFTVTSHSNFEKEKLSVVIKSEGTWSKKLYQMLSTPSSIDHLNVSVEGPHGPTLTNYTRHDTLVMVSGGIGITPFVSIIKELIYMSTTFRYITPKIILICAFKNTSCLSLLDLILPNSCTPYDISNTQIQIEAYITRDKKPNPNPMPDNLIQIQSIWFKPNADDAPISAMLVIAASAAFLWNNKQDAKKTKKIQNMEGHTPSEVSPDLKNNDAGRELESLPQQSLAQATNVHYGARPDLRRLLSEIKGSSVGVLVAGPMKMKQEVAAICSSDLAENLHFESFSFGW >OIW00007 pep chromosome:LupAngTanjil_v1.0:LG12:18508046:18512559:-1 gene:TanjilG_26344 transcript:OIW00007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSPGKWIKNVLFGKKSSKSNVTKGREKLVNQKEGEVPSTLSETGLALDPTPNINARNEEDPQLQDKEAENVLPGSQEIDTVESVQQDAPLDPEKIRLGEAATKAQAAFRGYLARRAFRALKGIIRLQALIRGHLVRRQAVTTLCSMYGIVKLQALVRGRRVRLSEVGFEIHEKCNLLKPLDGKHVMPNDTSTKIMKLSANAFIHKLLASSIIIMPLHLQYVPGDPNSVLSWLERWSTSHFWKPVTQPKKIRDIKSQRKQDNISIGEAQVSKSKRTNRKFPTSNFESVPVQPNPEFEKPKRNFRKISSQSSDPLQENPQIELEKIKRNLRKVHSPVVENNVPPEVESETSKQHLEKAMANSVHVGVSEQEGIISSNEKIKKEAALTISSVPDVEVPPTLSVSKEVSDTPSSYQVAVESNPLTEITSKDENIFDNEVKNEPNDAPETVYKDISSHLTNGDLSHKEDPTGSENLKPTRKASLVVKQERAENGSQNSPKLPSYMAATESAKAKLRAQGSPRLGQEGSERNNPARRQSLPSSTNSKISSHSPRTQRPVEVGGKGVHKSDKTVSSSREGNGKVVQAEWKR >OIW00565 pep chromosome:LupAngTanjil_v1.0:LG12:12458530:12464935:-1 gene:TanjilG_24295 transcript:OIW00565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTGAELPACRSAGGGIAMEFPAGNADPELPPAMPPTRLRQRLVNVDCKSLVTVEEIETKLREADLRREKYYEKLSSKARAFLRSPPRCSSQEEDHGHPIEAKMRAAEQKRLLILEKSHMRLARLDESRKAAKTGLEMRYKNERVKLETKMESRVQQAEANRTLILKARRQRSAFLRERSSQSLKQRMARESKYKECVRAAIHQKRAAAERKRLEFLEAGKKRARALANSVSYAGEIERMKIKDTIEDRLQRAQIQRAQCPRQKGRLHGHAQDNWDSLPRKPAELLSIKLARYWREFLRQKRTTFELAKAYDTLGINEKSVKSMPFEQLALLVESASTHQTLKALLDRIESRLKVSREVAPASHLSSLDNIDHLLKRVASQKKRPSPASSVRSWETKKVVSVRGSWLSRYPLRVVFCAYMILGHPDAVFSRKGEHEIALARSAQDFVQIFELMIKVILDGPIGQIQCSDQESGSAVIKSVTFRSQLVAFDKAWYTYLNCFVVWKVKDAQSLEEDLVRAACQLEASMIRTCKLTAEGDSGQLSDDLKAIRRKVIKDQRLLREKVQQLSGDAGIEHMECALSETRSKYYRVKQSGSPSTSVSILVNPTNVSPLSTLASSSERNISDDSSQSTSTVVRSLFKETNTQFSAGSSSSGPRTSSDSLMASSSEKLVTENEVLVNEFLHEHQHSFSDGFGVSDHIQDGVEQKIKQTMEKAFWDVILESVRQNQPNYDLIVQLMGEVRDEICDVAPQSWKEDIFAAIDLKILSQVLISGNLDVDYLGKILEFSLVTLQKLSAPATEETMKATHQKLFSQLSEMCQSGDESNNSCVMTLVKGLQFVLEQIQTLKKEISKAHIRLMEPLVKGPAGLDYLRNAFANRYGSPSNANVSLSSTLRCLSSVMNHKDQEWEEHISFSPALANNDSSSQDWLPSTTLRTGGNILLKTTGSEMASSTDGGNASGNQQPECKGEQVDLVVRLSLLKLVTGISGLTKEDLPETLFLNFSRLRSIQAQIQKIIVICTSILICRQILLSENNAVASPVDKEIVVSKCAEQLIELLDRFKDADTNNIVEVICNVSAIGDEVMDTEKLQSRKIVIARMLGKSLQAGDAVFERVFNAVYSALRGVVLGGSGAIGKKIAEMALRKVGAAVLTEMVVSGAEILIVAATISVSVHGPWYEYLIDNI >OIW01073 pep chromosome:LupAngTanjil_v1.0:LG12:584187:606581:1 gene:TanjilG_14256 transcript:OIW01073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVEYEGGVKPLAYKVKAMSRESSSQKSINVLDTDLRTHWSTATNTKEWILLELNHPCLLSHIRIYNKSVLEWEISVGLRYKPETFQKVRPRCEAPRRDMIYPTNYTPCRYVRISCLRGNPIAIFFVQLIGVSVTGLESEFQPVVNYLLPHILSNKQDPHDMHLQLLQDMTNRLLVFLPQLEADLAGFPDTPESNLRFLAMLAGPLYPILHVVNERTTSKPSGNITDLDVSRSSQLSPALTVSSNFEPRRSRSASPLISSAHRTIVFRPDAIFVLLRKAYKDSDLGSVCRTASRILQKLISPDTEQDVSNPQNEATSLSEEKSKLELFSPFTSVDYSNLFGEEFRMVDENWDCSYLNILDMGAVEEGIMHVLYSCASQPVLCSKMAERTSDLWAALPLIQALLPALCPWVSNPFDIVDDTFSQWKQPIVQQALSQIVLTATSATYRSLLHVCAGYLASYSPSHARAACVLIDLCSGVLAPWMTQVIAKVDLAMELLEDLLGIIQDAPNSLIRARAALKYIVLALSGHMDDILGKYKEVKHRILFLVEMLEPFLDPVIAVSKSKIAFGDLSSSFPEKQERNCMIALNIIRTAVRKPAVLPSLESEWRHGSVAPSVLLSILEPHMPLPADVDLCKSILKPAEPETASASPISSKLNSQDESDAKTDVSETSGKFDSVEDRNLLFAPPELQSIMLANFSKNGSVSNSGDMSLESKHVVEKHSTHHFPVNFVSEAGLGFEYFNLQADYFQLLNYDDCEIRASEFKRLASDLHSQNEITVESHDAAIDALLLAAECHVNPHFMLSIGSSSKFMDLVNIKESKVLPSHDILELKSASGKNKTNFETLAHIERKRDKVVFQILLEAAELDRKYHSKVSDGEDVPYCAEGFDDQVIKLSPVDVQYADALTLVRQNQALLCNFLIQRLQRDQISMHEILLQSLVFFLHSGTKLHCPPEHVIDIILKYAEDLNKMLASFNHQQKESSLHLAQERTGVERRWLLLQRLVVASSTGGEEEIFGTNIQNNYHCGNLIPSSAWMHRISQFSGSVYPLVRFLGWMAVSRNAKQYMKDRIFLASDLSEITYLLSIFADDLAVVDNVVNKKLEDGTIEGSQVESGSSAKIEFERCNQYHKDRSFSAIYPVLWKLFPNMKRQFENFGEAILEAVGLQLRSISSTLVPDVLCWFSDLCSWPFSLASSVGSDNLKGYNAKNARAIILYILEAIIVEHMEAMVPETPKLVQVLVSLSSSVYCDVAFLDSALRLLKPIISYSLSKISHDEKLLGGDSCLNFEELCFDVLFNKIKQNNEIKHSSEDRRYNIALAIFILASIFPDLSIRYRRDFLQYLLSWANFAVNESATSFYDYLSAFQNVMDNCKLLLVNTLKAFGGIPLQLAPFPHVNGSVLFDDTVKPNAWFLSDAYHPSAENVHKVEGNNSNVDMDQHELSIDDLEGFCNDLVGLIKELNTAIERCWNLHHQLTRKLTIASAECFVFSKCLISVSQRYHCAEDDVQNSSLAKSSALFTHHWRIGLEGLSELILMLQERSCWEVSCSMLDCLLGVPYGFCLDNVVGMICSAIKNVSCSAPKLSWRLQSDKWLSSLIARGIYNSRESEVHLIDLFCTLLAHAEPEQRIIAIKHLAKLLGQCVNGERAVISSKLCTDFVPNKLSVSVPDYFLSHLVSSTWDEVVVLVSSDASLQIRIHAMALLSNYIPFAESHQLQSFLVAADSIGCLRNAQPSHDGPILQLSLALIACACIYSPVEDISLIPQSVWRTVETLGSIKHDGQLGDLEKRTCQLLCRLRDEGAEAKEALKEVLSSSSSKQYDPDFASMRESILQVLSNLTAVHSYFDIFSEKMDQDRMELEEAELELEVIQKEQALPGRMEDSKDGNQIHSLPSSGKDVSRLQQIKECIHSLEKSKLKEDIVARRQKKLLMGHARQKYLEEAALQESELLLELDRARAAEMEKELDRQRLLEIERAKTRELRHNLDMEKERQTQRELQREIEQAESGRLSRRDFPSSTHNRQALRLVTYVDVRLHHRYKALALSRPRDRFRERESGRSGNDGSARAGSGSLQPEVASSNSSMAAPPTIVLSGSRTFTGQPPTILQSRDRQDDSGSIYEENIDGSRDSGDTGSAGDPELISAFDGQPSGYGSQRHNSRGSKPRQLGERRDRGDKSKWERKH >OIW00520 pep chromosome:LupAngTanjil_v1.0:LG12:12010417:12011820:-1 gene:TanjilG_24250 transcript:OIW00520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METITPKSAMNNSRSKRFAKTFQKVISLKIASNNGICMLNSNLKVKEDPFTDQHIKANSNKNEDNNKARNKAVMEALMARLFAGVTTIKAAYAELQMAQHPYNNDSIQAADQAVVDELKAISELKRRFMKKELDLSPQITIMLAEIQEQQGLMKTYEITIKKLESEVDVRDSKISSLKKHLDESMAYNKSLEKKLYSSGSLSMFDNVKLSLLNPTHFVQFLNHTLRSIRSFVKLMIREMESAHWDLEAAIKFIHPNASFTKPSHEHFAFESYVCITMFESFNYPNFILPNDQIHKHHQNNNQNLYFNKFKKLKSLNPKQYLKQNPKSSFASFLKSEYLQVVHAKMECSLFGNLNHRKMVNSGGYPDSDFFIAFAEMAKRVWCLHCLALSFEEDISVFQVKKNDRFSEVYMENVTEESVFSTSGESSSTGSDSREIRVGFTVVPGFKIGNTVIQSQVYMSPLSFRVSQ >OIW00465 pep chromosome:LupAngTanjil_v1.0:LG12:13598232:13601396:1 gene:TanjilG_05815 transcript:OIW00465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTMTDPESSEEGAEVPEPPDPDVLETDPTCRYIRYKEVVGKGAFKTVYKAFDEVDGIEVAWGQVQIDEVLQSPGDLERLYSEVHLLKSLRHNNIVRFYNSWIDNKHKTVNMITELFTSGSLRQYRIKHKKIDMKVVKGWARQILTGLNYLHNHNPPIIHRDLKCDNIFINGHQGEVKIGDLGLATFLEQSNAKSVIGTPEFMAPELYDENYNELADIYSFGMCMLELVTSEYPYSECKNSAQIYKKVSSGIMPAALSKIKDPEIKSFIDKCLVPASRRLSAKQLLMDSFLQVTNLAKNQSFPLSNIVIPNMVASENQCLLSEGGVSARNGATSMDLDDTPPVITVFDNSSNGASTFSCVEIRRLKGDYIFFLKGEENDESSVSLVLRIADQSGRARNIDFTFYLNSDTAVSVSNEMVEHLELADQNVKFIAELIDLLLINLIPTWKPCVVIDHLISPCGKGTRAKQPADSELAKYSESSEYTGQVMTEDIGPSNLPVLSIEKEDPYTVDLDDVLSHRNTDLGIATKTSESQMSYASAISDFESDKLSVVSFISAESGVSPLSLVRGSQSSFASEIAASPRSKLLDMGSNGMMSVSSSFDSDKLSIVSFISSESGVSPLSLVRGIQSSFASEIVASPRSKLLDMGSNGMMSVSSSFELDDDLKIELEKIEQKYQEAIKDLCKRRDEAIMETRRRMSEKMQL >OIW00571 pep chromosome:LupAngTanjil_v1.0:LG12:10914341:10914828:1 gene:TanjilG_14797 transcript:OIW00571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVTIKSISIFLFFLGLIFQGYCKPCSLGDLSVKKSKTGVKIQGKPEWLVTVTNNCHCGQSQVILNCRGYKTVEPVDPNILTYSGTDYCLINYGKPIYKQPVTFKYAWDEAFSMSPNSSQMAC >OIW00648 pep chromosome:LupAngTanjil_v1.0:LG12:9974349:9979415:-1 gene:TanjilG_09129 transcript:OIW00648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGVPEADISAFRECLGLSRKNPYVLRLAFSAGIGGLLFGYDTGVISGALLYIRDDFKDVDRKTWLQEAIVSTALAGAILGASVGGWMNDRFGRKVSILVADALFFIGAVIMAAAPSSVVLIVGRIFVGLGVGMASMASPLYISEASPTRVRGALVSLNSFLITGGQFLSYLINLAFTKAPGTWRWMLGVAALPAVIQIVLMFFLPESPRWLYRKGRGDEGELILRKIYQPEDVEAEINALKESVALELKEAESAGNISIVTLFKTKSVRRGLYAGMGLQIFQQFVGINTVMYYSPTIVQLAGFASNQTALLLSLITAGLNAFGSILSIYFIDKTGRKKLALISLCGVVLSLILLTVTFRQTETHSPFISKVETYHFNNSTCPSYGKAGDQSQWDCMKCLKASPECGFCADGSDKLLPGACLASNDYTKNECHDGHRAWYTRGCPSKFGWLALIGLGLYIIFFSPGMGTVPWVVNSEIYPLRYRGVCGGIASTTVWVSNLIVTQTFLTLTEAMGTAWTFMLFGCVAVVAIIFVLICVPETKGVPMEEVEEMLNQRAMHLRFWEKESAATKGNGGV >OIW00584 pep chromosome:LupAngTanjil_v1.0:LG12:11180723:11182027:1 gene:TanjilG_14810 transcript:OIW00584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPPRRKKWTEAEEKTLIDKYGDMVADGSLAKMRTREKKFRPVACHVNSLHNLHDPVAYPWHWTWKDVSTKVQNMRHQYLLVKQKIKKPDCGDGDGSDEFDWVEGLSHWSNFLRYKDVFGDVALGVCGNGGNDLLAMNGGDRDGGDGDGFLDGGGGMDMVEFGQMGNSGDGDGDFGVVNEGVMGLGFEYDGEDGEVNYNGKMREDGDMAVAESGYVYEEGEVMGSNLMKKKRKVGKGMEKKAWKVIVNQMGQLREMEARFEQREMDRERERQRRESLRAELDKQWEKKMEEREKEREELRRQRMSELEALEKESEEMERKRREEELIREREWEERMNCKRLEWKKRVDEMLNQHRAEMGQMQTRILHEQQNLNSQLLGIFSHWTAQPAGLSDHTSASNHYLSHMMQNLHHVNGIVHGDTRVEGDNQEDQFIVDG >OIW00072 pep chromosome:LupAngTanjil_v1.0:LG12:18891145:18892404:-1 gene:TanjilG_26409 transcript:OIW00072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIQTSPLFSSNRVNASFHVTKLPRANFSVPRKPTSDVVQELKLRGSVPFMSATNNTTQLHNSLYNSSNSTTNIKLYSILEVVADRVEMHKNVGEQRDNWNNLLLNNINMITLSAATLTAIAATFGYEGAPLLPLKISSSLLFSAATAMLLIMNKIQPSQLAEEQRIATKLFRMLQSEIQTTIAIGNPTEEDVNGFTEKVLALDKSYPLPLLGVMLEKFPAKYEPAVWWPDTKFQFEGKSKTANHGMMEKNNGWSEELEIEMREVIQVIKRKDMEDYERLGNIAIKVNKSLAIAGPLLTGVAAIGSGFVGNGSVVSDIVPVMAASMAAVINALEHGGQVGMVFEMYRNCGGFFKLLEETIESTLEEKDVEKRENGKVFEMKMALRLGRSVSQLRQLASKSASCRTQGIHIDEFASKLF >OIW00585 pep chromosome:LupAngTanjil_v1.0:LG12:11193148:11197018:1 gene:TanjilG_14811 transcript:OIW00585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGELAIDNHVRYIVSIEKRKDDFESVVMEHLRMNGAYWGLTALDLMGKLDSVDVDEVVSWVLSCQHESGGFGGNIGHDPHLLYTLSAVQVLALFDKLDVIDVDKVTNYIVSLQNEDGSFSGDIWGEIDTRFSYIAICCISILHHLDQINVDKAVKYILSCKNMDGGFGCTPGGESHAGQTLAITGSLDLVDKDLLGWWLCERQVNSGGLNGRPEKLPDVCYSWWVLSSLIMIDRVHWISKEKLIRFILDCQDTENGGISDRPDDAVDVFHTYFGVAGLSLLEYPGVKPIDPAYALPVDVVNKIFFSK >OIW01025 pep chromosome:LupAngTanjil_v1.0:LG12:147270:149839:-1 gene:TanjilG_14208 transcript:OIW01025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDCEAHSVASVHQKKESGPGREKKKVSYEGMRWHYFREYREVWWWSKETVAVVTGGNRGIGFEICRQLAAHGLTVILTSRDASAGVEAIKVLKEDGLDLIYHQLDIGDSSSINQFVNWLQENYGGLDILVNNAGVNFNLGSDNSVENARKRISNAALRDKLSDVESLSEELIDNTLSTFLQQVEDGSWTSGGWPQNYTDYSLSKLAVNAFTRLTARKLSERPVGQKVCVNCYCPGWVRTALTGYAGNNTVEEGADTGVWLALLSDQTTTGKFFAERREINF >OIW00613 pep chromosome:LupAngTanjil_v1.0:LG12:10792991:10794442:-1 gene:TanjilG_21828 transcript:OIW00613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTSRRLADRKIVKFEKNITKRGLVPETTAKKGKDYPVGPILLGFFVFVVIGSSLFQIIRTATSGGWA >OIW00533 pep chromosome:LupAngTanjil_v1.0:LG12:12142122:12142951:-1 gene:TanjilG_24263 transcript:OIW00533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANEQMKPIATFLLLLNFCMYVIVLGIGAWAVNRAIDHCFIIGSELKLPAHFSPLFFPMGNAATGFFVTFALLAGVVGAASTLSGINHIRSWTAESLPSAASVATIAWSLTLLAMGFACKEIELHIRNAHLRTLEAFLIILSATQLFYIAAIHGVAAYIS >OIW00543 pep chromosome:LupAngTanjil_v1.0:LG12:12212036:12214585:1 gene:TanjilG_24273 transcript:OIW00543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDLLLHKDNRFCADCNAPDPKWASANIGVFVCLKCCGVHRSLGTHISKINSVTLDEWSEEEIDAMIEVGGNASANSIYEAYLPEGYTKPASDASHEQRAKFIRSKYEHQEFLKPSLRIVSGKSSSHKNTFKASFSKRLMDSFRKSTSSSKNMEGMVEFIGMLKVKVIKGTDLAIRDMMTSDPYVILKLGQQTVQTTIIKSNLNPVWNEELMLSVPEEFGQLSLQVYDHDMFSADDIMGEADIDLQPLITSAMAFGDAGMFDDMQIGKWLKSHDNALIDDSTVNIIDGKVKQEMSLKLQNVECGELDLELEWMPLGH >OIW00259 pep chromosome:LupAngTanjil_v1.0:LG12:14898045:14898704:-1 gene:TanjilG_27510 transcript:OIW00259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSFKIKGKETEELKQLYNNLQQYWDTLIQSKHPRKFSSPLYSDTIKTIELQDNSPRYLMSMLQQDQEPCMTRTNDDAAQEILQDRLEAVKSGGFKGRRLFDSDVSPHCEEVRSVSSFYSYDDEYESSGSKEQEEHHCTSECRYSSSSSCDLLDASVVKDMMAKAVEEMDNVATIVAETRVVGIRRYAVLFGLLAFVFLLISICMSGGCCDDHDVLVPT >OIW00937 pep chromosome:LupAngTanjil_v1.0:LG12:1644785:1645776:-1 gene:TanjilG_10015 transcript:OIW00937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLDSMIDKLSEARKNKGKRIQLVESEIRNLCITAKQIFLTQPNLLELEAPINICGDIHGQYPDLLRVFEYGGLPPDSNYLFLGDYVDRGKQSIETICLLLAYKIKYPTNFFLLRGNHECASINRIYGFYDECKRRFNVRLWKIFTDCFNCLPVAAVIDEKILCMHGGLSPDMESLNQIKAIERPVDVPDQGLLCDLLWSDPDTEVRGWGENDRGVSYTFGPDKVAEFLNKHDLDLICRAHQVVEDGYQFFADRQLVTIFSAPNYCGEFNNAGALMCVDETLLCSFQILKPLRAKNT >OIV99850 pep chromosome:LupAngTanjil_v1.0:LG12:17475711:17477045:1 gene:TanjilG_26188 transcript:OIV99850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTQKDRVKFNVGGRVFETTSTTIANAGRDSIFGAMFDDNWNLLSDNSIEHFIDRNPDCFAVLLDLLRTGELYIPPNIPEKLLYREAMFYGLIDHVRSEKWGPFDGNRLRLSKSIQGQAPGDATAIRAGPDGGVCVVHGSMVHVYDWVLDEYPPLNLDYQRVNDVGWVDSENIVMGVSEKLGRGDGGMGLFNSHTGELRYKFHVCHENQVKSYTAGALSFSSDYKIFSSCKGRSNEYGVGVWDQVTGKQIDFFYEPLGWSLGDADKLQWLEGSNCLLVATMFPRKDNCYISLLDFREKNMVWCWSDMGAPATIAVDEKRVRDAIAMEDNNYICVVNEFEDLGFMDLRMSASTSIRWSSRSRLMKGKMPEEPCYPKLARHGGQLLSSMNDCISVFCGPEWVLTSRLRRSYGGSICDFSIGGDRLFALHSEENVFDIWETPTPPII >OIW00649 pep chromosome:LupAngTanjil_v1.0:LG12:9939347:9941569:-1 gene:TanjilG_09130 transcript:OIW00649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLLSNSKLLRWKPSLPPFIPKPSFPFSTSNAQPLSEPEPEPLPSQPQPPKSTISTRPQNQQEKPESIICRMMANRAWTTRLQNSIRSIVPRFDPSLVFNVLDGAKSPDHALQFYRWVERAGLFTHDRDTTLKMIQILGSYSKLNHARCILLEDVPKKGAVVDEDMFVVLIDSYGRAGIVQESVKIFQKMKELGIERTVKSYDVFFKVILRRGRYMMAKRYYNLMLREGVEPTRDTYNILLWGMFLSMKLDTAFRLYGDMKNRGIEPDVVTYNTLINGYHRFKKVEEAEKLFVEMKGKDIAPDVISYNTMLKGYFAVGQVDDALKIYEEMKGVGINPNAITFTMLLPGLCDVGKIAEAQNVLGEMVEKYVAPKDNSIFMKLMTCQCKAGDLDAAAGVLKAMIRLRIPTEAGHYGVLIENFCKANVYDKAVNLLDRLIEKDIILRPKSTFEIEASAYNPMIQYLCDNGQTVKAETFFRQLLKKGVIDAVAFNNLIRGHSKEGNPDSALEILTIMGRREVPRDADSYKLLIESYLRKGEPADAKTALDGMLENGHIPESSLYRAVMESLFEDGRVQTASRVMKSMVEKGVKEHMDLVSKILEALLIRGHVEEAIGRIDLLMHSGCEPDIDRLLSILCEKKKTIAALKLLDFVLERDYVLDVSMYDKVLDALIAAGKTLNAYSILCKIVEKRGATDWSSRDELIKSLNLEGNTKQADVLSRMMKGKTQSPAKREGKKQAAAAI >OIW00537 pep chromosome:LupAngTanjil_v1.0:LG12:12169850:12179558:-1 gene:TanjilG_24267 transcript:OIW00537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCCYVCLKLSPPFSTTPPPSKTQLSIPNNGIKLLHNTLINTALSSALSFGLLFSFPSSAIAALEAPSLPVPSETCRDVESQDMVPTAPEVVTNEGLVEEAWQIVNDAFLETGSHRWSQDTWQFSKMARYDMTGIGINLREVPDENGHLRVKVLGIITDSPAHSAGVRQGDEILAVNNMEVVGKSAFEVSSLIQGPNGTSVTIQVKHGNCGPDELIEVQRNLVARTPVFYRLEQTDSGATSVGYIRLKEFNALARKDLAGIEIAKVFLNEGDTVLVNEKTASASEIGLIQSVFELHDGSGVVITVGKYVTPNYNDINGNGIEPDFQKLPGICTEKILTDEENKGIFSSSELGTQMDDIPIINPTAPGTGNPNQGNDPTTPDTQNPSQGNPTTPTTTPNTNPTTPTSSSGGGGQWCVANQGSSDTALQVALDYACGWGAADCSPIQSGSSCYNPNTLRDHASYAFNDYYQKNPSPTSCAFGGTASLTSNDPSSGSCHFASAKTPSLSPPTPYVSPPSPPAPMTPTPPSPTMPGGGSTVYGLEPTESPDAAASVSCSLLLLLFTCGLASLHLADYI >OIW00729 pep chromosome:LupAngTanjil_v1.0:LG12:9208765:9222102:-1 gene:TanjilG_09698 transcript:OIW00729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METTYVNLEVPFVQEIAKEGLTKVPERFVRPLHQRPILSITNDPLPEIPVIDFSKLLSKDFKELELEKLDYACKEWGFFQLINHGVNTSLVENVKTNALEFFKLPLEEKKKFKQNEGDVEGYGQVVVSEDQILEWADMFFMYTFPQNSRNPHLFPNIPLPFRDYLETYLAEVKEISKHMLDFVANAIGMENKEMKELFGEGVQAMRMNYYPPCPEPELVIGLNPHSDAGGLTILLHCNDVEGLHLRNDGLWIPIKPLPNAFTINVGDMIEIMTNGIYRSIEHRTVVNSEKDRLSLATFYNPSMEVIIGPASSLVTPKAPARYKTISVPDYYKGYLSKELRGKSHLDHMRIHTENEKNLESLKI >OIW00856 pep chromosome:LupAngTanjil_v1.0:LG12:4172000:4172997:1 gene:TanjilG_12797 transcript:OIW00856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESIFGYPLRRFFLSNPPIFREWSGSTALLDWHESSNAHIFKINVPGFSKDEIKVQVEDGNILHIKGECEKEETHAKDTILHVAERGNRKGNFSRVIELPENVKVDQIKAHVENGVLTVTVPKDASFKSPKFRNINVTSKL >OIW00717 pep chromosome:LupAngTanjil_v1.0:LG12:9048322:9052801:1 gene:TanjilG_09686 transcript:OIW00717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAPRGPHSSNDVVVLVNHNAPSPNSPTSIPNPQSAQSQPQPQEKEERGEGKKETLVLAYKTLGVVFGGLVTSPLYVYPSMNLKSPTEDDFLGIYSIIFWTLTLIGLVKYANIAIKADDNGEGGTFALYSLLCRHLNIGILPSRQVNISSSIDSIPYRDVETHGWLAKFFKRSLIARRLLLFIAMLGTCMLIGDGVLTPAISVLSAMNGLQSQFPSVTKQLVEALSAVVLILLFLMQKFGTSRVSFLFSPIMGAWTLTTPLVGIYSTIRHYPSIFKALSPHYIVRFFLRNGKSGWLYLAGTVLCITGSEAMFADIGHFSGRSIQIAFIFTIYPSLILTYAGQTAYLIKNPNDISDGFYKFIPTPVYWPMFIVATLAAIVASQSLISATFSVIKQSVVLDYFPRVKIVHTSNNKEGEVYSPEVNYFLMVLCVAVILIFGSGQDIGNAFGVVVSLVMLITTILLTLVMIMIWRTPPVLSALYFFVFFVLEGVYVSAVFTKFSEGGWIPFAISFILAFVMFCWFYGRQRKMDYELTHKITFDRLEELLADRSVQRAPGLCFFYTNIQDGITPVLGHYIKNMKSLHKVTIFTSLRYLLVPKVAPNERIVIKKTELEGVYRCAIQYGYADAGNIEGDDLVGQVIDSLTLHIQNYSLNLSSDSEEIEKEILSLEEARRYGVVYLRGKTRFHTDKSHGWFDKIMLGFYEFLHSNCRSALPPLGIPLQQRIDIGMLYEA >OIW00040 pep chromosome:LupAngTanjil_v1.0:LG12:18709178:18712214:-1 gene:TanjilG_26377 transcript:OIW00040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRYRSRSRSYSPRRRSRTPPRGRKRYDDDRGGSRSYRDRRSPLPSGLLVRNLPLDARPEDLRGPFERFGPVKDVYLPKNYYTGEPRGFGFVKYRHGEDAAEAKQQLDHTIIGGREIRIVFAEENRKTPQEMRVTSRGSDRHGGGRRRPRSRSRTPRRRHHSYSRSPSPARDDSRDGRGRDDYYSSKRSRSYSRSQSPIGGRNSRRSSHPRENDLSPSEKRDRSPSRPRSYSRSPAPSGEKEYRKSPPLRENGRSPIDERDQAPTRSPSPRGNGHSPSRSRSRSYSPR >OIW00063 pep chromosome:LupAngTanjil_v1.0:LG12:18841758:18844889:1 gene:TanjilG_26400 transcript:OIW00063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVVAEISAELERERQKNAKLLEKISMLEAQIRERDMETQENHLHLVASSKKFKRQKTEMVADEEQNMNADNSEMAFKYKSDSEGIVPKEISPEKPLIISWLSMDDSQNNYPEKVKDSDSIADFNETDSDANACEDNYDDDLENAQTHEVIDDITETVNAHKGHPHIDEESNLTCMQSFSDPAGNVRQEDHENQKASCTLHAQLSKKSEIIKTYEVKSLGAPSRSLHVSQNRKPSKVAFCPKEIKRIIESEALLQKNAQSHTIRKIIVFGSLGIRHGCEEMYELDFNHFSIMNKGEPYVSPRNLGEHVLYDNPGVKRKLFYPQQQNPVLCPVNILEEERTMRPSDASCPSWLFLCIKYGGRTRNLPQNEYVRQRMGRNKLKSFGPLMCRMATLVHIRSGSFFFKAMGITLLFMAGFPGDLVKRETKYRNLDLLQKYYRTDEDAEGEELFLPQIIACDNGTEEAQNLTKKTVSTKPKGRKHSNEISNSHNSKNSPSQQSKPTSSAATQFGLTGYSTGHSYANAMAAFHSMASQISPNTYHGLHSMLPPQPTSSFVPILYWPPSNAFVPGPYPSTNGYQCFPNTPNYMSFQTQPYYNYPTCISSVSKLVEGSGKNDLATDESDSS >OIV99987 pep chromosome:LupAngTanjil_v1.0:LG12:18387502:18388464:-1 gene:TanjilG_26325 transcript:OIV99987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKVSAKILRKSIHSFLQNYHYFTSTAVFLAFPFSVSILVSQALATDISSSILPHIYTRLKALFDAAGFPSSSQLFTILNLKVSQTITSSIFSLPFTLTFLLFAKACTIQALKNHKPSLPPSFSSIIPLYKPLLQTYICNCFFILSANATAFCLMFLVFSCIEGLGYNSSTCIFFMSACGAILFSVILANALVICNMAIALSGMEGHGGYLAILKACVLIKEKTSIALFLALPVNLALAAIEALFHFRVVRTYHMAGKEWPCVALEGIFIAYLYSIFIILDTIVSCMFYKSFKSGSSWIHLEDKLLLRIEFPEDSFEELP >OIV99945 pep chromosome:LupAngTanjil_v1.0:LG12:18105325:18109098:-1 gene:TanjilG_26283 transcript:OIV99945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGGGSRRDEAPVINSSNVFAALGSLKKKKKKPEGSSKIANDESEKKELFWAPAPLTVKSWADVDDDGDDDYYATTAPPQSAWAVPESDTAIEKEAAIEESESDIEGIDDVEDDAEDDHENDFEVPAEAEPVLEKAPEPSLAIKETERQLSKKELKKKGLEELEAVLAELGYAQKEPSGQDESHGAEKKEEDHNGVVEKKENAAGESKNAKKKKKKDKSAKEQKESQDQPGKVDAGNTTSNTAGVEKAEDATAIDVKERLKKVASMKKKKSSKEMDAAARAAASEAAARNAKLAAAKKKEKGHYNQQPVRVYNISSVE >OIW00879 pep chromosome:LupAngTanjil_v1.0:LG12:3377264:3377706:1 gene:TanjilG_22677 transcript:OIW00879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWNGSRSTDQRITGDNRLMTPKSSYRWSRLASISMSTHHILDLKKVPRGELRGANPSTRGMGWANLWCTSFYANSSTGLLSWYGRAAAPREILLYTSSRMRFLNKTSIGERCKHREV >OIW00392 pep chromosome:LupAngTanjil_v1.0:LG12:12883259:12885851:-1 gene:TanjilG_05742 transcript:OIW00392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKLTELLGIIKDKASQSKAVLLSKRATLSLLRATSHDSFTPPTHKHISTLLSSGEGSRVTASAVVEVLMNRLQGTNNSAVALKCLIAVHHIINRGSFILQDQLSVYPSTGGRNYLNLSNFRHNSDSVSWELSSWVRWFAQHVEQVLCTSRILGFFLGNSSRDREEVVSGLTNADLLTQFDSLVTLVEWICKRPDPSSMKGNKLVDEIVNLVHEDWIVIQKEVSIQVSEFKERLNFLKFGEAVELVCCLKRLEECKERMMMLEMTQGQRLWDLVREVKDKTGTGVYKEKGKVHKEMRKERVSESDRFCSRVLISADSFHFPSGRLL >OIW00377 pep chromosome:LupAngTanjil_v1.0:LG12:12781500:12781967:1 gene:TanjilG_05727 transcript:OIW00377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKPPPPNASSVLNAASILNATSKPAPTLSPTQKRKLATPKELIAHYESQGMETQEASIKVIEDLQKALFGVITSGRAKKDKQFVETNRKVDSVNNRLTILAMKLDSKPGYAETFAIGLASGAALKGFGAIVPHILRPLAQIWSSVTSATNASPQ >OIW00442 pep chromosome:LupAngTanjil_v1.0:LG12:13383527:13386815:-1 gene:TanjilG_05792 transcript:OIW00442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRRGALARPFPSSKHDGFSSSKEKGITERGGSSSKGKVIVEPQPKVEKLSQGLVDINIGRGQDDGEWEVQSRKSKNRARAAANTRMAQNPSTWNHVEVGRGSGNPWQTQNDDFRRAASRGNGRPQFTATGPQSYSVNSNPLVRAPLEKGWNWKSRTIYEQSISGDVNKVTDETALKSSVKNTDVDDEVEEDFDDMEDTDDDLLSDDNDSDYSQKSHETYKNNKWFKKFFATLDTLTVEQINEPDRQWHCPACQGGPGSIEWYRGVQPLMNHCKTKGSKRVKIHREFATILDEELYKRGTSVIPFGEVYGKWKGLKCGEKDHEIVWPPMVVIQNTQLEQVENEKWIGMGNQELLEYFSTYAATKARHAYSPQGHRGMSLLIFEKSPTGYIEAERLHKHFVDEGTGRDAWLGRRKLFLPGGQRQLYGYMALKEDMNLFNRHSQGKTQLKYEMKSFLEMVVKEIRKMGEDNEQLHYWVTKAGKYEKHKKILEESLSVMAERMRQTMDESHIVRLRTKKQHDETSEELYLQEQFFKDQIRIIHDLRNAKEEDFERLQQEKREEMKQSSTKSLNEKEHRIKVDEYEEVVKIQGTEMSKYVAEEEKLRQSHEDNIATLKLRYLEEEVQLEKKFNEEKAKLMEKYAPSRA >OIW00314 pep chromosome:LupAngTanjil_v1.0:LG12:15323442:15327588:-1 gene:TanjilG_27565 transcript:OIW00314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDKTTITIPGWQSISYFSDITNICWFLEPEFAKEVVRLHNVVGNAVTKGRYIVVGTGSSQLILAAFYALSPPDAPEPINVLSASPYYSSYPSMTDFQKSGLYKWGGDAKSFDKDGPYIELVTSPNNPDGHTRQPVVNRSDGILIHDLAYYWPHYTPISTTSDHDITLFTVSKSTGHAGMRIGWALVKDQEVAKGMTKFIELNTIGVSKDSQLRAAKVLKLVSDSTEQEKSQELSFFKYSYEIMEQSWALVKDQEVAKGMTKFIELNTIGVSKDSQLRAAKVLKLVSDSTEQEKSQELSFFKYSYEIMEQRWKQLRASVEANGLFTLPNFPPEFCTFFNKETENLPAFAWMKCEKDIEDCQSFLGEHRILSRGGKHFGVSQRYVRVSMLDTDENFNLFINRLSAMQI >OIV99733 pep chromosome:LupAngTanjil_v1.0:LG12:16577829:16579773:-1 gene:TanjilG_26071 transcript:OIV99733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDKSIREIERERQGLQSQEKKVILEIKKSAKQGQMGAVRVMAKDLVRTRHQIEKFYKLKSQLQGVSLRIQTLKSTQSMGEAMKGVTKAMGQMNRQMNLPSLQKIMQEFERQNEKMELTSEVMGDAIDDAFEGDEEEEETEVLVNQVLDEIGIDINQELVNAPSGTVAAPSAKTKVPQTETMGNDDAGIDSDLQARLDNLRKM >OIW00821 pep chromosome:LupAngTanjil_v1.0:LG12:5311110:5314168:-1 gene:TanjilG_08260 transcript:OIW00821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADVKRVHDVVEDSLYTEDGTLDINKKPANKKKTGNWKACSFILGNETCERLAYYGMSTNLVNYLQERFNQGSATAANNVTTWSGTCYLTPLLGAFLADSYLGRFWTIAIFSTIYVIGMVLLSFSAILPGLKPPCDGNVCHPTSGQTATCFIALYLIALGTGGIKPCVSSFGADQFDENDDSERKKKSSFFNWFYFSINIGALIAASVLVWIQINVGWGWGFGVPAVAMVIAIIFFFIGSRYYRLQVPGGSPLTRICQVIVAAFRKSGLQVPEDKSLLHETADAESNIKGSRKLEHTNKMKCLDKAAVVTESERIKSLPNPWRLCTVTQVEELKSVVRLLPVWASLIAFATVYSQMNTMFVLQGNTLDQHIGPNFKIPSASLSLFDTLSVLFWAPVYDSMIVPFVRKFTGHERGFTQLQRIGVGLAISVVAMIVAGILEVVRLDIVRKNNYYDLETIPMSIFWQIPQYFLIGAAEVFVNIGQMEFFYDEAPDAMRSLCSALSLTTNALGNYVSTVLVIIVTKITKRNGSLGWIPNNMNRGHLDYFYWLLTILSLLNFLVYLLIAKRYIYKKDAGHAH >OIW00235 pep chromosome:LupAngTanjil_v1.0:LG12:14641011:14643932:1 gene:TanjilG_27486 transcript:OIW00235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSYSKIAMNKRGQFINNNTRDRGEGQNEAEQALFRELWEACAGPLVTAPREGELVFYFPQGHIEQVEASTNQVPNENMPVYDLRSKILCRVMNVVLKVEPYTDEVFAQVTLVPEPIQDENKVEKEPPLAPLPRIHVRSFYKTLTASDTSTHGGLSVLKRHADECLPPLDMTKDVPTQELVTKDLHGNEWRFKHIYRGEPRRHLLQSGWSVFVTSKRCENGELRVGVRRAMRQQVNVPLSIISSHSMYLGVLATAWHAASTRTMFTVYYKPRTSPVGFIVPYYQYMESLKINYTNGIRFKMRFEGEEAREQRFTGTIVGIEDVDPKKWPDSKWRNLKVVL >OIW00367 pep chromosome:LupAngTanjil_v1.0:LG12:12665498:12667691:-1 gene:TanjilG_05717 transcript:OIW00367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLILYCFCYRTMQPKGKRGKAAEPKNNEQNTEGTSSNNTNNNRERKEKVAEVAEDSSHSASLNEQSDSWEGSPSRRKRLIPYSRRTSSRSRRASLRSAKKLSQHQTRSLRGQSSTVDVFQQLHTANIYAYRPPAGYLECPSLTPERSSNEEVENVGNSASATRFTIDKPDAGEAHVVGHSSTSSSLNPTQVINLQKGNEVNSAVQGLAQDMVDKYQVKLVLMPIVKQIISKHGDIFKNCTVVTTKYRSKLLEMICNIIIDLQEKKISETNEDHLQDIILLLDDMKNKNVDVEWLHQRLVEILQAREVLKQTSMLKEKREFSRQKVENTEKELKEKEIDKDRLAILLKAACAEVADCKEKLAAARDESARIDETIADSESKADRFLNCSLVG >OIW00410 pep chromosome:LupAngTanjil_v1.0:LG12:13084468:13085937:-1 gene:TanjilG_05760 transcript:OIW00410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQIMYLGCRKVFLLSVLLFIFVSVCFSACHEPQLESSSSRGSSRKVLEAVEEEQEKPLKKKTISTNTSQSTKNPTKLINPSSKNQTKTIKSNNDSNKNQTKPIKFSNISTKNQTKPIKSSNISIKNQTKTIKSGSLQSNKNQTKQAKVSSTSSSSKTSITDTGLLKKLNSATSSTIKIKKLNSTDSSKPSSTSSKKPLDLSKSGNKTAKATASNTKDKSKDKENKQTKTTIKVDNQSKKPPTKQTLKDWFIEEDEDDLVSEFTDLPNKFHKTLIPDLERFSTTSKAYITKANNEITKGFKPYVGKKYAPTIATIISCAFILIPLLLVSLLCNRIKAYFSLQKIIIFIQVYLSIYFIILCISSLVTGLEPLKFLFTTSHSTYLCLQVLQSLAYVFYLLLLLMYLVLVFSTECGLGSKFVGLVQSFVGFAVGLHYYVTVFHRVVLQQPPKTSWKIHGIYATCFLIISLLARADRRKKVYVEEGGGEGKIN >OIW00518 pep chromosome:LupAngTanjil_v1.0:LG12:11989159:11990224:1 gene:TanjilG_24248 transcript:OIW00518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCRVQKKISMRRKLHILRALANSNSAKRTTIEKSTILYIYKLKVAMETVKREYENLLAIRSQYLNLLNHVQENKNVKVEKINTGTFVVSVTCDKRGDKLVAILEAFDEMGLNVEQAKVSCENGFSLEAIVVAEDKKLDVRDVTEALLKAIGKESGQKDST >OIW00445 pep chromosome:LupAngTanjil_v1.0:LG12:13421236:13426287:-1 gene:TanjilG_05795 transcript:OIW00445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAYAAQTSLQVSAFLLQHDKVGTRPCSSVTLSRDPKNLPVNVSVKLQKQQHSRASKIRRRTTTLEVSCSHDNLPASTLESGSCRAPLDEELILKKKSQEIKPYLNGRSIYLVGMMGSGKTTVGKVMSQVLGYSFCDCDSLIEEEVGGNSVADIFKHHGEAFFRDKEGSIYSGDFNLLTHCLFLEGTGYATVSLMEVELQPNPSPDSGNMLMLFSSTTEALHKLSLMHRLVIPTGGGAVMRPINWKYMHKGVSVWLDVPVEALAQRIAAVGTNSRPLLHYEAGDAYTQAFMRLSALFEERSEAYANANTRVSLENIASKLGQGDVSNLSPTAIAIEVFINFCPMHF >OIV99848 pep chromosome:LupAngTanjil_v1.0:LG12:17463797:17465083:-1 gene:TanjilG_26186 transcript:OIV99848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKTFFGSVNSCPIAANPTLFKRRATKHNGMKLALVLTFAMICLLAGILFLAFVCRRRTRMWEVKQSSYREEQNISGPFSFQTDSTTWVADVKQATSVPVVIFEKPLLSITFADLLSATSNFDRGTLLAEGKFGPVYRGFLPGGIHVAVKVLAVGSTLTDQEAARELECLGRIKHPNLVPLTGYCVAGDQRIAIYDYMENGSLQNLLYDLPLGLQSTEDWSTDTWEEAHNNGIQNAGSEGLLTTWPFRHKIALGTARALAFLHHGCSPPIIHRDVKASSVYLDYDLEPRLSDFGLAKIFGNGLDEEIVRGSPGYVPPEFSQPEFESPTPKSDVYCFGVVLFELITGKKPVDDDYPDDKEATLVSWVRGLVRKNQASRVIDPKIRDTGPDEQMEEALKIGYLCTADLPSKRPSMQQIVGLLKDIEPAAN >OIW00940 pep chromosome:LupAngTanjil_v1.0:LG12:1735933:1743067:1 gene:TanjilG_10018 transcript:OIW00940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASESDVVDTNTLSCANCGKPANLQFIFSSLTSKAVSFGNMKFWDLGLLELCEFFNGNQFVRLLWCPKCVELKLPREGSSFCTQECFKFSWSSHKSLHLKAKLSSSLGTVALGHQNSVSLGEDWLYCLKGGRARTPKFPHFDWTGTLRPYPISSKRIVPAHIDKPDWADGGTPIVEPNSDFQRVVEVDQISRSNSENERNLSDLSGIGSQTATEEEMGACGLAGKKSEKRDAHMLHRWVEAVSNPSSVIAREVLDAAARIIEPGVTTDEIDRVVHEATIAAGAKMILIVICIADHGFHIKLRFKSHDLRDPNYTGKVPPFSSCRWHLTIKLVTGGYPSPLNYHFFPKSCCTSVNEVICHGIPDARKLEDGDIVNVDVTVYYKGVHGDLNETYFVGNVDEESRQLVKCTYECLEKAISIVKPGVRFREIGDIINRHASMSGFSVVKSYCGHGIGELFHCAPNIPHYGRNKAVGVMKAGQTFTIEPMINAGVWRDRMWPDGWTAVTADGKRSAQFEHTLLVTETGVEVLSGRLPTSPNVFPWLNSS >OIW01077 pep chromosome:LupAngTanjil_v1.0:LG12:677033:684363:1 gene:TanjilG_14260 transcript:OIW01077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLFHSVSSTSSFTSLRSVPIHYFPRTSLRNGVVRCKVIEPLKFQNGKPSQSELLLNGGGGSIPSFLLAPNARVKDLVNGNDTRLRIFSGTANPSLAQEIACYMGLELGKIKIKRFADGEIYVQLQESVRGCDVFLVQPTCPPANENLMELLIMIDACRRASAKNITAVIPYFGYARADRKTQGRESIAAKLVANLITEAGANRVLACDLHSGQSMGYFDIPVDHVYGQPVILDYLASKTISSDDLVVVSPDVGGVARARSFAKKLSDAPLAIVDKRRQGHNVAEVMNLIGDVKGKVAVMVDDMIDTAGTIAKGAALLHQEGAREVYACSTHAVFSPPAIERLSSGLFQEVIITNTIPVAEQNYFPQLTVLSVANLLGETVWRVHDDCSGGIEPYSSLGID >OIW00304 pep chromosome:LupAngTanjil_v1.0:LG12:15250190:15254704:-1 gene:TanjilG_27555 transcript:OIW00304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSLVIKVKYEETLRRFSACVNENNQLDLDLAGLRAKICSLFNFTADANLVLRYIDEDGDLVTLVDDDDLRDVMRQRLPFLRIDIHMSNGSGDKPNSSSRGNATPLRSHVTDPFRSGNVVVADVWKSVQEPLTGALSNLCLDSKAVSSANPVLANLADTISKVGKPILNSHFQPSVATGPSTKNGTPGEHAIPKAKGQQSTYVNANFTDFISRSANPPFNSLYQPHVVSGTSLKNGVLGEHVTPEARGLPQPGIAESTSGSPFHAFADFVSKFGKPVGNSHYQWPHHVAGVRGEHVTPEERGRQSTYVDKSSNGIQPMETGNVIRDSMERGAPVDLNIPPFDLYSSQSTNVNITPLSPAVPDGDSKNGTNEGKNSGTHKGKNSWISSSSAAPSNGSNWTSSNDYIKTPTYLRDSATLLTKSSGTSSSTAPANSSTMTSSTAFVKPPIYTGDLGVPSSRTLYINMTDHAMAPISMIHRGVQCGGCGVCPIVGYRFNSKVKDNYGLCSTCFNKVGNVGDYNRIDHLRSRYLSALARNSKLARSKLDSRFILDVNVIDGTMMAPSTAFTKIWRIRNNGTLVWPLGTQLVWIGGDNFSDSHLVDLQVPKEGLHVDKELDIAVDFIAPQLPGRYISYWRVASPSGQKFGQRVWVLIQVDASLKESFYDRSQGLNLSIPLDVSGSKASQLIDINVQPTDDHIPNAHTELVNEMVDNQLMMQELLNNLNDGTDIAAAPVPTIAATAASAPATSAAASSAPATSAAASSAPATSAAAASAPATSAAASSAPATSAAASSAPATSAAAASAPATSAAAASAPATSAAAASAPATSAAAASAPATSAAAASAPATSAAAASAPATSASAASAPATSTSAASAPATSTSAASAPATSTSAASAPATSTSAASAPATSTSAASAPATSTSAASAPATSTSAASAPATSTAAASAPATSTAAASVPTIALSSVSYPNIYLSETGHAVPSSQQSAAVDVPSSTLGVGVNNSVEETLLKELEEMGFKQVDLNLGILRMNEYNLEQSVDDLCGFYEWDPILEELHEMGFRDNDMNKKLLMKNSGSIKRVVMDLLNEDQA >OIW00956 pep chromosome:LupAngTanjil_v1.0:LG12:2101230:2104390:1 gene:TanjilG_10034 transcript:OIW00956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASSTSFSPDQQQQQQQLSPSDQLCYVHCNFCDTVLAVSVPCTSLFKTVTVRCGHCTNLLSVNMRGLLLPPTNQLHVGHNFFTPQNLMEEIRNAPSTNMMMNQMPNQNDLVMNTMRGGPEEIPKPPPPNRPPEKRQRVPSAYNRFIKDEIQRIKAGNPDISHREAFSAAAKNWAHFPHIHFGLMPDHQPVKKANIRQEAEDVLMKDGFFSPANVGVTPY >OIW00400 pep chromosome:LupAngTanjil_v1.0:LG12:12998818:13002475:1 gene:TanjilG_05750 transcript:OIW00400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEEEHEVYGGEIPDVEGDHDNPDIDMSAADDDAAVKELDEMKRRLKEMEEEAAALREMQAKVEKEIGSVQDPAAAASLANKEEADTRSVFVGNVDYACTPEEVQQHFQSCGTVNRVTILTDKFGQPKGFAYVEFVEVEAVQEALLLNETELHGRQLKVLPKRTNVPGMKQYRPGRYNPYMAYGFRRPHPPPYFYPSYGYGKAPRFTRATRYMPYY >OIW00381 pep chromosome:LupAngTanjil_v1.0:LG12:12808804:12808971:1 gene:TanjilG_05731 transcript:OIW00381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVVINALVMPGWISVNLNELPLVKDLLKSSITDISCNGGEKEMHNSAYRTMARK >OIW00483 pep chromosome:LupAngTanjil_v1.0:LG12:13819787:13825397:-1 gene:TanjilG_05833 transcript:OIW00483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLATLVASTPVLVESWRLCGQANASAHRRFVTGRIGGVVYVAFSGVQMVCGGWDPSWRKLVPLESIGDVALFSSSRWKKEVEEDPVMVHAGMLNLFSSFFNSFHNQMQEIVGNADTKSVVITGHSIGGATASLCTLWLLSYLQSISSNVSVLCITFGSPLLGNKSFSNAILRERWGGNFCHVVSEHDIMPRLLFAPITITPLTAQLNFLLQFWHLSMTSPDLGKFAIQISDKEKAELFNAVMNYLEAAATQDGEASLPILFHPFGSYFFVSEEGSVCVDSPATIIKMMQLMLSTSSPACSIEDHLRYGDYVNKVSMQFLLQRNSMQRNIPDSSYEAGFELAIQSCGIANQEDSAIMDVKECLKTARRTGPSPTLNAASLAVALSKVAPYRAQIEWYKSWCDEQDEQMGYYDSFKSRGSSKKEMRINMNRVKLAKFWNGVIDKLETNDLPRDFNNRAKWVNASHSYKLVVEPLDIAEYYGKGLHIKKGHYMQHGREKRYEIFDKWWKDKTVRSGEENSNDRSQFASLTQDSCFWARVEEARDWLSNVRSERDTNKLAVLWDEIEKFEKYAVKLIENMEVSKDVLGRNSSYSIWVEDLRELKHIKAKVQMFSHQCTRFLDGEVAP >OIW01055 pep chromosome:LupAngTanjil_v1.0:LG12:398647:402691:-1 gene:TanjilG_14238 transcript:OIW01055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPNGGFLSAAAKQAERLRIDGNTYFTKQRFAAAIDAYTEAITLCPKVPVYWTNRALCHLKRNDWERVEEDCRKAIQLDSNLVKAHYMLGLALLNREEYAKGIRELQKALDLGRGADPKGYMVEKIWQELAKAKYLEWERSSSKQSWELQNLKEACESALKEKHFLDVPQIEGFVDATSTPTHMEELEALGKVFNKAAEDDIPTEIPDYLCCKITLDIFHDPVITPSGHTYERAVIIEHLKKVGKFDPFTRQPLQLSQLVPNLAIKEAVQAFLDKHGWAYKVD >OIW00990 pep chromosome:LupAngTanjil_v1.0:LG12:1136200:1138055:-1 gene:TanjilG_16239 transcript:OIW00990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECNRNIGQLVIPIFYYVDPSDVRFQEGTFGEVFQRLSAKFSSMNNVVVEWKRALTHAAKLFGWDVRNFRNDSDVIMEIVDDILIKLDKTYLPITNFPVGVEAHVQNVVQLLEKETERACIVGILGMGGIGKTTIAKAIYNKLRRNFEDKSFLENVSEIWEKERGWSDLQENLLSDILKTRNIKIHSIEWGKTLIKERILGKRTLVVLDDVTNIEQLNALCTGKHELNAPGSVLIITTGNAHLLKVREVDYVYTINVMDVNQSLELFSWHAFKKRSPKIDFIELSRDVTIECGRLPLALEVLGSYFYKRTKEQWKNVLSKLERIPHMNALQLLKVSIDGLDDLMEKRIFLDICCFFIGMDSLCYKDICWL >OIW00612 pep chromosome:LupAngTanjil_v1.0:LG12:10790290:10791480:1 gene:TanjilG_21827 transcript:OIW00612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAGSFRVMELPKHPSTKKSRRNQSRGKSSSRPFMDQQVWKDFPEDLFEAVIARLPIATFFRFRAVCQRWNSLLTSKSFSQHCAEVPQENPWFYTVTRECLNSGVMYDPSVKKWHHHTFSTRPVLYDIPVASAGGLICFTGFDHRDYCVCNPLTQSFTELPIRPIKSHSQCCRAVGMTVNGNSTDAGYKILLVNANGDYEIYDSLRKSWSHQGNMPASIKRPMTVNFGSRVSIDSTIYFTRSYPEAIISYDMATEVWKQNITPLPSHVIDHALAEYNGRIMLVGLLGKNAATCVCIWELQQMTLLWKEVDRMPNEWCLDFYGKAVMMKCLGNKGGLLMLSLRSTFSMTSVLVNRLVSYNMASREWLQVPTCKVPGGRKRQWVSYGTAFYPSLTSTA >OIW00924 pep chromosome:LupAngTanjil_v1.0:LG12:1517239:1519464:1 gene:TanjilG_10002 transcript:OIW00924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDYEWGNPSNMMLTPNEDGSSSSSAAAAASDQAHRQIFDHYASHNFLPDYFLHGPGPTTTTTTNTDFTHQQHFNPQAHHTFFDPRAYQGASSSSYPPPHPSMLSLDPLPHVNSGPGPGPGHGFLMVPKSDDMGRPMDFVGSRLGLNLGGRTYFSSSEDDFVSRLYRRSRPGEPRSSASLNSPRCQAEGCNADLSQAKHYHRRHKVCEFHSKASTVITAGLTQRFCQQCSRFHLLSEFDNGKRSCRKRLADHNRRRRKTQNPTQEIPKSQFSFDNVAISPSDSGAQSSSSVTVAVSPPDYFRQRPYQSTSPSTTSSSLFFSSG >OIV99951 pep chromosome:LupAngTanjil_v1.0:LG12:18156263:18156709:1 gene:TanjilG_26289 transcript:OIV99951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEVTASPKRQTNGFLQTISVVIALMTKGANRLTRKHKSSMKDDDWKIELRTPKSSFMVPKKLLSNISNKALLPFIQKKNHRRRESDSAVEYWGDGGVWQKEILMGGKCEPLDFSGVIYYDSTGNQTTQVPLRSPRVSPLPGYLTRQR >OIW00247 pep chromosome:LupAngTanjil_v1.0:LG12:14745668:14748542:-1 gene:TanjilG_27498 transcript:OIW00247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPSPPPNHDSAGDTDAPSPPLVRAYRVWKGNNVFLLQGRLIFGPDAKSILLSIFLIIAPVVVFCVFVARKLIHDFPHHYGWSIMIVVIVHTLFVLIILVLTSGRDPGIMPRNTHPPEPDDNDVFAAINNDRCQWPRFPLTKNVIVNGISVKIKYCDTCMLYRPPRCSHCSTCNNCVEKFDHHCPWVGQCIGLSTYENFRNQYEQQANPYDRGMIENFKQIFCTSIPPSKNKFRSKIPIPKEPSDLSASRNLHPLIKTRKTRQDLEIGMKQVYNETNKEEHRKDGGSAKSLDLPRALHTEGSYAQDTHIVVLPLQERTGRQLDISHDVK >OIW00076 pep chromosome:LupAngTanjil_v1.0:LG12:18919417:18923204:1 gene:TanjilG_26413 transcript:OIW00076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVMMMMPRSNNSFHALSISPKVGPTRFSTSRSKFNKPWFRRKRTKVVMAVIALFSLFFLFNWFMLLRLQHHPLPQPATSSLPLSLQGKGSKSGNGKKPHKGTYERLLALAAHALAENRREPKDLWQEPLAPASAWRPCADQRNWEPNEGKNGYILVTANGGINQQRVAICNAVVVARLLNSTLAIPKFMYSSVWRDVSQFSNIYQEEHFINSLTPDIRIVRELPKELQSLDLEAIGSVVTDVDMEKEAKPSYYLKHILPIILKNQVVHFYGFGNRLAFDPIPFELQRLRCRCNFHALQFVPRIQETGALLLKRLRENANLTGSLDRYLVGPFVDSIKEESGNHAKKASKYLSLHLRFEIDMVAHSLCEFGGGEEERKELEAYRQIHFPALALLEKTTKLPPPSELRSEGLCPLTPEEAVLMLAALGFNRKTHIFVAGSNLYGGRSKLVALTSLYPKLVTKENLLSSAELEPFANYSSQLAALDFIGCTASDAFAMTDSGSQLSSLVSGYRIYYGEGRMPTIRPNKRRLASIFTKNNTIEWRVFEQRMRKAVRQTKHVQTRPKARSVYRYPRSKECMCRTD >OIW00668 pep chromosome:LupAngTanjil_v1.0:LG12:8171593:8172746:-1 gene:TanjilG_09637 transcript:OIW00668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKGRQGERVRLYVRGTILGYKRSKSNQYPNTSLLQIEGVNTKEEVAWYAGKRLAYIYKAKVKTNGSHYRCLWGKVTRSHGNSGIVRAKFKSNLPPKSMGSRVRVFLYPSNI >OIW00635 pep chromosome:LupAngTanjil_v1.0:LG12:10335714:10338919:1 gene:TanjilG_09116 transcript:OIW00635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGFVTGKKRFTKSKMCYPNLANGDELTLSKSNHFVKSEAMDDEDSPILSGLSDDVSKYCLALVPRSDFSAMAGVCKRWRCFIQSKEFITERKLAGVLDEWLYILTADSEGKGSHWEVMDCLGRNRRSLPPMPGPAKAEFEVVVLNGKLLLVAGYSATDETASASAEVYQYDCCLNSWSRLSNMNVARYDFACAEVNGLVYAVGGYGVDGNSLASAEVYDPDTDKWTLIESLRRPRYGCFACGFEGKLYVMGGRSNFTIGNSKFVDVYDPESHSWCEMKNGCVMVTAHAVLGNKLFCMEWKNQRKLAIFSPEDNSWKMVHVPLTGSRRIGFRFGILDGKLLLFSLEEEPTYRTLLYDPNAAAGSEWQTSEITPSGLCLCCVTIKVVKAVESNLQTPLPI >OIW00795 pep chromosome:LupAngTanjil_v1.0:LG12:6510607:6512364:1 gene:TanjilG_18105 transcript:OIW00795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHALETMEKHGVYADAVTYSNLIKCCLAHKAVREGKRLHHHVFSNGYAPKTFLINTFLNMAMRLFVLMVRDGVMPNMFTFSSVLRACERLYDVKQLHCSMVKVGLESDVFVRSALIDVYSKLGELLEAMNVFREMVTGDNVVWNSIIAAFAQHSDGDEALYLYKSMRRASFPADQSTLTSVLRACTSLSLLELGRQVHVHVLKFDQDLILNNALLDMYCKCGSLEDAKFIFNRMAEKDVISWSTMIAGLAQNGFSMEALNLFGSMKVQGPKPNHITILGVLFACSHAGLVNEGWYYFRSMKTLCGIDPGREHYGCMLDLLGRAGKLDDMVKLIQEMNCEPDVVTWRTLLDACRAHRNVDLAAYAAKEIQKLDPEDIGAYVLLSNIYANSKRWSDVAEVRKAMRVRGLRKEPGCSWIEVNNKIHAFILGDKSHPQIDEIDKQLNQFINRLTGAGYVPDTNFVLQDLEGEQGEDSLRSHSEKLAIVYGIMSFPREKTIRIWKNLKICGDCHIFAKLIAKLEQRHIVIRDPIRYHHFKDGVCSCGDYW >OIW00772 pep chromosome:LupAngTanjil_v1.0:LG12:7212782:7213087:-1 gene:TanjilG_22271 transcript:OIW00772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHGESSNDDDNIRKLSQQLQELKDQIQVEKDKKNELNKALSKYKFIKGKLPIDNLSFEELVEFKASLVMLQDNFPVSNNELEAVSSLLLLGENHMYETGI >OIW00736 pep chromosome:LupAngTanjil_v1.0:LG12:9455729:9463711:-1 gene:TanjilG_09705 transcript:OIW00736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMPSGNMVAKDKMQFPSGGGGGGNGVGSSGEINRHHYGQQWVVDERDGIIGWLRSEFAAANAIIDTLCNHLHVVGDPGEYDMVTGAIQQRRCNWNQVLLMQQYFSVAEVGHALQQVAWRRQQRSTDPVKVGAKEGRRFGPGYRQGQRFEAAKVGYNSSVESYSHEGNTVVTGVAEKGTPVSEKSEELKSGSKVGKTDYNNLASSVEKKDDLTKLQTEGNLKRSGSSQGSLVKSESEVVGVNGNDSHSIQNQHQSHNLSAVAKTFIGNEMFDGKMVNVVDGLKLYEDLFDGTEVSKLVSLVSELRISGRKGQLQGSQTYVVSRRPIKGHGREMIQLGVPIADAPPDGENMTGASRGTCYVIFLRCHFATFYTYKNIEPIPSLFQDIIHRMVSSQVMTVKPDACIVDFFNEGDHSQPYNWPHWIGRPVYILFLTDCEMTFGRLIASDHPGDYRGTLKLSLAPGSLLSLQGKSTDFTKYAIPSIHKPRILVTFTKSQPRKSIPSDAHRLALPAGPFHWGPPPSRPPNHTRHNLGPKHYGTVPSTGILPAPSIRPQIPPPNGMQMQPLFVPPPVISPMQFPAAVPIPAVPSSAGWTAPPPRHPPPRIAAPGTGVFLPPPGSGSSSQPLPGATLTEVSTPNMETPTIPDKEDGKSNLGSTSPSPEEKAQKQKFSNGHVDGTEVEQAVETEHDSNDKDIDVSELLLKPVHLVRGNGLLDQELVNILHALHVVHFYIRKGTALHTNVSNNDTKVVKLLDMQREKINGLKSVLLNEDDLALRVYSGCICFEWTGIVAAVAA >OIW00511 pep chromosome:LupAngTanjil_v1.0:LG12:11849410:11851283:-1 gene:TanjilG_24241 transcript:OIW00511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTTTIKSLKKKETTNLLLGRFEVGKLLGHGTFAKVHLARNIKTGDCVAIKIIDKEKILKGGLVGHIKREITILRRVRHPNIVQLFEVMATKTKIYFIMEYVRGGELFNKVAKGRLKEEVARKYFQQLVSAVGFCHARGVFHRDIKPENLLLDENGNLKVSDFGLSAVSDQIRQDGLFHTFCGTPAYVAPEVLGRKGYDAAKVDIWSCGVVLFVLMAGYLPFQDQNVMAMYKKIYKGVFRCPRWFTPELTKLLTRLLDTNPQTRISIPEIMENKWFKKGFKEIKFYVEDDVVCNLDHEKLMGSDEEDEGSVSESDFEVVTRRRSKNASLPRPASLNAFDIISFSPGFDLSGLFEEKGDEARFVSRAPVSKIISKLEEVAHLVSFTVRKKDCRVSLEGSREGVKGPLTIAAEVFELTPSLVVVEVKKKGGDREEYDKFCNTELKPALENLTMEEESASSSSYSHTPTESVQQRALSDSALDMPSDTESLF >OIW00752 pep chromosome:LupAngTanjil_v1.0:LG12:7868658:7869146:-1 gene:TanjilG_19192 transcript:OIW00752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENDSNRIVSRIQDGVISRRNVYLGLHLEILYAEDIDNPSIYPNVFNREYRLVVWVDPNEEFSTIPVEGLLNPVWNATGVILLKKFPGYHTFLNVEVLRVNSMNDPGTSSGVVIIGKVKIPLPRVLYGEKIGRFELVREMGEGFKFEGHIHLSMKLRKYIYV >OIV99867 pep chromosome:LupAngTanjil_v1.0:LG12:17570763:17573316:1 gene:TanjilG_26205 transcript:OIV99867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQNLTDYERKRLNNIRRNNEMMAALKLHSKATQLSKRPRVATKSSPNSKSETKKPKIETPLVIRRSLRTRGIPPITLDSVDSNPSPNKSSIKIETSVKNLGPLAMSDTYDSTHSDRSFIESIVGMAKKEASNSSVGVLCDVEENKKNGSFKLESMSLEYENIARVVPGRITDVRFFPSSSLRMIVVGNKFGNIGFWNIGDTDDTNAEPQVYLYHPHSAPISGILVQENCLSKIYTSCYDGLIRLMDAEKEVFDLVFNSEECIYSLSQPKNDSNCLYFGEGRGGLTLWDNRIGKSQSQWVLHEDRINTIDFNCENPQVVATSSSDGTACTWDLRYTDMDKMTALKTFTHKRALQSAYFSPSGRNMATTSMDNTIGIYSGVNLEDSASIYHDNQTGRWISSFRAIWGWDDSYLFVGNMKRGVDVVSAIENKQVTTLQSTYISAIPCRFDAHPYEVGTLAGATSGGQVYIWTLR >OIW00484 pep chromosome:LupAngTanjil_v1.0:LG12:13828091:13828879:-1 gene:TanjilG_05834 transcript:OIW00484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMEISIDPIDTPSDSISRYNAYTLHADDHRILTVTTNSLQTLSKWLTDLLKSTAKTNHHPILVGVSAEREIVEYTKRGVDDQPFDILTLCVGSQCLIYHLDSRDHPYIPRDFRPIKPLRAFFENPSVVAVGMGIDAVAKKLARDHGIEIKNAVDLRALAAKKEEKLDLARYNLDKVARTVLGKHVDVVRPERKVEWYEDYKMRWNRELAVEKVRFATVDAYLCFLVGSEMHDVMIYGGSGTENDEAKAKNKKNGKKGKKKN >OIW00567 pep chromosome:LupAngTanjil_v1.0:LG12:12475275:12477133:-1 gene:TanjilG_24297 transcript:OIW00567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALDLTPKTAETLLEGEGGGYYNWTSSQMPLLAKNNLCAGRLVLHPQGFALPHYADASKLGIVLQGNGGVAGLVTLNSGKEVVVKLEKGDIIPVPLASFSWWFNNGDTDIIIIFLGETTKALIPGEISYFFPTGLQGLIRGISNELTSKAYNLTQEEVHKLTKSQTEPMMVKLTKDKSMPKPQIEHTKELVFNVDGPNIHNVVKNGTLITTLTEATFPFLGEVGLSVIRVTLEANAIKAPAYPSNVVAQLMYIARGSGKIEIVGLNGENILNTEVEAGQLIVVPQFYVVAQIAGKAGLESYSIVRTTKPMIEELGGRASIWGVLSPVVIETALNVDSEFLKLFLSKFKDTTN >OIW00536 pep chromosome:LupAngTanjil_v1.0:LG12:12159140:12164427:-1 gene:TanjilG_24266 transcript:OIW00536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRIACRWIMLNLNVYLSFNVLGCSILGARNASASLIVKRGPVSLAGVVLELRSRNRMPSLKMKSKVSIGCCLTEKKDLHVCPKSKVISKQPCYKIVFPDQVAEIDSTILNWQDVSSRTLVSPKVVASDEPINRKEFRNNENSESQQQQLFSHDSSAVGKMESTNTCTADIERIVSPASEPIDIYFQHHTQGDAGRIGDTDMPGVSADKSDERRSICGYETCDVSDFFISDMIMASLPFGDFFDDDNFDIINYISDYKSHEPTLFDVPDHNMVLPALEDDVNFGSTKDSISCEEPMMVKENASLYSALCQTKRNFNQDSDVKNDSDKMECFDPQLFIKNFTELSDLESNDLFTQIPKQTRRRKSVTLVLDLDETLVHSTLEHCDDADFTFNVFYNMKENTVYVRQRPNLQTFLETVSEMFEVIIFTASQSIYAKQLLDILDPDGRLISRRVYRESCIFSHGNYIKDLTVLGRIGDTDMPGVSADKSDERRSICGYETCDVSDFFISDMIMASLPFGDFFDDDNFDIINYISDYKSHEPTLFDVPDHNMVLPALEDDVNFGSTKDSISCEEPMMVKENASLYSALCQTKRNFNQDSDVKNDSDKMECFDPQLFIKNFTELSDLESNDLFTQIPKQTRRRKSVTLVLDLDETLVHSTLEHCDDADFTFNVFYNMKENTVYVRQRPNLQTFLETVSEMFEVIIFTASQSIYAKQLLDILDPDGRLISRRVYRESCIFSHGNYIKDLTVLGVDLTKVAIIDNSPQVFQLQVNNGIPIKSWYDDPLDCALMSLLPFLEILADSDDVRPIIAQRFGNKD >OIW00792 pep chromosome:LupAngTanjil_v1.0:LG12:6747784:6749433:1 gene:TanjilG_19597 transcript:OIW00792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GLGSSLKTNLRKLLDDVLRYYYFNSDSLVEEALGGESAAKSFRESDEKGLLAFLRHGISLLVDVPLDIVARDVIEDRGQFALFEVSTPGSYQRLVTNQLAALYIKHKDGYATADAVISLQKVASRLGYDNLDDITKEDMALEALGEIEKLAKVKKMMVEAA >OIW00934 pep chromosome:LupAngTanjil_v1.0:LG12:1621839:1622861:-1 gene:TanjilG_10012 transcript:OIW00934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALVQVRFCPLSGHYRSSILPRHHNPSSLSLSTKPNTHRFPLSFAIGGGGNGSSGGAGNGGHGGDSGKSGDSNDDDDSAGAGAGFGLLSIFLNGWRSRVAADPQFAFKVLMEELVGVSACVIGDMATRPNFGLNELDFVFSTLVVGCILNFTLMYLLAPTLSLTSSSLPGIFANSPTSHMFQPGTYNLLDRFGTLIYKGALFAGVGFAAGLVGTAISNGLIAVRKKMDPDFETPNKAPPTLLNALTWAAHMGVSSNLRYQTLNGVEFVLEKGLPPLAFKSSVFVLRLLNNVLGGMTFVVLARYTGSQSVGEQKPVVAVEKEKLVIREDDFQDNNQSPSK >OIW00462 pep chromosome:LupAngTanjil_v1.0:LG12:13578118:13578792:-1 gene:TanjilG_05812 transcript:OIW00462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYASTSFTSHNIHELLGNSHSRRLLLQNPLNQANPPASSINSHNSTNLYLGGRNFDANVVMILSVLLCAVICLLGLNSIIRCVLRCSYLVISIDSSRTSNPSPSLANIGIKKKVLKTFPIVTYSAEVNLPGMDTECVICLSEFTKDEKVRILPECNHLFHVPCIDKWLSSHSSCPKCRQCLMETRHKIVGSQPGRVVPLPEPETIIRIEPLEPESLVRNYRESS >OIW00471 pep chromosome:LupAngTanjil_v1.0:LG12:13669975:13672584:1 gene:TanjilG_05821 transcript:OIW00471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSALNRTVSFNKSSSFNRRSLNIGSPKVIITRSGWVSLRFQVLVIIASVISFFIAVGGGYIYLLPSISHTFFHSQGLFSDHNNSESLRNCNVLDGSWVQVPDGYYPLYNATQCPFVERGFNCLGNGRSDMDYLSWRWRPKSCDVPRFDVHGVLEMLRSKRIVFVGDSMSRTQWESLICMLMAGVEDKKGVYEVNQNQITKRIRFLGVRFSDYNFTIEFYRSVFLVQQGPKPKHAPKRVKSTLILDKLDDISDQWVNSDVLIFNTGHWWVPSKLFNMGCYFKVGGSLNLGMSIPAAFRIALETWASWVEREIDRNRTHVFFRTFEPSHWSDQTRGTCNLTRYPSLETDGRDQHLFSDTVLEVVKNVAFPINVLHVTSMSAFRSDAHVGIWSDNLSMQDCSHWCLPGVPDMWNEIVLSQLFTDNEIPFQPME >OIW00699 pep chromosome:LupAngTanjil_v1.0:LG12:8653613:8659042:-1 gene:TanjilG_09668 transcript:OIW00699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANNAAACAERATSDMLIGPDWAVNIELCDIINMDPRQAKDALKILKKRLGSKTPQIQLLSLFVLETLSKNCGESVYQQIIERDILHDMVKIVKKKPDLNVREKILTLIDTWQEAFGGPTGAYPQYYAAYNELKSAGVVFPPREVNSVPFFTPPQTQPVVHSAAEYDVAIQASLQSDASGVSFEEIQTARGLADVLTEMLSALNPKDPEGVKDEVIVDLVDQCRSYQKHVMDLVNNTVDEQLLGQGLALNDNLQRVLCRHDDIAKGTADAGARGTKTSFLPIVNVSHEDDESEDDFAQLAHRSSRDTHAQNRKPAYDKAEPLRVNPLLPPPPASKKPVYSDIGMVDYLSGDTYKAEPFENSFAPPIHASPNPTSYVIPTVSPPPPHAVNTSSQILSQQPVYDEPSPVIKSSEEVPPAPWDTQSPSTGIIPPPPSNHNQSHHFFEQQGVPHVGGSSSSYDSLVGQTQNLSLNSSTPTKQQQPTEDALFKDLVDFAKSKTSSSNPNRS >OIW00858 pep chromosome:LupAngTanjil_v1.0:LG12:4141793:4143166:-1 gene:TanjilG_12799 transcript:OIW00858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFILRARGLKKHLKRLNAPKHWMLDKLGGAFAPKPSSGPHKSRECLPLILILRNRLKYALTYREVIAILMQRHILVDGKVRTDKTYPSGFMDVVSIPKTNENFRLLYDTKGRFRLHSVRDDEAKFKLCKVRSIQFGQKGIPYLNTYDGRTIRYPDPLIKANDTIKLDLESNKITDFIKFDVGNVVMVTGGRNRGRVGVIKNREKHKGSFETVHIQDSTGHEFATRLGNVFTIGKGTKSWVSLPKGKGIKLSIIEEARKRLAAQNVTAA >OIW00415 pep chromosome:LupAngTanjil_v1.0:LG12:13128986:13129846:-1 gene:TanjilG_05765 transcript:OIW00415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMATSTTIVFFSLLFLLSFSFTTSDPDYDCVYTVYIRTGSVLKGGTDSKIGLKLYDKNGYYIYIKNIETWGGLMGKNYNYFERGNLDIFSGRGPCLEGPICAVNVTSDGSGDHHGWYCNYVEVTTTGSHLTCNQKQFEVEQWLATDTSPYQLWAVRNYCKHTLDQARPVSERGVGRNGLGSGFSILRSGRVNA >OIW00440 pep chromosome:LupAngTanjil_v1.0:LG12:13368348:13373703:-1 gene:TanjilG_05790 transcript:OIW00440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKGSKRNTSQEHVSKKNQPPRVKRTKSSKPQSEPEYFEDQRNLEDLWKEAFSVGTEWDQLDMVYQFKWDFSNLENAFEEGGILHEKKVYLFGCTEPQLVMFKGESKVVGIPVVVAVVSPFPPSDKIGINSVQRESEEIIPMKQMKMDWVPYIPLEDRDSQVDRLKSHQIFILRCTQRRSALKNLKLDRLKKYEYCLPYFYQPFKEDELEQSTEVQIIYPVEPKPIFCEFDWELDELEEFTDKLIEEEELSEDQKDAFKEFVKEKVREAKKANREAREARKKAIADMSEETKAAFETMRFYKFYPVQSPDAPDVSNVKSPFINRYYGKAHEIL >OIW00646 pep chromosome:LupAngTanjil_v1.0:LG12:10091750:10092139:1 gene:TanjilG_09127 transcript:OIW00646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVMNSTMQMKASAPLPMKLEKSPYEVVHHLSSSNAVVIFSTTDCCMSTVAKRLLFSLGVGPTVVELDEHAAGPDIKAFLYQLAGTTRQPPIPAVFIGGKFLGGVEALMANHINGTLIPLLKEAGALWL >OIW00878 pep chromosome:LupAngTanjil_v1.0:LG12:3356322:3357569:1 gene:TanjilG_22676 transcript:OIW00878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTAPPKGEGGSGAVTTERAIEKALLSDGLSQDELGDPRKRDVIRGFLFYANGELLSYRKSLEIQNTQGLEGKTHGVRQIILVGQAVISGLEGEKSSILVWSLLKAIKREKQELSNHHVVQGRKIYQVQVHIGAKGIPANLSLHHNE >OIW00650 pep chromosome:LupAngTanjil_v1.0:LG12:9918484:9919929:-1 gene:TanjilG_09131 transcript:OIW00650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDEIQTPASKFPRIGNGRNDFSNKIGTRGGLGSGEQQNPIYDEVDGGGSTNRFHSWHHSSRIIRVSRASGGKDRHSKVMTSRGLRDRRVRLSVATAIQFYDLQDRLGFDQPSKAVEWLIKSASDAISELPSLNNTFPDTPKEPSVGIEQGFDSAEAEIDGNTNYHTQQQQHNTNQSQNLSLSKSACSSTSETSKGSGLSLSRPDVRVNRIKARERARERTAKEKDSETLNNIAHHVNINNNPISQTSFTELLTGGTSNAVPTTTSPNNNDSMRNQNQWCSTAPMDYFTSGLLGPSSSSSRTNTSGFSQIQLGHSLPETMQVSLSPFSLSGTDHNQNHSSDQQLHHHFSFTHDHLMPAMVTSSSSSSHQHHQPNHDYNLNFTISPGLAGYNRGTLQSNSPSHMLPQHNHMQRFSSSTIDGSTVPFFIGAASAAASSASNMENNHHQQQQLHFSPIFDGRGLQLCYGDGTRHSDQKGKGKN >OIW00673 pep chromosome:LupAngTanjil_v1.0:LG12:8242119:8242376:-1 gene:TanjilG_09642 transcript:OIW00673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDRHYRIAPFDERYEQEASRKLVFSELYEASKQTANPWIFEPEYPGKSRIVDGRTENLFEQPVIMGKPYILKYTDVPVDIMHLF >OIW00508 pep chromosome:LupAngTanjil_v1.0:LG12:11818981:11819547:1 gene:TanjilG_24238 transcript:OIW00508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNQIQNISTHGSSSSSQPPSNQQQHHQQEPQPQPQPLSRYESQKKRDWNTFGQYLNNHSPPVPLSQCNFNHVLEFLRYLDQFGKTKVHLQSCIFFGQPAPPTPCTCPLRQAWGSLDALIGRLRAAYEEHGGSSETNPFGGGVIRSYLREVKECQGKARGIPYKKKKKKRNQIKGPNQGAKVFKQSAS >OIW00202 pep chromosome:LupAngTanjil_v1.0:LG12:16336686:16345610:1 gene:TanjilG_29192 transcript:OIW00202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSENDPSHQSSEIPLTLPSLHVCLQKFRLYETRSNFYMIGRDKSRTYWRVLKIDRLDPSELNLREDPTTYTEIECSELLKRIHEGNKSTGGLKFVTTCYGIVGFIKFLGPYYMLLITKRRKIGAICGHAVYAVSKSEMIPLPNSSVWSNIINSKNENRYKKLLCTVDLTKDFYFSYSYNVMRSLQRNMCDNELGQVLYETMFVWNEFLTRGIRNHLQNTLWTVALVYGFFKQDTLTTISGRELILTLIARRSRHYAGTRYLRRGVNEKGRVANDVETEQIVFEDVPEGLPIRISSIVQNRGSIPLFWSQETSRLNIKPDILLSKKDQNYQATRLHFENLAKRYGNPIIILNLIKTHEKKPRESILRAEFANAIDFINKDSSEENRLRFLHWDLHKHFQSKATNVLLLLGKVAAYALTSTGFFYCQVTPTSSLEDSLKWPSVGNVDKGSFSPTGHDDNEDTNNLERKASEGNNVSNENHSVKPPILQRGVLRTNCIDCLDRTNVAQYAYGLAALGHQLHALGVIDHTKIDLDDPIAEYLMGFYERMGDTLAHQYGGSAAHNKIFSERRGQWRAAIQSQEFFRTLQRYYSNAYMDALKQDAINVFLGHFQPQQGKPALWELGSDQHYDAGRLGDGDARSFFKRSFSDGNILQESSTPMSAPNARHDNFPNSGLPDRSEEGSKVFSESSPEISTTESDVSFSRYTPSMPRRQLFGDMRRVESDHIYYSEHGDSFSCSNFVDLDWLSSSGNSCEEEAYERSTITNSPIPGLSSENITSGVTVGETTASSSDLGGSSRKGRERTEPDLSYGDTRSSDVPEEFPDTFVEWVNYGQTLCH >OIW00737 pep chromosome:LupAngTanjil_v1.0:LG12:9492119:9496671:1 gene:TanjilG_09706 transcript:OIW00737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AKRVVGSVPKATGSALETNSRSPISAGKSTNPIVVIDNYDSFTYNLCQYMGELGFHFEVYRNDELTVEELKRKNPRGVLISPGPGTPQDSGISLQTVLELGPIVPLFGVCMGLQCMGEAFGGKIVRSPFGVVHGKSSLVYYDEKGEDGLFAGLPNPLVVGRYHSLVIEKESFPHEELEMTAWTEDGLIMAARHKKYRHIQGVQFHPESIITTEGKAIVNNFVKLIEKIEEGGS >OIW00497 pep chromosome:LupAngTanjil_v1.0:LG12:11713634:11714386:1 gene:TanjilG_24227 transcript:OIW00497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIQNHNNQKEKESGLRETLKIKNKSKKFVGVRQRASGKWAAEIKDTSKKIRMWLGTYRTAEEAARAYDEAACLLRGSNTRTNFSTHHDSIATNSPISLKIKKLLDHKAMPNEKKLQSQSTMSTSVTVQGASSEVIPNVSVGINSIMVMEDNSTACSSSSSNEAKSLFWVQNQVYDTRPYRPDMNMMNYSMDLVEPNTWQFDYSWPLSQQRSNELPMLSPKDGLNEWYMEDPYQYEYDVNYPLPHFFCFT >OIW00850 pep chromosome:LupAngTanjil_v1.0:LG12:5169288:5171584:-1 gene:TanjilG_12254 transcript:OIW00850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESELENVAPQDQQTEESEQTSESKFVHVTFQLEKNCDFGEQFLIVGDDPVLGSWDPADALPMTWSDGHIWSVELDMPTGKSILYKFILKGKAGDIVWQPGSDRTIQTSETMERIIVCEDWENDELQKIMEEDRLDQSNEETQVDSEMPSFAEHLDNPEEGLVSNVSKISGIEDSRTHLQEKPPGEPDLHQINDYTISSLTEKPVAVVVENIGSSEALINWSEESADSPGNDHTIHVGHNGTDAPIKNQEMTAVESNLFDFEGSPVIVPGLTPPVVANEEAGSGEVQESTTVYTPIEAFESKDQNIPEFPKEQESNDSTPSVIGTTINEAELLNNEYEEQSQLAPAMEDRLNSEPVDGNLLQNDNQWGRQMVLKFLTKLRLF >OIW00739 pep chromosome:LupAngTanjil_v1.0:LG12:9541319:9544532:-1 gene:TanjilG_09708 transcript:OIW00739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPNGVDQDGEKSRSKLSRHNFEPIKEYPDEKGVEFLRDSEMGVDKILQSKPTTTKFDGSSSGFQELTLSYLCDNTKLSLAEREKRLLNYSMEKVSHKGKEVVGFSENSNLDGKWVERDFLSLSESRENSSKRSIEEVEKESNREKKPKLETLNLSLALPDVSLSLTASNALQNGAVADQQSLRPNPTRPSTTTHTSFSNDYTTPSLSYSYSHQFSHNPSCSLTHNSTENFDYSVSKDDHIWNCGEGTNGSVHSRFKPVGDGGVAFSNHGGGGFSSFMQGNNSQYKTTSSENHSFFPSELPARPRFETQSGDSRGRNSENLRVLEGFDGGMVRKVSRPERIIREIVHESVPAMAVTIQELTDEVIASMKEYLKILMEKPEKKEELVSLQKRLERRSDLTKESLSKCQKVQLEVLVAVKIGHASFLTSKANLSEMVDIFMYKRCRNVNCKSVLPVDDCECKICSGNKGFCSSCMCPVCLNFDCASNTCSWIGCDVCSHWCHATCGIQRNLIKPGPSLKGPSGTSEVQFHCIGCGHASEMFGFVKDVFLCCAKDWGIETLMKELDCVRRIFRGSEDRKGKELHVKTDDMMLKLQTKMVSPSDACSYILQFFSYADSIPDFPPSSISSKDLATSQANHSKETPSLPKSSSQIPKYPYDMSYSRSHSDAHAMSNNIHQKDLQASLLSERKAEADFHLSALLRKGGVESLESVVRIKEAETRMFQTKADEAKREAEGFQRMIRTKTAQMEEEYAEKLGKLCLHETEETQRKKLEELKVLENSHYDYYKMKMRMQDEIAGLLERMEATKRHWV >OIV99925 pep chromosome:LupAngTanjil_v1.0:LG12:17987530:17987895:-1 gene:TanjilG_26263 transcript:OIV99925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTGLQRSVTSFRRQGSSGLVWDDRFIQSLNQIQNQQQQKEQELEEKSDGTSATLERSKTVGAIPIPIPIPCKKVNVVAPSLDPPSPKVPTCGLCVFFGKKSLLQTSTHHKSKPNSTKHR >OIW00336 pep chromosome:LupAngTanjil_v1.0:LG12:15514055:15515812:-1 gene:TanjilG_27587 transcript:OIW00336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDCTREGARLLLLAKSNHVASQFRSLIRASSIALDVLPLNRIEVCDDVKELVELVAKQARKAKMELDPNDERESRKLDSVLRQFEKGIEPDVKTMQEILKYLEIKTWSDCNKEIKVLEDEIEFDCDEREVPLLSSLMGFMNYSRVVIFEKLDFFNTGQSPSRCSTEMMITCINLEDFRCPISLELMMDPVTVSTGQTYDRISIKKWLKAGNMICPKTGEKLTNTELVPNTSLKRLIQQFCMDNGVSLSISGRDISRTIVPGSSAAAHATQFLSWFLTHKLVFGTEEQMNKAAYEIRLLARSNIFNRACLIEVGTIPPLLDLLGSNDKSTQENAISALLKLSKHAMGPGIIMENRGLVPILMALKKGVTLEIRQIAAAIIFYLSSVKEYRKEIGENHEVIPGLVELVKEGTSHGKKNAVVAIFGLLLHPSNHIRVIQSGSVPALVAILASSDKHELITESLAVLAVLAEKVDGANAILKATNSLQLITGLLQSVTSNAGKEHCASILLSLCVNFGSDVVGVLSKDTTLMPLLYSLLTDGTSHASKKARFLIKVLHDFNETRASGALKGSSVPQERATQLCFDERV >OIW00867 pep chromosome:LupAngTanjil_v1.0:LG12:3766956:3768995:1 gene:TanjilG_12808 transcript:OIW00867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCLHISTNLNLDGVDLEPIFSEAITAVARIVGRPEKFVMVILKGSLQLTFGNNKEPAAFGELVSMGGITREVKRNLIATIGTILESKLSIPKARFILNVTDTTLSYRHTSNL >OIV99760 pep chromosome:LupAngTanjil_v1.0:LG12:16789114:16790756:1 gene:TanjilG_26098 transcript:OIV99760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPAYPHSLSSIMPPLDHNHHHLFNPNHQASSSLFSSSSFHTLFNPSDHDQQGSFCWEPKHLPSDHEETGKIIPLSGSSWDQTASENHESSIGSKVTVWKKEDMAENLQAGDEDGSLKLLPSKMRIMRKMMVSGQTTDSYVGGSSMQKFEDQKQPLSPLGTDNSSNNYPKHSNNIVRVCSDCHTTKTPLWRSGPRGPKSLCNACGIRQRKARRAMAVAAAASENGTIVVAAAQKSVKGKEKKSKVEYAPQQMKRKRKLIAKPSSESRNKFSFEDLTLRLSKNVAFKQVFPQDEREAAILLMALSYGLVHG >OIW00139 pep chromosome:LupAngTanjil_v1.0:LG12:15896313:15903822:-1 gene:TanjilG_29129 transcript:OIW00139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIDLDSSTRRIEIDNRIPLRYYYRIADNLLKQARIYREEKNIVDLYIILLRYSSLVSETIPYHRDYQVSLPKDRAAHRKRSLAVLDELESLKPDFKHQWENLNDSHVKASFPEGNGFNKALESSLNSSLEWPSVNKSSNSSMDFKRPVGLGSHSSWKYNNNMLTSNSMPIDKHFQKLSVGLPPPKKETLSRHSFFGPNGLQGQWVGPSTEIKVQYPSSNDLTHDKDSSLNQAGQYDLVAVKGGDQGPVTSTLDSVLSLDDGRWLRPAVESCSSVLIESREDPFQSLNIKQPLPPPVLAQVYPEHAPIPPSKVADPRPGPAKASQDSGVGPTTYQHLHIPIKMLEDFLRLASGNTRKNLETCGVLAGSLKNRVFLITTLIIPKQESTSDSCQTLNEEEIFEVQDSLSLFPLGWIHTHPSQTCFMSSVDLHTHYSYQIMLPEAIAIVMAPTDTTSPHGIFHLSDPGGVSVIRNCQQRGFHPHEEPSDGNQIYEHCSHVYMNANLKFDVVDLRER >OIW00884 pep chromosome:LupAngTanjil_v1.0:LG12:3472603:3476348:1 gene:TanjilG_22682 transcript:OIW00884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGCCSCNVTFGEKVYDIDSESDDYNNKDTTYEEGYGGARIMLGESSKFVSMYSQKGRKGINQDALTVWEEFTGEKDMIFCGVFDGHGPLGHKFSQSIRDNLPSKLSAAFQMAQQNTTSYGENQHNMSVASWEESFFKSFIEMDEELALKVDYDGFSGGSTAVTIIKQKDQLIIGNLGDSRAVLCTRADDNHFVPVQLTVDLKPDIPCEALRIVNCGGRVFAAEEDPGVKRLWMPEEDCPGLAMSRAFGDFCLKNCGLTSIPDLVYRKINKQDQFVVLATDGVWDVLTNNEVITIVASAPKRSMAAKILVKRAVQAWRYKYPSSGVDDCAVICLFLNN >OIW00379 pep chromosome:LupAngTanjil_v1.0:LG12:12800946:12803945:1 gene:TanjilG_05729 transcript:OIW00379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGDEDADTVLSDVEDDVGSPIPIATPKPPSPEDVSVERIRDLLAELDRERQARIAAENSKTEIQTSFNRLKALAHEAIKKRDEFGRQRDIAVREKDEALRLNDAVSAELAEARREKDEIAKQLEETAKERDARKAEIANSSHMLVTGMDKISAKLSSYKNLGVNGLPRSNKYTGIAAVAYGVIKRAHEIVDELLKQNDATTKSRNETREQMDQRNYEIAIEISQLEATISGLREDVVKKSSAVEDLERKLIEVEREVEEKLSKEKNEGLQLKELVGEYEEKFSKLESKVESQRPLLIDQLSLVSKIHNQICDVVKIIDDNCNSELSESLFVAQETDAEENIRASLAGMESIYELTRIVAQKARDVVEEKNNEIKSLDETVNRLVKEKDQIGSLLRSALSKRTVSDGSPRKMEVFRAAENGLREAGIDFKFSKLLGDGNVAASNDKSDNIAENEEDEIYSLAGALEDVVKASQLEIIELQHNVDKLRAELSLLKQHIEAQTKELGHRMHRIEELEEKERVANENIEGLMMDIAAAEEEINRWKVAAEQEAAAGRGVEHEFLAQLSTVKQELEEAKQSMLESENKLKFKEETVAAAMAARDAAEKSLKLADLRASRLRDRVEELTHQLEDFENREDSRGRNRPRYVCWPWQWLGLDFVGVVQQHDTQQEASNEMELSEPLL >OIW00927 pep chromosome:LupAngTanjil_v1.0:LG12:1567183:1569370:1 gene:TanjilG_10005 transcript:OIW00927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKEVGIIGAGISGLLACKYIKAKGFNPIVFETKHNIGGLWTKTLESTQLQTPKQFFQFSDFPWPSYVTTHFPTQQDVLDYITAYANHFDLLKYIKFNTKVQGIEYQGDVNSYGKWTLSVKNNDNNSTQVYTVDFVILCIGRFSDVPNIPEFPINRGPEVFGGKVIHSMDYALLNAQSATELVKGKQVTIVGFQKSALEVAMECSTLNGTKYPCRVLYKTKHWNIPDFLPWGIPLAYFYLNRFSELMVHKPNQTFFLCLIAILLTPLRWAFSKFVESYIKRKLKLKKFGMVPECSFHQDISSCTVALVPEKFYDKVEEGSIILKKAPRFCFCKDGILIDEEEEEEASSLNTDLVILATGFRGDKKLKDIFVSSTFQNLIYGSSNEIVPLYRDCIHPHIPQLAVIGYSESFTNLFTSEMKSRWVAELLDGTFQLPNIKEMVKDVKEWDEYMKKYSRQNYRKSCIAVLHIWYNDQLCKDMGWNPKRKNGFFAELFEPYGPLDYSS >OIV99963 pep chromosome:LupAngTanjil_v1.0:LG12:18218872:18225274:1 gene:TanjilG_26301 transcript:OIV99963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFPQARAFGTQGTKSTHDFLSLYSNSTTQQDSRPPSQGSRLKTHDFLQPLERAETKATAKEEATDEISSVAPKPPPPPPPSVEHLLPGGIGTYSISHISYSNNNTQRVPKPETSLFTVHQATSTDRNDENSNCSSYTSSGFTLWDESAVKKGKTGKENNVAGKPIIGVTESAAKPGQWTLSERTSQSFSNNRHNSFNSRSFSQTTGQKNQSFIEMMKSAKDGAQDEEIENEEAFFLKKESQRERELKVKVDGKSTEQKPNTPRSKHSATEQRRRSKINDRQVLPTMLIRVFQDARSGTHKFHMLRELIPHGDQKRDKASFLLEVIEYIHFLQEKVQKYEGSFQGWSHEPEKLTPWQRNNDKPAESFEHRGANSGSGPSTSLLFARKTDEKNITISQKTPGSIQNVESGLDTATNFKTMDHHPGISNKAFPVPISPHPNFFTPTQSGGQGGVMSQLAHRLAFDVENAIYQPSDECETLTATSEKLKDKELTIEGGAINISSVYSKGLLDTLTHALQSSGVDLSQASISVQIELGKQANIRPTLPMSTCAAKDDEVPSNNQRMMRSRVASSEKSDQALKKLKTCRT >OIW00628 pep chromosome:LupAngTanjil_v1.0:LG12:10409969:10413829:-1 gene:TanjilG_09109 transcript:OIW00628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSDAAAQKAIDDWLPVTANRNGKWWYSAFHNLTAMVGAGVLSLPYAMSHMGWGPGSVVLILSWIITLFTLWQMVEMHEMVPGVRFDRYHELGQHAFGEKLGLYIVVPQQLLVEVGTCIAYMVTGGKSLKKFHDTVCPDCNNIRTSYWILIFASVNFVLCQCPSFNSISAVSLAAAVMSIAYSTIAWAATIKNGVQPDVDYSYKSKSTADGVFNFMMSLGEVAFSYAGHNVVLEIQATMPTSPENPSKKPMWKGVILAYLGVAFCYFPVAFIGYYAYGNSVEDNILITLEHPAWLIAAANMFVIIHVIGGYQVFSMPVFDMMETYLVKQLKFPPCLTLRLVARTIFVAFTMIVAICIPFFGSLLGFLGGFAFAPTSYFLPCIIWLKLYKPKRFGLSWTVNWTCIVLGVLLMTLSPIGSLRNIIVTAKDYKFFS >OIV99750 pep chromosome:LupAngTanjil_v1.0:LG12:16686835:16689412:-1 gene:TanjilG_26088 transcript:OIV99750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSLSILLSAWEEIVRHSLFGVPLNISFASKDGALILRATSFNKRESETTNLLSNGSNKNNSSSRLWDSRTEQVVVLETKFSFVQDKENMDLNSPASKNDEFNKKPVPVLSLPKAVVFSSPRHASELDAAATKLQKVYKSYRTRRNLADCAVVVEELWWKALDFAALKRSSVSFFDVQKQETAVSRWSRARTRAAKIDPRHRYGHNLHIYYDIWFESQSTQPFFYWLDIGDGKEINIEKCPRSTLQRQCIKYLAPNERGEYEVIVENGKLVFKEDGMLVDTDEKSKWIFVLSTTRILYVGRKQKGKFQHSSFLAGGATTAAGRLVSHQGVLQAIWPYSGHYHPTEENFKEFISFLEEHKVDLSNVKRCAIDDDTPSLIGTNSFTTTNESQQTTANAINVNDINVVTTIYEEDDGNKVEVPLAFNLSKKLSHKWCSGAGPRIGCVRDYPEYLQTRALEQYVSFK >OIW00416 pep chromosome:LupAngTanjil_v1.0:LG12:13140837:13143518:-1 gene:TanjilG_05766 transcript:OIW00416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQQNVVMSDTKSGINTAVTVAQKPPAVPRGYIPYPYPRSRRVLKNLEINGEQRINAWVDSMRASSPTNAKSTPSLAEEQYKSWILHHPSALDMFEQIVDASKGKQIVMFLDYDGTLSPIVDDPDRAFMSDSMRQTVRKLARSFPTAIVTGRCIDKVYNFVRLEELYYAGSHGMDIKGPSKSFKINKDKESEEVVFQPASEFLPMIDEVYQQLVEKSKSTPGARVENNKFCISVHFRCVDEKKWGELAQKVRSVLKEYPKLRLTQGRKVLEIRPTIKWDKGMALEFLLESLGLANCTDVFPIYIGDDKTDEDAFKKLRDRGQGLGILVSKFPKDTSASYSLQEPNEASDGFPSTFGGVETSESTFPGVIMYIDEMTMYIPTLLP >OIV99833 pep chromosome:LupAngTanjil_v1.0:LG12:17350012:17351767:-1 gene:TanjilG_26171 transcript:OIV99833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRNKQAPRMMQHGAYPGLSSAAGHPFPGSLPSHQLLENKFVAQEAEIAQLAGDNHRLGSAHVELREAFVSSNQDVQKLKSHIRSIQTESDIQVRVLLDKIAKMEVDIRAGDGVKKDLQEAHIEAQSLAASGQDLSFQVQQATHELKKARSEVKGIPDLQAELDGLLKEHQRLRAGFEYQKSTNIELVVEMEAKEKNLITMAREVEMLRTEILNNEKKANAPNVLGGSNPADSSGPYADAYGRVHGQMGVGQMGESMVPYRESNGVWAGPYDQR >OIW00327 pep chromosome:LupAngTanjil_v1.0:LG12:15426854:15437357:1 gene:TanjilG_27578 transcript:OIW00327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDRGSNINSIHRSSSRPQLDLSKAEIEANIDEDKYPTILLPNQSDHLSHLALDLGGSLIKLVYFSRHEDQSGNDRRMRSVKDRMGLPNRNRRTYRVLGGRLHFLMFETSKINECLDFIHSKKLHCGGWESHDYDDVADRNAIIKATGGGAYKFADLFKERLGVSLDKEDEMACMVAGANFLLKAIRHEAFTHMEGQKEFLQIDHNDLYPYLLVNIGSGVSIIKVDGDGKFERVSGTNVGGGTYWGLGRLLTKCQSFDELLELSQKGDNRNIDMLVGDIYGGMDYSKIGLSASTIASSFGKATSEKKELEDYRPEDISLSLLRMISYNIGQISHLNAMRFGLKRIFFGGFFIRGHAYTMDAISFAVHFWSKGAAQAMFLRHEGFLGALGAFMSYEKHGLDDLMVHQLVERFPMGAPYTGGKIHGPPLKDLDEKARYCMFSFITFESVFNVPVQISWMEKFLQMGTEITAPVPMALPRTTGLGGFEVPLSKGSTLRSDASALNVGVLHLVPTLEVFPLLADPKMYEPNTIDISDHSELEYWLTILAEHLPDLVDKAVASEGGTDDAKRRGDAFARAFSAHLARLMEEPAAYGKLGLAKLLEMREECLREFHFVDAYISIKQRENEASLAVLPDLLMELDSLDEETRLLTLIEGVLAANIFDWGSRACVDLYHKGTIIEIYRMSRNKMQRPWQVDDFDAFKERMLGSGDKKPTPHRRALLFVDNSGADIVLGMLPLARELLRRGTEVVLVANSLPALNDVTAKELPDIVAEAAKHCDILRRAAESGGLLVDAMTNTLDSSKENLSTIPLMVVENGCGSPCIDLRQVSSELAAAANDADLIILEGMGRALHTNLNARFKCDTLKLAMVKNQRLAEILVKGNIYDCVCKYEPAN >OIW00489 pep chromosome:LupAngTanjil_v1.0:LG12:13857256:13858497:1 gene:TanjilG_05839 transcript:OIW00489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGELVLPHGFRFHPTDEELVNHYLCRKCAAQSIAAPIIKEIDLYKFDPWQLPYMAAYGEKEWYFFSHRDRKYPNGSRPNRAAGTGYWKATGVDKPIGQPKTLGIKKALVFYAGKAPKGVKTNWIMHEYRLANVDRSATKKNNLRLDDWVLCRIYNKKRKIEKFNIMDQKAPAPYVSEEVVHYDYEHERKPEINNMYGQIDFRNDQLNIDTSDSTPRLHMDSSCSDHVVSPDVTISDKEVQSEPKWNELDLGSTFDFQFNYMDNEINMPPDEPFSPQYQMNQPSPFQDILMFFQQ >OIV99742 pep chromosome:LupAngTanjil_v1.0:LG12:16637657:16639222:1 gene:TanjilG_26080 transcript:OIV99742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLLNPSFSPFTPKINSTNHIKTRNFPTIVTCTSSTFDHSSPPPITATTSHRNHDNIKDEARRHRSSSDNHNFSAKYVPFNAGLDSTESYSLDEIVYRSRSGGLLDVQHDMEALKKFDGAYWKALFDSRVGKTTWPYGSGVWSKKEWVLPEIDSDDIVSAFEGNSNLFWAERYGKQFVGMNDLWVKHCGISHTGSFKDLGMTVLVSQVNRLRKMNRPVVGVGCASTGDTSAALSAYCASAGIPSIVFLPANKISTAQLVQPIANGSLVLSIDTDFDGCMKLIREITSELPIYLANSLNSLRLEGQKTAAIEILQQFDWQVPDWVIIPGGNLGNIYAFYKGFKMCKDLGLVDRIPRLVCAQAANANPLYLYYKSGWKEFKAIKANSTYASAIQIGDPVSIDRAVFALQNSDGIVEEATEEELMDAMAQADSTGMFVCPHTGVALTALMKLRNSGVIGPNERTVVVSTAHGLKFTQSKTDYHSGDIPGMGRFANPPVTVKADFGSVMDELKGFLLSKAPKYK >OIW00299 pep chromosome:LupAngTanjil_v1.0:LG12:15226893:15227867:1 gene:TanjilG_27550 transcript:OIW00299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSANGLATAHGKQPLFSFGLISDVQYADIPDGRSFLGVPRYYRHSFLVLQRAVKEWNNHQRHKFVINLGDIVDGYCPKDQSLNTVKKMVDEFEMFNGPVYHLIGNHCLYNLPRSKLLPLLKIKSLRGHAYYDFSPVPEYRFVVLDGYDNSAIGWPQDHPTTLEALKFLREKNPNEDKNNPTGLVGLERRFLMFNGGIGKEQMEWFNGVLQDATKLKQKVVVCCHLPLHPGASSKEALLWNYEEVMNLIHKYNCVKVCISGHDHKGGYSIDSHGVHHRVLEASLECPPGTNAFGYADVYDDKISLYGTDRMRTTHMYFNPKADL >OIV99767 pep chromosome:LupAngTanjil_v1.0:LG12:16828150:16831930:-1 gene:TanjilG_26105 transcript:OIV99767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKMDMRKNTERTSKTIEEKKLEILDLSEYSGVLNGKTAELDGFGRNLIEYLPKTIENCRALEELNANFNKLSQLPDTIGFELINLKKLSVNSNKLLFLPRSTSHLTTLRVLDARLNCLSSLPQDLENLINLEILNVSQNFQYLDSLPYSIGLLLSLVELDISYNKIKSLPDSIGCLKKLRKLSVEGNPLASPPPLVVEQGLHAVKEYLCQKMNAGHESPTKKKSWVGKLVKYGTFNGQGSKNGGRERDQEREAFIMPEYRTIDGLASPRYFGMFSPRRLFSPRTYFNN >OIW00012 pep chromosome:LupAngTanjil_v1.0:LG12:18544103:18546320:1 gene:TanjilG_26349 transcript:OIW00012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMRESVENEEESLLKNEINGTDYDTKVSYKGWKVMPFIIGNEIFEKLGAIGTLSNLLVYLTTVFNLENIAATNIINIFNGSTNFATLLGAFLSDTYFGRFKTLAFCTVASFMGLFVIQLTAAVEKLHPPHCKDNTACLGPTEGQMTFLKTGLGLLMVGAAGIRPCNLAFGADQFNPNTDSGKRGINSFFNWYFFTFTIAQLVSLTVIVYIQSNVSWALGLGIPAALMLLSSLIFFMGSKMYVKVKPSGSPITSILQVLVVATKKRRLKLREHLHPSLFNYVTPKSMNSKLPYTYQFRFLDKAAIMTPQDQTNPNGSASDSWNLCSMQQVEEVKCLLRVLPIWVSAVFYYIVIVQQHTVLVFQALLSDRRIGHSKFMIPGASYYVFLMISVAIWLPIYDRKVVPFLQKLTGKEGGITILQRMGVGIFFSILSMIVSAVVEGHRRNLALTKPLGVVTRKGAISSMSGLWLIPQLVLAGLGEAFMSVAQIEFYYKQFPENMRSIAGSLFYCAHAGSSYLSSLLISVIHNITAKSATGNWLPEDLNKGRLDNFYRIIAAVEIMNLGYFLLCSKWYRYKGADNNSIELDKVTKQSERSANSVQ >OIW01007 pep chromosome:LupAngTanjil_v1.0:LG12:1371517:1373001:1 gene:TanjilG_16256 transcript:OIW01007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASASTFALSETEYDMVKHIVEIRVLEELKLSESSIYKVPCNLRKVNEEAYTPQLISIGPIHFGKVELKPMQENKQRYFHFFWERLSSDQAMKDYKHYLEMKEQEIRQCYAENFADDITKEKFVDMILLDAVFIMELLLRNSAWKSDRNKHEHEYVTTESFRMKHRDDYILTQSWLGIEIARDLILLENQIPFFVLNELYETVVPDDNKKQEHTCFVDLALEYFAFYDTQRSSSSETKMVLGSNSSRKYYLSGTIRGSEKRSEENKDACKRPKHFTDLIRFFYLPTSQLGQCGCPDSFLRTATKLQEAGVSFEKVLKRRLLDITFDKTRILSSFLCLSCIPCLNYFKARFRVPQLKVNHTTECVLRNLIAFEQCHYPEQPYICNYVSLIDSLIHTQVDVELLVEKEVIVHELGSDKEVATLVNGLCKHVMTNTTCYYEIINELNKHYQNIWNRTMAALWLVYFRDPWRASSTVVGIAVLVFAVFNFLRVMHYFL >OIW00701 pep chromosome:LupAngTanjil_v1.0:LG12:8829466:8830384:-1 gene:TanjilG_09670 transcript:OIW00701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSLRSKREKRLRAIRREIVEPLYEKKDAAKLAAQEAALAAPKLPVRVPSKTAMDASTPSNYTIMDVEMPDDGQSAASLKPVGGIGKKLKKKFKLGKGKRRGKGKKPTKRHI >OIW00594 pep chromosome:LupAngTanjil_v1.0:LG12:11500777:11501307:-1 gene:TanjilG_14820 transcript:OIW00594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTVKSHLLLLSITTAFFFTLSLTTATTITTTPNHKPTVFELLPKYGLPSGLLPSTVTDYTLSEDDGRFIVVLDKPCYVQFDYLVYYDKTVSGKLSYGSITDLNGIEVQRLFLWLNVDEIRVDLPPSNSIYFQVGLINKKLSVDQFKTVHSCRDSLTSSPCVGTTNTLSATQVYT >OIW00959 pep chromosome:LupAngTanjil_v1.0:LG12:2168554:2168819:1 gene:TanjilG_10037 transcript:OIW00959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCHGKGDFVFEGLVAAKMGEGFDWSKLLKCLVLPSGHGQARRLFPLDTMGKEPPPVEN >OIW00747 pep chromosome:LupAngTanjil_v1.0:LG12:8023750:8025594:1 gene:TanjilG_19187 transcript:OIW00747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKSISSIIHVSLLVALLATNIVLADDNVPIPADKSQLNSWYHNNVKPLSQRKNTLDPALVAAESATTVIKVMQDGSGNFKTINEAIKSIPKGNTKCVIVYIGGGTYNEKIRIEREKPFITLYGAPGKMPILTYGGTALKYGTLDSATLSVESDYFVASNIIISNSAPRPDGKRKGAQAVALRASGDKATFYKVTLLGFQDTLCDDGDWHIYKDCLIQGTVDFVFGNGKTLFLNTELRVLGDEGMSVITAHARDKKTDDTGYSFVHCDVTGTGNGTLLGRAWMSKSKVVFAYSYLGAVVNKAAWSNNFHPEYDRNLYFGEYKNKGLGADLKGRYKYTKQLSALEAKPFITLGYIQGSKWLLPPPNPRV >OIW00347 pep chromosome:LupAngTanjil_v1.0:LG12:15599437:15603820:1 gene:TanjilG_27598 transcript:OIW00347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIPVPSRELFIDGEWKAPVLKKRIPIINPSTQQIIGDIPAATKEDVDLAVAAAKSALSRNKGADWSSASGSVRARYLRAIAAKITEKKTELGKLEALDSGKPLDETLWDMDDVAGCFNYYADLAEKLDEIQKTPVSLPMETFKSYVLKEPIGVVGLITPWNYPLLIATWKVAPALAAGCAAILKPSELASVTCLELAEICREVGLPRGVLNILTGLGPEAGAPLASHPDVDKITFTGSNATGSKIMTAAAQLVKPVSLELGGKSPIVIFEDVDLDKAAEWALFGCFWVNGQICSATSRLIVHESIATEFLNRLVKWAKNIKISDPFEEGCRLGPVISEGQYEKILKFISNAKSEGAIILTGGSRPEHLKKGFFIEPTIISAVTTSMQIWREEVFGPVLCVKTFSTEEEAIDLANDTIYGLGSAVLSNDLERCERLSKAFKAGIVWVNCSQPCFTQAPWGGNKHSGFGRDLGEWGLDNYLTVKQVTQYISDEPWGWYQPPSKL >OIV99949 pep chromosome:LupAngTanjil_v1.0:LG12:18138211:18139624:1 gene:TanjilG_26287 transcript:OIV99949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSSNRPIKGNDLIPYPIQFCSSRPFAIESNPTSTQETTTSAFPSPPLSFFQFPYDPFEDHEILLEQQHHHELLNHQQSLADDESINVNMENNSIIPDHKARQGTDPQIQIRRSSKKDRHSKINTAKGMRDRRVRLSILVAKRFFGLQDMLGFDKASKTVDWLLNQAKIEIEQLAREKNIHHHDDVKSASSTSECTDVVSSLDEVVVIGNREQVKGVIKVRRAKLCRKSEFKYVCKESREKARERARERTKEKIKTRDLMLAEADKSKECDEASNNKLNGLGSWNPFETVEECAYTQIQSANPSLDVLTHGIKELSSQAKGHLGSVEDIEHEDSLVIMSKWNPTMIFNYSLSNSGILQEHQFPEFQSIMGKPWELGGLQQ >OIW00452 pep chromosome:LupAngTanjil_v1.0:LG12:13478024:13481258:-1 gene:TanjilG_05802 transcript:OIW00452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTGSLTKDDNNITKAYIDAASWMFNVVTSVGIIIVNKSLLATHGFTFATTLTGLHFTTTTLLTFILKRLGYIQASHLPLPDLIKYVLFANFSIVGMNVSLMWNSVGFYQIAKLSMIPVSCFLEVVLDNVRYSTDTKLSICLVLLGVAVCTVTDVSVNGKGFIAAVVAVWSTALQQYYVHFLQRKYSLGSFNLLGHTAPAQAASVLLLGPFLDYWLTKKRVDAYSYSVTSISLIIMSCTIAVGTNLSQFICIGRFSALSFQVIGHMKTILVLILGFTFFGKEGLNLQVVIGMIIAIAGMIWYGNASSKPEGKERSSISIPTIPTQEYSVLVPVSSEVDEKK >OIW00117 pep chromosome:LupAngTanjil_v1.0:LG12:15739496:15750638:1 gene:TanjilG_29107 transcript:OIW00117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGDNSQSDKEEENDDVDLPEILSRVRLVDAPILLFVCFHKALRSELRLLRRIAKTASLEDEHQRRRETIVKLRSRFQFLKLAQKYHCAAEDEVIFLALDIHVKNVACTYSLEHSSTEELFDSIFHFLDELMGPSQNFSKLFQELLYSIGILQTSINQNMLKEEKQVFPLLMQKLSTKEQASLVWQFICSVPIMFLEELLPWMVSFLSADKKTEVTQCLNELAPMEKALQEVLVSWVGSNNHTFGEAYFEIEEVQCADGSLHIERPLRLSSCNKNSIEASSWMKMNGLEIEDGVNQVNVLQLWHEAIKKDLKEILKELHLIRNSSCFQNLDAIVIQINFFADVLFFYSNAQKTFFHPLLNKPAYDWLPKSSEQFLCESHIEDLQQLLCNLESGMPLCNIVEELCRKLESFISGVKKQFAFQETEVFPVIKKNCRNGIQERLLSLSLQMMPLGLLRCVINWFSAHLSEKESMSILYYIKKGNHFVCEAFSSLLHEWFCIGYTGKTSIEKFRQDLQHMFKSRWSFLPEKIKEWSEFSLLNSDQQPNKFSGKNCLSYSSSSGSNNSYKYETPYSIGINLHIFFPATVGKLHQSSRFHAANSSSISFLGDPKPIDLIFFFHKAIRKDLDYLVLGSAQLEQNAKLLMDFRKRFHLICFLHQIHTDAEDEVVFPALEAGGNLKNISHAYTFDHKLDDQHFNKVSRVLDKMSELHLSVSTIDSNVRENIMLRHHHLCRKLQQMCKSMHKLLSDHLDREEIEIWPMIRELFSIQEQEKIIGCMLGRIKAEILQDMIPWLMASLTPEEQHVAMFLWSMATKNTSFDEWLGEWWDGYIIAKATEGSNDTPLPTIDPLEVISKYLSEEVLNEIQEESSPNKSINLFQKDHTGDNVDPYNYNIDGKVKVHNAEQNNNECSKFTNQFHDSKKHARSRVTDITNLVNNGQSFQLIDKSEHYDRLLKLSQDDLEMAVRRVSRDSGLDPQKKSYIIQNLLMSRWIISQQISSTEVNMNSDGQEFPGKHPSYRDPLKLIYGCKHYKRNCKLFAPCCNQLHTCIHCHNEVSEPDHSIDRKSITKMMCMKCLVIQPISATCSTISCGNLSMAKYYCAICKLFEDEREIYHCPYCNLCRVGKGLGVDYFHCMNCNACMSRSLMVHTCREKHLDGNCPICHEYIFTSCSPVKALSCGHVMHSTCFQEYTCFNYTCPICSKSLGDMQVYFNMLDALLAEEEVPEELLGRTQVILCNDCEKKGAAPFHWLYHKCPYCGSYNTRVL >OIW00730 pep chromosome:LupAngTanjil_v1.0:LG12:9234649:9236403:1 gene:TanjilG_09699 transcript:OIW00730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVELELNGLPQPPPLQPPPPTSDPRITHARAIKSPFTDISTFNNLITQYSKFNNNNNLFSYALRVFNHNLFPSPNVVSYTSLITAHSHTLHSLRYFISMLRHPLLPNHRTLSSLFATAATLSALPFAMSLHSLSLKLSLSDHPFVASSLLNFYLKCRLPCHARKVFDEIGQRDNVCYSAMIVGLAQNSRSVDALLVFSEMRCHGLESTVHSVSGALRAAAELAALEQCRMIHGHAVVAGFDSNVVVGSALVDGYGKAGVVEDARQVFVENLQVMNIVGWNAMMAGYAQQGDYESTFELFDLMEGSGLLPDKYSFLAILTALCNAGMYLKIERWLIRMKEDYGLEPTLEHYTCLVGAMARAGQMECAERIALTMPFEADAAVWRALLSACAFHGAADKAQDMARRVLQLEPHDDSAHVIVANVLSAAGRWDDVAELRKIMKDRRVKKRGGRSWIEVQGKVHVFVAGDWKHERSAEIYQKLAELMGEIEKLGYVPVWDELLHNVGEEKRKEALWYHSEKLAVAFGVLCGSAPPGKPLRIVKNLRICKDCHEAFKYMTRVLDREIIIRDVNRYHRFQNGNCTCRDIW >OIW00437 pep chromosome:LupAngTanjil_v1.0:LG12:13344792:13345247:1 gene:TanjilG_05787 transcript:OIW00437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLSILALFLFLLFTFNVIYLVHGGVVINKEVVGSTTTRKAGCGNQRSDCIEGNGGSKETEFENEDYVYTNSLP >OIW00914 pep chromosome:LupAngTanjil_v1.0:LG12:2496781:2497176:1 gene:TanjilG_06294 transcript:OIW00914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLQQQLQLEPQEPMEARIRKRFGDEQSTLLDQFERLSFEAHLNKAMFQRSLSEPGLPRSCTQSRFISNVAPSIPMVTQVKHGKRPSGSRFYKVLKNLLKPILGRKGGTRKHVPDHKDPLSWKNFSRSLRF >OIV99785 pep chromosome:LupAngTanjil_v1.0:LG12:16996849:17005631:1 gene:TanjilG_26123 transcript:OIV99785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRGGQNYVSSPPSFSNDGKRLLVCTGTTVSIFSTSTCLQISSLEGHTDTVTSVIVVPYTINVLCNCWTASIDGTIRYWDFSIPECIKTLDLQSPITSMVIPSILRIPEENNAKSPNVFAYVSVLPSKAQQSLHKIRKCNLTNYQVASKLVLKETERPESLTVSPSGKYLGIKDKRRLHIWKVPNVDSDSAVPKKITLHHTKTFTVLAFHPTDRIVAAGDVTGRVLIWRGFGTQKFLDSSGLVNRRPTNDSEDKPGVRENDDAESCSTWHWHSSGVKLLSFSSDGAYLYSGGQEGVLVLWQLDTGKKKFLPRIGSSLLYFLDSPDPSLSLISCADNQIHILKVPSMEIVMSISGIKPRLSSEEVCESFSSRSAAFDHSSGLVAVQTENYCIQFYSLFANRGLYEVQACERNHHPGDVITVVVTLVELSIDGSMMGTVEVKLPEEGIGGLVCLKFWDLDTDSRRFSVSTLIYEPHRSAAFDHSSGLVAVQTENYCIQFYSLFANRGLYEVQACERNHHPGDVITVVVTLVELSIDGSMMGTVEVKLPEEGIGGLVCLKFWDLDTDSRRFSVSTLIYEPHRDAHISAVAFHPTRHMAVSSSYGGDFKIWRKNEVQQKNQMLQNSGWICHAVGSYKNKAMRAAAFSADGSVLAVAADTVITLWDPDKNVLVAIVGETSTPIVSLNFAGKSEYLLSVSHGSKPQLSVWNMSKLASSWSYRLQVEAVSCALDLSYFAILALLPESSKHTFKGDGLILLFNVTDPVPVASWSVTKAKGGALGFLKGDLSEVAAIVGKTPHTLLAYINGDREFVLFDPYVKEAHELSITRPDDLVASEETGQFGYASIYGELPKFDLKRNQTISFLSAASERPWETIFRGESHMLPPLTKLCSEFLESLLERRTAIAE >OIW00329 pep chromosome:LupAngTanjil_v1.0:LG12:15450663:15451325:1 gene:TanjilG_27580 transcript:OIW00329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQMEPQRQLSPSPPSSNFATPSFSSYSSQTLAQIAARVISELATLGDHWDPPNDDEVEDDFEFAFVSLDQNSSPVSADDIFSNGHIRPTYPLFDRTLLYDDDDDVVSVPETTSLPPRRLPLRKLMFEEERGDSCSASSDESNEVNELIGVPEGSYCVWTPPCKKKSNSMRSLSSKRWKLRDLLLRSNSDGKKGQFLFMGPTKRYSTGGNGGDISTLNRS >OIW00886 pep chromosome:LupAngTanjil_v1.0:LG12:3157570:3159240:-1 gene:TanjilG_20887 transcript:OIW00886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRLNKKAAFQQLRSVTNSMAMNKASIIVDATRYIEELKQKVEGLNTELGASESSTSQNELPMVKVETLERGFFINVFSERNCPSMLVAILETFEELGLDVLDARVSCEDTFQLEAVGGEVPSSPTKTWLWIGGIFSCGRFRR >OIW00096 pep chromosome:LupAngTanjil_v1.0:LG12:19000710:19005309:1 gene:TanjilG_26433 transcript:OIW00096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLVIRVIEAKNLPGTDNGSSDLYVRLQLGKQKFKTKVMKKCLNPKWDEEFCFWVDDLKDKLTINVMDEDKFHDDLVGKLKVPVSLVFDEDVKSLGTAWYSLKHKKNKKSKNKQCGEIHLSISLSQNNASMESNDNDDQLLPSRKCADAITESPSLSSNGRSDFESPRRDEITFKDEKSGSQRSFAGRLAQMFSIGSEMSSLAPSRSIELEEPETSKVEVGDEINTEDQSFNDTFDEALRKMQATDQGSEIPSNLPGGVVIDQLYIIAPEDLNVLLFSPDSNFTTSLAEIQGTTERQLGPWKLENSGESLKRSLTYIKAANRLIKAVKGYEEQTYLKADGKNFAVLAVVNTPDVMYGSSFRAELLYLVTPGPELSSGEQCSRLVISWRMNFLQSTMMKGMIESGARQGMKESFDQFAVLLSQTVKPVDSKDLGSNKEQALASLQAEPQSDWKLAVQYFGNFTVVSTFIMGLYVLVHIWLAAPGTIKGLEFVGLDLPDSIGELVVCAVLVLQGEQVLSLISRFVQARAKQGFFGTPVLGSDHGIKAQGDGWLLTVALIEGDNLASVDSSGLSDSYVVFTCNGKTRTSSIKFQKSDPSWNEIFEFDAMDDPPSVLDVEVYDFDGPFDGAVSLGHAEINFLKTNISDLADIWIPLEGKLALACQSKLHLRIFLDNTRGDNVVKHYISKMEKEVGKKINLRSPQTNSAFQKLFGLPPEEFLINDFTCHLKRKMPLQGRLFVSARIIGFNANLFGHKTKFFFLWEDIEDIQVIPPTFASMGSPILVITLRQGRGLDARQGAKTQDEQGRLKFHFQSFVSSNVAQRTIMALWKARALSPEQKVQLVEEDSETKSPSSEESGSFIGLDDVSMSEVSFLMELFGGGELDRRVMQKSGCCNYSYTPWVSENSDVYERAVYYKFEKRISNYKVEVTSTQQRSRLDEKCWLLEEVMNFHGVPLGDYFNLHVRHQIDDLGPKAKGCKVVVSFGIEWQKSTKHQKRVTKNIIKNLQERLKLTLNLVEKEYLAK >OIW00746 pep chromosome:LupAngTanjil_v1.0:LG12:9630711:9634607:-1 gene:TanjilG_09715 transcript:OIW00746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDNHGWQKVTYVKRQNKKISNDTKTIANSDKLLPNGTLSGGDGVFRSLELHSEDRRRRIAEARRAADAEFDDDDPARSKQRHRDDYDDDDDDDEVDHSAENGKAEEVKKVKAKKLKKPKVTVAEAAAKIDAADLGAYLVDISESYKTQQDIQLMRFADYFGRAFSAVSGSQFPWVKLFRESSVAKIVDVPLSHISDAVYKTSADWINQRSPEALSSFVLWSLDSILTDLGSQLTVAKGSKKAAQQGSSKSQVAIFIVLAMVLRRKPDSLIAVLPTLRENTKYQGQDKLTVIVWIVAQASLGDLSVGLYAWVRNLLPIVSSKSGNPQSRDLILQLVEKILSTPKARPILVNGAVRKGERLIPPSAFEILVRVTFPTSSARVKATERFETIYPTLKEVALGGSPGSKAMKQVSQQIFSFAIKAAGENYPELSKEAADIFIWSLGQSTESYRQWEKVYQDNIEASVAVLKKLSDDWKVQSTKLSPYEPLRETLKNFRQKNENALATGTDSSHQALFKEADKYSKLILGRVSRGHGCLTCLTFTVLGAAVGAVALSPNVVEYLDFKKLSVAFSSQF >OIW01067 pep chromosome:LupAngTanjil_v1.0:LG12:520027:538111:1 gene:TanjilG_14250 transcript:OIW01067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVKTGVSEIIGLDGTLSSTQFFHTALSFLDKWKRFNLSLPPWIWVQFPKHHFISSHNNVEGYLSLENICFNKFTEEEESNRSSTSKEESNTSHGEEELFDYATLLLGKIVAPTKDQWGEDKTHLITISKVNSLTIDGGGYIEGNGNTCPNTDGYDISNSKNIVFEDSTISVGDDCIAVNRGCSFIYATRIICGPGHGISCNMYELISIGSLGKTKDYETVEEIHVKNCTFKGTTNGARIKTWEGGSGYVRKITYENIILQDSRNPIIINQHYINKISNNGEHPSQKSHH >OIW00172 pep chromosome:LupAngTanjil_v1.0:LG12:16111457:16120225:-1 gene:TanjilG_29162 transcript:OIW00172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQLTLSQIAHLLNQTLSHDITTVRDATDSLDRFSLTPLFPFYLLSISTEGENQGQKVAAATYLKNLTRRTIDKADTPSNVSKEFKEQLLQALLRAEFPILKILVEVFRSIVVAEFVKRDLWLELVPNLQSAIQNSNLIKGSNSTWNTIDALIVLHALVRPFQYFLNPKVAKEPVPPQLELIAKEILAPLLAVFHHFVALATHDRADIEAEKVLLTSCKCLYFAVRSYMPSALVPLLPSFCRDLISILGSLSFDGAVSQEDGYLTRLKIGKRSLLIFSALVTRHRKHSDKLMPEIVNCILKMVKFSKNTNKLPFLSERVLSLGFDVISNVLETGPGWRLVSPHFTTLLESAIFPALVMNEKDVSEWEEDTDEYIRKNLPSDIDEISGWREDLFTARKSATNLLGVISMSKGPPTEAAATDFLSASSKRKKGQKNKNSKQRRSMGELLVLPFLSKFPIPSSSNAYEKKILNDYFGVLMAYGGLQDFLREQEPDYVTSLIRTRILPLYTVAASLPYLVASANWVLGELGSCLPEDMSADVYSQLLMALVMPDQQDTSCYPVRVSAAGAITTLLDNDYMPPDFLPLLQVIVGNIGNDESESSILFQLISSIVESGDEKVAVHVPHIVSSLLAPVSKWLTPDLEPWPQVVERAIAALAVMGQTWEDSRPEESKLNESQEKWDVGQLAIGRTFASLLQQAWLTPICSLDQQDQQSPPSSCIEDLSTLLRSVMLSINGSHMIEELKLSELLSVWAEMIAEWHAWEESEDLSIFDVIKEVVTLDSKFRLKNFIVKEMPSPPAPPVPHRSVIEGISTFVSEAIKQYPSATLRACSCVHILLHCPTYSLETEGVKESLAIAFSRAAFSRFIEVRSTPDSLWKPLLLGISSCYLCYPDIVEGILEKGCEGGVTIWASALCHVSSSSFEPSLTEEPEMKLIVMTLARFIEQLLRQGKSVDDSLRNCFTSLLEVSVRLKEMQDGKEDDDDENDDEEEEDEEDSDNDDSEDYDEESEAEEYEETEEAFLDRYAKAAEENASIIEEGDVDDQELEIELGQLNDVDEQKVVLSLIDKYHNVLIRGQALPSHLMVNFLNAFPDYGSFFL >OIW01004 pep chromosome:LupAngTanjil_v1.0:LG12:1338382:1340422:1 gene:TanjilG_16253 transcript:OIW01004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQAMASFCGSTTTTRLNLCSNGKRVTSVTSFIVRAQNVDKAQSSRREVIGLVATGLLTGSFVQGVLADAIPIKIGPPPPPSGGLPGTLNSDEARDLELPLKQRFFLQPLTPSEAAQRTKESAKEIVAVKKFIEKKAWPSVQNDLRLRAEYLRYDLNTIISSKPKEEKQSLKELTGKLFKDINNLDYAAKVKSSSDAEKYYAETVSTLNDVLAKIG >OIV99755 pep chromosome:LupAngTanjil_v1.0:LG12:16737298:16741840:-1 gene:TanjilG_26093 transcript:OIV99755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVIGARSSEPWSPLQAPKELVDKLSRDPLLISLASTDFGHIIHENPFAIFAPSSINDISNLIKFSNSLPFPFTIAARGQAHSLQGQSMTNNGIVVNMTELNRFKNGVGIVVSEDKSSLGYYADVGGEQIWIDVLHATLERGLTPLSWTDYLFLSVGGTLSNAGISGQSFRFGPQISNVHELDVVTGKGDLVTCSKEKNSDLFYAVLGGLGQFGIITRARIALGPAPTRARLNESNAPDYLEGLLLLNQRPLDISFYPEHDQPRVTSLVTQFGILYVIELVKYYDNNTQEHIDEDVKLLVKGLKFVPTFMFQKDVTYEEFLNRVHPDELNLRSQGLWDVPHPWLNLFVPRSRILDFDEGVFKGIILKQNITAGVVLVYPMNRSK >OIW00753 pep chromosome:LupAngTanjil_v1.0:LG12:7856095:7858482:-1 gene:TanjilG_19193 transcript:OIW00753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATESDHNHEHDHHHDHDSDNKSSWVGADGKVYHSHDGLAPHSHEPIYSPGFFTRRAPPLLNRDFNERAFTIGIGGPVGTGKTALMLALCEHLRDNYSLAAVTNDIFTKEDGEFLVKHKALPEERIRAVETGGCPHAAIREDISINLGPLEELSNLYKTDILLCESGGDNLAANFSRELADYIIYIIDVSGGDKIPRKGGPGITQADLLVINKTDLAQAVGADLAVMERDAHRMRDGGPFVFAQVKHKVGVEAIVNHVLEAWEATTGKKRH >OIW00656 pep chromosome:LupAngTanjil_v1.0:LG12:9760283:9761223:-1 gene:TanjilG_09137 transcript:OIW00656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLIKQKKNNNANVRRLLISINVLGSAGPIRFVVNEEELVAAVIDTALKSYAREGRLPVLGNDINGFDLYCPHVGSDALRPWEAIGTHGARNFMLCRKPTTSTSTPNVHAATGGENGTPPLSRRGSGSWKAWLNKSLNLKISSH >OIV99938 pep chromosome:LupAngTanjil_v1.0:LG12:18047596:18053365:1 gene:TanjilG_26276 transcript:OIV99938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKKVAVPLVCHGHSRPVVDLFYSPLTPDGFFLISASKDSSPMLRNGESGDWIGTFQGHKGAVWSCCLDTNALRAASASADFSTKVWDALTGDELHSFEHKHIVRACAFSEDTHLLLTGGVEKILRIYDMNRPDAPPREVDKSPGSVRTVAWLHSDQTILSSCSDMGGVRLWDVRSGKIVQTLETKLPVTSAEVSQDGHYITTVDGSTVKFWDANHYGLVKSYDMPCTMESASLEPKYRNKFIAAGEDMWIHVFDFHTGNEIACNKGHHGPVHCVRFSPGGESYASGSEDGTIRIWQTPLSHDAASEALSANESIEKGMKISADEVSHKIESFHIADEGKSKESEQAGDDMGGVRLWDVRSGKIVQTLETKLPVTSAEVSQDGHYITTVDGSTVKFWDANHYGLVKSYDMPCTMESASLEPKYRNKFIAAGEDMWIHVFDFHTGNEIACNKGHHGPVHCVRFSPGGESYASGSEDGTIRIWQTPLSHDAASEALSANESIEKGMKISADEVSHKIESFHIADEGKSKESEQAGE >OIW00806 pep chromosome:LupAngTanjil_v1.0:LG12:6288128:6292574:1 gene:TanjilG_18608 transcript:OIW00806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCRNKNVGGSEKGGPCGACKFLRRKCEKGCIFAPYFDSDQGTAHFAAVHKVFGASNASKLLMRIPVPKRLDAVVTLCYEALARARDPVYGCVGHIFALQQQVVNLQAELTYVQARLATMHHLAISPHPQTSSPTSFPSSSDHLASNADLHCSSNISMHFDHPQPQSTSLELSNNVNPFCQQLEDGELQAVALEFVSRYLPGVRFQPPNSH >OIW00205 pep chromosome:LupAngTanjil_v1.0:LG12:16368370:16372579:1 gene:TanjilG_29195 transcript:OIW00205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATDRLTRVHSLRERIDETLTTSRNEILALLSRIEGKGKGLLQHHEIIAEFEEIAEENRQKLSDGPFGEVLRSTQEAIVLSPWVALAVRPRPGVWEYLKVNVHALVVEELQAAEYLKFKEELVEGSSNGNFVLELDFEPFNASFPRPTLNKSIGNGVQFLNRHLSAKLFHDKESLHPLLEFLRSHSYNGKTLMLNDRIQTPDSLQNVLRKAEEYLGTLAPETPYSEFEFKFQEIGLERGWGDTVERVLEMIQLLLDLLEAPDPCTLETFLGRIPMVFNVVILSPHGYFAQDNVLGYPDTGGQVVYILDQVRALENEMLKRIKQQGLDIVPRILIITRLLPEAVGTTCGQRLEKVYDTEHCHILRVPFRTEKGIVRKWISRFEVWPYLETYTEDVAHELAKELQGKPDLIVGNYSDGNIVASLLAHKLGVTQCTIAHALEKTKYPESDIYWKKFDEKYHFSSQFTADLFAMNHTDFIITSTFQEIAGNKDTVGQYESHTAFTLPGLYRVVHGIDVFDPKFNIVSPGADQTIYFPYTETSRRLTSFHPEIEELLYSSVENEEHICVLKDRNKPIIFTMARLDRVKNITGLVEWYGKNARLRELVNLVVVAGDRRKESKDLEEKAEMKKMYGLIETYKLNGQFRWISSQMNRVRNGELYRVICDTKGAFVQPAVYEAFGLTVVEAMTCGLPTFATCNGGPAEIIVHGKSGYHIDPYHGDRAADLLVEFFEKSKADPSHWDKISHGGLRRIEEKYTWQIYSERLLTLTGVYGFWKHVSNLDHRESRRYLEMFYALKYRKLAESVPLAVEE >OIV99816 pep chromosome:LupAngTanjil_v1.0:LG12:17211487:17212616:1 gene:TanjilG_26154 transcript:OIV99816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIERGGLILKGLRSGWSVPPKLCDSCKLASATLFCHPDSMFLCILCDSKIHSFNKHGSRHERVWMCEVCEQAPAAVTCKADAAALCVTCDSDIHSANPLAQRHDRVPVEPFYDSAEFIVKASAANFGFVVPTDDGITSNGLNQDEPWLIPNPNYGSKLMDAPDIKSREMFFSDMDPFLDFDNSTNFIHNTGNDSVVPVQTKTAPIMIHHSSEACFDNEFSRSKLSSFNYPSQSISQSVSSSDVGVVPDANTVSEMSYSFVRNSSDSNAMDREARVMRYREKRKNRKFEKTIRYASRKAYAETRPRIKGRFAKRTEIEPDMDRLYNPSSAVASVPATIMLDTPYGVVPSF >OIV99888 pep chromosome:LupAngTanjil_v1.0:LG12:17707329:17715319:-1 gene:TanjilG_26226 transcript:OIV99888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLPVEPRHDAPLSGSDNLSEVVGNWPPWKNLPQRYKLIGTTSLAFVICNMDKVNLSIAIIPMSHQFGWNSSTAGLVQSSFFWGYALSQLPGGWLAKVFGGSKVLQVGVLVWSVATACVPLLAGYMPGLLLSRILVGIGEGVSPSAATDLIARHVNIQIFIWTIPTEERSRAVAFVFGGLSVGSVMGLLLAPPLIQNFGWDSVFYLFGLLGIAWFLGFQVVEGDATQLTAESLLPAKPTTTQSWKTSLKELNGSLQVRKICQSIAFLSPAICMTISSLDPGLPHWEVVGLLTGGIALSSFALSGLYCTHQDISPEYASILLGITNTVGAIPGILGVALTGYLLDLTHSWSVSLFVPSIFFYLTGTVIWLVFASSKPQSFSE >OIW00013 pep chromosome:LupAngTanjil_v1.0:LG12:18547234:18552721:-1 gene:TanjilG_26350 transcript:OIW00013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDKSEVLEAVLKEAVDLENIPIEEVFENLRCSKEGLTNQAAEERLVIFGHNKLEEKRESKFLKFLGFMWNPLSWVMEAAAIMAIALANGGGKPPDWQDFVGIITLLIINSTISFIEENNAGNAAAALMARLAPKAKVLRDGRWNEQDAAELVPGDIISIKLGDIVPADARLLEGDPLKIDQSALTGESLPVTKGPGDGVYSGSTCKQGEIEAIVIATGVHTFFGKAAHLVDTTNQVGHFQKVLTAIGNFCICSIAVGMVIEIIVMYPIQDRQYRPGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKNLVEVFAKGVDADTVVLMAARASRLENQDAIDTAIVGMLADPKEARAGIQEIHFLPFNPTDKRTALTYTDSDGKMHRVSKGAPEQILNLAHNRADIERRVHAVIDKFAERGLRSLAVAYQGVPDGRKESSGGPWQFIGLMPLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSALLGQNKDESISALPVDELIEKADGFAGVFPEHKYEIVKRLQARKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLLALIWKFDFPPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLAEIFTTGVVLGSYLAMMTVIFFWAAYKTDFFPRVFGVSSLEKTAHDDFRKLASAIYLQVSTISQALIFVTRSRGWSYVERPGLLLVFAFLVAQLIATLIAVYANWSFAAIEGIGWGWAGVIWLYNIVFYFPLDIIKFMIRYALSGRAWDLVIEQRIAFTRQKDFGKEQRELQWAHAQRTLHGLQPPDTKFTERAHVSELNQMAEEAKRRAEIARLRELHTLKGHVESVVRLKGLDIDTIQQAYTV >OIW00896 pep chromosome:LupAngTanjil_v1.0:LG12:2937969:2939291:1 gene:TanjilG_19837 transcript:OIW00896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVAENDAVAGEEEVLIPCIDKTTETKDKTPFGVVLLGKYELLKLLGSGAFAKVYQALNVETGKSVAVKAVSKKKVLKNGYTAQIEREISIMRRLRHPHIAKLFEVLATKTKIYLIMEFVPGGDLYQKVADEGRLTENLSRQYFRQLISAMKYFHSHGVFHRDLKLDNLLIDENMNIKVSDFGLSAVNKQIRLDGFLHTTCGTPAYLAPEILSKRGYDGARIDVWSCGVVLFALHAGYLPFNGYSYAVMYRKIFRGMFRFPKWTSCELRNLITRMLDTNPNTRITVDEIMIDPWFTHGGFVDPVIGFEPESDKYTARVKELNAFDLISFASGLDISGLFMDPSDSGFVERLLCMERVERIVEKVEEVAEIERVVAKREENGCGGVRLEGSDGNFVVLVGVYRLTVDFVVIEVKSGERGVESGARFWRDKLRPMLIGLAEK >OIW00889 pep chromosome:LupAngTanjil_v1.0:LG12:3120579:3121157:1 gene:TanjilG_20890 transcript:OIW00889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSYQNFQISDIELRLMYALKFNIKINWALMIMRQMWTIGGTRSPLSYAIFITNILQHFGVSTNSETKMPLNLRESQIDVEVIHKMGFTQHPRTRTYKHRTNKPTAAANPSEPEPPNPPEPQPSEFHAQSSSSAAMPTNQMIMDELFSLQGYITNQMDALDSQHQQIQYELHCLSSRMDSMDINEDNLESDS >OIW00407 pep chromosome:LupAngTanjil_v1.0:LG12:13066058:13067749:-1 gene:TanjilG_05757 transcript:OIW00407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILVYTASDNGFFRQKQSIQQIGALFLLIAAAVLLSIGEGSSKGSTGGNADNILFYGIVPVLVASVLSGLASALCQWASQVKKHSSYLMTIEMSMVGSLCLLASTLKSPDGEAIRQHGFFYGWTPLTLIPVISNALGGILVGLVTSYAGGVRKGFVIVSALCVTALLQFIFEGKPPSIYCLAALPLVLISISIYQKYPYLGKKKKS >OIV99877 pep chromosome:LupAngTanjil_v1.0:LG12:17635005:17637246:-1 gene:TanjilG_26215 transcript:OIV99877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKKNSSFSTSTVNSLPLESICENSEIANKKQNPMSFVPVLRSGEWSDIGGRPYMEDTHICIGDLAKKFDYDTLCEETVSFYGVFDGHGGKAAAQFVRDHLPRVIVENADFPFELEKVVKKSFIETDAEFAKTCSIESSLSSGTTALTAIIFGRSLLVANAGDCRAVLCRNGRAIEMSKDHRPYCIKERKRIESLGGFVDDGYLNGLLGVTRALGNWHLEGMKEKSGRGGPLIAEPELKLVTLTKEDELLIIGSDGIWDVFRSQNAVDFARRRLQEHNDVKQCCKEIVDEAMKRGATDNLTVVMVCFHSEPPPHMVVERARVRRSISVEGLQNLKCLLEE >OIV99845 pep chromosome:LupAngTanjil_v1.0:LG12:17451143:17452274:1 gene:TanjilG_26183 transcript:OIV99845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGNMQNLFDTVKKAQMVVQVEAVKVQKELAVAEFDGYCEGELIKVTLSGNQQPVRTEITEAAMELGPEKLSLLVTEAYKDAHQKSVQAMKEKMNDLAQSLGMPPGLGGGFK >OIW00854 pep chromosome:LupAngTanjil_v1.0:LG12:4201177:4206317:-1 gene:TanjilG_12795 transcript:OIW00854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNASDTAVDPSPPSDSDGGGDPTIKINVSHGTSHHELHLPAQSTFGDVKKLLVHKTGLDPEEQRLFFRGIEKEDKEQLHLEGVKHKSKILLLERIASKERKLEETRKHNEMSKASEAIAAVRAEVDKLSVRVTTLEVSISEGNKASEKEFLELTELLMTKLLKLDGIKAEGEVKLQRKAEVHHVQNLVDKLDSLKARNSNPFSDSGNAVTVTTQWETFDSGMENSNAPSTTPSSTGGTQDWERFD >OIV99799 pep chromosome:LupAngTanjil_v1.0:LG12:17100194:17101096:-1 gene:TanjilG_26137 transcript:OIV99799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSCFIFFIFLCLLASINEVRFNGLLRFGRCALSNNTLTTTSSSSKDDIRILIAVLTLPDQYLRRHFLRLIYGSQNPEGAKVDVKFVFCNLTKDDQKVLVALEIMRYDDIIILNCTENMNKGKTSTFFSTLPEIFNETNGNNVPYPPYHYVMKADDDTFVRLNSLVESLKPLPREDLYYGFVIPCGSMDPFKHYMSGMGFVVSWDIVEWIHGSDIPKKHVEGPEDKVFGDWMRWGRRGQNRFNAKWSMYNYPDPPSVCAHDLVPNTIAVHLLKNQEKWIHTLNFFNFTTDLKPSKMYHIS >OIW00551 pep chromosome:LupAngTanjil_v1.0:LG12:12286156:12288875:1 gene:TanjilG_24281 transcript:OIW00551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCGGTVVLVLSIWGLFLISLSSASRLGASRQKLELNKHLNRFNKPPVKTIQSPDGDIIDCVHISKQPAFDHPFLKDHKIQMRPNFHPEGLFDENKLSEKPKEGSNAPNTITQLWHANGKKCPKDTIPIRRTKEEDVLRASSVKRYGRKKHRSIPKPRSADPDIINQSGHQHAIAYVEGDKYYGAKATINVWEPKIQQPNEFSLSQLWVLGGSFGQDLNSIEAGWQVSPDLYGDNNTRLFTYWTSDAYQATGCYNLLCSGFIQVNDNIAMGASISPISEYSNSQYDISITIWKDPKEGHWWMQFGNDLVLGYWPSFLFSYLADSASMIEWGGEVVNSEPNGQHTSTQMGSGQFPEQGFGKASYFKNIQVVDSSNILKTPKGLGTYTEQSNCYDVQTGSNDNWGHYFYYGGPGKNPNCP >OIW00464 pep chromosome:LupAngTanjil_v1.0:LG12:13592508:13593428:-1 gene:TanjilG_05814 transcript:OIW00464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFLDEDAKPRFVFQAGAPKGTITDPQLPYNKPTKPLLFVTVSLSSLLFALSIFFFQSEPFKSLFFWFSISLLVGPFAPTSLTAGDIRVGQGPIVEFPEQETATTDDDSRKRGSNRRSKVQTRRSDEFPVPAVVPVAAVTANGKGNSVAAAAVVEEEKEWREEDVAILKKQLLKNPVGKPRRWEVIAEAFGGRHKAESVIKKAKELSEKKVDDSDSYAEFLKNRKVTDKRVENNAVGDGEDVKGGSEWSSGEDIALLNALKAFPKEAPMRWEKIAAAVPAKSKAACVKRVAELTKGFRTAKATVDG >OIW00557 pep chromosome:LupAngTanjil_v1.0:LG12:12353293:12355195:-1 gene:TanjilG_24287 transcript:OIW00557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNRRIWKNSRRSRSRIKKKGEDKLSNLPDELIHRILSFVDAKTAVQTSVLAHRWKNIWISLPFLNLHSSSFDHDFLFQDFVLNFLANRNSDSKIDVLKFECTGDELEETGAPTVDSVVDYVASKDIQNLTIVADYVLESLPRLFTSRTLTVLNLSNISTETSTFEFVSLQKLSLVDCKFEIRDVEILDPFLGCPKLSFLLFDCCQFYGKFDKFKIHAPQLTELSISCLRVDEEFDSECVIELFTPKLRYFSYDDPLNLYAFSIQAKLHFLEKLVIDVDSSEVLNALDNTEGTKLSMKFIELFEAMGSAKFVYLSPDVVKVLSLFPALFMGRLSPFTRVQTFKFIVDVYSSFPLPTNVMAYLLRGSPGFTCYRRQGSYNAKQSG >OIW00716 pep chromosome:LupAngTanjil_v1.0:LG12:9012396:9014406:1 gene:TanjilG_09685 transcript:OIW00716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNELHSLAYTTTSLHNSSLSWDYHHNLGVLNSDKMSLMPTMDGNATSFSSHQEYSDFSSGYLEDALIEFTSKRRRMMPCSDEQSNSNSTEEFEKSLWNFNFNSIWHQQQVETFNCMSHIERIFGFSDEHISKSRSFMSEEPNVLVAETKKPEETINAESESPNSCSSSYKEPVISKTTEVNLLYKDPTVTPTSISDEMIRKKKVITSGTRVVYPFAMVKPGGREGDVTLNDINERILMPPTRPVRHPVGDFACRPCVSAQGPGLSGKAVVALTRIHTQGRRGTITIIRTKG >OIW00263 pep chromosome:LupAngTanjil_v1.0:LG12:14932048:14932257:-1 gene:TanjilG_27514 transcript:OIW00263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADWGPVVIAVVLFVLLSPGLLFQIPGRGRVVEFGNMQTSGASILVHAIIYFGLITIFLIAIGVHIYTG >OIW00371 pep chromosome:LupAngTanjil_v1.0:LG12:12726617:12727216:-1 gene:TanjilG_05721 transcript:OIW00371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATTIASFAMINAKFPNPTNTKLITSKPTSLLSIQNLPKGLSSTKPTNIIQSTKLSIEATAIAGAIFSTLGACDPAYAVQQIAELAEGSDNRGIALLLPIIPAIAWVLFNILQPALNQINRMRNKDVILGFGVGGLASYLGLVSAPDALASEIGMIAEAAANDNRGKLLLLVVSPAILWVLYNILQPALNQINRMRSK >OIV99761 pep chromosome:LupAngTanjil_v1.0:LG12:16795137:16799462:-1 gene:TanjilG_26099 transcript:OIV99761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYMSMGEAHRRITEYLNRFSDAISSQDASSFKSLFAISSKCRLADKELASNGKSPEKLKGAGSLLMKVFGSLAGKGSKRVGALYVTCQLFKIYFKLGTVHLCRSVIRSIETARIFDFEEFPKRDKVTYMYHTGRLEVFNENFPAADQKLSYALKHCNPQSEANIRLILKHLIPVKLSIGILPKDRLLEKYNLLEYSHIVQALRRGDLRLLRCALQDHEDRFLRSGVYLVLEKLELQVYQRLVKKIHIIQKQRDPSKAHQVKLEVIVKALKWLEIDMDVDEVECIMAILIYKNLVKGYFAHKSKVVVLSKQDHFPKLNGKPVNS >OIW01051 pep chromosome:LupAngTanjil_v1.0:LG12:366740:373433:-1 gene:TanjilG_14234 transcript:OIW01051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRAPNYEFQDWWNKQRVKNNLNILEEKSNQPISPSFHAINVDAAAGGGGGGGGVSSDMSVGKDRVRSARQLSWLCLLRFQQIAGSLVWISNNLVILVRTANRRIASPMSRDDSGSSRLYRAIRLFLIVVILLLGFELVAYFKGWHFSPPSVGSADVLGLVGIVYAKWLDIRAEYLAPPLQSLANLCTVLFIVQSVDRIVLILGCFWIKFWRLKPVAAVNYESNVDNGGVDVEDYPMVLVQIPMCNEKEVYQQSIGAVCILDWPKEKMLVQVLDDSDDVDTQQLIKAEVQKWQQRGIRIIYRHRLIRTGYKAGNLKSAMSCDYVKDYEFVAIFDADFQPTPDFLKKAVPYFKGNDELALVQTRWAFVNKDENLLTRLQNINLSFHFEVEQQVNGVFINFFGFNGTAGVWRIKALEESGGWLERTTVEDMDIAVRAHLCGWKFIFLNDVKCLCELPETYEAYKKQQHRWHSGPMQLFRLCFVDILRSKVSWAKKANLIFLFFLLRKLILPFYSFTLFCIILPLTMFLPEAELPAWVVCYLPGIMSILSVLPAPRSFPFIVPYLLFENTMSVTKFNAMISGLFRFGSSYEWVVTKKLGRSSETDLVALGKESESLIRSTSLHRSSSDSGIEELSKLELSKKTVKTKRNRLYRKELALAFILLTASVRSLLSAQGIHFYFLLFQGISFLVVGLDLIGEQVS >OIV99770 pep chromosome:LupAngTanjil_v1.0:LG12:16848543:16857171:1 gene:TanjilG_26108 transcript:OIV99770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMWYSFWRSRDRFSLDQLRYLTDQLTKVQTINDVNKDFVIEALRSIAELITYGDQHDPTFFEFFMEKQVMGEFVRILKLGRTVSIPLQLLQTVSIMVQNLRSEHAIYYMFSNEHINYLITYAFDFRNEELLSYYISFLRAISGKLNKSTISLLVKTQGDEVVSFPLYVEAIRFAFHEENMVRTAVRAVTLNVYHVGDDSVNRYITTAPHRDYFSNLVSFFRKQSMDLNRLVSNTLITADPDSTSTLITALDEIQDILYYFSDVVSAGIPDVGRLITDSILMVLIFPLLLPSLRVAADNEMQSGIVTSLYLLSCILRIVKIKDLANTIAAALFYPLEAFTKSYGGKFNDHISDEGFTSVSHVSDNGNVAESDAIHSIVNVPCPSSSSAFHPESVLNQNNCNSSNLSLREVLLSYITNGDDIKVLGSLSMLATLLQTKELDESVLDGLGILPQRKKHKKLLLGAEHPPPFVLVFSFDDFGLLVIMSFDWQCSFVQQALVGEASGEEQLFSSKSSLPRDSMGSELDLYPEKFNEHYGVSFQPSDVGVSPRVHRFQLIDALVSLFCRSNISAETLWDGGWLLRQLLPYSESEFNSNHLELLKASYKNCASALIEQVSGIWPDFLITILFSEWRKCKRAMESSSPRKDPNCMFLPQHKLSSEEGKVRRPGNEVSVAPTDDIPEGSSFAAGQTIHELVKVFVLLHQIQIFILDRALPEQPPIFPPGDLPVNCRAQTSGLDVSGPKTGTEVGLVNAVPCRIAFERGKERHFCFLAISLRSSGWLVLAEELPLKKTHGVVRVTAPLAGCNPRIDEKHSKWLHLRIRPSSLPFLDPAKFSEHGKMKTKTLVDGRWTLAFRDEESCKSAFSMIVEEINFLSNEVHRRLQPLLNLETATDL >OIW00037 pep chromosome:LupAngTanjil_v1.0:LG12:18697125:18698720:1 gene:TanjilG_26374 transcript:OIW00037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTDKPSDLEYTSSSTPTAPEGIDYFKFDVILRFLLFAASLVAVVVMVTSNETELVLYKGRPVPQPAKFRYSPAFVIITTLASLSVIKKPELKTKLLLHFIFLDVLILGIIASATGTAGGVAYVGLKGNKHVGWLKICNVYDKFCKHTGGSIAVALFGTIVTVFLIWLSAYNIHSRIPK >OIW00220 pep chromosome:LupAngTanjil_v1.0:LG12:14487879:14488451:-1 gene:TanjilG_27471 transcript:OIW00220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKPPIHGATTDCKPITTFVHTNSSAFMEVVQRLTSPSETTATKEADAATTTKVPTPTVKRTITKLHERRKCMKPKLEIVKPNFQYKPGSSPGGSKNSSFPPSPGSGCSSLLQSPTTPSTIFSRLTLLVEDEKIEDSAIPELNTEEEEKAIRERRFYLHPSPRSKQGFSQPQLLTLFPMASSNTIDKVSF >OIV99876 pep chromosome:LupAngTanjil_v1.0:LG12:17627598:17632570:1 gene:TanjilG_26214 transcript:OIV99876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDSLYDEFGNYIGPEIDSDFDSDREEDNDDGDDDDTRDRLTNQNDGAASDGEGPTNGWITTSNDIDMADNQVVLAEDKKYYPTAEEVYGDDVETLVMDEDEQSLEQPIIKPVRNIKFEVGVRDSSTYVSSQFLLGLMSNPTLVRNVALVGNLQHGKTVFMDMLVEQTHHMCTFDSQSEKHMRYTDTRVDEQERRISIKAVPMSLVMEDSNSKSYLCNIMDTPGHVNFSDEMTAALRLADGAVLIVDAAEGVMVNTERAIRHAIQERLPIVVVINKVDRLITELKLPPKDAYHKIRHTLEVINNHISAASSIAGDVQVIDPVAGNVCFASGTAGWSFTLQSFAKLYGKIHGIPLEANKFASRLWGDFYYHPDTRAFKKKPPASGVERSFVEFVLEPLYKIYSQVIGEHKKSLEITLAELGVTLSNAAYRLNVRPLLRLACSSIFGAASGFTDMLVQHIPSPRDAAIKKVDHIYTGPKDSTIYKAMTQCDSSGPLMVNVTKLYPKSDCSVFDVFGRVYSGKIQTGQTVRVLGEGYSPDDEEDMTVKEVTKLWVYQARDRMPIAEAPPGSWVLIEGVDASIMKTATLCNVDYDEDVYIFRPLQFNTLSVVKTATEPLNPSELPKMVEGLRKISKSYPLAVTKVEESGEHTILGTGELYLDSIMKDLRELYSEVEVKVADPVVSFCETVVESSSMKCFAETPNKKNKITMIAEPLERGLAEDIENGVVSTDWNRKKLGEFFQTKYDWDLLAARSIWAFGPDKQGPNILLDDTLPTEVDKNLLNAVKDSIVQGFQWGAREGPLCDEPIRNVKFKIVDAKIAPEPLHRGSGQIIPTARRVAYSSFLMATPRLMEPVYYVEIQTPIDCVSAIYTVLSRRRGHVTADVPQPGTPAYIVKAFLPVIESFGFETDLRYHTQGQAFCLSVFDHWAIVPGDPLDKSIVLRPLEPAPIQHLAREFMVKTRRRKGMSEDVSIGKFFDEAMMVELAQQAADLHQQMI >OIW00944 pep chromosome:LupAngTanjil_v1.0:LG12:1788817:1789467:-1 gene:TanjilG_10022 transcript:OIW00944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQLNLTLLVIFLSLFISSVLVKSSLAKHNPQTISYIESSCNGTLYQDLCIRCLAKYVKNYSTIDGPHHLAQVALSVSLSRALHTRGYLLKLVKELKTIKNKREYLAVNDCANQISDSVDQLSQAIKELSRCSQRGSTISDDSLWHISNVETWVSTALTDASSCVYSFTSIRMSKRMAAIKVKAQNVAEVTSNALALFRRYALSSQQSVARTTKKP >OIW00616 pep chromosome:LupAngTanjil_v1.0:LG12:10576144:10578267:1 gene:TanjilG_09097 transcript:OIW00616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMMPYQLSRLPYQETLKLVEADIQHANAMAAAIPRAKGGTILKMKLVYNHMAPLFLLLLQWMDCSCACFLHSYLNLFHIHIYKIQNDGRSNISSHGRKATIRDFYAVILPSLQRLNGSLEKMEMYKEGKSGIEGSSFGKEMIEGDGKLINVDLEREDECGICLEPCTKVVLPNCCHAMCIKCYRKWNTRSESCPFCRGSLRRVNSEDLWVLTCNEDVVDAETVSKEDLLRFYLYINSLPKDHPDALFLMYYEYLI >OIV99737 pep chromosome:LupAngTanjil_v1.0:LG12:16594350:16594976:-1 gene:TanjilG_26075 transcript:OIV99737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTCITRPKKPESDSVSKPDDSDGNAAKNQAVKSLTSQIKDMALKASGAYKHCAPCTGPPAQGGGIRSNTESDAESDRFRWSYRRTGSSSSTRTWGKEMEARLKGISSGEGTPNSASGRRGEPVVLFVEENEPKEWVAQVEPGVLITFVSLPRGGNDLKRIRFRYVNSLVLLFLIWWTLLVV >OIW00295 pep chromosome:LupAngTanjil_v1.0:LG12:15199583:15201712:-1 gene:TanjilG_27546 transcript:OIW00295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLHLGKVQLLVISSADVAREIMRTHDRVFSNRPHIKMFDILLYGSKDVSASPYGEYWRQIRSICVLHLLNIKRVQSLRDVRQEEVALLIEKIMHSCSSSLPMNLSGLLSAVTNDIVCRVALGRKYGGESGRGFKEVLLEFTELLGTFVVGDYVPWLDWLSHISGLYARANRVAKQIDEFLDHVIEEHINIQKGDSNGHASSYSEGHKDFVDVLLWIQETNSIGFPVDRTVIKALILDMFAAGTDSTSTSLEWAMTELIRHPNVMKILQEEVRNVAGNRTHITEDDLGHMPYLKAVIKETLRLHPPIPLLVPRESMQDITLKRYHIAAGTRVIINAWAIARDPTYWDEPEEFKPERFLDSSIDVKGNDFQLIPFGAGRRGCPGTMFAMVVNEIVLANLVHQFDWALVGGIAGNESLDMSETVGLTMHRKTPLIAFATPYQKCD >OIW00265 pep chromosome:LupAngTanjil_v1.0:LG12:14941716:14943016:-1 gene:TanjilG_27516 transcript:OIW00265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTNSEEHLGSEVIYQEIVENEVNGTHSIPVEPIHRLITENIKSNSMVIKKRHAVIPPHIIAEAISMIRELDLRWSGPITQKEMEYVEQYALAKYPEYAGLIQGDGNGIDLSSFIINEEPSEPMSDNDRRKSPRGTPRESSGYLFGGNLPEMDRTQLEPSRLQDILNKKSSFPGSFISIPEIQAHNKVLKQFGLPDDEYLVLFTTSYKDAMMLVGESYPFVKGNYYMTILGEQEEDYIKEFASFKESKVIHAPKTWLDLRIRGSQLSQNFRRKCKINPKGLFAYPADVNGTMHWVSEAHRNCWHVLLDASALVVGKDNLKLALHRPDFVVSSVDNNHSNASRITCLLVRKKSFDTSSTASSLANE >OIW00981 pep chromosome:LupAngTanjil_v1.0:LG12:1000448:1001890:1 gene:TanjilG_16230 transcript:OIW00981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRALELELNESRFRSVVSGFAGSNSILEGFKVHGVLIKNGFLPSSVVMNACLVELYFKFGEIELARRVFDESCHRDVFLWGAMIGGFVRNRLPMDALEYVRLMVEDGMRLNSVLVIIILPVIGKVRARRIGKEVHAYILKTRLDFGQAAIQLALVDMYCKCGEIGLGRRVLYSSMERKVGSWNAVMSGYASIGRLEQAIRSTIWVRKGFRPGVVAIATALPIYAHSRALKQGKEIHAYALRHCFLPHVSIVSSLMVLYSKCGVIEYSLKLFDWVEQRNVILWTAMIDSYVESGRMYEALGIIRSMQLTEHRPDTVTIARMLSVCGELKLEKLGKEIHGQVLKRDFTSVHYVSAELINMYGTCGVVEKAKLVFDAVPVKGSMIWTALIRAYGYKKLYRDAIDLLDQMVSNGCSPNTFTFQAVLSICDRAGLVEDALRIFNLISRYKIEASKEHCILMIRLLTRYGKLDKALGFVEMISSLE >OIW00862 pep chromosome:LupAngTanjil_v1.0:LG12:3946757:3947509:1 gene:TanjilG_12803 transcript:OIW00862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRYDSRTTIFSPEGRLYQVEYAMEAIGNAGTAIGILSKDGVVLVGEKKVTSKLLQTSTSTEKMYKIDDHVACAVAGIMSDANILINTARVQAQRYTLAYQEPMPVEQLVQSLCDTKQGYTQFGGLRPFGVSFLFAGWDKNFGFQLYMSDPSGNYGGWKAGAIGANNQAAQSILKQDYKDEITREEAVQLALKVLSKTMDSTSLTSDKLELAEVFLSPSGKVKYEVCSSESLTKLLVKHGVTQPATDTA >OIV99783 pep chromosome:LupAngTanjil_v1.0:LG12:16981013:16987720:-1 gene:TanjilG_26121 transcript:OIV99783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGDKATSLENIKNEAVDLERIPIDEVFEQLKCTKEGLSSTEGANRFVIFGPNKLEEKKESKFLKFLGFMWNPLSWVMEAAAIMAIALANGDGKPPDWQDFVGIVCLLVINSTISFIEENNAGNAAAALMAGLAPKTKVLRDGKWSEEEAAILVPGDIISIKLGDIVPADARLLEGDPLKIDQSALTGESLPVTKNPGDEVFSGSTCKQGEIEAIVIATGVHTFFGKAAHLVDSTNQVGHFQKVLTAIGNFCICSIAIGMLAEIIVMYPIQRRKYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEELAGMDVLCSDKTGTLTLNKLSVDKNLIEVFAKGVDKEHVMLLAARASRTENQDAIDGAIVGMLADPKEARAGIKEVHFFPFNPVDKRTALTYIDADGNWHRASKGAPEQIITLCNLREDVAKKAHVIIDKFAERGLRSLAIARQEVPEKTKESVGTPWQFVGLLPLFDPPRHDSAETIRKALNLGVNVKMITGDQLAIAKETGRRLGMGTNMYPSSSLLGQDKDASVVALPIEELIEKADGFAGVFPEHKYEIVKKLQERKHICGMTGDGVNDAPALKKADIGIAVDDATDAARGASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLIALIWKFDFSPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLREIFATGIVLGSYLALMTVIFFWAMRENSFFPEKFNVRHLTHEEMMSALYLQVSIVSQALIFVTRSRSWSFVERPGLLLMGAFLIAQLVATLIAVYADWGFAKVKGIGWGWAGVIWLYSIIFYFPLDLMKFAIRYILSGKAWVNMIENKTAFTTKKDYGKEEREAQWAMAQRTLHGLPPPESSNVFNEKSSYRELSEIAEQAKRRADVARLRELHTLKGHVESVVKLKGLDIDTIQQHYTL >OIW00875 pep chromosome:LupAngTanjil_v1.0:LG12:3560419:3562568:-1 gene:TanjilG_12816 transcript:OIW00875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDTALEEASSPMLNQKTPFHDTPQASPKPYKKGFVATLMEAATLKSTPSFKEDTYFISNLRSSEKKALQELKDKIPSLFSFENGASSEVVVPSMWGIPLLGGDDRADVILLKFLRARDFRVNEALIMLLNCLTWRKEFGAETILEEDLGFKELEGVIAYMQGYDREGHPVCYNAYGMFKDKEMYERFFGDEEKLKKFLRWRIQVLERGIKLLNFKPGGVNSLIQVTDLKDMPRRELRVASKHILSLFQDNYPEMVALKIFINVPWYFSMLYSMFTPFLTQRTKSKFVISKEGTAAETLYKFIKPEDIPVQYGGLNRPSDLQNGPPKAASEFTVKGGERVNIQIEGIEAGATITWDIMVGGWDLEYSAEFIPNAEGSYIIAVEKTRKILGHEEAIHNSYTSKAAGKMVLSVDNTVSRKKKVAAYRYVVRKCNNI >OIW00029 pep chromosome:LupAngTanjil_v1.0:LG12:18632119:18634424:-1 gene:TanjilG_26366 transcript:OIW00029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTTEPSETFKPYTLSQTLTGHKKAVSAVKFSSNGRLLASSSADKTLRTYAFTNSDSDSDSLTLSPMQEYSGHEQGVSDLAFSSDSRYIVSASDDKTLRLWDVPTGSLIKTLTGHTNYVFCVNFNPQSNVIVSGSFDETVRLWDVKSGKCLKVLPAHSDPVTAVDFNRDGSLIVSSSYDGLCRIWDASTGHCIKTLIDDENPPVSFVKFSPNAKFILVGTLDNNLRLWNYSTGKFLKTYTGHVNSKYCISSTFSITNGKYVVGGSEDHCIYLWDLQTRKTVQKLEGHSDTVLSVSCHPTENMIASGALGNDRTVKIWTQHKD >OIW00859 pep chromosome:LupAngTanjil_v1.0:LG12:4079502:4082955:1 gene:TanjilG_12800 transcript:OIW00859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSSSKVSIFSSSSSSLSPIVGDVPKNLISCSSSLSFALSSSPFSSLNLYKVGGRGNLMRVSASNVSEPLTGVIFEPFQEVKKDALAVPITPNVSLARQNYADETEAAINEQINVEYNVSYVYHSLFAYFDRDNIALKGLAKFFKESSDEEREHAEKLIKYQNIRGGRVILHPITSPLSEYAHVEKGDALYALELTLSLEKLVNEKLLNLHSVAVSNNDPQLADFIESEFLTEQVEAIKKIAEYVTQLRLVGKGHGLWHFDQSLLHDLE >OIW00618 pep chromosome:LupAngTanjil_v1.0:LG12:10519560:10520993:1 gene:TanjilG_09099 transcript:OIW00618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHKVADVSALFHFVNDWATLNKISSEEGQFIFPEYVVDAGASVFPQGDLPVLSGFNFVKQNNTVSRRFVFEGSKIESLKAMVLSSSSQKVKNPTRIQVVIALLYKCVVSALILTPKNAPFRVTTNLRKRMVPPLPEKSIGNFVWSFYPSNQSMQNKELHLHELVANIREGLSEFCDKNVKNFGDVSFVYEFLKKAPSLPQKKEAILVMEKKTMFLFSSWCRYPMYEADFGWGKPIWVTTSDCPMKNTIVLMDIRDGNGIEALVNLEEKDMAMFECDVELLRYASLSPN >OIV99880 pep chromosome:LupAngTanjil_v1.0:LG12:17664068:17665815:-1 gene:TanjilG_26218 transcript:OIV99880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTIKFNKPLLPLSTQTTPSPISCLSCKPISFSRASASVYHRGNVSVSVAFNPQGNFDISLFDEDDQSKAAPPMPPAEGRFEVVIDNNVISRLDLSPFQAATGMKSPLSVKPKQFLDRTIGFTINYAKEDPRDPRELSEFPDIRLWFVRLDATYPWLPVLLDWRSGELARYAAMLVPHQMNMKMGVVFNPEALELFAMKKVFIVYSWLKHHNIPKPKLKTNDMARMLGFGIGDELYDLIENHPLDLS >OIW00874 pep chromosome:LupAngTanjil_v1.0:LG12:3620120:3620500:-1 gene:TanjilG_12815 transcript:OIW00874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEDKRLGGVACEVVVPGCRTKGIELRNHHRAPLVHGCGQRVGFVQMFLSISPPRPLGAAPLPTVPPSQMVYGGETKLMSELSPLPPLFFMSSLARAAELQLLSSPFLLLAVLGISFGEDRNFPFL >OIW00654 pep chromosome:LupAngTanjil_v1.0:LG12:9780977:9782475:1 gene:TanjilG_09135 transcript:OIW00654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKGRDVEEDDDSFIFNDGFTAPLYGIKVHAIQLKEIVEKNTSTTERVFKDRQYQLKFPIKPADLMRRIESLIDKNNPQIYNYLA >OIV99858 pep chromosome:LupAngTanjil_v1.0:LG12:17531427:17534611:-1 gene:TanjilG_26196 transcript:OIV99858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRSLCLFSLLLHATMAQKPAIPNKPVVAPQVPAATPVQKWPTLSGNEPLVIARGGFTGLFPEGSPDAIGLSKDISTFLCNLQLTKDAGAYCLTGTTLDNSTTIALFDPKENTYNINGKDVRGHFSLDYTSAQIDQNVSVNQAIFSRPEFFDGVSPVLNVDALLEDKAPPKFWLNVQSEAFYSQHGLKVVDIVLEILKVYPIDFVSSSEISFLKSINGKSNKATKVIFQLLHATDVESTTIKPYGEIVKDLLNIKSFASGIMVPKEYIWPVKPDKYLGLPTTLVADAHKLGLEVYASGFANDFFSSYNYSYDPTAEYLQFIDKEVSVDGVVTDFPVTASNAIACFAHNNTLPQKGPTWIISNNGASGVYPGSTDLAYNQAIADGVDIIDCSVQMTKDGVAFCTNSIDLIGTTTAMTKFMSRSSLVPELQAKSGIFSFDLTWSEIQTLKPQIVNTQSDLPRNPAEKNSGKFVTLPEFLELAKAKAVAGILVNISNAAYLASKKGLDIVGVVSTALSNATFDKQSTQQVLIQSDDSSVLSKFKDIPSYKRVLIIATTASVLLGITNVVKELKDANLTVFIRTLRNEYMSLAFDYWSDPTFEIATYVQTTRVDGLVTDHPATASRYLRSPCSDPKHVPTILPAQPGELLSTIPAELLPPSAAPLPPLEVADVVDPPLPAVVNTTKDVHAAAPAAPVAPSSTASANSSNVALSLVAILVFAMLSARH >OIW00888 pep chromosome:LupAngTanjil_v1.0:LG12:3138586:3139219:1 gene:TanjilG_20889 transcript:OIW00888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGIKVACLVMMCMIVVAAPIAQAAITCGQVTSKLAPCITYLRSGGPVSGGCCGGVKALVAAAQSTADKQAACNCLKSAASAIKFNPGNAASLPGKCRVSIPYKISSSTNCASFLQF >OIW00802 pep chromosome:LupAngTanjil_v1.0:LG12:6404496:6407229:1 gene:TanjilG_18112 transcript:OIW00802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIDLSLEIDPDQHNEEESKIDEKVKEDRDEEMIRKDNKEELPEATAGEIEDDDVSIVEVSLQDNTKARELSVLKMEMESMKEENKVLRKVVEQTMKDYYDLQMKFSIIQENNKRKDHQFSLSLQDMATTSSEGPSRILEIFNNKIQSTPPSPPNNSDSLSESELGLSLSLHTSTSEKEKEEDIKDENKEQLTSFASVQNKLQQIHNLSGISTHAASPPNRKARVSVRARCETNTMSDGCQWRKYGQKIAKGNPCPRAYYRCTVAAGCPVRKQVQRCIDDMSILITTYEGTHNHPLPVGATAMASTASAATSFVLLDSSNPISDIGTSTFTQPSSLPYNSFHSLNPPPSSNIRTINHFNDPSKGIVLDLTNNLNDHPLRFPFGSTSSNVTTSDPRLSWMPNKYQGGGSSAIAMNNFHNPTRQVVDNQDHDHNVSAIASDPKFRNAVAAAITSLMNKESHGSSTFGPRSGQNGSSSNNSWVLDSLSTNGKSI >OIV99904 pep chromosome:LupAngTanjil_v1.0:LG12:17873623:17876308:-1 gene:TanjilG_26242 transcript:OIV99904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANHIVCFHFFHPLFLFFPLIASLTVQAFTGTYGINYGRIANNIPSPDEVVPLLRAAKIKNVRIYDADHSVLEAFSGTGLEIVVGLTNGQLQDMSANADHALNWVKDNVQSFLPGTHIVGIAVGNEVLGGDDLSLWGDLLGAVKNIYNATKKLHLDDRIEISTANSFAVFANSYPPSSCKFKDNVRQYMKPLLEFFSQIGSPFCLNAYPFLAYISDPDQIDINYALFKPTKGIYDPEFRLHYDNMLDAQIDAAYAALEDAGFHKMEVIVTETGWASDGDQNEVGANVSNARTYNYNLRKRLAKKKGTPHRPKNVVKAYIFAIFNENSKPGPTSERNFGLYKADGSISYDIGFHGLNAGDSSHLSLKNIINPGLSRSYVMVFFLYALILLNFWC >OIW00274 pep chromosome:LupAngTanjil_v1.0:LG12:15032494:15040685:-1 gene:TanjilG_27525 transcript:OIW00274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVREREVLVACSDKSMKVGVNVWDINTGNNFLHIPSCASLPFGLLCLRNRYLVASQLNRHGSVGGGSIVIWSLNKPLKPLVNYTMEAIGPLSCTKDGLYLVGGGFSGNVYIWDVTSGELLKSWIAHNKPLNCMLFSDDNSLLISGSDDGMICVWSMISLLDVEESRSLPSLLHCLLGHMSTITGLLTLPGSCSSVLVSSSLDGTCKVWDIISGKLLQSQVYSLPITSISLDHGHEFLFYGIVNGTIIVNKLNIGLEEGPITFGQSFELKGHSGSITALTSCQAGLISGSEDCTICIWNVINRTIIRRFNLQKGAESRYVYGDEHTDESGNKYRASYLRTRTTVETSKHQVIQAVVRSDAEIEISESKKGGGLRGKLNKVVLAYSGGLDTSVIVPWLRENYGCDVVCFTADVGQGIKELDGLEAKAKASGASQLVVKDLREEFVKDYIFPCLRAGAVYERKYLLGTSMARPVIAKAMVDVAKEVGADAVSHGCTGKGNDQAWREDAIEYAKKHNVPVPVTKKSIYSRDRNLWHLSHEGDILEDPANEPKKDMFMMSIDPEDAPNQAEYVEIGIESGLPVSVNGKRLSPASLLAELNEVGGKHGIGRIDMVENRLVGMKSRGVYETPGGTILFAAVRELESLTLDRETIQVKDSLALKYAELVYAGRWFDPLRESMDAFMQKITETTTGSVTLKLYKGSVTVTGRTSPFSLYRQDISSFESGEIYNQADAAGFIRLYGLPMRVRAMLEQGI >OIV99811 pep chromosome:LupAngTanjil_v1.0:LG12:17189799:17191201:1 gene:TanjilG_26149 transcript:OIV99811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAPCCDKANVKKGPWSPEEDDALKEYIEKNGTGGNWIALPQKIGIKRCGKSCRLRWLNYLRPNIKHGGFTEEEDNIICNLYISIGSRWSIIAAQLPGRTDNDIKNYWNTRLKKKLLGRRKQSNFNTKETNGIEENSSSNSLSNSALERLQLHMQLQSLQNPFSFYSNTSLWPKLHPSQEKVIQQSLNDSSNSNPLMQGQKDEFYKPITAIGDLLQQNGANINAPKVIGHLENTLNNSSVPFIANSGNNTPMYSSSIVEQTTNMGLQQACTLQITELDDILNNRTTMGSYLPQEEQNMAEFDCFREMNNGSKDNSSLIWWSNVSDAKSATTSSWDSSTTPTLMPEGMFHDYELGYSL >OIV99891 pep chromosome:LupAngTanjil_v1.0:LG12:17730665:17736166:-1 gene:TanjilG_26229 transcript:OIV99891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFIFGVVMGIIVGLAIIIGFVRSENSRSKQRSQLATTIAAFAKMTVEDSRRIFPSQFYPSWVVFSKSQKLTWLNSHLTKIWPYVNEAASELIKTSVEPILEQYRPFILSSLKFSKLTLGTVPPQFTGVSIIEDAGEGVTMELEMNWDGNPSIILDIKTLVGVALPVQVKNIGFTGVFRLIFKPLVDEFPGFGAVSYSLRQKKKLDFTLKVIGGDMSTIPGLSDAIEGAIRDAVEDSITWPVRKVIPILPGDYSDLELKPVGVLEVKLVQAKELVNKDIIGKSDPYAVLYVRPIRDRTKTSKTINNDLNPIWNEHFEFIVEDVSTQHLTVKLYDSEGLGSSDLLGCAHVRLSELQPGKVKEVWLKLVKDLEIQRDNKNRGKVHLELLYYPYGVQNDFNNPFAPDHSMTSLEKVLKSSNNGTESNGNENADTQKKREVIIRGVLSVTVISGEDLPATDLMGKSDPFVVLTLKKGGTKNKTRVVNDSLNPVWNQTFDFVVEDGLHDMLMVEVYDHDTFGKDYVGRVILTLTRVILEGEYKERFELEGAKSGLLNLHLKWIPQPIYRDS >OIW00110 pep chromosome:LupAngTanjil_v1.0:LG12:15684608:15685021:-1 gene:TanjilG_29100 transcript:OIW00110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKVKSKNDKSLCEISMEVVANVIRLSTFSILHRTIGGVTTTGKAEKDHDKEPLVPHKFPAPAATKRLQEQLSHANPTFMIKPARGSGPTTTQVIHKERVIPKERVHQVVPKKEESVDGLASEYIHKIRNKLGCGL >OIV99886 pep chromosome:LupAngTanjil_v1.0:LG12:17698585:17699202:-1 gene:TanjilG_26224 transcript:OIV99886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVEVKLRLPNAESHGHVTTLLGPFHVITHRQHNLFFDGANSQLLSQRAVLRLRFYNDDERCVVSLKAKAVLVNGVSRVEEDEEDIDPKVGRDCVAEPGKLGTVENNRVLERVKEEFRVLDKVNGFLGLGGFKNVRNVYEWKGLKLEIDESAYDFGTLYEIECESSDPEEAKRVLEEFLKENEIDYSYSTLSKFAIFRSGKLPSE >OIV99810 pep chromosome:LupAngTanjil_v1.0:LG12:17178971:17183837:1 gene:TanjilG_26148 transcript:OIV99810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSPTAVRSPATGGSDPGSNDETRRVKFLCSFLGSIIPRPQDGRLRYVGGETRIVSVPHDISYEELMMKMRELYDGAAVLKYQQPDEDLDALVSVVNDDDVVNMMEEYDKLGSGDGFTRLRIFLFSQLEQDGSSHFNDGDDNERRYVDALNILNDVSDFRMLNQMEFPIMNPVEDIHMPDQLYNPISVEGGIYSQRSGELSMPPQYNLHHIAIQHQQQPMSQRFSEMDSPWSPAFYSPRHHAHHDSRSVVEFPSSPSSARYRGPFPELPDYGVPEEYARHHVYDNQPQYPENVVWMPTAPPPHCEKSGFPGNSFHGPNVVDGNSICDHCRMSFPRGQPSMELPNNISNGLPPVVGNSCAECPSTTVNAIYPNEPSNDLVINLSRHGSVNDGHTYLSRHGSVNDSHTLSANYVQQPPGPELGAELFPDQTMPAIAHIQIPALEEHSIRFGNPPSPYAVDSHFAVPRGHVPGHAFWRNAPTPVHIGPSYEVSTSSQQVNGMINAGLVRVESNPGFFVGPDSQNPIPLVDSPLMLSGHDGLAISEHPYTQTLKMSSNAIDQENQHPITVDAIYPSQDINASTFLEPMQLPKSSVNMVHGNVENYNAQPHVISFSEQNKITENVFKAVSQPAAECGNVEKLADKDPSAPEDSKHLVDQFSFLPELIASVKKAALEGAEEVRAKAEEHENSQMHNSKPKEETANEVELVNAHDDLESEPENDHVDTSKIEPTKAEEEAIARGLQTIKNDDLEEIRELGSGTYGAVYHGKWKGSDVAIKRIKASCFAGRPSERERLIADFWKEALLLSSLHHPNVVSFYGIVRDGPDGSLATVTEFMVNGSLKQFLHKKDRTIDRRKRLIIAMDAAFGMEYLHAKNIVHFDLKCENLLVNMRDPQRPVCKIGDLGLSKVKQHTLVSGGVRGTLPWMAPELLSGKSTMVSEKIDVYSFGIVMWEVLTGDEPYANMHCASIIGGIVNGSLRPQTPTWCDPEWKSLMESCWASDPAERPSFSEISKKLRTMAAAMNVK >OIW00957 pep chromosome:LupAngTanjil_v1.0:LG12:2136361:2137973:1 gene:TanjilG_10035 transcript:OIW00957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWIDKLTGLHTRLDRIFKEMDKFYQEVIDERVDQNKQYREEEGEVIVDVLLHLKKQRLFSVDLTYDHIKAVLMDIIVASTDTTAATIVWAMTALIKNPRVMNKVQEEIRKFEGEKDFIDEDDIQKFPYFKAVMKETLRLHLPAPLLVPREANENCIINGYQIKAKTIVYVNAWAIHRDPEAWKDPQEFYPERFLDSAIDFQGQDFELIPFGAGRRVCPGLPMAIPALDLILANLLYSFNWELPPGIVKEDIDLEVSPGLTQHKKIPLCLYAKNYMSV >OIW00421 pep chromosome:LupAngTanjil_v1.0:LG12:13213867:13218737:1 gene:TanjilG_05771 transcript:OIW00421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSAIESFKVEDHVVVDTEKNNIQEHSETSKWVLSAPDPPTLWYQIVVSVRNSISHYQQWCSSLRGQHRAKVVLSFLTAIFPILDWGHNYTVTKFRNDLLAGLTIASLCIPQSIGYATLAKLDPEYGLYTSVVPPLIYAIMGTSREIAIGPVAVVSLLLSSMIQKLIDPVADPVGYRKLVFTATLFAGIFQAAFGLLRLGFFVDFLSHAAIVGFMAGAAIIIGLQQLKGLFGINHFTNNTDIISVMKSVWESVHHPWNPLNFVLGCSFFIFILLTRLLGKRNRKLYWLPAISPLISVILATVIVFVTRADKSGVNIVKHIKGGLNPISMNEIEFNSPHVGALAKIGLVVAIVALTESVSVGRSFASMKGYHLDGNKEMVSLGFMNIIGSFTSCYVATGSFSRTVVNFTAGCETLMSNIVMAVTVIISLLCLTKLLYFTPTAILASIILSALPGLIDINEGYKIWKTDKVDFLACIGAFFGVMFVSVEIGLLVAVAISFMKIIFLSIGPGIETLGKLPGTNMFCDVHQYPMAIKIPGVVIVRIKSSMLCFSNANSITERVTKWITEEETEGDKEDTRSIIQLVIIDASNLVSIDTSGIASLEKLHKNLVSSGKQLAIANPRWKVIYKLKTTNFVKRIGGRVFLTVGEAVESKLDC >OIW00033 pep chromosome:LupAngTanjil_v1.0:LG12:18673940:18680852:1 gene:TanjilG_26370 transcript:OIW00033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERYGRASEGSHSDPSPEWGYQELSWQGRNGGAESYPQRPDEADCIYFLKNGFCGYGSRCRFNHPCHSARIITGAARTGVGEYPERVGQPVCQYYMRTGSCKFGPSCKYHHPRQGAGIAAHVSLNYYGYPLRTGEKECSYYVKTGQCKFGTTCKFHHPQPVGVQIPAPSPVPPVSPLAVPVPSPLYQTVQSPSGPSSQQYGVLVARPSLLPGSLVQGPYGPMVVSPTMVPFSGWGPYQGPATSPVHPSSTASNVGSTQLYGITQIPSPTTAYAGPYQPSGSLLGPSGSELSWQGRNGGAESYPQRPDEADCIYFLKNGFCGYGSRCRFNHPCHSARIITGAARTGVGEYPERVGQPVCQYYMRTGSCKFGPSCKYHHPRQGAGIAAHVSLNYYGYPLRTGEKECSYYVKTGQCKFGTTCKFHHPQPVGVQIPAPSPVPPVSPLAVPVPSPLYQTVQSPSGPSSQQYGVLVARPSLLPGSLVQGPYGPMVVSPTMVPFSGWGPYQGPATSPVHPSSTASNVGSTQLYGITQIPSPTTAYAGPYQPSGSLLGPSGSGQKEHSLPERPDQPECQYYMKTGECKFGPSCRYHHPADMSASKTNVIISPVGLPLRPGASVCTHYTQRGVCKFGPTCKFDHPMGSLSYSPSASSLTDMPVAPYYSVGYSHGTLAPSSSSSELRHEPTSGSSKEPASSRMSSSMSTPTGSVGLTLSSGGPVSQSGTQASVQSSSCLATTDATMSSTVSYTSI >OIV99802 pep chromosome:LupAngTanjil_v1.0:LG12:17129187:17132926:-1 gene:TanjilG_26140 transcript:OIV99802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTFLIFTPSMVAFAGINLYQHVDITWGDGRGKIVNNGQLLTLSLDSASGSGFQSKNEFLYSKIDTEIKLVPGNSAGTVTAYYLRSEGLSWDELDFEFLGNLSGDPYIVHTNVYTQGKGNREQQFYLWFDPTADFHTYSIHWSPVNVVFYVDGKPIREFKNLEGAAFLVAFDTQTKVIVLTIEKKATYKDVNALKILVYITSVSAGYNMLQLCKHAAWTYSGSEFKGSYISVAWISLLLDQMAVYITFASNSAALEGSVLAITGSQTFEWLKVCNRFTRFCFQIGGAVFCGYVASILMALISTISAYKVFRMYLPKLYTVSE >OIW00397 pep chromosome:LupAngTanjil_v1.0:LG12:12966648:12966839:1 gene:TanjilG_05747 transcript:OIW00397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVTYRDWHDMLPFALHGYQISVRTSTGATPHSLVYGMEAVLPIEVKISSLKVLAGAELEEAK >OIW00554 pep chromosome:LupAngTanjil_v1.0:LG12:12325465:12329759:-1 gene:TanjilG_24284 transcript:OIW00554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEEYDVIVLGTGLKECILSGLLSVDGLKVLHMDRNDYYGGESTSLNLIQLWKRFRGDDKPPPHLGSSRDYNVDMVPKFMMANGTLVRVLIHTDVTKYLYFKAVDGSFVFNKGKVHKVPSNDMEALKSPLMGIFEKRRARKFFIYVQDYNESDPKTHEGLDLTRVTTRELIGKYGLDDNTVDFIGHAIALHRDDRYLNEPAVDTVKRMKLYSESLARFEGGSPYIYPLYGLGELPQGFARLSAVYGGTYMLNKPECKVEFDDEGKVVGVTSEGETAKCKKVVCDPSYLPNKVRKVGRVARAIAIMSHPIPNTNDSHSAQVILPQKQLGRKSDMYLFCCSYSHNVAPKGKFIAFVSAEAETDHPESELKPGIDLLGPVDEIFYDIHDRYEPVNESTLDNCYISTSYDATTHFESTVLDVLNMYTSITGKVVDLSVDLSAASAAEE >OIW00215 pep chromosome:LupAngTanjil_v1.0:LG12:13996416:13997086:1 gene:TanjilG_27466 transcript:OIW00215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKRTSHENESRQKSRVTRGQLSVVCMMFPISKEGVIFKRTWDTINTYLGNPYTASSNEEDDFQLMKNGGYLNRFLRNDMTPLTRGWTSFILNNICPVSHTSDRPMTKAYLAYCIQDKMPVHMAAIFSDELYHFVV >OIW00120 pep chromosome:LupAngTanjil_v1.0:LG12:15778743:15782694:1 gene:TanjilG_29110 transcript:OIW00120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCNACVRGGADVAVAVEENQSHSNRGTTTKKKKNKPNLFSEDPKNSPSPIRVLKDLIPLSHLTRISDKYVLGRELGRGEFGITYLCTDRETKQQLACKSISKRKLRTAIDIEDVRREVAIMSTLPEHPNLVKLKATYEDEENVHLVMELCEGGELFDRIVARGHYSERAAANVARTIAEVVRMCHSNGVMHRDLKPENFLFANKKENSVLKAIDFGLSVFFKPGERFAEIVGSPYYMAPEVLKRNYGPEVDIWSAGVILYILLCGVPPFWAESEQGVALAILRGVIDFKREPWPQISESAKSLVRQMLEPDPKKRLTAQQVLEHSWLQNAKKASNVPLGDIVRTRLKQFSMMNRFKKRALRVIAEHLSVEEVEIIKDMFTLMDTDKDGKVTYEELKAGLRKVGSQLAEPEMKMLMEVADVDGNGVLDYGEFVAVTIHLQRMENDEHFHKAFKFFDKDGSGYIELGELNEALADESGQTEADVLNDIMREVDTDKDGRISYDEFVAMMKTGTDWRKASRQYSRERFKSLSINLMKDGSLQLHDEMSGEAIVV >OIV99955 pep chromosome:LupAngTanjil_v1.0:LG12:18176739:18177614:-1 gene:TanjilG_26293 transcript:OIV99955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTLVVMDTTTPTPTPQSQNLSSSTHFHWPNNNNKVVNEDSQPQLPTIIEKEKTSTKEEDHKTNNNNINNVVPLPGHAPTTRKKLQAVAISKVRSVLTVFSKSRSNSNISFGLGSRVVGTLFGYRRGHVHIAFQKDPTSQPAFLIELATPITGLVREMASGLVRIALECDKQKKEATEKKGSGASVMRLLQEPLWRTYCNGKKCGFASRRECGAKEWDILKAVEPISMGAGVLPAGNNDKDKDKDSDGGGEVMYMRARFERIVGSRDSEAFYMMNPDSNGAPELSIYLLRV >OIW00463 pep chromosome:LupAngTanjil_v1.0:LG12:13584775:13588692:1 gene:TanjilG_05813 transcript:OIW00463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSHGNAHSEGAKMEQIITEFFAKSLHIILESRTLYVSSRNSLGDQVVSSPCSSSSSTSSVRPRDKWFNLALRECPAALENIDLWRQSNLEPIVIDVVLVQRSVDWDPASFSPKRVISRSSSMKERYPFSWNSDQEELGIETKSETIIERWVLQYESRKTRDSNSNSRRSSNTSLHTLYKKSTLLLRSLYSTVRLLPAHKIFRELNSSAQIRPFTLVHRVSSFVEPFTHREEAKMLKFGFTPVDTASGRLCLSVMYLPSASDVSSEPTTPMSPQVITDYVGSPLADPLRRFPLTLVTGLQSYGSPSPLPFSRRHSWSYDHFKTSPPSITCSPSPTYSESRTSVSNANSRHFPSARLPPHPTELSLIQKNNTGFDECYPSPSHSIYNSGSLPSRTLLRTESAPVRIHASEVANSPAYPNMHYLPPSPPLQGSRCASKTDRCMSMQTSATAEKLFSLGKDESRKYSRVKISANSSPQISYSRSTSRSYQDNFDDPDFTCPFDIDDDMTDPGSRAESFDHGRMADTLEAGGYFPIRKSNDASVGVLVHLLKKALPLHQYPPASENLLQGAHSQILKNNIEEPNQISEAPMPMSMMSSGLIATRKSIADALEEFHGYREMKNLLLVQGSKNQI >OIW00171 pep chromosome:LupAngTanjil_v1.0:LG12:16104941:16109250:-1 gene:TanjilG_29161 transcript:OIW00171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKWYRGLFVMALAMMMLILLYSFKGIQPQKHSPKQTAAYIFFNNHSPNDSIKGTTHPVNSSELELKKVPKPTERAHLVHVEGLDDLYEMKNLSKEEMNAVLVWSYLHSLLSRSDALPETVQGVKEASVAWKDLLSTVQKDKESRISKMNNSENRSCPFSVTTFGKKVAGNGITLELPCGLVVDSSITLIGMPNGENSSFEIDLVGQELQKEPIPPIILHYNVSIPGENMTEVPYIVQNTWTRDIGWGKEERCPARGSGKTQKVDGLVLCNVQSVGSNNEENVNASQPTSYITSNISSESAHRTANFPFAEGNPFTATLWVGSEGFHMTVNGRHETSFAYREKLEPWLVSSVKVAGTLSILSVLAKGLPVTEDNDIAIDLEKLKAPSISEKRLVLLIGVFSTGNNFERRMALRRTWMQYEAVRSGEVAVRFFIGLHKNNRVNFELWNEVQAYGDIQLMPFVDYYSLITLKTIAICIMGTKVMPSKYIMKTDDDAFVRIDEVLSILKEKPSDGLYYGLIASKSSPQRDKDSKWYISEKEWPHDTYPLWAHGPGYIISRDIAKFIVHGHQQRKLQLFKLEDVAVGIWVEQFNNGVKPVRYLNDEKFYNAGCESNYVLAHYQSPRMVLCLWEKLQKEHQPVCCE >OIV99794 pep chromosome:LupAngTanjil_v1.0:LG12:17070975:17071286:1 gene:TanjilG_26132 transcript:OIV99794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >OIW00634 pep chromosome:LupAngTanjil_v1.0:LG12:10343687:10346833:-1 gene:TanjilG_09115 transcript:OIW00634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENNLKTWVSDKLMSILGYSQPTVVEYMIGISKQATSQSDLLTKFQEFGFSLTDETNVFASEIFSRVSRESSGLSLYEKQEREAALLAKKQKTYKLLDDDDDDDDDDSDEVRREESGVSEPKFSNKRLFRKKTEGNVDDGDDEEEGILSKELERRVRRRTSHGDEDDGSESEEERLRDQREKEELEKHLRERDEAGTRKLTENKLTQKEKEEAIQRSNASEKDDTRGLRKGLRPKYFKKRVENKVGKLRDEIDADEYLFDGVKLTEKEEHDIRYKKEIYKIVKQMMEADNVNEYRMPDAYDQDGGVNQGKRFSVHTQRYIDTNAEEKMNPHAEQEAWEEYQISKATMKYGSKNKKQASDDYQFVFEDQIDFIKASVMDGDNVDLEEMDDVVEKSKAKSALEALLEERKKLPIYPYRDELLKAIDEHQVLVIVGETGSGKTTQIPQYLHEAGYTKRGLIACTQPRRVAAMSVAARVSHEMGVKLGHEVGYSIRFEDCTSEKTIVKYMTDGMLLREFLGEPDLASYSVVMVDEAHERTLSTDILFGLVKDISRFRPDLKLLISSATLDAEKFSDYFDSAPIFKIPGRRYPVEINFTKAPEADYLDAAIITALQIHATQPPGDILVFLTGQEEIETAEEILKHRTRGLGTKLAELMICPIYANLPSELQAKIFEPTPEGARKVVLATNIAETSLTIDGIKYVIDPGFCKMKSYNPRTGMESLLITPISKASAMQRAGRSGRTGPGKCYRLYTAYNFHNDLDDNTIPEIQRTNLANVVLMLKSLGIHDLMHFDFMDPPPAEALLKALELLFALGALNKLGELTKVGRQMTEFPLDPMLSKMIVASEKYKCSDEIISIAAMLSVGNSIFYRPKDKQVHADNARLNFHSGNVGDHVGLMKVYNSWKETNYSSQWCYENYIQIRSMKRARDIRDQLAGLLERVEIELASNSSDLDAIKKSITSGFFPQSARLQKNGSYRTVKHPQTVHIHPSSGLAHVLPRWVIYHELVLTTKEYVRQVTELNPDWLVELAPHYYQLKDVEDSVSKKMPCGEGRA >OIV99932 pep chromosome:LupAngTanjil_v1.0:LG12:18019115:18020856:-1 gene:TanjilG_26270 transcript:OIV99932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTAVYEREVDYDSSSSSITVPETRRSWMSNLSFTSHRRRSSVSVCSSTTYTTTTSTKPHKANQVAWEAMQRLRLDKGHLGLDNFRLLRRLGSGDIGNVYLCKIRNPMVGLAQCLYAMKVVDREALAIRNKLHRAEMEKEILGMLDHPFLPTLYTQFDASHYSCFLMEFCPGGDLYAARHRQPGNRFSIASAKFYAAETLLALEYLHMMGIVYRDLKPENVLVREDGHIMLSDFDLSLKCDVVPKLLRSKTRSEGTIKSIKRSTPSCTAPMQPVISCLLGNKTRPTVTRVIRENVTIEDQELESELVAEPIDARSKSFVGTHEYLAPEVILGQGHGSAVDWWTFGVFLYEMLYGRTPFKGDNNEKTLINILKQPLAFPKISFRSSKEYQEIEKVQDLISKLLVKNPNKRSGSYMGSVEIKRHEFFKGVNWALIRSVKPPEVPNDNKNRIRSRVLVPKLSKLDKDQPYQLNPNFEYF >OIW00809 pep chromosome:LupAngTanjil_v1.0:LG12:6080624:6081946:-1 gene:TanjilG_08104 transcript:OIW00809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQLKQMSHNDNSAPSTPGKFKIEKSPYFNRTRWHSSLAKLTLWSFAFLAVILIFFLRSPSSSASLPTDPSRRSLRTYNWGGSAWEKRVRSSARVRSRNGMTVLVTGAAGFVGTHVASALKRRGDGVLGLDSFNDYYDPSLKRARQALLERTGVYIVEGDINDEALLRKLFEVVPFTHVMHLAAQAGVRYAMENPGSYVHSNIAGFVNLLEVCKSLNPQPAIVWASSSSVYGLNTKVPFSERDRTDQPASLYAATKKAGEEIAHTYNHIYGLSLTGLRFFTVYGPWGRPDMAYFFFTKDILKGKPIPVFEAANHGTVARDFTYIDDIVRGCLGALDTAEKSTGSGGKKKGPAQLRVFNLGNTSPVPVSELVSILERLLKVKAKRNIMRLPRNGDVQFTHANISYAQRELGYKPTTDLQTGLKKFVRWYLNYYSGGKKAVE >OIW00450 pep chromosome:LupAngTanjil_v1.0:LG12:13458138:13467090:1 gene:TanjilG_05800 transcript:OIW00450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTEFLMWILVITLCQLQDAQTQTISSIGVNWGAQASQPMEPSVVVKMLKENGIKKVKLFDADSWTVSAFKGTDIELMVGIPNDQLKKLSKTMQRIQSAIEKAGHGDQIKVTTALNADVYESSSEKPSDGDFRKDIYTVMKQIVQFLDEKNSPFVVNIYPFLSLYQSPDFPKEFAFFDSTNSQIDDDGAHYSNMFDANLDTLVASLKKIGHPNVSIVIGEIGWPTDGDINANIQNAKKFYRGFFKKMATKKGSPRHPKAVTVYLFGLFDENLKSVAPGNFERHWGIFRYDGKAKFQVDFSGKGEDKYPISAKGVRYQENKWCVLKKDVKNITSLGGAMSYACAGGDCTSLGYGCTCNGILDGAGNASYAFNQYFQINEQSVEACDFDGVADIVSKDPSKDACTKRKQNSRVRNSFASVSIRDENPFDFVRTLFEGVIAGGTAGVVVETALYPIDTIKTRLQAGLYDKRQTTINIEGYSFLCSVLAARGGEKLVFKGLYSGLAGNLAGVLPASALFVGVYEPMKQKLLRVFPENLSAFAHLTAGALGGIAASLIRVPTEVVKQRMQTRQFTSASGAVRYIASKEGFKGLYAGYGSFLLRDLPFDAIQFCLYEQIRIGYKLAARRDLNDPENALIGAFAGALTGAITTPLDVIKTRLMIQGSGNQYKGIVDCVQTIIKEEGPSALLKGVGPRVLWIGIGGSIFFGVLESSKRFLSERRPALTQSSKSDKHED >OIW00224 pep chromosome:LupAngTanjil_v1.0:LG12:14527363:14531812:1 gene:TanjilG_27475 transcript:OIW00224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKAKEEAMTNNKCCLQALLYLTRSVSGATHFSAPIILISFHLLLLIFPHSSNGDCTYMGSKNRSQFSEKNDEISCQRRVLRLLDANFFNDSQIEEIDKGASELNIPIIRTNRKLVASENGGLHYPSPLVFNANWDYRLDHTANKRFNYPSISGIQRPESEEDIAFMSVLELGELIKTKQITSQELTQIFLRRLKKYNPILEAVITYTDELAHKQAKEADDLLSQGVYLGPLHGIPYGLKDIIAVPEYKTTWGSRSFKNQVIDQEAWVYKRLKSAGAVLVAKLVSGSLAYDDIWFGGRTRNPWNIEEFSTGSSAGPAASTSAGMVPFAIGSETAGSITYPASRCGVTALRPTFGTIGRTGVMSLSESLDKLGPFCRSATDCAVVLDAIRGRDPDDLSSKDSSIDDPFQVDITKLTVGYLDDAEMEVVHVLASKGVKMVPFKLNYTVDSVQGILNFTMDVDMLAHFDEWQRSGQDNVYEAQEQWPTEVRRARIIPAVDYIQAQRARGRLIKEIRESFTVDAFIGNATDWEKVCIGNLVGLPIIVVPAGFTNITNPPPGGTRRRTTVPAGIYAPPNRDHIALALAMAYQEVTDHHKKRPPINDTGPNDKIPDPHTVIYPPRVLHL >OIW00017 pep chromosome:LupAngTanjil_v1.0:LG12:18573832:18574176:1 gene:TanjilG_26354 transcript:OIW00017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEERGAPHGILLAVVVTLVVIVPFFFGDGEAITQFISHFLTPIGLLLLPIILLLTIHFLSSDRASFISSIFTTGEPDSIHRASGSPVGVALFLVLILFLLYNRFSIFGGDDDE >OIW00947 pep chromosome:LupAngTanjil_v1.0:LG12:1866231:1869101:-1 gene:TanjilG_10025 transcript:OIW00947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPFNLMITLVIVPFLFSSIVSGYSLSDIKKWCSQTPNPTPCEYYLSNNAFNKPIKNKSDFLKVSLQLALDRAQKGQENTHSLGPKCRNSQERAAWADCIELYEYTIQKLNKTIDPNTKCTEVDAQTWLSTALTNLQTCKEGFYDLGVSDYVLPLLSNNVTKLISNTLSLNKVPDQQQSYKDGFPTWVKPGDRKLLQSSSAASKANVVVAKDGSGKYTTIKAAIDAAPKSSSTRYIIYVKTGTYNEQVEISGKNIMLVGDGIGKTIITGSKSVGGGSTTFKSATVAAVGDGFIAQDITIRNTAGATNHQAVALRSGSDLSVFYKCSLEGYQDTLYVHSERQFYRECDIYGTVDFIFGNAAVVIQNCNIYARTPPQKTITVTAQGRTDSNQNTGIIIHNSKVTGASGFSSTSVKSYLGRPWKEYSRTVFMKTYLDSLINPAGWMEWDGNFALNTLYYAEYANTGPGSSTANRVTWKGYHVLTTTSQASPFTVGNFIAGSSWLPATGVPFTSGL >OIW00486 pep chromosome:LupAngTanjil_v1.0:LG12:13832963:13833727:-1 gene:TanjilG_05836 transcript:OIW00486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKGGANPATYSPSLTTQKKKAPLFKEDWVRPDGRGFHQCRPAFFRTGAVNAASGSAYAEFGNTKVIVSVFGPRESKKAVMYSDTGRLNCNVSFTTFATPVRGQGSDHKEYTAMLHKALEGAVILESFPKTTVDIFALVLESSGSDLPVVISCASLALADAGIMMYDLVASVSVSCVSKNLVIDPIFEEENYQDGSLMITCMPSRYEITQLTVTGEWSTPKINEGMQLCLDACAKLAKIMRSCLKEAASDSKE >OIW00764 pep chromosome:LupAngTanjil_v1.0:LG12:7324296:7324613:-1 gene:TanjilG_13138 transcript:OIW00764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTSRENKSTQKPVKFSESEIEAAKVLLQLKNGYYSEHDHHKNNTMLQRKQHNKVDRDGASSSSITAAATAEAEADAFERENMDKNRYRHIKDIYVNKTTKKRVI >OIW00200 pep chromosome:LupAngTanjil_v1.0:LG12:16316932:16326695:-1 gene:TanjilG_29190 transcript:OIW00200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESQSMGTPDKKISDLVDVVRSWIPRRTEPQNVSRDFWMPDQSCRVCYECDSQFTIFNRRHHCRICGRVFCAKCTTNFIPLSSDELNTSREDWERIRVCNYCFQQWEQAVRTVDNGTSSAAPCLSRSPSVTSLVSSTKSSCTGNSSSSTVGSVPYSTGPYQSVPYSSHQSSRMDMITGEQENLNSERSNGPSAPMGDLASNQFQYCFNRSDDEDDDYGVYQSDSESRHYSYVNDFDDPVNVDGIEHVYGPHEMHPDGDNIKDKSLSVLTPPHNQDLEDLDGIREPGKATDEPDHVDRCETPPCDEGSNNAEPVDFENNGLLWLPPEPEDEEDDREAVLFDDDEDEGTAGEWGYLRSSRSFGSGEFRSRDKSNEEHRKAMKNVVEEHFRSLVSQLLQAENLIIDDDDGKESWLDIITALSWEAATLLKPDTSRGGGMDPGGYVKVKCIACGHRNESMVVKGVVCKKNVAHRRMTSRIDKPRFLILGGALEYQRVSNQLSSVDTLLQQEMDHLKMAVAKIDAHHPNVLLVEKSVSRYAQEYLLAKDISLVLNIKKPLLERISRCTGAQIVPSIDHLTSQKLGFCETFHVDKFFEEHGSAGQGGKKSTKTLMFFEGCPKPLGCTILLKGANGNELKKVKHVVQYGIFAAYHLALETCFLADEGASPLEFPLKSPITVALPDKLSSIERSISTIPGFSARTPIENQEAQLIKEVPNSIGDQKTERTPSSCSGSTEISMVDLLKNHDIPNSASKEDDKKCPIELFQCRQEGRRETTLINDLGSDSFGILEPSGQVGNNHVRASALASNQGCNPEPSNVKHDRNKNDDDMILSKEDFPPSTSDHQSILVFLSTRCVWKGTVCESSHLVRIKYYGSGDKPLGRFLRDQLFDQSYTCHKCEMPSEAHVHCYTHRQGSLAISVKKLSDFPLPGEREGKIWMWHRCLKCPRVNGFPPATRRVVMSDAAWGLSFGKFLELSFSNHAAASRVASCGHSLHRDCLRFYGFGKMVACFRYASIDLHSVYLPPSKLEFNYDNQEWLQKEVIEVHNRAEILFNEASKALHKISEKVSGHVLQEGGNKLTDFRHLIDDLKGMLQKEKEGFEDLFQKLLHKEVKGGHAVVDILELNKVLRNILFHSYVWDQRLIYASNPSKITLREDSTNSYQRDKSIASMEKVVEADGNTRPERGHSSCDSFVSETKPDAILNIENTSHLSQPGPSLYGDANIKKSNSLVFEGVAERALPDGQTPILTSLSETLDAAWTGETHPIKLPHKENGSLPLDAAVTAKSNSDGYTDSKLLPEGLDTRWNGMPFARFFSSFNKTPAWNTQKLVEYTSVHILSFRELERQIGARLLLPAGINDTIVPVYDDEPTSIVAYVLVSTDYHIQISESDRPKDSGESSVSSSLFDSASLLSHNSFDESISSSHRSFGSSDESMLSTSGSRSSLVGDPLLYTKDMHARVSFTDDSSLGKVKYTVTCYYARSFEALRRTCCPSEIDFVRSLSRCKKWGAQGGKSNVFFAKSLDDRFIIKQVTKTELESFIKFAPAYFKYLSESIGTGSPTCLAKILGIYQVTSKQVKGGKETKMDVLVMENLLYRRNIRRLYDLKGSSRSRYNADTSGSNKVLLDQNLMEAVLTSPIFVGNKAKRLLERAVWNDTAFLASIYVMDYSLLVGVDEEKHELVLGIIDFMRQYTWDKHLETWVKTSGILGGSKNTTPTVISPQQYKKRFRKAMSAYFLMVPDQWTPPNLHPSGSQAEFCEENS >OIW00048 pep chromosome:LupAngTanjil_v1.0:LG12:18743057:18746589:-1 gene:TanjilG_26385 transcript:OIW00048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSSGCSIRAIWILNNLNAVVFSRRFPIVEKRWRNASNSHNQIDDSVLFSSLPTDFDLADAFLHRKDREGSARGFGIRKSQSTLGSDSWVDDPITRHIVGLYINKEEDGDKNLLWPLILHIKGHYSILILPLVEPRHLNAYAKLCKRVDCGSALGMDDALSSLLLDLPSITGAFMIAHAIGDIITGDTVEPEVIVTAAPSVGGLFDSLTGSIGISSRAKPVAAPVASSSPSSAATPGSVTADALKNGSRPLDKDALRTFISSSMPFGTPLDLNYSNIFTIKGNGFSASDLPPADQKQPAWKPYLFKGKQRMLFTIHETVHAALYDRDDIPDIISVSGQINCRADLEGLPDVSFPLAGLKTANLEVSSYHPCAQVSDQGLDKQGVMFSPPLGNFVLMRYQATCALGPPVKGFYQLSMVSEDKGAFLFKLRLMEGYKAPLTMEFCTVTLPFPRRRIISLDGTPSLGTVSTSDHSLEWKIVTSGRGLTGKSIEVTFPGTIKFAPWQIQRVLSSRSSFGIIADEDSDNEAENSSNMVNEEHLMEKMNKDLPPVDLEEPFCWQAYNYAKASFKIVGASVSGITIDAKSVSIYPAVKAPVEFSIQVTSGDYILWNTLGKCPYVATVKV >OIW00193 pep chromosome:LupAngTanjil_v1.0:LG12:16274122:16274676:1 gene:TanjilG_29183 transcript:OIW00193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFYSDEKLTTISGFTIGQAIYEAALIIAVLRWILCLVLKVMRDRNNESLHTPSETEPSCVSSQMIRDNTLLLTTFGEIMEKLQPEETQDTTCAVCLNHLNIEDEVRELMNCYHVFHKECIDTWLEHDHDNHNPTCPLCRAPLLTPCCFSSSSSSESSTCVPPTQPSWAVERLLYLFGDDLMPC >OIV99784 pep chromosome:LupAngTanjil_v1.0:LG12:16992259:16995757:-1 gene:TanjilG_26122 transcript:OIV99784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSVFVESFPKSSSFTIGSYAPIASLHKRKIQKEHNILRFQQLSLDHLSKGIKGGSTCQGYNRKYVVKADSEQPFESESQALYPKSIWDSVKNSLDTFYRFSRPHTIIGTALSIISVSLLAVEKVSDFSPLFFTGVLKAVVAALFMNIYIVGLNQLSDVEIDKINKPYLPLASGEYSFQTGVIIVASFSILSFWLGWIVGSWPLFWALFISFVLGTAYSINVPMLRWKRFPVLAAICIMAVRAVVVQLAFFLHMQIYVYIPDIEGDKIFGIQSFSVSLGQKKVFWICVSLLEIAYGFALLMGAASPCLWSKIVTVLGHAVLALVLWYRAISVDFKSKASITSFYMFIWKLFYAEYFLIPLVR >OIW00018 pep chromosome:LupAngTanjil_v1.0:LG12:18575309:18581671:-1 gene:TanjilG_26355 transcript:OIW00018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGQMQQSNPAATALYDHTATTDAGDAVTARWLQSAGLQHLASPLASTAIDQRLFPNLLMQGYGAQSAEEKQRLFKLMRNLNLNGESGSEPYTPTSQTFGGGVVSDGFYSPEFRGDLGAGLLDLHAMDDTGLLSEHAISEPFEPSPFLPEATRVFEDNFNSTRSKEIGEADANASHFLPINEKENNTRENNVAKIKVVVRKRPLNKKELVKKEDDVVTVYDNSYMAVHEPKLKVDLTAYVEKHEFCFDAVLDEHVSNDEVYRVTVEPIIPTIFERTKATCFAYGQTGSGKTYTMQPLPLRAAEDLVKQLHQPIYRNQRFKLWLSYFEIYGGKLFDLLSDRKKLCMREDGRQQVCIVGLQEFEVSDVQIVKEFIEKGNASRSTGSTGANEESSRSHAILQLVVKRHNEVKESKRNNNDANEAKSGKVVGKISFIDLAGSERGADTTDNDRQTRIEGAEINKSLLALKECIRALDNDQIHIPFRGSKLTEVLRDSFVGNSKTVMISCISPNAGSCEHTLNTLRYADRVKSLSKSGNPRKDQVPNPILQTNKEVLSTSSVLASAGAEDFIDQRQEVKTVGIGRKVVEKQNYSSAAEVDKQPSSFSSSYLFNGREEKGLPSREKLEVKNSYSESTSQKINSYSQIDTDEKVQKVSPPRRKGYKDEKPERSTNLLKRDANQSDGLTASSKQQSTVNYSSVTGGSRQTETESVPNENINAILEEEEALLASHRKEIEDTMEIVREEMKVLAEVDQPGSHIDNYITQLSFLLSRKSASLVSLQARLARFQHRLKEQEILSRKRVPR >OIV99735 pep chromosome:LupAngTanjil_v1.0:LG12:16586058:16590366:-1 gene:TanjilG_26073 transcript:OIV99735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTCITRPKKPESDSVSKPDDSDGNAAKNQAVKSLTSQIKDMALKASGAYKHCAPCTGPPAQGGGIRSNTESDAESDRFRWSYRRTGSSSSTRTWGKEMEARLKGISSGEGTPNSASGRRGEPVVLFVEENEPKEWVAQVEPGVLITFVSLPRGGNDLKRIRFSREMFNKWQAQKWWSENYDKVMELYNVQRLNHHAFPLPTPPRSEDESSKLESAEASPVTPPLTKERIPRTLYRPPGMGMGYSSSDSFDHQSMHSRNFMDWTTGMSSTPKVSTISTAKTELSSMDASIISSSSRDADRSCDLSISNASDLESEWVEQDEPGVYITIKALPGGKRELKRVRFSNSTPTNQSLNFISEKNSGRCMLDYGGRRTVQEYINNICENFAKGRGEGDAEALHSVEVKNSEVLLAPLHVLGYLHPYSNFSALYGIG >OIW01063 pep chromosome:LupAngTanjil_v1.0:LG12:503601:504578:-1 gene:TanjilG_14246 transcript:OIW01063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLSDLMKATNNFSNVNIIGSGRTGTIYNAVLDDGTSLMVKRLQDSRHSEKEFRSEMATLGTVKHRNLVPLLGFCMAKKEKLLVFKNMPNGNLHDQLHPADGECILEWPLRLKIAIGAAKGFAWLHHSCNPRIIHRNISSKCILLDKDFEPKISDFGLARLMNPIDTHLSTFVNGEFGDIGYVAPEYARTLVATPKGDVYSFGTVLLELVTGERPNTVSKAPETFKGNLVEWITQLASNTELQDAIEKSLLGNGVDDELFQFLKVACNCVLPTPKERPTMFEVYQFLRAIGGRYNFTTEDEILSPTDAGYADNMDVLIVAREGND >OIW01041 pep chromosome:LupAngTanjil_v1.0:LG12:279952:286700:1 gene:TanjilG_14224 transcript:OIW01041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTKWVPRFISRKKWNLNNVQCFFPSPTRVKSPLEIASKDGELRVFIVAGEVSGDSIASRLMASLKLLSPLPLRFSGVGGAKMSSEGLQSLFPIEDISVMGIWELLPHLYKFRVKLKETVEAAATFEPHVVVTVDSKGFSFRFLKQLRAIYGQQKLYSPAHFHYVAPSFWAWKGGEARLRGLASFVDHLLCILPNEDKVCRLNGLAATFVGHPVLEDVLELNLRNNSSVHERRAEGNAEDFRTKHAVPSGATVISLLPGSRVQEVTRMLPIFANTFELLKDTFPNLMTVIHVAPNEHVEKFIAGAVHRWPVPVVLIPGGTTQLRYDAFSASKVALCTSGTVAVELQLARLPCVVAYRANILTEWFIQYKAKIKYISLPNILLDSPIIPEALFRSCTPENLASLLKDLISDSSCREEQITAAQKFVKLLFPPEGIKHNLLQQNLMTNPQYSPSVVAALTILNYGNPLGD >OIV99728 pep chromosome:LupAngTanjil_v1.0:LG12:16551081:16552558:1 gene:TanjilG_26066 transcript:OIV99728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNRRNKFPFTPSQWQELEHQALIYKYIASGISIPPDLIFSIKRSYLDSPISSRLLPHHPQHFRWNYLPMGLGRKIDPEPGRCRRTDGKKWRCSKEAYPDSKYCERHMHRGKNRSRKPVEVLKTTNNTYASSTSTTPSSILSITKNNPALAPTTAQNIFHHHPHQHSSSSYGSHLQQHSFLYHHPPPSRPSSTGLYFQDNNSASLFLDNASCSQNNTDSRYVYGQKEEVDEHAFFNEPSGNMRSFSASSIDDSWNLTPLSINSPSSSKQRNFSGLTNNDNEYSYLQLQSLSDNSKQQPKQDQGFYNDIKCEKFMKLGDKEEPPQQKTVHRFFDEWPPKSRGTWLDLDDKSSTTQLSISIPTSHDFGTFSSRNQRGNEKKLPIHFDIVLISIP >OIW00876 pep chromosome:LupAngTanjil_v1.0:LG12:3243048:3244662:-1 gene:TanjilG_22674 transcript:OIW00876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAAEVMPEKPLQGVPILSRQTTFSSSSRRLEGKIAIVTGGARGIGEATVRVFVKNGAKVVIADIEDAIGTMLAQTLAPSATYVHCDVSIEEDVENLISSTISLYGQLDIMFNNAGVLGNQSKNKSIVDFDPDEFDRVMRVNVKGMALGIKHAARVMIPRGVGCIISTASVAGVMGGLGPHAYTASKHAIVGLTKNTACELGRYGIRVNCISPFGVATTMLVNAWRTSNVEEDEDCDDLSINFGLPLQEEVEKMEGFVRGLANLKGTTLKAKDIAEAALYLASDESKYVSGHNLVVDGGITSSSNCIGM >OIW00283 pep chromosome:LupAngTanjil_v1.0:LG12:15100412:15102498:1 gene:TanjilG_27534 transcript:OIW00283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESVAVLGGNVSSFLLLPSPPTKRTTTMIRATRHHQHLTAFPSKSHLFYSSTSRTFPKTRCSNQSSNGIFLPHLIASLEQVDQTYIMVKPDGVQRGLVGEIITRFEKKGFKLTGLKLFKCSKDLAEEHYKDLKGKSFFPKLIDYITSGPVVCMAWEGVGVVASARKLIGSTDPLQAEPGTIRGDLAVQTGRNIVHGSDSPDNGKREIGLWFNEAELVHWTPAQAAWLRE >OIW00958 pep chromosome:LupAngTanjil_v1.0:LG12:2144832:2146751:1 gene:TanjilG_10036 transcript:OIW00958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIAFGRSYEDEETEKSKFHGMFNEVQEMFAVFFVSDYIPFMGWIDKLTGMHARLNRIFKEMDKFYQEVIDERLKKENDDEVIVDVLLQLKKERSFSIDLTYDHIKAILMDILVAATDTTAATSVWAMTALIKNPRVMKKVQEEIRKLGDKKDFIGEDDITKLPYLKAVIKETLRLHLPAPLLVPREANEDCIIDGYQIKAKTIVYVNAWAIQRDPGVWKDPEEFYPERFLESSIDFKGQDFELIPFGSGRRICPGLSMAIPTLELIIANLLYSFDWELPQGEDIDTEVLPGITQHKKNHLCLFAKNHF >OIW00818 pep chromosome:LupAngTanjil_v1.0:LG12:5856238:5858140:-1 gene:TanjilG_25936 transcript:OIW00818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCEDLPKDICAFSIASSGKRCLLETEKAADGGVEYECRTSEVVVETMAEYIETDQCVEACGVDRSSVGISSDAFFEPQFTGKLCSPACYQKCHNIIDLFFNLADGEGVFLPELCEKHKTNPHRAMFELVSSGAAPGPYPPPQ >OIW00176 pep chromosome:LupAngTanjil_v1.0:LG12:16154583:16156935:-1 gene:TanjilG_29166 transcript:OIW00176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMSLSFYSSIILSPISKHKCFKFPNPRTQVSIFRVTRSAISYPPSKSTSPSSPSVVKKKHWKQGEFPGTSEKPSTRRTPIKNIKKRLDKKDIAKAWVNTVTEALSERVDKKQWLQALEIFDMLREQSWYQPKEGTYMKLIVLLGKSGQPHRAHQLFMTMIEDGLEPTPELYTALLAAYCRSNLIDEAFSILDEMKSLPFCQPDIFTYSTLIKSCVDAFKFDLVGSLYEEMAGRSITPNTVTQNIVLNGYGKAGKFDEMEKVLSGMLESTACKPDVWTMNTIISVFGNMGQIDMMEKWYEKFRNFGIEPETRTFNVLIGAYGKKRMYDKMSSVMEYMRKLQFPWTTSTYNNVIEAFADVGDAKHLEYTFDQMRTEGMKADTKTFCCLINGYANAGLFHKVISSVRLAAKLEIPENITFYNAVLSACAKAEDLMEMERVFNRMKDNQCQPDDTTYSIMIEAYRKEGMNDKIYYLEQEKQTMLTDDKIVSEPEDEILA >OIV99711 pep chromosome:LupAngTanjil_v1.0:LG12:16455480:16457827:1 gene:TanjilG_26049 transcript:OIV99711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAVRFHFHLFLLSTALSFSPLFSIDPENEALLKLKKSFATSDSLSSWVPNQNPCSSKWDGVICNKNAITNLHLTDKGLSGKIDIEALMQIRSLRSISLINNNFSGPMPEFNKLGALKAIYLTKNQFSGPIPSDFFSGLGSLKKIWISNNKFSGKIPDSLRKLKFLNELHLENNEFSGPVPEFDHDIKSLDMSNNKLQGAIPASMVKFGANSFSGNDGLCGKPLDKDCEAGPNSGMSWTVKIIIILVIAAVVAMAFIVMKSRRRNDDDFNARSSENHHQNQRVNVVQVHVPSSDHSRGSEHSTKKGGESKKGSSRSGGMGDLIMLNDEKGVFGLPDLMKAAAEVLGNGGLGSAYKAAMANGMSVVVKRMREMNKVSRDIFDAEMRRFGRLRHPNILTPLAYHYRREEKLFVTEYMPKGSLLYVLHGDRGMSHADLNWPNRLNIVKGIARGLGFLYSEFSSEDLPHGNLKSSNVLLTENYEPLLSDFAFHALMNPSYSVQTLFAYKTPDYVQYQTVSQKTDVYCLGIIILEMITGKFPSQYHSNGKGGTDVVQWVFTSICDRKEEELIDPELKSNKSSLNQMMQLLQVGAACTESNPEQRLSMKEAIRRVEESIAVSGFVHDKHTHGKEGGEGEEGGEGEKRWRG >OIW00970 pep chromosome:LupAngTanjil_v1.0:LG12:780786:783349:-1 gene:TanjilG_16219 transcript:OIW00970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRRATTLLRRPLNAAASRRFSTDLPSETAADSSFVKAWKKASPTIDPPKTPLSYLKPRPSIPSSLPTKLTVNFVLPYSSELSSKEVDMVIVPATTGQMGVLPGHVATIAELKPGVLSVHEGNDVTKYFVSSGFTFIHANSVADVIAVEAVPLDQIDANLVQKGLQDFTQKLNSATTDLEKAEAQIGVDVHSALNSALSG >OIW00534 pep chromosome:LupAngTanjil_v1.0:LG12:12145691:12147050:-1 gene:TanjilG_24264 transcript:OIW00534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPLLTKKIVKKRVKRFKRPQSDRKISVKPSWRRPKGIDSRVRRKFKGCVLMPNIGYGSDKKTRHYLPNGFKKFVVHNVKDLELLLMHNRTYAAEIAHNISTRKRKEIVERAAQLDVVVTNKTARLRSQEDE >OIW00655 pep chromosome:LupAngTanjil_v1.0:LG12:9776348:9776503:1 gene:TanjilG_09136 transcript:OIW00655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAGSLRPNVDDLWHSLVRDKTYVKLIKDVRSLWDMGLQLFRKHISPSLLL >OIW00432 pep chromosome:LupAngTanjil_v1.0:LG12:13320259:13322260:-1 gene:TanjilG_05782 transcript:OIW00432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLYSSSPSSSFVSFSNSNSNYSLTRPTTIKISCALNTTHHKNKKKKSSNEKSEALELVHLVIKRMSDKEPLVKTLNKYVKLFRSEHCFLLFEELGKYDKWLQCIEVFRWMQKQRWYIADNGVYSKLISVMGKKGQIRMAMWLFTEMRNSGCRPDPSVYNALITAHLHSRDKTKALDKALAYFEKMKGMERCKPNIVTYNILLRGFAQARNVEQVNLLFKDLDESIVSPDIYTFNGVMDAYGKNGMICEMESILARMKINQCKPDLITFNLLIDSYGKRQEFEKMEQVFKSLMRSKEKPSLPTFNSMILNYGKARLKDKAEYIFKKMTDMGYKPSYVTHESLIYMYGFCDSLSRARDLFDDLVESKVRIRVSTLNAMLDVYCINRLPHEADSLFEMASSIKISPDSSTYKLLYKAYTKANSKELLDKLLKHMDKDGIIPNKRFFRDALGAMRSLPANSGSAVATTDSNNPQDLAKTPLET >OIW00289 pep chromosome:LupAngTanjil_v1.0:LG12:15155063:15158643:1 gene:TanjilG_27540 transcript:OIW00289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAQMIPIGYHTTQFLHEPDVFIHTSGGTRIPAHSTILASVSPVWKNIIDRPCKHRSSERVIQINGVPCNAVTAFVTFHYTSRCSEEEMEEYGMHLLTLSHVYMVPQLKQMCIKGLTQRLTVENVVDMFQLARLCDAPDLRLNCMKLLTNHFKAVEKTEGWKFLNRNDPWLELEILRLMDEHQTRKENSRRQRKEQGLYMELGEAMECLEHICTEGCTDVGPCHVEIKKEKMKPCTKFSTCQGLQLLIRHFATCKRRISGRCLRCKRMWQLFKLHSYICQQTDSCKVPLCRQIQYKMQQEKRKDDPRWKVLAKKVASAKVISSLTLPKRK >OIW00401 pep chromosome:LupAngTanjil_v1.0:LG12:13004909:13008764:1 gene:TanjilG_05751 transcript:OIW00401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLNCQLNLFAHSLYFTRNYNLNPPSIPNFPILNLKPFRSHKFASFRAHAHSIEPIVPNHDVSAGDFNFDSLLSLLEVTSLLSSTILTVAFAVNTVIKREILLAAIGNKSLLPLGVLLMVFGVLIGVWIRRRQWKRVCRETVKDGLEVNLLERIEKLEEDLRSAVTIVRVLSRQLEKLGIRFRVTRKSLKEPITETAALAQKNSEAARALAVQSEILEKELGEIQQVLLAMQEQQQKQLDLILAIVKNGKLGENKRKTSEKLETSNSAADEVNQEVHQIRSLS >OIW00748 pep chromosome:LupAngTanjil_v1.0:LG12:8016170:8017132:1 gene:TanjilG_19188 transcript:OIW00748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLAPEELQFLALPEILRESISIPKRSPKTFYLITLTLIFPLSFAILAHSLFTHPILAQLQSPYADPSQITHEWTLLLVFQFCYLIFLFAFSLLSTAAVVFTVASLYTSKAVSFSSTISAIPRVFKRLFITFLYVTLLMFAYNFVFVISLFLLVIAVDTDNSLLLFFSIVVILLLFLVVHVYITALWHLASVVSVLEPVYGYAAMKKSYELLKGRAKYAAILVCGYLVICGIIGGVFSRVVVHGGDSYGVFGRIVVGGLLVGVLVIVNLVGLLVQSVFYYVCKSYHHQGIDKSALHDHLGGYLGEYVPLKSSIQMENLDV >OIW00633 pep chromosome:LupAngTanjil_v1.0:LG12:10350399:10354129:1 gene:TanjilG_09114 transcript:OIW00633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDVVEVEKNLFAASDVKLHGEMCMALSVIYCKVLSVFPYLEAARPRSISRIRALCSLHIALEKAKNVLQHCSDCSKLYLAITGDSVLLKFEKARCDLEDSLKHVEDIVPQSIAFQEILDELVSVIFALDPSEKQVGDALIALLQQGRKFNDCNNSNELESFHQATIRLGITSSRAALTERRALKKLIERARAEEDKQKESIGSIKDGVSVGHYQAFDRQLSKLSSFNFKPNNRTSGQMPIPPEELRCLISLQLMHDPVIISSGQTFERVCIKKWFSDGHNSCPKTQQKLSHLCLIPNYCVKGLVASWCEQNGVPTPEGPPESLDLNYWRLALSESESKNSMSVNRVSSCKFKGVKVVPLDENGILEETEENGTESVSTEEEDAGQYLCFLKVLTEGNNWKMKCKVVEQLRLMLRDGKKTRNFMGANGFVEALLLFLQPALLEGNFMAQESGAMALFNLAVNNNRNKEIMLSSGVLPVLEEKISNSSCYGCATALYLNLSCLEDAKPMIGTSQAVQFLTQLLQDDSDVQCKQDYLHALYNLSTVPSNIPYLLSSGIINGLQSLLVGQSDCLWTEKCIAILINLATSQVGREEMVSNPGLISLLASILDTGELLEQEQAVSCILILCNRSEKCSEMVLQEGVIPALVSISVNGTSKGRERAQKLLMLFREKRQQDHSPVETRQCPTETSDLSTPPAETKPLCKSRSRKNSGKAFSFF >OIV99749 pep chromosome:LupAngTanjil_v1.0:LG12:16682374:16683783:-1 gene:TanjilG_26087 transcript:OIV99749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIGSFPFLNFEDFSTFDPSFSVDTKKRYRNEFEEEPLKTEDQFNDILDSILHFDTNQKENENENQLRFSMENPNQSNSSFQPQPINEFELVHHSPTKKPRRSNNPMQASVESGSVLPQQQRRLWVKDRSKDWWERCSHPDFPEQEFRRSFRMSKSTFDLICNHLDSTVTKKNTMLRDAIPVRHRVAVCIWRLATGDPLRLVSKRFGLGISTCHKLVLDVCKAIKTVLMPKFLPWPDSVAMKGIKEEFESQFGIPNVGGSMYTTHVPIIAPKQNVAHYFNKRHTERNQKTSYSVTVQGVVDPKGVFTDVCIGWPGSMPDDKVLEKSALYERASKGNLKDVKIIGNSGYPLMDWTLVPYTHQNLTWSQHALNQKIDEIQKVSKEAFARLKGRWSCLQKRTEVKLEDLPMVLGACCVLHNICEMMNEPMEPEWKFDIFDDEMIAENTIQSVSAEKARDHIAHYLLHHGRAS >OIW00493 pep chromosome:LupAngTanjil_v1.0:LG12:12537796:12540603:-1 gene:TanjilG_27606 transcript:OIW00493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSARNETDEVVVNVKDKDVSKTCEGSDSCVPFLQKLVAEVVGTYFLIFIGCASVVVNKGYDNVVTLPGIAIVWGLVVMVMVYSVGHISGGHFNPAVTIAFASTRRFPLLQVPSYVGAQLFGATLASGTLRLIFTGSHNKFAGTLPSGSNLQAFVFEFIITFALMFVISGVATDNRAIGELAGIAIGSTILMNVMIAGPVTGASMNPVRSLGPAFVHNEYKGIWIYIVSPILGAIAGAWVYNIIRFTDKPLREITKSASFLKESKSKRDNSK >OIV99775 pep chromosome:LupAngTanjil_v1.0:LG12:16906098:16906782:-1 gene:TanjilG_26113 transcript:OIV99775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRFIAKTLLLREASTASRWLNSPCSTILASSHHRNQSSQATKPKSQIPKIEREESDAGLKLLEDHIQRIIVKKATPDWLPFLPGSSFWVPPTPSPSTFFQNITAQRHHHNPLSLSLRGSPHSQNLHIPENESGSGGESSVEVNNTPEGVEVVTVKVKVLTIPENISLTEDEEG >OIW00221 pep chromosome:LupAngTanjil_v1.0:LG12:14491939:14498098:-1 gene:TanjilG_27472 transcript:OIW00221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHSLSNSEPDSTFPPNKRQRKTTTVTIDLKPLDLPLFPSSTTNDAVSTHHSIILHSNHPYTIGRSTRHCDFVFSDRHVSKRHCQLLLDASLNKLYILNGVLLPQNDSAECVVYEFRKRVMLPFNRGSYGHGVAFRESSNGVFVNGVEIRKGMASEVSIGDKVSLVCGNEDGSCCAQDRKIGFVVQRIDFDFEMNDLTLSGHSQGKRNKRVFAVSRYERIFGRTNFLLDRCREILLSDDPISCVMRAVSEIETGRFCVDGEVQSKLPRRVKSSLIEDKRTGLVQSSSAILHQNKVMLLEPEYAVQGDFCGEGNVGDVCGNDVVVSNGMVQSCSTLFCENKDMALEAKCDKGVFCGEGNGVLHENPDLLLLDSVREDDLPFGRNKQEKQSGGDFYPSPGKNFYLNRLEFMDHGSSGLRPSISLPELLYPIESISRMFIASFTTDIKWFLTYCKIPFHLPVTIACHNTQRCWSSRLDDRVFVPYKDYPNLVVVYPPFPESIAFSNNRKRHGIACHHPKLIVVQRRDSIRVIITSANLVEKQWNSVTNTIWWQDFPNVTSADFASLFPKTDDGDFRKDPKCDFASQLAGFMASLIIDVPSQAHWIAQLTKYDFGGAEGHLVASVPGVHFNGTVMPESVQALPSLGSVVTSVVGLSHLFRSAADSNNGRLKALASFLGKSSTDSFGRLEITLRRNFNVPADENAVSILVHNPDGTSEGDYVQLGFLPRNVAKWVSPLWDAGFFMFSGFVCPKEALAVALGENCTKVLLILNVSKGQQFRDISKMMQSEHIVAFSSLIASIRRCYGLWRLQEVLNQYRWPESLSSDIIYGASSIGSINSKFLAAFSVAAGKKSMQHFDSEESDPEWGCWNAREELKNPSVRIIFPTIERVKSAYNGILPSRHVLCFSERTWQRLKTLDILHDAIPHPPDRIGHPMHTKVLQRRFWSRRDAHSFGWVYCGSHNFSAAAWGRQISNPFGTKADGPQKIDPSMNSGLHICNYELGIIFTFPPTENDCSKVKSTKLDDIILPFVVPAPKYGSRDRPATMQAMREVIAELAEREMEKLAEEELMEDLLEEEEEMESANYVGEEKEDEEIDATNYVGEEKEDEKAYAEMLWSQVDSSQSS >OIW00079 pep chromosome:LupAngTanjil_v1.0:LG12:18933856:18934937:1 gene:TanjilG_26416 transcript:OIW00079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRFEGKVAIVTASTQGIGFSIAERLGLEGASVVISSRRQKNVDEAAHKLRSKGIDVFAVVCHVSNPQQRNDLIQQTIQKYGKIDVIVSNAAANPSNDPILQTPDSVLDKLWEINVKATILLLKDAAPHLHKGSSVVIISSIAGYNLPAAMAMYGVTKTALLGLCKALADEMSPNTRVNCVAPGFVPTRFAEFITSNETLRNELAEKTMLGRLGTTEDMAAAAAFLASDDASYITGETIVVAGGMPSRL >OIW00708 pep chromosome:LupAngTanjil_v1.0:LG12:8894347:8896196:-1 gene:TanjilG_09677 transcript:OIW00708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTLSTLSSSSLFSFSSKSKTSPSSLRFRPPLTTVRAQSSNNVDAPTTNPILHTLKSFAGAAIFTAAVAVKFSPLPARAEPPVTVTEEVQVVEETVVDDVVSPLSEFLETNEDAVEGLKSLLQQKLEVREDEEALKILKRLVAAQPEVNEWKFLLARLFSENGDIESARNVYEEILAVNPLSFEALFENALLMDRSGEGEAVIKRLEEALSVAEENNNTKEVRDVRLIIAQIQFLQKNVDEALGIYEDLTKEDPSDFRPYFCRGTIYSLLDKNDEAKEQFAKYRELSPKKFEVDGYLRTSLSRVKLFGADES >OIW00814 pep chromosome:LupAngTanjil_v1.0:LG12:6129428:6132378:1 gene:TanjilG_08109 transcript:OIW00814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPSLPLRNPSLPFIHFRPSTSLIRTRSFQPPLMSFSSFSSSSSSSTTNPEQQQKQNQNIDENKLTHVLKYHNQTKHAFNNYARGPHGLDWANQPNPFRRYLSSPLLSLEHLPTQKTPENEPLYSSLFLSLPSPKPISKSTISQFLYDSLALSAWKATSFSTWSLRVNPSSGNLHPTEAYIIAPAIESLSDTSLVAHYAPKEHSLELRAQIPSGFFSKLLPANSFLVGLSSIFWREAWKYGERAFRYCNHDVGHAIAAVTMAAAGLGWDVKVLDSLGCEELKSLMGLHVFPEFEIPSKPVKGKIPEIEFEHPDCVILVYPSGNNGFDVDYKELSSAILQFNKLEWVGKPNSLSKEHICWDVIYRTAEVVKKPLTLGDRFSVEPFGRSGVYSEASYKGFTVREVVRKRRSAVDMDGVTGIERDTFYQILAHCLPSGCQTGEKQRRQLALPFRALPWDAEVHAALFVHRVVGLPQGLYFLVRNENHFDELKKAMNPDFVWAKPEGCPDDLPLYELLRYDCRQVSKQLSCHQDIASDGCFSLGMLARLEPTLREKNVWMYPRLFWETGVLGQVLYLEAHAVGISATGIGCFFDDPGKVLVITIALRVVVWWQLFVVNVAYVAEFMLRMNSIQEIKKGVQCKRLPPSVVWGG >OIV99819 pep chromosome:LupAngTanjil_v1.0:LG12:17234046:17240460:-1 gene:TanjilG_26157 transcript:OIV99819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPSSAAGETNKIAVRSSIVESFSGCGLSGIRIDKEELKKQLTMPQYLRFAMRDSIRHQDPGAGESRYIRRNDGEDTATPLSPMVVFINPRSGGRHGPVLKERLQQLMSDEQVFDLSDVKPHEFVRYGLGCLEMLAGLGDTCAKETREKLRVVVAGGDGTVGWVLGCLTELRTLDREPVPPVGVIPLGTGNDLSRSFHWGGSFPFLWKSAIKRSLSKASTGPIHRLDSWRVSVLMPDGTSVDPPYSLKHTEEFTLDQDLEAEGELPEKVKSYEGVFYNYFSMGMDAQVAYSFHHLRNEKPYLASGPISNKIIYSGFSCTQGWFFTPCTSDPGLRGLKNILRMHIKKVNCSDWEQVPIPTSVRSIVALNLHSYGSGRNPWGKPKPEYLEKKGFVEADVADGLLEIFGLKQGWHASFVMVELISAKHIAQAAAIRLEFRGGEWENSYLQMDGEPWKQPLSKDFSTFVEIKREPFQSLMISGE >OIW00796 pep chromosome:LupAngTanjil_v1.0:LG12:6469723:6470158:1 gene:TanjilG_18106 transcript:OIW00796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVSSNMKGFYRQKKNTITNTANKSSKSSNKQPIHDVMLSPSTAAHGDEYNENETMLRQFDMNMAYGPCVGMSRKERLDRAQKLGLNPPIEIKKILENEKVQSQSLWDGCI >OIW00575 pep chromosome:LupAngTanjil_v1.0:LG12:11020449:11023089:-1 gene:TanjilG_14801 transcript:OIW00575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVTAGVSDTVIAIRDKLRGKIGQTKVQRYWPGKVPEWADEENEDVAADIRPTKEAALEQAFPRREENAAMVRGDDRRLRRLLESRIDNREEIRADHRRIRQAEIVSTMEEEARRQEGLDLEEEDVDALTEKRLKLREKALQRQQEEVLPQEEEDEEEEEEEEEESEYETDSEEEYTGVAMVKPVFVPKSERDTIAERERLEAEELALEEATKRRLEERKIETRQIVVEEIRKDVEIQKNLELEANIADVDTDDELNEAEEYEAWKVREIGRIKRDREEREAMLKEKEEIEKVRNMTEEERREWERRNPKPAPPPKQKWRFMQKYYHKGAFFQDDPDDHAATARSDNIFTRDFSAPTGEDRMDKTILPKVMQVKHFGRSGRTKWTHLVNEDTTDWNNPWTYNDPLRAKYNDKMAAMNAPIAKPKGSKKLKDWESRS >OIW00572 pep chromosome:LupAngTanjil_v1.0:LG12:10918119:10918606:1 gene:TanjilG_14798 transcript:OIW00572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVTIKSISIFLFFLGLIFQGYCKPCSLGDLSVKKSKTGVKIQGKPEWLVTVTNNCHCGQSQVILNCRGYKTVEPVDPNILTYSGTDYCLINYGKPIYKQPVTFKYAWDEAFSMSPNSSQMAC >OIV99777 pep chromosome:LupAngTanjil_v1.0:LG12:16916463:16920843:1 gene:TanjilG_26115 transcript:OIV99777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDLHIMPGSRNRPNIKKPMWIIVLVLFVCAFLICAYVYPLRSSSACYVFSSRGCKGFTDWLPPVPVREYTDEEIAARVVNRDILNSPPFVSKHPKVAFMFLSPGSLPFERLWDKFFQGHEGKFSVYVHASQTKPVHVSRYFLNRDIRSNQVVWGKISMVDAERRLLANALQDPDNQQFVLLSDSCVPLYNFDYIYNYLMTTNISFVDCFKDPGPHGNGRYSEHMLPEIEVKDFRKGAQWFSMKRQHAVLVMADHLYYSKFQAYCQPGLDGKNCIADEHYLPTFFQMVNPGGIANWSLTHVDWSERKWHPKSYRIQDITYELLKNITSIDVSVHVTSDEKREVQRWPCLWNGIQKPCYLFARKFTPETLDKLLHLFSNYSTP >OIV99871 pep chromosome:LupAngTanjil_v1.0:LG12:17591672:17593648:-1 gene:TanjilG_26209 transcript:OIV99871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESFHQFRLWVLAFCFLTFQSCNGFYLPGSYPHKYDVGDDLWVKVNSLTSIDTEMPFSYYSLPFCQPEGGVKDSAENLGELLMGDRIENSPYRFKMFTNESEVFLCRVDKLSADQFKILKKRIDEMYQVNLILDNLPSIRFTKKDDYFLRWTGYPVGIKVQDVYYMFNHLKFNVLVHKYEETNVARVMGTGDAAEMIPPIGKEKSEKPGWMVVGFEVIPCSIMHNADSVKNSKMYSKYPSPIKCDPSLVAMPIKEGQPLTFTYEVTFEESDIKWPSRWDAYLKMEGAKVHWFSILNSLMVITFLAGIVLVIFLRTVRRDLTRYEELDKEAQAQMNEELSGWKLVVGDVFRAPSNPSLLCIMVGNGVQILGMAVVTILFAALGFMSPASRGTLITGMLFIYMILGVAAGYAAVRLWRTVGCGDQKGWASVAWKSACFFPGIAFLILTTLNFLLWGSHSTGAIPFSLFVILILLWFCISVPLTLVGGYFGAKAPHLEYPVRTNQIPREIPQQRYPSWLLVLGAGTLPFGTLFIELFFIMSSIWMGRVYYVFGFLFIVMILLVVVCAEVSLVLTYMHLCVEDWRWWWKSFFASGSVAIYIFLYSVNYLVFDLKSLSGPVSATLYLGYSLFMVLAIMLATGTVGFLSSFWFVYYLFSSVKFD >OIW00973 pep chromosome:LupAngTanjil_v1.0:LG12:901267:903418:-1 gene:TanjilG_16222 transcript:OIW00973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKQIIMYTSLNLGKPSYLAKDMRPLFGQGILSSSGTIWAHQRKIIAPELYLNKVKKAMVNLIVDSTNIMLRSWDTRLQSEGGVSEIKVDEDLRSLSADIISIACFGSNYVEGKEIFTKLRDVQRVLSTIYAGIPGFRFMIYNCKNIFFAEYETTATATSWCLMLLALHQDWQDRVRAEVLEVCGSDPPNADMLRNLKALNMVIQETLRLYPPAPYVVREAYQNINLKGIVIPKGMNIQIPIPLIQQNPKLWGPDAHKFNPERFSKGVSEASNFSQSYIPFGIGARICPGQNLAMVELKVILSLILMKFRFSLSPSYCHSPAFRLVIEPDQGVVLKMTTI >OIW00550 pep chromosome:LupAngTanjil_v1.0:LG12:12269878:12270168:1 gene:TanjilG_24280 transcript:OIW00550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLNSLVVFSVARFSAQAWQWLICIRDPFSVEELFDILFTFPFQQLHRLALGLWDFFCLQHPYDFMFSYLPSDEDDVYSVNTFDYDDGFYYHSHSD >OIV99913 pep chromosome:LupAngTanjil_v1.0:LG12:17925592:17927970:-1 gene:TanjilG_26251 transcript:OIV99913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSILPIMFLLSLMLLTNEATAEKNQSNIIELGSWLSPSGNHTSWVSNSGHFGFGFYHQGNGFAVGIWLIDDNTVVWTANRDSPPLSFNSKLIFSNNGRLLLQKEEGHAEDLVPDVITESAASASMLDSGNFVLYDKNYSVIWQSFDNPTDTILGGQNLTEGNKLVSSMSESDHSSGHFFLNMQSDGNLVAYPVNSSAGPHDAYWAYYYYYYPRKLSVDPQGFLCRNNFQCLSNSTSLSSNSNNTTPPIYRATLDVDGNFRLYVHQFEGNTSSRVQMLWQAISDECQIKGFCGLNSYCSNISGKAACQCYPGFVPSSSNNGGNSTMFLNCKSNHSKSDCQSSEDPMLYNITSFEDMSWGDYPYSVIPLNLEACHRSYREDCDCGAVLYTSGNCKKYKLPIRYGRRLQNASGIALFKVPSENVISASSQKQPKLLVDNKKRLIIVLASSLGSVLLFCLAFAVFIFFTYRRQVCRYTSLSESANLGFTGECSLRSFSFDELVQSTGSFIEEIGRGSFGAVYKGTIGDSNTRIAVKRLEVIVDEGEREFRAEITAIARTHHRNLVKLIGFCIDGSKKLLVYEYASNGSLANLLFKSEKHLSSRDRIKIALDVARGILYLHEECEVRIIHCNIKPQNILMDEAWTAKISEFGLARLLKPGHSRTRPGDEVKSGYLAPELQKKEASVSVEVDIYSYGVVLLEIICRRNNIDANASSVEEIHLSSWVYRCFVAGELNKLVADGGEDVDWRIMEIMVKVGLWCVHDDPSLRPSMKTVILMLEGLKDIPLPPSPAYLAV >OIW00034 pep chromosome:LupAngTanjil_v1.0:LG12:18682894:18683868:-1 gene:TanjilG_26371 transcript:OIW00034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTSRTKSDAYASSLKGPHEPNQAQNCLSLVQRNTCSSGERRGRKKQAEPGRFVGVRKRPWGRYAAEIRDPTTKERHWLGTFDTAQEAALAYDKAALSMKGNQARTNFVYSHNINFHTLVTSQIDVQIQPLLPTSQVLGTNTQTNQKTMSQLNIENMCVVETANSSSTYQDDNLFFSNDCSSGYLECIVPDNCFRPGSGSSTNSSYSRKSISCAPSNDDQKVNSLNNTNYIESTLLLDQMASSFSPQEGLWNNQQYSWDWNSSELSAIFNNNNNSNALRVEHDGCMDHNSESSPSYGLMNEAASSTICFPPFEDVDLGYHQLL >OIW00298 pep chromosome:LupAngTanjil_v1.0:LG12:15218384:15222882:1 gene:TanjilG_27549 transcript:OIW00298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSTNTLSPNFSILNPNLTPFPKPRTFTFQPNPVFFKSLRCRSKPINPFSCSSVTLTHSETVPSKLQHLVDEFHSLSDPLDRLKRLLHYASLMPQMDESSRVDSNRVMGCTARVWVDARLDRDGKVRFSAESDSEITRGLCACLVWVLDGSKPEEVLKITTNDLVEVNVVGLGGGSGSRSRVNTWHNVLVSMQKRTKMLVAESEGKVPFEAFPSLIVTADGVVPKGSYAEAQAKYLFPNVLKVDELVNVLKEKKIGVVAHFYMDPEVQGILTAAQKQWPHIHISDSLVMADSAVEMAKAGCQFITVLGVDFMSENVRAILDQAGFNEVGVYRMSNELIGCSLADAAATPTYMKYLEEASRSAPSLHVIYINTKLETKAYAHELVPTITCTSSNVVQTILQAFAQVPDLSIWYGPDSYMGANIKELFQQMTKLTDDDIAVIHPAHNLDSIKSLLPRLNYFQDGTCIVHHLFGHEVVEKIKEMYCDAFLTAHLEVPGEMFSLAMEAKRRGMGVVGSTQNILDFIKDRVREALDRNVDDHLQFVLGTESGMVTSIVATVRSLLKHAKSSSQGAKVTVEIVFPVSSDSISKTSSSSSSSHHSVQLGDIMLPIVPGIASGEGCSIHGGCASCPYMKMNSLGSLLKVCHNLPDQENILSEYKAAEFKLQTPNGKSVADVGCEPILHMRNFQATKKLPERLVGQILHRQDNGM >OIW00731 pep chromosome:LupAngTanjil_v1.0:LG12:9239384:9239638:1 gene:TanjilG_09700 transcript:OIW00731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDAANKADCELIFKRIDKNGDGKISLDEFGDAVKASGTCGNVDVPAKMKEIDKDGDGFISLDELWEFFSAHPQMLKEAVTKIA >OIW00131 pep chromosome:LupAngTanjil_v1.0:LG12:15849757:15857571:1 gene:TanjilG_29121 transcript:OIW00131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSLSRELAFLILQFLEEEKFKETVHKLEQESGYFFNMKYFEEKALAGDWDEVEKYLSGFIKVDDNRYSMKIFFEIRKQKYLEALDSNDKAKALDILLKDLKVFSTFNDDLFKEMTHLLTLDNFRNNEQLSKYTDSQSARSIMLTELKKLIEANPLFRDKLIFPSLKASRLRTLINQSLNWQHQLCKNPRSNPDIKSLFTDHTCSPPPNGARASTPVTPPITAVAKPSFYAPLGAHGGQFPPAPAAANVNALAGWMVNPNPSSSIQSPLLGASLFPGHPNQVPVLKHPRTHSNALGMMDYHNADHEQMTKRLKLSQSVDEVTYPAPPQQASYSLDDIPRTVVCTLHQGTTVSSMDFHPSIHSLLAVGCINGELSLWEAGLRERLISKPFKVKDIAACCNCEGFTYIRQPRIMESRWKFYRGGFLKTLDSFIDAHVGGVNDLTFSYPNKQLCIVTCGDDMLIKVWDLTGRKLFNFEGHEGPVHSVCPHQKESIQFIFSTAADGKIKAWLYDNAGSRVDYDAPGQWCTRMSYSADGNRLFSCGTSRDGDSFLVEWNESEGALKRTYSGFRKKSVGIVQFDTAKNRFLAAGEDNQIKFWDMDNINVLTSTDAEGGLPSLPRLRFNKEGNLLAVTTTDGGVKILVNANGMKYLRAIEARSYEASKVGPSMAANINPLINKVEHVDRSSHAVPSVLNGVGSMAQSIEKQRSLDKAPDNPKAGELTEIVDPVHLRTITMPDSTDLANKVVCLLYTNSGAGLLALGTKGIQKLWKWNRNELNPSGKASASAMPRHWQPSSGLLMTNDVPDNSEEVVPCIALSKNDSYVMSACGAKVSLFNMMTFKVMATFMSPPPSSTILAFHPKDNNIIAVGMADGTIQFYNVRVDEVKNKLEGHNKRITGLAFSSHLNILVSSCADGQISFWNIDSWDKKKSLPVQQRDGKALVGDTRVQFHSDQVHLLVWHESQLAIYDASKIELVRQWGPQDGLSASISSATYSCNGQLVFAAFADGNIRVFDADGLRPKCRIASSAYLHQTSSNSQNVYPLVIAAHPQDSNQFGVGLSDGSVKVIELPESNVRRGVSASIDNGIQNGRTASPSVTKN >OIV99865 pep chromosome:LupAngTanjil_v1.0:LG12:17558751:17562771:-1 gene:TanjilG_26203 transcript:OIV99865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRPKRKATDVDSSLCSGPKKSTSKQLDRIDHLFESYTNKSLGLIDPEGIEALCKDVHVDHTDVRMLILAWKMKAEKQGYFSKDEWRRGLKCLGADTLTKLRKAIKGLNQEVMVPECFEGFYSYSFQYCLTEEKQRSVDIETICELLNIVLGSEFPSQVKSLIEYLKIQTDYRALTMDHWRNIYRFFKEVSFVDLQSYDSSQAWPVILDNFVEWLKGKEEKN >OIW00553 pep chromosome:LupAngTanjil_v1.0:LG12:12316643:12323434:1 gene:TanjilG_24283 transcript:OIW00553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTLTPFLTSISHSSKTLFSTKQNSFSKSLTFTSRSNNLHIAAAADNTKLIDNNTPISTKDSSVRSKVRRHTISVFVGDESGMINRIAGVFARRGYNIESLAVGLNEDKALFTIVVSGTDRVLQQVMEQLNKLVNVLKVEDLSSEPQVERELMLIKVHADPKHRAEIKWLVDIFRAKIVDLSELSVTIEVTGDPGKIVAAQRNLSKFGIKEIARTGKIALRREKIGASAPFWRYSAASYPDLERPRTINPLVATRNKSPVSKIDIPAGGDVYPTEPSDGFTFNPVLDAHWGVLIEEDTSGIQSHTLSMLVSDTPGVLNIVTGVLARRGYNIQSLAVGRAEVEGISRITTVVPGTEASIGKLVQQLYKLVDLHEVRDITQLPFAERELMLIKIAVNAAARRDVLDIANIFRARAVDVSGHTITLELTGDLDKMVALQRLLEPYGICEVARTGRVALIRESGVDSKYLRGYAFPL >OIW00506 pep chromosome:LupAngTanjil_v1.0:LG12:11777912:11778385:-1 gene:TanjilG_24236 transcript:OIW00506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQEKDSEGIKLFGTTITLHGKQKSEDKKESECEKEKKKVDKVMVCPRCKSMETKFCYFNNYNVNQPRHFCKGCQRYWTAGGALRNVPIGAGRRKAKPPGGFLDSGFYEESTVQKFGVHEGLILEEWKVATVDHHDYRQLYPSKRQRISSSGTGQPC >OIW00500 pep chromosome:LupAngTanjil_v1.0:LG12:11738251:11738727:1 gene:TanjilG_24230 transcript:OIW00500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSPGGSSSLQNSPYGSEGDQQPQHVMDQKKRKRMLSNRESARRSRMKKQKHLDDLIAQVDELNKENNQINTRVEITTQHYFKIEAENAIIRAQVEELSNRLQSLNEIIDSINSPCNYIIDEADQETLFNDCGFMMDPWNYVNVNQPIVVSADMLMY >OIW00290 pep chromosome:LupAngTanjil_v1.0:LG12:15171167:15171391:1 gene:TanjilG_27541 transcript:OIW00290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKDSCLARVTAGVAVGGAVGGAVGAVYGTYEAIRYRVPGFLKIRHIGQTTLGSAAVFGLFLGAGSLIHCGKSY >OIW01042 pep chromosome:LupAngTanjil_v1.0:LG12:290548:296210:1 gene:TanjilG_14225 transcript:OIW01042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYADRVESGARISVKERLHGNSTDSTRHQPQFTRKRQRQDDKWEHDLFADDEPQNTNRKVTAQDLRLKLQRKGLQPAAQSGKSSAPHMRDLRERLSGTVTQQPKNSDPPKSKVVVKPSSRSVGVEPPTVQTKRPANPAPKKLSQKVDTSVDEFLQSLGLEKYLITFQAEEVDMTALNHMTDEDLKAMGIPMGPRKKILLALESKV >OIW00880 pep chromosome:LupAngTanjil_v1.0:LG12:3387580:3389030:1 gene:TanjilG_22678 transcript:OIW00880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGTTTTGEKSGRFPLSEVVADCVKRWFTDTMKEAKAGDINMQVLVGQMYYSGYGVTKDAEKGNYWLTKASKTRSAVWKVGDKHPGYNASDSDSEELKENS >OIW00642 pep chromosome:LupAngTanjil_v1.0:LG12:10174345:10182791:1 gene:TanjilG_09123 transcript:OIW00642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREEVISSVGTIDPTPAASSAGASSPAVPRNFGSVDGSNHGQGSKAASISCVGSQPPRNSLSTSAGGSAFGSSRSSCRPWERGDLLRRLATFIPLNWLGKPQVISSLACAQKGWVNTGADKIACESCGACLNFTSLSSWTLTEAQNASESFARQLDSGHKVSCPWRGNSCPESLVQFPPTLQSALIGGYKDRCDGLLQFHYLPVVATSAIELMSVSRGPQIERLLSQSQNLMSGEVDLLESSQDEAYSLFYRAQTLISLCGWEPRWLLNVQDFEEHSAQSERNGYSFGPSKTQLQLALDPGPKALSASTKVDARKGKAPLKEFRLDCRSPLLDCSLCGATVRISDFSTVPRPARFEPNNIDIPDTSKKIGLTRGVSAASGISGWVAADDTEKDQTEDRDEVATNEGKLLANEDLDLNLTMSGGFPFTPLGRTATSGNTQDEDMGRDLMIGQPAESETGDRAASFESRGPSSLKRNREKGGSSDDRPVLRLQQQADSVEGSVIDRDGDEITDGGQYSAGPSKRARDSDIFDTYYSPNQRESSGAGPSHSMGFDAYAAGNRVPPFLQGSERLVGIQSARDSTRASSVIAMDTLCHSVNDDSMESVENYPGDLDDVHFPSSCTYGNVDMNETSEHNNSNQAQQSTCFRTNTEVVRGEVGVSSTNYGEELFNAETVTAQARDGISFGISGGSVGMCASHEAEIHGADISVHRADSVVGEMEHRVEDAENQGQTGESVPDPGLMDEIVPEDMNRNDPIGDSQEMMYHSAGRADSGSKIGCSMKAESVESGEKISQNCNLPPANNSHPSRSYNANIYSGCEKTKEEMKKDGKSSFTNNCVIPESDFAGANGIDNFWRLFSFLGGWSSGSPPTSSVRLRSIIMATAVLAVFIKCHVENGFSNSFQGPPKGEINYEEAVEFDPIVYHNQYCPWVNGNVAAAGCASSVPSTSSDAIALCGWQLTLDALDALRSLGHNAIPTVQSESAASMYKDL >OIW00755 pep chromosome:LupAngTanjil_v1.0:LG12:7842753:7843241:1 gene:TanjilG_19195 transcript:OIW00755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENDSNRIVSRIQDGVISRRNVYLGLHLEIFYAEDIDNPSNYPDVFNREYRLVVWVNPNEEFSTIPVEGLLNPVWNASGVILLKKFPGYHTFLNVEVLRVNSMNDPGTSSGVIIVGKVKIPLPKILYGETIGRFELVREMGEGFKFEGHIHLSMKLRKYIYV >OIW00338 pep chromosome:LupAngTanjil_v1.0:LG12:15526866:15529024:-1 gene:TanjilG_27589 transcript:OIW00338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTYSEDGVVKQALVRFMDQLAVGSPQRNAWYWGWNLASDPCTSIWQGVTCSEEGYVEAIVLDGSSLYGTIDFTSLCKAMSLQILSLNRNSLHGFISKDIGACKSLTHLYLSENNFSGDLPSSLGGLENLHWLHVANNKFTGELPNMVHVPGLISLLAENNKFSGEIPDFDFSKLMEFNVSNNNLEGLIPDVKGKFQSDSFSGNRNLCGNPLPMACPPTPPKKDRESLINSLAIYSGYALLALIILFFFAYKLIRKFKAKKEPLIVNKEVTHEPSGVTPTEFSFENKSGIEMKSEYSMTSLESEMNTSTLVVLSSPGPYALRFEDILRAPAELVGRGMHGSLYKVWLDNGVFLAVKRIRDWGISNQDFERRMNKISQVKHPYVMSPVVYYCSRLEKLLAYEYMENGSLFKMLHGSQSGQSFDWGSRLSIAANIADALAYMHEELRESGIAHGNIKSNNILFSKNMDPCISEYGLMVIETQAQSALSRTRSKKSNNVTTHGAYSTFKVDVYAFGVILLELLTGKVVQENSGFDLAQWVNSVVREEWTVEVFDKHLISQGASEERMVNMLQVAFKCINPSPDERPSMIEVAAMTISLKEEDDRSSITF >OIV99873 pep chromosome:LupAngTanjil_v1.0:LG12:17605854:17606171:1 gene:TanjilG_26211 transcript:OIV99873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGAAGDGLFRWVYSGCISNYDNCVERRPYHRNCGCALHNKSPKNCTHKVTRCNNVSYPMRRAWSEGNLVLADSSIHFSSPSSPSITDKVRPPLSLLCKHAERE >OIV99911 pep chromosome:LupAngTanjil_v1.0:LG12:17920361:17920862:-1 gene:TanjilG_26249 transcript:OIV99911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPMMSAQGVVFATVMAFSGTVILLALRLHKSFPVHEIPHSSSPILRSCLSSDERKREKNKKKRVQFAKDVVDSSKDSEDFRKQHKCLKSKSESKIQKNCNRGMVANREALYNGILRDRGALRLSYYF >OIV99715 pep chromosome:LupAngTanjil_v1.0:LG12:16471500:16474767:-1 gene:TanjilG_26053 transcript:OIV99715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATIILWSWETTPSLTAFPSPKKTLQLSSEILSSVSDEKPKHERILEAGKNHGIRTFPTTTTSEAKRSEAEGKKTSKLKEHRKAFVKSSPQRSSSTGKKENIWNNATEIKACNYAKGKWVPDNNRPLYSGLGCKQWLSPMWACRMMQRTDFAYEKLRWQPKGCQMEDFEGLKFLKRLQNKTLAFVGDSLGRQQFQSLMCMITGGEEKTDVEDVGEEYGLVIAEGNARPNGWAYRFPSTNTTILFYWSAILCDVEPIDVNNPQTDYAMHLDRPPAFLRQYLHKFNVLVLNTGHHWNRGKLKANRWVMHVGGVPNTDKKIAVIWGAKNLTVHSVVSWVNSQLPKYPGLKAFFRSISPRHFFGGDWNTGGSCDNTKPMSVGKELLDEESSDPVAGNAVKGTGVKLLDITGLSQLRDEGHISRFSLTAQPGVQDCLHWCLPGVPDTWNEILFAQI >OIW00588 pep chromosome:LupAngTanjil_v1.0:LG12:11213643:11219062:-1 gene:TanjilG_14814 transcript:OIW00588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPNHKNLSTDLQHIKLIQWEDFEHDLARLSSLSSALNESKNKKKILQDKLESLIQANSESLGRLNELEEMHQKLEMKKMMMESMAIRSRLVKEDVSKQEEQLSGAVQSLLVAGGALSESSRLLSEEEGYVRLRNLQKMLRVRQQYMASQISMLYPVKILVGPAQEQELEAYPAGSVAGTSAGLKPINQGSLTIQGLHLTMLSFRKVSFFTDKKEFQRSATALGYVAHAVSLMASYLQVPLRYPLRLGGSHSYIIDKAPSIESTSSDVSSSALSFTNAKHMEFPLFLEGQDTTRAAYAVFLLNKDLEQLLNFIGAKSLGPRHVLANLRELSRIIQSSAFIDNLI >OIW00694 pep chromosome:LupAngTanjil_v1.0:LG12:8586207:8589475:1 gene:TanjilG_09663 transcript:OIW00694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQKGPAGEDIHGGRTVVVGVKLDSYSNELLTWALAKVAEPGDTVVALHVLVNNETVNLDGKLSLLSLVKAFDPVLAVYDGLCNLKQVDLKLKIRKGSSVKKVLVQEVNAYAASNVIVGTSQRRHKIRSSKSVAKYCARKLSKDCCILAVNNGKVLFNHCRDASPLNAVVADLQGIDCHCRNGLSGSNHWTLNKSSKVLSDDTKSMDVDKGAGRISDNSLAKLFLDSTETVRRESCSICGPTLALPDISCHQSEEGSSGEDGNENSLAILPVKTIGPSQLEFKPGWPLLRQKSLSARQHFGRSSLRHISVVQWAMRLPCRHLPYAADHDHRPDNFDRGQDQYAALDSETGALVAVGAASATAHSHEYNSVSIPRELEGLHDKYSATCRLFQYKELVSATSNFLPENLIGKGGSSRVYKGCLRDGKELAVKILKPSDNVLNEFLLEIEIITTLHHKNIISLFGFCFENDNLILVYDFLSRGSLEENLHGNKKNPIVFGWTERYKVAMGVAEALDYLHCKDDQPVIHRDVKSSNVLLSEDFEPQLCDFGLATWASASSSHITCTDVAGTFGYLAPEYFMYGKVTDKIDVYAFGVVLLELLSGRKPISNDYPKGQESLVMWASPILDSGKTLQLLDPSFGDNYDHEEMERMVLAATLCIRRDPKARPQMSLISKILQGDTDVIKWARLEVNASEAPEMLDDEACAPSNLQSHLNLALLDVEDDSLSMCSVEQNVWLEDYLRGRWSCRSSFTEHTTANC >OIW00680 pep chromosome:LupAngTanjil_v1.0:LG12:8325729:8326229:-1 gene:TanjilG_09649 transcript:OIW00680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESHSPTPTLSPTISTLHDNYNHHPQEHSGFDWMVLVAAILCAFLCALGLNTMLQCVFQCASRVFTEPMQWIASRRLNSGLKKKEMVALPTSTYSNSGSPSSSSPSNCAICLAEFFDGDQIRFLPMCNHSFHVVCIDKWLLSHSSCPTCRHLIRSNDLPHSILPA >OIW00732 pep chromosome:LupAngTanjil_v1.0:LG12:9259101:9259343:1 gene:TanjilG_09701 transcript:OIW00732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADRAECERVFKRFDVNGDGKISLVEFADALKVLGLTSQDEVQRRMIEIDKDGDGFITLEELVEFQNSNPDLMRDVLKKL >OIW00428 pep chromosome:LupAngTanjil_v1.0:LG12:13247139:13247711:-1 gene:TanjilG_05778 transcript:OIW00428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVGGTLEYLSDLMGSGHHHKQKRKQLNTVELKIRMDCDGCEMKVKKTLSSLSGVKSVEINRKQQKVTVTGYVEPNKVLKKAKSTGKKAEIWPYVPYNLVAQPYAATSYDKKAPPGYVRRVENTAATGTVTRSYEDPYINMFSDDNPNACSIM >OIW00123 pep chromosome:LupAngTanjil_v1.0:LG12:15792930:15793640:1 gene:TanjilG_29113 transcript:OIW00123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENDDSNDNGNEAEYAFNGKMLLICSVILFIAVIIMLSVHAYFRLYFLPARHRQLLRRIRSRSRRNRRSLPFFYIDPTLTAVSRGLKASVITSLPVFTFSKNNDPIECAVCLSEFEDGETGRVLPKCKHSFHTECIDMWFVSHSTCPLCRVHVEDSSDGETRAEVVVTLCESETGSSSEEVNQTHVLVRSSSLEPVCDSATTSFRSTLSRKLSFKRNFSKECKEPSDVEQGGEETQ >OIW00125 pep chromosome:LupAngTanjil_v1.0:LG12:15820673:15820930:1 gene:TanjilG_29115 transcript:OIW00125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSASLRDIGLEGFALIDRFYGAPSVPRRSHTKGVFPARKGRWVVQVPHDELEEPVMNSKEVAARFGGVIDVTYFKGKPQVRCG >OIW00948 pep chromosome:LupAngTanjil_v1.0:LG12:1892323:1896922:1 gene:TanjilG_10026 transcript:OIW00948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSTMVLFRLLITLLIVPFLFSSIVSGYSLSDIKKWCSQTPNPKPCEYYLSDNAFNKPIKNKSDFLKVSLQIALDQAQKGQENAHSLGPKCRNSKERAVWADCLELYEYTVQRLNQTINPNTKFIEVDAQTWLSTALTNLETCKDGFNDLGVSDNVLPLLSNNVTKLISNVLSLNKVPDQQQSYKDGFPTWVKPRDGKLLRSYAIAQANVVVAKDGSGKYKTVKEAIDGAPQSSNDRYVIYVKKGTYNEQIVIKAKNIMLVGDGIGKTIITGSKSVEGGSTTFNSATVAAEGYGFMAQYMTFRNTATTTQAVALRSGSDNSVFYKCGFEGYQDTLYVHSQRQFYRECDIYGTVDFIFGNAAVVLQNCNLYARNGYQNTVTVTAQGRTDPNQNTGIIIHNSKFKGAPGFKSKSVKSYLGRPWKEYSRTVFLKTYLDSLINPAGWMEWDGNFALNTLYYAEYANTGPGSSTKNRVKWRGYEVITSASKAEPFTVGKFLDGNSWLPATGVPFTSGL >OIW00757 pep chromosome:LupAngTanjil_v1.0:LG12:7807835:7808323:1 gene:TanjilG_19197 transcript:OIW00757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENDLNRNVSRIQDEIIPRRNIYLGLHLEILYAKDIDNPNIHSYVFNREHRLAVWVDPNENFSTIPIEGLLNPVWNATGVILLKNFPKYHAFLNVEVLRVNSMNDPGSSSGVVIVGKVKIPLHRVLYGEKIGRFGLVREMGEGFKVEGYIHLSVKLRKYIYV >OIW00653 pep chromosome:LupAngTanjil_v1.0:LG12:9854008:9855858:1 gene:TanjilG_09134 transcript:OIW00653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMAPSSISLNSNIWTKPQVPHALFSYSSSIFDLGFPIKSTITFKMKPISIPNNAIQCALHTPPVFNFPKQPYNKTLITKEKTLPDTDTKTEIHNPTKPLWNPFQRVAAAALDMFESALLSREQNQPLPKTTDPRVQICGNFAPVPEQPVIHSLPVTGTIPEAVNGVYLRNGANPMFEPTAGHHLFDGDGMVHAVTINDDAASYACRFTETERLVQEKKLGRAMFPKAIGELHGHSGILRLLLFYARGLAGIVDHRHGAGVANAGLIFFNGKLLAMSEDDLPYELQITPSGDLKTVGRFNFSGQLNSAMIAHPKLDPYSGELFALSYDVTKPYLKYFRFSPEGEKSPDVEIRLSVPTMTHDFAITENFVVIPDQQVVFKLPEMIKGGSPVKFDGAKKSRFGILPKYAKDENSVIWVESEDTFCFHFWNAWEETETNEVVVIGSCMTPPDSIFNESDEHLKSVLSEIRLNLKTGESTRRSIIPEINGHVMNLEVGMVNRNRLGRKTRFAYLAIAEPWPKISGFAKVDLLTGELKSHNYGNNSYGGEPFFLPKNDNFGEEDDGYILAYVHDESTWESELQIVNAMDLKVEATVKLPSRVPYGFHGTFVEAKELAKQVL >OIW00793 pep chromosome:LupAngTanjil_v1.0:LG12:6751512:6755988:-1 gene:TanjilG_19598 transcript:OIW00793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLVKTPLTMWKHFRISLNFFLISEEANRQIPADSYGLSVTETKLAWFVHIVAAILKAKQTSNFGGESNEILDAELAARTLQLIYIFDTGLHSRRYGDVSKQRLDRAILTFLDYLRRCYIGDQSVLSSKLYARLSELGLHDHTLLLNAIVGKIATNLKSYTKCKEVIDQTISLLLEMASGYVTAKLLFKLDTIKHIISNLNREQFPFLENWDCFRSRTTLYYAIGMLVFMEDSPMKFKSSMEQFLQVFVRLESTPDALFQSDAVKYAFIGLMRDLRGMAMATNSRRTYGFLFYWLYPARMSLVLKAIEYCADVPEVCFLLFIL >OIW01062 pep chromosome:LupAngTanjil_v1.0:LG12:477686:498471:-1 gene:TanjilG_14245 transcript:OIW01062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVKNSADGLKNDGIQRKGPEILHRHNFEAQRNLKSNDALNHIQDLDAMELYSQARVKEEEILSLREQIAMSRVKELQMLNEKCKLERQFSELRMAVDDKQNEVITSASNDLARRKGDLEENLKLAHDLKAVEDERYIFMSSMVGLLAEYGLWPRVMNASAISNSVKNLHDQLQWRVRSSHDRIGKLNSALESHADNGNHIVEGQGSGNLVSNVYNEFMIQHNFSQQNLFWNEQNPQPMSKMHPVLNGDVNWAYNTADYQEISKADREVSFPYGSIDNIGVQDRTIERKFVDSNEIASSASEDGPGIEGFQIIGDAIPGEKLLGCGYPVRGTSLCMFQWLRHLQDGTWQYIEGATNPEYIVTADDVDKLIAVECIPMDDKGRQMDSSENWEPATMFLRRSGYQIKINATEAVVVAENFSKDLSIKVPCGLSTQFVLTCSNGSSHPLSTYSVSCGSKYSEMIASETLVMGVAPIMIHFDDSEMGFEAEEGGCKCGSNCTCDPCNCK >OIV99910 pep chromosome:LupAngTanjil_v1.0:LG12:17917342:17918090:-1 gene:TanjilG_26248 transcript:OIV99910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVRSSVKKMCEFCRTVKRRGRVFVLCTANPKHKQRQGMSTFANQHSSIPQSSEISRAKQEIVPTHSSRTGLASLIPQRHSLSMLYGWRVGLASVLAKK >OIV99856 pep chromosome:LupAngTanjil_v1.0:LG12:17518383:17520268:-1 gene:TanjilG_26194 transcript:OIV99856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENTDTKLTSSSPRLPPPPISAEEAEPKKLKMSTTTSDDEECTTATGTKIRYKRRKIAIFFAYCGVGYQGMQKNPGAKTIEGDLEEALYASGAVPEHDRGIPKQYDFARSARTDKGVSAVGQVVSGRFYIDPPGLVDRLNSILSPQIRIFGYKRVTGSYHAKKFCDRRRYVYLLPVFALDPFCHRDREAVMASLGSDSEFVKCFECSERGRKVVGLFGNGKRNMEVKVLDVEAGISSNRNDSLNFEVTEGAEVSLSKGDSINLNEESSDEAKVLVDNVISKTDLETVAPLHEELAGNGKHSMDVEAVYVEAGIASNKNAALNSEVTEEVEVSPSKGDCDILTKESGNEAKVLVDSVNSKTDLETVVSAQDEGTPINGGPVYNSTILEEDKVNGEDRATKGREFCYGEKERERFNRILNYYVGTHNFHNFTTRTKAEDPAAKRFIISFDASTVVFVDGIEFVKCEVVGQSFMLHQIRKMMGLAVAIMRNCAPESLINKALQQDVSINVPTAPEAGLYLDECFFTSYNQKWEDSHEELSMKDYEKEAEEFKMKYIYSHIASTEHKYGNLAIWLHSLNHRNYPDLRVFDEEAITDNKEAQVVQL >OIV99732 pep chromosome:LupAngTanjil_v1.0:LG12:16573466:16573810:1 gene:TanjilG_26070 transcript:OIV99732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGDTFSGLGNSTQIDSKVAQTFQKSFVQVQNILDQNRVLINEINQNHESKVPDNLSRNVGLIRELNNNIRRVVDLYADLSTSFTKSMEVSSEGDSSGAVKSDGKASHKRLRPV >OIW00044 pep chromosome:LupAngTanjil_v1.0:LG12:18726698:18727021:1 gene:TanjilG_26381 transcript:OIW00044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATDILRPHNSFNNFSNYYHATTGSYRKPLTRPDKRKRVVSDSLQTKRSNAHDSSSMFADVYAGSTFSVVAPSPSALPLPSFPTMKKSSSAVDDSASRDLRRLLRLE >OIV99713 pep chromosome:LupAngTanjil_v1.0:LG12:16462325:16463239:1 gene:TanjilG_26051 transcript:OIV99713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSASEIAAKLNLQPHPEGGFYTETFRDHSVNLSKSHLPPEYKVDRPVSTSIYFLLPSGSLSRLHRIPSAEVWHHYIGEPITIVELNEKDGSVKLTRLGSNFSENEIPQYTVPPNIWFGSFPTHDFSFSSEGSSFLKAAPRDRDSHYSLVGCTCAPAFQFEDFELAKPSYLIPRFPHLQPLITALTFPESE >OIW00544 pep chromosome:LupAngTanjil_v1.0:LG12:12214986:12215171:1 gene:TanjilG_24274 transcript:OIW00544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein WNLWNEDNIVSLIDEDICDPVHEKAILRCVQESARVRPNMATVISMLSSESVNLPPLSQPA >OIV99727 pep chromosome:LupAngTanjil_v1.0:LG12:16539051:16548654:1 gene:TanjilG_26065 transcript:OIV99727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEDDKEKGSGSPSWGALLFKQTTEDVARAVAANSPNPSVIYSSKDDSGGSQFQRLQHQVTNMIKGFSRPTDVNTNYNPEILTSLKRQWAANFQLQHMDHKSWKEPSRLFESMVVVGLHPNCDVHALQRQYVDKKFEGSGKFRSALGYQNQSRIGPNINIEPQVLFVYPPEKRLPLKYKDLLSFCFPGGLEVRAVERTPSMSELNEILFGQEHLKQRDMSFVFRLQGADNSVLYGCCVLVEELVHRPSGLLSLVSDKQPSYSSLRRQIFTTQRCYCILSRLPFFELHFSVLNSIFTQERLERLTKGAGDLNLEFFEGNYEGENSEDNFESKLFSDGAVEDRLDKNPRVSQSFLGSSTAENIVSDAHQEHQMVTYDIVVPTDPETNRATAEEESGPTNSEDIDQYGGAFATYKISEDRHLPNAIFPLLRYSQYESSESSCSFQGSPCEDGNFRSDVDDNETEDASFSGQEDLNDLHDILNWAKENNHGQLQIISEYYHLNCPARGSTLTFHPLDHLHPLEYHRLAETVQRFGGSTVDLKSFSTGLEIANAHNALLTEEATSLSIWAVACICGTLRLENVLTFFAGVLLEKQIVVVCSNLGILSALVLSVIPLIRPYQWQSLLMPVLPNDMLEFLDAPVPFVVGIKKKNSEVQLKLTNVILVDANRNQVKSPTIPQLPRQKELVSSLRSYHATLVGESYLGRKRPVYECTEVQTEAAKGFLSVLRSYLDSLCCNIRSHTITNVQSNDDKILFSPSSRRNSAAIQHFLGMLWLCFKLELKFSVIFPYWERIFSLTSLSELPPALLLLEAFCSTCKQKVQ >OIW00439 pep chromosome:LupAngTanjil_v1.0:LG12:13361789:13362280:1 gene:TanjilG_05789 transcript:OIW00439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGKRNQTSPVGSPTSGNISDSSLSKEQDRFLPIANVSRIMKKALPANAKISKEAKETVQECVSEFISFITGEASDKCQREKRKTINGDDLLWAMTTLGFENYVGPLKVYLNNFRETEGEKGNSMAKQEDQCPEASDNGFNNGGFYSVGGLTSNYGGGSYKIV >OIW00281 pep chromosome:LupAngTanjil_v1.0:LG12:15088528:15090794:-1 gene:TanjilG_27532 transcript:OIW00281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKTLTQQEKDINDWLPITKSRNAKWWYSAFHNVTAVVGAGVLGFPYAMSELGWGPGITILFLSWICTFYTAWQMIELHEAAPGKRFDRYHELGQHAFGEKLGLWVVVPQQLMVQVGVNIVYMITGGKSLKKLHDTLCDDCKPIRTTYFIMMFASVHYILSHLPSLNSVTAISLAAAVMSLSYSTIAWVASVHNGVQPNVQYGNRSSTTAGNVFGFFSDLGDVAFGYAGHSVILEIQATIPSTPEKPSKGPMWKGMIVAYIIVALCYFPVSVLGYWAFGNSVDDNILISLQKPRWLIATANIFVVIHVIGSYQIYAVAVFDTVESFLVKKMKFKPTWFLRFISRNVYVSLTMFLGITFPFFGGLLGFLGGFVFAPTTYFLPCIIWLMIRKPKRFSLSWYANWFCIVFGVMLMILAPIGALRQIILQAKDYKFYS >OIV99829 pep chromosome:LupAngTanjil_v1.0:LG12:17325567:17325833:-1 gene:TanjilG_26167 transcript:OIV99829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTRRSLTWPRGGLHVRGRKKRVILCLTNKNSNALKPSLQKKLRQLQKTVPGSQGMNMNTLFKRIEKYILQLEAKVMVLRCVSNLYGV >OIW00619 pep chromosome:LupAngTanjil_v1.0:LG12:10509831:10511735:1 gene:TanjilG_09100 transcript:OIW00619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMESISRETIKPSTPTPPHLRVYPLSLIDNIVPPNSVPLIYFYPNQLHEYDTQDDASNQGSKISSIKKSLSKLLSIYYPLAGRLKDKSIECNDQGVSLLVTRIKSNLSKILQNPSEVLMNPLFPDEFPWKDMGSCASLLAIQINIFACGGIAIGLCMSHKVADVSALFHFVNDWATLNKISSEEGQFIFPEYVVDAGASVFPQGDLPVLSGFNFVKQNNTVSRRFVFEGSKIESLKAMVLSSSSQKVKNPTRIQVVIALLYKCVVSALILTPKNAPFRVTTNLRKRMVPPLPEKSIGNFVWSFYPSNQSMQNKELHLHELVANIREGLSEFCDKNVKNFGDVSFVYEFLKKAPSLPQKKEAILVMEKKTMFLFSSWCRYPMYEADFGWGKPIWVTTSDCPMKNTIVLMDIRDGNGIEALVNLEEKDMAMFECDVELLRYASLSPN >OIW00865 pep chromosome:LupAngTanjil_v1.0:LG12:3826486:3827864:1 gene:TanjilG_12806 transcript:OIW00865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNPPLQKIAISGPTLASLIQRFSTSSSSIDGLLFGHVTHVTPLNLTDDSSTTDSPTLLATITGFLSSPPPSTASFYDSSGTVNPSSLHRLLLHHNSSLLGWFSTRRKTPIRPSMREFSVTASLSSLSQFSSPIKNATQPSTFNPCIFLLFASPTSDHTAHIHTHEYRAYQFRRAGNSFEAKSMDIINIGPAFRGHYGSFSPNSMFPALDCEIEGTRMKEDEERLSRMKQAAKDKKELDDYAVGFEIGKLSKLMGSEAISYTAGLEDLYEKMLVKIQKLTRSVENSSAKVLELVR >OIW00691 pep chromosome:LupAngTanjil_v1.0:LG12:8524479:8534877:1 gene:TanjilG_09660 transcript:OIW00691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESLDGDDIQTHRVNSMRSMRMSIGSSSRRSWSSGQVHGEVFERKRREDDEEEELKWASIERLPTFERMRKSLVKQVQESGRLYYEEVDISKLGVMEKKNMIDSVLKSVEEDNEKFLSRMRERIDRVGIEIPKVEVRFEHLHVEGDAYDGTRALPTLVNSTLNAIERVLGTMKLLPSKKNVIKILHNVNGIVRPGRMTLLLGPPRSGKTTMLRALAGKLDSDLRVSGRVTYCGHEFSEFVPQRTCAYISQHDLHHGEMTVRETLDFSGRCLGVGTRQDLLVELSRREKQSGIKPDPEIDTFMKATAMEGQETSLVTDYVLKILGLEICADTMVGDEMRRGISGGEKKRLTTGMRNVRGEMLVGPAKVFLMDEISTGLDSSTTFQIVRFLRQLVHTNDLTIVISLLQPAPETFDLFDDIILLSEGQIVYQGPRENVLDFFESVGFKCPERKGVADFLQEVTSRKDQEQYWFMKDKPYRFINVSEFVAHLNNYSIGQQLHQDLQVPYDSTKTHPAALAKEKYGISKPELLKACFAREWLLMKRSAPIYIFKTTQILIMSLIAMTVFLRTEMKYGQLEDGRKYYGALFFGLINVMFNGMAELAMSILGLPVFFKQRDLLFYPAWAFALPIWILRIPLSFVESALWVSLTYYTIGFAPAASRFFGQLLAFFCVHQMSLSLFRFLAALGRTMVVANTLGTFVLLLVFVLGGFIISKDNIATWMIWGYYASPMMYGQNAIAINEFLDERWSSPNIDARIPEPTVGKALLKARGMYTEDYWYWICIGALLGFSLLFNICFILALTFLNPFGDSKSIIVEEENEKKGTRNESSASAAKSFDRRNTEESSIPKANTGTTKKGMMLPFQPLSLAFDHVNYYINMPAEIKTQEVEESRLQLLRDVSGAFRPGVLTALVGVTGAGKTTLLDVLAGRKTGGYIEGSISISGYPKNQATFARISGYCEQNDIHSPNLTVYESLVFSAWLRLGKEIKREKQKMFAEEVMKLVDLHPVRNFLVGLPGIDGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSFDIFEGFDELLLMKRGGQIIYSGPLGQNSQKLIEYFEAIPGVPRIKDGYNPATWMLKISSPAVESQLNVDFAELYTKRNQGLIEELSTPLPGTKDLYFRTKYSRSFVTQCKACFWKQHWSYWRNPQYNVIRFLLAITVGLIFGLTFWQKGDKINKEQELMNIVGAMYAAIFFIGATNTNSVQPVVAIERTVFYRERAAGMYSSLPYAFAQVAIECIYVAIQTLIYSLILFSMIGFIWQVDKFFWFYYFIFMSFVYFTIYGMMNVALTPNHQIAAIVMSFFLVFWNIFSGFVIQKSQIPIWWRWYYWLCPTAYTIYGLVTSQLGDKDSEIEVPGFGSISLKTYLEKEMGYEYGFLGVAAIAHIVFIALFLFVFASGIKFLNFQKR >OIW00637 pep chromosome:LupAngTanjil_v1.0:LG12:10300812:10320622:1 gene:TanjilG_09118 transcript:OIW00637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGSFATKLVVRRSSPLHRYLCQRRISQPATAERRKEVLMAPEMPPFDYVPPPYTGPSGDEIMAKRKEYLSPSLPHFYQTPLNVVEGRKQYLYDDRGRRYVDAFGGIATVCCGHCHPDVVAAIVEQTKKLQHSTVLYLNHVIADFAQALASNLPGKLKVVFFTNSGTEANELAMMIARLYTGCHDIISLRNAYHGNAAGTMGATAQSIWKFNVVQSGVHHAVNPDPYRGVFGSDGEKYARDVQDIINFGTSGNVAAFISESIQGVGGIVELAPGYLPAAYDSVRKAGGLCIADEVQAGFARTGSHFWGFEAQGVVPDIVTMAKSIGNGIPLGAVVTTPEIAQVLTRRSDFNTFGGNPVCTAAGLAVLKVIEKEKLQENAFENTRETAFSIRKLPLVKAKRYLEDVLAHKQAIPFRRFCRGVGRTAQAKNRHSNGQGRWPVKSAKFILDLLKNAESNAEVKGLDVDALYISHIQVNQAQRQRRRTYRAHGRINPYMSSPCHIELILSEKEEPVKKEPESQLATSKKKSQVLRSGASS >OIW00318 pep chromosome:LupAngTanjil_v1.0:LG12:15362883:15366944:1 gene:TanjilG_27569 transcript:OIW00318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPENPWTLFFLSILLLLQYNYFIALAVNQQGEALLSWKRTLNGSLDVLSNWDPIEVTPCSWFGVSCNLKNEVVQLDLRYVDLLGTLPSNFTSLFTLSKLILTGTNLTGSIPKEISNLDQLSYLDLSDNALSGEIPSQLCYFTKLEELHLNSNNLVGSIPLAIGNLTNLKKLILYDNQLSGVVPSTIGNLKSLEILRLGGNKNIEGSIPQEIGNCSNLVMMGLAETSISGFLPQSIGLLRKLDTIAIYTSFLSGQIPSELGDCTNLQNIYLYENSLTGSIPNKLGNLKSLKNLLLWQNNLVGTIPPEIGNCNQLSVIDVSMNSITGSIPKSFGNLTSLQELQLSMNQISGEISAELGNCHQLTHIQLDNNLITGTIPSEFGNLGNLTLLFLWHNKLQGNIPSSLSNCQNLEGLDLSQNSLTGQIPKGIFKLKNLNKLLLLSNNLSGNIASEIGNCSSLIRFRASDNQITGNIPPQIGNLKNLDFLDLASNRISGFIPEEISGIQNLTFLDLHSNFIVGNLPKSLSQLVSLQFLDVSENMIEGTLNPSLGSLAALTKLVLGKNRISGSIPSQLGSCAKLQLLDLSSNQISGKIPGSLGNIPALEIALNLSLNQLSGEIPKEFSDLTKLGVLDISHNVLTGNLNYLAGLQYLVVLNISYNKFSGRIPETTFFTKLPLSVLAGNPSLCFAGNQCAGDGNGKSRKHAKEARVAMVVLLCIACTLLLAALYVVVSAKRRGDGENDIEMDGKDSDVDMAPPWEVTLYQKLDLSISDVAKCLSACNVIGQGRSGVVYKANMAATGLTIAVKKFKSSEKFSAASFSSEIATLARIRHRNIVRLLGWGANRRTKLLFYDYLPNGNLDTLLHEGCTGLIEWETRLKIALGVAEGVAYLHHDCVPAILHRDVKAMNILLGDRYEPCLADFGFARFVEEDHASFSVNPQFAGSYGYIAPEYACMLKITEKSDVYSFGVVLLEIITGKRPCDPSFPEGQHVIQWVREHLKSKKDPIEVLDPKLQGHPDTQIQEMLQALGISLLCTSNRADDRPTMKDVAALLREIKHDPPSGSEANKLKRTEASSYSSSSITPAQLLLLQSASHSSSFAYSSSSAAAYHPPRNQS >OIW00552 pep chromosome:LupAngTanjil_v1.0:LG12:12294035:12299329:-1 gene:TanjilG_24282 transcript:OIW00552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESIAAQLKRGISRQFSSGGGASMRRHLSRQFTRQSSLDPRRNSLRFSFGRQSSLDPIRRGSPTPDHIELTVPENLDSTMQLLFMACRGDMKGVEDLLNEGIDVNSIDLDGRTALHVAACEGHVEVARLLLSRKVNIDARDRWGSTAAADAKHYGNSEIYYMLKARGAKVPKAKKTPMTVANPREVPEYELNPLELQVRKSDGISKGTYQVAKWNGTKVSVKILDKDSYSDPDSIDVFKHELTLLERVRHPNVVQFVGAVTQNIPMMIVREYHAKGDLASYLQKKGRLSPSKALRFALDIARQVTILSARNILLDNGGQLKIAGFGNVRLTQMSSDKVRLVQPEANVNLSNLYLAPEIYKGGEFDRSVDAYSFGLIIYEMIEGTQPFHPKSAEEAAKLMCLEGKRPTFKIKTKHYPPDLKELIEECWDSEPVVRPTFSQVIVRLDKIVANCAKQGWWKDTFKLPWYVSYTSLSL >OIW00043 pep chromosome:LupAngTanjil_v1.0:LG12:18721564:18724483:-1 gene:TanjilG_26380 transcript:OIW00043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNNQQPSHAQPSFTNSFRKLEREQIEGSGTPLRSRTLRIESSYSLSRFDLESLNNEKGDVDQCSSPTTDALQDCSTSIEPETPCSNASTSDSEDQPDSYSSSNMRGFLNLLKKGSQMPFQPFQPLKNVPKLRRKSNRIREDLIPPLSPPTLKPSLKPSLTNDFSCFKSSWKNFTLEDLQAATDNFSHENLIGEGGYAEVYKGKLDDGNFVAIKRLTRGNQEEMTADFLSELGIIVHVDHPNIARLIGYGVEGGMHLVLQLSPHGSLSSILYGSREKLDWNTRYKIALGTAEGLRYLHEECQRRIIHRDIKASNILLLENFEPQISDFGLAKWLPDQWSHHTVSKFEGTFGYLPPEFFMHGIVDEKTDVYAYGVLLLELITGRQALDSSQKSLVMWAKPLLSTNNIKELVDPCLNDDYDEEQMKFLILTASLCIDQSSVRPQMNQAELLRNAKVLQMSRGEEESLKLLRERQNLPQRTYSEELFDAEDYNSTKFLSDMDRHMETILGSCN >OIV99747 pep chromosome:LupAngTanjil_v1.0:LG12:16663749:16671224:-1 gene:TanjilG_26085 transcript:OIV99747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSSKFDPSSSSPDRPLYTGQRGSHIAASLDRSGSFRESMGNPILSSLPNMSRSCSSATHGDVSSFFKCVHFDQKLAVPEHHKSNRQMDYKQHVCAALGVSPDESPRSSSKGKLLPSPVPEDIKRLKDSLHASQVKARERVKMFNEALSVFNKVFPSITSKKRSRGEGFSNGRCTAMLSDRPVSGPTIGKAGVQDHPVTGAFEFEQQKSEERAKNIVPNRRIRTSLVDVRMDGQTNSLVRPSGTVDRDKEVLRIFNSDAVQGEERTLSIGGDGWEKSKMKKKRSCIKLDGSPSTTLTKPVNTFQETKQRIQQRVVTDARSKLNNDSHSSRSGVSNGTVGAGKLDGISQQTGLGIRASTLRNDHDSNSLCNDRRGRPVSTEKERVIIRAVNKTTIRDEFSSDSPIPGTKINASIRAPRSGSGVAPKLSPVVHRTAVPNDWELSQCTTKSPAGLGNNNRKRVASARSSSPPVVHWQRPQKSSRTARRTNLVPTVLNNDEAPTLDAVGDVAGNDIGLGFPKRLAGSSPQKNKLKGDLSSSVVLSESEESAMGEAKPREKGRKSEKMDQKAGKNIHKVSNLVLPIRKNKLVSGEERGDGVRRQGRTRHSFTATRSLMPMTSEKLRNIGTAKQLRSARLGLEKNESKAGRPPTRKLSDRKAYAFQNPSIINVAADFLVGSEDGHEELLAAVKGLVNSIRAFSSPFWKQMECFFSLISEEDIGYWKQKVNLELSTLMPTPVPSNIAGCETMVNGFGLIGCKSDAGPDAQNSAGIVPEQLQLSKGDHNVIPLCQRFIAALISEEDCSNGTEDFKFDVYDTVFEPEGDMEFSGFDNHLRANFQFACGSAYNSYRINGRPEHDVTESGIVGRPSTELNSSFGSSINCLLPDKALKSSWTCSELQFASLDINDKLLLELQSIGIAPEPLPEMIQADDEGILEDIARLEEQYRGQISKKKGFLDGLLKSASIAKELQEKDFEQRALEKLVVMAYEKYMACWGPSPSGGKNSINKIAKQAASGFVKRTLDHCHQFEGTGKSCFKESSFKDIFLAATSQLSIVRQLNGMDAESSKPYASPLCLEAITASMGSQQSPSQFSQNMDNHDLDSSDMLPAINHSSEQTSGNDDLWSNRVKKRELSLDDVGDTLGISSTPGIRSSLASSVKGKRSERDRDGKGHSREVLSRNGTTKIGKISSGAKGERKSKAKAKQKATQHSVSVNGLVGKLSEQPKPVLPSVSKSTNSNANDNNEFGLGGLDEHEPIDLSNLQLPGMDVLCVPDDDQGQDLGSWLNIDDDGLHDNDFMGLEIPMDDLTDLNMMV >OIV99892 pep chromosome:LupAngTanjil_v1.0:LG12:17738545:17741474:-1 gene:TanjilG_26230 transcript:OIV99892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIAAASFLLLFLKLSTIFSSAVILQSRDCGNNWLAHSYSSLGEEIFYINENAVNEIAFCEALQLYIEKGCDLKDYFGSNNCALDAYFVNLPSKAGRKLLQKDLSNKPTTSQGDSKPLPTSEVGIFAGGALLVCCVVLCPCFYAKRREATSHAVLAKDPNSMDSVSSFEGNPVSAKVPASPLRVPPSPSRFSMSPKLGKLDSLQLNLSQVVRATRNFSESLQIGEGGFGTVYKAELEDSVVVAVKRAKREQFESLRTEFRSEVELLAKIDHRNLVKLLGYIDKENERILITEFVPNGTLREHLDGLRGKILDFNQRLGIAIDVAHGLTYLHQYAEKQIIHRDVKSSNILLTESMRAKVADFGFAKLGPMNSDQTHISTKVKGTVGYLDPEYMKTNQLTPKSDVYSFGILLLEIVTGRRPVELKKTVAERVTLRWAFRKFNEGSFVELVDPLMAEAINRDVLMKIFDLAFQCAAPVRADRPDMKSVVEHLWTIRADYLKSARRE >OIV99986 pep chromosome:LupAngTanjil_v1.0:LG12:18376983:18385868:1 gene:TanjilG_26324 transcript:OIV99986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVLEEDEYRVYMGGIVAQLQDHFPDASFMVFNFKEGDRRSQISDIFSQYDMTVMEYPRQYEGCPLLPLEMVHHFLRSSESWLSLEGQQNVILMHCERGGWPVLAFMLAGLLLYQKQYIGEQKTLEMIYKQAPKELLHLLSPLNQQPSQFRYLQYISRRHFGSEWPPSDTPLYLDCLILRDLPLVDEGKGFRPVVCVYGLDPSKPDNKSSKLLFSTSKSKKHIRHYSQAECMLVKIDIHCHVQGDVVLECINLNEDFTREETMFRVMFHTAFVRSNILMLSCDDIDILWDSKDQFPKDFKAEVFFLDADAAIPDLTTVTVSEDANETESTLPGEFYEVEGIFSNVSDAQEGKGEYDSLAFHDNAVDDENNKEVWKEEVIPYAFQDSPPDEGNNKQVEKIDSGINEVKDISVYNVKYMIVESVGPVNDAVKDIAVDEGENKSTSITLASDKILETVDFTLDVHEELTLEENKYDEDDQATEKEFDSEAGEQMPDLSRPKPGKQIPSTAKKQLPSNSKPFGDTISAKPKIKQESQGFQVKQAKPNAVTRWIPSNKGSYTSSMHVYYPPSRINSAPADLSKFTVSKEKMEDPKARSLSAAVVSIDMTNDLKSRKVITSKSSGHIAPEADANCPPSSLLTVKETCIQSATQTQAGSELRPPPPPPTHARNSSLDVLEPLSSQDAASTQAPPSPPVSSPFSLGGKGFSVPLPLPTLTPFAGQKIGANLQPATSPPPPPPPPPPSFFGQNNGSFLPSPFPWKSDNSIIAVCEETSGSLPLPSSFSEKSSKVSEVLTVIAPPPPPPPPPPPPLPPPRFGVSSIPPPPLPAFSTHRISPPPSPPPSTASHAAPSTPPPPAPPPLPLPFSIAPKPPPPYCSAAPPPPPPPPPLSKAPPPPPPLPYNSAPPPPPPPSPGGRGPPPPPPPSAPGAPPPPRPPGGAAPPAPPKGANAGADPRGKGRSGYARPAGPGAMAPKRSSLKALHWSKVTRALQGSLWAELQRHGEPQIASEFDVSELEKLFSANVSKTADSKTGGRRKSVGSKTDIVHLIDLRRANNTEIMLTKVKIPLPDMMAAVLAMNESVLDVDQVDNLIKFCPTKEEMELLKGYTGDRANLGKCEQVTEFKKSLNTVNSACEEVRNSVKLKLIMKKILLLGNTLNQGTARGSAVGFKLDSLLKLTDTRATNNKMTLMHYLCKVLAEKSPALLDFHLDLVSLEPSTKIQLKSLAEEMQAINKGLEKVKQELAASLNDGLVSDVFPKSLKGFIDVAESEVVSLTNLYSVVGRNADELALYFGEDPARCPFEQGCFGKPMKRIANAELENNNAEKEAEEEKAEGDNLTKKSAEDSSQLCFSLRCIDIMPSLKSRKLPMVVRGLLSRLVKKHPFLSYAKSSLSS >OIW00156 pep chromosome:LupAngTanjil_v1.0:LG12:16013626:16023636:-1 gene:TanjilG_29146 transcript:OIW00156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLSIDSDLFGGVGSVALAKLDRHRGDRYGNNNNDPSGYRHPRNQSRFSDSPLNYHNPRRSPSSGFRGPAPPHHRAFDSPPSWSPGRGGGFRPIGGGGEGFRPMGGQGRGEYGGFNAHQQQQQQQPPLSGQKRGFPFSGREGSPDRFDGGSFAKLFVGSVPRTATEENIRPLFEEHGNVIEVALIKDKKTGQHQGCCFIKYATSEDADQAIRALHNQHTLPGGIGPIQVRYADGERERLGAVEYKLFVGSLNKQATVKEVEEIFSKYGRVEDVYLMRDERKQSRGCGFVKYLHRDMALAAINALNGIYTMRGCDQPLIVRFADPKRPRQGDSRGPAFGAPGSGPKFDPPAARFPPNISVPMGDHMPPLNAWRPMHPPNMGPSSNADLHGMGPPWLPRSGDTVLPINAGGPMTGMGGPIDGRFQVESQSSMVQQNFNQSVPQISPVKQQISPLQNPSQSSQELPPVHQLYPQAPMPYSQTSSQSSLSLVGQPQLPFSAGQHVLGTSGHFPTSHHQNQQSALPASNPRVPHDSSFQPNAAFTTPKQQQVPSSVPLQPFQPLQQSPSQLAQMLSQQKQTLQASLHSSQQAFSQLQQQVQMMQPSSQASTMQQNATTANQQQVQWAGAIQQASKSAAAHTGDVPSSTSATTAAAGIGQNIALVKCDWTEHLSPEGFKYYYNSVTGESRWEKPEELTLSEQQKQQQRHTQSQSSMISSQQVPQMQQAQAQAQSHFQGQILQQQQMQQPSLSSSAYGVTGHQNVQGIHTTQDWMWKNKPAGYLASTHLPPP >OIV99836 pep chromosome:LupAngTanjil_v1.0:LG12:17366981:17367187:-1 gene:TanjilG_26174 transcript:OIV99836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSVIYGFTALTNVLVVNYFDRFITRLRFHIDRPSVTHLTGVACLSLAAKMEEAHVPLLLDLQVCSCF >OIV99909 pep chromosome:LupAngTanjil_v1.0:LG12:17905647:17907542:-1 gene:TanjilG_26247 transcript:OIV99909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFSGDDELYHGSSLDLGRLYSIGSNVDVYYPPTKRARITAPFIFDRVQDRKPSIEVLPDECLFEIFRRLPSAKERTSCACVSKQWLMLLSSICKAEIERNTSSDDIEKISSNEDQDIEGDGYLTRCLEGKKATDVRLAAIAVGTSGRGGLGKLSIRGSNSVHGVTNRGLSAVARGCPSLRSLSLWDVSSIGDEGLSHIAKGCHMLEKLDLCQSSSITNKGLIAIAEGCPNLTTLNIESCSKIGNEGLQAIARFCPKLQSISIKDCPLVGDHGLSSLLSSASELSRVKLQALNITDFSLAVIGHYGKAITNLVLCGLKNVTERGFWVMGVAQGLQKLVSFSVTSCRGVTDASIEAMGKGCTNLKQMCLRKCCFVSDSGLVAFTKAAGSLESLQLEECNRVTQSGIIGALSNIKTKLKSLTLVKCMGIKDIDVEVSMLSPCESLRSLSIQNCPGFGSASMAMVGKLCPQIQNVDLTGLHGITDAGLLPLLENSVAGLVNMNLTGCWNLTDNIVSALARLHGGTLESLNLDGCWKITDASLLAIAHNCLLLNDLDVSKCAITDAGIAVLSGARQLSLQVLSLSGCSEVSSKSVPFLTKLGQTLLGLNLQSCNSIGSSTIELLMENLWRCDILA >OIW00602 pep chromosome:LupAngTanjil_v1.0:LG12:11582962:11586792:-1 gene:TanjilG_14828 transcript:OIW00602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMISGGGFGGGGSGGGGGGGRSSSTSSWAPTTWVSASGKRIQREMVELNNDPPPYCSAGPKGDNLYHWIATIIATPGTPYQGGIFFLDIIFPTDYPFKPPQVVFKTRIYHCNVDTDGHLSIGILKDGWSPALTITKVLLAVRSILTNPDPYNSVVPGIAHLYLGDRAKHDDIAAEWTVRFAK >OIW00647 pep chromosome:LupAngTanjil_v1.0:LG12:10004771:10009422:-1 gene:TanjilG_09128 transcript:OIW00647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGVVVEADISAFRECLSLSWKKPYVLRLAFAAGIGGLLFGYDTGVISGALLYIRDDFKDVDQKTWLQEAIVSMALAGAIIGAAIGGWINDRFGRRKAILLADTLFFIGSVIMASATNPSILIVGRVFVGFGVGMASMASPLYISEASPTRVRGALVSLNGFLITGGQFLSYLINLAFTKVPGTWRWMLGVAAAPALIQITLMIMLPESPRWLFRKVPSLSSSINLYISGREEEGEAILRKIYPPHEVEAEINALKESVEFEIKEAEASDKASIIKLLKTKTVRRGLYAGMGLQIFQQFVGINTVMYYSPTIVQLAGFASNKTALLLSLITSGLNAFGSILSIYFIDKTGRKKLILFSLSGVVVSLVVLTVVFHETTTHSPMVSSIETSHFNNTCPDYITALNPGNWDCMKCLKASADCGFCASGTNKLLPGACLKSNDTTKDQCQKEHRLWYTRGCPSKTGWVAIIGLGLYIIFFSPGMGTVPWVVNSEIYPLRYRGICGGIASTSNWVSNLIVAQSFLSLTQAIGTSWTFMIFIFITMAAIIFVIIFVPETKGLPIEEVEKMLEKRSLNFKFWQRSSKSGEVLNRKS >OIW00498 pep chromosome:LupAngTanjil_v1.0:LG12:11718037:11721699:1 gene:TanjilG_24228 transcript:OIW00498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFQVVVLAGGVSKKLLPLVSKDLPNALLPVANRPLLSYTLDHLELSNLKDLIVVVEGRDAALQVRSWISGAYADRLQVEVTAVPEDVGTAGAIRAISHHLTAKDILVVSGDLVSDVPLGAVAATHRRHDAVVTAMLCSAPVSGPSESGSSGGKDKTKKPGRYDLIGLDPTKQFLVHIATGTEVGKDLRVQKSILRAVGQIEIRADLMDAHLYAFKRSVLQEVLDQKAMFNSLKHDVLPYLVRCQLKAEVLLKGIPQAEDNGNEKVISQSNQQMVSQILANASEPTFHLRYALGLNGCPSVRRTHKCSVYIAGSNKYCTRLNSIQAYSDINRDVIGEASHLSGYSFSAHNNIIDPSADLGTKTTVGPHCMLGEGSQMGDKCSVKRSVIGRHCRIGANVKVVNSIIMNHVTIGDNCSIQGSVICSNVQLQERAVLKDCQVGAGFVVTAGSECKGEVLAKK >OIW00430 pep chromosome:LupAngTanjil_v1.0:LG12:13286741:13288373:1 gene:TanjilG_05780 transcript:OIW00430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSSENKVKFFGSGSLSELFCFRTSTLFLMDYDQNQTIRMLGIGQFMVYVMMHIIYPIVITVCSVDGFHWPLTKDTVIVRMANRTFAFALPGLLYGLQFPPYCEDSMMDALEGYALKDDDPLLWYKMRLRFEPMANEALRELGQIPGKSCFNDSGHNPSYLRAIRMSACTKVVEDGMINSGILKPSHYIVTGTNPPNANEFIGQYLAYASVNAITCMVDTLESAEILTRIGLGYLIKNDPNDPSLETVLKKIGFKIWKLNELGVAAFTHKLVPAVQEETETMMEKEEKWKGKDKERKDKEASADTSSGVGPSDKGKGVMP >OIW00133 pep chromosome:LupAngTanjil_v1.0:LG12:15861009:15861779:-1 gene:TanjilG_29123 transcript:OIW00133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVSTFKHEYSSPIAPSRIFKALIIDSRNLLPKLLPQFVKEIILIQGDGEAGSIEQINFNEASPFKYLKQKIVVLDKDNLVCKYTLIEGDPLGEKFESITYNVKFEDTSNGGCLCKMTSIYNTIGDFDVKEEEVEEGRESNIAIYRVVESYLLENPHLYA >OIV99934 pep chromosome:LupAngTanjil_v1.0:LG12:18032523:18033509:1 gene:TanjilG_26272 transcript:OIV99934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKERGDRPLSHDSSRTSPYPSSSSRVRRSAPKTPSDSVENVKEWEEARCPVCMEHPHNAVLLICSSHEKGCRPYMCNTSYRHSNCLDQFCKSFTEISETILQVEPQEEPQVESQVSNTNTSRVQSTEVNTIDMQEEGSEGFFTMQALSCEYGSKSKLVCPLCRGKIKEWTVVDAARHFMNEKSRSCSCETCDFSGTYPDLRKHARVEHPLERPSAVDPERQHTWRRLERQRDLADLLSTLQTSFGESRVDDGLPPIDDGGLLAVFFLILQPTSSASRGTTRTRLQMRIRRHSSRLWGENLEGESGSASRDDDANDSSDGGGTMFRDG >OIW00272 pep chromosome:LupAngTanjil_v1.0:LG12:15022993:15028713:1 gene:TanjilG_27523 transcript:OIW00272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPIATPPRSATDLFSDPLDTHPLWFKPTSFLSPDFDSESYISELRTFVPFDTLRSELNNYLSSLNHELIDLINRDYADFVNLSTKLVDVDSAVVRMRAPLVELREKIEQFRGSVQVSLVSVTNGLRQRSEAASARETLELLLDTFHVVSKVEKLIKELPSVPADWSNGDVNLPERNFSSNGVSMQHVENGTSVRETQSMLLERIASEMNRLRFYVTNAKNLPFIENMEKRIQNASLTIDASLGHCFVDGLEHRDATAIYNCLRAYAAIDNTKNAEEIFRVTVVAPLIQKIIPHGPSTVAAGSSGDALHNDYQLIKERINKDCKFLLEISSAENSGLHVFDFLANSILKEVLSATQKGKPGAFSPGRPTEFLKNYKSSLDFLAYLEGYCPSRSAVTKFRSEAIYTEFMKQWNIGVYFSLRFQEIAGSLESVLTTSSLVPVQNPDSGEANYQDLTLKQSVTLLESMRSCWREDVLVLSCSDKFLRLSLQLLSRYSSWLSSGLTARKNRNTSTSTGSEWAVSAVIDDFIFVIHDIRCLEEQVRGDYLQHVLQLLTSCSPDVLESVKQSILQSGQSLKYLEPLVIKAVVESLVEKSVEDLRQMKGITATYRMTNKPLPVRHSPYVSGVLRPLKITSFMYGDEATPLSSSTYCFQRMYIDDMPITPQAFLDGERAKRYLVSEARNEILLGAATEITDRYYELAADLVSVARKTESSLQRLRQGAQRRAGASSDVSDNNVSDTDKMCMQLFLDIQEYARNLSALGVEAPSIASYRSLWQCVAPADRQHTINI >OIW00903 pep chromosome:LupAngTanjil_v1.0:LG12:2626054:2626416:-1 gene:TanjilG_19844 transcript:OIW00903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIKVACVVLMCMAVVAAPIAQAITCGQVVGNLAPCITYLRSGGAVPPSCCGGVKSLVSSAQTTADKRTVCGCLKSAVGAIPNYNDANAAALPGKCGVSVPYKISVSTNCATYVLFSLF >OIW00085 pep chromosome:LupAngTanjil_v1.0:LG12:18952718:18955759:1 gene:TanjilG_26422 transcript:OIW00085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNDSLSQFLASAIDAAHNAGEIIRKGFYSTKHVEHKGQVDLVTETDKACEDLIFNHLRQIYPTHKFIGEETTAAFGTTELTDEPTWIVDPLDGTTNFVHGFPFVCVSIGLTIGKVPTVGVVYNPIINELFTGIRGKGAFLNGNSIKVSSKSDLISSLLVTEAGTKRDQLSVDACTKRINNLLLKVRSLRMTGSCALNLCGIACGRLDVMFELGFGGPWDVAGGAVIVREAGGVIFDPFGADFDITSQRVAASNPLLKDALLDVLREAE >OIW01023 pep chromosome:LupAngTanjil_v1.0:LG12:129561:134473:1 gene:TanjilG_14206 transcript:OIW01023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKAIQRQKVLLQHLQPISSTSSQTHQPTTGLSASTCAAGNFSEDDVVIVAAYRTPLCKAKRGGFKDTYPDDLLATVLKAMIDKTNLDPSEVGDIIVGTVLGPGSERAIECKMAAFYAGFPATVPLRTVNRQCSSGLQAVADVATYIKSGAYDIGIGAGLEYMSQLNTLSFGKVNPKAKLFPKAGDCLLPMGVTSENVAERFGLTRLEQDQAAVESHRRAAAATAAGKFKDEIIPVSTRFVDPKTGEEKQIVVSVDDGIRPQTTLASLAKLKPVFRADGSTTAGNASQVTDGAAAVLLMKRRIALQKGLPILGTFRTFTAVGVDPDIMGVGPTVAIPAAVKSAGLELSDIGLFEINEAFASQFVYTCKKLGLDTNKVNVNGGAIAFGHPLGATGARCVATLLNEMKRRGKDCRYGVISMCIGSGMGAAAVFERGDF >OIW00861 pep chromosome:LupAngTanjil_v1.0:LG12:3986827:3987462:-1 gene:TanjilG_12802 transcript:OIW00861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPNPPHSSLQLHRINNHPGNAVANCYHQLRGDTHFMKPAATVPDAVVAYHTHPVTPNQCCSAVVQEIAAPVSTVWSVVRRFDNPQAYKNFVKSCHVIGGDGNVGTLREVRVISGLPAARSTERLEILDEERHVLSFSVVGGDHKLDHYRSVTTLHPAGDRDDGTVVVESFVVDVPPENTKEDTCVFVNTIVRCNLQSLAQIAENLWRRIK >OIW00906 pep chromosome:LupAngTanjil_v1.0:LG12:2555920:2563498:1 gene:TanjilG_19847 transcript:OIW00906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESRSCMNVACSTRTSILWRKGWALRSGELADLCDKCGSAYEQSTFCDLFHSNDDGWRECTSCGKRLHCGCAASLSQLELLDLGGVRCINCMKNFGIQPVATTEKANGSGTSKVKNVSEQHCISLANQLNVRGMQIAHYAESDGLRCWLKAHNNVDTSGTSAEMKLEEVSPSIEDIGNTLISQFRREFNGSSKAAKADNNKSDMEMRDIYESLAQTNLNMTLATPLGNSNPFQRAIVVEREQSKTASPLLSGSRSRHLLPKPPRSTLAVGLEANTGMASQIRVARPPAEGRGRNQLLPRYWPRITDQELQQISGGSNSTIVPLFEKMLSASDAGRIGRLVLPKACAEAYFPPISQPEGLPLRIQDVKGKEWVFQFRFWPNNNSRMYVLEGVTPCIQSMQLQAGDTVTFSRMDPEGKLVMGFRKAINSSAVQDAHPSNVPNGPHSSETSYSGVYENLPILGGSGLLQSQKGSSETHLHMLSKEWNSAGGDMNLHNIEMPESRKREGMLLPAMMVPEKKRARNIGSKSKRLLIESQDALDLKLTWEEAQDLLRPPPTTKPSIVMIEDHIFEEYEEPPVFGKRSICVAHSTGINEQWTQCDMCSKWRKLPIDVLVPPKWTCTENLWDQNRSSCSAPNELNPRELDNLLRLNKEFKKQKVAASSRQLELESSGLDALANAATLGDDAGDPGSTPVATTTKHPRHRPGCSCIVCIQPPSGKGKHKPTCTCNVCMTVKRRFKTLMMRKKKRQSEREAEIAQRNQKSLGSKDETEVDSTSLLTPVDGSENGARVPNELDSRSQNHMAEAAKGHLDLNCQPDREDTQAGLNNNVSMMSLLQEANLPLETYMKKNGITSLTLEQQTNSASNVPAPTTNESEGRHNEDCFTASAAHEQESSPEENSEQDKGQNSSHS >OIV99714 pep chromosome:LupAngTanjil_v1.0:LG12:16463830:16469050:-1 gene:TanjilG_26052 transcript:OIV99714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEFSKAVDNGLKLSKRIYFGKDRAVAPPKQPAPMAKSPGALLPTAPMVYAVIVDPGIVDNPDITSYQPHVYGRCDPAALIPLEMKGIEMEVDCYLETAFITVNGSWRVHCVMGSRACDCRLAIPITEQGSILGVEVTAPTKSYSTQLVVMDDNNENQSGIRAQNGGFLKSNILTLTIPQIDGGSILSIKMSWSQKIVYRNGQFSLNLPFTFPDFVNPAAKRISRREKIQINVNAVAGSELLCKTMSHPLKEVRRHAGSMGFLYDAEVLSWSKTDFSFSYAVPSSHINGVVLLESASAYDFDQREMFHMCLSPGDIQSKVFKKDIIFIIDISGSMRGKLIDYTKNALSEALSKLNPQDSFSIIAFNGESYIFSKSMELASKDSVERANEWINTNFVAAGATNISSALNKAIEMLSSARSSVPIIFLVTDGTVEDERQICALVKNHIVNGESICPRIYTFGIGSFCNHYFLRMLAMIGRGQYDAALDVDLVKPQMLSLFDKASSLVLANIKVDIFDELDEFEVYPSYIPDLSSEGPLALSGRYKGSFPESIKVKGILADFSNFAVDIKIRKAKDVPVQRVSARDQIEYLTAQAWLSENKQLEQKVAKLSLQTGFMSEYTRMIILEDDHLKNVKESAGTKVSKNSHCQYDANAQGQRVIILPHLGIGFGNLSATAENTPPGFEMKLPEVAEIFKATSNCCSTLCGYCCCFCCIQCCSKMNNQCATALTQLFIALGCLGCLTCCSEICCSGNDD >OIV99825 pep chromosome:LupAngTanjil_v1.0:LG12:17301400:17303107:-1 gene:TanjilG_26163 transcript:OIV99825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSDLRYEVAQNAYIKLVLYSLKHPTSSVNAILIGRFSPSNDTVEITDAVPLFHSHIGLLPQLEISLILIEEYFSAKGLNIVGYFHANERSDEYELGGVAKNIGDHICRYFPQAPILLLDNKKLESLKTSKDSGAVVQLYVRDAYKNWKLVQSDGSIRFSLKEPSANLVLLDYISSEKWNDIVDFDDHLDDISKDWLNPGLFN >OIW00568 pep chromosome:LupAngTanjil_v1.0:LG12:12482869:12483786:-1 gene:TanjilG_24298 transcript:OIW00568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTPYPNPITSSETRIGWIGIGVMGFAMASRLISAGYSLTIYARNPSHPNSLSLQSQGAHFSNSPSQLAQSSDVVFTMLSHPSDVRSVYTGPNGIVSGLNPNSVIIDNTSSHPDLAREIFSLARSKGCWSVDAPVSGGDIGVKDGNLAIFAAGEPVVVKWLEPLFRVLGKVNYVGSAGCGQTSKIANQIVIGSNLVGLSEGLVFAKKAGLDLEQFMGAIRDGAAGSKALELFGERMIKRDFRPGGFAEYQVKDLGMGVDVVEDGEVFVLPGAALCKQLFSSMVANGDGKLGTQGIISVIDRINGT >OIW00252 pep chromosome:LupAngTanjil_v1.0:LG12:14828186:14828644:1 gene:TanjilG_27503 transcript:OIW00252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFVKKCKRVLGSNKNSYCHHEDTSSSGKYGKLSEKQRPKKKEKPEVAPQGCLAVYVGPERQRFVIKIKHANHPLFKILLEAAENEYGHRNDGPLWLPCDVDLFCEALLEMECPKDDPGSVGCTLPKGHSSSYSSSISYSPLSCHSNLSYED >OIW00617 pep chromosome:LupAngTanjil_v1.0:LG12:10523764:10527737:-1 gene:TanjilG_09098 transcript:OIW00617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSNNNMENGSFSSPCRDANFPAGLRVLVVDDDPTWLRILEKMLKKCSYEVTTCCLATEALKKLRKRKDAYDIVISDVNMPDMDGFKLLEQIGLEMDLPLIMMSVDGETSRVMKGVQHGACDYLLKPIRMKELRNIWQHVLRKRIHEAREFESNESFDHLMRNGSDQSDDGNLFALEDMTSMKKRKDIDNKHDDIEFGDSSSTKKARVVWSVDLHQKFVKAVNQIGFDKVGPKKILDLMNVPWLTRENVASHLQKYRLYLSRLQKDNNQKTTSGIKHSDLPSKDPASFTSHNSLAKQQNDVEIDSFNYSDGTLQLQNMDATCHEGDLKGIVLEPATEKGRALNSNIPDPKITKSSQIGRNNHFGTLKPEGNHAIFACTIPSQYSWNEVPKRLLKEEQKPLVKFEDSFSQLEIHGGTQHHIHVDQSQSMASINSDPPITEKEVVACLETKPLYANYKSDYAISACSIGPAADTFPTQSKSLMVNDQTSEPIFASNLGFKTPELNLSRISDLDFYQRNLLLGGEIAAYEPLEEELHFILLQREYYNMNFGMQNIEMPEYYDPGLIAELPTRLCDSADYSVVDQSLFIA >OIW00067 pep chromosome:LupAngTanjil_v1.0:LG12:18866096:18866449:-1 gene:TanjilG_26404 transcript:OIW00067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEAPSWAEQWGAGGIGSMEDNDNRSQKDITENKNSGSKSGLTKAKATVTNCVKWIKSLFKRLLLDEDTV >OIW00032 pep chromosome:LupAngTanjil_v1.0:LG12:18654614:18668164:-1 gene:TanjilG_26369 transcript:OIW00032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPSRKSRTVNKRFSNIHEAASGKDKSEENATKNKQKASPGTQKKRKLADMLGPQWNKGELGRFYESYRKYGKDWKKVALAVRNRSMEMVEALYTMNRAYLSLPEGTASAVGLIAMMTDHYSVLGGSYSGKESNEDAEISKKSKKRLQGKHLNDNKAPDELDEHFSDHSQSHSIASGDGCLSLLKKRQPGIKPHAVRKRTPRVPISHSIGKDNGEKILSSARQRNKQMVDSNDFAHKIALALTEASQRGGSSTISGSPNKKTVASPGTYCFQHARSEIAVSKFCSSDLDKGSSELSLGSTEGDNGYNSRETTHWSSIDNTGRGRNHKKRLKHYNKNLEPEEKLNRDLDDIKEASSGTDDDEGSAFDALKTLADLSLMMPETNPNTESSAHFKEGSHDIDEYEMKKHIVPKIESTASIGKAFSDSIAGAPDSEGAYQLNAGVGKRKQNSFTLMATDDMKKSMVKGKRSRQLKMVKFPGKLSSSMNDKGKGDDSFLPPIKVSSTNQVSLANKGKSRRKMEKPKPMVQHNNSAESLQSGSSSQKGKLSNCLSSQRTRRWCTFEWFYSAIDYPWFSKREFMEYLDHVGLGHVPRLTRIEWGVIRSSLGRPRRFSEQFLIEEKDKLNQYRESVRSHYAEVLSGTNDGLPTDLAQPLIVGQRVIAIHPKTREIHDGSILTVDHYRYRVQFDQPELGVEFVMDIDCMPLYPFENLPTSLIQHNVASARIDENLGKLKQGKVVEHTILSPSENSDTVKGLHISPTMHGSSAFSKQGISSGSKSQAKVETANTQLALSSQPFILEHVHPKETDILAISELARALDKKERVLSELKHMNDGVSESQKFGSNPAKDTEPFKRNYASVLKQLTEANEQVSSALFFLRQRNTYQGSSSVLSLKPVTNPDDPGGQASSINCSACINQESISHDHLSEIVESSRRKAQMMVIQATKAMSFLRKTESKVERIEDAINFINNQLSVDDPTAFPVNSIHVTQASQDQLIASTLNPLASCHLQEDELNRSSDQNEMNIPSELISHCLATLIMIQKCTERQFPPADVAQVLDSAVASLQPFCSKNLPIYGEIQKCMSIIRNQILALVPT >OIW00227 pep chromosome:LupAngTanjil_v1.0:LG12:14544351:14544578:1 gene:TanjilG_27478 transcript:OIW00227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKALLPSVFALWRGYIYKCLNSVRQVRNPSLIVLSFLVQSYLQAKPVNNKDMEKEDTEEDDDNKVQFMNTRPLSF >OIV99956 pep chromosome:LupAngTanjil_v1.0:LG12:18179054:18181515:-1 gene:TanjilG_26294 transcript:OIV99956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPMDIVGKSKEDASLPKATMTKIIKEMLPPDVRVARDAQDLLIECCVEFINLVSSESNEVCNREEKRTIAPEHVLKALQVLGFSEYIEEVYAAYEQHKLETMDTLKAGKWSNGAEMTEEEALAEQQRMFAEARARMNGGAVAPKQPDGDQSLDS >OIW00393 pep chromosome:LupAngTanjil_v1.0:LG12:12892099:12893286:-1 gene:TanjilG_05743 transcript:OIW00393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFHSRKLLHQHHYHASTTAASPTPSPLESLPQKPEPWLPVSDFIGGDYITNHNHVHLLQVALIVMACMVGMVMSLCIISLILRHYYSRRLNHNNNRTRNSPILFHVNGDSAVVSDNDEGPMVEHPIWFIRTEGLQQSLIDSITVFKYRKEEGLIDGTVCSVCLGEFEQQENLRLLPKCSHAFHIPCIDTWLRSHKNCPLCRAPVVHDGGANGSRSGGTEVSATEANTSAFGQNHMENISGTNEEEIGTSHVGESESSTLRIENDDSEGDSEIPDKMLPNSDKINFHALEVEIQHMMRSVSMDSSSAPMIFTDVVGLKCDVEGSHTSLDDKINSGNKDMLISKQGSGSGSSTIYKQTSIQHAFQKKPISLRGSFSHNTKLLFSRHCRSQSLTLPL >OIW00417 pep chromosome:LupAngTanjil_v1.0:LG12:13176364:13178416:-1 gene:TanjilG_05767 transcript:OIW00417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKDNQNDSVFKTRLALTDVSNLPSKRPFSSISGTGYDSDSQLQKQLCLREQDLVNKSCQLRFGAVGTHHKEEGKSPCVNNVWDESNLLSENPVGFGEKNQGRIDCDFSVGNQGIESNEREFSVVGKLPVAGELPMPTISASHDRKFVGLERCTGLKGVAGANSSLGSEDILKKCTCSFCSKAAYIWSDLYYQDVNGRLATLRKSQKEANLLVHKFSGGNETVTSGQQNTSESSKLELSLMDQWKSLFVHMEKTFAQESSQLESSCDTLKILRDSCKADLGSTGNSHSDNH >OIW00425 pep chromosome:LupAngTanjil_v1.0:LG12:13232019:13234231:-1 gene:TanjilG_05775 transcript:OIW00425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGLQDPPYMHKRIGTPWSDGTEGVTQCPVLPGDTFKYQFVVDRPGTYLYHAHYGMQRGAGLYGSIQVAPNDPEPFAYDFDRSIILNDWYHQSTYEQATGLSSNPFVWVGEPQSLLINGKGRFNCSTISSSSLSSTGGVCNTSNPDCSPYVQTVISGKTYRIRIGSLTGLSALSFQIEGHNMTVVEADGHFVEPFVVKNLFIYSGETYSVLVKADQDPSRNYWITSNVVARNRTTPPGLATFNYYPNHPKRSPPTSPPTPPAWDDAEPRMAQSLAIKARRGYVNKAPTTSDRVIVFLNTQNTLDGFRRWSVNNVSFSLPHTPYLIALKHNLKNSFHSTPPPDGYDFANYDIFSVANNTNATSTNGIYRLKFNTTVDIILQNANTMTKNNSETHPWHLHGHDFWVLGYGKGKFDKDNDPKKYNLENPIMKNTVVVHPFGWTALRFRANNPGVWAFHCHIEAHFYMGMGVVFEEGIHRVGKLPSSIMGCGRT >OIW00275 pep chromosome:LupAngTanjil_v1.0:LG12:15043318:15045985:1 gene:TanjilG_27526 transcript:OIW00275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLDTSGLESFSTQIGFQSDVVGDISAAPSFDLPNSSDFDGFVKEAIQMVKPAKGTTTLAFIFKDGVMVAADSRASMGGYISSQSVKKIIEINPYMLGTMAGGAADCQFWHRNLGIKCRLHELANKRRISVTGASKLLANILYSYRGMGLSVGTMIAGWDETGPGLYYVDSEGGRLKGTRFSVGSGSPYAYGVLDSGYKYDMSIEEASELARRAIYHATFRDGASGGVASVYYVGPNGWKKLSGDDVGELHYHYYPVTPSTVEQEMAEAPRA >OIW00766 pep chromosome:LupAngTanjil_v1.0:LG12:7544586:7544885:-1 gene:TanjilG_13140 transcript:OIW00766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKSCENISTKKPIVFSELEIEVAKLLIQLSISNNSTCSNNKADDIESSIVATITRDDDEDEKEDIAYGSKIRYHDIEDIYNVTELVLENKANSAKYPI >OIW01027 pep chromosome:LupAngTanjil_v1.0:LG12:169137:173621:-1 gene:TanjilG_14210 transcript:OIW01027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSMVSASPFTTFSSSSSPTFSKPHFPFSSFSPFYKPICIALPFKMGCQNMGIVRCNSSIKPNGPSSGDDDSSSRSVLDAFFLGKAVAEAVNERIESTVGEILSAVGRLQAEQQKQVQGFQEDVFERAKKAKENAAREAKEAQELISKSAVDTKLADSPSPRPSNSSSDSVTSVQSTDASETYSEPANKEDPASSSANDV >OIW01068 pep chromosome:LupAngTanjil_v1.0:LG12:543535:546071:-1 gene:TanjilG_14251 transcript:OIW01068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEGLVSCILILCLVSPCLCLKWSNFGVETEESTYNVLDYGTNGNGESDDSNAFLSAWNDTCRSQGIANLVIPKGKVFMLKNLELKGPCKANSIHIQLFGNIVAPTKDEWVDDKKDLIIISNVNSLTIDGGGYIEGNGNTWWERCKDCTRPGVLRFSSCNDLSVSSLNLVNSPRFHIAINNCKNARFFNMNINAPGNSPNTDAYDISNSKNVVFQDSTIAVGDDCIAINGGCSYINATGITCGPGHGISIGSLGKNKDYETVEEVHVKNCTFKGTTNGARIKTWEGGSGYVRNIIFEDIILIEAKNPIIIDQHYGSKNANIEVESLQISDVTFQNIEGTCADERAIRLNCSSNGCHNLILDQINIISSNPEKKAQAFCNNAYGKVKQVIPLVSCILE >OIW00513 pep chromosome:LupAngTanjil_v1.0:LG12:11878322:11884255:-1 gene:TanjilG_24243 transcript:OIW00513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVHNIRCCNDPPPSWRRMTGVDCRWNSKSLLFHGLKSDTSKYSSFSYDFAKFQPSPASNSSSEFQGRDSYNNKKQSPIQMYDRLLNMASSALAKKEFKQESSNLWVKPYRQSSSWKPCAERKLQTNPGKSVESNGYILVSANGGLNQQRVAICNAVAVASVLNATLVIPKFLYSNVWNDPSQFGDIYQEEHFMNMLKDDINIEKELPPDMKSLDIEAIGSQITDADIAKEATVADYIKIVLPLLLRNGIVHFLGYGNRLGFDPLPSDIQRLRCKCNFHALKFVPKIQEVGTLLIKRIRKFSAPPSMLDTQLLGKFVENNKDRDYSRGSTKYLALHLRFEVDMVAYSFCEFGGGENERRELQAYRESHFPLVLERLKNTSPVSPLDLRKLGRCPLTPEEAALVLAALGFKSGTYIYLAGSHIYGGDSRMHPFTRLYPNVVTKEDLLAPSELAPFKNFSSQLAALDLIACATADVFAMTDSGSQLSSLVSGFRTYYGGDHAPTLRPNKKRLAAILLENGTIRWNIFEDRVKKMIQEGKKIGIRKYGTSIYRNPSPVSPLDLRKLGRCPLTPEEAALVLAALGFKSGTYIYLAGSHIYGGDSRMHPFTRLYPNVVTKEDLLAPSELAPFKNFSSQLAALDLIACATADVFAMTDSGSQLSSLVSGFRTYYGGDHAPTLRPNKKRLAAILLENGTIRWNIFEDRVKKMIQEGKKIGIRKYGTSIYRNPRCQECMCNKQ >OIW00366 pep chromosome:LupAngTanjil_v1.0:LG12:12653249:12655515:-1 gene:TanjilG_05716 transcript:OIW00366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLILYCLCYPTIQPKGKRGKAAEPKNNEQNTEGTSSNNTNNNRERKEKVAEVVEDSSHSASLNEQAYRPPAGYLECPSLTPERSSHEEVENVGNSVSVARFTVDKPDAGEAHVVGHSSTSSSLNPTQVINLQKGNEVNSDVQGLAQDMVDKYQVKLVFMPIVKKLICKHGDIFKNCTVVTTKYRSKLLEMICNIIIDLQEKKFSETNEDHLQDIVLLLDDMKNKNVDVEWLHQRLVEILQAREVLKQTSMLKEKREFSRQKVENTEKELKEKEIDKDRLAILLKAACAEVADCKEKLAAARDESARIDETIADSESKAGRFLNCSLVDDLL >OIV99942 pep chromosome:LupAngTanjil_v1.0:LG12:18084288:18087600:-1 gene:TanjilG_26280 transcript:OIV99942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHSTLSPSFNNNPKVPLDFNTLHTIPDSHEWNKSTVVDDPNTIEPIPIIDLTDPNAASLIRHACEKWGVILIINHGVPMDIVKQLELQTYELFSLPSERKACALRSEGSLFGYGLHRVAPFFPKLLWSEGFTMLGSPMEHAQKLWPHQPDQRTNFCTIMEEYQKEMKKLSGKLMELMLESLGLTSEDVEWFKPKTGLDRAQSMLQLNSYPTCPDPDRAMGLAPHTDSSILTILHQSISGGLQIHEDGIGWIPVDAVPGSLVVNVGDFLHILSNGLYKSPYHRAVVKNTHHRISVAFFYVPPSDVKNGPLMKLIDDDHPALYNSVTWAEYLQNKSYLDIKPKQVT >OIW00310 pep chromosome:LupAngTanjil_v1.0:LG12:15302950:15303897:1 gene:TanjilG_27561 transcript:OIW00310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPSSCCYLQPTTHISFSLRTPSTSLTTKCQQINHSSSLQPKTTKNEENGIPIEDVKILVKFKSRHNYIRVLEVSRKADHPFRGSRLLLLDGPGNIHSISFLLKTLTETYFDVFATLPPILPPGPLAILGFGAGSTARILLELYPDAVIHGWELDPSVIEVAREFFNLGKLERENKERLFIYVGNALNASLKDGFSGIMVDLFSKGSLIPELQDPATWKKMKKCLRKGGRIMVNVGGNCVESENKYRDGKVVMEETLKAMKVVFGEKLFVLSLGNGKDDSSLALTGDLPQLDAWKKVIKTGPLRYYVDMWKPYSG >OIV99717 pep chromosome:LupAngTanjil_v1.0:LG12:16485407:16486463:-1 gene:TanjilG_26055 transcript:OIV99717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMPIISKIYCLSSEKVLVVRRRPHVVNGGGFVVTDYGSQRVVFRVDGCGVLGTKGELMLRDGDGDPLLLLRRKGGMVEALSIHKKWKGYSLEYEGLQNLVFTLKEANNYCLAKNSEIRISTHPRTISNKGWDFEISGYFPDKSCSIVDSIGNVVAQVGMKKEVEQVMESKDLYHVVVNPGMDQAFVIGIIAILDYIYGESTTC >OIW00082 pep chromosome:LupAngTanjil_v1.0:LG12:18945018:18946311:1 gene:TanjilG_26419 transcript:OIW00082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETISSFWGPVTSTKECCEINYAYSPYIAEFYNTISNIPTILLALIGLINAIRQRFEKRFSVLHLSNMILAIGSMLYHATLQHAQQQSDETPMVWEVLLYMYILYSPDWHYRSTMPIFLFVYGAVFAIAHSVFHFAISFKVHYIILCLLCTPRMYKYYIYTHDISAKRLAKLFIATLVLGSLFGVSDRVFCKEISRWPVNPQGHALWHVFMGFNSYFANTFLMFCRAQQRGWSPKVVHLIGGLPYVKIEKPKSQ >OIV99722 pep chromosome:LupAngTanjil_v1.0:LG12:16511784:16512101:1 gene:TanjilG_26060 transcript:OIV99722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKSPRDPKEAKLLVRLECGAFMGMCMLQLMVLTLSCAVHSCWVKEYEDLEAQREVTAKKRSRRIARVQEESMANVNKNAEIKSKEFDEKVKSKYGQWVKTDFEP >OIW00090 pep chromosome:LupAngTanjil_v1.0:LG12:18967658:18968914:1 gene:TanjilG_26427 transcript:OIW00090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSDEAQQSLLSESRDHSLLNEKSDLQRRRPHRGSTSDTEINLQDKNVVQNPSHLQSISATKLEAEFHFKPVFLCLAAYLGAGTLCFYFTSYQMKGIKTNRFLDAIYFCVVTMTTVGYGDLVPNSSFAKLLACIYVFTGMALVGLLLSKAADYIVEKQEVLLVRAINKGRKLGLAELSQEVENHRAKYKFMVAAATFIVLMIVGIIFLYFVENLDFVDAFYCVCATITTLGYGDESFSTTIGRTFAVFWILSSTICLAQFFAYLAELYAEGRQKSLAKMVLTRKLSPSDLEAADLDGDRVISAAEFIVYKLKEMGKISQEDISDMMESFRKLDQDQSGTLTEADLR >OIW00830 pep chromosome:LupAngTanjil_v1.0:LG12:4490013:4490243:-1 gene:TanjilG_12234 transcript:OIW00830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKPKKTHLLAAKRVLRYVKDTKDHGIFFPFGTKESEPELQLVGYADSDFGGYLVERKSTSGYLFLINEAPISWCS >OIW00820 pep chromosome:LupAngTanjil_v1.0:LG12:5302718:5305162:-1 gene:TanjilG_08259 transcript:OIW00820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFALKATHPSFNLANTNYTRLPNRRNSILCLCSSKTNESDSQLPEGDAQKQELLARIAMIQTQKVRLTDYLDDRSEYLAQFGEEANAELDKIGEDALKGLDDASDRIISNIESQMIAFEESNELNRLEIQESENKLLEFEGQVEEERNEGLFFKSLGKKESFDKEKAKGEVENFKDVTQKNDGNKPRKNVYLFFIGLLSFGLVNSIASSSSTDWSKVAVLGAILVALLYLFINEQNKDNKKDNQ >OIW00460 pep chromosome:LupAngTanjil_v1.0:LG12:13558086:13558496:-1 gene:TanjilG_05810 transcript:OIW00460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVSALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >OIW00901 pep chromosome:LupAngTanjil_v1.0:LG12:2700868:2702187:-1 gene:TanjilG_19842 transcript:OIW00901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFLGRQGKVELAGGSLALGFINITSNSILKGLTMGMDPICCQAFGAKKWSVLSQTFLRTLCLLVVVAIPISILWLNMAPIFHMLGQDPEVTNVAQVYMLFSIPELLAQTHLNPLRTFLRTQGLTGPITIAASCAAILHIPINYFLAMYLNLGIKGIALATGLNSINMFLGLLLYVVFSKKALKPWQGATVFSALHGWGPLLSLAVPSCISVCLEWWWYEIMLFLCGLLSNPQTTVATMGIIIQTLGFLYVFPFALSSAMTTRIGHSLGAGQPIRAQSTAITGFVLAFTFGVVAFICLMSVRKTWGKIFTDETQIIDMVTTILPILGLCEIGNWPQTVSCGILSGTARPYVGARINLCAFYLVGLPVAIFASFIYKYELVGLWSGMLAAQVSCLCMMLYTLVQTDWGQQAKKAMELAHRTNEDEENVNDEESGLLSSDL >OIW00715 pep chromosome:LupAngTanjil_v1.0:LG12:9005192:9009141:1 gene:TanjilG_09684 transcript:OIW00715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHFEEHGSLLQDTLLQYEEYHHTEDGSVNSKGELAVKTETGTWKACPYVLGSFFCERLAYYGIASNLVTHLTAKLHEKHVSAARNVTTFQGTCYLTPLIGSFFADAYWGRYWTIAVFYAVYLIGISILTLSATIPGLEPNECVSSLCPSATLSQTAVFFLGLFLIALGTGGIKPCIWPFGADQFDDTDHKEKASKGSFFNWNYFTSNTGALVATTVLVWTEEKVGWGVGYGIATFFIGIGIIIFFLGTRVYRYHRPRGSPLTRIFQVIVASLHKRKCKVPQESSLLYEVEVENSSIEGSSTLQHSNGLRCLDKAAVISDTEKESPEVTNPWRLCTVTQVEELKILIRMFPIWATGIIFCAVYAQMSSLFVVQGKLMDATIASLTIPAASLSTFNIIGVIIWVIIYDRGIVPIAKKFTGNARGFSELQRMGIGLVLSIACMSSAAILENKRLQIAKELGLVDKNVPIPINILWQVPQYFLLGAAEVFTFVGQHEFFYEQAPDSMRSFCSALSLLTNSLGNYLSSLIVTIVAYITTRDGSPGWLPDNLNKGHLDYFFWLLAGLSFFNMLVYIVYARGYKQKAYNRSFPQSH >OIV99920 pep chromosome:LupAngTanjil_v1.0:LG12:17958689:17961685:-1 gene:TanjilG_26258 transcript:OIV99920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQPRYVPPHRGNGSVARPRGRGNGGFGGRWFPDQVSSSFRNDISDISEKFDELEVIGEGENSGVINFDAYESVPVEVSGNNVPPPVNNSFGETELEEGLKRNIERCKYVKPTPIQRHAIPIASAGRDLMACAQTGSGKTAAFCFPIISGILSLKARSPSGLYSMSRGGGGGSVAYPTALILAPTRELSSQIHDEAKKFAYQTGLKVVVCYGGAPVSHQLRNLERGVDILVATPGRLVDFIERERVSLSKIKYLALDEADRMLDMGFERQIRKIVQQMNMPPPGSRQTLLFSATFPTDIQKLASDFLSDYIFLSVGRVGSSTELIQQKVELVEDADKRNYLMNLLHSQRARGLNGKKPLTLVFVETKRGADNLQFWLSRNGFAATAIHGDKVQMERERALRSFKNGDTPVLVATDVASRGLDIPHVAHVINFDLPKDIDDYVHRIGRTGRAGKSGLATAFFSHQNVPIAKALVALLQEAKQEVPAWLSDYSQSSSQSDHSHRSQRYGGGKYGGRDFRNFTEPAVGNNSYFSTNGNGYHAMETYNTATSHDIPNAAFGGSYHYIGGNTDLYRGGEVEGGPLGYATVVPSGWD >OIV99958 pep chromosome:LupAngTanjil_v1.0:LG12:18190431:18193529:1 gene:TanjilG_26296 transcript:OIV99958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNMAFLMDPTSHRQHAKSLVCSFGPSLLFIKQFPCPLISHYTKSLTIHTMEISAMASTTPSSTPSLTLNTFCKPPQLKHSHISLPTSTTISLLTLFSPPYEAKAFSKDQILSSITQVEKTIDEVQDVGSSFLDTSQHVFEAIGNALKPGIETAFPFVQQAGEEAFKVASPVISEVIKKAQEALQSSGVDAQPVLKAVTDAAEQTTKVIEGAKPIASTTVETISSSDPTVIAGTAGALFITYLLFPPIWSAISFNFRGYKGELTSAQTLDLISTQNYILIDIRSEKDKDKTGIPRLPSSAKNKLIAIPLEELPSKLKGVVRNVKNVEAEIAALKISYLKKINKGTNIVILDSYSDLAKIVARTLTGLGFKNSWIVADGFSGGRGWINSRLGTDSYKFSFAEVLSPSRVIPAAVRNFGTTSQSSRKLLPGSD >OIW00287 pep chromosome:LupAngTanjil_v1.0:LG12:15146702:15148194:1 gene:TanjilG_27538 transcript:OIW00287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTSLSLFFFLFSLLTLTTLISSSPLQDPELVSQEVNREINASMARRNLGYLSCGTGNPIDDCWRCDPNWENNRQRLADCAIGFGKNAVGGRDGKIYVVTDSGDDDPVTPKPGTLRYAVIQDEPLWIIFARDMVIKLKEELIMNSFKTIDGRGASVHIAGGPCITIQYVTNVIVHGINIHDCKQGGNAMVRDSPQHYGWRTISDGDGVSIFGGSHIWVDHCSLSNCNDGLIDAIHGSTAITISNNYMTHHDKVMLLGHSDTYTQDKNMQVTIAFNHFGEGLVQRMPRCRHGYFHVVNNDYTHWEMYAIGINLIA >OIW00941 pep chromosome:LupAngTanjil_v1.0:LG12:1751503:1752153:-1 gene:TanjilG_10019 transcript:OIW00941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQLNLTLLVIFLSLFVSSVLVKSSLAKHNPQTISYIESSCNGTLYQDLCIRCLAKYVKNYSTIDGPHHLAQVALSVSLSRASHTRGYLFKLAKELKTIKNKRDYLTVKDCANQISDSVDQLSQAIKELSRCSQHGSTINDDMLWHISNVETWVSTALTNASSCVYSFTGVRMSKRMTAIKVKAQNVAEVTSNALALFHRYALRLQQATARTTQKP >OIW00804 pep chromosome:LupAngTanjil_v1.0:LG12:6189990:6190448:-1 gene:TanjilG_18606 transcript:OIW00804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVSTQLKQVFKLIDTNGDGKISTTELSEMLTCLGGYNKCMAAKEAEKMVKVLDFNGDGFVDLDEFMVIMNNEKEEDRKDQDGYLMDAFLVFDTDNNGLISPKELQRVLVNLGFDNCSVRECKLMIKGVDKNGDGFVDFEEFRSMMNIGLGN >OIW00946 pep chromosome:LupAngTanjil_v1.0:LG12:1840772:1844516:-1 gene:TanjilG_10024 transcript:OIW00946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTSTTVLFLLLTATATTFCLQEMELIHMAQTQVSMAKNWVGNSMRLHGFESLRISNQTSVALSDCAKLYGDSEFRLSHMISDKSSYTREDAITWISAVMTNHRTCLDGLHEKGYAETQILDKNLTMLLGQALGLYANNKDKPKESPETPVSSNILLASWSRATSKADFTVAQDGSGTHRTIREAVEAVASMGHNRPGRIVIYVKSGVYNEKVEIGQKLHNVMFVGDGIDKTIVTGSRNFVDGSTTLGSASFDVSGDGFWARDMTFENTAGPDKHQAVALKVSSDLSIFYRCSFRAYQDTLYVHSNRQFYRDCHIYGTIDFIFGDATVLFQNCDIFVRKPMTHQTNFITAQGRDDPNKNTGISIHSCRVRPASEFATARDNSLKTFLGRPWKRYSRTVFLKSDLDGMVHPRGWGEWEGNFALSTLYYAEYMNTGNGAYTQNRVNWPGFHVLNSASEAAPFTASRFLEGDRWIPASGVPFWSGI >OIW00615 pep chromosome:LupAngTanjil_v1.0:LG12:10816060:10836427:-1 gene:TanjilG_21830 transcript:OIW00615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKLTFACISPISWPAISGALDNSSICCKKIQVFEKKGSPPGIVLLLVLSRASQDKPVRTKVESALKFAMKKTKTCFVKLPFGLCGCQEENSKKREHSMEMDVEIDEAVSRVLSMVDSPDTHQQYHCMLEKYRQAKAELASTRGEASLSTSDFHIMEYDDMYQFL >OIW00358 pep chromosome:LupAngTanjil_v1.0:LG12:13876369:13880881:-1 gene:TanjilG_29925 transcript:OIW00358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEMDPMAEENVSATAKEEVIDDGSSNVEAGDLESCSRLSLEKDSGLPCCRVCQCTESDKRGDAALEFLGISPVMKLKSNDEVRSDDVRIIKHTPINRNVDNNSGVVEFVSPNGEVFICKSDLEIGLSTQDRLFELGCCCKNDLALVHYACALKWFLNYGSTICEICGQIPKNIKISDFSKVYCSLKEYEALRERTANGDPGPAQVHSNNGVDPDAVAAIRRQRLSEIALWFFPHNSSNNNNNSNMETFSQVISEQPFNIVTEDAGPAPNPATKWAVEGTGILLATGLLTITLAWLIAPRVGKKTAKSGLHILLGGVCALAVVIFLRFFVLTRIKYGPARYWAILFVFWFLVFGIWASRTHGTTHAT >OIW00645 pep chromosome:LupAngTanjil_v1.0:LG12:10113077:10116705:-1 gene:TanjilG_09126 transcript:OIW00645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKPKLSSKQVHNSCSPKTTIWWFYLVSTCIKVLLFQTYHSTDFEVHRNWLALTHSLPLSRWYFNETSPWTLDYPPLFAYFERFLSFFASLIDSQIVHLQEGLNYSSNTVVYFQRVTVVLSDLSLLYGVYRLTRNMDLRKQKLIWSLVIWSPMLFIVDHVHFQYNGFLIGILLISLSYLEEGRDLMGGFVFAVLLCFKHLFAVAAPVYFVYLLRHYCRGGVLRGSSHLLIMGGTVASVFAVAFGPFFYLGQIEQVIRRLFPFGRGLCHAYWAPNFWVFYIMTDKGLAFMLRKLGVDIKTPAASFTAGLVGDSSPFSILPQISPIVTFIMVLLALSPCLLKAWKNPQPQRISRWIVYAYTCGFLFGWHVHEKASLHFVIPLSIVAAQTPEDARHYFLLSIVSCYSLFPLLFEAQEYPIKVLLLLLHSILMWSGFSAQFYDGAKTARVATGHKKKKIDQFGSKGGSSAALKKRGFSVGWIERVYLVGLVVVEIWGQFLHPLLLGDKLAFAPLMLISIYCAFGIMYSWIWQLRSIVKSV >OIW00770 pep chromosome:LupAngTanjil_v1.0:LG12:7238133:7238438:-1 gene:TanjilG_22269 transcript:OIW00770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHGESSNDDGNIHKLSQQLQELRDQIQVEKDKKKELDKAFNKYKFIKGKLPIDNLSFEELVEFKASLVEAQDNMPASNDELEVASSLLLLRENGMYETGI >OIV99907 pep chromosome:LupAngTanjil_v1.0:LG12:17890805:17893950:1 gene:TanjilG_26245 transcript:OIV99907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSCSVDVASEQLCYIPCKFCNIVLAVSVPCSSLFDIVTVRCGHCTNLWSVNMAAAFQSLSWQDVQAPNHCNNPEYRIDTSGSTSKCNNRIPMRAPTTHATEERVVNRPPEKRQRVPSAYNQFIKEEIQRIKANNPDISHREAFSNAAKNWAHFPHIHFGMMLESSNNQVKMENIRL >OIV99721 pep chromosome:LupAngTanjil_v1.0:LG12:16509857:16510483:1 gene:TanjilG_26059 transcript:OIV99721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSKLRTACSFTTLLLSCLNFSLFTISASSLVPTVLLKMPPTSFGVAFLTVSIISLISSFVGFYSQLTQCCFLTHISLILASLIGQVLSILALFTKEKTSLSMLKSPRDPKEAKLLVRLECGAFMGMCMLQLMVLTLSCAVHSCWVKEYEDLEAQREVTAKKRSRRIARVQEESMANVNKNAEIKSKEFDEKVKSKYGQWVKTDFEP >OIW00841 pep chromosome:LupAngTanjil_v1.0:LG12:4850964:4851170:1 gene:TanjilG_12245 transcript:OIW00841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADFEDKVKHTAKKAKVVAKKAKKAVVKGGVKVVGACKKGWAKLRKSIKEFQDKNKNKKTEYLGRDNH >OIW00145 pep chromosome:LupAngTanjil_v1.0:LG12:15936723:15938171:1 gene:TanjilG_29135 transcript:OIW00145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSGSSNLETRSVLDELSSFNKGGLFDLGHPLLNRILESFVKAAGIGAVQAVSREAYFTAVQGSGGLDNAGGMPLEVGGKKHRFPGLRGETSSKSIEAMVKNTGKESFQWGLAAGIYSGLTYGLKEARGAHDWKNSAVAGALTGAALAVTSDNSSHEHIVQCAITGAAISTAANLLTGIF >OIW00530 pep chromosome:LupAngTanjil_v1.0:LG12:12111697:12112389:1 gene:TanjilG_24260 transcript:OIW00530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNPKRRLEKRSKVELEMGSDEIPDLTKKVPANVLSHILTFLPLDEAIRSGILSKKWKDLWRNTTHIELNEKKLIKPLSQLLISRKFVPTKDVTKGANRYALLVYRIMFHHYGDLPSFRILHLWKSLLLGEVQSWVEYVLKTREGVQKLSLECELDNGEMGEWFLFKDDIPKLNFSKGIFQSLGSLEMINYNINCSNAFVGCKNLKTLKLEKINLADRIINDILNNCVVL >OIV99712 pep chromosome:LupAngTanjil_v1.0:LG12:16458767:16461330:1 gene:TanjilG_26050 transcript:OIV99712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRTAAKRLFSGARCYYSDSQLRFRSPQILIASYRFSSIDNQPFSNPHTTNNPVPTTTTIENPNSSESASSSSEGDDAPRYENPRGKTEYKDEQSRVLQASLPYVIKLGWTEAALIAGARDVGLSPSIIGSLSRKEAALVEFFMDDCLQKLMDRIDSDEGLKNLTPSDCISKLIRIRMEMQAPYISTWPQALSIQAQPVNIPTSFKQRATLIDEIWHAAGDNTSDIDWYAKRTVLGGIYSTTEIYMLTDSSPDFRDTWAFLDARVKDAFDLKKTIQEAQYLAEAVSAGLGNSFKGFVGKAFGR >OIV99898 pep chromosome:LupAngTanjil_v1.0:LG12:17818107:17826214:1 gene:TanjilG_26236 transcript:OIV99898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLESELQDLSDDADYAASQQQGSASMMVSSDSNKQGSSSELEGAEIIFLKDNVAIHPTQFASERISGRLKLIKQCSSLFMTWIPYKAHSSDARISDKDRNLYTIRAVPFTDIRSVRRHTPALGWQYVIVVLSSGLAYPPLYFYSGGVKEFLATIKQHVLLVRSADDANVFLVNDFQNTLQRTLSSLELPRAVSIACGPSNLSSDESTMNENQERADGGVSSGSVGAPQFHGRPRHKVHDPTRDLSIQVLEKFSLVTRFARETTSQLFGENQSNGFSSSERRTHIQTKLDHPKKPSKLAENVPDESHVVADPLEFDKLSLVWGKPRQPPLDSKEWIIFLDSEGRVTDSEALRKRIFYGGLDHNLRNEVWGLLLGYYSYESTYAEREFLKSVKKSEYETIKNQWQSISLPQAKRFTKFRERKGLIEKDVVRTDRSLTFYEGDDNPNVNVLRDILLTYSFYNFDLGYCQGMSDLLSPILFVMGDESDAFWCFVALMERLGPNFNRDQNGMHSQLFALSKLVELLDSPLHNYFKQHDCLNYFFCFRWILIQFKREFEYENTMRLWEVLWTHYPSEHLHLYVCVALLKRYRKKIMGEEMDFDTLLKFINELSGHIDLDATLRDAEALCICAGENGAANIPPGTPPSLPLDGDASFYVQQDDDDDVL >OIW01076 pep chromosome:LupAngTanjil_v1.0:LG12:660759:674296:-1 gene:TanjilG_14259 transcript:OIW01076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRQRAVTALPNLIRSLRKEPLLKPPHTVSAALPSLRRAFSLYDQINLIDNVPDDQLRFQGYTDTGFTINGVQYEGSLLCVGNLVLSWKPNKFSDITPHSLSLFQVVRPIPVPVNGDGDTAGHSRTVVISEMRPFTMVVPQAAVTVLVTVTPVLVCGYSEEDKGFHGVGRTMFCGGAMVVDSKLELCGDDGGILLCMSEILIIGCGRNIQHVDPEVRRFIRSTGIKLEVVDSVPAQVPDWNMVFADPTLPLMVDIGCGSGRFLMWHAKRNPKVSNYLGLEIRQKLVTRAELWVKDMTLDNMYYPKLYLDDAVKHLCLFLSMLGNDCHAAMQTFLVCKCHNFFQAAGRIVSRTLACPDPHFKKRHHKRRVLQKPLVGAIVDNLIPGGQLFIQSDVLEVALNMRIQFDEFDALKHTDTLNPAMPCDDEGWLLSNPMGIRTEREIHAEFEGAKMYRRLYQKHT >OIW00683 pep chromosome:LupAngTanjil_v1.0:LG12:8340931:8344332:1 gene:TanjilG_09652 transcript:OIW00683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFMGLLCPWLGLMSQNTIFSLSKSYSHSSSKWGHYTLDQALNFVAKNGTVIVCIVSQPYLPFLNNWLISVTREKRQDMVLVIAEDYVSLDKVNERWPGHAVIIPPVLDAENAHKFGSKGFFNFTARRPSHLLKILELGYNVMYNDVDMVWLADPLAKLEGNHDVYFTDDMTAIKPLNHSHDLPPPGKKGRPYICSCMIFLRPTDGAKLVLKKWLEELQLQPWSRAKKSNDQPAFNWALMKTAKEVDLYLLPQAAFPTGGLYFKNKTWVKETKGMHVIIHNNYIVGFEKKIKRFRDYGLWLVDDHAEESPLGRL >OIW00481 pep chromosome:LupAngTanjil_v1.0:LG12:13795708:13799483:1 gene:TanjilG_05831 transcript:OIW00481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNQESTYESRDDFFQQPYSYSSSSWNTGYHEPFSYAESSVNTSYQHKQLPTYIPDNFTSLDQVVSALREAGLESSNLILGIDFTKSNEWTGKHSFNHKSLHSIGNTPNPYEQAISIIGRTLSSFDEDNLIPCFGFGDASTHDKNVFSFHPDHHSCHGFEEALARYREIVPRLKLSGPTSFAPVVDAAIDIVERSNGQYHVLVIIADGQVTRNSDMPHGKLSRQEQATINSIVAASHYPLSIILVGVGDGPWDEMQHFDDNITQRLFDNFQFVNFTKIMSENKEASKKEAAFSLAALMEIPIQYRAAQNLQVASGESVRYQHKKPMSPPKEVIDHDNAVQPVPSMARFEPFEPTAPPATESVCPVCLTNPKDMAFGCGHTTCKECGVTLSSCPMCRQQITTHLRLYT >OIW00769 pep chromosome:LupAngTanjil_v1.0:LG12:7243767:7245024:1 gene:TanjilG_22268 transcript:OIW00769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNLELGQRLHAFIVRSGYREDVSVLNGLVDFYGKCRDIISSEMVFNRIRRENVVSWCSMLSALVQNHKGERACMIFLQARKEEVEPTDFMVSSTLSACAKLGGLELGKSVHALAVKVCVEDNIFVGSALVDLYGKCGSIENTEQVFSEMPRRKLVTGKVAIFKATLLDSWIVEKNRVHVFQANDCSHERNSEIQAMLSKLRREMKEAEYVPHTNLSMFDLEEEERASEVWYHSEKIALAFGLIALPYGVPIRITKNLRICADCHSAIKFISRIVGREIVVRDKNRFHNFEDGLCYCKDYW >OIW00485 pep chromosome:LupAngTanjil_v1.0:LG12:13831498:13832405:1 gene:TanjilG_05835 transcript:OIW00485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNAPGSFGADFGSTSATLPPPVYNKSVYDDGIFDGVTGLKTSSKVQYDDVFASDGGRGGGGGGSGGGVFDDLIGGFSKEPKSSGATRPEKDDKGVSDFDDLLVGFGTSSRPSSSDRLTLNVQLAVGICITRNIEKLSKHITYKHPCAIKLPLCAGSREGLDPLFNSIVGSLIFLLQVDSMVQPVSCDLLVILPKDI >OIW00798 pep chromosome:LupAngTanjil_v1.0:LG12:6458610:6459317:1 gene:TanjilG_18108 transcript:OIW00798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTTSLIIFLLILTLSLSSLPIPSLSQLCNPQDKQALLQIKNQLGNPTQLASWDPSTDCCNTTWQGVSCDGYRETYRINILSLSNLNLPKLCPIPPSIGNLPFLEILDIRNIPNLVGPIPLAITNLTKLNYLYISHTNISSSIPEFMSRIQTLVTIDFSYNKLSGELPGSLSLLPNLDGISFNDNFLSGPIPESYGSFSNLFTSITLKRNQLSGNIPATLAKLNLSFVDLSSNRY >OIV99999 pep chromosome:LupAngTanjil_v1.0:LG12:18460241:18463062:1 gene:TanjilG_26337 transcript:OIV99999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METAGEWLEKALVELCSKIETGLGLGLDEEIIKGLVSYCDLAQPPDAKEYLDNIIGQEVGKAVIEEYLQRRGYSEFTTKTAVPTTKLHAYVKPPSVETSASGTKKSSRAPKSVTVQSSHAETKKNANINNQENKIPASGSEASSSQKVNQGNSKKKKAGKAISLAEAAKGSIVFQQGRPCSCQARRHRLISNCLSCGKIVCEQEGEGPCNFCGALVLKEGSSYAGLEESLPVLSDAEAAAEAYAKRLVDYDRNSAARTTVIDDQSDYYELDGNTWLSKEEKELLRKKQEEMEEAEQAKRNKVVITFDLVGRKVLLNKDEVSESQPENRILRPLDEREVNRIKPNPNLKIQPVFVDPGSSKRSSAKDRQSNKGPSKGLCLEITGRVQHET >OIW00535 pep chromosome:LupAngTanjil_v1.0:LG12:12148783:12151058:-1 gene:TanjilG_24265 transcript:OIW00535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIETIQTAKIYRDLLKAVKKHIVNGKEGSNRHFLEFVTSEFRNNRNLSDAVAVQQNTKLARDYTFLLNSVHHHKDLLFSYNIAIDRSDEVKRTLGKSAASVGLQLPEDLLFSYNIAIDRSDEVKRTLGKSAASVGLQLPEVYQP >OIW01061 pep chromosome:LupAngTanjil_v1.0:LG12:468301:475020:1 gene:TanjilG_14244 transcript:OIW01061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGGVEEGSSLQFTPTWVVALVCSVIVAVSLAVERLLHYGGMFLKKKNQKPLYEALQKIKEELMLLGFISLLMTVSQNSISKICVSKSWTEHMLPCSREDLEKEGSKTPISHFQTFFSFSGNARRLLSEGQAAPEVKSGYCSSKNQVPLLSIEALHQLHIFIFVLAIVHVTFCVLTIVFGGLKIHEWKNWEDSIAKENYETQRVMNPKVTHVQQHAFIKDHFTGFGKDSSLLGWVKAFFKQFYGSVTKLDYVTLRLGFIMTHCRGNQKFNFHKYMVRALEDDFKIVVGISWYLWIFVVLFLLLNISDWHTYFWISFVPFILLLSVGTKLEHIITQLAHDVAEKHAAIEGELVVQPSDDHFWFHRPYIVLFLIHFILFQNAFEIAFFFWIWVTYGFDSCIMGQVSYIFPRLIIGVFIQVLCSYSTLPLYAIVTQMGTHFKKGIFDEQVQARLVGWAQNAKKKGVKGDSQHGEGSSHSNGAVGVQLGSIFKKQSAPEDNAIVPTNEESKLI >OIW00526 pep chromosome:LupAngTanjil_v1.0:LG12:12047623:12048831:1 gene:TanjilG_24256 transcript:OIW00526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTMQETLFFTTSLLILALITLYTTTTSAQLSPIQPPTTSPPASPLSSPPLSQPSPPAATAPAPGFNTNPIVPVTPSSAPTATIIPKSPTIDIINILGKAKRFSVLTRLLKSTQLVNQLNSQLLTSGSGGLTIFAPEDSAFSKLKAGFLNSLSDRQKVELLQFHTLSSFISISNFDTLTNPVQTQAGNDAQRLQLNVTTFGGNQVSIATGAVNATITGAVYTDSKLAIYQVDKVLLPLDLVLPSKAPALAPVAAKKGGLHKMNSSSTEDSSSSVGGGDESDSALPVEISAGYVSYKVGLMWVSFVVGGGLVGGTMI >OIW00469 pep chromosome:LupAngTanjil_v1.0:LG12:13648573:13651169:-1 gene:TanjilG_05819 transcript:OIW00469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSSTNLATALTMLKSLLDASADSKNRTVAATTCLELLHNSNRRISLADESLPRGKTKDARAWLSAALAYTYDCWNSLKYANDTKQVGETMSFLDSLSTLNSNALSMAFNYDAFGNDTASWKPPTTERDGFWGTIGSGYGSRPVTEFPDKSNADVTVCKGEESGCLKTVQEAVNKAPDNVNGGEGKRFVIYIKEGVYKETVRVPLEKGNVVFLGDGMGKTVITGSANVGVAGMTTYNSATVAVLGDGFMAKDLTIENTAGPDAHQAVAFRLDSDLSIIENCEFLGNQDTLYAHSLRQFYKSCHIEGNVDFIFGNSASVFQDCQILVRPRQVKPEKGENNAVTAHGRTDPAQATGFVFQNCLINGTEEYMALYHSKPTVHKNFLGRPWKMYSRTVFLQCQLEVLVTPQGWMPWDGDFALKTLYYGEFENSGPGSDLSQRVPWSSKIPAEHVLTYSAQNFIQGDDWIPSSQLSSAQGDKNN >OIV99868 pep chromosome:LupAngTanjil_v1.0:LG12:17574764:17579729:-1 gene:TanjilG_26206 transcript:OIV99868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGWDEGGIYYSDQANSWEGEADASNHSLLLKFNEFIRNFESHNNVFPYRESLLHNPNSLLIDVEDLNSFDPELPAKLRSSPSDFLPLFETAAAQVLSGLKTKVVGDSGLMEDAATGDVQILLTSKEDAISMRSLGAQYISKLVKISGITIAASRTKAKATYVTLICKNCKNGKQVPCRPGLGGAIVPRSCDHVPQPGEEPCPIDPWLVVPDKSKYVDQQTLKLQENPEDVPTGELPRNLLLSVDRRLVQKVVPGSRLTVIGIYSIYQASNSSTSHKGAVAVRQPYIRVVGMEESNDAKSQGDAAFTTEEIEEFKKFAAEPDAYKNICSKIAPSIFGHDDIKKAVACLLFSGSRKNLPDGVKLRGDINVLLLGDPSTAKSQFLKFVEKTAPIAVYTSGKGSSAAGLTASVIQDSSTREFYLEGGAMVLADGGVVCIDEFDKMRPEDRVAIHEAMEQQTISIAKAGITTVLNSRTSVLAAANPPSGRYDDLKTAQDNIDLQTTILSRFDLIFIVKDNRNYNQDKIMASHIIKVHASADATMGENRVPKEENWLKRYLQYCRAVCHPRLSESAASLLQNNYVKIRQDMRQQANETGEAAAIPITVRQLEAIIRLSEALAKMKLSNSANEENVQEAMKLFNVSTMDAAKSGINQQINLTPDMANEIKQAETQIKRRIGIGNHISERRLIDDLSRMGMNESIVRRALLIMHQREEVEYKRERRVIYRKA >OIW00361 pep chromosome:LupAngTanjil_v1.0:LG12:12573137:12574755:1 gene:TanjilG_05711 transcript:OIW00361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLENSGVRDSELSVETANSTQDRSSMSTDIDSICSTSFTRLSFDLFPTPPSPESGSSVSLKPHRSSDFVNSATFRRRCSKLTFRDFHLLRRIGQGDIGTVYLCRLRNTLDEEDDVSNRLYAMKVVDKNAIAMKKKAQRAETERKILKMLDHPFLPTLYAELDASNFSCIVMEFCSGGDLHSLRHKHPHNRFSLTTARFYAAEVLLALEYLHMLGIIYRDLKPENVLVRSDGHIMLSDFDLSFCSDSIPTVQSSDCFQDTPALPYTRPLINPFSCFSNKAFRSRKVQTVQPDRLFVAEPVDARSCSFVGTHEYVSPEVAAGGSHGNAVDWWSFGVFIYELIYGRTPFAAPSNEATLRNIVKKPLTFPTATPSSALERHARDLISLLLNKEPAQRLGSKRGAAEVKKHPFFKGINMALIRTVTPPDVPGLRRRKTTPLCNAEGFESSSIRKLTSTTSFDYYF >OIW00389 pep chromosome:LupAngTanjil_v1.0:LG12:12851392:12854852:1 gene:TanjilG_05739 transcript:OIW00389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVFVFFVLGGVFGLIIGVSLPTLSIKKLNLQSGLVSSFNLPCIQNKDIGNDNISSLQDRLSNETSKIWVSTNPRGAEKLPPGIVNAHSDFYLRRLWGMPSEDLTSKPKYLVAFTVGYQQRENIDANVKKFSENFTILLFHYDGRTTEWDEFEWSKKAIHVSAHKQTKWWYAKRFLHPDIVASYDYIFLWDEDLGVENFNAEEYLKLVKKHGLEISQPGLESRKKLCWNMTKRRDDIEVHKETQEKPGKCKYPTLPPCAAFVEIMAPVFSRDAWRCVWHMIQNEFVHGWGLDFAFRKCVEPAHEKIGVVDAQWVVHQGIPSLGNQGKAQTEGNQTKTAARAVKERCGREWRMFQSRLTNAEKGYYRSKGIDFSNLLVHN >OIW00741 pep chromosome:LupAngTanjil_v1.0:LG12:9563938:9567261:-1 gene:TanjilG_09710 transcript:OIW00741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYYPFWGQNRPSYCGSNKQFELKCEGQQNTSIQTDSQNFRVLRIDPTSYTMTLIREHLAYDQCDSSRLTNNSLSTSFFHYMPNVRNITIFYDCPNNSLSYGNSSFPCKGEAKKRAFYVDRSSTTDVQNCSQGFSVDVQVTQEIGDEGGIEGLNKALSYGFVVNYTADYPKCIGCLRSDGTCGSNDTSQFTCYCSNGTQALDCSQKISGNHVNWKRNVAIAASAAVASAATLSIAFYVYIQRKKKKFQAVSSMSSQTQIMSCSPSLEDHEKEGKRFGLRCFTFSELEEATDNFDKARHIGDGAFGTVYLGKLKDGRFVAVKRMNDNNYRGVEQFDNEVEIITGLRHPNLVSIYGYCTSSRSHELVLVYEYVPNGTVADNLYGKKAKPGALPWNIRMNIAIESADALAYLHASDIIHRDVKTHNILLDDHFRVKVADFGLSRLIPNNFTHISTAPQGTPGYVDPEYHEYYHLTDKSDVYSFGVVLIELISSLPAVDITRRRHEINLSTMAINKIRNTALHELVDTTLGFESDSKVRKMINAVAELAFQCLQSSKDVRPSMLEVLDRLKDIQNDGKYKSKPEVLDISEDDATLLKNEPPPTSPDFNVVSTNIPSNSDNK >OIW00895 pep chromosome:LupAngTanjil_v1.0:LG12:3013030:3013388:-1 gene:TanjilG_20896 transcript:OIW00895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGRDDDDQIVVQIVEATTTGRPDCRVLKRIIMQIVEATTTGSAEAATTNGEDGIDDDDQIVVQIVEATTTGKLDCKGDDNYSLES >OIW00661 pep chromosome:LupAngTanjil_v1.0:LG12:8062622:8076058:1 gene:TanjilG_09630 transcript:OIW00661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISRDWVIKRKRRKLTSGLDQSAGKDPSKSNGKEDNSGTSESSRSASGKRMVDTEVATDRFSSKKKGIDGHYFECVVCDRGGNLLCCDSCPCTYHLQCLDPPLKRIPTGKWQCPSCSQGNDQLKPTSHLDSITKRARTKIATIKSKDGGNPLNLDKISRIFGDKLISKKRSASKGKSKSTIGIKFFEKKPSSPSEDETCSNKPSDPNLESTIEGTSSCVDADEKKSNMSPPASPKDTEPTSPAKEVSSPSKMTNLEENDKQLEIKKTTLRKQLVLGLAAFEDKAIQLRKRKHKDVSNNASRKKCRTEKGKFFVNAPIKFKSGENKVHMKQKSVTHSISVSVSKEDVGNKNSDVQQKDEKFPKILKDKSNRPDKARSLVHQTLMHEDSAILESLQVDQVLGCRVQSEKTNSLRHLSLTIVNDPPPGDLEISKAQNGQQQDNSACDNDFDVGTAESLDDPQNVIKNSDQEEILNNTKRVEGIHVYRRSTTKESNKRNPTDSLSKATDDLGSCANNGKVQDDSSSVSAENLEKANDKVEAEEKINIASRGEDNSELPKICDQVSLETKPKEMDVEKGTHSSVDNKDPEANMAESSCLNRENVSYEFLVKWVGKSHIHNSWISESQLKVLAKRKLENYKAKHGMAIINICQECWKQPQRLLALRTSESGTSEAFVKWTGLPYDECTWESLDESVLQNYSHLITLFKKFETLTLEKDASKENSTIKGNDPQNDIFNLTEQPKELKGGSLFPHQLEALNWLRKCWYKSKNVILADEMGLGKTISACAFISALYSEFKVSLPCLVLVPLSTMRNWLSEFATWAPDVNVVEYHGRAKGRAIIRQFEWHASDPGGLNKKTEAYKFNVLLTTYEMILADSSLLRGVPWEVLIVDEAHRLKNAQSKLFSLLNSFSFQHRVLLTGTPLQNNLGEMYNLLNFLQPASFPSLASFEENFNDLPTKQKVDELKKLVAPLMLRRLKKDAMQNIPPKTERMVPVELSSIQAEYYRAMLTKNYELLRNIGKGVAQKSMMNIVMQLRKVCNHPYLIPGTEPESGSVEFLHDMRIKASAKLTLLHSMLKILYREGHRVLIFSQMTKLLDILEDYLSVEFGPKTYERVDGSVSVTDRQAAITRFNQDKSRFVFLLSTRSCGLGINLATADTVIIYDSDFNPHADIQAMNRAHRIGQSKRLLVYRLVVRASVEERILQLAKKKLMLDQLFINKSENQKEIEGILKWGTEELFSDSPGLNGKGTDENENSNKVETVAEVEHKHRKRTGGLGDVYQDKCTESSSKILWDENAILKLLDRSNIQDVSADNAEADSENDMLGSVKALEWNEESTEEHEIGESPPDGTDDTCTHNSENKDDNVVVGNEENEWDTLLRLRWEKYQRDEEEALGRGKRQRKAISYREVCAAHPSETMSEGGGDEEKVPEPEPEREYTPAGRALKAKYAKLRARQKERLARRNEVGGSQPADGVIVTESVPQSEANVKGGDLGSGPTHPVQEGPSINLENSKHAQLSEAQNSNADLFSRIDRLSKQKMTSHMNVSVNNPGRSLPDIFLPNHHYQGSLKSMNSVPKNNLLPVLGLCAPNAKQTEPSEHNVSKLNWRQNRNGARQEFPFSLAPCSEASMNAEARSLEARAYAKLSEASTSNLQYSSRNRMLDNSLPFAPFPPAVQGKEANVFENSGPRLAAFHEKMPLPFDERLLARFPLTSRSMANSHLDRLPNLSLGGTFEALSGSTQDFPMPALPNFKVPPEDLFGHNQQERDMPPTLGLGQRSNTFSSFPENHRKVLENIMMRTGSGSSSLSKKKSKSDGWSEDELDSLWIGVRRHGRGNWDVMLRDPKLKFSRNKTPENLSMRWAEEQMKLFQGPRPSKMANANSTKSAHLPISEGMMERALQGSGFVIPPKFQNHMTEMKLGIGDPATGMPHFLPLSSWAYEKNRAQFPEDAAAETSDRLGTSSSVPTERPFLLDSFGTSHFGSLGVNYPGNLSKRQKEDEQENTKHGKMPVVLDESPNDMLDNRINVGNGESTSSGLLSNPIRSDLLHSIGEEVAGSSTSKGKLPHWLREAVSPPSVLPDPELPPAVSAIAQSVRMLYGEDKPAIPPFVIPGPPPSLPKDPRSSLKKRKRRSHKLNPVQPDFTGTSRDIHSSRNVDNGASSSTPLALLSQTDSDLNLPPLKKIGSGLSPSPEVLQLVASCLASDPLHLTSTSGPSSFLGSKLPMPVGRAKFKDPESVFRNKKQPRQMSPAWHPPLEHEVVDIDSGDSSKTQSDPSRTDRLDEQVEVSSEGTVSDHAVRDQET >OIW00190 pep chromosome:LupAngTanjil_v1.0:LG12:16250021:16250329:1 gene:TanjilG_29180 transcript:OIW00190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASWKKTITTPFKKVSTLFNQQPPRDNNAKKAQAEQEKRVMDLQGEVMACGYEDVQVMWSMLDKSKSTHCNISS >OIV99743 pep chromosome:LupAngTanjil_v1.0:LG12:16640187:16642705:-1 gene:TanjilG_26081 transcript:OIV99743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLAGIKSVDNAHDDSVWAVTWVPATANRPPLLLTGSLDETVRLWRSDDLVLERTNTGHCLGVASVAAHPLGSIAASSSLDSFVRVFDVDSNATVATLEAPPSEVWQMRFDPKGAFLAVAGGGSASVKLWDTTTWEMFATLSIPRPEGSKPTDKSGSKKFVLSVAWSPDGKRLACGSMDGTISVFDVLRAKFLHHLEGHFMPVRSLVYSPYDPRLLFSASDDGNVHMYDAEGKALIGTMSGHGSWVLCVDVSPDGGAIATGSSDRTVRLWDLNMRASVQTMGNHTDQVWGVAFRPPGGTDVRNGRLASVSDDKSISLYDYS >OIV99973 pep chromosome:LupAngTanjil_v1.0:LG12:18296904:18298142:-1 gene:TanjilG_26311 transcript:OIV99973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENRRENSSSGDLRTGSATNLGEFQHTSPLTEQGHGSIVNNHLGAQPSALGNNTTPPSSSSPNPFQNQPLVYENVPMKVQGATSTPPKGAAKSSDDDIPINKGQEIQNPPVQVMERQGDSSTNSPYAFPSHVFARNNTNAPVEWSTASNESLFSIYMGNMSFSNEIPNFSGGNKYTELDKQGETSMFDQPPNNVASSPSHQPTAETTPVNKFNDISQRTAEMHVECSKAKATEAKAFETMREVIMETSRTNENAGEGGDDKNSNTRHQSDGSTQSFAFQSSVSSKGEEKQKQHKQTEKEQKETTNEVDENETSKSTTNPPSKGWLSRFSCCS >OIW00719 pep chromosome:LupAngTanjil_v1.0:LG12:9113891:9117298:1 gene:TanjilG_09688 transcript:OIW00719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENNPLQLFPHLTLTLILMMIILFPIITEEAAVVSSIKTDAMSLLMFKKILKDPNRILSGWQIDKNPCTWYGVSCNQGRVTQLDLSGNSNLVGTTISLDPLSSLDMLSILKLSLNGFSVNSTSLLQLPYSLTQLDLSFGGVSGPVPDNFFYKCPNLVVVNLSYNNLTGPIPEKFLTNCDKLQGLDMSSNNLTGSISGLKIECNSLLQLDLSGNHLSDSTPISLSNCTSLKTLNLANNLISGEIPKGLGQLNKLQSLDLSHNQITGWIPSELGNACSSLLEVKLFFNNISGSIPYSFSSCTWLQLLDISNNNMSGQLPDSMFQNLGSLQELRLGYNAFSGPFPSSISSCKKLRIVDLSSNKISGSIPRDLCPGAASLEELRMPDNLIIGEIPAELSKCSQLKTLDFSLNYLNGSIPDELGELENLEKLIAWFNGLEGKIPPKLGQCRNLKDLILNNNQLRGGIPKELFNCSNLEWISLTSNGLTGEIPREFGLLTRLAVLQLGNNNFTGEIPGELANCSSLVWLDLNSNKLTGVIPPRLGRQQGEKSLFGILSGNTLVFVRNVGNSCKGVGGLLEFYGIRPERLSQIPTLRTCDLARLYSGPVLSLFTKYQTLEYLDLSYNELRGKIPDEFGDMVALQVLELSHNQLSGEIPSSLGQLKNLGVFDASHNRLQGEIPDSFSNLSFLVQIDLSNNDLTGQIPQRGQLSTLPATQYANNPGLCGVPLPECQNDNSQATPNPIDDSGMRRHRSSASSWANSIVMGILISVASLCIIIVWAIAMRVRRKEADEVKMLNSLQASHAATTWNIDKEKEPLSINVATFQRQLRKLKFSQLIEATNGFSAESLIGCGGFGEVFKATLKDGSSVAIKKLIRLSCQGDREFMAEMETLGKIKHRNLVPLLGYCKVGEERLLVYEYMEYGSLEEMLHGRVKTRDRRILTWEERKKIARGAAKGLCFLHHNCIPHIIHRDMKSSNVLLDHELESRVSDFGMARLISALDTHLSVSTLAGTPGYVPPEYYQSFRCTAKGDVYSFGVVMLELLTGKRPTDKEDFGDTNLVGWSKIKVREGKQMEVIDPDLLSVNRGTDEAEVTEVKEMIRYLEVSLRCVDDLPSRRPNMLQVVALLRELMPASTDGSSNSA >OIV99931 pep chromosome:LupAngTanjil_v1.0:LG12:18015117:18015594:-1 gene:TanjilG_26269 transcript:OIV99931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGNVAANSPLERTSLASLDQKLALAKRCAHEGVIAGTKAAVVATVATAIPTLASVRMLPWARANLNPTAQALIISTGYFTNHC >OIW00238 pep chromosome:LupAngTanjil_v1.0:LG12:14668289:14673925:1 gene:TanjilG_27489 transcript:OIW00238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARVLAQSINIPGLVSGQRNSQHKGSGKSKRPVKMMSTLRMPGIRLTTFSGLHASNPLDTMLRPGQDFHSKVLTATSSRRARASRCVPKAMFERFTEKAIKVIMLAQEEARRLGHNFVGTEQILLGLIGEGTGIAAKVLKSMGINLKDARVEVEKIIGRGSGFVAVEIPFTPRAKRVLELSLEEARQLGHNYIGSEHLLLGLLREGEGVAARVIRMVGESADSVPASVGGQGSGSNKMPTLEEYGTNLTKLAEEGKLDPVVGRQPQIERVTQILGRRTKNNPCLIGEPGVGKTAIAEGLAQRIANGDVPETIEGKKVITLDMGLLVAGTKYRGEFEERLKKLMEEIKQNDDIILFIDEVHTLIGAGAAEGAIDAANILKPALARGELQCIGATTLDEYRKHIEKDPALERRFQPVKVPEPTVDESIQILRGLRERYELHHKLRYTDDALVAAAQLSYQYISDRFLPDKAIDLIDEAGSRVRLQHAQLPEDARVLDKEVRQIVKEKGEAVRNQDFEKAGELRDKEMDLKAQISALVEKGKEMSKAESEAGDEGPVVTEVDIQHIVASWTGIPVDKVSADESDRLLKMEETLHKRIIGQDEAVKAISRAIRRARVGLKNPNRPIASFIFSGPTGVGKSELAKSLASYYFGSEEAMIRLDMSEFMERHTVSKLIGSPPGYVGYTEGGQLTEAVRRRPYTVVLFDEIEKAHPDVFNMMLQILEDGRLTDSKGRTVDFKNTLLIMTSNVGSSVIEKGGRKIGFDLDYDEKDSSYNRIKSLVTEELKQYFRPEFLNRLDEMIVFRQLTKLEVKEIADIMLKEVFERLKTKEIELSVTERFRERVVDEGYNPSYGARPLRRAIMRLLEDSMAEKMLAREIKEGDSVIVDADSDGNVIVLNGSSGAPDSLPEALSV >OIW01059 pep chromosome:LupAngTanjil_v1.0:LG12:450213:450656:1 gene:TanjilG_14242 transcript:OIW01059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDRKGDVRIYLIYVFFFASIIGGGVFLSLYIVQPHDSQYTTWYVIIGMTLVSIPWFFWFLLYLYTCFCFETYDVQFVDEGSRATKSPPTTSISNSWDAKSPLHSPIGGGESRVHFGVVVEMGDEFGGGDDQQQHHHHEDDIEKLQL >OIW00233 pep chromosome:LupAngTanjil_v1.0:LG12:14621919:14624013:1 gene:TanjilG_27484 transcript:OIW00233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNTEKNSESSDSPIATPVAKQPTLEVVETKSERIKATRHPRWTRQETLVLIEAKKMVENGEQLFRFKSSYGLLNNSDPKWEIVSSCCQQQGVKRGSVQCRKRWGNLLTDFRKIKKWESSVKDEEKQQHPSCQGNYYPGCHREPMFQEGHKRKRSSPDNSEDATDFSNNIIKVLRRNTNIMKAYLGAQNINQQLARDQQKEQSDNLVAALGKLTDAMTKIADKL >OIW00960 pep chromosome:LupAngTanjil_v1.0:LG12:2194144:2200042:-1 gene:TanjilG_10038 transcript:OIW00960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGVAAMISSSSACSSSSWNRGSIPISKPNPSFKTKTRRTTFTWLNPKPRAALVEAKPPPPPPTTTTSAPRNGSVKVLALPGDRADDLKAETKAMARAVNATVYSPQLVASKYGSQPFKLVGRTLQIVSEFASFGLKLFLDQRNGVLYQNRRIRAVELRNIFTRLGPTFVKLGQGLSTRPDICPPEFLEELSELQDGLPTFPDEEAFACIEKELGQSLDSIYSSITPSAVAAASLGQVYKAQLKYSGKLVAVKVQRPGIEEAIGLDFYLVRGLGFLINKYVDTITTDVIALIDEFARRVFQELNYVQEGQNARRFKKLYADKEDIFVPDIFWEYTSGKVLTMEWVEGVKLNEQEAIEKQGLKVLDLVNTGIQCSLRQLLEYGYFHADPHPGNLLATPEGKLAFLDFGMMSETPEEARFAIIGHVVHLVNRDYEAMARDYYALDFLSTDVDVTPIVPALRNFFDDALNYTVSELNFKTLVDGLGNVLYQYPFNVPAYYALILRSLTVLEGLALYADPNFKVLAASYPYFAKRLLTDPNPYLRDALIELLFKDGKFRWNRLENLLVQGRQDRDFSADEALQPVLKVLLSPDGDDLRNLVIREAVRVSEAFTLSTISQTYQYVPEFLRTLVFNGNANGPLEVPETEMQSMIEVRDQVLRVWRLLQSSNDFDPAILLPILQVLQQPEARRLGGRIVGGVTQRLAARFLQQLLRVPTPTSA >OIV99788 pep chromosome:LupAngTanjil_v1.0:LG12:17026988:17029826:-1 gene:TanjilG_26126 transcript:OIV99788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSTSQFDGNAAFSGGGFMPSQATQATDSSSFSRNRDAQSLLPLTVKQINDAFQSSDDKTNLIIDGVDVNNVTLVGRVCNKAGRITDVTFVLDDGTGRIECNKWLQEAVDSNEVDGILDGMYVRLHGHLKGFQGKRSLNVFSFRPVTDFNEIASHFIDCVYVHLYNSKIRLQAGVPNQQHVTNSTPITPTKGYQAYAVPPNQFSGQPNNSQFTSQPKNAPKSVEDMVLDILHLPKNRSRDEGVPRDLIAQHLGIPMDKLMSLSSLTSLLKLSKILKFIDSFRFCRLAIKNLIEEGNIYEAIADHYKSIING >OIV99982 pep chromosome:LupAngTanjil_v1.0:LG12:18354256:18355977:-1 gene:TanjilG_26320 transcript:OIV99982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEVVYEKIEAEYDDEKANPIEGLIIKKDSPKAISRYLSGRTGSCHDACKYGTERAFEAKPWKTIKKKVATRESKIEVPQEDVTCFARNKKSGSSKPSPVSKTGKSNIHVEIIKEVITSEKNSTPLEETYVSIELNNSGIRQTQSEPSSISVEEVSKNKTKREIVKNKSGYGSSSRKETATEIRSKQKRTSLIGGKEKSKLRSNRLSLKHSAEKPSTSSSKPTKNLTEESSMKTNSNVEEAKPEELESNENLPFIMQVIELTNADLCEKPTQACDANKVPSPPPSSLGDRSLKQTIKKSSKLGVSTASSRKGLKHGILSNMSHISSGDKGKRNMLHKTGSASRSPSVLSYISSSNLSLGKLNVATSYKSNRTGHGSEGENVKVGYKIRPKLSTIVGAANMVVPARKLTFKRGRVLELQPQSNNIARRLKFRPARLLGDDFQNNVNGTIRRIITSKEGDNSESNAANIKAEKIVYKYQTVEGSKRRSIVRKIGEDRSKIDGSKSGSEKVVSRREIIVGEKLNPRLYNNVIEETATMLAGLRKSNVKALVGAFETVISLDSPRAEATPVEVSTPC >OIW01030 pep chromosome:LupAngTanjil_v1.0:LG12:198267:201061:1 gene:TanjilG_14213 transcript:OIW01030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSHSCNVYDKIVEDKTYDNNRLVELEDEASCSSCSGPHLLSLVDKENGYDAKGKRVINLKRKSGIRRRRRGNYDFGKFSLVVPSRNLQSEVASTSCLPRDGSISKGQTNKSITRASEKEVNTLDIEDAQTGHDLDEQTCHSYEFNGSMVDSPGRDKYSSSSENYLSDAQDDIQVVGNEENRIKMLENALEEEKAAYAALYLDLEKERAAAATSADEAIAMISRLQEEKASIEMEMRQYQRMIEERVNYDEEEMNILQDMLIRREMENHFLEKELEAYRKLDSRGNDQSNGKPKVMFDEWGQRPAISVERHEDPLQSVNNTMPIVEKDEISNSSSNYMVAQTCINTEVGEELEKNTQQKDQAHGNLHSSIYDAEPDVLDVHVIDENIELREEEDEKISCSSFSIDSNEPRNRYVDFGGNCPRTSKMVSDTSVDGSTSQLSTLSSARCKTLPFDSGSDPSRAVHNEKLKIDKEIEFLGERLRIVQLEKENLALFAENGENEKGRLKLLEEITDYRLQIKQLRNPLRGTSLPPLSAKVSLRKIRGEIASLETSESS >OIV99724 pep chromosome:LupAngTanjil_v1.0:LG12:16523887:16524366:1 gene:TanjilG_26062 transcript:OIV99724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPASMYNFMNQPSSDSKQGKLSVVSSIRTFQCNFCHRKFYTSQALGGHQNAHKLERAAIRRTNNLSFTHNNASTLDFNTCSSFEPPHPHFFGAHASYWFQMEQPFQFQTHHHQHVAPVNTTVPVSYHASASTSSTSTNNASASDASDHVNLDLTLRL >OIW01039 pep chromosome:LupAngTanjil_v1.0:LG12:269916:273819:1 gene:TanjilG_14222 transcript:OIW01039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIPRGRGGSGGGFRGRGGGDRGRGRGFGGRGGDRGGTPFKPRGGGRGGARGGRGGRGGRGGGMKGGNKVVVEPHRHEGIFIAKGKEDALVTKNLVPGEAVYNEKRITVQKEDGTKDEYRIWNPFRSKLAAAILGGVDNIWIKPGARVLYLGAASGTTVSHVSDIVGPTGVVYAVEFSHRSGRDLVNMAKKRTNVIPIIEDARHPAKYRMLVGMVDVIFSDVAQPDQARILGLNASYYLKSGGHFVISIKANCIDSTVPAETVFASEVNKLKADQFKPFEQVTLEPFERDHACVVGGYRVPKKKKDAE >OIW00261 pep chromosome:LupAngTanjil_v1.0:LG12:14921524:14924246:1 gene:TanjilG_27512 transcript:OIW00261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWNLKSPSLDLTEVDQGSTFPSMETMDEGSSRFGVYRAKGEFSVDLKLGQVGNFGAESMLSKPKYAASDAGLSKMASTLASGSSKRARAFNNGNHTVTCLVDGCNSDLSNCRDYHRRHKVCELHSKTPQVTIGGQKQRFCQQCSRFHSLEEFDEGKRSCRKRLDGHNRRRRKPQPEPLTRSTSFLSNYQGTQLLPFSGSQIYSSNTMMNPGWSGGVVTSSADVRLHSHHQQQMNFIEKQDLFLGSSPTSYKEGKQLAYLQGDHNPTVTLNNQNTHHLQTLLRTSPYSESSGGLRCKMFCDDSLTSSVHDSPCALSLLSSPQTHNNSGNGLNQMVQPHSSSLMQPLGLSLHDNNSLESVDPVLDPNGSDHCSSMYNIGSNGSQGSDVPQLFPFQWE >OIV99866 pep chromosome:LupAngTanjil_v1.0:LG12:17565128:17569583:1 gene:TanjilG_26204 transcript:OIV99866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSDISFEELKNENVDLENIPIEEVFKQLKCSREGLTTVEGENRLQIFGPNKLEEKQESKFLKFLGFMWNPLSWVMEAAAIMAIALANGGGKPPDWQDFVGIVVLLIINSTISFIEENNAGNAAAALMAGLAPKTKVLRDGKWSEEEAALLVPGDMISIKLGDIVPADARLLEGDPLKIDQSALTGESLPVTRNPGDEVFSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDSTNNVGHFQKVLTSIGNFCICSIAVGMLIEIVVMYPIQQRAYREGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSEQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKAMIEVFPTNMDRDTLVLHAARASRTENQDAIDASIVGMLGDPKEARAGITEVHFLPFNPVDKRTAITFIDGQGKWHRSSKGAPEQIIELCDLKGETLKKANHIIDQFAERGLRSLGVAHQTVSEKTKESAGDQWEFLGLLPLFDPPRHDSAETIRRALDLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSYLLGNNKDSSIATLPIDELIEKADGFAGVFPEHKYEIVKRLQDMKHIVGMTGDGVNDAPALKKADIGIAVDDATDAARSASDIVLTEPGLSVIVSAVLTSRAIFQRMKNYTIYAVSITIRIVFGFMLVALIWKFDFSPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLKEIFATGVVLGAYMAIITVVFFYLVHDTDFFTRVFGVKPLADSEEMLNSALYLQVSIISQALIFVTRSRSWSYVERPGFLLMAAFLAAQLVATVIAVYAHWDFARIHGFGWRWAGVIWIFSIVTYIPLDILKFLIRLGLSGRAWDNMLENKTAFTTKKDYGRGEREAQWAVAQRTLHGLQVPESHKSNNNEQSDIAEQAKRRAEAARLRELHTLKGHVESVVKLKGLDIDTIQQHYTL >OIW00341 pep chromosome:LupAngTanjil_v1.0:LG12:15546847:15550591:1 gene:TanjilG_27592 transcript:OIW00341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANASRFIKCVTVGDGAVGKTCMLICYTSNKFPTDYIPTVFDNFSANVVVEGTTINLGLWDTAGQEDYNRLRPLSYRSADVFVLAFSLVSGASYENVLKKWIPELQHFAPGVPVVLVGTKLDLRQNKHYLADHPGLVPVTSEQGEELRKLIGAAYYIECSSKTQQNVKTVFDAAIKVVIKPPPKQQEKKKKPRRWCPLDVMCGRNNVHHK >OIW00014 pep chromosome:LupAngTanjil_v1.0:LG12:18557229:18559891:-1 gene:TanjilG_26351 transcript:OIW00014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEGTKKSIGGGGGGGGGGGGGGGGGLMSKPNSDDRNLSALPSAVPTKKVIIKSADMLPEMQKEAVDIAVSSFEKYNVEKEVAEHIKKEFDKRHGPTWHCIVGRNFGSYVTHETNHFVYFYLDQKAVLLFKSG >OIW00672 pep chromosome:LupAngTanjil_v1.0:LG12:8208750:8214231:1 gene:TanjilG_09641 transcript:OIW00672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSIRNLQLTSTVGKASLAAGDSSDNLEDVRLLDSYDEVDVSSTDGTKRIQVRITGMTCAACSNSVETALKSVNGVVSASVALLQNKADVVFNSNHVKEEEIKNAIEDAGFEAEILPDSKPNNTVKTKPHESTLVGQFTISGMTCAACVNSVEGILRNLTGVKKAVVALATSLGEVEYDPNVIGKDEIVTAIEDAGFEASFVQSSEQDKVVLGVDGVYSLVDAQVLEGMLTSSIKGVRQFRFDPISNELDVVFDPELINARSLVDGIEMGSNGKFKLHVRNPYARMASKDGVETSNMFRLFLSSLFLSIPLFFMGVICPHIPLIYSLLIWRCGPFLMGDWLKWALVSVIQFVIGKRFYIAAGRALRNGSTNMDVLVALGTTASYVYSVCALLYGALTGFWSPTYFETSAMLITFVLLGKYLETLAKGKTSDAIKKLVELTPATALLVVKDKGGKSIGEREIDSLLIQPGDTLKVLPGTKIPADGIVTWGSSYVNESMVTGESIPVSKEANTSVIGGTINLHGALHIQATKVGSDTVLSQIISLVETAQMSKAPIQKFADFVASIFVPTVVALSLLTLLCWYIAGALGSYPEEWLPENGNHFVFALMFSISVVVIACPCALGLATPTAVMVATGVGANNGVLIKGGDALERAQMVKYVVFDKTGTLTQGKATVTTAKVFTGMDRGEFLTLVASAEASSEHPLAKAILQYARHFHFFDESSPNSGTQSDAKELKSGWLYDASDFSALPGRGVRCFIDGKLILVGNRKLMVESGINISMEVENFVVELEESAKTGILVAYDDVLTGALGVADPLKREAAVVIEGLQKMGVTPIMVTGDNWRTARAVAKEVGIQDVRAEVMPAGKADVIRSLQNDGSVVAMVGDGINDSPALAAADVGMAIGAGTDIAIEAADYVLMRDNLEDVITAIDLSRKTFSRIRLNYVFAMAYNVVSIPVAAGLLYPSLGIKLPPWVAGACMALSSKKTGLLRIFCFKWDLGFNILTLDDFV >OIW00936 pep chromosome:LupAngTanjil_v1.0:LG12:1636196:1640680:-1 gene:TanjilG_10014 transcript:OIW00936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYRRRQGMLRTSTFKEEIHNNNNNNNNLDENGTINNNDLLNSHNHSTLSSSFSFTPTSSSSSSSSSSLAAQAIKASASRHDPSLSFSFSTPSDHQRSKSFDTYGEVLGKGDPKNGFWGVLAQKAKEILEDEISSPPQNDIVLDKLKSYSFKTFTPNVLPLDGRTSVENKNEMEVKEDQGWQQQQQAKSQTIHETQLKASRDVAMATAAKAKLLLRELKTVKADLAFAKARSAQLEEENKLLRDREGREKGQNRADDDLIRLQLETLLGEKARLANENETYSRENRFLREIVEYHQLTMQDVVYLDEGTEEVTELYPIHDARIMSMSTTRSSSPLGNGKYIFPIPQEVDENEDYNYKNTRSEDEAPPICDDNHAK >OIW00860 pep chromosome:LupAngTanjil_v1.0:LG12:4048791:4049156:1 gene:TanjilG_12801 transcript:OIW00860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKALPELLKEYDLPIGIFPRDATNYEFNEETGKLVVYIPQVCEVGYKDSSVLRFFTTVSGYMEKGKLADIEGMKTKVLIWVKVATIASEGSKLYVTAGMKKTRSREAYEVTRDGICVDKF >OIW00039 pep chromosome:LupAngTanjil_v1.0:LG12:18702491:18707097:1 gene:TanjilG_26376 transcript:OIW00039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRALSSLLFRSSRKKQPFGGFSIANFSTQNVDDSHHSRLQIFDRNLKRKQRDRAAWLMSSKDPLLDNVADNLLDRLHDCKKDFPTALCLGGSLQAIRRSLNGRGSIEKLIMMDASSEMVQACKNAADVSNNDNIESVYVVGDEEFLPIKESSLDLVISCLGLHWTNDLPGAMKMNETDFEAIIWELRIACTMAQMERDGGISPRVSPLAQACVRDAGNLLTRAAFTLPSVDVEEYTVKYESALELIEHLRSMGETNALFQMNTILKRDTALATAAIYDSLFAEEDGSVPATFQVIYMTGWKEHPSQQKAKRRGSATISFKDIQNEFGS >OIW00062 pep chromosome:LupAngTanjil_v1.0:LG12:18837593:18838057:1 gene:TanjilG_26399 transcript:OIW00062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGRKFISSPSERFFGTTFTQSSTFSDSSSEFREEDIWSTAQERDGWEPRRRKVGGLSLTFEDQGKYKTRDMATSAPVNVPDWSKILRVESVESLHGMDDGNEEEEEVMVPPHEYLARNKAANSVFEGVGRTLKGRDLSRVRDAVWSQTGFDG >OIW00069 pep chromosome:LupAngTanjil_v1.0:LG12:18875774:18877813:1 gene:TanjilG_26406 transcript:OIW00069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNSILTLLVIQTIFFNYYYYIPIQASFVGVNIGTDVSNLLSPSELVSFLQFQKITQIRIYDANSEILTALSHSNISVIITVPNNQLLAIASSNTTAATWIYRNVVAYYPQTLISAISVGDEVLTTVPSSAPLILPAIQSLYNALVASNLHRHVGISTPHAASIILEPFPPSQAYFNQTLLPYILPLLRFLSRTGSPLMMNLYPYYVFVERKGVIPVDNALFKPLTPDKEMVDPNTLLHYENVLDAMVDAAYFSMKNLNVTDVVVLVTESGWPSKGNAKEEPYATKDNADTYNSNLIKHVFDSSGTPLHPEITSSVYIYELFNEDLKYPQVSEANWGLFHGNTTPAYLLHVSGIGSFMANDTTNQTYCIAMDGFDSKTLQAALDWACGPGEANCSEIQPGQSCYQPNNVKNHASYAFDSYFQIQAKTPGSCDFKGVAMITTTDPSHGSCIFPGR >OIW00105 pep chromosome:LupAngTanjil_v1.0:LG12:19048556:19049509:-1 gene:TanjilG_26442 transcript:OIW00105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLVMIQGIVGRCLELRVSGIHSCASSETALIHAAGQGHTHTDKYVIDRGANDTIPTQFRGSYDLAPCCRNRRY >OIV99726 pep chromosome:LupAngTanjil_v1.0:LG12:16529847:16532889:-1 gene:TanjilG_26064 transcript:OIV99726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETENQTQGGGNSNTLFTPYKLGNFNLSHRVVLAPMTRCRALNGIPQEAHAEYYSQRSTHGGFLISEGTSISPTSSGFPHVPGIYSEEQVEAWRNVVDAVHAKGGIFFCQLWHVGRASHSVYQPHGAAPISSTSKPLSDKWRVLLPDGSHGTYPEPRRLSSSEILEIVEHYRQSAINAIRAGFDGIEIHSAHGYLIDQFLKDGINDRTDEYGGSLTNRSRFLLQVVEAVVSAIGAGRVAVRISPAIDHLDATDSNPLELGLAVIERLNNLQNKLGRKLTYLHVTQPRFTAYEKTESGKPGTEAEEAKLVRTLRKAYQGTFMSSGGFTKKLGTEDIAQGDTDLVSYGRLFISNPDLVHRFKLDAPLNKYNRETFYTADPVIGFTDYPFLNKSSGIKKEPTSHL >OIW00053 pep chromosome:LupAngTanjil_v1.0:LG12:18774540:18775372:-1 gene:TanjilG_26390 transcript:OIW00053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRISVLNDALKSMYNAEKRGKRQVMIRPSSKVIIKFLIVMQKHGYIGEFEYVDDHRAGKIVVELNGRLNKCGVISPRFDVGVKEIEGWTARLLPSRQFGYIVLTTSAGIMDHEEARRKNVGGKVLGFFY >OIW00303 pep chromosome:LupAngTanjil_v1.0:LG12:15246718:15248580:1 gene:TanjilG_27554 transcript:OIW00303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRVKAIAASLRNLDTSLATRVLATRSLHLTRNQVTHFSPHSPPPLLAPLHDFRFHQKIYFSSKPNSIVELVLTNDWSQDLELELEKCSPSLTHETVLYVLKRLDKNPEKASNFFNWVSEKEWFTPSSSMYSLIVRILAYKEMMKQFWITLRVMKEKGFYLDEETYYTILIGFKKAKLNSDAVALTHFYDRMLQDNAMQSNVTEVVGIIKGSEWNDRVMNELAQVKLQLSDNFVIRVLRELRSQPLKAYKFFRWVGKQSGYENNTVTYNAIARVLAREGSVEEFWNIIEEMKSVGHELDIDTYIKISRQLQKNKLMEDAVKLYELMMDSSYKPSIQDCSILLKSISIGDKPNLDLVFRVAKKYESTGHTLSKAIYDGIHRSLTSVGKFDEAENIVQTMRNAGFEPDNITYSQVVFGLCKMKRFEEACKVLEEMESSGCIPDIKTWTILIQGHCAGNEVDKALLCFAKMIEKGCDADADLLDVLLDGFLSQNRIDGAYKLLVEVSSKCRISPWQATYKKLIEKLLGVQKFEEALDLLSLMKKHNYPPFHEPFLSYVSKFGTVEDAAEFLKALSVKSYPAEAVYFKVFESLFKEGRLSEAKDLLYKCPHHIRNHKKISELFG >OIW00726 pep chromosome:LupAngTanjil_v1.0:LG12:9181381:9184616:-1 gene:TanjilG_09695 transcript:OIW00726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAFILLCCFLRSKEQPNDVKFTGSVPRNSFPASMGKAAYICSLRPHWRTGSNKTKGDNLDASAVNSSKDNIESSNVTEPARSSSLVFGYLNLFSDGVHNFTDGIALGSAFLLYGSVGGWSRTLFLLAHELPQEVGDFGILIRSGFSIPKALFFNFLSALVALAGTALALLLGKDPGQSSLIEGFTAGGFIYIAIAGVLAEMNNNGKTTLRSTAAHIISLTMGMAVALGISLVE >OIW00503 pep chromosome:LupAngTanjil_v1.0:LG12:11764969:11768230:1 gene:TanjilG_24233 transcript:OIW00503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTKERETFVNTAKLAEQAERYEEMVEAMKNVAKLNVELTVEERNLLSVGYKNVVGARRASWRILSSIEQKEETKGNDLNVKRIKEYRQKVESELDKICSDIMTVIDEHLIPSSPGGEPSVFFYKMKGDYYRYLAEFKPGDDRKEAADQSMKAYQTAFTTAEAELPSTHPIRLGLALNFSVFYYEILNSPERACHLAKQAFDEAISELDSLSEESYKDSTLIMQLLRDNLTLWTSDIPEEGADEQKVESAKAAGGDAE >OIW00608 pep chromosome:LupAngTanjil_v1.0:LG12:10746579:10747415:-1 gene:TanjilG_21823 transcript:OIW00608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVSEKKWFVSLLAIVGIIGASLFIVSLIQTPNNSFLCSVNYSNKNDNAPTSLQLKAILHYATSSITPQQSFSEISITFDVLKSLNRPCNFLVFGLGLDSLMWASLNPRGRTLFLEEDPSWFQKVVKDAPELNAHTVKYRTQLREADALLSSYRKEPFCSPVGAMPLRGNERCKLALHNLPDEVYDTEWDLIMVDAPKGYFAEAPGRMAAIFTAAVMGRNRKGSGVTHVFLHDVDRKVEKVYAEEFLCRKNLVKGVGRLWHFEIPSKVNSTIYDTVFC >OIW00396 pep chromosome:LupAngTanjil_v1.0:LG12:12960368:12964824:1 gene:TanjilG_05746 transcript:OIW00396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDDNDEHALQTFRALVESSDRKFARVRDAPTYGHRGSQGHLFQKVFKAYTRLWKFQQDNRAKLVRSGLNRWEIGEIASRIGQLYFSQYMRTSESRFLIEAYVFYEAILSRRYFQGSEASSPKDLGVRSKELRFYARFLLVSLILNRFDMVKHLMDQFVALIDDCRSNFRETNFKEWKQVVQEIVRFTKVDKGFSVRPMRYCATIDTHRASLPYVARFHAKRVLKFHDALLTSYHRNEVKFAELTLDTYRMIQCLEWEPRVSFLQRHTVIKPNENGELMDHSVASGIIDLNLAADMTDPTMPPNPRKATLYRPTITRFMAVMATICEELPPDSVVLVYLSASGKAGLTNVSQMENSGSGVSSKYSRRKVFSQTSHEQNSGTSESLSNGKSVLSCDDNYLWFGPKGNGGSNNLYPGDLIPFTRKPLFLIVDSDNSHAFKAERGETAALFLSPLRPLFKNPSDVNLHNGSQFTFFLTSPLSAFCQMIGLFPNEADTDIYSEAENILTNAFTEWEIILCSTTSMDLVWAQMIGDPFLRRLILRFIFCRSVVSFLCPPEESEQYLPFCLPHLPNSVAPKSEAVRSAVMQLAGHFDVADSFYFTDT >OIW00229 pep chromosome:LupAngTanjil_v1.0:LG12:14559479:14561408:1 gene:TanjilG_27480 transcript:OIW00229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANHGVIEQVVVDDEGVEKDSSESEITKIQLMRDFVETRDPSSKKEDDLMLRRFLRARGLDIEKASTMFLKYLKWRHSFVPNGSISTQEIPNELADDKVFAQGHDKSGRPIGIICGAKHFQNKNGLEEFKRFVVYAFDKLCASMPPGQEKFFGIADLKGWKYSNCDVRGYISGLNILQDYYPERFGKFLIVHAPYIFMKVWKMIYPVLDDKTKKKIILVEKNKLKSTLMEDIDESQLPEIYGGPLPLVPIQHI >OIW00479 pep chromosome:LupAngTanjil_v1.0:LG12:13779563:13781510:1 gene:TanjilG_05829 transcript:OIW00479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSSVATLTHFPLGRDKNGLATIFDKAVLKNLADIPKEFEWSSEELVQSSQEELHHGLFQVINHGVDLDLIKAAYEEISTVFNLPMSKKLTAQKKPDSLVEYAGAREDRFTSKLPWKETLAFQNDYNKDSKSQVVDFFNTAFGVELQHPGCNLYPACTVDNNLTLGTGPHRDPTGLTFLYQDQVGGLEVFVDNKWKALSYSPDQFIVNVGNTFMALTNGRYKSCLHRVLVNKEMERKSMALFVNPRGGKIVSPPQNLFSKEEPRKYPDFTWKEFRYFPQKYQKTDC >OIV99923 pep chromosome:LupAngTanjil_v1.0:LG12:17977927:17978728:1 gene:TanjilG_26261 transcript:OIV99923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNPLQLKSLNHISLVCGSVEKSIDFYVNVLGFIPIKRPTSLDFNGAWLFNYGIGIHLLQSEDPKSMPKNVHINPKDNHISFQCESIAEVERRLKQMNINYVKNKVEENGINVDQLFFHDPDGWMIEICNCDNIPVVPLSEDNNVRSCSRFNCNIQNYQQQIQQMISMYNEGQLKLNR >OIW00058 pep chromosome:LupAngTanjil_v1.0:LG12:18811501:18813246:1 gene:TanjilG_26395 transcript:OIW00058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEKVVISPQPNQWGVWSIPILHWRIGLLSALVFVGMVLVWSIDGCTIKNIIQGLRYQHQDFLHSSNFTFVEPYIPVTLHQNHPNSKTVLDSHNHIESVVLKGYSSWISSELEPNLTSNLLVRWSGHGGEPCKDSKTSDISIPGLDAGKVIELSAGDVHEFGFQALDDLGKPHCLGGDYFETDLSGESWKSRPLVKDFSNGSYSISLQVHPDFEGVYNLTVILLYRHFEGLKFTPWRFSYDRVLRNIVIRFYKSSVRLPELQACKASDFERDVWCGRWTRHGKNDDCPIGNDGRYRCLAPDFPCKAPWCDGSLGILESNGWVYSTHCSFKMYSSEAAWNCLKNRWIFFWGDSNHVDTIRNLLNFILDLPEIHSVPRRFDMNFSNPKDSSQTVRITSIFNGHWNETQNYLGLDSLRDEGFQDLLKKYFSEDTIPDTVIMNSGLHDGVHWRNIRAFSVGAEYAALFWEDVMKTVKQRGLAWPRVFYRHTVATGGYARSLAFNPNKMEVFNGVLLEKLKRVGVVSGVIDNFDMTFPWHFDNRCNDGVHYGRAPAKMKWRDGQIGHQYFVDLMLAHVLLNALCAR >OIW00529 pep chromosome:LupAngTanjil_v1.0:LG12:12105213:12108344:-1 gene:TanjilG_24259 transcript:OIW00529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAALDLMRKWVMSIVAVLVVLVLWSGSVGAAPQVPCYFIFGDSLVDNGNNNQLQSLARADYLPYGIDFPNGPSGRFCNGKTTVDAIAELLGFDDYMPPYASASDEDILRGVNYASAAAGIREETGQQLGGRISFRGQVQNYQNTVSQFVNILGTEDQAANYLSKCIYSIGLGSNDYLNNYFMPQFYSSSRQYTTDEYADVLLQDYTEQLQTLYNYGARKMVLFGVGQIGCSPNELAQNSPDGSTCVETINVANQIFNSKLKSLVDQLNNQLPDARFIYINSYGIFQDIISNPTVYGFSNTNSGCCGVGRNNGQITCLPLQTPCSNRREYLFWDAFHPTEAGNVVVAQRAYNSDSIDDAYPINIRRLAQI >OIW00411 pep chromosome:LupAngTanjil_v1.0:LG12:13090029:13093121:-1 gene:TanjilG_05761 transcript:OIW00411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMKSETVTLVLVNLAGIMERADESLLPGVYKEVGSALHADPTALGSLTLFRSFVQSLCYPLAAYLAIRHNRAHVIALGAFLWALATFFVAISSTFLQVAISRGLNGIGLAIVTPAIQSLVADSTHDNNRGMAFGWLQFTGNLGSIIGGLFSVLMAPTSVAGIPGWRIAFHLVALISFIVGLLVHLFAKDPLFSNDDGRDTYETISKKSFYSEMKDLIKEAKSVTRIPTFQVFVAQGVFGSFSGSALSFITLWLELIGFSHVTTALLWTLFIVASSLGGLFGGKMGDILSQRLPNSGRIMLSQISSSSAIPIAAILLLALPDDPSTAFMHGLVLFVMGLLMSWNAPATNKMIVTYHFNLEIVPEKSRTVVYALDQSFESILASFAPPIVGVLAQRVYGYKPVPEGSSDSVEIETDRENAASLAKALYTAIGVPMAICVLIYSFLYCTYSRDRERARMVALVESEMQQLEVDDSTKEEYNEFHDQESNGKNGIESSKIDIDYSREESKIDLDDNDEKVLLSR >OIW00308 pep chromosome:LupAngTanjil_v1.0:LG12:15270523:15271947:-1 gene:TanjilG_27559 transcript:OIW00308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRHSSSVKQKMRKGLWSPDEDEKLFNYITRFGVGCWSSVPKLAGLQRCGKSCRLRWINYLRPDLKRGMFSQQEEDLIISLHEVLGNRWAQIAAQLPGRTDNEIKNFWNSCLKKKLLRQGMDPTTHKPLNEANVKEEKTSTESPPQIQIPFSIGPSTTSTLLASSQGSPFLISNSNYYDDGRLAETSREIFMSNQTLDPLSNYDNFQMSVAQNSGYGLHVSSSYQTSIKTLFDQNQFATNSTYCFSSMPSLTNSDHVSLTEFSSDNNSGSKISSLFMNDNSNHNNQVKESSSNNSSNMSIFTAAAGGGCHQMMENAAGFSWDAENKLDPLFQFNAIKSEEFKTCNSWEEEQHHLQTTHGSIDLSSYPLTSLSEDLAGASFDAFQHI >OIV99762 pep chromosome:LupAngTanjil_v1.0:LG12:16805852:16809291:-1 gene:TanjilG_26100 transcript:OIV99762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKKENAVAARGKRKRKQKQKTSWRVHVRCSDSNAIFAVLLASISKSNSHLITKCLLKICPSLLSQPSAIRPILALIPTLVTSKCCKIVSRAVDIVGAASLVSLEVNQEIASDSETLKALTLLLRPDTRRRVLLSACNAVLDLSTNFFAQQQLLKFYILHKLMFVFVQIFKCLKSVSLWSEGNLCFYSLKIGIMEDELLLAFLSATITLINACEVERLQNIPASLSQPFLSLLKQIWSIVSDNVLPKGAIRPNEQGHLCKSHIEVSNLAECIFRLSMNVSQLIVPLPFEVVKRGLFGTSGTSFEDFISNYWEVSPFIITKTSEDLNMHDMFSSFKQSLSWTNVPSLISSILQGLVSCFPSAPDELNILNFLNEVKGRLGCPIIYQQDIRVVKTEKQLRKEMHYFQDFHSGGSNKPRHFTMDDVLKCGQAYKEGYTVALRGLEFRYQSIAAITDALALMFGQPSVGANLYLTPPNSQGLACHFDDHCVFVCQIFGSKQWTVFCQPSHLLPRLYDDLHGSDIDCTKASKREFLLREGDILYIPRGFPHEAYTNSEVGDDSSGFSLHLTLSIEVEPPFEWEGVAHLALYRWSETWKRRCDGLNSLSEKLDLLSVNLLHAAIGIIGNFDPWFRKACLSAAVSLPPDVYNILGQSQRNIFIHLIDKIRTESRFLEVLSNIEVAIQKNENPFQQNRWLWLLHLEKGTSSGCNTNKSPMIEDLLSLCAQHKDKLEAAFLNVKSRFCNEVVFDDVVTSHRMLLQKYRKTRKQYINGMVSLHDKL >OIV99917 pep chromosome:LupAngTanjil_v1.0:LG12:17950567:17951670:-1 gene:TanjilG_26255 transcript:OIV99917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGNKDDALKCFKIGKQALESGDRNRAIKFLTKARRLDPTLSLDDLLSTVENDHSTFQTASPTPNTRSGASSPKHSDQPSIRRRASTIESNSSSSSVSYTEDQVAVVREIRRKKNYYEILGLEKSCTVDDVRKAYRKLSLKVHPDKNKAPGAEDAFKSVSKAFQCLSDEESRKKYGLSGEDESVFEGRATTRTRGHHNHHNHHAFYEGDVDAEEIFRNFFFGGMAPATTNFSGFSFGHGVGARQGGGGEHGSGGFNVRALIQLLPVLLVLLLNFLPSSQPVYSLSQSYPYEHLFLTPQGVNYYVKSSKFDEDYPINSPERTSIEDRVEREYFSILRQNCRLEMQRRQWGYIHETPYCDMLRKFNSVN >OIW00382 pep chromosome:LupAngTanjil_v1.0:LG12:12809349:12810797:-1 gene:TanjilG_05732 transcript:OIW00382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQSKCRVFSLDYNRFIGVLIKDSRYDLAHHYYYRHVIPNGFSLTPFTYSRFISALCVEKSFTLIQNLLEHMDSLGFVPDIWAFNIYLNLLCRENRLQAALELFYNMMQKGREPDVVTYTILIDALCKSRRFDEASKLWRGLIEKGLVPDYKACGALVIGLCGGGNVDLAYDLTLGVIKGGVKVNVLVYNALISGFCKIRRIDKALAIKKFMERNGCVPDLVTYNILLNYCCDEVMLDEAVRLVETMGRSGVEPDLYSYNELLKGYCKANLMDRAYLTMAKKMQVKGICDVVSYNTLIRGFCKAHQMRRAYDLFEEMNRNGIQPDVVTFTILIDAFLREGSSNVAKKLLDRMTGMGLLPDRVFYTTIVDHLCKTGKIDMAHSVFRDMVENGISPDVVAYNALINGFCKASRVTHAMHLYDEMQVKAHSPDEVTFKLIVGGLIKENKLSEACRVWDQMMEKGFTLDRHLSETLINAINSNRGS >OIW00178 pep chromosome:LupAngTanjil_v1.0:LG12:16160234:16163006:-1 gene:TanjilG_29168 transcript:OIW00178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDLNSGLLKKTSVFGLKAWELMGLIVGMFIIVILVVLSICLSSRKKSRRVNGMLPLSQMLSVTEVIKEIRVDQGSANNHPQNGAFMSLYDKYSDRNSEKVTIITKNGDNSSHSGSFVHFEKDAYGSQSGEESGEKSVYRSSSHPITAPSPLSGLPEFSQLGWGHWFTLRDLEVATNRFSKDNIIGEGGYGVVYRGQLVNGSPVAVKKLLNNLGQAEKEFRVEVEAIGHVRHKNLVRLLGYCIEGTHRLLIYEYVNNGNLEQWLHGAMRQYGFLTWDARIKILLGTAKALAYLHEAIEPKVVHRDIKSSNILIDDDFNAKISDFGLAKLLGAGKSHITTRVMGTFGYVAPEYANSGLLNEKSDVYSFGVLLLEAITGRDPVDYSRPTAEVNLVDWLKLMVGSRRAEEVVDPNIETRPSTSSLKRALLTALRCVDPYSEKRPKMSQVVRMLESEEYPIPREV >OIW00073 pep chromosome:LupAngTanjil_v1.0:LG12:18893589:18901987:-1 gene:TanjilG_26410 transcript:OIW00073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPYPSGAGLHVGHPLGYTATDIIARYKRMKGYNVLHPMGWDAFGLPAEQYAIQTGTHPNLTTLTNINRFRSQLKSLGFSYDWDREISTIQPHYYKWTQWIFLQLLKRGLAYQAEVPVNWCPALGTVLANEEVIDGVSERGGHPVIRKPMRQWMLKITAYADRLLEDLDDLDWPESVKDMQRNWIGRSEGAEFDFCVLDSDGKERDIKITVYTTRPDTIFGATYLVVAPEHSLLPSLVSTAQSKHVEDYIELSSRKSDLERTELQKEKTGVFTGCYAKNSANGEAIPIWVADYVLGSYGTGAIMAVPAHDSRDYEFALKYDVPVRWIMTPDDKSINDSGKAFPGEGNIINSSNSLVGLDINGLSSKEARLKVIEWAEKSGNGKRKVNYKLRDWLFARQRYWGEPIPVVFLDESGETVPLHETELPLILPELDDFSPSGTGEPPLSKAVSWVKTTDSLSGRPATRETNTMPQWAGSCWYYLRFMDPKNSKELVDSRKERYWGPVDVYVGGAEHAVLHLLYARFWHKVLYDIGVVSTKEPFQCVINQGIILGEVQYMAYRDQDGNLISADATDMLNEHNLLRVPEEKVIKSGDSFVLKENPDIRLVVRSYKMSKSRGNVVNPDDVVSEYGADSLRLYEMFMGPLRDSKTWSTSGIEGVYRFLGRTWRLIVGSPLSDGTFKDSTVSVDEEPTIEQLRCLHRCIAKVTEEIEGTRFNTGISAMMEFLNAAYKWDKHPRSVIEAFVLLLSPYAPHMAEELWSRLGHTKSLAYESFPKANPAYLKDSTVVLPVQINGKTRGTIEVEETCTEEDAFILASRDEKLSKYLDGQSVKKIIYVPGKILNVVLDRKNIKTPHKALLNEIDSCWIANSNWASNRQALADCAIGFGKYAIGGKYGAIYTVTDSSDDPINPKPGTLRYGVIQTQPLWIIFSKDMVITLENELIMNSYKTIDGRGVKVEISNGPCITIQYVSYVIIHGISIHDCKPGKSGLVRSTPEHVGHRQGSDGDAISIFSSSYVWVDHCYLASCTDGLIDIIHASTAITISNNYFTNHDKVMLLGHNDQNTADKIMKVTIVFNRFATGLIERMPRVRFGYAHVVNNKYDEWKMYAMGGSANPTIFSESNFFIASNNQFAKQVTKREAKNNWKSWKWRSSKDIFLNGAYFVPSGYGSCAPNYSKAQSFTAAPAFTVPAITLNAGPLTCVVGRAC >OIW00974 pep chromosome:LupAngTanjil_v1.0:LG12:923496:925647:1 gene:TanjilG_16223 transcript:OIW00974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKAMINLMVDSTKTVISCWENKVERDGGVSEIKVDEDLQNLSADVIAKACFGSNYNEAKEIFTKLRDIQRAMSTVFSYAGIPGFRYMPIRTNREIWRIEKEIDTKILKLIKERLDHGEEKDLLQMILEGANNYEESDTLLTNSISHDRFIIDNCKNIFSAGHETTSITASWCLMLLASNQVWQDRVRAEVLQVCGRDPPNATMLRSMETLNMVIQETLRLYPPSAFVNREAIEDININGFIIPKGMGIQIPIAVMQQDPELWGNDAHKFNPERFSNGVIRAAKFPQAYIPFGIGPRVCVGQHLGMTELKVIISLILMKFQFSISPSYCHSPVFHMLIESDHGVLLKVTKI >OIW00025 pep chromosome:LupAngTanjil_v1.0:LG12:18611503:18613821:-1 gene:TanjilG_26362 transcript:OIW00025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFMDSSPITHSLHANKFTFFNDIASDHFSEFRDDDVSVRKGNALALDEDEDDREACDEYYIATDTNVMSQNSQDKSNNEQLDKVEVVLNELDEENLIAKLIETDSTMQRKFEFDTREVEDMVEGISVMDGDTGVSKSNFEDYNNNTMQKQNTAVVNYLQEQVSQLHTDFSMTGENVSEGFAEIVECSAPQSSASNGSQSFDFDQQSECEKLVSITESMINSKAELHDSYASFESNDDACDCEVNKIQSVESFELFDTALLQESSTCLKNDLSRTVLGGSQEDELFCEYLSHENVEVDLISGDTVEKEVTVDISTVSQESKRDGSASDGGADCLMPVGLEQFKEQITALSALLGSKCSGKNCHEEQTIKGSHGKLNLSSDDAKKEINHVGAESNGGTVTVTNADESLVIFRKEQSSFRSLPHCEARAGFEGNIGKKEKEKIQKIEDISVKFLRVVQRVNLSFEISMVSNVLCKLVADIGRRSNQEFVIESAKLSAKKFEEDCQYDLDFSLNILVLGKSGVGKSATINSIFGDVKVITNAFEPATTSVKEVSGTVNGVKIRILDTPGLSSSMKEQAFNRKILSSIKRYMKKFPVDVILYVDRVDAQTRDLNDLPTLRSITSSLGPSIWQRTVLALTHAASTPLDGPSGSPLSYEVFIAQKSFIVQQSITQAVRGLSELSSSFMFPVSLVENHPLCGKNKCGECVLPNGLRWRSHLLALCFSVKILSEVSSMEGPQNLFNKWMHLFSQDHSLPLSHLFPSLLQSPAHLKFSADWN >OIW01011 pep chromosome:LupAngTanjil_v1.0:LG12:5217:5798:-1 gene:TanjilG_14194 transcript:OIW01011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSSKVSILILYTLSIILISHAPFASSDEVDIEDLCNAVESTGFTNGHTKDDCLKFLNSDPKYQSADFHDLSKFIMQYAIDKGLEDQNTFNELANNHTDSKAIAECSNVDYPSTISHYRSALGALDADTEGAFNEATLARVGIENCGSMLDKEKLDDAAYGTISNMNELMAFMSDISTAAIDLYSKDHPSNKI >OIW00810 pep chromosome:LupAngTanjil_v1.0:LG12:6089311:6094678:-1 gene:TanjilG_08105 transcript:OIW00810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQMTRMHNGVQITQIFHHIPNSQKPKSANSVSLRSQLWGSSKSWTLKHKSGGFVANCDVGKGISGMVRVSASVAAAEKPSTAPEIVLEPIREISGTITLPGSKSLSNRILLLAALSEGTTVVDNLLNSEDVHYMLSALRTLGLNVEDDKTTKQAIVEGCGGLFPTEVSKDEVNLFLGNAGTAMRPLTAAVTAAGGNASYILDGVPRMRERPIGDLVAGLKQLGADVDCSLGTNCPPVHVNGKGGLPGGKVKLSGSISSQYLTALLMAAPLALGDVEIEIIDKLISVPYVEMTLKLMERFGVSVEHSGDWDRFLVRGGQKYKSPGNAFVEGDASSASYFLAGAAVTGGTITVQGCGTSSLQGDVKFAEVLEKMGAKVSWTENSVTVTGPPRDPSGRKVLQGVDVNMNKMPDVAMTLAVVALFANGPTAIRDVASWRVKETERMIAICTELTKLGATVEEGPDYCIITPPEKLKITSIDTYDDHRMAMAFSLAACGDVPVTINDPGCTRKTFPDYFQVLERFTKH >OIW00505 pep chromosome:LupAngTanjil_v1.0:LG12:11773620:11774093:-1 gene:TanjilG_24235 transcript:OIW00505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQEKDSEGIKLFGTTITLHGKQKSEDKKESECEKEKKKVDKVMVCPRCKSMETKFCYFNNYNVNQPRHFCKGCQRYWTAGGALRNVPIGAGRRKAKPPGGFLDSGFYEESTVQKFGVHEGLILEEWKVATVDHHDYRQLYPSKRQRISSSGTGQPC >OIW00718 pep chromosome:LupAngTanjil_v1.0:LG12:9078812:9082395:1 gene:TanjilG_09687 transcript:OIW00718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSKFSTLLFVFIFSIFSFHGTLVRSDASNHRYSDADNVTLYANKVGPFHNPSETYRYFDLPFCATGKEKDKTEALGEVLNGDRLVSAPYTLDFKKDKDSKSVCKKKLTKEQVAQFREAVKKDYYFQMYYDDLPIWGFIGTVDKEGKADPSEYKYFLYKHIQFDVLYNKDRVIEISARMDPHSVVDLTEDKEVDTEFLYTVKWKETDIPFEKRMEKYSQSSSLPHHLEIHWFSIINSCVTVLLLTGFLATILMRVLKNDFMKYAQDEEAADDQEETGWKYIHGDVFRFPKYKSLFAAALGSGTQLFALTIFIFMLALVGVFYPYNRGALFTALVVIYALTSGIAGYTATSFYIQLEGSNWVRNLLLTGCLFCGPLFLMFCFLNTVAIAYSATAALPFGTILVIVLIWTLVTSPLLVLGGIAGKNSRAEFQAPVRTTKYPREIPPLPWYRSTIPQMAMAGFLPFSAIYIELYYIFASVWGHRIYTIYSILFIVFIILLIVTAFITVALTYFQLAAEDHEWWWRSFLCGGSTGLFIYGYCLYYYHARSDMSGFMQTSFFFGYMACICYGFFLMLGSVGFRASLFFVRHIYSVPENMRSIDSFHAYWWCPAISALVTA >OIW00517 pep chromosome:LupAngTanjil_v1.0:LG12:11958928:11960343:-1 gene:TanjilG_24247 transcript:OIW00517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKNTCIAMIPSPGLSHLIPFVEFAKRVVQQNHNKIHVTFLIPTFGSPSSSMISILNVLPPNIDFTILPQVNIQDLPHNLNLETKITRTCKLSLPFLHEAVTSLSSLTNLVAIVFDVFSVDALDVAKKFNLLSYIFFTTGAVLLSFSLCLPMLDESGSLTDLTKTVNIPGCVVPFQVKDLPDPVLHERSNETYIHCVEMSKTLSLFDGLIVNTFTDLEGDAISALHEKHLNENSPCVYPVGPIIQTKSTGKENQWEFIEWLNKQPPKSVLYISFGSGGTLSQDQLNDSAYLIGQKEDPLNYLPSGFCDRTKRQGLVIPSWAPQVEVLGHGSIGAFLSHCGWNSILESVVHGIPMIALPLFAEQRMNAVLLTEVIKVAVRPKVDDESGLIKREEIAKVIKRIMEGDDDDDLEIHKRIKDLSDAAFVAISENGSSQRAFSSLVLKWLNI >OIW00260 pep chromosome:LupAngTanjil_v1.0:LG12:14899756:14902831:-1 gene:TanjilG_27511 transcript:OIW00260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVDGEELTAQETALYDRQIRVWGADAQRRLSKAHVLVYGMKGTVAEFCKNIVLAGVGSLTLIDDRVATAEAFSSNFLIPPYENVYSGKTLAQLCCDSLKDFNPMVRVSVEKGHLSTFDVEFFAKFDVVVVSCCSLSAKKLVNEKCRTLSKRVAFYTVDCRDSCGEIFVDLQHYKYSKKKLDETVECNLQYPTFVEAISVPWRALQLRMSKLYFAMRVIEKYEEAEGRRVGEVSIADLPGVLKLKRELCTTQSLSESHVPDTLLERLVTNTTEFPPVCAIIGGILGQEVIKAISGKGDPIKNFFFFDALDGKGIIEDISDSNGGR >OIW00161 pep chromosome:LupAngTanjil_v1.0:LG12:16046802:16047299:-1 gene:TanjilG_29151 transcript:OIW00161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNLKPIALILCTLFIATNANLIEETCMQTPNHGLCVQYLQADPHSSDSDVTGLALIMVNVIKTKANNALVKIHQLLQGSPEPGQKEALNSCGDRYNAILVADVPQAIASLQKGDPKFAVDGANDAAIEANSCENSFSGKSPLTNDNNSMHGVAAVTAAIVKLLL >OIW00964 pep chromosome:LupAngTanjil_v1.0:LG12:2325742:2326272:1 gene:TanjilG_10042 transcript:OIW00964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTCCREYLQTTSNDEDLSSSIPWHSIFIFLDPLLIGVLQVEYQNKKESPFDTYPIQMKTFLTSICIYSTLLGIKIHRTQTQILSFVLLLSGSLSSASLLAILFQQPLLWVMLITWGLVSIILCGFFTKSSLCWILGTVAKFTWNVIDFISETMVTMFNGKVSTSGSSNAYQTERV >OIW00678 pep chromosome:LupAngTanjil_v1.0:LG12:8312826:8313104:-1 gene:TanjilG_09647 transcript:OIW00678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFHLTAIRRASLGASKAASKCIEVPKGYLAVYVGEKQKRFVIPISYLNTPLFQDLLSQAEQEFGYDHPMGRLTIPCSEYVFQDITSQINGL >OIV99841 pep chromosome:LupAngTanjil_v1.0:LG12:17404466:17414206:-1 gene:TanjilG_26179 transcript:OIV99841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFTPFSSTPYLHNTTKVTHLHIQTKSHSHSLQNLHFLFTSSSTFPINSSISSTPSSKFHILFDSIHDNFIQDIHNSLPPIEPAPKPPHQIFIRDPPWISSIFIKGLYNNNTEQEFKLVFNEIEKSKYNLLRRRQIKEETEAWERMVEEYRELERVMREKNLAPNLPHVKALFLGWFEPLKDAIEAEQKLKKSKRYKAAFAPNIDSLPAAKMAVIVMHKMMGLVTMELQAGCVLLVHAAVHIGMAVEQEVRIHKFLEKTRCRRSKETEAGAEDGADNEKQKLKKHVNGLIKRRKLKQVQMLLKKDEFIPWDRVTQAKLGSRLIELLINTAYVHSPVNQCADTPPDIRPAFRHRFKAVLKNPGYEKGGHLLLPSYIMRTHGSKKQQDAMKNVKRAQMKKVFEALDTLGSTKWRVNRRLLGVVESIWAGGGNIAGLIDREDVPIPERPPVEDLKQIQDWKWNVRKARKINLERHSLRCDTELKLLVARKMIDEEGFYYPHNLDFRGRAYPLHAHLNHLSCDLCRGLLEFAEGRPLGKSGVQWLKIHLANLYAGGIEKLSYDGRLAFVENHIHDIFDSADNPINGNRWWLSAEDPFQCLASCINLSEALRSSSPSSVMSHLPIHQLEAAAVNLVASAKPADVYSEIAVRVHDIMRRDSNKDPATYPNALIAKVLIGQIDRKLVKQTVMTSVYGVTFVGAREQIKRRLEEKGLITDDKLLFTAACYAAKVTLTALGEIFGAARVIMSWLGDCAKMIASDNKPVRWTTPLGLPVVQPYCKTERHQFKTFWPISKYQTNESHHSLQIKTSLQILALKRESNDVDAKKQRTAFPPNFVHSLDGSHMMMTALACRDAGLCFAGVHDSFWTHACDVEKMSRILREQFVELYSMPILENLLEGFQTSYPGLAFPPLPERGDFDLRKVLESPYFFN >OIW00078 pep chromosome:LupAngTanjil_v1.0:LG12:18928628:18932211:1 gene:TanjilG_26415 transcript:OIW00078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSVSNWMESKMLEEWFSYADSDGDGRITGNDAINFFALSNLSRPQLKQIWAIADTRRQGFLAFPQFVTAMQLVALAQSGFDLNSDILKAQIDEENIKPPVMEGLDALVAKTKGLTTISAQPEVYGNAQPHSPHPSPSIASKPVKKLPLNAVTSIIDGLKKLYVERLKPLEVTYQFNDFVSPLLTDSDFDAKPMVMLLGQYSTGKTTFIKHLLKCDYPGAHIGPEPTTDRFVVVMSGPDERSIPGNTVAVDASMPFSGLSTFGGSFLSKFQCSQMPHPLLDEITFLDTPGVLSGEKQRTQRSYDFTGVTTWFAAKCDLILLLFDPHKLDISDEFKRVIASLRGHDDKIRVVLNKADQIDTQQLMRVYGALMWSLGKVLNTPEVVRVYIGSFNDKPINEGFVGPLGQDLFEKEQNDLLIDLVDMPRKACDSRINEFVKRARSAKIHAYIISHLRKEMPAIMGKAKAQQQLIDNLEDEFRKVQREFHLPAGDFPNVEYFREILSGYSFDKFEKLKPKMIQAVDDMLAYEIPELLKKFRNPYG >OIW01046 pep chromosome:LupAngTanjil_v1.0:LG12:319050:338886:-1 gene:TanjilG_14229 transcript:OIW01046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNRTVLEPLSHFDLTTHSRVSSIRSLVIATFNSITFFYVGTHSGTLFSLSTTKNTDLNQNDTDSLPKLSFIRSVSVSTDSPVESIIVLKELGKVLLLSDGSLFLVDFQLQNRPTKLNFPKNVSLITRRVRIWSSEFDKEGSGSGSGSGLIGDTRSTSQRFLKKLGGGVRTNGFKTREGGEVQSEGNCVFAVVVGKRLMLVELVLGKNKSDKDDGGGVLLVLKEIQFVDGVISTMVWFDDSIIVGTVNGYSLISCVSGQSGVIFSLPDVSRPPRLKLLQNEQRVLLLVDNVGIIVDALGQPVGGSLVFRHGLDSVGEISSYVVIVSDGKVELYHKKSGSCVQVLPFGGEGIGPCIVATEEDKSGKLVAVATATKVVCYQKLRSEEQIKDLLRKKNYKGAISLVEELESEGEMSNDLLSFVHAQVGFLLLFDLHFEEAVDHFLLSDTMQPSEVFPFIMRDPNRWSLLVPRNRYWGLHPPPAPLEDVVDDGLMTIQRASFLRKAGVETIVDNDLFLNPPNRGDLLELAIKNISRYLEACREKDLTQSVREGVDTLLMHLYRALNRVEDMERLASSTNWCVVEELEQMLEESGHLRTLAFLCTSKGMSSKAVSIWRILARSYSSGLWKDIASDNNILDSGENLISGKAIAAAEASKILEDSSDQDLILQHLGWIADISQVLAVKVLTSDKREIQLSPDEVVTAIDPQKVEILQRYLQWLIEDQDCYDTQFHTLYALSLAKSAIEAFESENTSGNFDNGNMETKSFATLRNSIFETSVRERLQIFLQSSDLYDPEEVLDLIEGSELWLEKAILYRRLGQETLVLQILALKLEDSEAAEQYCAEIGRADAYMQLLEMYLDPQDGKDPMFTAAVRLLHNHGESLDPLQVLEKLSPDMPLQLASETLLRMFRARVHHHRQGQIMHNLSRAVDIDARLSRLDERTRHVQINDESLCDSCNARLGTKLFAMYPDDTVLCYKIMHNLSRAVDIDARLSRLDERTRHVQINDESLCDSCNARLGTKLFAMYPDDTVLCYKCYRRQGESVSVSGRNFKEDTLIKPGWLVSR >OIW00963 pep chromosome:LupAngTanjil_v1.0:LG12:2293044:2294075:-1 gene:TanjilG_10041 transcript:OIW00963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSAMASLTQTHYKIHCFTFRRGHPRSHGLLKSGKLFQHQRLTFPCIHIKKSCTCCTKLTPWEPSPVTYALTDNQSDNFLKNSADIFENLESSKNGESSVKNVDDSVKTKYQPGLELQFLKWPLWLLGPSVLLVTGMVPTLWLPISSIFLGPNIASLLSLIGLDCIFNLGATLFLLMADSCSRPKYPTQDCNSKAPFSYQFWNIVATLTGFIVPLLLMFGSEKGFLQPQLPIISFAVLLGPYLLLLSVQVLTEILTWHWQSPVWLVTPVIYEAYRVLQLMRGLKLGIELNAPAWMVHTIRGLVCWWILILGLQLMRVAWFAGLAAQARRQQSLSSDTPALNAD >OIV99791 pep chromosome:LupAngTanjil_v1.0:LG12:17049562:17054580:1 gene:TanjilG_26129 transcript:OIV99791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDSTSSMKISPIDLMSAIIKGNFDPSTLSSDAVASIMLENREFVMLFTTSVAVLIGCVVVLIWRRSNANKIKPIEVPKRFVEKTLDDDVEVDDGKKKVTIFFGTQTGTAEGFAKAVAEEAKARYDKATFRIVDLDDYAAEEDEYEEKLKKETLALFFVATYGDGEPTDNAARFYKWFTEGNERGEWLQHLQYGVFGLGNRQYEHFNKVAKVIDEILADQGGKRLVPVGLGDDDQCIEDDFTAWKEELWPQLDELLRDEDDKNVSTPYTAAVLEYRVVIHDPLDAAVEEKKLHNVNGHATVDAQHPVRSNVAVRKELHTPASDRSCTHLEFDISGTGVAYETGDHVGVYCENLSETVEEAIRLLGLSPDTYLSLHTDDEDGKPLSGSSLLPPFPPCTLRTAITQYADVLSSPKKSILLALAAHASDPSEADRLRHLASPAGKDEYSEWVITSQRSLLEVMAEFPSAKPPLGVFFASVAPRLQPRFYSISSSPRMAPSRIHVTCALVHDKMPTGRIHKGVCSTWMKNSVPLEKSQDCSWAPIFVRQSNFKLPADNKVPIIMIGPGTGLAPFRGFLQERLALKEDGAELGHSTLFFGCRNRQMDYIYEDELNHFVESGALSELIVAFSREGPTKEYVQHKMMEKASEIWNLISQGAYIYVCGDAKGMARDVHRSLHTILQEQGSLDSSKAESMVKNLQTNGRGEMESLLIVAIMAIVL >OIW00950 pep chromosome:LupAngTanjil_v1.0:LG12:1948274:1952059:1 gene:TanjilG_10028 transcript:OIW00950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWYAPHSGFSNQLSEFKNAILMAGILNRTLIVPPILDHHAVALGSCPKFRVSDPNEIRVSVWEHVVELMQGGRYISIAEIIDISSLVSSSLVRVIDLRDFVSIWCGISLDLACLNDSKLQSSVSKSLKQCGSLLAGLHGNDDKCIHAINEDCRTTVWTYKQGGQEDGMLDSFQPDDQLKKKKKISYVRKRRDVFRTLGPGSQVESASLLAFGSLFSAPYKGSELYVDIHESPQDQRLQTLMEKIKFLPFVPEIMGAGKEFRNTTIRAPFLCAQLRLLDGQFKNHQNATFHGLRQKLEALRHKGTLPIHVFIMTDLPRDNWTSTYLSDLISDAHNYKVYFLKDDTELVREAATKLMAAGYGQRFITNSDNTVGKKYRSNPKLPDVLLYVEQTVCSCASLGLVGTAGSTIAENIELMRKYGSSYCQS >OIW00750 pep chromosome:LupAngTanjil_v1.0:LG12:7944915:7975285:1 gene:TanjilG_19190 transcript:OIW00750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESAIRLCYSSLPSAPPRQFHSTNESSHARVSRIHLTFNRATTLCLSHLSVRHEVARSFGRVNCMLNNKSYMPSENEENSDNKILRGVSAASLALACVVGLFNLSTKLNPKLNTAYAAPWSFSHTNVSSDIRSASLSHGSKDALEALWIMINTDHKKQKCPKIDKEPDEDTIYSLKVHAVGLSKSGKKDKAVNLLKDTYNKYKDTSTGINLGLAWVELLIFQGKFEKARDRLHNIISYHIQVSYDDPKEDFKVYYDAKFGRKKVVENGESDDKPVSNGNSADIVSNDNVNPRRTSDRAIYEQFQTQGQNLTQSNGFSHNSIDERPPKSLLPPFESADMRALGESLSRDIIRGSPDVKWESIKGLENAKRLLKEAVVMPIKYPKYFTGLLSPWKGILLFGPPGTGKTMLAKAVATECKTTFFNISASSVVSKWRGDSEKLIKVLFELARHHAPSTIFLDEIDAIISQRGEARSEHEASRRLKTELLIQMDGLTRTDELVFVLAATNLPWELDAAMLRRLEKRILVPLPEPVARREMFEQLLPPQPGEEQIPHDLLVDRTEGYSGSDIRLLCKETAMQPLRRLVSQLELEEEVIPEEELPKVGPIRPEDIETALKNTRPSAHLHAYKYEKFNDDYGSQILQ >OIW00808 pep chromosome:LupAngTanjil_v1.0:LG12:6330095:6331894:-1 gene:TanjilG_18610 transcript:OIW00808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSRKIDEDNLFLQTDPSYFSLQEINVFPSSSSSSPSDSPPSHSSSDSPQQPQISPDPHISSQFYTFNSDSHALMIRCLLQRRLATPPEIRAATARSVLSSWRTVWKDRNEETAYLTAWKRIQDKLSTHVDPTGNPFLCFKNNSQQFVSHVDQWQDIVMSFHGETDMRHFGVKETIERIKQVWTVGAKFYGIPESFIRVCVAACRVCDADTVGSAGGKSKRRRFEYTESFDVPAMEVPSRLQQLAAKHKVVLCIRQKYIRYKPFMAEVKDYACHRAGEPAAKKLKVLKREPYASKRCGCGFRIRAIVPIANYNEKDKSFVYQEEGMAVFKLYAVHSGHEPGPLDGNARIMHRVVGHKGSYMMDQETVYGVSEEMDSEGFGLMGKDDGDLQLSVLQQMQELKAEVGMLEGRVSKIPGELLGSVSRELFDIVNKIRNVGEPSLRPTELLADKSHADDVLVGDNDLANWSNHHHDRIYGDGKDIELIEDDEDSFGRTLGEVVCWDRMSSECRSQKDLLSETCKPEKWLKCGDFVDEKIILDCEDTKLTKPIRHDEAIVSDVGLGCIQVDSFYQDNPKWFDSPCGLDTGADCGDSGFRHGEIL >OIW00660 pep chromosome:LupAngTanjil_v1.0:LG12:8042862:8045804:1 gene:TanjilG_09629 transcript:OIW00660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHMEVLAPKISKCPDMEPSYSKSICCPNTRFLSIKKSSKGEQMKNICQRIGIPCRALKSDRRNSFSAGMKFQLDDVIEAQQFDRDTLNAIFEVARDMENIEKNSPGSQLLKGYLMATLFYEPSTRTRLSFESAMKRLGGEVLTTENAREFSSAAKGETLEDTIRTVEGYSDIIVMRHFESGAARRAAATASIPVINAGDGPGQHPTQALLDVYTIEREIGKLDGIKVGLVGDLANGRTVRSLAYLLAKYKDVKFYFVAPEVVKMKDDIKEYLTSKEVTWEESADLIEVASECDVVYQTRIQKERFGERVELYEEARGKYIVNQDVLKVMQSHAVVMHPLPRLDEITVDVDADSRAAYFRQAKNGLYIRMALLKLLLVGW >OIW00388 pep chromosome:LupAngTanjil_v1.0:LG12:12842425:12847919:1 gene:TanjilG_05738 transcript:OIW00388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLCAIVTPTSPLTLKSLHQPHSLFFSNPLSLPHTPSLPFSSSSSSSIQSINPNPISSYSLRHVTNSDDDSNHHHFTVSSAAAIASAIRKASTSPVEFTHRVQNHHSAGLLLPTPDFHTLCLQQLHLFRRIVPEAVLSVYVRPAGSYVMDRLELRRVALYPGDGELEDIVVLVGHFNVPAGLRAAETALSNLQVKLVPECKAVVLPMVKHPFVVGFLVAELPLLELETCDNPQSGGKGNRRSLEDAYSMPPFLDLDKKSWEIQPIRVKDEPVGMYNFTSEQRLNAVNISQSLAVAYVMDQKAMLLQQSTWQNNVRMSNLVEQIRGPLSSIQTLSKIMSTQTKRSQISYDIVEDILVQGDRLRDVLQQLQDAVYLTKANIVRYNEEAIKKMNGSTHILSESVRSQLLDSVVPRDGSANKMNKSSESLFTSTAVQDIEMPLPPLTLAPLQHGIRPCNVSEVLADLVDAAKPLAQNQKRVLELSEPSPPLLAAVEEPALRQAFSNLIEGALLRTRVGGKVEIVSTAAPAGGTLVLIDDDGPDMHYMTQTHSLTPYGLELQSEDMIEDNMTWNFVAGLTVAREILENYGCVVRVISPRTKNAPLGAGGTRLELWLPSPILTSDLSSQTHEV >OIV99870 pep chromosome:LupAngTanjil_v1.0:LG12:17583442:17586512:-1 gene:TanjilG_26208 transcript:OIV99870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGSFATGVVNKERAEQYNGRVTAYVIIACIVAATGGSLFGYDVGISGGVTSMDDFLLEFFPSVYTHKMHAHENNYCKFDSQKLATFTSSLYIAGLVASLLASIVTRKYGRRISIIVGGVSFLVGSALNAGAVNLVMLFIGRIMLGVGIGFGNQAVPLYLSEMAPTHLRGGLNMMFQVATTFGIFTANMINFGTQKIKPWGWRLSLGLAAIPAILMTVGGIFLPDTPNSLVQRGLKEEGKKLLEKIRGTNDVDAEFQDMVEASEFASSIKHPFRNIFEKRYRPELIMAIFMPFFQILTGINSILFYAPVLFQSMGFGGDASLYASALTGGVLAFSTFISIATVDRLGRRPLLISGGIQMVICQVIVAIILGTKFGPTQELSKKFSAVVVAVICLFVVAFGWSWGPLGWTVPSEIFPLEIRSAGQSITVAVNLLFTFIIAQVFLSLLCSLKFGIFLFFAAWVTFMTIFVHLLLPETKGVPIEEIAFLWRKHWFWKKILPEISDTTV >OIW00472 pep chromosome:LupAngTanjil_v1.0:LG12:13691352:13692032:1 gene:TanjilG_05822 transcript:OIW00472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METPMLSNIERSSSIEREPKTLNIHQLQSARNFAIYILNTRTFEEASRIFTEGLQPVVSAACRTGSNTSIDMDSGEELELLNIKDTARETLEQFRDIASAPF >OIW00703 pep chromosome:LupAngTanjil_v1.0:LG12:8837849:8838022:-1 gene:TanjilG_09672 transcript:OIW00703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDASAREGSTHNVSVQVHGSKRGHARAPRPPLQWQNKIFNASEHEVPSGPNPIANR >OIV99806 pep chromosome:LupAngTanjil_v1.0:LG12:17150054:17151119:1 gene:TanjilG_26144 transcript:OIV99806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSYSTMSYVLLLSLFLSSIMVTFAGNLNNDFEITWGDGRANILNNGELLTLSLDKASGSGFQSKNEYLFGKIDMQLKLVPGNSAGTVTAYYLSSKGSTWDEIDFEFLGNLSGDPYILHTNVFSQGKGNREQQFYLWFDPTADFHNYSITWNPQRIIFSVDGTPIREFKNSESIGVPFPKNQPMRIYSSLWNADDWATRGGLVKTDWTQAPFTASYRNFNAEACIWYSGASSCASSSASSASSSWLAQELDITGQEMLTWVQKNYMIYNYCTDTKRFPLGFPPECNTS >OIV99902 pep chromosome:LupAngTanjil_v1.0:LG12:17864043:17865675:1 gene:TanjilG_26240 transcript:OIV99902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRPGPRPYECLRRAWHSERHQPLRGSIIQQIFRVVNDAHSPATKKNKEWQEKLPVVVLKAEEILYSKAISEEEYLNVDTLWERLNDAINTIIRIDQTTETGDLLPPCVEAALNLGCKPVRTPRSDRHSNPRTYLAPRTQQPPPPGPPKPAGGNPLNYTKVTNSTVSGIPASDSKQHARQNSRIVGSRNYPFSDSFPSGQHQQPLRIETKSSMDLGSVYPLYYGNEAKEPQLRTTNLEKTCSETIFVGRPVMTPVPEASGIGRIDNFPYGRFQHAPNRIAKETAVGTHQELPDRLCDLSLRLGQSLHPTMSRKGSLAYEMDDVGIGASQDSRKWNHLSNQKNKELCFYPRETGYGAVDSANYTKYNAEGEDQNLEATLRKRKAPAVNSEEDGQFCRYLGVPSNQFTDRTRRPGS >OIW00723 pep chromosome:LupAngTanjil_v1.0:LG12:9159029:9160045:1 gene:TanjilG_09692 transcript:OIW00723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDDVLNMSNWGYYEPFKGGHLGLQLMPGMTDRDTKSFLPGRDPSMFVGVNDRDSKPYSSGRDSSMFIGANDRDSKPFLSGRDPPMFVGTNDRDMRQFLSGRDPSMLIGANGNMHPQDCVVSEALMPMNYVRGGWISPRDRFFNLPPVTPNYAVLPETSAPLSMQTIQLPDTSRDEKVDSIEDSIVKKGGGQSKKRQSRGPLSTPEAKKPRKPKDNSNSLVQRVKPVKKTVELVINGIDMDLSGLPIPVCSCTGTPQQCYRWGSGGWQSACCTTNVSIYPLPMSVKRRGARIAGRKMSQGAFKKVLEKLEAEGYNFANPIDLRTHWARHGTNKFVTIR >OIW00124 pep chromosome:LupAngTanjil_v1.0:LG12:15806890:15809308:-1 gene:TanjilG_29114 transcript:OIW00124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNQEGKKKMAVLGVSAVLLVAMVACVAVGLSRGGEGGEEETKSIAKNQKNVELVCQAAEFKETCHKSLAKVSENDTDLKGLIIAAFNATAIELSNQISNSALYDELAKDNMTKQAVDVCKEVLGYAVDDVHKTIHTLDKFDLSKLNDYAYDLKVWLTGTLSHQYTCLDAFENTTTNAGQTMTKMLNSSLELSNNAIDIVNGLSHLFQGLNLTSFTTSVNSNRRLLSTPTVDADGFPSWVSEGQRRLLQAHGLGNVKPNVVVAQDGSGQFTTLTDAIKTVPKKNKTPYVIYVKAGIYKEYVSLTKHQTHVTIIGDGPTKTRFTGNKSYRGGLQTYFTSTFSVNAAAFTAKDIGFENTAGPDGHQAVALRVTADKAIFHNCHMDGFQDTLYTQSQRQYYRDCQVTGTIDFIFGDAFGVFQNCKLIVRKPNDNQNCIITAGGRNKVDSLSALVFQGCQFTGEPTLKALPEKISFLGRPWRNFSKVVIMDSDIDDIFNPEGYMPWAGSAFESTCTYYEYNNKGLGADTSKRVKWPGVKVITPVEAANFYPGKFFEIANVTQRDSWIVTSGVPYAFGPYKMVPLPNLPIKQFN >OIW01071 pep chromosome:LupAngTanjil_v1.0:LG12:570016:574236:-1 gene:TanjilG_14254 transcript:OIW01071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQVLDKFQGKEWRQRQIRKITDQVYDHVKNQSRTANLTFEDLYIAVLLVFNDLNKHLPGPHFDPPSKDKVREIIKNCDINIDEEIDRDEFYSFIHEMTSETFSYVSQKLILTLVVAPTIAVATKRATEGVPGFGRVVQRLPNSVYASIVTLAAIWFQNTGQES >OIW00230 pep chromosome:LupAngTanjil_v1.0:LG12:14564590:14569627:1 gene:TanjilG_27481 transcript:OIW00230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPDAFNSTLFKWDPRTLLPTAPPSHRPQLLDYTVAPPVAHPARALLGGLEELFHDYGVRYYTAAKVAELGFTASTLLDMKDEELDDMMNSLSQIFRWDLLVGERYGIKAAVRAERRRIDDHELKRPNLLSADTTTNTLDALSQEGLSEEPVVQQEKEVVAAVERRKQPQQRRRTRTKVNHHHHHHHFHHNENEDPEDEEGEEDDEGNNNGGGGYSERQREHPFIVTEPGEVARGKKNGLDYLFDLYEQCREFLIQVQHIAKDRGEKCPTKVTNQVFRYAKKAGASYINKPKMRHYVHCYALHCLDEEVSNELRREFKERGENVGAWRQACYKPLVTIAGLQGWDIDSIFNAHPRLSIWYVPTKLRQLCHAERNTDVVSTSVSVGTTHLPNF >OIW00461 pep chromosome:LupAngTanjil_v1.0:LG12:13560794:13562189:-1 gene:TanjilG_05811 transcript:OIW00461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIIGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRIAPEEHPVLLTEAPLNPKANREKMTQIMFETFSVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDSLMKILTERGYMFTTSAEREIVRDMKEKLAYIALDYEQELETAKPGSAVEKSYELPDGQVITIGAERFRCPEVLFQPSMIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKGEYDESGPSIVHRKCF >OIW00114 pep chromosome:LupAngTanjil_v1.0:LG12:15714955:15716427:1 gene:TanjilG_29104 transcript:OIW00114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTLASFMFVWAIIRQYCPYEVTRFVEKYTHNIMGYFYPYIRISFHEFLGDRLKRSDAYAAVEAYLSANTSKSAKRLKAEMGKDSTNLVLTMDEYERVTDEYKGVKVWWVCSKVMSQTRSMSYYQEQEKRFYKLSFHKRYRDIITGSYLKHVMREGKEIRLRNRQRKLYTNSPGYKWPSYKQTMWSHIVFEHPATFETMAIEPEKKREIIEDLVTFSKSKEFYARIGKAWKRGYLLHGPPGTGKSTMIAAMANLLAYDVYDLELTAVKDNTELRKLLIETTSKSIIVIEDIDCSLDLTGQRKKKGDKFSDDESDKLNSEVGLRKEMKEEGSSGSKVTLSGLLNFIDGIWSACGGERLIVFTTNYVEKLDPALIRRGRMDKHVELSYCTFEGFKVLANNYLKVETHSMFDTIKRLISEVKITPADVAENLMPKSHMDDADKCLSNLIEALEATKDEELLSLKQNSPINQDEKLKHGSHIKENGCVKEILA >OIW00080 pep chromosome:LupAngTanjil_v1.0:LG12:18936805:18938367:1 gene:TanjilG_26417 transcript:OIW00080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSCFHALRLRWSKSKALPDPSSSSSMKRLNSDIENMERRRFDSLESWSMILESENVETWEASKEDQEEWTADLSQLFIGNKFASGAHSRIYRGIYKQRAVAVKMVRIPSQDEERRALLEQQFKSEVALLSRLFHPNIVQFIAACKKPPVYCIITEYMSQGTLRMYLNKKEPYSLSIETILRLALDISRGMEYLHSQGVIHRDLKSNNLLLNDEMRVKVADFGTSCLETRCRETKGNMGTYRWMAPEMVREKPYTRKVDVYSFGIVLWELTTALLPFQGMTPVQAAFAVAEKNERPPLPASCQPAIAHLIKRCWSETPSKRPDFSEIVSTLEKFDECINEGLPLTHHSRLVTRNAIIERLKGCVSMSSSIPVHA >OIW00369 pep chromosome:LupAngTanjil_v1.0:LG12:12712946:12717553:1 gene:TanjilG_05719 transcript:OIW00369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQYPSCNSGNGNHYLLLFVSCIGLIIALKHFISITKWIFNTFFRSEKDLITSYGSWALVTGATDGIGKAFAYQLAQRGLNLVLISRSSQKLETVSVQTLDSLLHVLTGIESCSYVDQLSRSLYVEYRQYGIHVQCQWCFARLVPDPLLDAWRLSIGIRRRNDGEAIHGNII >OIW00923 pep chromosome:LupAngTanjil_v1.0:LG12:1506276:1508066:1 gene:TanjilG_10001 transcript:OIW00923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDETMKKFQNKLTEVELVAEHLLLARHQSVENDRLRNGNREALTALRKRAQTTKSSVPSPFGSIMKGVSGTGSKPLVQEVCTVCGNHDSFEQTWMMFPGTDLFATIPFHAAHTILETDQKELDFEAKKLQSTVKEKSYFISETGALADKISPGILKSMVTLNDQPK >OIV99875 pep chromosome:LupAngTanjil_v1.0:LG12:17618329:17624205:-1 gene:TanjilG_26213 transcript:OIV99875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFDQNYVPKEMRPLNVAAPTMAEEPLFSSPISNGRSPTLFVSDPVFVGYDYRNMTSAASPTWCVRPIADPNFSPATNGFNYAPSFGNLVVNGNALNFGNCVGANSALDKACYDAVNGFAYGVRANRVVLNASDLASYGVSPDGRQQQAAQSCNEGADDSVSGKKVKFLCSYGGRNLPRPSDGALRYVGGQTRIISVRRDVSINNLLQKMVDTCGQPVVIKYQLPEEDLDALVSVSCADDVENMMDEYDKLVERSPDGSAKLRVFLFPASEHELSGGVQFGDLQDSGQIYFDAVNGVADGISSGINWKESIISAVSIQNSDLGGPENPHSTLEGQGDFNGAPKGHLSASSETAVKLVASDPSAAVHSGAYTVSSGTPLAMASSTYTPYSQDEVRLEKSVPVILSQQPYGLQQAGMEIHPPSPYLQPFIDMWPETMDHADCVQQYPQTRFSNPQLLGKMGPVFNQQQFCDNTPGLESHRVISRVKMTMAPPSSHVDVTPNVIPPQPLVQPQQNHFDQYNDQNTPGLKIIQHPAECGYNACQVPVNQVPPVTVGEGIHHWVQVPSQEQVVFSNWLLPQQVMIPEKIQRVEDCSMCQAKLPHAHSDTAVQDQFNSGAGPIPDSFPSYRSLPVEDNLKAQAINTVMVNAPLKEGIVEQGAGPRVISKLKPPDRIPCSGTTGLTHNLEQKPDGDKTFMQIPDGCGHPRNSFIQDTVRRTNMKQYPPSDGVMAASYLDVVQQHTMPVENQVKQVTLVNKPLNNDMPRLDGTAIQTSECMVQGSPKEFTNELTGVVSKPDVVDNRYTKDHLKPIDVRMHTLKIDNPEIHVSNGDCLLPVDKLSGNDKLEYHTQHAVDKEVILDNNFGRSKLIVDANQIKMSATPPSSAEISCGHNSKPGEYYEVAPPAVWGIPSSNPLSASGNHHRDAVTSSSISPPVSFGDLQDSPNSLFSNQHLWNVQHGTFFQPVSPSNVAAKKEAYSYKDYFVENPGNHREQNLEAQLDDGFYQSLEQNLTLEHDRSDKAEDQQLQAIAEGVAASVLHTSTPSNSDSHTRDVSYLENIGDNDVENNLIDVQCKSEIQDIKSKPPEKTNFCFPAADVGRLQVIKNCDLEELTKLGSGTFGTVYHGKWRGTDVAIKRITDRCFAGKPSEQECVRDDFWNEAIKLADLHHPNVVAFYGVVLDGPGGSVATVTEYMVNGSLRNALHKSQRNLDKRKRLMIAMDVAFGMEYLHGKNIVHFDLKSDNLLVNLRDPHRQICKVGDLGLSKVKRQTLISGGVRGTLPWMAPELLNGSSSLVSEKVDVFSFGIVMWELLTGQEPYTDLHYGAIIGGIVSNTLRPPVPESCDPGWRLLMERCWSAEPSERPSFTEIANELRSMATQISPKGQNQQQQPTQIQQ >OIW00985 pep chromosome:LupAngTanjil_v1.0:LG12:1050616:1055131:-1 gene:TanjilG_16234 transcript:OIW00985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVEEEEVLGEVEAMEAVYGIDFHLLSSFPLLFHLNLFPRTADDSSQQFVEAIIEIRATPKYPKEPPCVDIVDCKGMDEKRRKHLLHHIQTKAHELCPGFMLVALCEEAVEKLSAMNHPDGDCPLCLLPLVPTNQQSETLPFMKLMSCFHCFHSECIIRWWNYLQKSKETDSAKSENYKKVEEGIGNCPVCRKPFHVKDLEHVLDLVGSHSSQVSLDSDKATDEEELLQSEHEIIRRQKFEVIFNLQKENSGLIEPKKDLVILPGMYLPQPAATPSSTSTQESDEQQEKDIPTVISEKHAGETSNPPSSSRHKNFGARRQRPRSDNHSSSTVRNPRKPVQQQWVRRDNNPNSKQ >OIW00219 pep chromosome:LupAngTanjil_v1.0:LG12:14340160:14346713:1 gene:TanjilG_27470 transcript:OIW00219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRNSSRKLSFEILNQSLSFEDEEEQFSFIQRTKSDPTQTITRNKKRKQRASKKKKNLLDPSNSIPEDPLPDSNSLNSNSVSVDLKREVPLENGRACNGFELNGLCYSVTESVFTVAAREDGSEFPASAREGFNFGELRQRAVIGGSSEDLKASTVVAAAAVVDDGGKHDGSDTVKASVVVKPNEPDRNVVTKLVKEESLDWNRLMAEDPNYVFSVEKSPVTYFLEEMHNGNSLRSTTTLGNEKERERVYDTIFRLPWRCELLIDVGFFVCLDSFLSLLTVMPTRIMMTIWRFLKTRQFKRLSTIELSDFGCFVIMSSGVILLERTAQAITLSTCIVAHNNALFALLVSNNFAEIKSNVFKRYSKDNVHSLVYFDSVERFHISAFILFVLAQNILEAEGPWFESFLINVLFVYVCEMIIDIIKHSFIAKFNDLKPIAYSEFLEDLCKQTLNMQTEGSKKNLTFVPLAPACVVIRVFTPVYAANLPSNPLPWRLFWILLYSAMTYVMLTSLKVLIGMALQKHASWYINRCRRRKHHLHAD >OIV99789 pep chromosome:LupAngTanjil_v1.0:LG12:17032394:17032948:1 gene:TanjilG_26127 transcript:OIV99789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNISLRNILCRESSIGCSSRISYYRSSEGVPFKWEMKPGIAKELSPKEELPPLTPPPALLSLGLPKPCIMDHPKPSTRSRFRFWNKKEKLGKGKKTQMGNLFDLDVFARLEYCSTDSESMTSPRGSSFSSSTSSSLSIMKSRPSRHSSTCSWSPFSEVHGRKRSTLGCFQMHFTKILISIARRD >OIW00449 pep chromosome:LupAngTanjil_v1.0:LG12:13452792:13455528:1 gene:TanjilG_05799 transcript:OIW00449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVLSPQPLHVFQTTSPSLPNLAFPSQPQAFPFSLSSRHAFSHSPQLHLHFRPSATVLSAVTPENQSPDAPVRMVAVVGHEAVSPLKSQPWEQVMLHTAKRLKWVDEGYELLVFTDECIQSDGKKAMRLQEELLKADILVIVAVTNTESTKWININGNDIENVICFDSSPELKNKLGGYDVPTQVRGSIFGKVLGNSQFDKTKESYEVVQTVSEAWNRHNSDDIRFCLLLLINAYIRPVPVLKNLRAKGFSTLNCMLGNCGRQVLNCLLDPNCRKALQCLNKCSPVDQVCNYRCIASYESAYLEAFSLCVLQKNNCLELEAEIPDKPYVPPMDKFRAQDLTFELSEDLFVGWLGKLEWSWRVVAGQNPAYDQFPCQYQLFYRGKAKGSFWYEPVFQVKTFEGQLVWRRRKYRVKRGKIPGTFYFSVLDNGVVSNEFWTIVDVANDLSWGLFHYHGAARAAGQSYTGAVLVSPDGTFPNAREMTKVVAALERCGIKEWELFTVDNCSCIDAPLGIPEGSSLHTVVQIEDPNWISV >OIV99758 pep chromosome:LupAngTanjil_v1.0:LG12:16757547:16759207:1 gene:TanjilG_26096 transcript:OIV99758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVKKPKIVIIGAGMAGLTAANKLHTVTASKDMFELYVVEGGTRLGGRINTSEFCGDKIEMGATWIHGIGGSPIHKIAQQIHSLESDQPWECMDGNLDEPTTIAEGGFILNPSIVDPFTKLFNTLMDHAQGMKLSDSVSDTEKSLEKKQEELKGYGKWSKKLLEEGIFAMHENAQRTYTSAGDLLSLDYRAESEYQMFPGEEITIAKGYLSIIESLASVLPPGTVQLGRKVTKIEWQPERKNHEPMNVENGYCSRPVKLHFNDGSVMSADHVIITVSLGVLKAAIHDDSGMFYPPLPSFKADAISRLGFGVVNKIFMQLSPTHERKNEHSEGWFPSLQMVFHSPQSEMRHKKIPWWMRRTATLCPIYNNSSVLLSWFAGKEALALESLKDEEIIQGVSTTLSSFLSHKFSNGNVNAEDNSQGYGVKFSKVLKTKWGTDPLFLGSYSYVAVGSSGDDLDAMAEPLPKDKPLASPPLQILFAGEATHRTHYSTTHGAYFSGLREANRLLQHYHCLGIYN >OIW00697 pep chromosome:LupAngTanjil_v1.0:LG12:8626220:8632749:1 gene:TanjilG_09666 transcript:OIW00697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTVGDTSNSGYTKCAEQVQCLPSETNSNGVEVANGNGFAEEVQHFKSGAFNNGVAVSDVNDVTQEASCFKSEAIDNGVAVLDANDVMEEALCLKNEAFNGGMTIANGNGVTEDALCLKSEAINNRVAVSDGNDVMEEALRLKREACNNGVAIADGNVVTEEGLRLQSEAISNAVAVADGFGSADRDSGGLECLRIYKRRKRGKSSSDNKDDSRECVEAAGHLEDQETLKIDKDGQEHSSQLEWLPHRTQGESNGHANVLHDGCSSKTHGHGVTQTCQRVLCDILTSENFRSLWKALLENFEGMKPNSLFDFNIMTSRMSEKAYEQSPALFFSDMQQVWQKLQDTGNEIVALAKSLSDMSRTSYHELNNVVGDESFAMNEEILNELEENSICTDDDGIEVSIDGKNTPNCKICGYDVDGEKVKTCGHPVCPNKYYHVSCLTSKQLKSYSHCWYCPSCLCRVCFTDQDDDKIVLCDGCDHAYHIYCMKPPRTSIPKGNWFCKKCDAGIQAIRRAKKAYESNKRRRTEDDVSKPSVNPEKKCSNKHARQLEKGGGMDMLLTAANTLNLEENLACNPV >OIW01003 pep chromosome:LupAngTanjil_v1.0:LG12:1331111:1336744:-1 gene:TanjilG_16252 transcript:OIW01003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLHLKFPCAGKGIIFCESKRLTDGCKDQKSKDKIVENSCLLRRKLILSVLVSSAVFPTLSSYAKSKSKNPYDENRLLQQNRRIQKENNVPDDFPNFVREGFEVKVLSSDNYVKRDSGLLYLDFEVGKGDCPKDGQQVIFHYVGYNESGRRIDSTYLQGSPARIRMGTNSLVPGFEEGIRDMRPGGKRRIIIPPELGPPVGPSTFFSAKQFEVFDVELLSIQNCERRTIAFYSDVKMGCFPRKPIQSRLSWNTKSLMSTALILLSSCALSGPFDVGKIQRLQPEIAGTGPWSSLRSLT >OIW00864 pep chromosome:LupAngTanjil_v1.0:LG12:3879186:3880820:-1 gene:TanjilG_12805 transcript:OIW00864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMSSSITLGGEEENFGFLYNYMASIVLDDRVFATGRNAQPSSNLSWSTRLRIAKGIARGLAYLHECSPRKFVHGDIKPTNILLDNDFQPYISDFGLNRLISITGNNPSAGGLMGGALPYMKSYSSQKERSSNNYKAPEARVTCCKPTQKWDVYSLGVVLLELLTGKSPESSPTTSTSMEVPDLVRWVRKGFDQNSPLSEMVDASLLQEVRVKKEVLAVFHVALACTEGDPEVRPRMKIVSENVEKIGN >OIW00849 pep chromosome:LupAngTanjil_v1.0:LG12:5098843:5103516:-1 gene:TanjilG_12253 transcript:OIW00849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSYYFNPIPTSVHLIPAGVSRKLKIPNLLHRKKRCGTSSRSSNITAFYGLKTPPYELDALEPYMSKRTLEVHWGDNHKNFIDGLNKQLEKDDILYGYTLDELVKVTYNSGNPLPEFKNAAEVWNHDFFWETMQPGGGDTPKLRLLEQIEKDFGSFADFREKFIEAALGLFGSGWVWLVLKREERRLEIVKTSNAICPIVWDDIPIINLDLWEV >OIW00089 pep chromosome:LupAngTanjil_v1.0:LG12:18965628:18966152:1 gene:TanjilG_26426 transcript:OIW00089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKNVSLRSELLLHFPTSSNPSCYTCNPPSFVKVQPIRALKQPLSKPHKKQSHTHNPVIVKQNEHSWRNRVVVIIGGAVCVGMMVFMNEEKALALGPEGPLVEEFWDNVRRYGLYALTVSTGAIYTILQPIWELLKNPISAILVILIFGGAFFIISQVISAMVGVTDFSYDYGY >OIV99995 pep chromosome:LupAngTanjil_v1.0:LG12:18439272:18439517:-1 gene:TanjilG_26333 transcript:OIV99995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKMNVVVKREVVNNSSSSGVRNSVRYGECQKNHAANSGGYAVDGCREFMASAEEGTSGALTCAACGCHRNFHKRELNSET >OIW01033 pep chromosome:LupAngTanjil_v1.0:LG12:208599:211393:1 gene:TanjilG_14216 transcript:OIW01033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSHSCNVYDKIVEDKTYDNNRLVELEDEASCSSCSGPHLLSLVDKENGYDAKGKRVINLKRKSGIRRRRRGNYDFGKFSLVVPSRNLQSEVASTSCLPRDGSISKGQTNKSITRASEKEVNTLDIEDAQTGHDLDEQTCHSYEFNGSMVDSPGRDKYSSSSENYLSDAQDDIQVVGNEENRIKMLENALEEEKAAYAALYLDLEKERAAAATSADEAIAMISRLQEEKASIEMEMRQYQRMIEERVNYDEEEMNILQDMLIRREMENHFLEKELEAYRKLDSRGNDQSNGKPKVMFDEWGQRPAISVERHEDPLQSVNNTMPIVEKDEISNSSSNYMVAQTCINTEVGEELEKNTQQKDQAHGNLHSSIYDAEPDVLDVHVIDENIELREEEDEKISCSSFSIDSNEPRNRYVDFGGNCPRTSKMVSDTSVDGSTSQLSTLSSARCKTLPFDSGSDPSRAVHNEKLKIDKEIEFLGERLRIVQLEKENLALFAENGENEKGRLKLLEEITDYRLQIKQLRNPLRGTSLPPLSAKVSLRKIRGEIASLETSESS >OIW01034 pep chromosome:LupAngTanjil_v1.0:LG12:212894:213829:1 gene:TanjilG_14217 transcript:OIW01034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPSSPKPTPLNDTVSPTKKPQPIPWTHQETLNLIRAYQEKWYALKRGPLRSSQWEEVAVVVAARCGYDFNHPSKSAIQCRHKMEKLRQRHRAEKHRLLGGGLQQPRGWLYFGLMDELERGPMPISARPLTALSPPRNYDDDDNDSDNDNKEDNYFADDDDKMMSYVKSKSINYILNERPRTTKKYGVDLGFSREHVVPKGFRRMDYNDENYDDDDDDSDDENNEDEEKRVVANKEGLILGLTEEIKDFGERFIAMENLKMRMMKDTERYRVEMENKRIEMILKSQQRIVDSIGRAFGLSNKKMKIVHEI >OIW00268 pep chromosome:LupAngTanjil_v1.0:LG12:14987488:14988231:1 gene:TanjilG_27519 transcript:OIW00268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLQPTTATLQSKYTQIPRNLLTQKPILNLSLSTPTFSSSSLKLTTTRRSNGGALGARMSSTAANSYATALADIAKSNNTLDATTSDVEKINEIFSEKQVLEFFINPTIAVEKKIQVIDDIATSSSLQPHTRDFLKILIDSKRIDIVKDIVKEFELVYNTLTNTELAVVSSVVKLESQHLAQIAKQVQKLTGSKNVRIKTTLDPSLVAGFTVRYGNSGSKLIDMSVKKQLEEIAAQLDLGDIKLAV >OIW00606 pep chromosome:LupAngTanjil_v1.0:LG12:10706410:10707814:-1 gene:TanjilG_21821 transcript:OIW00606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTRRGLCYPEPVLGSTKMCSSSSDYGLHKRKKKNMHHHHHFNNKESIFVANKKQKKFQNKSSTEEYDFFESLPDDLVISIFTKLSSTATSPSDLVNLLITCKRLKSLALHSLVLSKASSRMFSVKAKNWCESAHRFLKQCADAGNVDACYTLGMIRFYCLKNRASGASLMAKAAMNSHAPALYSLAVIQFNGSGGTKNDKDLRAGVALCARAAFLGHVDALRELGHCLQDGYGVRQNIVEGRRFLVQANARELAAVLSIPETAAALSTPETAAARQWYWSFNPRLGHLGCPLLSDFGCNVPAPEAHPASRFMLEWFSVNGGSPGSGLRLCSHAGCGRPETRNHEFRRCSVCGAVNYCSRACQALDWKFRHKAECAPVDRWLDEEGEEVDGDGDVVMVVDS >OIW00213 pep chromosome:LupAngTanjil_v1.0:LG12:13969047:13970435:-1 gene:TanjilG_27464 transcript:OIW00213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQFSAYMTQYPLSTRTIPTSFFLPSQWPQPHNEELLLAMEESDFEEKCNEVKKINSTLVVIGKTTNENDKEDFDNEADDDDADNAEESEGEEFEQETG >OIV99800 pep chromosome:LupAngTanjil_v1.0:LG12:17107159:17112503:1 gene:TanjilG_26138 transcript:OIV99800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAPLPSQEFIFRSKLPDISIPTHLPLHSYCFQNLSEFEHRPCLVNADTGDTLTYAEVDLTSRRIATGLDKIGISQGDVIMIILRNCPQFALSFLGASHRGCAVTTANPSYTPAELAKQAEATKAKLIITHAAYVDKIKSFCESNDVKVICIDSSQEEGVLNFTVLTNADEKEIPDVKINPDDVVAIPFSSGTSGLPKGVMLTHKNLVTTVAQLVDGENPHQYSDSDDVLLCVLPMFHIFALNSILLCGIRSGAAVLIVPKYEMTKLLEYIEKYKVTVASLVPPIIMALVKSEDAVRYDLSSVRAVITGAAPMGVEIGEAVKTRLPNAILGQGYGMTEAGPLCISLAFAKEPLKIKIGACGSVVRNAEIKIVDTETGASLPTNKTGEICVRGTKVMKGYLNDPEATERTIDKEGWLHTGDIGYFDDDEELFIVDRLKELIKYNGFQVAPAELEAMLIAHPNIFDAAVVPLKHEVAGEVPVAFVVRSNGSKITEDEIKHYISQQVVSYKRINRVFFTDTIPKAASGKILRKELSARLNEGLVQAN >OIW01078 pep chromosome:LupAngTanjil_v1.0:LG12:693495:700685:-1 gene:TanjilG_14261 transcript:OIW01078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYWTSWLPLESPCLLEHVTLPVELVFFVILLIQILRKSLNLISKKNKVSEQGITEIHNPTVIKSGFAYKISIVCTILLLAVHASMLVLLNLNHETQCNSKVKAFTSEIIQVLSWGISLIAIFKISKSNVYFHWILRIWWICSFILSVISTTLHVDFSFTNIGQIGIREYADFIGLIASTILLIISSRGKTGIVLIAATNGLSSPLLGEKTEKVSDRERDSPYGKATFTQMISFSWLNPLFAAGYKKPLDQDDIPNVDIKDSAEFLSSSFDDSLRQVKEKDGTTYPSIYKAIYLFARKKAAMNALFAVISASASYVGPYLITDFVDFLGEKEALGLRTGYLLSLAFSCGKMVETISQRQWNFGARQLGLRLRAALTTHIYKKGLNLSSRSRQSHSGGEIMNLMSVDVQRVTDFVWQVNVIWMLPVQISLAVYILHTNLGLGSMAALAATLAVMALNIPLTKVQKRYQSKIMEAKDERMKSTSEILRNMRTLKLQAWDTQFGERIETLRKNEYHWLMKSLRQAALSAFIFWGSPTFISVITFWACMFMGIELTAARVLSAFATFRMLQSPIFSLPDLLNVIAQGKVSVDRIASYLKEEEIQHDVIEYVAKDRTETDIVIDKGRFSWDPDSRSPTIDEIDLKVKRGMKVAICGSVGSGKSSLLSGILGEIYKQSGTVKISGTKAYVPQSPWILTGNIRENITFGKEYDHDKYEKTVEACALKKDFDLFSGGDLTGIGERGINMSGGQKQRIQIARAVYQDADIYLLDDPFSAVDAHTGTHLFKECLMGILKEKTVLFVTHQVEFLPAADLILVMKNGKIAQAGKYAELLKQNIGFEVLVGAHNVALESVLMVEKSTRTALAERESSINSSSSIKPVHIQQDSAQDSPPDSKGNDGKLVQDEERERGSISKEVYWSYMTTFKGGIMAPVIVLAQTSFQILQIASNYWMAWVCPTTTDAKPLFEMNFILLVYMALSIAGSLCVLLRAMLIASVGLETAQTLFTNLLRNVLRAPMSFFDSTPTGRILNRASSDQSVLDLEMATKLGWCAFSVIEIIGTIAVMSQVAWEVFVIFIPVTGICIWYQRYYTPTARELARLAQIRISPILHHFSESLAGTASIRAFDQESRFVYTNLGLVDGHSKPWFHNVSAMEWLSFRLNLLSNFVFTFSLVLLVILPEGIIDPSIAGLAVTYGINLNVLQASVIWNICNAENKMISVERVLQYSSIPHEAPLVIEDSRPPRNWPETGTICLKNLEVRYAEHLPSVLKNITCTFPGRKKIGVVGRTGSGKSTLIQALFRIVEPREGSIIIDNVDICKIGLHDLRTRLSIIPQDPSLFEGTVRGNLDPLQQYSDNEVWKALDKCQLGDIVRDKKQKLESQVVENGENWSAGQRQLFCLGRALLKRSSILVLDEATASVDSATDGMIQKIISQEFEDRTVVTIAHRIHTVIDSDLVLVLSDGRIAEYDEPSKLLEREDSFFFKLIKEYSRRSHSFNNME >OIW00657 pep chromosome:LupAngTanjil_v1.0:LG12:9709026:9710678:1 gene:TanjilG_09138 transcript:OIW00657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKREHHHLHPNLNNPIDSTSMSAATSGKSKLWEDDGGGGGMDELLAVVGYKVRSSDMAEVAQKIEQLEEAMTNFDTGISSLSSDTIHFNPSDISTWLESMISGLTSPPQQQQPPTESSTITTSSDYDLKAIPGKAIYNNIDNYDTQFSKRVKYSSSSSSPSSSSSSRPVILVDSQENGIRLVHTLLACAEAVSQTNLSIAEALLHQIGFLALSQPGAMRKVATYFAEALARRIYGLFPETPLLNHRLSDIMLHNHFYETCPYLKFAHFTANQAILEAFQGKDRVHVIDFSMNQGMQWPALMQALALRPGGPPAFRLTGIGPPAMDNSDHLQEVGWKLAQLAETIHVEFEFRGFVANSLADLDASMLELRPSETESVAVNSVFEFHKLLARPGAVEKVLSVVKQIKPEIVTVVEQEANHNGPGFLDRFTESLHYYSTMFDSLEGSTSAESQDKVMSEVYLGKQICNVVACEGVDRVERHETLNQWRNRFGSAGFVPVHLGSNAFKQASMLLALFAGGDGYRVEENNGCLMLGWHTRPLIATSAWKLGAVTQ >OIV99805 pep chromosome:LupAngTanjil_v1.0:LG12:17146960:17148056:1 gene:TanjilG_26143 transcript:OIV99805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTTSLSLLFTLLLVSLYIVLCGASNFNQDFQITWGDGRAKILNNNNLLTLSLDKASGSGFQSKNEYLYGKIDMQLKLVPGNSAGTVTAYYLSSKGSNWDEIDFEFLGNLSGDPYILHTNVFSQGKGNREQQFYLWFDPTADFHTYSILWNPQHIVFSVDGTPIREFKNLESIGVPFPKNQAMRIYSSLWNADNWATRGGLIKTDWSKAPFTASYRNFNANACVWSGGKSSCKSNSGSSSSAWLRQELDISGQQRLRWVQKNYMIYNYCVDKKRFPQGLPLECTRS >OIV99774 pep chromosome:LupAngTanjil_v1.0:LG12:16901375:16903130:1 gene:TanjilG_26112 transcript:OIV99774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPKVLMLQEKTCQSPVEINSASAMINSLELEDDCGEDFDAESILDEEIEEGIDSIMGSVAEQEETNGAAVSCLGIPAFGFGGKLDCRLGLRRALRHVDDGGNWWNLPAVDILQISPKIINKPPPQPPAAAAEKKKKKKVEVKNSEPVKGNPMAKSNPGLMLKLNYKDVKNAWSDKGSPFADDSPVSNASGNDVTARLSKIDLLWENGGMREASVLRFKEKRRTRLFSKKIRYQVKKVNTDRRLRMKVPPS >OIW01018 pep chromosome:LupAngTanjil_v1.0:LG12:69175:71786:-1 gene:TanjilG_14201 transcript:OIW01018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQKVSCRKNHEKNDLLSAVANGELEMVEAIVKIHPTVLEHATARASLSPLHVAASNGRIEMLCMLLEHNVNVDIVNQHRQTPLMLAVMHGKTGCMEKLIQAGANILMFDSLSRRTCLHYAAYYGHLGCLKAILSTAHSTRVADSWGFSRFVNIRDINGETPLHLAARQRQTECLHFLLDRGALVCATTGGYGYHGSTPLHMAAHGGSVECVRMLLASGADRLQLDPYGRIPFSVALKYKHKACAALLDPSYASMLVWPSPLKVISELNQETKALLEKALLEANMERENTLLMEADMPPSPLHPASKDDDIASESEDSDMELCCICFEQVCTIEVRPCGHQMCALCTLALCCHQKPDPATACAAEPVCPFCRGAILQLIVAKIKTSSDTDEAESSPTEPRKSRKSSFSEGSSSFKGLSAMGSLGRIAGCNSGKVGTEK >OIV99838 pep chromosome:LupAngTanjil_v1.0:LG12:17379890:17381915:1 gene:TanjilG_26176 transcript:OIV99838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANQGAKKRKEENVRHMNKILYVIIACNVIFVLIRMLIFHSTFTWKNWIGLVVTSLAYYIPYQQLAKMASPSYAEDGELLDGGFDMSTGGVCGYLHDVIYITCFVQVMSIISGKFWYTYLVIPAFGAYHSFGFIKGFLPGGGSEEPYEDEKTRKKREKLEKKASRPKFVKSRTR >OIW00682 pep chromosome:LupAngTanjil_v1.0:LG12:8338569:8338988:-1 gene:TanjilG_09651 transcript:OIW00682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEENIGNFPYPALNRKQLLNPLFCKSIISIHLFDNWCDTLCTSTILKFEYRSYCPNQRCSPWLSMNAKEKLSVKRVDNSVGMMFCLENWWKEKDGVDAIIVVNVLSILEYVD >OIW00624 pep chromosome:LupAngTanjil_v1.0:LG12:10447015:10447218:1 gene:TanjilG_09105 transcript:OIW00624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWGVINEAAAIDQCQKITGHEVSSMGFEHFGCLGACPDGLVGIFPVCDLLEVKCPYNKGKPELDSP >OIW00451 pep chromosome:LupAngTanjil_v1.0:LG12:13469951:13473301:-1 gene:TanjilG_05801 transcript:OIW00451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPSHSIINYLYSFLLIFLTATITTSTSSFTDEVQLSQLINFKNSLPNPFLLPNWVPNNTTNLCSFTGITCTPQTRHVSSINLAGVALSTTFAPVSAHLLTLPHLQNITLRSTNLSGSLPTVTTCTNSLVFIDLSHNELNGKTDFSGCVNLHYLNISHNNFSSTVPSFGASSSLEYLDISGNQFTGDISGKLFGCKNLIYLNASSNKLSGTVPLLPVGGVMKFLYLAGNIFRGEIPARLAQLCSSLVELDLSLNTLSGSIPSEFSSCSSLESLDVSHNKFSGELPVEVLKKMKSLRRLSLSFNGFSGPMPESLSRMVSLEFLHLGSNRFKGSIPKGLCEDPRNSLKGLYLEDNLLTGFIPPSIGNCSQLVALDLSLNYLKGTIPSSLGSLSNLRDLIIWFNRLSGEIPEQLMYVKTLENLILDFNEFTGEIPSGLSNCTNLKWISLSNNKLGGEIPSGIGRLSNLAILKLSNNSFSGRIPPQLGDCHRLIWLDLNTNLLSGSIPPELFKQSGKIAVNFMGRNTFVYIKNDGSKGFHGAGSLLEFSRIRKEQLKRISIRTSWPITRFYQGKLQPPKFYHNGSMIFLDLSNNMLSGSIPKVIGSMFYLYILNLGHNSISGNIPEELGDLKNLGILDLGSNRLEGQIPQSLTDLSLLTEIDLSNNNLSGLVPRSGQFLTFPANRFLNNSGLCGVTLTSCGEESRYSKNIQHQKLHRGKVTRPANVVMGILSFCIFVFVIIAIETIRRREELEAMFGVYFGSHSHSGTANVGWKLTGAREELSINLETFENSLQKLTFTDLLEATNNFHSDSIVGSGGFGVVYKAKLKDGSVVAIKKLIHGSGQGDREFTAEMETIGRIKHQNLVPLLGYCQGGEERLLVYEYMKYGSLDILHDEKKAGIKLDWAARKKIAIGAASGLAFLHHYCTPHIIHRDMKSSNVLLGENLEARVADFGMARFVNVMDTHLSVSTLAGTPGYVPPEYYQSFRCSTKGDVYSYGVVLLELLTGRKPTGLSDFGDDNLVGWVKQHAEPRKFDVLDPELRKEDPSPEVELVQYLHVAFACLDYRPRKRPTMIQVMAMFKKIQAGSDKVPKSTIATGDGGLGAVEMVDMSIKEATALSKE >OIW00857 pep chromosome:LupAngTanjil_v1.0:LG12:4169727:4170642:1 gene:TanjilG_12798 transcript:OIW00857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNGPDECQLNSLETCALNIWPDVNKQYALIYCFEFLVIEGRSKKWHNCFDQLDLPEDPILNCLITGNGTQDFANFTYYVCKAYRGIAAPAACNLS >OIW00987 pep chromosome:LupAngTanjil_v1.0:LG12:1087647:1091283:1 gene:TanjilG_16236 transcript:OIW00987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVNPFDLLDDDVEDPSLLIAAEQLKAVAAAVAAPKGKAGGRGGQTAAQLPSKPVPPSQAVREARNDTYRGSRGGGRGGRGYGGGRGYGRDYPNDESSFPASRTPANQGASEGDSGNPSERRGYGGPRGPYRGGRRGGFSNGEVDEEGRPRRAFERRSGTGRGNELKREGSGRGNWGSQSDEFSQITEEAVNETEKNLSSEKPAGEEDAADVKESPAVVIEEKEPEDKEMTLEEYEKVLEEKRKALEALKTEERKVDIKEFESMQPLSSKKDNDEIFAKLGSDKDKRKDAFEKEKSKKSVSINEFLKPAEGERYYNPGGRGRGGRGRGGSRGGYSGNASSNVPAPAIADPGQFPTLGGK >OIW00780 pep chromosome:LupAngTanjil_v1.0:LG12:7023279:7029089:1 gene:TanjilG_22279 transcript:OIW00780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKEPLLPYSSPKLRPPFPPNLFPLPENDEINLPVTPKELKDRLIFGPSPKDPSSPLVEALTLSFKPSSSNQDLLFDSQQQQQLASWLIDPDYAHRKTNLHRSKTAPAIAVVSDFSRHSSEERPKFGSQSIVHQACIFLVLYLSLGVVIYWYNRHNFSSNETHPIVDGLYFCIVTMCTIGYGDITPNSTTTKLFAILFVLVGFGFIDILLSGMVSYVLDLQENHLLRAVKGKGEKDGRSYLVDVKKGRMRIRMKVALALGVVVLCIGFGVGVLHFVEKLDWLDSFYLSVMSVTTVGYGDKAFKTVHGRIFAAIWLLVSTLTVARAFLYLAEARVDKRHRRMAKWILGQDMTVAEFLAADIDNNGFVSKSEYVIYKLKELGKVSDKDIMQISEKFDILDTGKCGKITLADLMESHHG >OIW00186 pep chromosome:LupAngTanjil_v1.0:LG12:16228575:16234264:1 gene:TanjilG_29176 transcript:OIW00186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEANFDDGISPSEMIGSASNPQVPGLENTEEMKRRLQDSKRNKEKKGSNKTVSFYKLFSFADSWDHLMMFIGTISAVANGISFPLMTIIIGDTIDAFGGNANTTQVVHEVSKVSLKFVYMGAGAFVAAFLQVSCWVITGERQAARIRGLYLKAILRQDVSFFDKETNSGEVVGRMSGDTVLIQDAMGEKVGKFIQYVAAFLGGFVIAFIKGWLLSLVLLFSVPPLVFGGYLMTFAFVKMASRGQAAYSEAASVVERTIGSIRTVASFTGEKQAIAQYNQSLNKAYRIGVQEGVAAGFGLGSLRLFAYSSYALAIWYGGKMILEKGYTGGEVISVFFALLTGSLSLGQASPSLTAFAVGQAAAFKMFETIKRQPDIDAYETDGRRLDDIDGDIEFKEVCFCYPTRTDELIFNKFSISISSGTTAALVGQSGSGKSTVISLIQRFYDPQAGEVLIDGINLREFQLKWIRQKIGLVSQEPVLFACSIKENIAYGKDGATNDEIRAAAELANAAKFIDKFPHGLDTMVGEHGAQLSGGQKQRVAIARAILKDPRILLLDEATSALDAESERVVQETLDRIMINRTTIIVAHRLSTIRNADMIAVINQGKVVEKGSYAELTEDPDGAFSQLIKLQEIKRESKQNGANESCSQENFVDSVQQLSQSFSLSHGSSGTGNSSSHSFRTSNTMPTKFALLEKSEVVPSAALHKPRQVSFFRLACLNKPEIPVLLIGTLAAAVTGAILPTLGLLTSNMINTFYEPADQLRKDSKFWALIFIALGVTAFLFHPLRSYFFSVAGSKLIKRIRLMCFEKIIHMEVGWFDKAEHSSGALGARLSTDAASIRALVGDALGLLVQDIVTAITALVISFEANWQLSLIVLALLPLLLVNGHVQMKSMQGFSTDAKKLYEEASQVANDAVGNIRTVASFCAEEKVMELYQKKCEGPIKTGIRQGLVSGTGFGLSLLFLYSVYACSFYAGARLVEDGKAVASDVFRVFYCLTMAAIALSQSGFMSPGMTKAKSSAASIFAILDQKSKIDASEESGMILQDVKGDIEFHHVTFKYPTRPNVHIFRDLSLCIHSGKTVALVGESGSGKSTVISLLQRFYDQDSGQITLDGIEIQKLQLKWFRQQIGLVSQEPVLFNDTIRANIAYGKGGDAAEAEIIAAAELANAHKFISSLQKGYDTIVGERGIQLSGGQKQRVAIARAIVKSPKIILLDEATSALDAESEKMVQDALDRVRVDRTTIVAAHRLSTIKGADSIAVVKNGVIAEKGKHESLVNKGGIYASLVALHTTAPSS >OIW00855 pep chromosome:LupAngTanjil_v1.0:LG12:4177477:4182152:-1 gene:TanjilG_12796 transcript:OIW00855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHNILATMRSLKIIDGCMGTQVYALNPSDTGTTTMGCGVGEKILQHIHDHIKAQTLRTKSVHNFQPSNLSDVVVTAEGSFLPYGLPMTELLEPKIEPSMRSFNFVETLADVHRRTENCPQFEKSGMFLEQCAVFRGLADPKLFRRSLRSARQHAVDVHMKVVLASWLRYERREDELIGLSSMDCCGRNLECPKATLVPGYDPESVYDSCICSRDCGSSCFYYGNEDSLLVVDEVEECSTSSEEEDGDMSFCIGENEIRCRRFNMASLSRPFKTMLYGGFMESWREKINFSQNGFSAEVMRAAEIFSRTKRLDQFEPNLVLELLSLANRFCCKEMKSACDAYLASLVCDLENAVLLVEYGLEDNAYLLVAACLQVFLRELPSSMHCSAVMKLFCSPEGRDRLALVGHSSFMLYYFLSQIAMEEEMRSNTTVMLLERLVECAADGWEKQLAFHLLGVVMLERKEYKDAQHWFQAAVEAGHVYSSVGIARTKYKRGHTYSAYKLMNSLISDYKPVGWMYQERSLYCVGKEKMMDLISATELDPTLSFPYKYRAVSLLEDKKIEPAIAEINKIIGFKVSPDCLELRAWFLIAMEDYEGALRDVRAILTLDPNYMLFYGNMHGDHLVELLCPVVNQGCLADCWMELYERWSSVDDIGSLAVVHQMLAKDPGKSLLRFRQSLLLLRLNSQKAAMRSLRLARNHSTSDHERLVYEGWILYDTGHREEALAKAEESISIQRSFEAYFLKAYALADSVLDSESSTYVIHILEEALRCPSDGLRKGQALNNLGSVYVDCDKLDLAADCYMNALNIKHTRAHQGLARVYHLKNHRKAAYDEMTKLIEKARNNASAYEKRSEYCDRDMAKNDLSMATQLDPLRTYPYRYRAAVLMDDHKEAEAIAELTRAIDFRPDLQLLHLRAAFHDSIGDYVSTVRDCEAALCLDPNHAETIELCKNAREQIKEQN >OIW00848 pep chromosome:LupAngTanjil_v1.0:LG12:5033066:5034752:1 gene:TanjilG_12252 transcript:OIW00848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTATAAATSSFIGTRLREIQSNSGRVQARFGFGKKKPAPAKRPSTQSTDRPLWFPGAKAPEWLDGSLVGDYGFDPFGLGKPAEYLQFELDSLDQNLAKNLAGDIIGTRTEFSDVKSTPFQPYSEVFGLQRFRECELIHGRWAMLATLGALTVEWLTGVTWQDAGKVELVDGSSYLGQPLPFSITSLIWIEALVIGYIEFQRNAELDPEKRLYPGGSFFDPLNLATDPEKKARLQLAEIKHARLAMVAFLGFAVQAAATGKGPLNNWATHLSDPLHTTIIDTFSSSS >OIW00817 pep chromosome:LupAngTanjil_v1.0:LG12:5841117:5847311:-1 gene:TanjilG_25935 transcript:OIW00817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSWVRVLLLIACILPALVECRVRHYKFHVVTKNTTRLCSSKSIITINGKFPGPTLYAREDDTVLIRVVNKVNHNVTIHWHGIKQMRTGWADGPAYVTQCPIQPGQSYVYNFTITGQRGTLLWHAHVNWLRSTVHGAIVVLPKKGVPYPFPKPDDELVLVLGEWWKSDTEAVINEALRSGLAPNVSDSHTINGLPGTVANCSTQDVYNLPVESGKTYLVRIINAALNEELFFKIAGHNLTVVEVDATYVKPFKTETIVIAPGQTTNVLLTANQKSGKYLVAASPFMDSPIAVDNLTATATLHYTGTLSNTPTFLTTPPPKNSTQLANNFINSLKGLNTQKYPANVPLKVDHSLFFTIGLGIRPCPSCKAANGSRVVASVNNVTFVMPTTALLQAHYFNINGVFTTDFPANPSHVFNYSGAGPANLQTQSGTKLYRLSFNSTVQLVIQDTGIIAPENHPVHLHGFNFFVIGRGLGNYNPKTDTKNFNLDDPVERNTVGVPAGGWTAIRFRADNPGVWFLHCHLEVHTTWGLKMAFLVDNGKGSKQSLIPPPKDLPKC >OIW00664 pep chromosome:LupAngTanjil_v1.0:LG12:8125470:8128228:-1 gene:TanjilG_09633 transcript:OIW00664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSACLVGNALSTPNNRKTFGKDLNHRYLFSSWRRSSSNKGSNSKAVFIKASLDQSQDEGRRGFMKLLLGNAGVSLSTLLGSGSKAYAADEQGVSSSRMSYSRFLEYLDKDRVNKVDLYENGTIAIVEAVSPELGNRLQRVRVQLPGLNQELLQKFREKNIDFAAHNAQEDSGSLLFNLIGNLAFPLLLIGGLFLLSRRPGGMGGPGGPGFPLTFGQSKAKFQMEPSTGVTFDDVAGVDEAKQDFMEVVEFLKKPERFTAVGARIPKGVLLVGPPGTGKTLLAKAIAGEAGVPFFSISGSEFVEMFVGVGASRVRDLFKKAKENAPCIVFVDEIDAVGRQRGTGIGGGNDEREQTLNQLLTEMDGFEGNTGIIVVAATNRADILDSALLRPGRFDRQVTVDVPDVRGRTEILKVHGSNKKFDADVSLEVVAMRTPGFSGADLANLLNEAAILAGRRGKSAISSKEVDDSIDRIVAGMEGTVMTDGKSKSLVAYHEVGHAICGTLTPGHDAVQKVTLVPRGQARGLTWFIPSDDPTLISKQQLFARIVGGLGGRAAEEIIFGEPEVTTGAAGDLQQITGLAKQMVVTFGMSDIGPWSLMDASQSGDVIMRMMARNSMSEKLAEDIDAAVKRLSDEAYEIALTHIRNNREAIDKIVEILVEKETLTGDEFRALLSEFVEIPTENKVPPSTPVPATV >OIW00459 pep chromosome:LupAngTanjil_v1.0:LG12:13556272:13557268:1 gene:TanjilG_05809 transcript:OIW00459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGRIGNGPGDPSAVPYAVETVPVFGICMGHQLLGQDLGGKTFKMKFGHHGGNHPVRNLRTDHVEISAQNHNYAVVPTTLPEGVEVTHINLNDGSCAGLAFPAQRIMSLQYHPEASPGPHDSDYTFREFVELMKWNIDKKRDLQLSAVSASGA >OIW00271 pep chromosome:LupAngTanjil_v1.0:LG12:15011942:15021384:1 gene:TanjilG_27522 transcript:OIW00271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSTRRSGSLSGNNSKRSSSSEDKTPSPKRQKVDNDGSSEKPMPAAENSKELRIPEPAPDPGERGSGDVQIAGDGAIDAVSSGKADATATAAVVAPIADGSSPIDKAKGAFSSWSAHQKPSPKLESSAPWGRLLSQSAQHPNVIISPQNFTIGSSRNCSLPLKDQTISGNLCKIKHSQGKGSNVAVLESTGSKGSVLVNGTLVKKNTNCVLNSGDEQLNTEVAVKGAEVQSSVGKFQQIERKAGDASAVAGASILASLSSIRQDLTRLKSPAESSTKPHPEAPSHSVPHGGIEIEINAFESTPNVQSDKAADVGASDKDSPMDCDPDARTEAGNVKLSGVNDFLRPFFKILARPSCKLKLSKSNYKQVLEELNGTLDTQAASTSTTSVRCAVFREDVRAAILDGNEIDVSFDNFPYYLSENTKNVLVAACFIHLKHKDKEHEKYTTDLTTINPRILLSGPAGSEIYQEILAKALAKYFGAKLLIFDSHSLLGGLSSKQAELLRDGFIVEKACRATKENPTATDMARNMDPLASETDMPSSSDVPTSSSGPESQLKLEIDNIPSTPGTAKNCLFKLGDKVKFSCSSSCGLYQPSSRGPPNGSRGKVVLIFDDNPLSKIGVRFDKPISDGVDLGGACEGGQGFFCNVNDLRLENSGVEELDKLLINSLFEVVYSESRNAPFILFMKDAEKSVVGNGDPFSFKSRLENLPDNVVVIGSHTHTDSRKEKSHPGGLLFTKFGSNQTALLDLAFPDGFGRLHDRGKEVPKPNKMLIKLFPNKVTIHMPQDEALLASWKQQLDRDVETLKIKGNLHHLRTVLGRCGMECEGLETLCIKDQTLTNENAEKIVGWALSHHLMQNSAADPDSKLVLSCDSIQYGIEILHSIQNEPKSLKKSLKDIVTENEFEKKLLGDVIPPSDIDVTFDDIGALENVKDTLKELVMLPLQRPELFCKGQLTKPCKGILLFGPPGTGKTMLAKAIATEAGANFINISMSSITSKWFGEGEKYVKAVFSLASKIAPSVIFVDEVDSMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKDTERVLVLAATNRPFDLDEAVIRRLPRRLMVNLPDAPNRAKILKVILAKEELSPDIDLDAVASMTDGYSGSDLKNLCVTAAHRPIKEILEKEKKECAAALAEGRPAPSLCSSVDIRSLNMDDFKYAHQQVCASVSSESVNMTELLQWNELYGEGGSRVKKSLSYFM >OIV99988 pep chromosome:LupAngTanjil_v1.0:LG12:18393414:18399977:-1 gene:TanjilG_26326 transcript:OIV99988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELETESNNHDGFIDRSKVRILLCDNDSKSSQEVFTLLMGCSYQVTSVKSVRQVIDALNAEEQHIDIILAEVDLPIKMGMKMLKYIARDNELRRIPVIMMSAQDEVSVVVKCLRLGAADYLLGLVENNILNYDFDLVASDPSDANKTSTTLFSDDTDDKSKRSTNPEAGISIQQVQEASIAVAVDDVEEIPDAHVSEYQPGVPGISDRRTVTSVKSVRQVIDALNAEEQHIDIILAEVDLPIKMGMKMLKYIARDNELRRIPVIMMSAQDEVSVVVKCLRLGAADYLVKPLRTNELLNLWTHMWRRRRMLGLVENNILNYDFDLVASDPSDANKTSTTLFSDDTDDKSKRSTNPEAGISIQQVQEASIAVAVDDVEEIPDAHVSEYQPGVPGISDRRTGHFSSGPKKSELRIGESSAFFTYVKAKMLKNNFEEIVHADNNATTQVEMEGMIMNQTCAQQGGNDLLRHENGETFQSHSQNDIPSSNNVPDSFSIERSCTPPASVEVSQQKHYKDEHGQGVVYRRNGSHGSDLKTSGITDQHAHPYYIPGVVNHVMMPSTAQLYQKNIQGLVTSPFVAHLRFLHSWPSLGNSSSSEVNLSKVDRREAALMKFRQKRKERCFDKKIRYVNRKRLAERRPRVKGQFVRKLNGVNVDLNGQPVDDEEEEDNHMARDFSPNEA >OIV99882 pep chromosome:LupAngTanjil_v1.0:LG12:17679014:17681492:1 gene:TanjilG_26220 transcript:OIV99882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLILKGGSRPPWVGLGASVWVQIASGNAYAFSLYSHSLKSVLGFNQRQLTLLGVANDLGENVGLLPGLASNKFPPSVILFIGAVCSFLGFGTLYLSLSKTLTSLPYWLLWLALAVAANSCAWLSTAVLVTNMRNFPVSRGKVAGILKGYSGLSASVYTQIFKIVLHDSSSEFLLFLAVGIPVLCFSTMFLVRPCTPATGEDSVEKGHFLFVQVSSLVLGLYILATNIFADFLPISGIASYILVTVLILLLMAPLAIPIKMTLFPRKGTRSQTKEQQVGSLEPDSPIQERKEDQTLAQPLLSSSSANVLGGYDDNDGSSEVAMLLAMGEGAVKKKRRPKRGEDFKLTEALVKADFWLLFFVYFVGVGTGITVLNNLAQIGIAQGVKDTTTLLSLFSFFNFVGRLGGGVVSEHFVRTKTLPRTVWMACTQTIMIMIYFLFAYAIKGTLYPAIAFLGICYGVQFSVVIPTVSELFGLRDFGLMSNFLALGNPLGAFLFSALLAGYVYDNEAAKQHGLGLIASSASCVGPNCFKLTFLILAGVCAVGTILSTILSMRIKPVYQKLYAGGSFRLPQTSDH >OIW00368 pep chromosome:LupAngTanjil_v1.0:LG12:12689206:12704378:1 gene:TanjilG_05718 transcript:OIW00368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYINLTSASREVVFGIEPMTSLSLSSNLTVVPNFNKFHQKSLKKSKRGGPEAFGDLSEETFVQNVKLDTSLNSAGQISTPVPSRSAVLQACTITSSLIAALGIVIRQVSHVVSTEGLPVLDCSTEVSFGFEMWHLELIAGLVVLISSCRYLLLKTWPDFAESSEVANQQVLSSLQLLDYMVVALLPGISEELLFRGAILPLFGMNWKSIGVTALTFGVLHLGNGRKYSFAIWATFVGLAYGYATILSSSIVVPMASHALNNLVGGLLWRYTSNTLKQNLNEDLSP >OIV99978 pep chromosome:LupAngTanjil_v1.0:LG12:18327950:18331519:-1 gene:TanjilG_26316 transcript:OIV99978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLKSSDRNKVQTLSPSPLPSSAARLWRPAAQRILRNQWPKLVSYRDKWTSISSSATSDATAIVNSHLSQRYMPNINLGVLSDMPHIRTRASFKLFQQQELQRTKLLLSYKKMVGIVSDMISASRSMRCYFKGAKNSPLLQFSCNSEDESDSGDGGGIPVFTFLSISAHEKFAEELVQMFSLELCLKRLLVLEFLSIGYDTSLVNQLHWSTQLYAGEFDDLRDCNLYCHETCRPVPPRLRDGKSNIADLRFDSQPNPEVLQVYLITLLAEVNIDTFRVNEIFAVVGEEMHFNLC >OIW00060 pep chromosome:LupAngTanjil_v1.0:LG12:18824541:18827583:1 gene:TanjilG_26397 transcript:OIW00060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQGGYGGGMVPQQQQQHQQQQGYTMMPPQQPTNADEIRTLWIGDLQYWMDENYLYTCFAHTGEVASVKVIRNKQTSQSEGYGFIEFTSRAGAERVLQSYNGTIMPNGGQNFRMNWASFSAGERRHDDSPDYSIFVGDLAADVTDYHLQETFRARYSSVKGAKVVIDRLTGRTKGYGFVKFGDESEQIRAMTEMQGVLCSTRAMRVGPASNKNPTTQPKAPYQNPQGAQNDNDPNNTTTQTDPNQWNGGGGYYGYGQGYENYGYAPPPPVGQDPNMYGSYPAGYGNYQPPQQQHQTGYS >OIV99897 pep chromosome:LupAngTanjil_v1.0:LG12:17778770:17785300:-1 gene:TanjilG_26235 transcript:OIV99897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENRDSSTSSPSSSANRDASFATDNDDGVFAVAVALAKDAALHFQSGKFAECVEVLIQLLQKKQNDPKVLHNIAIAEFFRDACSDPKKLLEVLKSVKSKSDQLALASGEQGESVSDVGNRVVSGSKGSGSLTNQFSVANSTTTMYTDEFDSSVAILNIAIIWFHLHDYAKTLSVLEPLFQNIEPIDETTALHVCLLLLDASLACHDASKSADVLAYLEKAFGVSSARQGDNGNTAQQQSANLITKSAPVAICASAANTSSSDFSSNVNASENNLSGALSEDTLDYEAMLLHMGGQNLARPMGPSPNDLSRALLDRFSTVDLKLKLQLYKVRFLLITRNLKLAKREIKLVMNIARGRDSSVALLLKSQLEYARGNHGKAIKLLMASSNRTDTTFSSIFNNNLGCIYYHLGKYQTSSLFFSKALTNCSSMRKDQPLKLATFSQDNSLLIIYNCGVQYLATGKPTLAAHCFQKASLVFYKQPLLWLRLSECCLMALEKGLIKSDGVPPKKLDVGVCVVGTGKWRQLVVEDQIPGNVHMKSPEKDNCCQSNDGQLNLSMSMARQCLANALHLLEAYSTDYLKSGLPTNSSVEENDTSESPSSKNSNLKNLHVIDSKAFSVAGGLGQVDSNGDTKEQKGVSSQELVLNSLSYYEDVCRRENQLVKQAVLANLAYVELELDNPVKALSVAKSLLELPECSRIYIFLGHVYAAEALCLLKRPKEAAKLLTFYLSEGHNVILPFNQEDCEKWRVEKTSEFEEVDGGGSMETKNSCLEDTQSIVFLKPEEARATIYANFAAISAMQGELEEASLLISQALSISPNSPEATLTAVYVDLLLGKPQEALSKLKRFSRIRLFPSGTILNKSS >OIV99730 pep chromosome:LupAngTanjil_v1.0:LG12:16560684:16561624:-1 gene:TanjilG_26068 transcript:OIV99730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLQFYINENGDKVYTTKKESPLGLVTQSAHPARFSPDDKYSRQRVLLKKRFGLLPTQQPPQKY >OIW00629 pep chromosome:LupAngTanjil_v1.0:LG12:10388696:10389466:-1 gene:TanjilG_09110 transcript:OIW00629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRYLEDKGCYNHGPTTTTQETPNRNVAAATTHVSHHHQRTSMGKPTPSKWDDAQKWLVGLSKVGEISQSKNKPRNSNADDLRLIAHVPQKEHEYSSSEKEEENYDTTTSSTSISTTTCYEAETKKVECEESIWRSNNKALENTTQVQVQVQPLCFRDMGTEMTPIASQEPSRTATPIRATTPATRSPIHSGTSTPMRVQNGLGDASEGQQKGTREVSSSCNKVPEKKIEDHGRKLNPLETRAMAWDEAERAKYMAR >OIW01058 pep chromosome:LupAngTanjil_v1.0:LG12:426043:437454:-1 gene:TanjilG_14241 transcript:OIW01058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILVPSTKEKVHDQYEKEAKKQNWVNNVKKQLSPTHHIPRPTPKPVDEDLYKISPELLYAKTRKEMLRSSLFFSVPPYTYPNLTIIPTPFSSSFHLNLNQCNRKSFVLVSCSNNNSTSYEVGGGYSEQELKERRNKQNPNNSHSDNHNDTSTEREALLKGGDQVISVLQEMITLLEDMDMDEDSEKVAVELAAQGVIGKRVDEMESDFMLALDYMIQLAEKDQDDKRKTLLEVIKETVLSHLTKKCPPHVQVVGLLCRTPQKESRHELLRRVAAGGGQFKGENDLKIHIPGANLNDIANQADDILETMETRPVVPDRKLLARLVLIREEARNMMGGGILDERNNRGLYTLPPAEVNFLAKLVALKPGKVVLDMIKNVMLGKDEGADNPENEDDDDATQRVSSRIGGRASVTGRKPLPVRPGMFLETVSKVLSGIYAGSDSGITAQHLEWVHRKTLQVLQEIAFS >OIV99915 pep chromosome:LupAngTanjil_v1.0:LG12:17938190:17939218:1 gene:TanjilG_26253 transcript:OIV99915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSDSVVKKIILSYTYVAIWIFLSFTVIVYNKYILDRKMYNWPYPISLTMIHMAFCSSLAYLLVRVFKLVEPVSMSRDLYLRSIVPIGLLYSLSLWFSNSAYIFLSVSFIQMLKALMPVAVYSIGVLFKKEMFKSEVMANMVSISLGVAVAAYGEAKFDAWGVTLQLMAVAFEATRLVLIQILLNSKGISLNPITSLYYIAPCCLVFLSIPWLIMEYPSLRDSSSFHLDFLTFGTNSTCAFALNLAVFLLVGKTSALTMNVAGVVKDWLLIAFSWSVIKDTVTPLNLIGYGLAFLGVAYYNHSKLQALKASEAQKKAQQADEEAGRLLEEREGERKNENHN >OIV99739 pep chromosome:LupAngTanjil_v1.0:LG12:16601458:16604933:-1 gene:TanjilG_26077 transcript:OIV99739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILSKVEDDKKSSEDADNHNKLLKDVETISKVLYLDESCCWNSTSTAISQSKSTETFPLPDPKSKPKASVDDKSVKDKKSIWNWKPLKALSINRSRKSAPHNSAKYEAKHVLLYASVVGAPELDLGKHRVDLARLLPLTLEELEEEKSSGKWTTSFRLSGAAMGATMNVSFGYVVVGDNTSATNALTLRQNSMAALMKSGIKFRQSDGSLPSNTNQYSYQNVDEVKDLHEVLPLSKSKLACSIEAIYKKLDEEKTFSPLQNKPKEIDVFAKDFEPIKVDTFSLSDSGKEKPEELLGIEGKTCSPVHDKPEFEVCEENVETVKLDCYPSPDSGKENHEAEEWESNKGKTHSPMHDKLEFDLLQENTDTVKPEGYPSLDSGREKCEAYEGNGFPVVDRGTELSSNEHIKLEEPIVKALVHAHTVDSTCWIDTSGIRASFEDSDNHDSLYEVNDSSKEKAMVHEFFYKENNVCTKELLLQELESALNSVSDLESVTFDCPDIMEVKSEYEMRKSHSLDDFTESVASEFLSMLGIDHSPMGLSSESEPESPRERLLRQFEKDALSEGFSLYDFNTDSDNENGDHSDASFGSEQREFSDRIKSSSLLEDLQEGHFIESRDVKSKIKAQMLQDMEAEALMREWGLNEKSFQHSPPKDTTGFGSLINLQMTEPHILPHLPEGLAPFLQTKDGGFLQSVNPSLFRNAKGGGNLIMQVFNPVGIEKLSMQPNKLMLLEDVTGKNIQQIAWESIPVLQGTERQCHLQNDLVTVQDASCVQTDLEGTLSKRKSIKFKSSYVGNQTGKEFVSLDDLAPLAMDQIEVLSMDGLRIQSEMSEEDASSNIIAQSFGEILTLQGEGVNISWSLALDGAAAEWMRLDSGEFNDDKNSISEHTSKVLAAHHANNSFDLIHTSSKGERKQCKGPARKCGLLGNNFSVELMVQLRDPMRNHVTLGRPMLSIVQVEREFVPPKQRIYSSVSEAANSNDGDDEFEIVAKVEMNERKKEVKFSEEKGIPQFSSTKVHVAGLKTKPQKNKLRGSSSQQQSGSRWLLANGMGKINKHPLLKSKAATW >OIW00589 pep chromosome:LupAngTanjil_v1.0:LG12:11221908:11224893:-1 gene:TanjilG_14815 transcript:OIW00589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVAAQVVVGGGSVVGESWCLVRTDASFQALQTALDYACSAGADCSVLQPNGLCFLPNTIEAHASYAFNSFYQRKGRAPGSCDFAGTATIAQSDPSYGSCVYPSSASTAGGSTTPIITPPGMNNPNMPSPTMAAPLFGGLSPVVSDNNSRAPTEAIATWLLVLFSFILIIMTLIS >OIV99998 pep chromosome:LupAngTanjil_v1.0:LG12:18455999:18458305:-1 gene:TanjilG_26336 transcript:OIV99998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRALLPKHVAAVVKTQKDPLKALQMFNSVKDEEGFKHTLSTYRCMIQKLGFHCNFDEMENLISETRTNIDNTMLEGIYIEAIRSYGRKGKVQEAVNIFERMDFYNCDPSVHSYNAIMNILVEYGYFDQAHKLYMRMRDKGVDSDVYTYTIRIKSFCRTMRPYAALRLLHNMPHPNAVAYCTVVAGFYECDDHVEAREVFDEMLERCFCPDVMTSNKLVHTLCKKGLVRESEKILDKVLKRGVSPNLFTFNIFIQGHCREGALDRAVRLLASVSREGLAPDVVTYNTLICGLCRNSRVVEAEGYLHKMVNDGFEPDDFTYNSIIDGYCKAGMVQDANRILKDAVFKGFKPDEFTCCSLISGLCLDGDPDHAMAVFKDAQEKGLRPSIVVYNTLIKGLSQLGLILPALQLMHEMAENGCQPSIWTYNLVINGLCKMGCLSEANNLISDAIADGCLPDVFTFNTLIDGYCKQLKLDSAVELVNRMWTQGVTPDVITYNTVLNGHCKAAKSEEVMEIFKAMAEKGCAPNKITYNIILESLCKAKKVTEAVNLLGEMKSKGFSPDVVSFGTLITGFCKIGELDGAYKLFRRMEKHYNVCHTTATYNIIISAFSEQLNMKMAAKLFSAMKKNGCLPDNYTYRVMIDGFCKMGSVTHGYNFLLENIEMEFIPSLTTFGRVLNCLCVEHMVQEAVSIIHLMVQKGIVPEIVNTIFEADKKVVAAPKIVVEDLLKKGHITYHAYEVLYDGIRDKRILKKKRLQTVNSLQGGVRRSA >OIV99752 pep chromosome:LupAngTanjil_v1.0:LG12:16702101:16703761:-1 gene:TanjilG_26090 transcript:OIV99752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVRIRLARFGRTHRPFYRVVVTDSRTTRDGKNLEILGFYNPLAGRDDEKGMALKLERVKYWLSVGAQPSETVESLLARAGLVPDDATLDQEQPANASQNDDNGELRTPYPCYIFNAVFKMPFFLFH >OIW00997 pep chromosome:LupAngTanjil_v1.0:LG12:1270198:1274299:1 gene:TanjilG_16246 transcript:OIW00997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELWGIFFVSLMPILKVLLITALGTLLALDRFEILGENAAKHLNNLVYFVFAPALVCGNLAKTITLRSMIMLWFMPLNVVLTFVIGTFLGWLLIKITKVPPRNVGNMPLIIVPALCKERSNPFGDVNICYRNALAYTSLSMALGTILVWSYTYYIVSIYSRKLLGIVEVDSTENRVYVAETDPENLSKCSTEAFHTAEDRSKSNDDVKELEIECTHAKVVFLQVSKRGKIMKQMKIIAEKINLKVIFSPCTIGVIVGLIIGVVPQFRKLLAVDGAPLLVVQDSIDMLGDAGIPAMTLLVGANLIKGLKGSRKQLPLIIGITVVRFIALPAIGIGIVKGAIHFGIIHSDPLYQFLLLLQFALPPAVAMSTITQLFGAGEGECSVIMLATYSCAAVSLTLWCTFFMWLVL >OIW00916 pep chromosome:LupAngTanjil_v1.0:LG12:1420628:1422696:1 gene:TanjilG_09994 transcript:OIW00916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNPKSRLEKRSKVELETGNDEMPDFTEKVPKDVLIHILTFLPLDEAIRSGILSKKWKDLWRNVTHIEFDEKKLIKPLLQLLLSRKSGRTKDVTKGTCWYALLLHRIMFRHYGDLLSFRILHSWETILFREVQSWVKYVLITKKGVQNLSLECEPDNGEMGERFLFQYNIPKLNFSNGIFQRLEGYAIVKGYEILAHSAMVYSSNIIFKNLSTLSMDLDLNHIRDAQDLYSVLQLCTSLQVLEISLPDFENTIIGSSNDYDFPYPISMFWEKQELCYCVHEKLKFVYIKAFKGNELEVEFVKYLIAKATMMKKVTIFCNTLTEDAENLLSLPKTSTNLSINFKVNAINIMN >OIW00887 pep chromosome:LupAngTanjil_v1.0:LG12:3152809:3154368:-1 gene:TanjilG_20888 transcript:OIW00887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRLNKKAAFQQLRSVTNSMAMNKASIIVDATRYIEELKQKVEGLNTELGASESSTSQNELPMVKVETLERGFFINVFSERNCPSMLVAILETFEELGLDVLDARVSCEDTFQLEAVGGENLENESIDAQVVKQAVLQAIKNMN >OIW00093 pep chromosome:LupAngTanjil_v1.0:LG12:18991611:18993470:1 gene:TanjilG_26430 transcript:OIW00093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNLTKGGSPRGEVGEIDTRAPFQSVKAAVSLFGEVAVSRDRFAVKRRSSENVFEKETQLILAQRELNSIKKQLDSAETTKAKALSDLESAGMTLQNLTVKLSCVRENKQSAMDAAEAVKNQSKRHEKAISLKAVGFEAWKREVEHARKEYLKTVAELDASKQELTKIRQDYDAVLEVKLAAFHTAGEAERAVKLNSERVNELSKEILSMKASIGQLKLVSEQTQEEADVIGQKESQLSFYKTAKDEALESLRNEYDPELIQSLDAKLTEATIEIEALQEQLKTLHASEMNSVKLVTSDLKKATKILQDIAVEERSLKKLVFSLRTELKQVKKEQDEVKEKEQAAEALADKLAGELQESMEEARPEPDSLEEQEANNFYEQSLKIKMLSSEMENARRGAEEMNREAQELKQEAEKSRAVAEEALKKLELVLEEVKEAKAAERRAIEEMKILSEGKGKISHSNFSGTIKIPNEEYESLSEKIKECEELVEKKEAVMMKEIQASCRRKNEMDRNVEANLKAIDEIKADTELALWNAEIADSAKVAIESEIRRFRQQEQKVVAHIFACSDNSSRSISWSI >OIW00674 pep chromosome:LupAngTanjil_v1.0:LG12:8247631:8261685:1 gene:TanjilG_09643 transcript:OIW00674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVPLEKIEEPLKGFVWEFDKGDFHHWVDLFNHFDSFFDKYIKSRKDLLIDDDFLGSDPPFPGEAVLQILGVIRIILDNCTNKHFYSSYEQHLSALLASTDLDVVEASLDTLATFLKRTVGKYSIRDTSLNSKLFALAQGLGGKEEGLGLIASAAPNACDPLAYELGCTLHFEFYAANESECDIKVAEPLVQGLQIIHLCDINKCVETDLELLHKLVTEYKVPASLRFSLLTRLRFARAFGSLASRQQYTCIRLYAFTVLIQACGDANDLVSFLNAEPGFINELVSLLSYEDAVMDKIRILCLHSLAALCQDRSRQTSVLTAVTSGGHRGILSSLMQKAIDSIVSGDNSRWSVHFAEALLSLVTVLVSSSSSGCSAMREAGFIPTLLPLLKDTNPQHLHLVEKAVRIFEAFMDYSNQAAALFRDLGGLDDTISRLNIEVSHVESGGKQLDENSESGARSVHMKEGSSTGLNGMQPLYSEPLIAYHRRLLMKALLRAISLGTYAPGSTAHIYGYEENVLPYCLCIIFRRAKDFGGGVFSLAATVMSDLIQKDPTCFPVLDTAGLPSAFLDAIMDDVLNSAEAITCIPQCLDALCLNINGLEAVKDKNSLRCFVKVFTSRTYLRTLTGDTPASLSSGLDELMRHASSLRGPGVDMLVEILETISRIGSGTDSPSLPCSSISVPMEMDGEDNNVMLPDNRGSSKADSTQQIIDPSDASVTNIESFLPDCANNVARLLETILQNADTCRIFVEKKGIEAVLQLFTLPLMPPIVSLGQNIFVAFKNFSPQHNVSLVRTLCSFLREHLKSTNEFLDSVGGTQIALVESAKQTKVLKYLSSLEGLLSLSASLLKGATTTVVSELSTSDASVLKDLGKSYKEIIWQISLCNDSKVEEKNTDQEPEMSQVPPPATVERESDDDANVQTVRYTNPVFAGSGSHSMWSGERDFVSVVRSGESLHRRSRHGLSRLRGGRTGRHLEALNIDSESSSSLVEVSLSQNLIKKSPDVLALEILNKLASTLRSFFTALVKGFTSPNRRRADPGPLSSASKTLGTVLATCFLEALSFSGHYTYAGLEMSLSVKCRYLGKVVDDMAALTFDSRRWSCYTTMVNNFYVNGTFKEILTTFEATSQLLWTLPCTFPSPDIDIGKKGDGSKLSHNIWLLDTLQSYCRLLEYFVNSSLLLSPTSASQAELLIQPIAVGLSIGLFPLPRDPDAFVRMLQSQVLDVILPVWNHPMFSNCSPGFISSIISLVTHVYSGVGDVKRNHGNIVGDANQRFMPPPPDEATIATIVEMGFSRARAEEALRRVETNSVEMAMEWLFSHADDPVQEDDELARALALSLGNSSETTKVDSYDKTIDVLNEEGHVKKPPVDDILVACVKLFQGSDSVVFQLTDLLVTLCSQNKGEGRPKVISYLLQQLKLCPLDFSKDNCALSVLAHIISLLLFEDGRTREIAAENGIISTTIDILTNFKGTHESGKELLAPKCISALLLILDQMLQSQAKTESTDGTQTSSVPNSSGDHGSLQFPSAVVHRGDKIDGNEKEPGVALENILGKSTGFASIDESHMLLNIACDLIKQHVPAVVMQAVLQLCARLTKTHALALQFLENGGMDALFSLPKNCFFPRYDTVVSAVVRHLLEDPQTLQTAMELEIRQTLSGNRHSGRVSPRSFLTSLSPVISRDPVVFMKAAAAVCQLETSGGRTLVVLSKEKEKEKSKTSSTEFGLSSNECVRIPEIKSHDGSGKCLKTHKKVPVNLTQVIDQLLEIVLKYPPMNEQEDSQRGCTFMDIDEPAMKVKGKSKVVETRVLQPESEKSNGLVKLTFVLKLLSDILLMYGHAVSVILRRDSEMGQFRGSNQPSGHSAIIHHVLQLLLPLSVVKSAGPDDWRSKLSEKASWFLVVLCGRSGEGRKRVTNELFKELMSFSNLESNSMKSSLLPDKRLFTFVELVYSILSKNSSSGSLPGSGYSPDIAKSMIDGGIIQCLTSILEVVDLDHSGAPKIVNLILKCLEGLTRAANANEHIIKFDGIEKSISAGSNDRPDDQITAPSAAEAVTNDLNASSQEALEETMDNGHDPGASQGDDHADNNLNQTVERDMRVEERETHNSSTEVGVDFMPDEIGDGGVLHNQVRWREALDGLDHLQILGQSGTAGDLIDVSAEPFEGVNVDDLFRIQSFDRRRQPGRSSFERSATEVNGFQHPLLVRPPQSGDFVSMWSSGANSASRDTENLSSGNRDVAHFYMYDAPILPYDHVPSSFFGDRLSGAAPPPLTDYSVGMGSLHLPGRRVLGNGRWADDGQPHGSAQAASIVQAVERQFLAQLGSVAPASSPVEPQLQNSGEQKKQSDALLSHDGPLLTAGTDFACQPVEGQEQENGDGTLVQQINLSVDSASCVERINEDAGVCGTGEHLQINEPISVQPLSLNIMSINGHDCTEFDVNATHSENVAMDQAIVNSSINYNTDLQCERGTDVPTSIQDEVVMTMDCNGSTADRQHTNLEFVGSGSETPNPGDCNASSVYASVDVNMGGIDVGNQSGQPTDSEHRRDDPSSTQNTEVALSASQVDQTSTTNEASGTNTIDPTFLEALPEDLRAEVLASQQAQSVQPPAYAQPSSEEIDPEFLAALPPDIQAEVLAQQRAQRVAQLDEGQPVDMDNASIIATFPADLREEVLLTSSEAVLSALPSPLLAEAQILRDRAMSHYQARSSYFGSSHRLNSRRNGLGFDRRQVMDRGVGVTLGRRSALTDSLKLKEIEGEPLLDANALKALIRLLRLAQPLGKGLLQRLLLNLCAHSVTRATLVYLLLDMIKPEAEGSVSRPTTLSSQRLYGCHSNTVYGQSQLLDGLPPLVFRRILEILYHLVKNHSVVAKMMFRFDQSVIPDCSRSFMIHMMNEKGKDKVIEGEPSPEPSGTRAEDVPLILFLKLLNRPLFLRSAAHLEQIMGIIQVVVDNAASELESQSQSEKEMVNTQDLSVNEASGNVTQDPPLAKTGILEIALNEHNKLADINHYDGKKKEYNIYNTFLQLPQFDLRNLCTLLSREGLSDKLYMLAGNVLKTMASIVPSHRNFFTIELSESAHALTDSAISELVTLQKTNMLGLSAGSMAGAAILRVLQALSSLTSLNTAGDIHMGNDVNQNEDQATIWNLNAGLEPLWQELSNCISAAEMQLDQSSFNPTMPNINVAENLKGSSTSPPLPPGTQRLLPFIEAFFVLCEKLQANESITQQDNGNATAREVKESAGCLASMSVKFGGDSQRRFDGTVTFTRFAEKHRRLSNAFIRQNPGLLEKSLSMLLKAPRLIDFDNKRAYFRSRIRQQHDQHLSGPLRISVRRAYILEDSYNQLRMRPNQDLKGRLNVQFQGEEGIDAGGLTREWYQLLSRVIFDKGALLFTTVGNNATFQPNPNSVYQTEHLSYFKFVGRVVGKALFDGQLLDVYFTRSFYKHILGVKVTYHDIEAVDPDYYKNLKWMLENDVSDIPDLTFSMDPDEEKHILYEKNEVTDYELKPGGRNIRVTEETKHEYVDLVAEHMLTNAIRPQINSFLEGFNELVPGELISIFNDKELELLLSGLPEIDFDDLKANTEYTGYTVASSVVQWFWEVVKSFNKEDMARFLQFVTGTSKVPLEGFKALQGISGPQRFQIHKAYGAPDRLPSAHTCFNQLDLPEYTSKEQLQERLILAIHEASEGFGFG >OIW00331 pep chromosome:LupAngTanjil_v1.0:LG12:15463897:15467515:-1 gene:TanjilG_27582 transcript:OIW00331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQSPPLPTASDVNQGAETVQPTNATEDPARQTSATSVFVNSQPLREDQIQNAVKFLSHPKVKGSPVIYRRSFLEKKGLTKEEIDEAFQRVPDSSPSVQTAGVNQDGQLKTASNIQQQPQPQTLQTGLPASSGVNTSLRSRFHWYHALVAIGLLAASGAGTAIIIKKSILPRLKSWVRKVVLEEDDEQLKITDKKPSLAEETAQAAKSAAAAAADVARASQEILASKVEERKCFVEVVGLLDKQIQEMKLMTNAIRRLEVPNGVPVSGQEDLRLTQTSSKQLIANGKADYGLRSVRSSSPPASVEPSNGLHPYMEVQNTSTQVLQSQVNGVGFNIKVQDTNQIIKGDDPVPWWQTKNARITEIDNENDYNVAPYAATSSQKPVQRSWVPPQPPPIAMEGAAEAIRRPKPVVQKEQASDNQPLAQSPEVSDEVNRIPEQSKSEGVIEGSNSTSVINSGEIQEE >OIW00350 pep chromosome:LupAngTanjil_v1.0:LG12:15621732:15623892:1 gene:TanjilG_27601 transcript:OIW00350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTAGDVFRHTLPELLEESPSGAAELHVLAVDDSFVDRKVIERLLKVSSCKVTVVESGTRALQYLGLDGDKSSFGFDQESSVFREIPVVIMSSENILTRIDRCLEEGAEEFLLKPVKLADVRRLTDFIMRGEVKEDEKTSQKRKLSDNCGPPLSTTLPSVSQPRDSSSSGLSPLSPSSLSSKKSRL >OIW00799 pep chromosome:LupAngTanjil_v1.0:LG12:6441939:6451529:-1 gene:TanjilG_18109 transcript:OIW00799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSELRFHPSHMEQYEKVEKIGEGTYGVVYKARDRVTNATFALKKIRLEQEDEGVPSTAIREISLLKEMQHRNIVRLQDVVHSERRLYLVFEYLDLDLKKHMDSCPEFGKDHQQVKMFLYQILCGIAYCHSHRVLHRDLKPQNLLIDRSNNALKLADFGLARAFGIPVKTFTHEVVTLWYRAPEILLGSRHYSTPVDVWSVGCIFAEMINQRPLFPGDSEIDELFKIFRSSDAPQDPSKTKPLVFTSYVNVLSSLTTWLPDSVVACCLSLLSFVNVVDLTPDPGQAALIMGTPNEETWPGVTSLPDFKSAFPKWSAKDLATLVPNLEPAGLDLLKSMLCLDPSKRITARSALEHEYFKDIKILP >OIW00812 pep chromosome:LupAngTanjil_v1.0:LG12:6103439:6106558:-1 gene:TanjilG_08107 transcript:OIW00812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVGMLPISASKRGKLLSTGYTTLLSISRASLADLARDLQVSEDEASEIMKCASKTSTLDLSTGTHTTTVVNGGQTAWAMLNEEKFSLHITTSCVDLDNILCGGINCKEVTEIGGVPGIGKTQIGIQLAVNVQIPPEYGGLGGKAIYIDTEGSFMVERVLQIAEACIEDMSEYSNHFRKDFQASEVKMHPNNILENIFYFRVCSYTEQIALVNYLDKFITEHKDVKIIIIDSVTFHFRQDFDDMALRTRLLSAMALKLMKLASKFCLAVVLLNQVTTKHIDGSFQLTLALGDSWSHSCTNRIILFWNGNDRHAFIDKSPSLKSASAQYSVTPKGIRNSTSCKRIKMM >OIW01000 pep chromosome:LupAngTanjil_v1.0:LG12:1288520:1289711:1 gene:TanjilG_16249 transcript:OIW01000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGADEFIEADNAEAIITRIEHKSLKIETLLKQYKPVEALKTALEGTQAMTGDERCKSAHWLVVHRAIMAIKDVDGVLSSLDPEYYDILMK >OIW00198 pep chromosome:LupAngTanjil_v1.0:LG12:16309179:16309724:1 gene:TanjilG_29188 transcript:OIW00198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRMHATILLMTCFVLLAQCAKLENTEEKGNDLITETCKNTLHSQTCISSLTSVPGSNTADPKGLAKIAINVTLAEGSKILAYVHELKSSDESKKSDISSVLNDCDEEYTEAMENLKESATALDKGDYKKVNMLLSTAMTNGNTCEEGFKDLEITSPLTKRNSYFSELCSNVLAITKLLA >OIW00521 pep chromosome:LupAngTanjil_v1.0:LG12:12018066:12019315:1 gene:TanjilG_24251 transcript:OIW00521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMKENKTKSCEARVVSFLLSPGGRRVEWALKLKGVDYEYVEEDIFNKSNLLLELNPVTKKVPVLVHDHKPIAESLIILEYIDETWNQYPLLPHHPYEKALARFWANFAEQKILYGAWNTMCASGDDQENALNAVREAMEKIEEEIKGKKFFGGDNIGYLDIALGWISYWLPIWEEVGSMQIIDPLKYSAITAWITNFLTHPVIKDNLPPRDKLLVYYNLRRQQFSSAQHG >OIW00231 pep chromosome:LupAngTanjil_v1.0:LG12:14570946:14577753:-1 gene:TanjilG_27482 transcript:OIW00231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCFSYVADGRTAIGGTAINHRNPGDAANDAVDYFLRSRGHNGLYSQIELSFSASGLRDRDVLSKSDPIMILYARGKNGVLEELGRTEVILNSLNPAWITKHAIIYHFEVVQVLVFRVYDVDTQFHNANVKMLKLEEQQFLGEATCALSEIITKSDRSLTLDLHREDSMRPTNSQNYGKLSVHAEECVTSKTTIEMIFRCSDLEYRDLFSRSDPFLLISKVVEGGAHIPICKTEVIKNDLNPAWKPVFLNIQQVGSKDNPLIIECYNFNSNGKHDLMGKVQKSLVDLEKIHSGGQGENLFLSTAVGHNSHNKVLMSQLFVDKFSESVQYTFLDYLAGGFELNFMAAIDFTASNGNPRLPDSLHYIDHSGRPNAYQRAIVEVGEVIQFYDSDKRFPMWGFGARPIDGPVSHCFNLNGSSNYCEVEGIQGIMMAYTSALLNVSLAGPTLFGPVISNAALVASQSVAAGRRNYFVLLIITDGVVTDLQETRDAIVKASDLPLSILIVGVGGADFKEMEVLDGDKGEKLESSSGRVASRDIVQFVPFQDVQSGEISVVQALLAELPTQFLTYMRIRNIQPSL >OIW00088 pep chromosome:LupAngTanjil_v1.0:LG12:18962300:18963955:-1 gene:TanjilG_26425 transcript:OIW00088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMAQKHSPSGAIHLYQQPVQSVYQILQSNNPWHDSNSQGATICFETIKDQYFTLESSSPATNALIGCDSPSYASISSNNRIPLSPQQGSQSCHSDHHHSSDITYGSPISGYSSSSADDDATDLKHRLRELEIQLLGPDQSDIVYSYGCCFVNNGLHGASPPISKYDWDQIAVMIPKLNLRDVLMLCAQAVSDDDIPRAVGWMDNVLGKMVSVSGDPIQRLGAYLLEGLRARLESSGSVIYKSLKCEQPSGNELMTYMHILYKICPYWKFAYISSNAVIAEAMANESIIHIIDFQIAQGTQWQLLMEDLARRPGGPPSIRITGIDDSESFYARGGGLEIVGKRLSNISRSYGVPFEFHSVAMSACVVEKEDLGVRPGEAVAVIFPYVLHHMPDEGVSMENHRDRVLRLVKSLSPKVVSLVEQESNTNTCPFFHRFVETVDYYSAMFESIDVACPREDKKRISTEQNCVARDIVSMIGCEGIERVERHEVFGKWKSRFNMAGFKQCPLSSSVMGAVRDMLKEFSANYRLQNRDGTLYLGWINRAMTTSSAWR >OIW00071 pep chromosome:LupAngTanjil_v1.0:LG12:18888621:18890079:-1 gene:TanjilG_26408 transcript:OIW00071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMVYAPGAYQHSASAQQSYPYKTINVIFINSNPAFLKPNWWSPCNSNSTSSSNIFHSSSFSGKCVKPHKNLHLLFHHVATTHKCLCGLHDSISLDDEFRSSRNIAITLFRRYRNFIDRGGADHLKEFIAAGVNAYALGCTDEGLRKELTDMKDSGFEIEAMQNYGGSTSLKSKIISEEVDECILWLSIIFITILCTPQPTIVRWSSTPSVSDEVKLQWEGFCALIANAYFMKGMAWLPVKTLQLEQTAVMGQAEKPSVVASRMRLVFSTLEVVSPQWPRV >OIV99944 pep chromosome:LupAngTanjil_v1.0:LG12:18094991:18098704:-1 gene:TanjilG_26282 transcript:OIV99944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVASAAIASFLPVTSTSPDSGAEANNHGGGPANLGGFKSKHTSSRGLKVKTNAQAPPKINGTAFATSVETFKHDDDLPSPPPRTFINQLPDWSMLLAAITTIFLAAEKQWMMLDWKPRRPDMLIDPFAIGKIVQDGMVFRQNFSIRSYEIGADRTASIETVMNHLQETALNHVKTAGLLGDGFGSTPEMCKKNLIWVVTRMQVAVDRYPTWGDVVQVDTWVSASGKNGMRRDWLLRDCNTGEILTRASSVWVMMNKLTRRLSKIPAEVREEIESYFVNSAPILEEDKRKLPKLDDNTADYISTGLSPRWSDLDVNQHVNNVKYIGWLLESAPQSILESHELSAMTLEYRRECGRDSVLQSLTAVSETDVGNLAHGGHVECKHLLRLENGAEIVRGRTEWRPKGVNNIGVVNQVPAEST >OIW00942 pep chromosome:LupAngTanjil_v1.0:LG12:1767793:1768443:-1 gene:TanjilG_10020 transcript:OIW00942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQLNLTLLVIFLSLFVSSVLVNPSLAKHNPKTLSYIESSCNGTLCRDLCIRCLAKYVKNYSTIDGPHHLAQVALSVSLSRALHTRGYLMKLAKELKTIKNKRDYLTVKDCANQISDSVDQLSQAIKELSRCSQHGSTINDDMLWHISNVETWVSTALTNASSCVYSFTGVRMSKRMTAIKVKAQNVAEVTSNALALFHRYALRLQQATARTTQKP >OIW00467 pep chromosome:LupAngTanjil_v1.0:LG12:13631564:13635070:1 gene:TanjilG_05817 transcript:OIW00467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSSSEGDNDIFFDSMDSLIAQDCGFAKQEFGSESYGCRYGYGYEIWVNEPLSVKERRGQFLQTIGLADTSASKIYSKEKMVFLERIKECSGAVSNACSSHTDQLFEKPVLSGCKSASEAEVLLDGLKGRPQHKPDACFEGRVCELSFTAQEHRHRDAEAREEFQDFEMGIKKMKNWWKRFVHFKKDSEGKVRSKLNTGTNRTRKVKVRQNKKRFLEFSGLYTGQQIRAHKGLIWTMKFSPSGLYLASGGEDGVVRIWRIISRDTSSICFTTEDSTASKVKHDNSYPWKKHSSQSFIFLPNKIFQIEEPPLQEFYGHSSDVLDLAWSNSDILLSSSMDKTVRLWQIGCNHCLSVFHHNDYDITIIVSLLLMEAVTCVQFNPVDDKYFISGSIDGKVRIWGICEERVVDWADIRDVISAISYQHDGKGFVVGSVTGACHVYVASGKYFQLEAQILVHGKKRASGTKITGIQFSENNHQRIMITSEDSKICIFNGIELVHKYRALPKSGSQIHGSFTSSGKHIISVGEDSHVYVWNYSDSRNAFSKPRKSEYTCEYFFSSGVTVAIPWSGMNAEQRSSCRNFAQRSSETQNQLEAAPCKISERFSLGSWFSIDGTCRGSTTWPEEKLPRWDLPLARDEYEHQKLYHNDTCFDRGVSETWGLSIVVADCDGTIKTFHNFGLPIRL >OIW00223 pep chromosome:LupAngTanjil_v1.0:LG12:14516247:14522076:-1 gene:TanjilG_27474 transcript:OIW00223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQVCFVLDLRSLAPPLLRDLKKSLFQLANFYAVSTSTLSDGKSAALADKIGLCYIFKNRLSSSDELKIAYTPTASFNLRDFHHAVNNLPSDAFLPHTDNSSDAMISNVLSDQVLYSWQGKDIEKRVIFITSNLPEDVDSIMTKTLMVLKDDMEEPLLARFTLKDNLLDSVDHIFCNLFALVNPITNSFSHCQTCRCHGMPLRDVDKNFNRVSCPATGCNLEARDVIENSVRLEEKTVLFLSSAHKSLKPLKVASPIDMTVTARINLASLDEGLIMGAPFIVIPSPNHVIQSTSDDVDQSDVNSQQTIAKALYRCYYILQSSDNGPMLLKRLAGAEVLRVPDNHLVDSSVSKEIENSVQACLLKIDLTDYDPLLHECGFHQKINSLVKESLQLGSISPKLNTEFSELSPSPKPSPKVIRKARSATDVIVVDEETMPLNITDQDEKTMACITEEWKQLVVNEDHKINSPSSMSKPNLTLSIVSPRNENRQVDRETSKILERLEVPRSLKAKAASPVFNESCMKNTSLPTKKPLIPFQATQGTEQSSLGSQLIKPSFHRQRRKIR >OIW00679 pep chromosome:LupAngTanjil_v1.0:LG12:8317313:8318653:-1 gene:TanjilG_09648 transcript:OIW00679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGLTIGDTIPNLEVESTNGKINLHNFCTDSWTILFSHPGDFTPVCTTELGQMAKYSSEFYQRGVKLLGLSCDDLKTHNEWIKDIEAYTPGAKVNFPIISDPKREIIKQLNMVDPDEKDSTGNLPSRALHIVGPDKKIKLSFLYPATTGRNMDEVLRVVESLQKASKFKVATPANWKPGEKVVISPDVTNEQAKEMFPQGFETKDLPSKKEYLRFVKV >OIW00539 pep chromosome:LupAngTanjil_v1.0:LG12:12192612:12193070:1 gene:TanjilG_24269 transcript:OIW00539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANNNTRNGTQARKVMVVADPTRESASALQYALSHAVLEQDELILLHVDNPSSWRNTISTFLKMPSLGSSTTASLDLGGGATAPATGEGEVDFLEEMKHACRVSQPKMRLRVLRVDMDDKDRASTILLHSKKEGVDVIVIGQKRTFSSALLG >OIW00918 pep chromosome:LupAngTanjil_v1.0:LG12:1448781:1451009:1 gene:TanjilG_09996 transcript:OIW00918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNPKSRLEKRSKVEFETRNDEMPDFTEKVPKDVLIHILTFLPLDEAIRSGILSKKWKDLWRNVTHIEFDEKKLIKPLLQLLLSRKSGRTKDVTKGTCWYALLLHRIMFRHYGDLLSFRILHSCETILFREVQSWVKYVLITKKGVQNLSLECEPDNGEMGERFLFQYNIPKLNFSNGIFQRLGSLEMINYDINCSNAFVGCKNLKTLKLKKINLDDGTINDILNNCVVLENFTLNESNGFNKLIIMKESLKVLKLQGLCVDELKVSCENLELLLLDSITCPTNAASIYTPNLKTFSSYYYSLFGNMHAAKEGYAIVKGYEILAHSAMDFENTIIGSSNDYDFPYPISMFWEKQELCYCVHEKLKFVYIKAFKGNELEVEFVKYLIAKATMMKKVTIFCNTLTEDAENLLSLPKTSTNLSINFKVNAINIMN >OIV99854 pep chromosome:LupAngTanjil_v1.0:LG12:17502621:17505394:-1 gene:TanjilG_26192 transcript:OIV99854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHLDDDFLKQILSTLPSSSWSLDETTNIPFPSSYDEQNNLNSKFQNHHITPTSSNAAALSLMLHQQFLMSRGTAGDFDSSQNDVVDASSFKSHIPGGDGSVQALFNGFSGSLQGASNHTNHFQHPQGEGGSNQMQGQSFGATNQAPTGATPAQPKQRVRARRGQATDPHSIAERALTDKASMLDEIIDYVKFLQLQVKVLSMSRLGGASAVAPLVADLSSEGGGDCIQAKTNGGSQPRNSTASSSNDSLSMTEQQVAKLMKEDMGSAMQYLQGKGLCLMPISLATAISTASCHSRNPLINGNPNPLIPSNGDGPPSPGISVMTVQSGIVGNGGMDNAVKDSTSVSKP >OIW00081 pep chromosome:LupAngTanjil_v1.0:LG12:18939085:18940129:-1 gene:TanjilG_26418 transcript:OIW00081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRFERVAAAFDDARMRLCESSGSEYSPHTSTHHLSYLVNSFMERGQVQLDHQHREVDDEDDDEDFQIMEKRKILLEGLFGGSDADFKARQNIRTEIEIALGLVGSKSSSQFKRNFMSRLRERGFDAGLCKSKWEKNGRSPGGDYEYIDVNVGGKRYIIEVSLASEFEIARPTNQYSSLIDVFPQVFVGEVEELKQIVRLMCSAIKGSMKSIELHIPPWRRNGYMEAKWFSSYKRTTNDVSTKNESSLPLSLQTFTPIRSIGFEARAVKKSYNCRDDYVTKTGFRVSHLTAAFHVDGSFGGQL >OIW00938 pep chromosome:LupAngTanjil_v1.0:LG12:1679746:1680918:1 gene:TanjilG_10016 transcript:OIW00938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSRCNRMIEIWDDLVGEILVRLPVKSLLRFKCVDKRWNDLFRKPTFANRNMKIHEKKKQRVMIRERDGPPRFIISENDEILELDWQNPFPDDRVIWTMEFGGTYNGIFFIRSTNFYLWNPTTSEIKLIPTPPFLDPPPPSYTNISTFCGFGGDPDTSDYKVLYIVSIGEQIPNQIFPDSSDEDDDKLAIVYTYLPTTFELYNLSTNSWTLLDLVLPVKCNTNYFQDGFLFNGVLHWVCVGEKQEDEDCILRFDFRNNQFTTIDLPSEADDLSYNFAEINDSLAYVKNRHDPSNWGYYDVEIWTLEQDTSCWTKKHIFEPLYDLLSIYYFWKDAPELLGMCTRGNGEFYLVSYHPDGHIARRFEQITLHDRRLHDPVRKYVQSIAPLSLS >OIV99759 pep chromosome:LupAngTanjil_v1.0:LG12:16763789:16769213:-1 gene:TanjilG_26097 transcript:OIV99759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCQEDKVTGSVTYDHKAIVVNGQRKILISGSIHYPRSTPQMWPDLIQKAKDGGLDVIQTYVFWNGHEPSPGQYYFEDRYDLVKFIKVVQQAGLYVHLRIGPFICAEWNFGGFPIWLKYVPGIAFRTDNDPFKIENEYGPVEWEIGAPGKAYTAWAAKMAVGLDTGVPWVMCKQQDAPDPIIDTCNGYYCENYTPNQKYKPKMWTENWSGWYTEFGGAVPRRPAEDMAFSTARFIQNGGSFVNYYMYHGGTNFGRTSGGLFIATSYDYDAPLDEYGLLNEPKWGHLRDLHKAIKLCEPALVSVDPTVTWPGKNLEVHVFKTDFGACAAFLANYDTNSSANVTFENGQYELPPWSISILPDCKTAVYNTAMASNTAFYLGSQSSQMKMTAVNSEFTWQSYNEEPVSSSADDSIAADALWEQINVTRDSTDYLWYMTDISIDPNEGFIKNGQNPVLTAMSAGHALHVFINGQLSGTVYGSLENPKLTFSNNVKLMVGNNKISLLSVSVGLPNVGLHFETWNVGVLGPVTLAGLNEGTRDLSKQKWSYKIGLEGEALNLNTESGSTSVEWVEGSLLAEKQPLTWYKTTFSTPEGNDPLALDMISMGKGHVWINGLSIGRHWPAYIAHGKCWDCNYAGTYTDKKCRTNCGEPSQRWYHVPRSWLKPSGNYLVVFEEFGGDPTGISLVKRTTASVCADIYEGMPTLKNQEMLHSGKLVRPKAHLWCPEGQNISKIAFASYGLPQGSCGNYREGSCHAHKSYHAPEKNCIGKQSCFVTVDSTVFGGDPCPGTAKKFSVEALCS >OIV99996 pep chromosome:LupAngTanjil_v1.0:LG12:18440774:18441019:-1 gene:TanjilG_26334 transcript:OIV99996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKMNVVVKREVVNNSSSSGVRNSVRYGECQKNHAANSGGYAVDGCREFMASAEEGTSGALTCAACGCHRNFHKRELNSET >OIW00548 pep chromosome:LupAngTanjil_v1.0:LG12:12250195:12260427:1 gene:TanjilG_24278 transcript:OIW00548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCWCKLEPSDYRVSANAKSEQNQEIKQRNDDNKLPSNPEEVEDLRGNSALNPLIAFTYDELKIMTGNFRPDSVLGGGGFGSVYKGFISEELREGLSSLAVAVKVHDGDNSHQGHREWLAEVIFLGQLSHPNLVKLIGYCCEDEHRVLIYEYMARGSVENNLFSKILLPMPWSIRMKIAFGAAKGLAFLHEAEKPVIYRDFKTSNILLDLEHNAKLSDFGLAKDGPVGDKSHVSTRIMGTYGYAAPEYIMTGHLTPRSDVYSFGVVLLELLTGRKSLDRLRPAREQNLADWALPLLKEKKKLLNIIDPRLEGDYPIKGVHKAAMLVYHCLNRNPKARPLMRDIVDSLEPLQQPTNEVSIEKTFTIINEVPEADV >OIW00436 pep chromosome:LupAngTanjil_v1.0:LG12:13341082:13342890:-1 gene:TanjilG_05786 transcript:OIW00436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRSGVTPNNSTFPFVAKACARLSHLRNSQIIHAHVAKSWFRWNVFVQTSMVDMYIKCGELECAYNVFVDMPMRDIASWNAMLLGFAQHGYVDRLLCLLYQMRLTGIHPDSVTVLLLIHAISHLENPKLVSVIHSFGICAGVCVDVSIANTLVAAYAKCGDLCSAERVFDDIDCYLRSVVSWNSMIAAYANFEKDVKAFYCYEGMLDDGFSPDISTILNLLSSFVQPKALFQGLLIHSHGVQLGCDYDVCVVNTLISMYSKCGDVYSARFLFDGMSNRTCISWTVIISAYAEKGYMDEALTLFNTMEATGEKPDMVTVLALISGCGQTGALELGKWIDKYSIDKGLKNNVVVCNALIDMYAKCGSFNDSRELFCNMANKTVVSWTTMITACALNGDVNDALNLFSMMLDMGMKPNHVTFLAVLQACAHGGLLGRGLEYFNMMTKKYGICPGIDHYSCMVDLLGRRGQLGEALEIIESMPLRPDAGIWSALLSACKLHGKMELGKYVSERLFELEPHVAVPYVEMANIYATAEMWDGVAAIRRKMRYLQVRKSPGQSIIQVNGKSLIFTVEDRDHPETLHIYDMLDDLTSHSKQGLLTHEEIF >OIV99905 pep chromosome:LupAngTanjil_v1.0:LG12:17879693:17880493:-1 gene:TanjilG_26243 transcript:OIV99905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQAFTGTYGINYGRIANNIPSPDEVVTLLRAAKIKNVRIYDADHSVLKAFSGTGLEIVVGLTNGQLQDMSVNADHALNWVKDNVQSFLPGTHIVGIAVGNEVLGGDDLSLWGSLLGAVKNIYNATKKLHLDEIVEISTASSFAVFADSYPPSSCKFKDNVSQYMKPLLEFFSQIGSPFCLNAYPFLAYISDPEHIDINYALFKQTKGIYDPKFRLHYDNMFDAQIDAAYAALENAGFNKMEVIVTETGWASDGCVKKRCIYKISF >OIV99781 pep chromosome:LupAngTanjil_v1.0:LG12:16960382:16971563:1 gene:TanjilG_26119 transcript:OIV99781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSISIRDLDPAFKGAGQKAGLEIWRIENFNPVLIPQSSYGKFFTGDSYVILKTTGTKSGALLHDIHYWLGKKTSQDEAGAAAIKTVELDAVLGGRAVQYREVQGHETEKFLSYFKPCIIPQEGGAASGFKHVEAEEHKTRLYVPFARSSLSHDDIFILDTDSKIFQFNGSNSSIQERAKALEVVQYIKDTYHDGKCDIAAVEDGRLMSDSETGEFWGFFGGFAPLPRKTVNDDDKLADSHPPRLLCVEKGKAEPIETSSLTRELLDTNKCYIIDCGLEVFAWMGRKSSLDERKSASGAADELVGGTGRSKSYIIRLIEGFETVMFKSKFDSWPHTTNVAQAEDGRGKVAALLKRQGLDVKGLLKTDTVKEEPQAYIDCTGHLQVWRVSGQEKVLLPAHDQSKFYSGDCYIFQYSYPGDDKEEHLIGTWIGNNSVEEDRASALSMAGKMVESMKFIPSLARIYEGSEPIQFHSILQSFIVYKGGLSDGYKNYIAEKNIPDDTYSGEGVALFRIQGFGPENMQAVQTDPVASSLNSAYCYILHDGPTVFSWSGSFTTADDQELVDRMLDLIKVKEIYNFSQDDLMTEDIFILDIHSAIFVWVGQKFDPKSRLQALTIGEKFLEHDFLLEKVSRVAPIYIVMEGSEPPFFTHFFKWESAKSAMLGNSFQRKLTIVKSGGTLPLDKPKRRASVAYGGRSNSLPEKSQRSRSMSVSPDRVRVRGRSPAFTALAANFENPKDRNLSTPPPMIRKLYPKSMSPDSIKLAPKAAAIAQLTSTFEQPPSARDTLIPRSLRASSDASKSSPNKNEQDEDSMNSRIESFTIQEDVKEGESEDDEGLPVYPHDRVNTASEDPVTDIDVTRREAYLSVSEFKEKLGMAKNEFYKLRKLAICFAMTNRTYGSERDTDQDQALEQVMAVSCKFVPPSCLTLIAHMQCNIPNAEAEKDHPDDITLAGDGFDTVVKAKAAVDRDPQCRNKVLCADILALATRDVVNLI >OIW01045 pep chromosome:LupAngTanjil_v1.0:LG12:312178:316830:1 gene:TanjilG_14228 transcript:OIW01045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFLSQKIYLPIFWVIFLSFYICLSSSIDTITSSKYIKDNETITSNNTEFILGFFSPGNSSNRYLAIWYLFPSNIVWIANRDQPVNDSSGVAKIHKDGNFVVMNEQNLMIWSTNVTSNDNYSNSSAKLEDSGNLVLYDEFSGETIWDSFTHPADAAMPGMKIAANPITGKKIEYISWKSPSDPSTGYFTGSLERLNAPEVFFWYNKTKPYWRTGPWNGNVFLGSPRMLTDYLAGWKFHIEDDGTQYLTYNYANPLNFGILSVTPHGTLKLVSFVNKTEVANIEVGQSECDIYGKCGPFGNCDNSTSPICNCFNGFEPRNQEEWNRENWTSGCVRKVELQCYKVKNGSEVEQDEFLVYHNMKVPDFTQWSGAYQDKCKIDCLANCSCLAYAYDSGVGCMYWIGDLIDLQHFSYGGIDLYMRTPYSLIGRSKKGYKVLIGSIIGAIGIIILAICAYLLWRKWTAKQTGKGPQNTSIEDQNQMKLEELPLFDFESVAVATNNFHLANMLGKGGFGPVYKGLLENGQEIAVKRLSKASTQGLEEFMNEVVVISKLQHRNLVRILGCCIERDEQMLIYEFMPNKSLDAFIFDPIQKKVLKWKKRFNIIEGIARGILYLHRDSRLRIIHRDLKASNILLDDEMNPKISDFGLARIFKGDEDSEVNTRRVVGTYGYMPPEYAMEGLFSEKSDVYSFGVLLLEIVSGRRNTSFYNDEQPLGLVGLTWNLWNEDNMMPIIDEELLQSCIWITKKGILASKRMWK >OIW01056 pep chromosome:LupAngTanjil_v1.0:LG12:405696:407914:1 gene:TanjilG_14239 transcript:OIW01056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGEEEENAAELKIGDEFLKAKCLMNCEVSLILEHKYEQLQQTSDDPMNQVSQVFEKSLQYVKRFSRYKNPDAVRQVREILARYQLAEFELCVLGNLCPETVEEAIAMVPSIKTRGRAQDDEAIEKMLTDLSLIKKFE >OIW00423 pep chromosome:LupAngTanjil_v1.0:LG12:13224922:13226201:-1 gene:TanjilG_05773 transcript:OIW00423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSYSCNENTNTPLIVFFDLETTVPKKSGQKFNVLEFGAIVVSPQKLSEIESYTTLIRPKDLSVVSVKSSRSDGITHEAVKNAPSFEDVAERIFSILDGRVWAGHNIRSFDCVRIKEAFDEINMPAPVPVGMIDSLGVLSEKFGRRAGNMKMSTLASYFGLGQQKHRSLDDVRMNLEVVKHCATVLFLESSLPSTMHSKWYGSSSIMTRSRTNGNSPCREESSRKSPPTSLSLGYQRTVPYAARGSLGKMTEGVKSLLFKAQTQQSLNQILRNSHSLLR >OIW00056 pep chromosome:LupAngTanjil_v1.0:LG12:18794190:18795240:-1 gene:TanjilG_26393 transcript:OIW00056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGATGTGKSKLAIDLATHFPPAEIVNSDKMQVYKGLDITTNKVNEEECRGVPHHLLSIVDDPNYNFTATDFCHHASLAIDSIVDRDGLPIIAGGSNSFLHALVNHDSTFRLRYECCFLWVDVSLPVLHSSLSARVDRMIEAGQVDEIREFFDHSISDYRRGVRRAIGVPEFDEFLREETRGGDEMIKKKLLEVAIAMTKVNNCKLANRQVQKIHHLYKIWKKNMHRLNATESVFNKSAWEDDVAEKGRRIVQKFLYDDTSAFVSARMPLPSLPPTMASVTH >OIV99765 pep chromosome:LupAngTanjil_v1.0:LG12:16818309:16818665:-1 gene:TanjilG_26103 transcript:OIV99765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLQMLDEFDPITPIRTVATSRKTFSQRTQLSDLDTTKKEVKEEEQEECHTPTSSSQILKTPLVCPPPPKKQRIATRRSNVIAPSQGFFQVPHDLASIFVLHHKPNIRETSLLATSYS >OIW00429 pep chromosome:LupAngTanjil_v1.0:LG12:13262485:13266989:-1 gene:TanjilG_05779 transcript:OIW00429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYLIPSHLSSRKKLSSVSKPGGASTPGSIGKPPESNGSLSKFFIGSVAVGAAFLAAYQTGYLNQYLKKEHLRVPEEPQVNATNGDSESIQHSVDQLVPLSIEKINNENPAVEDAEDKINTHFTLPENATNDQGDKVIQVQNDSNIAEDGIAAAEENQLPEYPQSSQSFDDPNTESVVQFEGVVGIKSTEIDTDPGPELGTQHISAPTQTSAVPDDNGLKNIQPTPQEIDNKIEDLLDEDIEQQPTLLESYHLKNRSEGSPATYLYGHGFTENNDSLEEKKALSGAMEELNDGYISKDGKLVLDFLQAIHAAEKRQADLDAHVFNEEKKVLKEKYDKKLKDAAARELMLAEETAMLDKELKRERAKAALAIKTLQEKMEEKLKTELDEKESEAEMKLRTAQELAKAELNATIANEKASQIERMAEANVNINALCMAFYARSEEARQSNAAQNFALGALALENALSKGLPIQTEIASLRGNLEGIDKDSVLDLVLASLPEETQTNGTDTQLQLKHKASCVLFDSLKGTVQQFIFFPPGGGGLLAHSLAQIASWLKVREDDQSGDGIESVINKVESYLAEGKLVEAADFLEESVRGTKAAESVAGWARQARNRAISEQAVVLLQSYATSINLT >OIW00840 pep chromosome:LupAngTanjil_v1.0:LG12:4836890:4838372:1 gene:TanjilG_12244 transcript:OIW00840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLNNPFRTSILNHKTQQNSKITTLRTTRFVFITAKSSTTTSSVKQLIETGTIRTIWPKDASTAMNSDGFILLDVRPRWEREKAHVTGSLHVPMFVEDTDNSAITLLKKWVHFGYIGLWTGQYLTTFNPEFLSQVEMAIPGKDTKLLVACGEGLRSMTAASKLYNGGFRNLGWLAGGFNRSKDDDFAVVEGKEKLQYATVGGASYYFLQLLILLQAVGK >OIW00365 pep chromosome:LupAngTanjil_v1.0:LG12:12626540:12628398:-1 gene:TanjilG_05715 transcript:OIW00365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLILYCFCYRTMQPKGKRGKAAEPKNNEQNTEGTSSNNTNNNRERKEKVVEVVEDSSHSASLNEQLEMICNIIIDLQEKKISETNEDHLQDIVLLLDDMKNKNVDVEWLHQRLVEILQAREVLKQTSMLKEKREFSRQKVENAEKELKEKERDRDGLAILLKVACAEVADCKEKLDATRNESARIDETIADSESKADCFLNCSLVDDLL >OIW01035 pep chromosome:LupAngTanjil_v1.0:LG12:219343:227250:1 gene:TanjilG_14218 transcript:OIW01035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein INLLMLLVGIRISEELEHIELDDEELDDEPVFVLTDEWREFFAKSEAKRKLAFLFQIVACAVLAIVVHPHTGHFRIYGTFWSFSVYLEAISVLPQLRYMQNAKLIEPMTGKYVFALGLSKFLGLANWIIQIYETRGEYFFLDGGGYFWYLIGFIAEMVQSFILADFCYYYIKSFMQGRLLKKMPV >OIW00301 pep chromosome:LupAngTanjil_v1.0:LG12:15233532:15234473:-1 gene:TanjilG_27552 transcript:OIW00301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDSIVIPACFSSTEKLSHEHGAVTRSGQSVYMSVYRTKIADQCRLITITWCKNLLLHGLSVSVDGPEGETQYTCKVELKPWYFWRKQGSKRFMVDGKSVDIFWDLKGAKFNGETEPSSEYYVAVVCDEEVVLLLGDLKKEAYRKTGCRPALIDPILVSKKEHIFGKKRFSTRAKFHEKGSWHEISIECKINKGNSGGDFHHENGVQPEMEIKIDGHLVIHVKHLQWKFRGNESIHLSKMRVEVYWDVHDWLFSPGLKHALFIFKPNLSSSSSMLSLSSPSLSTHIKSYESVEGFSVSGSSEFCLFVYAWKVE >OIW00677 pep chromosome:LupAngTanjil_v1.0:LG12:8308547:8308816:-1 gene:TanjilG_09646 transcript:OIW00677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFRLPGIRRVSFQIASKAMEVPKGYLAVYVGAKMKRFVIPVSYLNQPSFQVLLNEAEEEFGYEHPMGGLTIPCREDAFLDIASRLNRL >OIW00904 pep chromosome:LupAngTanjil_v1.0:LG12:2607309:2607639:-1 gene:TanjilG_19845 transcript:OIW00904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKLVFLAIMCLALGATSPAAEAAISYGQCCNGIKNLNGMAQNTPDQQSVCNCIKIAVNESGFTYSSFNLDLAASLPKKCGVNVPYQISP >OIV99851 pep chromosome:LupAngTanjil_v1.0:LG12:17482059:17484274:1 gene:TanjilG_26189 transcript:OIV99851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMSKRRVGVRGSVVAAGSVWESRMKSDEVGGGVKVFNGEGNAEEGGNRMKRNQLGVVVGNGRRKTWNSESPEGLEKNPIQIARGKTEPEKNCGEQCKEMRISSSGSKKSPIQVRKFKIEGSKDFGDSADKFERSPIQTRKLRSQVKNGSLELKKRKSDSIKSAGDGNGESSLQLRKTKSEFDNGIDGSNGGCKNIEIGNEKNDDDDENCKDFGVCQKEVISSSSDNIGVVAGDSVEFIDDEADEGKEEEEVVDEEIDIEMEKRSFEVKEISIPEPKFVNKPEKKEPENQKVVNEPESRKVVNETESRKVVSISRKFQQKIETPVSTPLTVKQSPAIRKHSTIYQNFSKPKSIPKAEQYRSFSQTQNKLQSLVDLIMWRDISRSAFVFGSGTFLITSSSYAKDINLSVISVMSYMGLVYLVVIFLYRSLICRCGSSITIWKMAKLGFFGVFTVPKIYSSYSAQLTGYGKIIKS >OIV99990 pep chromosome:LupAngTanjil_v1.0:LG12:18413463:18416418:-1 gene:TanjilG_26328 transcript:OIV99990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRVKLKIKKLESTSNRQVTYSKRKSGILKKAKELSILCDIPIALLMFSPTGKPTLLQGERSNVEEVITKFAQLSPQERAKRKLESLEVLKKTFKKLDHDVKIQDFLGSSNQTMEELTHQVRVLQTQLAEVHQRLSYWSNLDKINNLEHLRQMEDSLRESMNRVCLQKENLGKNQLLPLACSNQLQEGMNLPMMMAGLQESQPLSWLLNNDNHHQLILPNEPKFLAFSDNTNRDAECSTDISLPGYSGYNIGNNKLEVGNSPQVTTLGHGGGALNELNGTASLNVQHCEQFAYPPHPPDDEEMKQHQGMNSKPNTADYQIHNNFDLPRSLFENGHQFWNSGPGPCGIAMYNENGFHRVSKLSSPYLFDLFHSHL >OIW01072 pep chromosome:LupAngTanjil_v1.0:LG12:577666:580639:-1 gene:TanjilG_14255 transcript:OIW01072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQVRNKQVILSNYVTGFPKESDFNVVESTISLELPEGSNNVLIKNLYLSCDPYMRGAMTKPEGSERKGSPTYVPASPIAGYGVAKVIESGHSNYKKGDLVWGFTTWEEYSLVPAAQLVTKIENSDVPLSYYTGILGMPGMTAYAGFFELSSPKEGETVFVSAASGAVGQLVGQFAKLTGCYVVGSAGTKEKVDLLKNKFGYDEAFNYKEEPDLDAALKRYFPQGIDIYFENVGGKTLDAVLSNLKVHARIPVCGMISQYNLTEQEGVKNLSKLIYNRVRLQGFIVTDYYHLYPKYLEFLLPKIKEGKVVYVEDIAEGIENGPAALVGIFSGRNVGKQVVAVAHE >OIW00931 pep chromosome:LupAngTanjil_v1.0:LG12:1586877:1588780:-1 gene:TanjilG_10009 transcript:OIW00931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEADEWEMVQKKGTQFVVNDQPFYVNGFNTYWLMVFAADDSTRGKVTEVFKHASSVGMSVCRTWAFNDGQWRALQKSPSLYDEDVFKTVLNRVNTFTNITYKEDPTIFAWELINEPRCTSDSSGDTLQEWIKEMAFYVKSIDPKHLLEIGVEGFYGPSTPQRVQLNPNSYAQQVGTDFIRNHQVLGVDFASVHMYPDSWISQSISDSHLPFIKSWMEAHIEDAEKYLEMPVIFAEFGVSAKDPGYNSSYRDNLINTVYKTILNSTKKGGSGAGSLVWQLFPDGTDYMDDGYAIILSKSPSTSTMISLQSTRLDLFNSLCSKRCHWGCKKKNVFQKVSYRDEL >OIW00370 pep chromosome:LupAngTanjil_v1.0:LG12:12720196:12720927:-1 gene:TanjilG_05720 transcript:OIW00370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKITLTKQFILRCFLISFFLSTPLIFLHLFSPQPITNTTTKDLRIRPGYTSYENYIQRQLNKTLNPKLRKIWTTRDWNRKIPVFARFFEELKEKNLLHNTSKALCIGARVGQEVEALRRIGVNDSVGIDLVPYPPLVVKGDFHNQPFANDTFDFEFSNVFDHALYPNKFVGEIERTLKPKGVCVLHVALSRRADKYSANDLFSVEPLVEMFKNSDLVHVRSVDGFGLDTEVVFRKKLKQPRTL >OIW00136 pep chromosome:LupAngTanjil_v1.0:LG12:15878287:15881393:-1 gene:TanjilG_29126 transcript:OIW00136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRPNERTEGRRNRYKVAVDAEEGRRRREDNMVEIRKNKREESLQKKRREGFAAQQQLATPSNNLDKKLETLPALVAAVWSDDNATQLEATTQFRKLLSIERSPPIEEVIQSGVVPRFVQLLSREDFPQVQFEAAWALTNIASGTSENTKVVIDHGAVPIFVKLLSSASDDVREQAVWALGNVAGDSPRCRDLVLGQGALIPLLAQLNEHAKLSMLRNATWTLSNFCRGKPQPPFEQVRPALPALERLVFSNDEEVLTDACWALSYLSDGTNDKIQAVIETGVCTRLVQLLLHPSPSVLIPALRTVGNIVTGDDMQTQSIINHGALPSLLGLLTHNHKKSIKKEACWTISNITAGNKEQIQSVIEAGLIGPLVILLQNAEFDIKKEAAWAISNATSGGSHEQIKYLVSQGCIKPLCELLICPDPRIITVCLEGLENILKVGEADKNLGNTGDVNLYAQMVDDAEGLEKIENLQSHDNNEIYEKAVKMLETYWLEDEDDEALPPGNEAQAGFNFGGHELPVPSGGFNFS >OIV99950 pep chromosome:LupAngTanjil_v1.0:LG12:18142498:18151078:1 gene:TanjilG_26288 transcript:OIV99950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDHYNKLVKLAARAFYDDLPPKGENQPKTGRSDNRGIAVVILDALTRRQWVREEDFAKDLKLHTKQLRRTLRFFEEEKIITREYRRETAKGAKIYSAAVAATADGQTTKEGEEKVKLHTHSYCCLDYAQIYDVVRYRLHRMKHKLKDELENKNTVQEYICPDCGKRYNALDALRLLSFEDEDFHCENCNGKLEIESDKIAAQEGGDGDDNARRRRRENLKDMLQKMEIQLKPLLDQLSRVKDLPVPEFGSLQSWEALASAAGRAANGDNAGDSGNYQLGYNGAPMPYSGDTKVVVDFNGTEVKGEGVKSEADGKAFKVLPPWMIRSGMVLTEEQRGEVKQESKMDGTSTSTKAPHTEDKKSTVEHDSNTDIQGEYIKAYYAALLKQQAEAAKTEELANSHTTNDPSSSISNRQVGMKTKREEDVEEDDGTEWEEAPIGELSVYANIEKSSSEFSLLSFNFFTPSHPDPPFFSPWIDNFTLVGSFVVLNHMLAKPEPTRSEIDPRYGVEKRLVPTGPNPLHH >OIV99764 pep chromosome:LupAngTanjil_v1.0:LG12:16813165:16815450:1 gene:TanjilG_26102 transcript:OIV99764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCWSLQSGSPGPVTHPSGRNLLGSGQFPASFSNTRLSQNFNSTSLGHSSNSGRISRLFGPSSSNNTSTSLLGSENSQVSRARDEKEFPNGQILDVANLAVFTLAELKAATKNFRPDTLLGEGGFGRVYKGWIKERTGTNRAEELTIAIKVLNSDSRQGFEEWQSEVNFLGRLSHPNLVKLLGLGREDSQLFLVYEFMSRGSLDNHLFGRGASVRPLSWDTRLKIMIGAARGLAFLHTLEKKIIYRDFKPSNILLDKAYTAKLSDFGLAKSIPYPDQSHVTTRVMGTSGYAAPEYIATGHLYVKSDVYGFGIVLLEMLTGSRIGHIMRLSQQQSSLQKWLKSNLLNRGKMRINMDARLEGKYPPKLAFEVAQLSLKCIQTDAKVRPSMVEVLETLEKIEAANENPANSMKRGTRSRTVNQNGQADGG >OIW00984 pep chromosome:LupAngTanjil_v1.0:LG12:1024751:1046088:1 gene:TanjilG_16233 transcript:OIW00984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTVVSTATIAGTIEPPHHQTVDPSSSSRPSSVSAASTEDAVDRNDSCNSSPNFSRESEIQRIIPLSEVTDVRRAKTAGLFHNAIEITVGSRKHFFASFLYRDDAFKIINDGWLRQANGATAITEQQESISESSSQESGFMDIENVSSADILDSESLPSDLSTDTSMCKDVGLPGIVGGDPILVTVSEKQSSVKQVAEPVLNNDVPSVSWNWKEEDTDAPTNFTCASWRPQENFGYARELSFQHPIKLYLESEPRALNITVKLMLSDQEVTGSNPGNSLSTCHLVIESSQDVTDVPYSDYFRVEGLWDIERDRDESKECCILRVHVNVTFLKRTMWKGKIVQSTIEECREAFATWVNMAHELLRQKNVEVQQESVALVTQNGRMNLDREETVESSERSVEPNNPKMIQPTSKVVDATHNVDNHLQGNFTGTISISSLLQGFMMKFRLFLKNQSNLSVILVTVFALIFFMQQFSILVLLANKPQHINVNSPSVDYNMENGMERNPSDIAWMEKRIHHLKDEMYMVEARLERMMYEHALLKKQLQHLDRK >OIW00192 pep chromosome:LupAngTanjil_v1.0:LG12:16269255:16271775:1 gene:TanjilG_29182 transcript:OIW00192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKAAFGIASNPSFSLHRPTKSKSKSNFNFTCCVSLPPSPPSFQYLTQSLSSSNSHLYSAAVVSSDSKAASFELNQPDFDVSGGGGDDTGAGNGFGDRGGGGGGGDSGKGDEGSDSEGDKRKLALSMSQKLTLGYALLVGAGGVIGYLKSGSQKSLVAGGLSAAVLYYVFTELPVRPVLASSVGLGISAALLGVMGSRFKKSGKVFPAGVVSIVSFIMTGGYLHGIMRSMH >OIW01021 pep chromosome:LupAngTanjil_v1.0:LG12:91205:97547:-1 gene:TanjilG_14204 transcript:OIW01021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLALHSQLGPFSIQNAQRSVPNLERKKQNKIDGGSHELEVDLLEGSRDCHTGRSVLALRARFGAVMIRLLNRIATVKDDGTVEFEVPGNLQTEALGVRSRHLDNAVDDSLDAMDQHYIPPLNIVILIVGTRGDVQPFVAIGKRLQDYGHRVRLATHSNFKEFVLTAGLEFYPLGGDPKVLAGYMVKNKGFLPSGPSEIPIQRNQMKEIIYSLLSACKEPDIDSGVPFKADAIIANPPAYGHTHVAEALKIPIHIFFTMPWTPTNEFPHPLSRVKQQAGYRLSYQIVDSLIWLGIRDMINDLRKKKLKLRPVTYLSGSQGSETDVPHAYIWSPHLVPKPKDWGPKIDVVGFCFLDLASSFQPPESLVKWLKDGDEPIYIGFGSLPVQEPKKMTEIIVEALKKTGQRGIINKGWGGLGNLAEPNDSIYLLDNIPHDWLFLHCKAVVHHGGAGTTAAGLKAACPTTIVPFFGDQPFWGDRVHARGVGPPPIPVDEFSLTKLVDAINFMLDPKVKERATELAKAMENEDGVTGAVKAFFKQLPRKKPEPDSEPLPSGIFSICRCFGCS >OIW00638 pep chromosome:LupAngTanjil_v1.0:LG12:10277716:10283444:1 gene:TanjilG_09119 transcript:OIW00638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRTKNESPNSRRFRLSHFLFGIGVLYLLFISFKFPHFLKILSNEVDDRLDGASIRGSEDSDISKSFVSSVYQDAIHRKLEDKDHDSPLRPNKEPVKEEEHGLQHVKQRPPRYGRITGKLMEERKRDSELPVLERMADEAWLLGLKAWNELDMVDEKESGNDSVLEEKAESCPSWISISGEELEKGDGLMFLPCGLAAGSSITVVGTPHHAHKEYVPRLAKLKHGEGLVSVSQFMIELQGLKAVDGEEPPKILHLNPRVRGDWSKHPVIEHNTCYRMQWGKSQRCDGLPSSDEEEMLVDGYRRCEKWMRNDIVDSKESKTTSWFKRFIGREQKPEVTWPFPFIEGRMFILTLRAGVDGYHINVGGRHVTSFPYRTGFTLEDATGLLVKGNVDVHSIFTTSLPTSHPSFSPQRVLEMSETWKASPLPKHPIKLFIGILSASNHFSERMAVRKTWMQSTAVKSSDVVARFFVALNPRKEVNVELKKESVYFGDIVILPFMDRYELVVLKTVAICEFGINNVTAAHIMKIDDDTFIRVDTVMKEIDKLPHNKSLYMGNLNLRHRPLRNGKWAVTYEEWPEEVYPPYANGPAYVISSDIVTFIISQQKNRRLRLFKMEDVSMGMWVEQYNNTVSAVQYSHSWKFCQYGCIEGYYTAHYQSPRQMICLWDKLSRGRASCCNFR >OIW00578 pep chromosome:LupAngTanjil_v1.0:LG12:11095684:11098271:-1 gene:TanjilG_14804 transcript:OIW00578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSYSLTIPPTNTRFYHHVPTSPTPLSLRPIFTYPTRTTFGSLRTKASINGGHVASEEASQLSFYELLGIPLSGSLMEIKQAYKQLARKYHPDVSPPGRVEEYTKRFILVQEAYETLSDPRLKAMYDRDLARGIHLAFNARTRYHDDDDVVEQKSQWKSRWQSQLYELKRRSESKNGGGNMSWAARMREQRDQ >OIW00700 pep chromosome:LupAngTanjil_v1.0:LG12:8764550:8765170:-1 gene:TanjilG_09669 transcript:OIW00700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNSASKLLKQIIAAISSMTKSKTMALKSKTNAIKARLIIFSLMKNKKFLMSSLSEKIHAVLGHNSDDQNNEDCMLEDGCNDQNMAIMVHKNNAQTYESLPNQCETQVMEEEQDQDGYFMYGDDVVDDDDKYPDLTHTLFESEDLDLGGSVIDLVKNCKEEAGEEFKLENEIDNCADLFIKRFHRKMILQKQESLKRQQEILERGA >OIW00028 pep chromosome:LupAngTanjil_v1.0:LG12:18625392:18629543:-1 gene:TanjilG_26365 transcript:OIW00028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEESLIYSFVARGTMVLCEFTQFTENYADIASHCLHKLPSSSSSSSNNNNNNFTYNYDHHTFIFLLQDGYAYCVVAKECVSKYISIAFLERVKEDFKRRYSGGKADIAEFGPVMKEHMKYIIDHAEEIEKLIQVKAQVSQVKSIMLENIDKALDRGENLTILADKTETLHSEVDTAHVNDVAKCVDEQSLCQEISDVFGDPELFPRVGKEYQAEIPPFISEPGYSWFQSNLHQAEGTVSTLHKFRVGLPIPIIWINDEAENSKVDPLKNASKSTEVTNKIEFSPLECTKETNVNQETMIERHRQKGDFIVPGSASDNWNGIEEASFILGLYIFGKNLIQVRRFVGNKKMEDILSFYYGKFYKSDKYQRWSGRRKVKSRKCIQGQKIFTGPRQHELLSRLQPNASEECRDKLLALSKIFVEGGIHLEEYVLTLKDLVGLEALVEAVGVGKGKEDLTGLAIDSVKSTQAPPVRPEIPVGKACSMLTPAEIVKFLTGGFRLSKTRTSDLFWEAVWPRLLARGWHSEQPGSYNYAIAAKHYLVFLTPGVKKFSRKLVKGNHYFDSVSDVLGKVASDPELIELETVADNDCTSKEGNVMDQESFPDQPRHCYLKVKTPNRSIDVMEFTVVDTSLAREKTTRVRELITLPAGVLKASTYENDSDGSDTSEEETNESESVSTVRFKGRKTDICEASKLNIDRGISSFFNGLENNPSKEEIPMSSMGSSSLPASSKDRKTDLLTNPQKSDSLTCQLLQGMASDNKNDIVPVTKRRRRSTTRSRTKQNSDTVKIFAVPRVKKEEASFCRNNSKSSENVTANFFVAPGVKQEKASYCTNNAEFSGNVLTLEIPPPKKITLVEPQSISSSIISRKSVDVTGSSATKDHGEKPQLRPMIDLNLPVLPEVEVDEPFVSEILQNNRSKESDECSVGTIFKPVDDSDNQLDMNTRRRSRRNRPPTTKVLEAYASGYLDIKEKKRSRDYLQDSLIPRPSRCTYRKAEGYIGGDGSGFEKEERPNVVCIGNSNCLELWNFDSCN >OIW00851 pep chromosome:LupAngTanjil_v1.0:LG12:4389091:4403896:1 gene:TanjilG_04968 transcript:OIW00851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANVSVAAEWQLLYNRYYRKPELYPMRWKHIDLARNKIAASPFGGPIAVIRDDSKIVQLHAESALRKLRLFSSSGNLLADTVWRHPGGRLIAMSWTDDQTLVCVVQDGTVYRYDIHANLIEPNFSLGKECFEQNVADCVFWGNGLVCITEQNQLFCIADFRNPNSVKLADPGIEDPPHCMAVIEPQYTLSGNVEVLLGVGDAVVLAVEEDGVQQLGVDLLRGPLQKMVVSRDGKWLASFTHDGRLLVTTSDLTEVIIERECESALPPEQLAWCGMDAVLLYWDDMLLMMGPDGDPVHYLYDEPIILIPECDGVRILSNSSMEFLQRVPDSTVSIFTIGSTSPAALLYDALDHFDRRSSKADENLRLIRSSLPEAVEACVDAAGHEFDVSRQQTLLRAASYGQAFCSNFQRDRIQEMCKILRVLNAVHSPEIGISLSIQQYKLLTASVLIGRLINAHQHLLALRISEYLGMNQEVVIMHWACSKITASLAIPDATLLEILLDKLKLCKGISYAAVAAHADKNGRRKLAALLVEHEPRSSKQVPLLVSIGEEDIALMKATECGDTDLVYLVLFHIWQKRQPLEFFGTVQARPLARDLFITYARCYKHEFLKDFFLSTGQLQDVAFLLWKESWELGKNPMASKGSPLHGPRIKLIEKAQNLFAETKEHTFESKAAEEHAKLLRIQHELEVTTKQAIFVDSSISDTIRTCIVLGNHRAAMRVKTEFKVSEKRWYWLKVFALATIKDWVALEKFSKEKKPPIGYRPFVEACIEADEKAEAIKYIPKIADPRERAELPMLLRRQKMVNYLAG >OIW00573 pep chromosome:LupAngTanjil_v1.0:LG12:10993728:10999105:1 gene:TanjilG_14799 transcript:OIW00573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTNSINWRWPRKAKLLVTSALLLLQNFLVISEIYAEETSSVYIVYTGDKIYQNPETAKKYHLKMLSSLLGSKEAAKNSILYSYKHGFSGFAARLTKSQAEEIEKFPEVVSVIRNRIHKLHTTRSWDFIGIHHSSSKTSYTENNLGEGTIIGVIDTGIWPESRSFNDEAMGQIPSRWKGVCEVGEQFNTTNCNKKIIGARWFMKGITDHNKNLIHGNGTKEFLSARDAIGHGTHTASTAAGYYVENANYRGLAYGLARGGAPLAHLAIYKACWDNSVGGCADADILKAFDKAIHDGVDVLTVSLGVNIPLFSYVDQRDTIAIGSFHATAKGITVVCSAGNSGPISQTIANTAPWIVTVAATTIDRAFQAAITLGNNNTVWGQSIDTGKHNLGFVGLTYSERIALDPANDLAKDCQYGSLNATMAAGKIVLCFSVSDQQDIISASRTVMEAGGVGLIYAQFHEDGLYHCGVFPCIKVDYEVGTQILSYIRRTRFPTASLSLPKTVIGKLTSPQVSSFSSRGPSSMSPTVLKPDIAAPGVDILAAFPPQGTTQDSGFALLSGTSMSCPHVAGIAALIKSKHPTWSPAAIRSALVTTASQTGTDGSVISEEGSTYKAADPFDIGGGHVNPNKAMDPGLIYNITTDDYIHFLCSIGYGSASISKMTKTNTKCKKEKHQGLNLNLPSISIPYLKKGAKVMRTVTNVGNITSVYKAQVKAPDGIKVRVEPQILSFNSSSTVLSFNVSFLSTLKLHGGYRFGSLTWTNGKHFVRVPIAHLIRLVEGAPVEIVNGTNLGKPKVVGGDDSMSFQSEDGDWWLDGVSGRGEESFSVDEGEDVARSQAPLNFAQS >OIV99983 pep chromosome:LupAngTanjil_v1.0:LG12:18357316:18360838:-1 gene:TanjilG_26321 transcript:OIV99983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLGMGANVQDKGYFPGYSSTNDLSFDSEGSTWTSSNVNTELKNDRRHIGSWPVLSPCNILGCNKELLKQTILKQEAVFRDQIHELHRIYNMQRELMDGIRRNELCKHNLRLEASRSSSSLSSKNAQKICFSPNLPWSTGQSSALIDGSIRLPMASAQKKSRQICPGPAPSVTEESLKDSKVAKYRKIGKKILDLQLPADEYIDSEEGECLENDRVTEMLHVSGYSLNRISQVVHDSNDKPHGTKSHGFADLNVPRNLKVDAAAKSYDLGGLAHHRKNSFYDLSKRITLGSQNFPNDVIQNLNKRPGVEAFSANLQPNPEKKHEWLSNGENGGILDSFGKGICPEKNPGSVESLSNNVEQFNGHRGFRSLHQINQGCFWTERKFSSGEGSGLTQGSASNGMPGPSCASHTGFPFHIVSGADIVSSGFSPAELWKTPVSDFGQSSIAVQELGTNDPNKFHNSGSSYNHELVKHVKGSEDVGTCKNLNLNITPGDYSDTPVSQRIQITGEENGLQDSIIGLSWLKEKPVWKGKPHVSSDLQCFEVLPSEVFRNQSKTRSIEEIERGCIFDVTSPREHVPHLGNQMSADKLNKSESLAGLIDLNSCMIGDKNKPMDVDFKAPVSPENKECSPPRGESDENQLEVLVQSAEQEDAEVQEEPVRIAAEALVSISGFVAHDSLQITTCSSSESFLSNPLNWFAGIVSATVNHPENEIEEDFSCKAKNLKEFLLDGMDYFEYVTLNLTETKVDNYCRKSDGQTEQVSRSTSPAQLKKCRTNRGRWRKDFQNEILPSLASLSRYEVTEDLQTIGGLIAGGTHSEIGSLRSSGKNALARRSKQSCASISNNTNLKKLTNSTKLGIEKRVLTSWGKGCRKRRGQRVPTTNPKFILRNI >OIW00743 pep chromosome:LupAngTanjil_v1.0:LG12:9603512:9606544:-1 gene:TanjilG_09712 transcript:OIW00743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPNNFATMLHRNTNKITFVLVYAILEWILIILLLLNSLFSYLIIKFADFFGLKRPCLWCTRIDHILEPGKNKNPCRDLVCEAHGNEISKLGFCSNHLKLAESQGMCEDCSSSSKPEYVKLSKSFGFFPWMNQIGMNMVMEGDDGKVIEKVDDEPLRCSCCEVNLDNRLYPPCILINPSSKNILGYPEEQNLVTEGRVDAEIDEGDDQSDHSRSDFVLDHHGDEHGTEENRGIKEEGDESCGCSVCDGVRETLAYEIYKSELGVEKGKGVIKSETLDVPNDDVDQLCEQKTAQVDCMIQEIPHKHLEFFIHGDDCRLIPVELVDFKAIETQNQHGYKGEDEDFILDFNVHADAETEPAIENWHMSRDSVTKFSSHVSKEELKSNEVESIQLRNREQSSEFEGEEENLEQKYHELRFAQTAEEFHKYCNVETNMESGDGELCFDFSLGLSEVATQMQGEKFEAEVSLGTETHYLKQVDEYQFQATLLDTNQQIQEDLSTSTVRFRVQDDSGRDKGEDFVELNTMSVEVKMPTVNNHLSSSTSLEFHVNEGEKFPDTPTSVETMHYLHKKLLLLERKDSGTEDSLDGSIMSDIEYGEVTIEKLKSALKSERKALSTLYAELEEERSASAIAANETMAMINRLQEEKAAMQMEALQYQRMMDEQSEYDQEALQILNELMIKREKENQELEKELEIYRKKFHEYEVHCRNAEDSDGLSIDLNHHEENRFYSHQECSNKKTPEDAVLYLDESLANFEEERLSILEHLKVLEEKLLILNYGEEHHFKDVKSNGYHDHDNRHVNGYENGHANGVNGKHHYERNIIGIKAKMLLPLFDAISNEESEVVELSGDGNELKFSPLQNGSSEKVHLDKKKVALEEEVDHVYERLQVLEADREFLKHCISSLRKGDKGLDLLREILQHLRDLRNVELRFRNMGDFAV >OIV99937 pep chromosome:LupAngTanjil_v1.0:LG12:18041500:18046653:1 gene:TanjilG_26275 transcript:OIV99937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLPVSMSGDTELENLSRDDSHSHSHSHSHNHSHYSISTCILPALGARTSCRVKLRPFIISPYHHHYRVWQSFLTVLVIYTAWVSPFEFGFLKKPKAPLSITDNVVNGFFAMDIFLTFFVAFINKSTYLLVDDQKQIAWKYTRTWLAFDVISTVPSELIQKISPKPLQSYGLFNMLRLWRLRRVSDFFSRMEKDRNYNYFHVRCVKLICITLFAVHCAACFYYLVAARYHDPKRTWIGATMDNFLEQSLWTRYVTSMYWSITTLTTVGYGDLHPVNSSEMIFDIFYMLFNLGLTAYLIGNMTNLVVHGTSRTRKFRDAIQAASSFAQRNQLPARLQDQMLAHLCLKFRTDSEGLQQQESLDCLPKAIRSSISHYLFYSLVDKVYLFRGVSNDLLFQLVSEMKAEYFPPKEDVILQNEAPTDFYILVTGAVDLVVLKNGVEHVVGEAKTGDLCGEIGVLCYKPQHFTVRTKRLSQLLRLNRTTFLNIIKANVGDGTIIMNNLLQCLKEHNDPIMDDVLMETENMIAGGRMDLPVSLCFAAVRGDDFLLCQLLKRGLNPNEPDYNGRTALHIAASKGNEKCVLLLLEYGADPNVRDSDGNVPLWEAIVDGHGSVSNVLVENGANMKYGDIGQFACIAAEQNNLNLLKDIMHYGGDITLPNNITGTTALHVAVSEDNIEIVKFLVEHGAAIDKPDMHGWTPRALADQQGQDDVKAIFESTGEPKHQPFVAIPQNQAKVRYLGRFPSEPTMALCHDASFLSPDGSRSQSRPRRRSNIFNKSLFGIMSTAHKGEKNFFLPANIDNAAKNGMKSRVSTIRVTISCPEKGEVVGKLVLLPRSFKELLEIGARKFGVFPPVKVICKDGTEIEDIEVIRDGDHLIFVSADDEIE >OIW01005 pep chromosome:LupAngTanjil_v1.0:LG12:1348189:1357496:1 gene:TanjilG_16254 transcript:OIW01005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFLKAWNLKEHQGWKPCAKRSIRETELPESNGFLIIEANGGLNQQRLSICDAVAVAGLLNATLVIPIFHLNSVWRDSSKFGHIFDEEFFIQTLGNHVRVVKELPDDILQRFDNNISNIVNLRVKAWSSPTHYLQKVLPQLLEMGAVRIAPFSNRLAQQVPSKVQGLRCFANFAALRFSEPIRTLAESMVDRMIKHSVQSGGKYVSVHLRFEEDMVAFSCCEYDGGEEEKHEMDIARERSWRGKFRRRHRIIKPGANRVDGRCPLTPLEVGMMLRGMGFDNKTTVYVAAGKIYKEEKYMAPLKQMFPLLHTKETLATREELAQFMGHSSRLAALDYTVCLHSEVFVTTQGGNFPHFLMGHRRYMYGGHAKTVKPDKRKLVLLFDNPNIRWEVFKRQMQEMLHHSDEKGSEVKKGGGSLYNFPMPDCMCKQLESRTGNITL >OIW00528 pep chromosome:LupAngTanjil_v1.0:LG12:12069376:12070654:-1 gene:TanjilG_24258 transcript:OIW00528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDETQGGSSSLPPFLAKTYEMVDDPSSDLIVSWSANSKSFIVWNPLDFARDLLPKFFKHNNFSSFIRQLNTYGFRKIDPEQWEFANDDFVRGKPHFMKNIHRRKPVHSHSLQNLHAQVPLMESERQSLKEEIEKLKQDKEQLLMEFRRYEHEWQTYEIQIHCSKDRLEKLEQAQQKMVSSVSEVLQKPGRALNLLSLTESVDRKRRFSRSGYFSDEASMEDAVETSRIRENAESTSGLTLNMERLDQLESSLVVWENIALALSDTFIHVNSNLDFDEPTSCAVTLSISREKVDVQFQPKSSGIDMNSEPAAAAAPVASKEQPARTTAVATGVNDVFWEQFLTENPGSSGAQEVQSERKDYDGRKNEGKFWWNSWIASSLPEQMGHVSQAEKT >OIV99994 pep chromosome:LupAngTanjil_v1.0:LG12:18433133:18434898:-1 gene:TanjilG_26332 transcript:OIV99994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPTAAPEIGVVDTAMEIESNEKRAREEDNVSEGAAKKQKVEEEKPSSSGPVKLGFKEFESSLDMFDYFFNFLHAWSPFLNVNKYEHIMLLELLKNGHTESDKKIAGGIRSFQVRKHPTWKSRCFFLIREDGSADDFSFRKCVDHILPLPEEMQLKPDVNRALGGSGRGKHRRGKGHNGRGRGSGQRGK >OIW00583 pep chromosome:LupAngTanjil_v1.0:LG12:11175390:11178713:1 gene:TanjilG_14809 transcript:OIW00583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FMYLNLVVIVGEIANFAAYAFAPALLVTPLGALSIIFSAVLAHFILQEKLHIFGVLGCALCMVGSTTIVLHAPHERDIHSVKEVWQLATELGFILYTVAVVILVTVLIFYYVPRIGQTHLFIYVAICSLTGSLTVMAVKAVGIALKLTFEGSNQFKYFQTWFFTLVVIGCCLLQINYLNKALDTFNTAVVSPVYYVMFTSLTIFASIIMFKDWDTQNASQIATELCGFVTILSGTFLLHKTKDMGNRPEETPVFTTPVHSDHNSQTL >OIW00510 pep chromosome:LupAngTanjil_v1.0:LG12:11833478:11839274:1 gene:TanjilG_24240 transcript:OIW00510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERNRDPFGLWIRICFGLVSLLGIQQCWSLNDEGLSLLEFRVRITADPHASLANWNPNDSNPCKWLGVRCVDGKVQMLDLNGLSLEGTLAPELGKLSHLRSLVVCKNKFSGTIPKEIGDLGKLELLDLRENNLTGSIPAEIGRMLPLKRLLVCDNKIEDIDSEELEKLRLPSKLLFFDNCSPTFFGCMNRKFGHWFKLQKASFHDYEENYCSNLPRSEELEIVQNVSNLVNSARRKLLDQSSNLAAAPYSGGPTIQISSLPITLSSGSFSAVPDANKKQNQSPAPPTSPSDFPHDTLNKTSEQHGGNGASRKWWKYLIIILVVALLVIAIMILLFVWRKRAAKVIKPWTTGLSGQLQKAFITGVPKLNRGELETACEDFSNIISSYNEFTIYKGTLSSGVEIAVVSTAITSSKDWPKNMQTAYRKKIDSLSRINHKNFVNLIGYCDEEEPFTKMMVFEYAPNGSIFEHLHAKEVEHHLDWSARMRIIMGTAYSLEYMHDREPPVSHTNLSSLYILLTDDYAAKIGEMTFGQCLITPSNRKGDPSKKCELPPHCDPETNVYDFGILLLEIISGRLPYSEEQGTLVNWATEYLNDKQTIGNMVDPTLESFKNNELDVLCEVIQDCIQPDPRLRPSMKDITPKLREVLQVSPEQAVPRLSPLWWAELEILSGEAT >OIV99979 pep chromosome:LupAngTanjil_v1.0:LG12:18341196:18342737:1 gene:TanjilG_26317 transcript:OIV99979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVQELMKQQHACKFCSKSFPCGRSLGGHMRSHITNLSSSAETEDKEKVVGSEAGYGTTINTGYGLRENPKKTWRIADSTGEETSFTLAIERFCRECGKGFHSWKALFGHMKCHSDKQRVSNNLVEDQDSVTNNNAKMVLLDSQSDNEAVAPSKRRRSKRRRARYMVAANINLYSSSLSEVEQEQEEVAMSLIMLSKDLRSWSGINSVDDLSDYNSAYFKTSSSVQTKIEKMKKPMLNCSSEIAKVINQSGKKLEFGSCVSENLNSKGKGSEPLTTELKSEKAKFALEDSEGYRVNGTESVLSKSTITNKYRSIKTKFLGSELKSNSVQNLADKPSEAGEFSKNPHKRGKFECTTCNKIFDSYQALGGHRASHKRNKSCFVSRNERGENSIETQLSPDPTTESKLMKNSVRDYLVEAEVDAAGFGNEVADTVTESKKIKVHKCPICYKVFQSGQALGGHKRSHLASGSDSAKNFQKVVLEEPVREIREFLDLNLPAATEEESTSYADSNRPW >OIW00967 pep chromosome:LupAngTanjil_v1.0:LG12:765810:768898:1 gene:TanjilG_16216 transcript:OIW00967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLFPGIGLGTLLSGARIVSDGMLQAAAECLAAYMSDEEVLQGTIFPSISRIRDITKEVAAAVIKEALEEDLAEGYHGMDPRELRKLSQDEIAEYVKNHMWNPEYPTLVYKQD >OIV99801 pep chromosome:LupAngTanjil_v1.0:LG12:17118374:17118868:1 gene:TanjilG_26139 transcript:OIV99801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFHHPNTSLHLREPDENNSLNLDLLLDPSSSSSSSPPITSMEPRIFSCNYCQRKFYSSQALGGHQNAHKLERTLAKKSRELSSAMQAYGEGGQERRPYFRANSHQQHHLGRALGVMENQGTRVVAERREFNYGSKDGVPSWSRGYTSENVQEDVGQLDLSLRL >OIW00010 pep chromosome:LupAngTanjil_v1.0:LG12:18528577:18530425:-1 gene:TanjilG_26347 transcript:OIW00010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEMEANIKHKLVLFCVFYLALFTTLKANEDHLDQVWHRRALEARKAAQQAFRPNPEEVVTEFNKHVNKAMNGSHGLRRGLKAKYNGPCLATNPIDRCWRCDPNWEKNRKRLADCVLGFAHGTTGGKDGKIYVVTDSSDNDLVTPKPGTLRHAVIQTEPLWIIFKQSMTIKLSSELLVTDNKTIDARGAHVHIANGAQITLQYVKNIIIHGLHIHDIKKGGGGLIRDSLDHYGYRSQSDGDGMSLFGVSHVWLDHLSLSNCDDGLIDVVAASTAVTISNCHMARQNDVMLLGASDSFSGDQVMQVTVAFNHFGKGLVQRMPRCRWGYVHIVNNDYTHWLMYAIGGSSHPTILSQGNRFIAPLNPFAKQVTKRDYASESEWKNWNWRSENDLMMNGAFFVESGKSVATPANIDITAKPGTFAASLTRFTGPLKCVANKPC >OIW00815 pep chromosome:LupAngTanjil_v1.0:LG12:6135518:6135916:-1 gene:TanjilG_08110 transcript:OIW00815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWSVRSSVDDSSFSSSTSNGSNRRTRLIRVVQEFQTNIGTKIQEVKKNLPVKVLFFLVGFYCATAFATVIGQTGDWDILSAAFAVVIVEVIGALMYRASLPFVSKSRSLISFFNYWKTGLTLGLFLDSFKY >OIW00132 pep chromosome:LupAngTanjil_v1.0:LG12:15859414:15860105:-1 gene:TanjilG_29122 transcript:OIW00132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAYRRSRKRSMASMVGIHDLRVDLHRQKLTVIGWTEPETIVKAIKKTKKNAIICSNIELASPSQDSQPAESKGNAPAPDATQPQPQQPPPEATTSPKPSVNNENQHWQRAPRTNHVGEVHVIHHNLPNIPVFVQQTPQPVYVTHSYNTYMPSPYVTEYEHVRSPPSYKHYQNVEHYSGDYPNGNVSFTSMFSDDNPNACTIV >OIV99754 pep chromosome:LupAngTanjil_v1.0:LG12:16720203:16723327:-1 gene:TanjilG_26092 transcript:OIV99754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKNYPFPTYLIPLLLTIILLISTVSKTKGWKTMLPPELAIDKSISQNLRNDIETIQLASKDYGNIMQEFPAAVFHPSSINDIASLIKLSYNSSVPFTIAARGQGHSISGQAMARDGVVVDMASFRKQRNGVGISVHEDPFIGYYADVGGEQLWIDVLHKTLEHGVAPVSWTDYLYLTLGGTLSNAGISGTTFRYGPQITNVHEMDVITGKGDLVTCSSQENSELFHAVLGGLGQFGVIARARIALKPAPKRVKWVRLLYSDFSDFTKDQERLISMDGIRTQHIALDYLEGMLLMHQGPINNWRSSFFPLSEHPRIASLITKHNIIYCLEVAKYYDEQSEKNVNKGIQDLLQGLDYVPEFYYEKDVTFVEFLNRVRSGELKLQSQGLWDVPHPWLNLFIPRSQIMDFNSGVFNDIILKRNITNGPVLVYPMHGSK >OIW00286 pep chromosome:LupAngTanjil_v1.0:LG12:15121635:15125300:-1 gene:TanjilG_27537 transcript:OIW00286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDGRRHSVDIPISKTLVALRRVRSLRDPSANCISKLSPLIDNVHWENGSGNGFSLRFLEAPHAHGSDDDGILRTKNADFNGQREQDTTDFELNCGLLKSKLNYYGISGKEGQRDDELRYFNPNLEGISGNKSPSESCCSNHGGRGLDLACIMPPINHLRNEESCYSSTALSSQLGRIEYSKSTRKSLCKNQVKRSEMMGDIASQAGSPCLPVGDALSTRSASPYITEGVDVLDNHDGCGISCCWSKSPRFRESNHYSEKEDLPLMLKHVNEKDHYEHRSMRDIGGGTSPNLETPRSLCMKFKPKSFTDLVGQNVVGRSLLGAISRGRITSFYLFHGPRGTGKTSASRIFAAALNCLSLEEQKPCGLCRECVLIFSGRSKDVKEVDSFGLNRVDKAKSLVKNACIPPVSSRFKVFIIDECQFLQGETWVIILNSLEKFPQHVVFVMITPELDKLPRSAVSRAQRYHFPKIKDADIARRLEKICVEESLDFEQVALDFIAAKSFGSLRDAEMMLDQLSLLGKKITTSLAYELTGVVSDEELLELLDLALSSDTSNTVIKARELMRSRIDPLQLMSQLANLIMDILAGKHEVGGSEISRRFSSRHTSEADLQKLNHAVRILSETEKQLRISKNQTTWFTAALLQLSSVECSSVNANDTKLCLRAASIQDGDLCNTSSTGESLKHASIGQCDDKSYRLGVQEGHRGTPDSIWYSATQICRSSRLKKFLRKQGKLSSLWVNEGHAVAELEFHHHDFVARAEKSWKLIASSLQFILGCNIELRINYVPCTSDSKFAKFKRSSFNFFNCSRRILRKSLSSHEHETESDYADCTSEKPMMKDPTLTCSSDCGPRVPPPESYGGTEFVTTLRSSEGNLLSTAQVAPRISSARVDSLKDDRGNYAHQVSSTLDLDSHSNCFPQTHWLHRKSCSSHAS >OIV99729 pep chromosome:LupAngTanjil_v1.0:LG12:16556714:16559362:1 gene:TanjilG_26067 transcript:OIV99729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVRWVLHWQPNQGTVANSQILNEVTQCVDSMNAVKGSRWKATLTFYRPNLRDQNIATDFPRDFLGISLMDQPNKYFFIIRGSKVILEADSSILTIMEKLQSYKPKVALHFDGIQYKLGDFQVRLIKVAPNQAESIRGILMEVEYLPTSSVEKSRKIMEEFLDMWREIVSKRSLPGQFMFADPSYADYGLSDTYSAQHAAIQYAAALAQLIQSVQSMRG >OIW00023 pep chromosome:LupAngTanjil_v1.0:LG12:18606345:18606752:1 gene:TanjilG_26360 transcript:OIW00023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSSSTTSTRFYAFFLVSTILAIHVSLSSSYTVDFTGNLDNQLSAFFHPVVNSGCRGSIAECSLLAGEDDDTEFLMESESNRRILAGKRYISYGALRSNTVPCSRRGASYYNCRTGAQANPYRRGCSAITRCRR >OIW00734 pep chromosome:LupAngTanjil_v1.0:LG12:9325574:9327261:1 gene:TanjilG_09703 transcript:OIW00734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPFAMATLPSSRICHLRQLPNHILPIRCSSDASFPSVVSTRSKAAHFDLKTYWTSLMVQINQKLDEAIPVQFPPVIYEAMRYSALAKGAKRAPPVMCISACELFGGSRLAAFPTACALEMVHAASLIHDDLPCMDDSPSRRGQPSNHTIYGDDMAILAGDALFPLGFRHIVSHTPTDLVPEPYLLRVIAEIARSVGSTGMAAGQFLDLEGGPNAVGFIQEKKFGEMGECSAVCGGLLAGAEDDEIERLRRYGRAVGVLYSLADDILEERIKTEGGNDSKNKSKSYVKIYGVEKAVEVAEELRAKAKEELDGFEEYGERVLPLYSFVDYAIDRSFSVGDAIV >OIW00128 pep chromosome:LupAngTanjil_v1.0:LG12:15832751:15834882:1 gene:TanjilG_29118 transcript:OIW00128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSNYGKSNSNQSKPPSSSSSSSVSLNNFNFDFDLGIGSNPPKSLNAQKNPNPPSYSYSTPSSHPRPTWQPNKPSWTHQPAPVQTTPTGLPSGPTSIVGDIFGKSWGSTQTRPSSTSTIGVINKNPNLFGDLVSSALGQAPNKTSSTSNSPLKNAFPASNKPSSFSMGNVADSLPKNGNAATAQTSSSWGSSGNFGGLGGNSFNANRIPNLGGTSMSSGIGIKSNNNIDNNKDPFSSLAGGIGSKPSVSKPQKINSEGNGFGDFQNASKPTPTTSFPSGGSAGIDINFNGFAATNPAPSGGGDPMDMFFSASTANTAGGVSGGFGGKPASEMDDWGLESEGFGGHDAGGTTTELEGLPPPPVGISGSTAKGKGMDSYKQGQFADAIKWLSWAIVLLEKAGDSAATEEVLSSRASCYKEVGEYKKAVADCTKVLENDETNVSVLVQRALLYESMEKYKLGAEDLRTVLKIDPSNRIAKSTVHRLAKMAE >OIV99872 pep chromosome:LupAngTanjil_v1.0:LG12:17595131:17603731:-1 gene:TanjilG_26210 transcript:OIV99872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGNRGGRSRSNNNGNRKNATFVEGGFLSDCHLSSSPTFNSPGGNCSSKKSSGSKSGRVHRAETSASKTVIVPNSYLGTTIGYNYPSANNIQEDSCFGNNSEDSNLNKLQHFDLVDSKQNQVIAHVDQTPPSKSNNVEDTYAYGSDFVLGDSSHRGLGFHSEHDKTPSGIGTSSDQLPQSTAALDSSSFEKDVGSDEGMGCELSNQMTEGLPSKMSAERNPGFLSIGGLKLYTEDISDNESDQHDDEVSSNEDGSGSSESEELDGSSETDDSEDTSDSDSDIDEDVAEDYLEGVGGSDNIIDAKWLLKPDLDESDDDGSSRSCYDEALEKLSGITLQEASREYGKKKSRPSKIQSGHSGSLALDDLMLEKDPRTSSARKKHVPQFPRSWPLQDQKSKASKRIHGEKKKVRKEKIAVKRRERMFHRGVDLEKINLKLEQIVLEQVDIFSFQPMHSRDCSQVQRVAALYNLRSSCQSFGKKRFVTVMRTQFTSMPSSSGRQRLAKLMGVDAENADFAAIDYRNKKPVTGDRSSAKKGAKRNDFRLQEPQSVQNKTSKYSKSRGSDKGKDKKGSGQKGPLANQPVSFVSSGLIHSETVQIAAVDTEETGSPYKKGVTSSANIGSFEVHTTGFGSKMLARMGYMEGAGLGKNGQGMAEPIEVIRRPKSLGLGVEFSNNPPEPDSEPARRNNYKSSSSRIGAFEKHTKGFGSKMMAKMGFIEGTGLGRDSQGITDPLSAVRLPKSRGLGAKH >OIW01031 pep chromosome:LupAngTanjil_v1.0:LG12:202562:203497:1 gene:TanjilG_14214 transcript:OIW01031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPSSPKPTPLNDTVSPTKKPQPIPWTHQETLNLIRAYQEKWYALKRGPLRSSQWEEVAVVVAARCGYDFNHPSKSAIQCRHKMEKLRQRHRAEKHRLLGGGLQQPRGWLYFGLMDELERGPMPISARPLTALSPPRNYDDDDNDSDNDNKEDNYFADDDDKMMSYVKSKSINYILNERPRTTKKYGVDLGFSREHVVPKGFRRMDYNDENYDDDDDDSDDENNEDEEKRVVANKEGLILGLTEEIKDFGERFIAMENLKMRMMKDTERYRVEMENKRIEMILKSQQRIVDSIGRAFGLSNKKMKIVHEI >OIW00980 pep chromosome:LupAngTanjil_v1.0:LG12:992228:993469:1 gene:TanjilG_16229 transcript:OIW00980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNREERFGLSASDAAVQIDLISKVHGVASAEEYFRRLKNVLKDRKTYCSLLNVYAHSRLKEKAESLFVAMRSKGYAINSLPFNVMMTMYLNLKEYDKVDTLVSEMIEANVKLDLYSYNIWLSSCGSQGLAEKMEQTYELLTKDRTIVPNWTTFSTLAKSYTKMGHFEKAEEYIRKVETTIEGRNRIPFHYLLTLYGNIGKKEEVYRVWKIYKLIFRRVTNLGYLAFMSSLVRLGDIEGAENLYEEWLSVKSSYDSRIGDHLLGWYAKKGDTDKALGIFKQMAKSGQNLYSSTWEILSEVHISNQRISEALSCLKEAFMAGAPKTWRPNPVKVSAFFELCRKQDDKGSAETLIGLLRQSGFLEDEVYASLIGLSDGAIGKGGLSIKIDTVDRTENIYEDENTEVMFNQLEGSF >OIW00375 pep chromosome:LupAngTanjil_v1.0:LG12:12757384:12757661:-1 gene:TanjilG_05725 transcript:OIW00375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKVSTYFAMTLGAFVFWQSMDKVHVWIALHQDEKQERLEKEAEIRRVREELWKQQQQANHSD >OIV99895 pep chromosome:LupAngTanjil_v1.0:LG12:17766067:17767241:-1 gene:TanjilG_26233 transcript:OIV99895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGILYGMVGRGHVVLAEFNATQSNASGIAKQILEKINEGSNDSNVSYSHDRYVFHVKRTDGLIVLCMADHAFGRTVPFAFLEDIHQKFVKTYGRAIISAPGYAMNEEFSRVKTVMIDNIEKVLERGGRLEMLVEKTSAMNNNSIRFKRQSRRYRNNMWWNNVRLTVTLIIIFIISIYILLAFICHGPLLTKCWR >OIV99962 pep chromosome:LupAngTanjil_v1.0:LG12:18207949:18209151:-1 gene:TanjilG_26300 transcript:OIV99962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYVTTFLRNHEPDEDFDFDSNTFPYFSHSSPDFNFDFYSSEPEFPPAPEPGSPSLFDRNNQVHFVMDLFQQRVEQSQVTGLEYFVTEPQNDAAFAVIDGYSDLGIDMDDNLGIDLGFCPERDMFSSSESNEAPFRNCVHVIGFGSDSDEEEEENEVLENCVHSDEDYNDVNDDVSIIPLRWDSLQLEEDNKDRNDDFEWEEVDVDGRVDERDVLSMLAIDDERSVSVSVTPVIEEEEEEVSVVRVGGMENLEWEVLLNANNLDTIRTPDMDHDDDLEEPYFSDHDDYIYTAEYEMMFGQFAENENALRPPASVSFVRYLPSVAVTKEDVDNNNALCAVCKEDFAEGDQAKQLPCSHRYHGDCIVPWLAIRNTCPVCRFEFPTDDADYERRRADRSVLNL >OIW01044 pep chromosome:LupAngTanjil_v1.0:LG12:307808:309187:1 gene:TanjilG_14227 transcript:OIW01044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRGNQRDRDRERAQARAGGKTKPKNDGLTPEQRRERLFRSSLSTHFDTSYSSMYLILYVILAHFYAEMQEHYKRKQQEKHHKVVEGTMQVV >OIW00102 pep chromosome:LupAngTanjil_v1.0:LG12:19029996:19035238:-1 gene:TanjilG_26439 transcript:OIW00102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERELTEVIALTEELLATARQNELSGSRAGPNASAAPSLSKHKENELDLESSSDHQGKFSIGTKVQAVWSEDGEWYDATIEAYTPNGYYVFYDNWGNKEEVDPANVRPIQEGTVDALLEAERVAEATKLAIKRKIAQAASIDFQSKSLPAKLRIEPDDPEDVKATKRKKIHAFKSKMRIEQLEVTQNKRQNAWQQFQSTKGKIKKVGFFSGRKRESIFKSPDDPQGKVGVTGSGKGLTDFQKREKHFHLKDGTVENDE >OIW00194 pep chromosome:LupAngTanjil_v1.0:LG12:16277891:16278849:1 gene:TanjilG_29184 transcript:OIW00194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGAAGGFLTRAFDSMLKECSVKKYPELQKAIQNYTEITKEAGQRKQAVSSEENQAAPSAESASTNETQDGAATTTDVEHLC >OIW00292 pep chromosome:LupAngTanjil_v1.0:LG12:15178439:15180368:1 gene:TanjilG_27543 transcript:OIW00292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEATFECDLIRAILKRVWTTRSTASDALDSEVGVGTSRKNRPTSANGNALKLTCELLRVFITEAVQRAAAIAETEGVSQIEAAHLESILPQLLLDF >OIW00842 pep chromosome:LupAngTanjil_v1.0:LG12:4883597:4888968:1 gene:TanjilG_12246 transcript:OIW00842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGPVRPQFVLFGSSIVQLSYNDEGWGSILTNLYARKADIILRGYCGWNSRRALQVLDEIFPKDAIVQPSLIIVYFGGNDSIHAHPSGLGPHVPLQEYIENMRKICVHLKSLSKTARIIFLSSPPINEAQIRLTLSNQLGPLRRSNESCRIYSEACLKVCREMKVKAIDLWSALQQRDDWLDVCFTDGIHLSSEGSKIVVKEIMKVLREAEWETSLHWKSMPIEFAEDSPYDPIGVDEKSTVNVSNWNFQEDFQWD >OIW00297 pep chromosome:LupAngTanjil_v1.0:LG12:15211281:15214374:1 gene:TanjilG_27548 transcript:OIW00297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMERVFEFPHTHMDRRPRKRPRLGWDIPEVPKAQVGLFFGQEIGNISSYAPSRGPSEHTTSSLFVKGVARNGSPPWREDDKDGHYMFAIGDNLTSRYKIHSKMGEGTFGQVLECWDRERKEMVAIKIVRGINKYRDAAMIEIEVLQQLGKHDKGGNRCVQLRNWFDYRNHICIVFEKLGPSLYDFLRKNNYRSFPIDLVREIGRQLLECITFMHDLSMIHTDLKPENILLVSPEYVKVPDYKSSSRSPSSYFKRVPKSSAIKVIDFGSTTYEREDQNYIVSTRHYRAPEVILGHGWSYPCDIWSVGCILVELCTGEALFQTHENLEHLAMMERVLGTLPQHMLKRLDRHAEKYVRKGRLDWPEGAASRESIKAVMKLPRLQNLIMQHVDHSAGDLIHLLQGLLRFDPSERLTAKEALRHSFFRDHLRR >OIV99808 pep chromosome:LupAngTanjil_v1.0:LG12:17158861:17167516:-1 gene:TanjilG_26146 transcript:OIV99808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRFSSLFLSRRHNHLRRHSLRHLSSLTSQPLELPLSFPTYFIWGSNTGVGKTLVSAGIAASTLLSPHSQFHYLKPVQTGFPSDSDSRFVIDKVAQLLFLRNPHTPLFASHSVLNVSPAVTERSIENHEIGEQGFGASKLICKTLYAWEEAVSPHLAAEREGLEVKDSTVLETLHSCFRDVVGSGADKERSEIMCVVETAGGVASPGPSGSLQCDLYRPFRVPAILVGDGRLGGISGTISAYESLTLRGYDVVAVVLEDHGLLNEGPLMSYTRNKVPVLVLPPVPKDPSNDLMEWFEGCHSLFSNLKEIMHSAYFERIKKLHEMPKKARDIIWWPFTQHKLVPDGGVTVIDSRCGENFAVFKAQDAEVIAPVFDACASWWTQGPDAVLQTELAREMGYAAARYGHVMFPENVHEPALNCAEVMLEGVGKGWASRVYFSDNGSTAIEIALKMAFRKFSVDHGLIPNSHEDTTDGSSTDLLVLALLRSYHGDTLGAMEAQAPSAYTGFLQQPWYTGRGLFLDPPSVFMRNNKWMLSLPQGFHFGNLKLDSITFASHEEIFHKGRDKSKLAAVYSSYISKQLSGFKGSANIGALIMEPGKIFYVTFLSQLIKCHFSFTLSSFVFIIQGSGGMHMVDPLFQRVLVNECRSRKIPVIFDEVFTGFWRLGVETVVELIHCVPDIACFGKLMTGGIIPLAATLATNAVFDSFIGDSKLKALLHGHSYSAHPMGCMAAAKSIPWFKDPCSNPNITSEGRFLRELWDDKIVRQLSSHPAVQRVVALGTLCALELKAEGSNAGYGSLYARSLLQHLREDGVYMRPIGNVIYLLCGPCTSPEICHQLLLKLYKRLEDFDGIKN >OIV99980 pep chromosome:LupAngTanjil_v1.0:LG12:18346836:18348404:1 gene:TanjilG_26318 transcript:OIV99980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGSKRSPLKGAKPNSGGHVYPIQSGPNPFDSDDGTRDNKNYNSSRNVLAKTNPFDHIDANKSASSSSYVLRSAGRNRYKNDFRVSGGLENQSVQELEDYAVNKAEETTESVNNCLKIAERIREDATQTLNTLHQQGEQITRTHYAAADINQDLSRGEKLLGSLGGLFSKNWKPKKMHSIRGPVIFGDDPVRSKGNHLEQREKLGLTSAHKTQAMQRTAPQEPTNALEKVEVEKGKQDDALSNISDILVDLKEMTINMGSEIERQNKALNHLEDDMDVLNSRVKGANQRGRRLLGK >OIW00270 pep chromosome:LupAngTanjil_v1.0:LG12:15004925:15006934:1 gene:TanjilG_27521 transcript:OIW00270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTTRFSGGGRCGGGGEDRVLATAQQIVNSLNTAQEVREDMLLIFSNFDNRLSAMSDLIKGEGSNNNNDDDLQRFQAAEKVILRWDASLSAGPSRHFNSLFDSPIEVFEYFTALDEIIIFMEQFSISPPPSDGGRSEQTMAERAENAVQLAMSRLEEELRHVLIRNTVPLNAESLHGSMKRVSLSFASHIDESLESFGDNGSDRGYDRFHSRGSSLDYDDAVVDLVNLDAVLGLTDIVDRMVKSGYERECLQVYSSVRRDALDECLVILGVERLSIEEVQRIEWQSLDEKMKNWVQAVKIVVGVLLCSEKRLCDSVFGDLDEMKDICFYETAKGCVMQLLNFGEAVSICKRSPEKLFRILDMYEALRDALPDLESLVSDEFVMGEVRGVLIGLGEATKGTFAEFENCIRNETSRKPVITGDVHPLPRYVMNYLKLLVDYGEHLDLLLEISDEDLHHLQNDFGGGGSQFESMSPLGCRVLLLMSELESNLVEKSKLYVDNAMQQVFLMNNLHYLVRKVKDSDLGKVLGDDWIRKRRGQIRQYATGYLRASWSKALFCLKDDGIGGSSISAAKVALKEKFKNFNACFEEIYKNQTAWKVPDEQLREELRISISQKVIPAYRSFHGRFSSQLDGRHAGRYIRYTPDDLENYLLDLFEGNPAAALNHVRRKST >OIW00845 pep chromosome:LupAngTanjil_v1.0:LG12:4993323:5000098:1 gene:TanjilG_12249 transcript:OIW00845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTAAAMPDEQVVIGEEIEHVRLITLNRPRQLNAISPELVSLLAEYLEKWEKDDKADLIIIKGAGRAFSAGGDLRVFYDGRKTKDTCVEMVYRYFWLCYHVNTYKKTQVALVNGISMGGGSALMVPMKFSVVTEKTVFATPEASFGYHTDCGFSYFHSHLPGHFGEFLALTGGRLNGKELVAVGLATHFVSSEKIAELEKRLISLNSGDEDTVRSVIEEFSSEVKLDEESILNKLSIIDECFSKDSVEEIIKSFEAEAKKEGNGWVGAYLKGMKRSSPTALKIALRSVREGRNQTLSECLKREFRLTLNILRSTISEDIYEGIRALTIDKDNAPKWEPPSLDRVDDEKLDLVFQPFQENLELQIPEIDECRWDGKFENSAYTVPHEAIPISA >OIW00149 pep chromosome:LupAngTanjil_v1.0:LG12:15964783:15967316:1 gene:TanjilG_29139 transcript:OIW00149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRSSPEKLILVNREPNGIAIVTINRPDSLNSLTKAMIADLAHAFKTLDRDESVRVIILTGSGRSFCSGVDLTAAEDVFKGDVKDAETDTVVQMERCRKPIIGAIRGFAVTAGFEIALACDILVAAKGARFLDTHTRFGIFPSWGLSQKLSRIIGVNKAREVSLTATPLTAEVAERLGFVNHVVEEGELLKKSREIADAIVKNNQDMVLRYKSVINDGIKLDLGHALSLEKERGHDYYSGMTKEQFKKMQEFIAGRSSKKQSKL >OIW00480 pep chromosome:LupAngTanjil_v1.0:LG12:13788128:13790931:-1 gene:TanjilG_05830 transcript:OIW00480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNTRDNGEIREGVVAVAVDKEKSSQYALRWAVDNLCPRDTPIKLVHVVQNPVLIFEHFLKVQYEIVILNDHDVARALINYVSQGGIDTLVLGTSSRNSFSRIFKNSDLTSSVLKWGSEYSKVYIINKGKVSATRSASRSAQSMHAVERIQPVYHNLNQQGDPNMLFDELSVVDNDNSFVSSERHSTDSNCISFYENLGSRLEIGSSSDVLKLEDEKFEPLFSTSEPVNMDTMKDLASHERPSFSIHNQDYIEDEMMRLKLDLERAMDLYHTVCKEALVSKHKLIELQDWKEKQEHRMKEIELENTRCKVTNEAPQRLENQEVEKRLLKAEIRAMIESDEKQKVLDVLRQSHTVIKYQSIFHVFVVLFLSYLYVFSLK >OIW00919 pep chromosome:LupAngTanjil_v1.0:LG12:1457247:1459476:1 gene:TanjilG_09997 transcript:OIW00919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNPKIASLMLNPKSRLEKRSKVELETGNDEMLDFTEKVPKDVLSHILTFLPLDEAIRSGILSKKWKDLWRNVTHIEFDENKFIKPLSQLLLSRKYGRTKDVTKGTSWHSPLIHRIMFRHSGDLLSFRILHLWETILFGEVQSWVECVLKTKKGVQNLSLECEPDNGEMGECYLFKYNIPKIKFSNGIFQRLVSLEMINYNINCSNAFVGCKNLKTLKLKKINLDDGTINDILNNCVVLENFTLNESNGFNKLIIMKESLKVLKLQGLCVDELKVSCENLELLLLDSITCPTNAASIYTPNLKTFSSYYYSLFGNMHAVKEGYAIVKGYEILAHSAMVYSSNIIFKNLSTLSMDLDLNHIRDAQDLYSVLQLCTSLQVLEISLPDFENTIIGSSNDYDFPYPISMFWEKQELCYCVHEKLKFVYIKAFKGNELEVEFVKYLIAKATMMKKVTIFCNTLTEDAENLLSLPKTSTNLSINFKVNAINIMN >OIW00662 pep chromosome:LupAngTanjil_v1.0:LG12:8083544:8083903:-1 gene:TanjilG_09631 transcript:OIW00662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTQHESTTITTTTSTTIATKSLADTTSSTCNCCCVVTKLMRKLKRRSSRTLRPSTATRQGSFQCCYDPLSYSLNFDTTGCGSLLDEDYYKFYAFSTRFVATNPKSSCPIVQVAAGNSH >OIW00191 pep chromosome:LupAngTanjil_v1.0:LG12:16263066:16266464:1 gene:TanjilG_29181 transcript:OIW00191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METPMNASCPAPMKAVSNGAFQHENPLDYALPLLILQICLVVVFTRFLAFLLKPLKQPRVIAEIIGGILLGPSAIGRSETFLHTVFPKKSLTVLDTLANVGLLFFLFLVGLELDMRSIKRTGYKALCIAISGITVPFVLGIGTSVVLRATISKGVEPVSFLVFMGVALSITAFPVLARILAELKLLTTDVGRIAMSAAAVNDIAAWILLALAIALSGTDSSPLIPLWVLLSGTAFILFAIFAIRPLLVVMARRSPEGEPVKEIYICITLTLVLACSFATDTIGIHALFGAFVVGIIMPKDGPFAGVLTEKIEDLVSGIFLPLYFVSSGLKTNVATISGGVSWALLVLVIFNACFGKIIGTFVVSLVCKVPFREALALGFLMNTKGLVELIVLNIGKDRKVLNDQAFAILVLMALFTTFITTPIVMAVYKPARRGAPYKHKTIQRKDPDSELRVLACFHSTRNIPSLINLIESSRGTRKRARLCIYAMHLTELSERSSAITMVHKARNNGLPFWNKKQDGEDQMVIAFQAYGKLSSVTVRPMTAISHLSNIHEDICTSAHQKRAAMIILPFHKHQRIDGSMESFGHAFHQANELVLGHAPCSVGILVDRGLGGTSQVQASDLSFKIVVPFFGGGDDREALSYGLRMAEHPGILLTVIKFVAPPGKTLAFGAKLVGVSSNKDYKVVIEQDNKEKDDELWSELLSICTNNHEVSIKYEERLVDSKGDIETALNEISNSNLILVGRMPAVSPLVPKSDCPELGPIGSYLASSQFSTTASLVVIQQYNASTDIHPLVMEEADYQDLPEPDTPRT >OIW00709 pep chromosome:LupAngTanjil_v1.0:LG12:8900595:8924945:-1 gene:TanjilG_09678 transcript:OIW00709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSLLKKQFGIETDTISDSALTPSWVSKVLVKLKGDPKLALKFFKWAGARTGFRHATDSYCILAHILFCGMFYLDAKKIITELIFLRREDPGCDFFDMLWLTRDVCRPGFGVFDSLFSVLIELGMLEEASECFWKMKKFRVFPKVRSCNELLHKLSKSGKGKLSMIFFKDMLGSGLSPSVFTYNIMIGYLTKEGDLKTAKNFFEQMKRNGLTPDVVTYNSLIDGYGKVGLLAEVIVVFEEMKDAGSEPDVITYNSIINCFCRFESIPQAFGYLCEMKERGLKPNVVTYSTLIDAFCKAGMMLEAMKFFVDMIRVGLRPNEFTYTSLIDANCKVGNLDESFKLASEMLQAGHELNIVTYTALLDGLCEDGRIKDAEELFRELLKAGVTPNLQIYTSLVHGYIKAKMIKNAMDTLEEMNKKNFKPDLLLYGTKLWGLRSQNMIEEFEAVIIEMKDCGLTANSYIYTTLMDAYFKVGKTVEAVNLLQEMQELGIETTVVTFGVLIDGLCKKGLIKQAVSYFDCMTSTGLQPNIMIFTALIDGLCKNDCVEAAKKLFHEMLDRGISPDKLIYTALIDGNLKHRNPQEALSLRNKMIEMGMELDLHTYTSLIWGFSHCGQVQQANSFLDEMLGKGIVPDEVLCIFLLRKYFELGDINEAQALYNDMITWLVSNENLSIGIVSPYSAQVVAIEDKLGQKYKKHHGFNVDVNTIDGFQCQEHDIIILSTVRTNVSSSVEIISCPRRTNDVLSSARHCLWILGTEKILISQENVWKRLVLDAKICQCFFNADEDEDLAKTIWNAKIELDQLDDLLNADSVLFRNSRWKVTFSDNFYKSFKNLKSDRRKKWVIGLLLKISSGWRPKRKKVEVLYGNSSQMLMQFKVEGLYVLCSKDIVKESSYTQVLKIWDILPLEGIPELVKRLDNLFRSYSDDFITHCNEKCFEGNMEVPMSWEKSPIISKFKNLDNNGNATESSVCDDQRIYVENSMVKESLLLMKFYSLSPVVVSHLLSDCNDNEIDLPFEVTDEEKEIIRFPKSAFVLGRSGTGKTTVLIMKLFEKEKLHHMAVVAAYGVKCAQFPCSNEDEESITMNYKPVLRQLFVTVSSRLCQVVKYHLVRLKRSICGGNVYAESSSTEEDINYVDDASVLFNNISDSFVDIPANCFPLVITFQTFLMMLDGTLGNSFFRRFSSTLSSDSQNSGVISVALEIFIRNKEVTYERFDSLYWPHFNSQYTKNLDSSRVYKEIMSHIKGGIQAMEPGDGTLTRDGYISLSENRASSLCKQKREMIYDIYQSYEKMKIRKGEFDIADVVIDLHSRLRIKGYKGHGMSFVYIDEVQDLSMSQIALFKYVCQNAEEGFIFCGDTAQTIANGIDFRFQDIKSLFYKKFLFVPNISSYNEGWEKAKSLNVFLLNHNFRTHAGVLKLSQSIIDLLLHFFPYSIDALKPETSFIHGEAPIILECENGKNAVVTIFGRSGAEGGKIVGFGAEQAILVRDDSVRKEVLDYVGKHALVLTILECKGLEFQDVLLYNFFGSSPLRNRWRVIYEYMKEFDMLESTELMPYPCYNDPIHNILCSELKELYVAITRTRQRLWIYENGEEYSRPMLDYWKKKGVIQFKGLNDSLAQSMKVESNEEDWKSIGIKLYNQHNYDMATLCFERAGDFYWQRKSKAASLRESAKHLCDLNPKDANAMFMEAAQIFEGIGMANAAAECFSDSGDHERAGKIYLKNCDLKRAGDCFYLAKCYEVAAQVYARGNFFSDCLTVCEKGGLHDIGLDYIRQWKQSSRAGIIMVGRLDLNPLEQMFLERCARNCLYHKDTSSMMEYVTAFHSLKLKREFLRSLNLLDELILIEEELGNYMEAADIAKLIGDIPRAADLMGNDDKFMEAYELIFSYVLSNSLWADRSEGWPLKQFTKKGELLRRALPFAKLVSSSFYEQACIEVDILSNDNIDLIKTMTHLKCSRMYGSITGEILCSRKLLDAHFQLNCSEYLQQSNLSNNYVEEMILKIRLSIETLFYCWTCWKDNIVQILQHLPSLESQDIDEHNGYGKFVLGYFGAQKPSANANGNYLLLIPDAKFLRKKRRLASVDIHFLVSAAKWYWCSELLSVGMAVLQNLEAFYKFSLEEVPSEFCQLRSLMLIFEVSKFLIKSKCFRHSDRILETLKKFLKYPIDCFFRCVHPMDKRKSLSKNMISLRATEPCQNLIEEVIYGNIRGTDRLTYNKIGRVAVLILGMANPRKKFFMKIIERFKNNFPWKEFIHSLRWNSAEHKLCKFYKALQFTYNVNRKEEVDYISLGCFTDLIERLLLLASCMNGFIFATKSSFAEWLIYQNGNCYSVPHPSLIADILEFIADVLGGHLYNPKATENWITKSSLDLKDYFPMFVLRLVVLLCLLHLSSVKYEGLLQDLLCQRHITAHLPVEFFTVLCKGRKQLGLKVFAEAFKVIGNPLVIARLSNNFSEIVCPDAISVDLINMFQQRELILQVLFRSRVDFFGGETGAVVTYFPSMNFRSRNKNSAHDVSFWDMLENLQLAVDDSCLKLLQDSVDTCIELLISLICGSLPQNHIRIQSKSEMRELLCLLDEMKQFSSVLSMRPKVEHVLNKLFLLSNDSKDNMSKNSQADINLGLGNM >OIV99710 pep chromosome:LupAngTanjil_v1.0:LG12:16446687:16448806:-1 gene:TanjilG_26048 transcript:OIV99710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLSEISDVSGQKLPEKSTFSQTCSLLSQFLREKGGYGDLNFGMTCTSETNGSPETSCLSAMDLFPTKENNITPPNLITMDLLSPPTAYTPQTTKEVPTLINPSAFKSVDKEPKSAQLTIFYGGQVIVYDDFPANKVEEIMSLARKGISQSHNTSVYGHTLTQPSMIPNIVPANLIQEHPHHAPSAPIVCDLPIARKASLHRFLEKRKDRITAKAPYQTSNPMAAPTKPAESMAWLGLAAKSTL >OIW00894 pep chromosome:LupAngTanjil_v1.0:LG12:3017127:3018533:-1 gene:TanjilG_20895 transcript:OIW00894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPKGSVLMQRYELGKLLGQGTFAKVYHARNIITGMSVAIKMIDKDKVLSVGMIEQIKREISVMRLIKHPNVVELYEVMASKAKIYFVMEYVKGGELFNKVAKGKLNQDVARKYFQQLISAVDYCHSRGVCHRDLKPENLLLDENGNLKVSDFGLSALAESKHQDGLLHTTCGTPAYVAPEVINRKGYDGAKADIWSCGVILYVLLAGFLPFRDSNLMEMYRKIGKGDFKFPNWFASDVRRLLSRILDPNPKTRISAAKIMESSWFKRGLVKPTVIANDDKELAPMDADGVFGAYENDTPVAESMHELSKPCNLNAFDIISYSSGFDLSSLFEDTEHKREARFTSDKPASIIISKLEEICNRLQLKVKKKDGGLFKLEGSKEGRKGPLGIDAEIFEITPVFHLVELKKSNGDTLEYQKLLKQDIKPALKDIVWTWQGEHPQQLENELVKMEQNPSHSGQSEFVTQPSS >OIW00399 pep chromosome:LupAngTanjil_v1.0:LG12:12993856:12995826:-1 gene:TanjilG_05749 transcript:OIW00399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGDQKIDYVFKVVLIGDSAVGKSQILARFARNEFSLDSKSTIGVEFQTRTLVIDHKSVKAQIWDTAGQERYRAVTSAYYRGAVGAMLVYDITKRQTFEHIPRWLEELRNHADKNIVIILIGNKSDLEDQREVPTDDAKEFAETEGLFFLETSALVATNVDTAFTTVLTEIYNIVNKKNLAADESQGNGNPASLSGKKIVIPGPAQEIPKKNTCC >OIW00813 pep chromosome:LupAngTanjil_v1.0:LG12:6114822:6128002:-1 gene:TanjilG_08108 transcript:OIW00813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFAASTFSRLNFLPKPSFFTALSNFRRIHLPLPSSPIPRSSYNTLSTAAANCHGDLIVLGIETSCDDTAAAVVRSNGEILSHVVSSQADLLVKYGGVAPKMAEEAHSQVIDRVVQEALDKAYMTEKDLTAVAVTIGPGLGLCLRVGVQKARRIAGSFSLPIIGIHHMEAHALVARLIEKDLQFPFIALLVSGGHNLLVLARDLGQYIQLGTTIDDAIGEAYDKTAKWLGLDMRRSGGPAVEELALEGNAESISFSIPMKQHKDCNFSYAGLKTQVRLAIESKKIDATIPISCASNEDRLSRADIAASFQRIAVLHLEERCERAIQWALKMEPSIRYMVVSGGVASNKHVRARLDKVVKKSGLQLICPPPQLCTDNGVMVAWTGIEHFRMGRYDPPPPAEEPEDMVASHMQLPRTAQIRLVSSHEEVYEPCDDSFALVDALLADRTNLLEHRPKLCMEIGCGSGYVITSLALTLGQEGCVSNYIATDINPYAVKVTSETLKAHGVDAELIITDIASGLEKRLAGLVDVMVVNPPYVPTPEDEVGAEGITSSWAGGENGRSVIDRILPVADHLLSEKGWLYMVTLTANNPSEICRQMRNKGYASKIVIQRSTEEESLHVIKFWREFDTEVDDTADQSVSGFVSYLLAQVPLFSSWRGSNNNN >OIW00560 pep chromosome:LupAngTanjil_v1.0:LG12:12413408:12415013:-1 gene:TanjilG_24290 transcript:OIW00560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSSSNAPVTNLKKQSLPRLFTSRTLTVLNLSNISTETSTFEFVSLQKLSLVDCKFEIRDVEILDPFLGCPKLSFLLFDCCQFYGKFDKFKIHAPQLTELSISCLRVDEEFDSECVIELFTPKLRYFSYDDPLNLYAFSIQAKLHFLEKLVIDVDSSEVLNALDNTEGTKLSMKFIELFEAMGSAKFVYLSPDVVKVLSLFPALFMGRLSPFTRVQTFKIIVDVSSSFPLPTNVMAYLLGRSPGFTRYRRQGSYNAKQSG >OIV99748 pep chromosome:LupAngTanjil_v1.0:LG12:16676653:16680474:-1 gene:TanjilG_26086 transcript:OIV99748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGNGNGPTNEDEQALECDEDEGDEVSSMTMERVAAAKKFIENHYKSEKKLYHERKERRSVLEKKLASSHVPEEEQVDLLKDLESKETEYIRLKRHKICADDFDLLTIIGRGAFGEVSLCREKKSGNIYAMKKLKKSEMLRRGQVEHVRAERNLLAEVASDCIVKLYYSFQDVEHLYLIMEYLPGGDIMTLLMREETLTETVARFYIAQSVLAIESIHKHNYIHRDIKPDNLLLDQNGHLKLSDFGLCKPLDYVTLSSIDEDEFLDDENLNDTIDVRNGRRWESPQEQLQHWQMNRRKLAFSTVGTPDYIAPEVLLKKGYSVECDWSVDILFLVVVCMACVHYLLWSLGAIMYEMLVGYPPFYSDDPLTTCRKIVYWKNHLNFPEEARLTPEAKDLICRLLYDVEHRLGTRGADEIKAHPWFKDVAWDKLYEMDAAFKPQVEVQSKSTRTGSGAFRKMTPQDLNFVGYTYKNFAAVKGKHNSTGKEGMPSQQSSVDSAQVLASSGND >OIV99756 pep chromosome:LupAngTanjil_v1.0:LG12:16748838:16749500:-1 gene:TanjilG_26094 transcript:OIV99756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYEAISSAIAKWLTPSSLFIFLNLVIVTIFIISRFIAPKTQIHHPPQFLRSTSLLDRVRSFNYQYSPAVEQTESTHPQLVRVPSLLERVKSFDFNLYKYQQPHPQTEHVQTEPEHRTQDLDSNLTNLQEPQLTRAPSFLQRLQSIKVSRLYKSESIHGEKESEPETVEQWMPATARREKTRLREEEEGVDAKADDFIKRFKKQLRLQRVDSFLRYRDMLE >OIW00207 pep chromosome:LupAngTanjil_v1.0:LG12:16382207:16383154:-1 gene:TanjilG_29197 transcript:OIW00207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDAGGSKLKALGQIARLKEMFQKWQTTTVGSKEFDDHSHVNHGGISPMINKRLTNLVYCDSDEENCYSPEAPHDVPKGYLAVYVGPEHRRFIIPTSYLSHSLFKVLLEKAAEEFGFDQCGGLTIPCEIETFKYLLNCMENNQKEDHDDTTGNTGAI >OIV99918 pep chromosome:LupAngTanjil_v1.0:LG12:17952525:17955189:1 gene:TanjilG_26256 transcript:OIV99918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEIENSVTQVQYELDLGNLTAFDPHHAFSSYGSTSRAELVKESLTKGTELVQFIADSLFSLPSTEDIDGPLVKLPLPTTKLPRQKHLPVPKPPTKWEAFAQKKGIQQRKKDKVVYDEQSGTWKRRFGYDRANDEEAIPIIEAKPTDDPTEDPFAKRRDDKKKRVEKNERNQLQNLKEAAKFGALPSHVQLAATALPITGTQAAPKKFTKDELGNVAGMAATATASGGKFDRKLPGEKAPQHKGKYRKFLPVVGQGTGIGSLEKEQTEKVLNKIMSKNSHDILNVSKAVTMHNVKREKKRKYDNKRSASATDSKLKTQKKPFKKGDSKKGDNKAKGKK >OIW00020 pep chromosome:LupAngTanjil_v1.0:LG12:18587566:18595445:-1 gene:TanjilG_26357 transcript:OIW00020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHNSTKTTVSRLSRYPEPKSPLQREVHAPCTSRKFPLSTNSTTQYSNSFHKVVDIGDDKNNSLYINHQKARDDALASISLLKGKEVVEVDRDSRKVVEVDRDSHKVVEVDRGSHKVVVVEDGEHEGPSVVTCQKSRESDVVAVSQIHDDSDDKVIDGDNQQHLTPPVVSELNNSNLKMLDSLCLSPECGYSSVQAYKKLIEAVDNPTRRDTFERLSYEIQINEKRLEVLDLLRPKELVEEVPQELFVPLTKEEKAEVARAFSVKRKEVLVTHEKSNIEISVDKFRCLMPGAWLNDEVINLYLELLKERERRESQKFLKCHFFNTFFYKKLISGTNGYDFKSVRRWTSQKKLGYGLHECDKIFIPIHKQVHWCLAVINNKDKKFQYLDSLKGVDTQVMKVLFLIPSETERFSLLLHPIMLVYQDLLTGDELLSDSFPYREIENGILWEVDGKWVVQGAVDVDIGANPSAEGGEDEGVDDQAVKVVDIVDTFRLQEQPPFDKKQFLAYVKRYIKLLTPKLDEESQALFKQHIPAATKYLLSKLSDLQFFVGESMHDDGGLVFAYYKDGAADPTFLYFAHGLKEIKC >OIW00966 pep chromosome:LupAngTanjil_v1.0:LG12:2378779:2379123:-1 gene:TanjilG_10044 transcript:OIW00966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRRIGLGGGYDEDLDEDEDEDEDEEEDEDRMRRRIGLGGGYDEDLDEDEEEDEDRMRRRIGLGGGYDEDLDEDEEEDEDRMRRRIGLGGGYDEDLDEDEEEDEDRMRTKIGWG >OIW00685 pep chromosome:LupAngTanjil_v1.0:LG12:8393607:8398344:1 gene:TanjilG_09654 transcript:OIW00685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANAINKTAFQIFLDILPPVEFACVYGSSLHPNNHDKTSMTDFILSVSDPKQWHSENLRLNKNHYASSWMVNFGGAGLITGVADNIGVGVHFNPFVTWNGKMYKYGVVRTRDLLQDVLHWEKFYLCGRLQKPVHVVVDNLDISNTNSVNLRAAMSAALLLLPSEFTEADLYAKMCSLSYMGDLRMLFAEDKNKVKKIVAGQFGLFHSMYKPFLEEYEAKKLLRLSSTVNHQIKVYQDCDVSVACSLVSALPPSIRSQMSVKQGDKMKLNGTGQIIHGIAIRSREEAANCLQRILRRKVMVSSARQAISGLLAAGGMSATRYLSQKVSKAWKSWR >OIW00833 pep chromosome:LupAngTanjil_v1.0:LG12:4535417:4539249:1 gene:TanjilG_12237 transcript:OIW00833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPGNADTACDQYHKYKEDVQLMAKMGLDAYRFSISWSRLIPDGRGTVNPKGLQYYNNLINELISQGIQAHVTLVHWDLPQALEDEYEGWISRRIVKDFTAYADVCFREFGDRVKYWTTVNEGNVFAIGGYDVGFLPPQRCSPSSAQSLIYNCSGGNSSSEPYLVAHHMLLAHASASNLYRKKYQDRQHGFIGFNILTFGFFPLTNSSEDISAAKRAQDFYLGWYLSPFTFGEYPDTMKKNVGSRLPLFSKSESNLVKSSIDYLGINYYFSFYVKDSPDSLQINDRDYTADVGVELQPVFGNSTSTDEFPIVPWALHGLLDSLRNTYGNIPIYIHENGQQTHRNSSLEDWSRVKSMHDYIGSIPDTLRNGVNVRGYFVWSFLDVFELLGGYESSYGLHYIDLNDPTFRRQPKLSAVWYSNFLNGRTMDPMITMEIQKKNPSVVLSTAVSNNPLLQKVQFKATSSS >OIW00300 pep chromosome:LupAngTanjil_v1.0:LG12:15228539:15229297:-1 gene:TanjilG_27551 transcript:OIW00300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARLLFLASILLCSFAGTCYGNVLFSSLKRTLDVTASPKQGQVLLAGSDKITVTWALNNTLPPGTDSSYKTIKVSLCYAPISQKDRAWRKTEDNLKRDKTCQHNIVTRPYLASNKTLQSFELVIQRDVPTATYFVRAYAYDSNEVEVGYGQTTDAKKGTNLFEVQAISGRHVSLDISSICFSAFSVVSLFVFFYIEKRKQKTQK >OIW00148 pep chromosome:LupAngTanjil_v1.0:LG12:15956088:15959725:-1 gene:TanjilG_29138 transcript:OIW00148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAFPSPPPSSSSSSNFTLFTHSKNSNYLFKFSLKLPVLPLPCCSLGDSSTSATVLDKNVISSEDNSRNAVSERDSNIEGTLVVRRPVMEFSGDDSDDEEEQKKEEIVEDDKTNVSAIDTELNKFAKKMPMFEPSRMGLDSKEKPLTVNLDLALYRAKVLTRKFQYEEAELILQKCIFYWPEDGRAYVALGKILSKQSKTDQAKEIYEKGCQATQGENSYIWQCWAVLEMKMGNVRRARELFDAATVADKRHVAAWHGWAVLELKQGNLKKARNLLAKGLQYCGQNEYIYQTLALLEAKANRYQQARYLFSQATKCNPKSCASWLAWAQMEVEQENYHAARQLFEKAVQASPKNRFSWHVWGLFEANLGNIDKGTKLLKIGHALNPRDAVLLQSLALLEYKHSTANLARVLFRRASELDPRHQPVWFAWGWMEWKEGNLNTARELYQKALRIDSNSESAARCLQAWGVLEQRAGNLTAARRLFRSSLNINSQSYVTWMTWATMEEDQGNSVRAEEIRNLYFQQRTEVVDDASWVMGFLDIIDPAIDRLKRLFKLDPNSYNMPPDTSRNIAGTNESRVDLASPSSITNDDDESDFDLDAFIIKRLSLDPSNLEVQLGTPKSSSVKRSQAPRRIWKSYHRIAKSVS >OIV99941 pep chromosome:LupAngTanjil_v1.0:LG12:18077171:18082691:1 gene:TanjilG_26279 transcript:OIV99941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASISRIILRDYKFGTFDPLFKPSPYTPSSKKFHSNHLDVKGRFFLPHNGRSCAIRSELQGPVVNVARPSSMIYQTSERNSLISCKATTNVSGDIPESTGGLSQYEKVIETLTTLFPVWVILGAVVGIYKPAAVTWLETDLFTLGLGFLMLSMGLTLTFEDFRRCLRNPWTVGVGFLAQYLIKPLLGFVIAMTLKLSAPLATGLILVSCCPGGQASNVATYISKGNVALSVLMTTCSTIGAIIMTPLLTKLLAGQLVPVDAAGLAISTFQVVLVPTIVGVLANEFFPKFTSKIITITPLIGVILTTLLCASPIGQVADVLKTQGAQLILPVVFLHAAAFAIGYWISKLTFGESTSRTISIECGMQSSALGFLLAQKHFTNPLVAVPSAVSVVCMALGGSVLAVYWRNQPISIDDKDDFKE >OIW00740 pep chromosome:LupAngTanjil_v1.0:LG12:9553742:9554509:-1 gene:TanjilG_09709 transcript:OIW00740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTPNLNLEPENNSEDSSQVASNISLQETPYDLNLKKESTTSSSCLTNPTKAEADPASMNLDLTLNFNSSDGEFKGTNDASGEVEAEALASASATATPRVFSCNYCRRKFFSSQALGGHQNAHKRERTMAKRAMRMGMFSERYTSLASLPLHGSPFRYHGIEAHSAMHHSHMQMPSSLLRAPDMRVGAKFEMNHFGSPIFVEDDDVSLFWPGSFRRVNQGTSVNLGHAQTYNTSFIPMAPPPPQTSSSPDLTLRL >OIW00146 pep chromosome:LupAngTanjil_v1.0:LG12:15942760:15943533:1 gene:TanjilG_29136 transcript:OIW00146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKKEEKSQAAAEKIKAAALSAAKGLSRAQAERAATAAARNVNAYGQKEEGPSRWQEKREAKRQMYLMSTEKAVKLGERKDLKPTMTAIGGTAQCQKCFQSGHWTYECKNERVYISRPSRTQQLKNPKLRVNVSATYDLDDNNNPDAKEEKAKVRSKKTKRKHRSDSDSGSDSEDSVFETDSGSGSSSVTGSDSSESSSGYSSSSDSEEERRRKRKKKQKRGRRSKRYSSSSESSDSDSDSADRSSQRKKRHSRRR >OIV99842 pep chromosome:LupAngTanjil_v1.0:LG12:17415532:17418038:-1 gene:TanjilG_26180 transcript:OIV99842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESDVRAMKETLGAQQQLLQKLYAELDQEREASATAASEAMDMILRLQGEKAAVKMESSHYKRMAEEKIGHAEATLEVFEELMYQKEMEIASLQFQVVAYKHKLVTLGYDFNASEFEFPEPEDMLLNRNDQQNSENAQSSTVRRLHSLPPIQSKNAFRAARKRERSPSPAPIPFPVPDMNMESNNHQATSPNIAYGTLDSYWNQIKRLDEKVKVISDYKDSPGGEKCASLRSRRGRSCSVFSQASAKIARDQTYRLPLPPTNSDKVKHRGSTHDTNRETVVNPSCAVNVHDVFEVPQTSEKHEVSEHGGKRLEGLTSDADNRLTKPDSVSEEMVESHVKHDMDKLKSMLSAHLETTIPRPRDMETTDWQKKEGMDVDCKAEAEFQKLNQRVDRLERERNSTRQEIKHELEDREEHLRLLKDIYTQIKLIQCEMMSSKTKKIAPKEDVSLCPLQESQIPFSVNLHIPKLFLFGAWVLLFFAGLIFG >OIW00767 pep chromosome:LupAngTanjil_v1.0:LG12:7661942:7662241:-1 gene:TanjilG_13141 transcript:OIW00767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKSCENMSTKKPIVFSELEIEVAKLLIQLSISNNSTCSNNKADDIESFIVATITRDVDEDEQEDIAYGSKIRYHDIEDIYNVTELVLENKANSAKYPI >OIV99959 pep chromosome:LupAngTanjil_v1.0:LG12:18195007:18197053:1 gene:TanjilG_26297 transcript:OIV99959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLSLSPSSFTSIFFHNKCALRENTRNLTSFRNVSSCPRIRCALDTPYGGGGGNVQQFPRISVWDPYRRLGVSPDASEEEIWGSRNFLLQQYTGHERSEESIEAAFEKLLMASFQHRKKTKINLKSRLKKKVEESPPWIKNLLNFVELPPTEVILRRLFLFAFMGGWSVMNSAETGPAFQVAISLAACIYFLNEKTKSLGRSCIIGFGALVGGWVSGSLLVPNLPSLLLRPPWTLELLTSLVVYFFLFLACTFLK >OIW00582 pep chromosome:LupAngTanjil_v1.0:LG12:11143264:11143440:1 gene:TanjilG_14808 transcript:OIW00582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRSTTREVGTSCTDNTHKLTGHLSIVTRRGTTLLGADNPSPSHPNLEDGSNRVVSLP >OIV99964 pep chromosome:LupAngTanjil_v1.0:LG12:18227685:18230155:-1 gene:TanjilG_26302 transcript:OIV99964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLKRVGLGIGVESRVEKLRNSMGMGASSSSRSRMKLWMIRATTSIFLWTCIVQLTAFGDIWGPRVLKGWPSCFTHDSAVTALDSHFPTPPRVLLPPKRVYKNNGYLMVSCNGGLNQMRAAICDMVAIARYLNVTLIVPELDKASFWADPSEFQDIFDVDHFIASLRDEVRILKELPPRLKLRVENGPLYTMPPISWSDISYYQNQILPLIQKYKVVHLNRTDARLANNNQPLEIQKLRCRVNFNALRFTPQIEELGRKVIKLLRQNGPFLVLHLRYEMDMLAFSGCTQGCNSEEVEELTRMRYAYPWWKEKLINSDFKRKDGLCPLTPEETALTLKALDIDRNIQIYIAAGEIYGGHRRMASLAKDYPKLVRKETLLEPSDLQFFQNHSSQMAALDYLVSLESDIFVPTYDGNMAKVVEGHRRYLGYKKTILLHRKLLVEFIDQYNNGILNWDEFSFAVKEAHADRMGNPTKRLVIPDRPKEEDYFYANPEECLGTSSDLPLSSS >OIV99738 pep chromosome:LupAngTanjil_v1.0:LG12:16596474:16600020:1 gene:TanjilG_26076 transcript:OIV99738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSYVNILMYGVGGLVVAGMALLVAFQEKLVYVPVLPGLTKSYSITPSRLRLSYEDVWLRSSDGVRLHAWFIKLFPDCRGPTVLFFQENAGSLDCQPLDIAHRLEMVRVMLQQLKCNVFLLSYRGYGASDGYPSQHGITKDAQAALDHLSQRSDIDTSRIFVFGRSLGGAVGAVLTKNNPDKIAALILENTFTSILDMAGVLLPFLKWFIGGSSSNGPKLLNFVVRSPWSTIDVVGEIKQPILFLSGLLDEMVPPSHMQMLYAKAAARNNQCLFVDFPTGMHMDTWLNGGDHYWRTIQQFLKQHVPEKKEGIPPQNGNGNIL >OIV99820 pep chromosome:LupAngTanjil_v1.0:LG12:17243755:17250922:1 gene:TanjilG_26158 transcript:OIV99820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKNCPIEGPLAEEFTFPAKSAPFNTCHASTIVEVDNGHFLVAYFGGTSEGAPDVKIWLQTYKNGRWESPVIADEQPNVPMWNPVLFKLPSEEVLLFYKIGQEVQKWSGFMKRSYDKGITWTEREQLPPENGHLFCGSSVESWNSWGAWMEVTTDFGRSWSKYGPIYVENRSLSVIQPVPYQTAKGTLRVLLRSFDGINRVCMSESSDGGKTWGYAKPTELPNPNSGIDGVKLRDGCVLLVYNTTSRGVLKVALSEDDGDTWQEILTLEDTLGMEFSYPAVIQASDGRIHITYTYNRTQIKHIVLLPALPGKPHRVGKILGCCCGGSSAKVMSNTTCSETNSFSKGKNSYIKGSILEEYTFPSKSAPFNSCHASTIVEVGRGHFLVAYYGGTSEGAPDVKIWLQTYKNGIWKSPAIADEEPNVPMWNPALFKLPSNVLLLFYKIGVDVQKWSGFMKRSYDKGLTWTEREQLPPGILGPIKNKENDVLICGSSVESWNSWGAWAEVTTDYGRTWRKYGPIHIENKPLSVIQPVPYQTAKGTLRVLLRTFTGLGRVYMSESFDGGKTWGYAKATQLPNPNSGIDGVKLRDGRLLLAYNTISRGVLKLAISEDDGDSWHEALTLEDTLGMEFSYPAVIQASDGRVHVTYTYNRTQIKVQA >OIW00702 pep chromosome:LupAngTanjil_v1.0:LG12:8832665:8834814:1 gene:TanjilG_09671 transcript:OIW00702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQFKRRDALAFSLSFGLLHAFFYPQQTLAAVEAVPCELTVAPSGLAFCDKLVGTGSQAVKGQLIKAHYVGRLENGKVFDSSYNRGQPLTFRVGIGEVIKGWDEGILGGDGVPPMLAGGKRTLKLPPELAYGSRGAGCKGGKYFF >OIV99943 pep chromosome:LupAngTanjil_v1.0:LG12:18088921:18091039:-1 gene:TanjilG_26281 transcript:OIV99943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKKILRKLPKKVAKSDSSDSTRGDSGNSTSFGNVFQCTNVGSTISSKLNVVKRVSSAVFPSSMNAGAEAVDPCLSFKDVSNTQKQSLFISKLNLCCKVYDMSDLDKNCTEQNFKRQTLVDLVDFVSSGTVKFTEPAIAAVCKMCATNLFRVFPPKFRTSTTGGETEDEEPMFDPAWSHLQIVYDLLLRLINYNSLDLKVAKMHMDHAFILRLLDLFDSEDPRERDCLKTILHSIYGKFTVHRPFIRKSVSNIIYCFVFETERHNGIAEMLEIFGSVISGFALPLKEEHRMFLCKALIPLHKPKTVGIYHQQLTYCVVQFIDKDQRLASSVIKGLLKYWPLTNSQKELMFISELEEVLEMTSMAEFQKIMVPLFQRISCCLNSSHYQVAERAHLMWNNEHILNLITQNRYVILPLVFSALVNNTKNHWNQAVVNLTQNIRKVLSQMDEDLVLACQLKFEEEDSGSSAAAAERRRITWERLEAAAKSVQSVDAADVIVPVRSSTACSVAC >OIW00900 pep chromosome:LupAngTanjil_v1.0:LG12:2734349:2735123:1 gene:TanjilG_19841 transcript:OIW00900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHKRAIEEIEGSQRKSRSTRKDMTPLSRVWTYFILSNIYPIYHSSDLLMTKAFLAYCIQHKLVVDVATILSDELYQFVIREPSNTRVSTKPLVY >OIW00482 pep chromosome:LupAngTanjil_v1.0:LG12:13802591:13810794:1 gene:TanjilG_05832 transcript:OIW00482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTLFTFHLSRTFRTSLFSASRFSTLRDVTFRRNFPRRLSFFATTFPRRFSAITTASISRELDNGIGAVDEKYDVIVVGGGHAGCEAALASARLGARTLLLTLNIDRIAWQPCNPAVGGPAKSQLVHEVDALGGEIGKIADRCYLQKRILNVSRGPAVRALRAQTDKRDTSNLSIREAMVTDILLGNNDNVEGVCTFFGMKFYAPSVILTTGTFMSGKIWVGRTSLPAGRAGESASHGLTENLQQLGFETDRLKTGTPARVDIRTVNFSVLEPQHGDEEVGWFSFDPDVHIEREQMCCYLTRTTSSTHQIIRDNLHETPTYGGWIEAKGPRYCPSIEDKIVRFQDKESHQIFLEPEGRHVPDLYVQGFSTGLPERLQLPLLRTLPGLENCSMLRPAYAVEYDYLPAHQCTRSLMTKKIQGLFFSGQINGTTGYEEAAAQGILSGINAARHADGKPLIVLERESSYIGTLIDDLVTKDLREPYRMLTSRSEHRLLLRSDNADSRLTPLGREIGLIDDRRWKLYQEKQDRISEEKKRLKSVKISGGDLAAEVTRLSGQPVKNASTLESLLKKPHIQYEVLDKHGFSNKSLSRMEKECVEIDIKYEGFILREQILLKQMVTKQHRPLPEDLDYYAMTTLSHEAREKLSKVRPQTIGQASRVGGVSPADITALLIVIESNRRKAQEQRRHKMLNAIRTDNQDEVPEVSLTETVRGACLVAYMVLPESETASWLPVLGVSLVCLPWAFWFFTFLYRVFSRCCGYRGVRVANNGNGGGGRNNNGGSVNAGENVDVEGACQSSKGGELNRVSSIASHESEMPLARSIT >OIV99946 pep chromosome:LupAngTanjil_v1.0:LG12:18111125:18117383:-1 gene:TanjilG_26284 transcript:OIV99946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQEKSPIVRYIVVLFLIVMLTMPSCVYGVGVNWGTMATHQLPRDKVVQMLQENGFDKLKLFDADEWIMSALMGTDIEVMLAVPNNMLEEMSKDPKVADSWVDENVTSYMYTGGVNIKYVAVGNEPFLKEYNGTYLEATLPALKNIQTSLNNAGFGSKVKATVPFNADIYYSPDSNEVPSAGDFRPEVIDLTIQIIQFLYSNNAPFTVNIYPFLSLYGNDHFPFDYAFFDGSNRPTRDGNALYTNMFDANLDTLLWALEKAGFPDMHVIVGEVGWPTDGDKNANVQNAKRFNNGLLKHVLSGNGTPKRKGIIDIYLFSLIDENAKSIAPGNFERHWGIFEFDGKPKYELDLTGLGQNKGLAPVEGVKYMEKQWCILDPDATELSYLPDSIDYACSLSDCTALGFGSSCNSLSLRGNASYAFNMYYQVHNQRDWDCDFYGLAILTGMVLKLLQAMNSNTRVTGDHRSPLLQVIGIVPALSGSDDIYSNHGFYLQLSDSLNSTYVLLSDHDNDLILTNRLQLGQFVYVDRFNFDSPLPSVSGIRPLAGRHPFQGSPDPLVARISPSKREFVIQPVSDSDRNADPLAFYLSGKKKKIVEEHNKSPRKEFLLQKEKVRVSRQPLAPRDNSQVQEKPPQRFSSPATAKRTKSVSGVAAPERDPSPANGKGRRSASPVPSKCVVPSLASARDENRKVAREAAIIVPSRYRNPSPTGRKQPSPNPRRASISPMRRLSGGLKVADSASKKKMATVAAGISKVSEALVGSRKNWDEQLAETVAQVEPKDKSFSKNKFDSQAILRTQPNLIPELISQAAISRRLSDVNGQKPGSNDSPSNEKTNPASPETCLPQEKSNFAALGITIHEKKWTDGSVSLDAVSSNLAWLGKEAMQRKLLASAAAAEALEEANATEGVIRNLSMFSDLCSVCEARNPLPTIDRFFNIYDNVVKSTAIAESVAIRHNSETPDVSFQTEHSKSPSLWVEAALATDLQVVSLLTGTGADPPSTLPKSLSKRHSLSAAKNHVKASSSPQSDLSTGVWTRGSGMVETVELGTNLLSEMQNWFLHFVEDSLEAGFKVFGECTTDGKKTLPLDGASIAVVLSHLKRVNSWLDRVVSKDNDSLTVKIENLKRKIYGFVIQHVGTTFDNSASPASS >OIW00241 pep chromosome:LupAngTanjil_v1.0:LG12:14701312:14706725:-1 gene:TanjilG_27492 transcript:OIW00241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMATLKLVCKPNLHIRPTPFQCIRMVVPRSLAVVEAADQCTASIPEQKENKKVPGWKKLNSKELGLKNSMIASPTKKVLNLLKKKGYDVYLVGGCVRDLILKQTPKDFDIITSADLREVMRTFSWCEIVGKRFPICHVHMDGTIIEVSSFNTSRCKPAMHFVHNIEAPSGCGKDDYLRWRNCLKRDFTINGLMFDPYAKIVYDYMGGIEDIMKAKVRTVAPAAASFQEDCARILRAIRVAARLGFSISRETAHSIKDLSSSVLRLDKGRLLMEMNYMLAYGSGEASLRLLWKFGLLDILLPFQSLFSNLDRLLAPNRPCHSSLWVAILALHKTLSDLPRDPLVVAAFSLAVHNGGNFSEAVGIARRIDKSHDVRFPELLDPSGLDAEALADEVLNLAESVKGTLSQMTTRYLVSQAMADYPQAPHSDMVFIPLGLYIKTLNIFEDVKVSSRRKFLSKHGKKIDYESLAQGDLQETRHVFARVVFDTVYPLY >OIV99883 pep chromosome:LupAngTanjil_v1.0:LG12:17683707:17686466:1 gene:TanjilG_26221 transcript:OIV99883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSCSRRFHLSLQRLNTRPLCTKSQRHAATSSPSLSSLSSIGGSRYGENKDRDLEALLRTITSGVVVVGSTLGFWYWSSLSSPGANSLHAFADYANEDQLEQNYENKSKFLFNEGYRRRVFFKYEKRIRLQSPPEKVFEYFASIRNPGGEVFMTPADLMRAVVPVFPPSESNRVREGSLRGEQVPGALYCSPSEFFMLFDTNNDGLISFAEEIDKEEFKKVMALMRSQNRQGANHRDGRRLGVKASVENGGLLEYFFSKDGNSCLQHEKFVQFLRNLHDEILRLEFSHYDYFQKGSISAKDFALSLVASADINHINKLLDRVDEISSDPHLRDIRITFEEFKAVAELRNKLQSFSLALFSYGKVNGVLTKSDFQRAASQVCGITMTDKVADIIFHVFDANRDGNLSANEFVRVVQRENNSSRVGLGGFISCWLNCAANCSSAKLQF >OIW00986 pep chromosome:LupAngTanjil_v1.0:LG12:1060293:1084744:1 gene:TanjilG_16235 transcript:OIW00986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSILPLPLLISFLKPHTSRFSLLRRPSTTLRHLLLLPRHRHRHRHRQFTTTAATTSLSSVNTNTELQNDSSTLTFQQAIQRLHEYWASVGCSIMQCSNTEVGAGTMNPLTYLRVLGPEPWNVAYVEPSIRPDDSRYGENPNRLQKHTQFQVILKPDPGNSQDLFIRSLSALGIDVTAHDIRFVEDNWESPVLGAWGLGWEVWMDGMEITQFTYFQQAGSLQLSSVSVEITYGLERILMLLQGVDHFKKIKYSDGITYGELFLENEKEMSAYYLEHASVDHIQKHFDFFEEEARSLLSSGLAIPAYDQLLKTSHAFNILDSRGFVGVTERARYFGRMRSLARQCAQLWLKTREMLGFPLGFISEPDESVLPKEVLEAACEKVHDQSSTFVLEIGTEEMPPHDVVDASKQLKDLVVQLLGRHRLKHGDLKVFGTPRRLVVAVEDLFTKQSEKEVEVRGPPVSKAFDHEGNPTKAIEGFSRRYSVPLDLVYRKVDGKTEYVYARVKEASRHALEVLSEDLPATIAKISFPKTMRWNSQVMFSRPIRWILALHGDVVVPFMFAGVKSGNLSCGLRNTSSAIVQVESAESYLVTMKTAGVNVVVEDRKKIILEQSSALARSVNGQLLIPRGLLDEVVNLVEAPVPVLGSFKETFLHLPKDLLTMVMQKHQKYFAVCDDDGHLLPYFIAVANGAIDEATVRKGNEAVLRARYEDAKFFYELDTGKRFSDFREQLKNILFHEKLGTMLDKINRIESMVTKLSCLLDISEDVQQVIQDAASLAMSDLATSVVTEFTSLSGIMGRHYARRDGYSEQLIVPKHNSNGLALLIPPSLQLTLLYIPESAILDSLVGLFTAGCQPSSANDPFGLRRISYGLVQLLVEKNKNLDFRKALELAAAAQPIEVSSHVIDDVHQFVTRRLEQFLVDKGVNTEFVRSILVERANFPSLAARSAYKMKELSRGKIFPKVVEAYSRPTRIVRGKEEVNIEVDEAAFETNEEKVLWSTFLSVKKSIIPGQELDEFIDISSQLIQPLEDFFNNVFVMVEDDKIRKNRLALLKGIAELPRGIADLTVLPGF >OIW00670 pep chromosome:LupAngTanjil_v1.0:LG12:8188383:8188823:1 gene:TanjilG_09639 transcript:OIW00670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEPGFFRGYLNPDAISWTVITSTYTQHGPVEDAHQLSNNGTIRKVNAVTLLSPIRFYPCRNSRVGLLDEAVEFMDKMPIEPNEMVWQTLLGACRSHGNVKLVKAAAQNILSNRA >OIW00470 pep chromosome:LupAngTanjil_v1.0:LG12:13661576:13665784:1 gene:TanjilG_05820 transcript:OIW00470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSGTKRYSKWDLRDELEYAHDSKQVWSSADIAGNNSSKSSYMEKNDRLKPRMGLSSKEPFSRGRGSNKDDILNKDYRVSDATIADGSHSMKMSPGFEERKHKRHSQSPKNDLRRSSRSRSPARGLRLDSGVNGRNRTGAGGLTQTCRAFASGECRRGSQCHFLHHNDQNYEDNGEIRRRQDGAPKYFAPHENGDFLRSGRSNEGCTNFAKGGCRMGASCKYVHHTNSDCFSKVSVDESPRKREIGRRCIENSFEQSSRYGVNHSGDTPCKFFSSGNCRNGKQCRYSHDRQACMSPNRRLRDDRSRSNHGGDQVLDRPKVSDSVSHNERLRYDRWSSDGSMADLDEVWDRPKQNDLVGGPGTVKQVENNKNEILGAPELGFTAQPLRDGWDHSLDKNMLHCESPFSSDEKETNCLTSEDTFANIQISQSIGAGIWPGDEEMSPDWKYGVGSSSHLEVKHGENKPQVYPGNHMLNLPVTIHSLSIETIYRASAKPNFHSGVFVLTCHDKSGTNKSADLHIYLIFSTGSLPGQGFNQNAQNVNSSSRHVFVPSQPELSIVRPRVGTVEGMQNKEISTDKKYTVGPDIMDASISQAGLRNPPTQNIVSNEQLAQLTRLTASLAHILGTGQQLPQMYAPVNSHDAKDTSFPGGTEGSGKPVSTTFIKPAPAVGFQKQYDPVCDSIETKNANAWEGPPGFSPIKKIAEDAVEILPLLSNSGRQNLIQLHQGENIEANKENNEVVDEEMQISPCDNKTKKDNGPLGSMDQNGVHDEAKKKDVKGIRAFKHSLVEFIKELLKPTWKEGHITKEDYKAIVKKVSDKVTGTVQRLHIPQTQEKIDHYLSSSKPKLNKLVQGEVVAL >OIW00989 pep chromosome:LupAngTanjil_v1.0:LG12:1105978:1108070:1 gene:TanjilG_16238 transcript:OIW00989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTLVVSLLVMFLASETVFGVDHIVGGSGGWALGNDYSTWASGETFTVGDNLVFNYDSTHQVDQVDASSYKSCSASNSIKNYNDGNSKVPLTTSGTLYFICPTTGHCAGGMKLQLNVVAATSTTTPSGGSPPTTPSSGTTPTPSTPSESGTPPPATPSTKANGAVRVSSGVSHLIGSLFVAAIVFSFMG >OIW00911 pep chromosome:LupAngTanjil_v1.0:LG12:2438764:2440014:-1 gene:TanjilG_06291 transcript:OIW00911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYALRTNPNRLKSCRVCKNCGKEFLSWKSFLEHGKCTSEDAESLVSSRASDDDGGDDDNSVSRRGCGWSKRKRSFRTKVGDNFNKYICPSSEEEDLANCLMMLSNAIVDPLVVEHEEPCASASKDEERRNPMNFIAPLSSRVNHVNNKAKGVANGLFECKACKKVFNSHQALGGHRASHKKVKGCFAARQDNFDDSLPEDDAITHEDFFTTKSNSTLQFDHGSTLPSSSKRKSKVHQCSICHRSFSSGQALGGHKRCHWITSSNALDTSTLARFQQFQDHIEQIPKFDSSSEPLDLKLDLNLPASANELARRNISTEILMQPWVGINNLIKDDDNNNSSHCQNQHQPNQIDNNNNDEENNNNNSNTLMQNDVDNEGDSNVKLAKLSELKDMNNDGSSSPWLQVGFSSTTNVGGEKS >OIW00154 pep chromosome:LupAngTanjil_v1.0:LG12:15991895:15992517:1 gene:TanjilG_29144 transcript:OIW00154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYSSSSTTGSETEERDTRCSVCRGRSDISHPLSPSSQAKKGTGERVSLNRVVRLLLCNSEVRLLCNSGNALFTC >OIW00590 pep chromosome:LupAngTanjil_v1.0:LG12:11225600:11225930:-1 gene:TanjilG_14816 transcript:OIW00590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGLDLDLLCGLCGLALMHGFSGLMCGRALFSLVLMPMLMHLMLMHSLVLMQAISLSQEQGLAAVGLHIIFEVGHIIIGPD >OIW00705 pep chromosome:LupAngTanjil_v1.0:LG12:8854194:8855353:-1 gene:TanjilG_09674 transcript:OIW00705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLSATALGALLGLSTQMYSNALRKLPYMRHPWEHVVGMGLGAIFVNQLLKWEAQVEKDLDKMLEKAKAANERRYIESQVIVNCSDNIVAISVFGLIGKGIEMVLEWNQNSFLDTIIEFPILLNLRQKVVLLQVQLILSVRVVEVDAQIHG >OIW00008 pep chromosome:LupAngTanjil_v1.0:LG12:18516037:18518398:-1 gene:TanjilG_26345 transcript:OIW00008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTPARKRLMRDFKRLQQDPPAGISGAPQDNNIMLWNAVIFGPDDTPWDGGTFKLTLQFSEDYPNKPPTVRFVSRMFHPNIYADGSICLDILQNQWSPIYDVAAILTSIQSLLCDPNPNSPANSEAARMFSENKREYNRRVREIVEQSWTAD >OIW00321 pep chromosome:LupAngTanjil_v1.0:LG12:15402171:15402989:-1 gene:TanjilG_27572 transcript:OIW00321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKLFTNIYLILLAYLISLSSTHANTCRSYCGNITIDYPFAIQYGCGHPGFRDLLFCINDVLMFHVSSGSYRVLDIDYAYNALTLHEPHMSTCDRLVLGSRGNGFTVEPWRAPYMNPTSDNVFMLIGCSPRSPLFQGFPGKHLPCRNVSGMGCEEYYGCPAWDMMGHKRAESSFFGSGPPECCAVAYEAIKGINLTKLECEGYSSAYNVAPLRVDGPSEWTYGIRVRYSVQGSDEFCGACEATGGTCGYGSDGIRQVCICGNFNSTSNCDSG >OIW00611 pep chromosome:LupAngTanjil_v1.0:LG12:10777041:10781525:-1 gene:TanjilG_21826 transcript:OIW00611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRGIKHRMKAKRKNKVSKKGEGSSSLGPQVPVKVWQPGVDKLEEGEELQCDPSAYNSLHAFHIGWPCLSFDIVRDTLGLVRTEFPHTVYFMAGTQAEKASWNSIGIFKVTNISGKKREPVPKIQGDESGMDDESDSEDDSEDEDENGAKGPTLQAISSSSELFISLLSCFRFDLTHIDILFTPAAAKAWSDTGYVQVWDLSTHLNGLAESETDGAQGAAAVFNQDPLFKFKHKDEGFAIDWSPLVPGRLVSGDCKNCIYLWEPTSAATWNVDNTPFIGHTASVEDIQWSPTEPHVFASCSVDGSIAIWDIRLGKSPAASFKAHNADVNVMSWNRLASCMLASGSDDGTISIRDLRLLKEGDSVVAHFEYHKHPITSIEWSPHEASSLAVSSSDNQLTIWDLSLEKDEEEEAEFKAKTKEEVNAPQDLPPQLLFIHQGQKDLKELHWHTQIPGMIVSTASDGFNILMPSNIQSTLPSDGA >OIW00828 pep chromosome:LupAngTanjil_v1.0:LG12:5813072:5813224:1 gene:TanjilG_08267 transcript:OIW00828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EDTLLVQSYINISLDEVVRDDQKSDCFWYQIHDNYNEHRDKNTTKEIQAR >OIW00302 pep chromosome:LupAngTanjil_v1.0:LG12:15240668:15242872:-1 gene:TanjilG_27553 transcript:OIW00302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLDFRRSVHSVKHHSTRLHSDNFQAMHYTNMDENARSYFRCPFCDFEIVIHLSCSNLEEEHCPDLKNLVCPVCEENLGMDAIRQFTHPSSRKWMSKSEKCSFWPGNSAMLGKKLAAMGNTQESITDPLLSPFISNIPVLNTNDIHLGDDSNSSNKDVDIPDAKRSEANAPDMDDEQDLQERRLRVAFVQDLAFSTIFYET >OIW00201 pep chromosome:LupAngTanjil_v1.0:LG12:16330375:16333195:-1 gene:TanjilG_29191 transcript:OIW00201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSNARATYSSLSKIEKENSNHFSNNSMNHYDESKWSEPNALVSKSSNQRIVYQVPSEADGFFDEGYDSSDDANNHSIVPSNMPPEVNLKNVLSGMVAILTGQNKVPVITTSKEVPTSNVSFLGSGKNGDSFIDSSVYTPSAPPLFELSGTDYSSYKEVLEAEPPEWLPDSSTIACMQCTAPFTALTRGRHHCRFCGGIFCRICTKGRCLLPVRFREKNPQRVCDSCYDRLDPLQGVLINTISNAVQVAKHDVMDWTCARGWINLPIGLSMEHEIYKASNTLRNYCQVASSNPEKSIPLAVLKSAKGLAILTVVKAGALFSYKVGTGLVVARRSDGSWSAPSAIFSLGLGWGAQIGGELMDFIIVLHNTKAVKTFCSRMHFSLGAGCSAAAGPVGRVLEADIRAGDRGSGMCYTYSCSKGAFVGVSLEGNVVATRMDTNLRFYGDPYLTTSDILLGMVDRPKAAQPLYTSLQELYSSLCY >OIW00490 pep chromosome:LupAngTanjil_v1.0:LG12:12509491:12510812:-1 gene:TanjilG_27603 transcript:OIW00490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGEALSPMNAKELKSLETKLEKGISRIRSKKEIDLHNNNQLLRAKIAESERSHHNINVLPGVPNYESMEFQQQFDSRGYFQVNGLQPNNQYGRQDHMSLQLT >OIW00434 pep chromosome:LupAngTanjil_v1.0:LG12:13336610:13338418:-1 gene:TanjilG_05784 transcript:OIW00434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRSGVTPNNSTFPFVAKACARLSHLRNSQIIHAHVAKSWFRWNVFVQTSMVDMYIKCGELECAYNVFVDMPMRDIASWNAMLLGFAQHGYVDRLLCLLYQMRLTGIHPDSVTVLLLIHAISHLENPKLVSVIHSFGICAGVCVDVSIANTLVAAYAKCGDLCSAERVFDDIDCYLRSVVSWNSMIAAYANFEKDVKAFYCYEGMLDDGFSPDISTILNLLSSFVQPKALFQGLLIHSHGVQLGCDYDVCVVNTLISMYSKCGDVYSARFLFDGMSNRTCISWTVIISAYAEKGYMDEALTLFNTMEATGEKPDMVTVLALISGCGQTGALELGKWIDKYSIDKGLKNNVVVCNALIDMYAKCGSFNDSRELFCNMANKTVVSWTTMITACALNGDVNDALNLFSMMLDMGMKPNHVTFLAVLQACAHGGLLGRGLEYFNMMTKKYGICPGIDHYSCMVDLLGRRGQLGEALEIIESMPLRPDAGIWSALLSACKLHGKMELGKYVSERLFELEPHVAVPYVEMANIYATAEMWDGVAAIRRKMRYLQVRKSPGQSIIQVNGKSLIFTVEDRDHPETLHIYDMLDDLTSHSKQGLLTHEEIF >OIW00935 pep chromosome:LupAngTanjil_v1.0:LG12:1630479:1630768:1 gene:TanjilG_10013 transcript:OIW00935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLRWMEAMLPLGIIAGMLCVMGNAQYYIHRAAHGRPKHIGTDMWDVAMERRDKKLIDQSTTS >OIW00004 pep chromosome:LupAngTanjil_v1.0:LG12:18492584:18495199:-1 gene:TanjilG_26341 transcript:OIW00004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSIGIMDNAYFVGRNEILNWINKSLHLNLSRIEEAAPGAVQCQLIDMTYPGIVPMHKVNFDAKTEYDMIQNYKILQEVFNKLKIEKHVEVSRLVKGRPLDNLEFLQWLKHYCDSVNGGHMNENYNPVERRGKGGKDRNLKCSLRSSKTNTMSNTGSGNIVSSNRTSGAKQFKPSGAVGRPNPSAEIQALSKEITELKVANDVLEKERGFYFGKLRDIEILCQAPEVEDAPVSVAIKKILYADDAEESALDEAQSYLDQIMNAEAEIED >OIW00977 pep chromosome:LupAngTanjil_v1.0:LG12:958843:974151:1 gene:TanjilG_16226 transcript:OIW00977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGGDEVSAIVVDLGSHTCKAGYAGEDAPKAVFPSVAGAVDHMDIDESDNAEKSSVSAEKDKGKRKLYVGSQSLGYRRDHMEVLSPFKDGIVADWDIVDSIWDHAFRECLLIDPKEHPMLLAEPSSNTQQQRERAAELMFEKYKAPALFLAKNAVLTSFASGRATSLVVDCGGGSTTVVPVHDGYVLQKAVATTPIGGEFLTDCLMKSLESKGIVIKPRYSFRRKEIRPGELQTVDLDFPNTTESYKLYAQRLIASDIKESVCRAPDTPYDESAYSNIPTTAYELPDGQTIEIGADRFKIPDVLFTPSLVQTIPGMESFAEIAPTVRGLPQMVIDSINKCDVDIRRELFSSILLAGGTASMQQLKERLEKELLEESPHAARVKVLASGNATERRFSVWIGGSILASLGSFQQMWFSKTEYEEHGASYIQRRCP >OIW00305 pep chromosome:LupAngTanjil_v1.0:LG12:15259846:15260223:-1 gene:TanjilG_27556 transcript:OIW00305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKIITIISFLAALTASYSVYALHNHKAEGEGFFYVAPALPLFEVAVTVVVAIVLILAFRATVMTWITVLVLLAFAGNRRKVLVQRGRRITLDVTWYLVSVMFRSQKGLLALACATLLSFLATYR >OIW00003 pep chromosome:LupAngTanjil_v1.0:LG12:18486588:18488351:1 gene:TanjilG_26340 transcript:OIW00003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSESAATMVEKSKNRSSPCKKNQGKVPKRIHKAEREKMKREHLNERFLDLANALCAFFSSLVFSPLSHIFCGDGGGTDLNEQNNGKASILCEAARLIKDLVCQIESLKKENVSLLSESYYVDMEKNELKEESSVLEAQIEKLHGEIQAKVAQSKPDLNAPPSLELELQAQTNYPHQSLQLPSIEPTLPQGPAVLVVPFRPDLQAVFSAPNVAELAPKPASVVSKPHARYPTPADSWPLQLLLGEQPTSS >OIW00824 pep chromosome:LupAngTanjil_v1.0:LG12:5485852:5486355:1 gene:TanjilG_08263 transcript:OIW00824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHFLFISLLLANIFLGLAIATNEMEIIFQSLSAPSSNPPAQSPSSDKRTITDEPSIGRKHGKHQQHKHESTSSTPIPSPSEAPQIEKKMHASSEGSIPNHQKTSVEPLEEVLGSQGQAHFVKQHHHSYDKSIAGGGVILGGLATTFLVTVFCYIRATGRHKSEIIA >OIW00412 pep chromosome:LupAngTanjil_v1.0:LG12:13094230:13095069:-1 gene:TanjilG_05762 transcript:OIW00412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGSIAEIVPEKSGTAIYALDRSLESILASFAPPVVGVLAQHVYGFKPIPKGSSESIQIETDRGNAASLAKALYTAIGLPMAICCFIYSFLYCTYPRDRERARMVALVESEMQQLEVEDSIKEESCEVHLSESNVMNGKFDIDYPEHRLG >OIV99843 pep chromosome:LupAngTanjil_v1.0:LG12:17430193:17443678:1 gene:TanjilG_26181 transcript:OIV99843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIYESEKALRKHQGVETSRLPLVLAEKNNAIPTRRSATREVRSRYKSPTPTPASLSGTRRCPSPNITRPTTPTSSKLLHKRPLSAERKRPATPPSPPRASTPVHDSFVDVHLSSRRVAGSHLPEGLWPSTMRSLSVSFQSDTVSVPVSKKEKPVTTASDCTLRANSNVAHKQVETPNVRKPTPERKRSPIKGKNASDQSENSKPVDRLHSRLIDQHRWPSRIGGKVSSNSLNRSGVDHADKTIRMLNTSYLETGLPSRRRLTLSDEASNPLSASSLRRFSLPGEASKPLQGSTQRRLSLPGEASKPLQKASSNAASLSMLVESGRVGSKVKSVDDISQVSRLHKSVSATLSDKRGLAIAGVRSLSLSSTGSCLPSPSKTSMLSSSISRGVSPARSRPSTPPSRGVSPSRIRPASSPCLSNNPISLLSFITDVKKGKKGAAYIEDAHQLRLLYNRHLQWRFANARAEDVIYIQNAIVERTLYNVWNTSLSIWESIIRKRINLQQLQLELKLKSILNDQMAFLDDWAVCESEHVDALSGAVEDLETNTLRLPVTRGAKVDIEDLKVAICSAVDIMQAMGSAICPLLSQVEGVDNLISDVAVISANEKDMLDECEALLTSAAAMQVEENSLRTHLMQRKQALEMINGELFLSKVCGVAPISRNSLLSLISKACTLPHLTQTHAQIILNGFQFDLATVTKLTQKLFDLRATRHARSLFFSIPKPDIFLFNVLIRGFSLHASSSISLYTHLRTNTNLNPDNFTYAFAINAASRFADEGHGMLLHAHAIVDGMASNPFVGSALADLYCKFSRVGYARKVFDKMSDRDTVLWNTMITGLVRNCCYQSSFEVFRDMVADGVSLDYTTVATVLPAVAEMQELGVGMGIQCLALKLGFHFNAYVLTGLISLYSKCGDVDTARRLFRMIRKPDLVSYNAIISGFTGNGETECSVKLFNELLGSGERVSSSTMVGLIPVSSPFGHLHLACCIQGFCLRSGTISNSSVSTALVTVYSRLNEIDLARQLFDESPEKTVAAWNAMISGYTQNGLTETAISLFQEMMTTDFAPNTVTITTTLSACAQLGALSFGKSLHQLIKSKNLETNIYVLTALVDMYAKCGKVSEAWQLFDSMSEKNTITWNTMIFGYGLHGYGHEALKLFNEMLHLGFHPSSVTFLSVLYACSHAGLVREGDEIFHHMVNKYGIQPLTEHYACMVDILGRAGQLEKALEFIRSMPVEPGPAVWGTLLGACMTHKDTNIASIASERLFELDPGSVGYRVLLSNIYSVERNFPKAASIREGVKKRKLAKTPGCTLIEVNGTPHVFVSGDRSHSHATAIYAKLEKLTSKMREMGSLSFEHEKVNSYPEQGAGDSRFEGRERVRYTRDQLLHLREIVEIPDDVLKIKQGIEAELFGEDQSWGRSENNPPNPSQNRYTEPDKRDWRGRSAQLPANADERSWGNLKENRDFGNNNHQDQLNSQFGRAQFFSNQGGGPTPTLVKAEVPWSARKGTLSDKDRVLKTVKGILNKLTPEKFDLLKGQLIDSGITSADILKGVISLIFDKAVLEPTFCPMYSLLCSDLNEKLPPFPSDEPGGKEITFKRILLNICQEAFEGADNLREELRQMTAPEQELERRDKERLVKIRTLGNIRLIGELLKQKMVPERIVHHIAQELLGPPDSNVCPAEENVEAICQFFTTIGKQLDESPKSRRINDMYFGRLKELGTHPQLVPRLRFMVRDVIDLRANNWIPRREEVRAKTITEIHSEAEKNLGLRPGATANMRNARVASSVQGNTSLGGFPISRPGTGGLMPGMPGRGMPGLDNDNWEIPRTKSISRGDMSGTQTAGRGQPPFLSKPSATVNSKFLPQGSGGLSSGRNSALVHGGGVAPSAYHSNFVVATEPAPQIPSSVKPVAAVPTEVSKAPAAKLNSDVLRKKTVSLLEEYFSVRLLDEALQCVEELKSPAYYPELVKEAISLALDKSPPCVEPVANLVEYLFIKKIISARDIGNGCLLFGSMLDDIGIDLPKAPYNFGEIIGKLVLAGSLDFKVVRDILKTVEDDRFQKAIFDAAVQVINTASGQSVLDTQASDIEACRSVFN >OIW00306 pep chromosome:LupAngTanjil_v1.0:LG12:15261172:15261618:1 gene:TanjilG_27557 transcript:OIW00306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTRFKKNRKKRGHVSAGHGRIGKHRKHPSGRGNAGGMHHHRILFDKYHPGYFGKVGMRYFHKLRNKFYSPIVNIDKLWSLVPQEVKDKAAKEKGKAPLIDVTQFGFFKVLGKGVLPENQPIVLKAKLVSKIAEKKIKEAGGAVILTA >OIW00555 pep chromosome:LupAngTanjil_v1.0:LG12:12339583:12343280:1 gene:TanjilG_24285 transcript:OIW00555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIHYSQSYFERRPIIKSKAPAVKWVKEWVPQDVVATGGKCLLLRWVTEDTLKALKEKEKEPSVPQTEPEPNTEVLFLCSYEGCGKTFIDAGALRKHSHIHGERQYVCHYEGCGKKFLDSSKLKRHFLIHTGERDFVCPHEGCGKAFSLDFNLRSHMKTHSQENYHICPYTDCGKRYAHEYKLKNHIASHHGKNPSVDVTPVSHVTKYTPASEKQTKNKHSGGAYGSTSSDRPYACPYEGCEKAYIHEYKLKLHLKREHPEHNEHAQANADNEIDDEASDQDAYSGGKRSNGKIQKQSKPKPNLKLPPSKVAKRKGSSTPSIASLAARAKKPWPLKQETYDEEDSEETEEDRDNVEDGWRYAGHNEEDDEETEYED >OIW00614 pep chromosome:LupAngTanjil_v1.0:LG12:10809104:10814245:1 gene:TanjilG_21829 transcript:OIW00614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSTKMSFLGDGGSKSMWLKWWEKICGCKVHQHYYQYIWSQKVKRSLWKKLLVSWVVGWTMVSLWIFCSMSLQATEKRKETLASMCDERARMLQDQFNVSMNHIQAMSILISTFHHAKSPSAIDQRTFAKYTERTAFERPLTSGVAYAARVLRSEREQFEKQQGWTIKRMDTVGEKQVQKDDYDPEKLEPSPIQEEYAPVIFAQDTLSHVISVDVLSGKEDRENVVRARESGKGVLTAPFRLLKTNRLGVILTFAVYKRDLLSNATPNERIQATNGYLGGVFDVESLVEKLLQQLASKQTVIVNVYDITNHTHPIAMYGSNASGDTFYHVSTLNFGDPFRKHEMYCRFKQNPPWPWLSITTSIGILVIALLVGHIFHATVNRIAKVEDDYHEMMELKKRAEAADVAKSQFLATVSHEIRTPMNGVLGMLHMLMDTDLDVTQQEFVRTAQGSGKALVSLINEVLDQAKIEAGKLELEAVLFDLRAILDDVLSLFSEKSQEKGVELGVYVSDQVPELLIGDPGRFRQIITNLMGNSIKFMDKGHIFVTIHLVEEVVHSIEVDKESTSENTLSGFPVADSRRSWEGFKAFSQEGPLGSFSSSSGDLINLIVSVEDTGEGIPLEAQHHIFTPFMQVGPSISRKHGGTGIGLSISKCLVHLMNGEIGFVSIPKIGSTFTFTVVFTNGLPNSNDCKTQQIINQPHPASSEFEGMTAMIIDHRPVRAKVSRYHIQRLGIHVEMVSDLNQGLSNVANANIVINMILIEQEVWDRDSGMSSHFVNNISKIVHAVPPKIFILVNSSRSRASAVISSVHDPTIITKPLRASMLAASLQRAMGVRNKGAHRNSDFPSLSLRHLLRGRRILIVDDNSVNRMVAAAALKKYGAEVVCVSCGKEAISSLKPPHQFDACFMDIQMPEIDGFEATRTIRDMELGVNSEVSVDDFDNVPNWHVPILAMTADVIQATQEECLRCGMDGYVSKPFEAEQLYIEVSRFFQPS >OIV99919 pep chromosome:LupAngTanjil_v1.0:LG12:17956210:17957867:1 gene:TanjilG_26257 transcript:OIV99919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGRVQGVEYGHKDIVISSSGMKFEWGSKEANVHSTGLFASVGQAGFGFGISRNPPTAIDSSTKFPLPTPSMTYTLMPEAGFRTTWFQGLLSREALEVVQEGLGMKKILMMGFKLKFKIGNPSLRRLVSGAIAGAVSRSVVAPLETIRTHLMVGSSGNDTIQVFKSIMENEGWKGLFRGNFVNVIRVAPSKAIELFAYDTVKKQLSPKPGEQPKIPIPESLVAGAVAGVSSTLFTYPLELLKTRITVQRGVYKNLLDALVSIVRDEGPAELYRGLTPSLIGVIPYAATNYLAYDTLRKAYKKAFNKEEVGNVMTLVIGSTAGALSSSATFPLEIARKHMQAGALNGRQYNNMLHALMSILEKEGLAGLYRGLGPSCLKLVPAAGISFMCYEACKRILVENEQS >OIW00775 pep chromosome:LupAngTanjil_v1.0:LG12:7181028:7184970:-1 gene:TanjilG_22274 transcript:OIW00775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSQKISFTISIVLLIVATVSHAETSLEVEIEALKAFKNSIIHVPNEALSDWVDSHHHCNWSGIACDKSTQHVTSVSLSGLNLQGHVSPFLGNISGLQVLDLSSNLFTGHVPVELSFCTQLSELYLFENSLSGSIPPELGNLKNLQYMDLGSNFLNGSIPDSIFNCTSLLGIAFNFNNLSGTIPSNIGNLVNLTQIAGFGNNFVGSIPISIGQLGALQSLDFSQNQLSGEIPADIGKLTNLIYLLLFTNSLTGKIPSELGQCKKLVYLELYENQLSGSIPNELGNLVQLEKMRLYTNKLNSTIPSSIFKLKALTHLGLSENNLEGTVSSDFGSLSSLQSLTLHLNKFTGNIPSSITNLTNLTYLALSQNLLSGEIPSSIGMLHNLKHLVLNINDLHGSIPPSITNCTSLVNVSLSSNALTGKIPDGFSRLPNLTFMSLSTNKLSGEIPDDLFNCSKLSTLSLGQNNFSGSIKSGIGNLFNLQRLLLNTNSFTGPIPPEIGSLSKLVTLLLSENKFSGQIPPELSKLSLLQGLSLDDNVLEGTIPDELTEMKGLTRLLLNQNKLVGQIPESISKLEMLSYLDLHGNKLNGSIPRKMGKLDLFLDLSYNQLTGSIPGDVIAQFKDMQMYLNLSHNQFVGSVPPELGELEMIQEIDISNNNLSGVIPETLSGCRNLFNIDFSGNNISGHIPEEAFIHMDLLQNLNLSRNHLEGEIPEILTGLEHLSSLDLSSNQLEGPVPTSGIFAHINASSIMGNPDLCGTRFLRPCRETTHHSLSKKSIPIIAALVSLAFILLLVFVILMLTRRAKLFHSKQSVNGESYDPQYSIALALKRYSPKELEIATGFFSTDKVIGASSLSTVYKGQLEDSMIVAIKRLNLHHFSANTDKIFKTEASTLSQLKHRNLVKVLGYAWESGKMKALVLEYMENGNLDNIIHDKEVDQSRWTLSERLHVFISIASGLDYLHSGYGFPIVHCDLKPSNILLDRDWEAHVSDFGTARILGLHLQDGSTLSSSAALQGTVGYLAPEIAYIRKVTTKVDVFSFGIIIMEFLTRRRPTGLLEEDGVPISLREIVAKALAGGMEQLVKILDPMLTWNVTKDEVDIFVELFKISLCCTLPNPEDRPNMHDVLSTLMKLKAAAAASRIGLISC >OIW00713 pep chromosome:LupAngTanjil_v1.0:LG12:8963595:8967087:-1 gene:TanjilG_09682 transcript:OIW00713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFPKPASESDLFNLNSNVAFPYARNSLPRYSMPESSMPKEAAYQNIHDELQLDANPKLNLASFVTTSMEEECNKLIMESINKNYVDMDEYPVTTELHNRCVNMIARLFNAKIGEDESAIGAGTVGSSEAIMLAGLAFKKKWQNKRKAEGKPYDKPNMVTGANVQVCWEKFARYFEVELREVKVSEGYYVMDPAKAVEMVDENTICVAAILGSTYNGEFEDVKLLNDLLLEKNKQTGWDTPIHVDAASGGFIAPFLYPELEWDFRLPLVKSINVSGHKYGLVYAGIGWVIWRTKEDLPEDLVFHINYLGADQPTFTLNFSKGSSQIIAQYYQLIRLGQEGYRSIMENCRENAKVLREGLEKTGCFNILSKDNGVPVVAFSLKDRSCHDEFKISRMLRRHGWIVPAYPMPPAAQHINVLRVVVRAEFSRILAERLVFDITNVLHELEKHPPKYNAKITNIVKEEKAMDDDNGVKMSALDAHREIIAQESNKRPKILAA >OIW00406 pep chromosome:LupAngTanjil_v1.0:LG12:13061360:13063727:-1 gene:TanjilG_05756 transcript:OIW00406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLTLNLSLSSSSSSSSSTLSFPPKPLFLSKSSFNGIQLRHRTVLCSIPSTKRTASVSVVMMAKREQEMEEIRKLSTEQINEEVVDLKGDLLMLRLQKSARNDFKSSEFSRMRKRIARMLTVKREREIEEGINKRLSRKLDKKWKKNIVVRPSPLLLKLRAEEAAEEAAEAEKAA >OIV99926 pep chromosome:LupAngTanjil_v1.0:LG12:17989129:17991717:-1 gene:TanjilG_26264 transcript:OIV99926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEDLIKRNTDCVYFLASPLTCKKGVECEYRHNEVARLNPRDCWYWLSGTCFNPTCAFRHPPLDGHTGTPSEPTQSPLPTNKTAVPCYYFFNGFCIKGDRCSFLHTPDDSFYTVKPMKNDNGSTDALILENKTSSANKTGEASTPTESHSDPALTALSRFKVQHKEDLLRLSLPKNVKPQDDCLGISSFEYKEATVTRPDSLSRGEGFVHSISHFCSEQSSDEQVNCQREPEERWESSPGFDVLVHDGLENLGYEDDSEYLPVLDREHQELDEQYLGYEFKSPDECDTICPGADILYGQEMYDGYRCLDRDLIHVDGRKVCAYSREIFLDSILSRKRIRMLPDRANCGRDLDLRDHLRRRKEINVPPATGFLRRYESSFVVRSQERQQGYGIDQRPSRRLTSQLGFRTVESIGEVETLLAVNRRRLFKHSKQQHRPREHYREKLAKQHFLSSRKPVLKQRNSIHESTTFSGPKTLAEIKEEKKKKTEESLHCRSTSADFKDPKPLSEILKDKRSIDQVRDGNTYNN >OIW00811 pep chromosome:LupAngTanjil_v1.0:LG12:6096931:6100717:-1 gene:TanjilG_08106 transcript:OIW00811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSSLSLSQSLLTKPTSHRSPSLSPTHLSLPTHHLTTTRRRITTLHHRRITPIRASAAVETLNKPTADLSLVEKSVNTIRFLAVDAVEKANSGHPGLPMGCAPMGHVLYDEVMRYNPKNPYWFNRDRFVLSAGHGCMLQYALLHLAGYDSVKEEDLKQFRQWESRTPGHPENFETPGIEVTTGPLGQGIANAVGLALAEKHLAARFNKPDNEIVDHYTYAILGDGCQMEGIANEACSLAGHWGLGKLIAFYDDNHISIDGNTEIAFTENVDSRFEGLGWHVIWVKNGNNGYDDIRAAIKEAKAVKDKPTLIKVTTTIGFGSPNKANSYSVHGSALGAKEVDATRNNLGWPYEPFHVPEDVKKHWSRHIPEGAALEAEWNAKFAEYEKKYKEEAAELKSLISGELPAGWEKALPTYTPEIPADATRNLSQQNLNALAKVLPGLLGGSADLASSNMTLLKTSGDFQKNTPAERNIRFGVREHGMGAICNGIALHSPGLIPYCATFFVFTDYMRGAIRLSALSQAGVIYVMTHDSIGLGEDGPTHQPIEHLASFRAMPNILMLRPADGNETAGAYKVAVLNRKRPSILALSRQKLPNLPGSSIEGVEKGGYIISDNSTGNKPDVILIGTGSELEIAAKAADDLRKEGKAVRVVSFVSWELFDEQSESYKESVLPAAVLARVSIEAGTTFGWEKIVGSKGRAIGIDHFGASAPAGKIYKEFGITKEAVIAAAKELI >OIW00376 pep chromosome:LupAngTanjil_v1.0:LG12:12761200:12762555:1 gene:TanjilG_05726 transcript:OIW00376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRILSWLDTCSSPTCARATNQSTMINIPQKQQHASDTSSSSTPTTSSQESNGNLNSNNSILNHPSLHSLQNLSLKTLNFSVSHNCLTSLNPNPSSPVTSLAVHGHLLYVATGHQITVYDNNTFTNLHNFNSQATSSGSTKTITFCNGMIFTTHQDSKIRVWHHTPHKSNLHRMLTTLPTANDRLRRFLLPKNYVTIRRHNKRLWIEHADAVTGLAVNNTNGVIYSVSWDKTLKIWRVSDLRCVESVKAHDDAVNAVAVSNDGTVYTGSADKRIRVWARPNNEKRHVLLATLEKHESAVNALALNDDGSVLFSGACDRSIIVWEREDSANHMVVSGALRGHEKAILCLINFSDLLLSGSADRTVRIWKRGFNEQFCCLAVLDGHRKPVKSLAAIPYQNDDDQSNSSTRNGDVFAVFSGSLDGEIKVWQVSIERLKKLHESTTISNTESLEKE >OIW00620 pep chromosome:LupAngTanjil_v1.0:LG12:10482083:10483761:1 gene:TanjilG_09101 transcript:OIW00620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIELISREVIKPSKPTPSYLNTHSLSFIDCVVGRNYVPLVYFYPNKASEYDDNKNQETITKLSTLKKSLSEVLSIYYLFAGTIRDQLSIECNDQGVLLLVTRIKSKVSDILKNPSEALLNPLFPDDLPWKVMGSSESILAIQINCFECGGIAISVCMSHKVGDGSTLFNFVNDWATLARKPDEEGGLPFPPELDAGASVIPQSDLPIFPERSFVKQNTLCRRLVFEGSKIESLKAIVSSYKVENPSRVEIVTALIYKHALSSLKLSNNTPLRVAVNLRKRMVPPLPQKSIGNWVWSFPVPRENEETELHELVTKIREGLHDFCDKNVKHFRNLSFVSEFLKQITSLPTKKEVTYVKPITLFFFASWCNLPTYEVDFGWGKPIWVTSIGSPVKNSVVLMDAKDGNGIEALVNMQEHDLAKFESGMEILQYASVNPIMV >OIW00218 pep chromosome:LupAngTanjil_v1.0:LG12:14212544:14213518:1 gene:TanjilG_27469 transcript:OIW00218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVSIGIPSCFSFVKKASDAVVQGLVTRPGQTIHMYVYRTKVADKCRLITITWCKNSSLRGLSVSVENPEGETQNSCKVELKPWYFWRKQGSKRFIADGKLIDCFWDLKTAKFNDETEPIRQYYVAVVCDQKIVLLVGDLWNEACRRIGFKPALFEPILVAKKEHKLWKRKFSTNARFHEQGRLHEISVECKNRKSGDLSPVSVVQPELEIRVDGNLVIHVKNLLWKFRGNESVILNMMRVEVYWDVHDWLFSPGLKHALFIFRPIFSSPSTASSISSFSSSSSSPSTPTSTQTENSDSVEGLSNVTGGISSEFSLFLYAWKVE >OIW00666 pep chromosome:LupAngTanjil_v1.0:LG12:8145523:8149639:-1 gene:TanjilG_09635 transcript:OIW00666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYEKELAAAKKAATLASLQRVLLQSDVHSKSDKSPVTVADYGSQVLVSFVLERELPSVPFSIVAEEDSGDLRKESGQDTLKRITDLVNDTLANDGSYSVSPLTTEDVLRAIDNGKSDGGSVGRHWVLDPIDGTKGFVRGDQYAIALALLDEGKVVLGVLACPNLPPTSIGSNQLHSSNGVGSLFFAKVGHGAYTQALDGSTETRVHVTDIENPEEASFFESYEAAHSSHDLSSSIAEKLGVKAPPVRIDSQAKYGALSRGDGAIYLRFPHRGYREKIWDHAAGCIVVSEAGGIVMDAAGNPLDFSKGKFLDVVAGIIVTNQKLMPSLLKAVKEALNEKASSL >OIW00621 pep chromosome:LupAngTanjil_v1.0:LG12:10473978:10477415:1 gene:TanjilG_09102 transcript:OIW00621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKQMLGKLPRKPSKSSDNPEFGDSSAPSSITSGTRAVDVVTGEPVMSNSASLAGSDAASRSAYGNALSTPYEALPRFREVPNSDKQSLFIRKMKLCCVVFDFTDSAKNIKEKEIKKLTLLELVEYITSTNGKFTETVMQEAIKMISVNLFRSLNPQPRENKVLEAFDMEDEEPLVEPSWCHLQIVYEFLLRFVASPETDAKLAKRYIDHSFVLRLLELFDSEDPREREYLKTILHRIYGKFMVHRPFIRKSINNIFFHFIFETEKFNGIAELLEVLGSVINGFALPLKEEHKLFLVRALIPLHKSQCLAMYHQQLSYCIAQFLEKDSKLADTVIKGLLKYWPITNSSKEVMFLTEIEEILEAIQPVEFQKCMVPLFRRIAHCLNSSHFQVAERALYLWNNDHIESLIQQNRKVILPIIFPALERSGRAHWNQVVQSLTLNVRKIFSNADPELFEECLDNFQEDEAKLKEKTKHEATWKILEEIAASKVASNEAILVSTDVSTLTFSR >OIW00827 pep chromosome:LupAngTanjil_v1.0:LG12:5798027:5808985:1 gene:TanjilG_08266 transcript:OIW00827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVNGDVEHEFAPQKKKPPSEDEKRKKKIVPGSLMKALVRPGGGDSGPSDGDQVIYHCTIRTLDGVVVESTRSDYGGRGTPIRHVLGKSKMLLGLLEGIPTMLKGEVAMFKMKPQLHYGEDNCPVSAPDRFPKDDELHFEIELIEFFKAKARMTVFFLFSLSILHVLVVSDDLGVVKKVIREGQGWESPREPYEVKAWISAKTVTGKLIISHTEGEPYSFTFGKSEVPKGLEMGIGTMVREEKAVIFVTSQYLTQSPLMPVVEDYDEVQFEVELVHFIQVRDMLGDGRLIKRRIRDGKGDFPMDCPLHDSLLRVHYKGTVLNERNRVFYDTRVDNDGQPLEFCSGEGLVPEGFEMAVRLMLPGEIALVTSPPDYAYGKFTRPANVPEGAHIQWEIELLGYEMPKDWTGLDFKSIMDEAEKIRNTGNRLFKEGKCELAKTKYEQVLREFNHVNPQDDEEGKVFANTRNLLHLNVAACLLKLGDCRKSIETCNKVLEANPAHVKGLYRRGMAYMVSGDFEEARADFKMMIKVDKSTESDATAALSKLKQKEQEVKMKARKQFKGLFDKKPGEIAEVKADEDGDQKTSENQRDSEVHGDSDATNLQDSHESAPNLRPRGWFSPVFWFFLFSLGLVLSLLAYWQKSIFIFFSAS >OIW00169 pep chromosome:LupAngTanjil_v1.0:LG12:16093017:16095439:-1 gene:TanjilG_29159 transcript:OIW00169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAMANLAKRKGFFVLSSESIRYSLSLRGFASGSGSDENDVVIIGGGPGGYVAAIKASQLGLKTTCIEKRGTLGGTCLNVGCIPSKALLHSSHLYHEAKHSFAHHGVKVSSVEVDLPAMLAQKDKAVSNLTRGIEGLFKKNKVNYVKGYGKFVSSSEVSVDTIDGGNTVVKGKHIIVATGSDVKSLPGVTIDEKKIVSSTGALALSEIPKRFVVIGAGYIGLEMGSVWGRLGSEVTVVEFASEIVPTMDAEIRKQFQRSLEKQGMKFKLNTKVVGVDTSGDGVKLSLEPSAGGDQTILEADVVLVSAGRTPFTSGLGLDKIGVETDKVGRILVNERFSTNVSGVYAIGDVIPGPMLAHKAEEDGVACVEYIAGKVGHVDYDKVPGVVYTNPEVAYVGKTEEQVKALGIEYRVGKFPFMANSRAKAIDNAEGLVKILAEKETDKILGVHIMASNAGELIHEAAIALQYDASSEDIARVCHAHPTLSEAVKEAAMATYDKPIHI >OIW00316 pep chromosome:LupAngTanjil_v1.0:LG12:15349898:15353039:1 gene:TanjilG_27567 transcript:OIW00316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKATLRYLTGIAGPSGFGSNSTAEQVTQHCFSFLPSHLTAIITGGSSGIGAETARVLAKRGVRVVIAARDLKKASEVRENIQKESPKSEILLLEIDLSSFASVQRFCSEFLASELPLNILMTFFVNEDATREDDRYCKEYSYNGTRAYAQSKLANILHVKEMDRKLKTRNARVTINAVHPGIAKTEIIRAHKGLITDSVFFIVSKLLKSASQGASTTCYVALSPQTEGVSGKYFTDCNENNCWGLANDELEAQKLWNNTHALLHRQPHQTAS >OIW00908 pep chromosome:LupAngTanjil_v1.0:LG12:2394092:2404255:1 gene:TanjilG_06288 transcript:OIW00908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGFLMVISLDCTKLELLDEEVDKSSGKPKEKPGICNRKKKGRTRNTKRQNPVPKICMDGISRENPPKDIDYLVDNKMKDDLAASGELPDVPLGKEIAMRSSSSTIKMDPTRGLGTAKLQTTSRRSRKQKTKSKNILVSSEVGDSQKSIMDFVSTTALPEGEVAISDRHFDSSTIQYIKNDNSIDNDILASNSNLCTSLSGPTKETSSTRKVEGENVEDLPESLGPQFCLLSNERKTLSSGIDSLTCDADNNKVTPAPALMRGALFINEDSSPVNSICAAEAGAKSTVPDKPIREVHVKESAVLMGQDRCQFESRNSALTKCIPYEWPGVPSIYFPSINSHLPPATDRLHLDAGRHWHNHFCHSFVPTLQQARNTPIEGGCNRILSQSIPMSLDWPPVFHGGMAPSPNCNYDSGFISRRQCTFSKGLAVHNVQVDATTPDDERKYSGDIFDLPDLTNAQELADEFENHWVSEEEYEVHAVSGLDCNQYFGGGIMYWNPSDYRGTGFSRPPSLSSDDSLWALREADMTRTVDDMVAFSSSYSTNGLTSPTAATFCSPFDPAGTGPQTVSYVMSGNEVSGKVLHSSSVTDAGLDEDASGSLGSNLAGDVEGKGSDSHPYPILRPIIIPSLSRDRSRSDFKRSVDHKSPCVPPTRREQPRIKRPPSPVVLCVPRAPRPPPPSPVSDSRKQRGFPTVRSGSSSPRHWGMRGWYHDGSNMEEACLRMEGAEVVWPSWRGNNLKVRPMVQPLPAALLQDRLIAMSQIARDQEHPDVTIPLQPPELQSFSSQSASLSLMHGLLHDEIDSFCKQVAAENMARRPYINWAVKRVTRSLQVLWPRSRTNIFGSNATGMSLPTSDVDLVVCLPPVRNLEPIKEAGILEGRNGIKETCLQHAARYLANQDWVKSDSLKTVENTAIPIIMLVVEVPQDVITSLAPMVQSLKEEPHSTPGRHGNESHSDIIQLEDPSFPKSSQINSDAPKDSKSVRLDISFKSPSHTGLQTTEMVKELTGQFPAATPLALVLKQFLADRSLDQSYSGGLSSYCLNYGSLLMDFLYFFGNVFDPRQMRISVQGSGLYIKRERGCSIDPIHIDDPLFPTNNVGRNCFRIHQCIKVKIPS >OIV99975 pep chromosome:LupAngTanjil_v1.0:LG12:18304570:18307191:-1 gene:TanjilG_26313 transcript:OIV99975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWCVCKDGSDATLQKTLDYACGAGGDCNPLHQNGPCFQPNTLKAHCSYAVNSYYQKKGQAPMSCDFAGTATVTATNPSSSSGCAYPSSASTTGTSTTPVSTTPTMGSNSSTTSTTPHGTTPGVLGGIGTGMGPSGSGNDESYGGLRLVHTSLLSPFSIVLFSGFIMVWWG >OIW00625 pep chromosome:LupAngTanjil_v1.0:LG12:10438923:10444695:-1 gene:TanjilG_09106 transcript:OIW00625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLSQKHRPIDFYKEQQQDPTTRDMIIDLVVSNNNHFPPPNHHHHHIILADSSGDEPEQPEIRAPKKRAETWVQDETRTLIALRRERDILFNASKSNKHLWEQISSKMKGKGFDRSPTMCTDKWRNLLKEFKKAKHRDRRGTGSGAKMSYYKEIEDILRERGKSVQYKTHCNHKVDSFMQFVDKGMEDTSISFGPVEATGRPTFNLERRLDHDGHPLVLTTAADAVGANGIPPWNWRETPGGGEEGQSSCGRVISVKWGEYTRRVGIDGAPEAIKEAIRAAFRLRTKRAFWLEDEDQVIRSIDRDMPVGSYTLHLDEGMAIKVCLYDESDHIPVHTEDKIFYTEDDYHDFLTRRGWTCLREFDSFRHIDTLDDLRPGAMYRGVSLSPGTL >OIV99832 pep chromosome:LupAngTanjil_v1.0:LG12:17346899:17348819:-1 gene:TanjilG_26170 transcript:OIV99832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEIELKTAPADFRFPTTNQTRHCFTRYIEFHRCVAAKGDDSSECQKFANYYRSLCPGEWVEKWNEQRDNGTFPGPL >OIW00783 pep chromosome:LupAngTanjil_v1.0:LG12:6587983:6590181:-1 gene:TanjilG_19588 transcript:OIW00783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSDKSPDPTPAKGNDPTPPGEPMTMGQHFIDKSAMMVQSLKPIKQISQHVCSFAIYSHDMSRQIETHHYCSRLNQEFLQCAVYDSDQNNARLVGVEYIVSDDIFETLPPEEQKLWHSHAYEIKSGLLVTPRVPEMIAMPELENIAKTYGKFWCTWQVDRGDKLPLGAPSLMMSPQAVSPGLVRPDLVHERDTKYNISSESMKSSRLEIEEPEMISPMADYWKQHGQGFAIDFVETQMKVKAPFP >OIW00978 pep chromosome:LupAngTanjil_v1.0:LG12:976495:981098:1 gene:TanjilG_16227 transcript:OIW00978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPRTVKRGGSASGRKRGGRGTPTKASQKDQHEEVVKVEEEKLVIEEEPKVEDKSIDMNQKVAEADEEQHVGHVFDELPVVKNDEEAVKESIDEYEKDEQLDLEDNDPEYEPEEYGGVDYDEKEIEPDEVHEVEEDVEVEPEENVGEEEVDTGDEEVEYVHDVLEGEEDDEHAGEEHEHAQMDDVEEEHREVVKERRKRKEFEVFVGGLDKDATEDDLKKVFSVVGVVTEVRLMMNPQTKKNKGFAFLRFETVEQAKRAVAELKNPVINGKRCGVTPSQDSDTLYLGNICKTWTKEALKEKLKHYGVTNVEDVTLVEDSNDKGMSRGFAFLEFHSRSEAMDAFKRLQKRDTVFGVDKPAKVSFADSFIDPGDEIMAQVKTLFIDALPPSWDEDYVRDLLKKYGEIEKIELARNMPAARRMDYGFVTFGTHEAAVRCAESITGTELGEGDKKAKVRARLSRPLQRGHGRHVSHGDYRSSRGSGLMRRPSWSRPAPRSFPPPRGVRGNGSRLPPVRPISMRDRRPVMSIPVRSRPVDPPARSYDSRQAAPAYPRSSIKRNYSRHEDLLPPRRVAADYGSRVVSERRPSYRDYPARGPSYSDLPRSTSHAAPRRGYVDDGYGQRFERTPPPPPSHSSYREGRPRDYDTLSGSKRSYAAIDDVPPRYADTGARQSRARLSYEYDGTASQYGDAYGDRLGRSSLGYGSSSRSSISGQDSHGMYSSRQGMSYDGGSFGGSDVGGGMYSSSYGGDYISRGSDVGGSSYSSTYSGRGTGSGSSYMGGGGSGSYY >OIW00188 pep chromosome:LupAngTanjil_v1.0:LG12:16241651:16241890:1 gene:TanjilG_29178 transcript:OIW00188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGNKSSSFSLCGMFKSCFSSGSKDYDYYYEDNGRRIFASDEDRGRWIAEPGIDNKASAFIAKFYANRVTDSEHQFASS >OIW00006 pep chromosome:LupAngTanjil_v1.0:LG12:18504489:18505559:1 gene:TanjilG_26343 transcript:OIW00006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRRSRSSSNISEDQINDLVSKLQQLLPEIRNSQRRSDKVSSAKILQETCNYIRSLHREVDDLSERLSELLATTDTAQAAIIRNLLLQ >OIW00962 pep chromosome:LupAngTanjil_v1.0:LG12:2277838:2280723:-1 gene:TanjilG_10040 transcript:OIW00962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGDLLHINPQELQFPFELRKQISCSLQLSNQTDKYVAFKVKTTNPKKYCVRPNSGVVLPRSTCDVIVTMQAQKEAPPDMQCKDKFLLQGVVASPGVTTKDVTPEMFNKESGHDVEECKLRVVYVPPPRPPSPVQEESDEDSSPHASVSENGHSITSEFTAASKAFSERVGHQDTSFEARSLISKVTEERNSVIDQNKRLRQEVELLRREANRSSGGIPFIYVILVGLVGIILGFLLKRTNHQLLQNEQL >OIW00257 pep chromosome:LupAngTanjil_v1.0:LG12:14860820:14861973:-1 gene:TanjilG_27508 transcript:OIW00257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKFWLFITQLHSVAGPLLTLLYPLYASVIAIESTSKLDDEQWLAYWIIYSFLTLTEMVLQPLLEWIPIWYSAKLLLVAWLVLPQTKGAAFLYERFARPHIRKYIPGNGQQSKSPNKFMNFVTPNKGDHAP >OIV99903 pep chromosome:LupAngTanjil_v1.0:LG12:17869912:17871517:-1 gene:TanjilG_26241 transcript:OIV99903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRNMNTFVIVLLTIATLLHGSVAQTRHVVGDSTGWTIPSGGAATYTTWAANKTFTLGDTLVFNFANGQHDVAKVTKSDFDACNGGSAFFTLSTSPATVTLNETGDQYYICAFTGHCSIGQKLAIKVTEKGSTSTHSPAPQHSDSLSPRTSPVAAPAPKISNAIPPLTPVPESAPAPSTRAVTYTVGDTIGWTIPNNGASAYVTWASRNNFKVGDVLVFNYQMNAHNVEEVTKEKYDSCSSVSPIVTYNNPPVSVTLNKSGPHYFICGFTGHCSAGQKLAINVSGTATATSPSATPPSTSTTSSSPSPTNAGGVSPPPQNSGAASLGSLGVYVVLLSIATAFYY >OIW00972 pep chromosome:LupAngTanjil_v1.0:LG12:885540:889956:1 gene:TanjilG_16221 transcript:OIW00972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKSASHNVTTFDLAASGINPKQVQEIGSISEYYEPLLKLLESLPQEEKVILVGHSLGGVSMSVAMERFPEKISVAIFVTAYVISENLTYLDLLQELGKSAGSSMDTQFFFFDGPNKPATARLIGPKFMASKMYQLSPPEVLQPNEMRVNGSNSATMRSETSSE >OIW00374 pep chromosome:LupAngTanjil_v1.0:LG12:12749711:12754509:-1 gene:TanjilG_05724 transcript:OIW00374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPAASRPAVVIDNGSGYTKMGFAGNVEPCFIEPTVVAVNESFLNQSRTSSKASWVAQHNAGVMADLDFFIGDEAIAKSRSSSTYNLSYPIHHGQVENWDAMERFWQQCIFNYLRCDPEDHYFLLTESPLTAPESREYTGEIMFETFNVPGLYIAVNSVLALAAGYTTSKCEMTGVVVDIGDGATHVVPVADGYVIGGSIRSIPIAGKDVTLFVQQLMRERGENVPPEESFEVARKVKETFCYTSSDIVKEFNKHDKEPAKYIKQWRGIKPKTGAPYSCDIGYERFLGPEVFFNPEIYSSDFTTPLPVVIDKCIQSAPIDTRRALYKNIVLSGGSTMFKDFHRRLQRDIKKIVDARVLASGARLNGEIKSQPVEVNVLSHPIQRFAVWFGGSVLASTPEFFTVCGFLPITSSVFNAKLAYTNLYFFLTSVALIMLVWNFWLNVQACHTKAEYEEYGASICRTNPVFKGMY >OIW00250 pep chromosome:LupAngTanjil_v1.0:LG12:14808435:14814492:1 gene:TanjilG_27501 transcript:OIW00250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEEDLVDIKFRLYDGSDIGPFRYSSAATVDVLKQRIVSDWPKGKTVIPKAANELKLINSGKILENNKTVGQCKVPFGEIGGGVIVMHVVVQPSLSKTKAEKKIDDTPKKVACSCSIM >OIW00909 pep chromosome:LupAngTanjil_v1.0:LG12:2410824:2412833:-1 gene:TanjilG_06289 transcript:OIW00909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDPKWRGGFSLGLDLGLARTGLALSKGFTIRPLTVLELRGQKLEVKILSIAEHEEVDEFIIGLPKSSDGKETPQSNKVRSVAGRLAVQAAERGLSKSSRQGKIDAYAAVMVLERYFSTSGRDTELVLPKSSELQGKLRKGPPKDLDFYSDED >OIV99834 pep chromosome:LupAngTanjil_v1.0:LG12:17354724:17356031:1 gene:TanjilG_26172 transcript:OIV99834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNKMPSLEEELFPSTPGKFKIERTHYMNRQFYRCFASSSTMFLWTLFLIALTASYISFHSFVDSGSRYLTASWGGIQWEKQIRVSAEIHRDGGMSVLVTGAAGFVGSHVSLALKRRGDGVVGLDNFNDYYDPSLKKARKALLTTNGVFIVEGDVNDAKLLANLFDVVSFSHVMHLAAQAGVRYAMENPHSYVHSNIAGLVTLMEACKSANPQPSIVWASSSSVYGLNENVPFSESDRTDQPASLYAATKKAGEEITHTYNHIYGLSITGLRFFTVYGPWGRPDMAYFSFTRNILQGKPITVYRGKNRVDLARDFTYIDDIVKGCIGSLDTSGNSTGSGGKKRGPAPFRIFNLGNTSPVTVPTLVSILERHLKVKAKRNILDMPGNGDVPFTHANISSARRELGYKPTTDLQTGLKKFVKWYLSYYGYNHGGAIN >OIW01016 pep chromosome:LupAngTanjil_v1.0:LG12:58538:59944:-1 gene:TanjilG_14199 transcript:OIW01016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEESSSQSTDRIKLNVGGKLFETTLSTIRTGGPDSLLFALSNRNSNDPNPVFIDRDPEIFSVLLSLLRTNHLPSTSLRFSKQELADEALYYGVDSHLRSATAPPPFSGIDASIVGSIRPASEGLPSAFTAADNGSVWIAHGGQVSSYDWNFLHTGTFRTHLDDIDSICRVWPEIAAVGSLSDAGLHFYDFSGSRHVGSVQWNDPTDPRIFKARVNAITASDSSVFASFDCLHRENCILQVDKTKIQVVSQLGRQSGNQAKNIVPGKLTFVPATGILVGSAVTGGAFGYSGYIRLWDPRSGEVVWETSEPGAGRSSRFGDSFAAIDVDVEGLALFKLCSKSGDLAMADMRSLRDDPWIYLKEKNPSLVMNGEEGSSMVVSCYKGQVFVGRGGGLEVWSRVQEEATNVERGSEGDGLFRRNFVDKREDSERGAIRKIEGGGDRLFVSREDVEGIEVWESSHSAGAISVL >OIW00491 pep chromosome:LupAngTanjil_v1.0:LG12:12527784:12529981:-1 gene:TanjilG_27604 transcript:OIW00491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNEEVYSVWAIPPEDVGERITKLMASLRSEFGGPPFQPHVTVVGAIRLTPDDAIKKFRSACDGLKAYDVTVDRVATGTFFYQCVYLLLNPNHPVVETSAHCCSHFGSQNSTPYMPHLSLLYQDLTVEEKQKAQERANILDDSLSGLSFQISRLALYKTDTEDKTLKSWEKIDEWTLSPN >OIW00332 pep chromosome:LupAngTanjil_v1.0:LG12:15475745:15478024:-1 gene:TanjilG_27583 transcript:OIW00332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNLYLFKEISNHVKSGRRLSLGEYKRAISWSKYLVSSGAAIKGEEEEEWSADMSKLFIGSKFASGRHSRIYRGIYKHMDVAIKVVSQPEEDEDLAVLLEQHFTSEVALLFRLRHPNIITFVGACKKPPVFCIITEYMAGGSLRNYLHHQGPHSLPLQLVLKLALDIARGMQYLHSQGVLHRDLKSENLLLDEDMCVKVADFGISCLESQTGSSKGFTGTYRWMAPEMIKEKRHTKKVDVYSFAIVLWELLTGLTPFHNMTPEQAAFAVSHKVLKQNLLY >OIW00135 pep chromosome:LupAngTanjil_v1.0:LG12:15872702:15875173:-1 gene:TanjilG_29125 transcript:OIW00135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFATTSFSDAQNCNGVLVSYAYTGGVRLPPNVTDAAKQPYRFQSILTVLNNGLDELKSWQVFVGFQHDELLVSASNVVLADGTTLPAAVGNGTVFAGYPVTDLKTAVETAGDLTQMEARIELVGTVFGVAPPSIPLPSSIRLANDGFQCVKTTGQGKNGTNVCCTKDPKFKANITTDDKYLLRQHGDLTIMYDVIKTDDSNYWAEVTMENHNPLGRLDNWELSWDWMNDEFINTMKGAYPSVVDVSDCVFGKKGTFYQSLDFSSVLNCQKRPTIIDLPPTKFNDTVLGKVPFCCRNGTILPSSMDPSKSVSKFQMQVFKTPPNLNRSMLSPPQNWQINGTLNPTYKCGPPIRVRPTENPDPSGLPSEIVVMASWQVVCNITKAKGTASKCCVSFSAYYNESVIPCKTCACGCPSNTARNCSTTAPALWLPSDALLVPFDNRSAKAIAWADLKHLPVSKPMPCGDNCGVSINWHLNTDYTNGWSARVTLFNWGDTNFADWFAAVEMDKAAAGFEKMYSFNATTLAIAGVNNTIFMQGLEGLNYLVAEADGANPLSDPRVPGKQQSVISFTKKPTPGISVAHGDGFPNKVFFNGEECSLPSVIPSSGSRKVPSWATLMLLSLLFIILKQQ >OIW00872 pep chromosome:LupAngTanjil_v1.0:LG12:3671219:3671797:-1 gene:TanjilG_12813 transcript:OIW00872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNPHFLICSLLLSFQALTYITAIDSDFVHQIDRNLLGLNKKKEKLSHFKFYWHDILSGQNPTSVRVISPPLNLNTTTFFGFVNMIDNPLTMGPELSSKLVGRAQGFYASASQSEFGFLMAQNFAFIEGKYNGSTITIMGRNPALNKVREMPVIGGSGLFRFARGYAEASTHWLDYKTGDATVEYNVYVFHY >OIV99881 pep chromosome:LupAngTanjil_v1.0:LG12:17672030:17674202:1 gene:TanjilG_26219 transcript:OIV99881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDTETAPTLLQVRRYVYHDVVRLEDLQKLIDCSNVQAYTINSAKVVFIKKRPQNRHFKGSGNYCTSCDRSLQEPFIHCSLGCKICNSLQLGPDFLIPQDMMGEDEMTRSSSTIVDCDEPISSYSGSSGSENMSSMACTTEIVRKRRSGWNVCARLITNNNKVSDEDIATNMSRRKGIPHRSPMC >OIV99772 pep chromosome:LupAngTanjil_v1.0:LG12:16879065:16886152:-1 gene:TanjilG_26110 transcript:OIV99772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKSYSSSSTWRYIQPRYYIKRPKRLALLFLFCISLTWLYYDRQSLNRDHQEDILKLKDEVARLQNILLEMKTNMNGSSETESIQNGEKFSEFTKSVSVEDDAISIQRREKVKDAMLHAWTSYEKYAWGMDELKPETRIGVDSFGGLGATLVDSLDTLYIMGLDSQFKRAREWIAKSLYFNKDIEVSVFETTIRVLGGLLSAYDLSGDKLFLEKARDIADKLLPAWKTPSGIPFNRINLANGKANNPRWQRGNSILADSGSEQLEFIVLSQRTKDPKYQQKVEKVIKELRKNFPKDGLLPIYINPLTGTVSTGTVTFGAMGDSFYEYLLKVWIQGNKTEAVKFYREMWETSMKGLESLVRKSTPSSFTYISEKLGNELYHKMDELACFVPGMLALGSSGYSPGEAGKFMSLAEELAWTCYNFYQSTPTKLAGENYFFRKGEDMTVGTSWNIQRPETIESLFYLWRLTGNRTYQEWGWDIFQAFENNSRTETGYVGLKDVTTGDKDNMMQSFFLAETLKYLYLLFSPPSIISLDEWVFNTEAHPLRIVTRNDPRDELSVDHEEKFPRHLYGRKEGRLDHK >OIW00254 pep chromosome:LupAngTanjil_v1.0:LG12:14847395:14849278:-1 gene:TanjilG_27505 transcript:OIW00254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLIIQFLNIVAPPITFFSLCLFLPPYWTFKFFLSTINSIFSENIAGKVVHITGASSGIGEHLAYEYARRGARLALSARRETALREVADRARDYGSPDVIIMRADVSKVDDCRRLVDETLTHFGRLDHLVNNAAISLGTLFEDTTDITNLKPIMETNFWGSVYTTRFALPHLRNSKGKIVVMSSADSWLPAPRRHVYSASKAALVSLYETLRVEIGSDIGITIVTPGYTESELTKGKFLKAEEGKMDVDQDLRDVEVSAVPVGSVSCCAKAIVNSTLRGDRYLTVPAWFKITYVIKVLFPELVEWGFRIMYMTAPGTHAKEAPSKKILDATGLKKLFYPSSIQSPEVKIE >OIW00805 pep chromosome:LupAngTanjil_v1.0:LG12:6192781:6210162:-1 gene:TanjilG_18607 transcript:OIW00805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSEADSRLSQLVVPALEKIVKNASWRKHAKLAHECKSVIERLTNKQLPPPGSSSEEPENSLPGPLHDGGPVEYSLAESESILSPLINAAGSGVLKIAEPAVDAIQRLIAHGYLRGEADHSGGAAEAKLLSNLIESVCKCHDFGDDATELLLLKTLLSAVTSISLRIHGDCLLLIVRTCYDIYLLSKNVVNQTTAKASLIQMLVIVFRRMEADSSTVPIQPIVVAELMEPAEKSDGDNNMTQFVQGFITRIMQDIDGVLNPVTPGKISSLAGHDGAFETTTVETTNPTDLLDSTDKDMLDAKYWEISMYKTALEGRKGELVDGEIVEKDDDLEVQIGNKLRRDAFLVFRALCKLSMKTPPKEASSDPQLMKGKIVALELLKILLENAGAVFRTSERVLENVSQPNFQQKMIVLRFLDKLCFDSQILVDIFINYDCDVNSPNIFERMVNGLLKTAQGVPPGVTTTLLPPQETILKLEAMKSLVAVLKSMGDWMNKQLRIPDPNSAKKVESADNSAEAGGLPMVNGNVEEQIEGSDSHAEITNDASDVSNIEQRRAYKLELQEGISLFNKKPKKGIEFLINANKVGDSPEDIAAFLKDASGLNKTLIGDYLGEREELSLKVMHAYVDSFNFQGMEFDEAIRVFLQGFRLPGEAQKIDRIMEKFAERYCKCNPKAFSSADTAYVLAYSVIMLNTDAHNPMVKNKMSADDFIRNNRGIDDGKDLPDEYLRSLFERISRNEIKMKENDMPPQQRQSVNPNRLLGLDSILNIVIRKRGEDMETSDDLIRHMQEQFKEKARKSESVYYAAMDVVILRFMIEVCWAPMLAAFSVPLDQSDDEVVISLCLEGFRYAIHVTSVMSMKTHRDVFVTSLAKFTSLHSPADIKQKNIDAIKAIVTIADEDGNYLQEAWEHILTCVSRFEHLHLLGEGAPPDATFFAFPQNDSEKAKPAKSTILPVLKKKGPGRMQYAAATLMRGSYDSAGIGGNVSGAVTSEQVNNLVSNLNMLEQVGSSEMNRIFTRSQKLNSEAIIDFVKALCKVSIEELRSPSDPRVFSLTKMVEIAHYNMNRIRLVWSSIWHVLSDFFVTIGCSANLSIAIFAMDSLRQLSMKFLEREELANYNFQNEFMKPFVIVMRKSSAVEIRELIIRCVSQMVLSRVNNVKSGWKSMFMVFTTAAYDDHKNIVLLAFEIIEKIIRDYFPYITETETTTFTDCVNCLIAFTNSRFNKEISLNAVAFLQFCATKLAQGDLGSSSRNKDKEVSEGKQDNGEGTDKDDHLYFWFPLLAGLSELSFDPRPEIRNNALEVLFKTLRNHGHLFSLPLWERVFESVLFPIFDYVRHGIDPSGSSSPVNEVVVDGELDQDAWLYETCTLALQLVVDLFVNFYDTVNPLLRKVLMLLVSFIKRPHQNLAGIGIAAFVRLMSNAGELFSDEKWLEVVLSLKEAANATLPNFSFLESEDFVARNHEHASTAEDDRDVAESDSPDNLASLRARHLYAYLSDAKCRASVQLLLVQAVMEIYDLYRSQLSAKTMLVLFDALHDVALHAHRINSNTILRSKLQEFGSMTQMQDPPLLRLENDTYQTCLTFLQNLVADQPPNYEEAEVESHLVRLCQEILEFYIEVAGLGPISESSHGRQPRWLIPLGSGKRRELAARSPLVVATLQAICTLGEISFEKNLSHFFPLLSSLVSCEHGSNEVQVALSDMLSLSIGPVFLQSC >OIW00477 pep chromosome:LupAngTanjil_v1.0:LG12:13747934:13755347:-1 gene:TanjilG_05827 transcript:OIW00477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAIKVDAVSSTMEERKSHHDKVSFEVSFHHIDNFDYFMKLYDLGFDIYNLHINDIAKIDVVDSISIKEMSIRRSIVRMEESTLGHTQSWHVDSSFSTNTDNSEKTSGNRVADNVVNPRHQKNNSIYVSRSPFFASRVMSPEKLAELAKTNPKKATRIIANRKSASKSKERKKLYENELQLKVKSLQSQVDNISQQLYVTKRDVTTRIAWNSTLRMKIDAKRQELHYRRAQYEAMKNELEYYRMKTSKFAADMANNPLYHDMVSKFSSGLSIQDEDPMESESCLPPFPPSPLPFVPVDSVGTTKLEKSEEKSPTPITENRTKLQVDIVRPPAVDPKPEKSPKIQYNEDVRSASRSEVCNHAKGRWVADSRRPLYSGFSCKQWLSPMWSCRMTQRPDFSFEGYRWQPENCDMPEFDRSTFLRKMQDKTIAFIGDSLGRQQFQSLMCMVTGGEETPEVVNVGWEYGLVKHRGAIRPDGWAYRFPKTNTTILYYWSASLCDLQPLNSKDKLSNIAMHLDRPPAFMSQFLHRFDVLVLNTGHHWNQGKITGNRWIMHVDGKPNEDKRIEEIANAKNLTIYSVARWLDLQLVSHPRLKVFFRTISPRHFFNGDWNTGGACDNKIPLSNGSEVMQEGSSDPTIEGPLKGTKVKILDITAISQLRDEAHMSRYTIRGTLNSSDCLHWCLPGIPDTWNELLVAQI >OIW01017 pep chromosome:LupAngTanjil_v1.0:LG12:64139:66630:-1 gene:TanjilG_14200 transcript:OIW01017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGRQKKKQEKHMDSFWENNNDGDCGSSLFHIIKHHPLRHFMKRLGHRKDGGERNGPACQVEENVRMCCSVPKNTVRSQIKALIIKGIPKKRSRHHRSSTCPPPARDLEQTNLEDKLRHSMKPTSVKSLDPMNMKKEILLTYMQDPVCPMAYHFNKQEALTLTRSVSFPLPHSSKASISMKNISGNGSLPKVVGRLHFCNKTQKGALFEWRLHGNLDMNDGMIVRDVQSSGSAKGRNQKGMKKIKNLKQKIVPITGENENENENEKEKEKEKLRVTLDSVIDKIPQGHGISDDLKKEILKKLIDPIASTKVENRCASFNGRTRTHSFTKHPIRRTSSLEEPLDTYSHLFQQLNLTTKEATSPLIVPKPIKRILSLPDLQSFYYSYPNGDFSHVLSLTKPILTSMDGTMGSESIGYPKRRVGLNVGVGENDALISTNIEGSRSDSISEINSKVGVIIDDFGDSILKNGGTFNDQDVGLKYEDKTALAASDSKSKSGPIDRLENVVEQEANKVSEILKKHLNYEIPQFHVDTRYKAEFNYVKYVLEISGLTSNESISAWHSSEQPLDPLLYEEMESDPDFCSYEHSNNCNHHVLFDLINESLLEIYGSCCCYYPKQLSYLSYIHPMPKGNHILHEVWNHMSQSLNLKSKSNQTTDFHVSRDLAKNDGWMNLQLSAELVGLEVEDLVFHDLMEDIMRDLR >OIW00789 pep chromosome:LupAngTanjil_v1.0:LG12:6705371:6707280:-1 gene:TanjilG_19594 transcript:OIW00789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSVLAILLVLFTPNSGAFGKTENNVKSAVFLSPKIELGPGSVANKYYYDIDFPRGHVAIKSFNAEVVDEAGNPVPLHETYLHHWVIARYRQPKHVTTNTGYDGHRMLQKSDTVIVRNSGICQKNALGQYFGLGSETRGTATNIPDPFGIEIGNPEEIPDGFEEKWMVNIHAIDTRGAEDKKGCTECWCDLYNVTKNEYGEPLKPGYKGGLYCCYDHTQCKLREGFEGPKRSIYLRYTVKWVDWDNFVVPVKIYILDVTDTLQISDSSEGLNANHDCKVEYDVESCSTDHIDGNGCVHVKRTTLPMQSGGYVVYGVAHQHSGGIGSTLYGQDGRLICSSIANYGNGTEPGNEEDYIVGMSTCYPKLGSVKIANGETVTLESTYSSNKRGHTGVMGLFYLLVAEQLPQQQFRHSTRSSYFLDMNNIFI >OIV99745 pep chromosome:LupAngTanjil_v1.0:LG12:16651528:16655052:1 gene:TanjilG_26083 transcript:OIV99745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKRNFGIGLKLSLPVSDQASFAKFLTESGTFKDGDLLVNRDGVRIVSQSEVEAPPPIKPTDEQLSLADIEIIKVVGKGNGGIVQLVQHKWTSQFFALKIIQMNIEESMRKQIAKELKINQAAQCPYVVVCYQSFYDNGVISIILEYMDGGSLADLLKKVATIPEPHLAAICKQVLKGLMYLHHERNIIHRDLKPSNLLINQRGEVKITDFGVSAIMESTSGQANTFIGTCNYMSPERINGSQCGYNYKSDIWSFGLILLECALGKFPYSPPDQSESWEIFELIEAIVDQPPPRGGLQKDPKDRLSAQELMNNPFMSMYEDLDVDLSAYFSDAGSPLATL >OIW00041 pep chromosome:LupAngTanjil_v1.0:LG12:18713443:18713724:1 gene:TanjilG_26378 transcript:OIW00041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRIVFRIVMVALVLMAIFYMARPLYWKISATIHDIRNNKQTIRQGLSQIVIEAQKSVGWYHGKS >OIW00112 pep chromosome:LupAngTanjil_v1.0:LG12:15701129:15704201:1 gene:TanjilG_29102 transcript:OIW00112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAENEIYIPEQWSLAADSIAYDSITSPSPIVLVCGPSNCGKTTFSRHILNVMLQRYKKVAYLDTDVGQPEFTPPGFLSLTVLHELTPNLMIPCLKTPERCLFFGDVSSKRDPSTYLKYIFALYDYYRKEYCMPEKGENPPMTELPLIVNTSGWVKGVGYVMLVDMLKYIGPTHVVKINISAENKNLPAGAFWLDGGNDGTVNLVEITSARQDSFNRSVLVQKDARLLRDLRITAYFRQCLPRDFNITTIKELAHALTSHRPCEVPIASIKIKHLHCEVPNSEIFYSLNASIVGLAVDSGDSENFPWSVGLGIVRGIDTFKGVLFVITPVPQSSLEKVNLLLQGYIQIPTSLLQCSYHGMVDWF >OIV99786 pep chromosome:LupAngTanjil_v1.0:LG12:17007358:17010061:-1 gene:TanjilG_26124 transcript:OIV99786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYIRTTSLKRLFSLKRWGFWEQVMSPNDEVNNESLEIVPSEEQEEKHSQRPTWKCFSYEELFDATNGFSAANLVGKGGYAEVYKGTLKDGEEIAVKRLTKTSSDERKEKEFLTEIGTIGHVRHPNVLSLMGCCIDNGLYLVFELSSRGSIASLLHDEKLPPVDWKTRHKIALGTARGLHYLHKGCKRRIIHRDIKASNILLTLDFEPRISDFGLAKWLPSQWTHHSIAPIEGTFGHLAPEYYLHGVVDEKTDVFAFGVFLLEVISGRKPVDSSHQSLHSWAKPILNKGEIEKLVDPRLEGNYDVIQLKRVAFAASLCIRASSTWRPIMSEVLEVMEGGEMNKDKWKMGEEEEEEEEELWGFEDLEYEYDSSFSMSLPDSIGST >OIW01012 pep chromosome:LupAngTanjil_v1.0:LG12:8649:10187:1 gene:TanjilG_14195 transcript:OIW01012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATIPLLVPLNSNCSLPSFPISFKLQNHNLSSLKINTNPCHTSTLRTSIVHALDSDSRPEPVQDGEVNNLGVKAALAMLRFYKREISPILPKSCRYIPTCSEYSMEAYKRYGVMKGTVLTTWRICRCNPLGGNGYDPPRWFGEISPSEDIDD >OIV99969 pep chromosome:LupAngTanjil_v1.0:LG12:18268500:18273299:1 gene:TanjilG_26307 transcript:OIV99969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQQNLVRKLVTQTRNHFIFHSHRPKPKPNPSELCHHIPTSFLTRPLHPFHSHHSMSTTLRNFLSNPIILRFFSSNSRLLHCRSNLPTSYFYRQNFGSNQSSGFYQRVWRSWIHRLTPLDVVLGLIGANVAVFLLWRTADENFMINNFTISLDNIKSGRLHTMITNAFSHTDSGHIISNMIGLYFFGMNIGRNFGTEFLIKLYFAGAVGGAVFYLVHQAYKAQTSKLIWRPKELALGASAAVNAIMLLDIFLSPKATLYLDFIIPIPAILLGVYLIGKDMLRILEGDSHISGATHMGGAAVAAIAWARIRKGRF >OIW00587 pep chromosome:LupAngTanjil_v1.0:LG12:11202887:11212183:-1 gene:TanjilG_14813 transcript:OIW00587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNTLRLYLTCIRNTLEAAMCLQNFPCQEVERHNKPEVELKTSPELLLNPVLICRNEAEKCLIETSINSLRISLKVRQTDELENILTKKFLRFLSMRAEAFQVLRRKPVQGYDISFLITNYHCEQMQKQKLIDFIVQFMEDIDKEISELKMSVNTRGRLVATEFLKQFI >OIW00075 pep chromosome:LupAngTanjil_v1.0:LG12:18911543:18916092:-1 gene:TanjilG_26412 transcript:OIW00075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLSDIGLAAAINILSAFVFFVAFAILRLQPFNDRVYFPKWYLKGLRTDPAHGGLFVSKFVNLDWRSYIKFLNWMPAALRMPEPELIDHAGLDSVVYLRIYLIGLKIFVPIAFLAWAVLVPVNWTSTGLDGAVINNITSSDIDKLSVSNVQSRSERFWAHILVAYAFTFWTCYVLLKEYGKVASMRLQFLAGAKRRPDQFTVLVRNIPPDADESVSELVQHFFLVNHPDNYLTHQVVYNANKLAKLVKKKKKLQNWLVYYQNKLERTSKRPEMKTGFLGLCGNKVDAVDYHTTEIDKLSKEVALERDRVTNDTKSIMPAAFVSFKTRWGAAVCAQTQQTHNPTIWLTEWAPEPRDIYWPNLAIPYVSLTVRRLIIAVAFFFLTFFFMIPIAFVQTLASLDGIQKAAPWLKPLISIPFIKSFIQGFLPGIALKLFLIFLPTILMIMSKFEGFGSISSLERRSASRYYLFNFVNIFLGNILAGSAFQQLDTFIHQPANEYPVTIGTAIPLKASFFITYIMVDGWAGIAAEVLMLKPLIIYHLKNFFLVKTEKDREEAMDPGSIGFNTGEPQIQLYFLLGLVYAAVTPTVLPFIIVFFGLAYVVFRHQIINVYNQEYESSAAFWPDVHFRIVMALIVSQIILLGLLTTKKAASSTPFLIALPVLTIWFHRYCKGRFESAFVKYPLQEAMMKDTLERATEPNLNLKGYLQSAYVHPVFKASIDEDDDEDDIYSHKWETESATVPTKRQSRRNTPLPSRVSGASSPSLPHVEP >OIV99957 pep chromosome:LupAngTanjil_v1.0:LG12:18186085:18186564:-1 gene:TanjilG_26295 transcript:OIV99957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKLQVHQLNQLREIFGRFDMDSDGSLTMLELAALLRSLGLKPSGDQVQVLLANMDSNMNGFVEFDELVSTILPDINAQVLVNQEQLLGVFKSFDRDGNGYISAAELAGAMAKMGQPLTYRELTHMIKEADTNGDGVISFNEFATIMARSASNGQNMFI >OIW00832 pep chromosome:LupAngTanjil_v1.0:LG12:4499895:4506956:1 gene:TanjilG_12236 transcript:OIW00832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLKVFVLIVLVLLPFGDALSRDDFPPHFLFGASASAYQVEGAAKEDGRKPSIWDTFAHAGNANRYTGNADIACDQYHKYKEDVQLMAKMGLDAYRFSISWSRLIPDGKGSINPKGLQYYNKLINELISQGIQAHVTLVHWDLPQALEDEYEGWMSRRIVKDFIAYADVCFREFGDRVKYWTTVNEGNVFAIGGYDAGFVPPQRCSPFSTQSLIYNCSGGNSSTEPYLDRQHGFIGFNLLTYGFFPLTNTSEDISAAKRAQDFYLGWFLNPFTFGEYPDIMKKNVGSRLPLFSKSESNLVKDSIDFLGINYYVSFYVKDNPGSLQIKDRDYMVDMGVELQPVFENGTTTDQFPIVPWTLHGLLDSLRNTYGNMPIYIHENGQQTHWNSSLEDWSRVKCLHDYIGSIPNILRNGVNVRGYFVWSFLDVFELLAGYESSFGLHYIDLNDPTLRRQPKLSAVWYSNFLNGRIMDPMITMEVQKKNPSVVLSTFVSNNPILQKVQLKANNSC >OIV99812 pep chromosome:LupAngTanjil_v1.0:LG12:17192651:17194530:-1 gene:TanjilG_26150 transcript:OIV99812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSIPIAPKHVAVLAFPFGTHAGPLLSLVLRIAAEDPDVRFSFFSTRASNAKIFEVNRGDLGNIHPYNVHDGFPEGHVQGHPMEAIGKFIYAMPGNFKSAIDKVVAETGKKITCLITDAFYWFGAEMAKEFGCNWVPLWTAGPHSVLVHIETDLLRKRVSSNDCDDPSIDFIPGYSGVKVSDLPEGVVKDIDSPMATLLYKMGATLSQATVVAMNSFADVHPVIVAVLKSKFKMLLNIGPFNRTTPQHHIPDEAHCLEWLEQRDKVSVVYVSFGSVITPPSHELFALAEALEECKYPFIWAFKGNPEKQLPKGFLERTKKKGKVVEWAPQNEILQHASVGVCVTHCGWNSILECMVGGVPMICRPFFGDQKLNNRMLEHGWGVGVGIENGAFTKENTLRALRLIMSSEKGRMMRKKVLELKERAMAAIQHDGSSLRDFTTLINIVIS >OIW00158 pep chromosome:LupAngTanjil_v1.0:LG12:16033606:16038677:1 gene:TanjilG_29148 transcript:OIW00158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKNEELPIPIYNNLEPVYGDGSQFEEAQLRFDNLKSKFLQVFGHSPQLFARSPGRVNLIGEHIDYEGYSVLPMAIRQDTIIAIRRNETEKVLRIANVNEKYSMCTYPADPNQELDLKNHKWGHYFICGYKGFYDYAKLKGVDVGQPVGLDIVIDGIVPTGSGLSSSAAFVCSSTIAIMAAFDVNFPKKEIAQVACDCEQHVGTQSGGMDQAISIMAKTGFAELIDFNPIRATDVQLPTGGIFVIAHSLAESQKAVTAATNYNNRVVECRLASIVLGIKLGMKPEEAISKVNTLSDVEGLCISFAGTHNSSDPVVAVKECLKEEPYTAEEIEKITGEKLTSFLGNNAAYVDVIKAAKQYKLHQRAAHVYSEAKRVHAFKDVVASSNLNDEEMLKKLGDLMNESHHSCSVLYECSCPELEELVRSCRDNGALGARLTGAGWGGCAVALVKENIVPQFILNLKESYYQSRIDKGVIKKNDLGLYVFASKPSSGAAIFKF >OIW00051 pep chromosome:LupAngTanjil_v1.0:LG12:18759103:18764370:1 gene:TanjilG_26388 transcript:OIW00051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTGCNVYDSGCRADASEAAIILLPSNITVFTLDFSGSGISGGEHVTLGWNEKDDLRAVVNYLRDDGNVSLIGLWGRSMGAVTSLMYGAEDPSIAGMVLDSPFSDLVDLMMELVDTYKVRLPKFTVKFAIQYMRRAIQKKAKFDISSLNTIKVAKSCFVPALLGHAIDDDFINPHHSDRIFEAYMGDKNIIKFEGDHNSPRPQFYFDSINIFFHNVLQPPEDEVGESFFDPVNDYFGKDVRRPMHGLGYITSKNKGMIQVPIAASSTSSTIDAINQVRSRRPMSRMEVPSDISLKGDHPEREEEKYADHFPSSSSLISFELSNGQPFGPQVPTALDDDQYVEYELDDLSEFPSSAEEEERMLMQAMLESLKDMDIGNPQVEQPPVSSASTTSVDQSVKDDSHAALLETSKSKTICTASEECIQLKPESNSVSVIHSQDLLSEPTSIPTISLVGASSSSAPPPPPDTLSVTESSNTGGSSLSDSAASVQSSSDADISHNTKATVTVVRNPAGHVMDGLMRRWDFNFFKNSNNR >OIW00693 pep chromosome:LupAngTanjil_v1.0:LG12:8561666:8562675:-1 gene:TanjilG_09662 transcript:OIW00693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLYHHPFDLDSQKVRLALEEKGIDYTSYHVNPITGKNLDSSFFKMNPSGRLPVFQNGAHIIYNTIDIIKYIERIVEVSSGSQTISSSGRDVIEWMHKIQEWDPKYFSLSHTPEKHRNYVSKFKRQVVIARMADSPELAGAYHEKLREAYQTEEKLKDADVLRRSKEHLIRLLDEAEKQLSKTQHLAGEQFSMADVMFIPILARLVLLGLDSEYITARPNISEYWFMVQKRPSYKKVIGKHFDGWRKHKTLLKTWCFVHIRSLLKRY >OIW00167 pep chromosome:LupAngTanjil_v1.0:LG12:16077504:16079248:-1 gene:TanjilG_29157 transcript:OIW00167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVVESMVVKGRDSSSSNNNNNIESKEDMDLRRGPWTVDEDLALTNYIANHGEGRWNSLAPLAGLKRTGKSCRLRWLNYLHPDVRLGNITLEEQLLILELHNRWGNRWSKIAQCLPGRTDNEIKNYWRTRVQKHAKQLKCDMNSKQFKDTIRYLWMPRLVERIQASAPTTFKMSSPTLCTCTNVTTSNIYDNNLNNSEVHKGKMVLPQNGVMNDDFVGSHITTSTSQSYTPENSSTGASSDTFGTHEVSPILDLSIDYYSVPVSSNNNPNPQDYFQPSQFNYSDCITSPSWFNFQAMEPNSPWIQSGDTLNNFWNVDSNMFLQQQLMSDNM >OIW01037 pep chromosome:LupAngTanjil_v1.0:LG12:258821:259318:-1 gene:TanjilG_14220 transcript:OIW01037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSVGADGRVEAGFAKLQGKDFVFYMQTYSILLGRSSKISPVDVDLSRLGGGTTISRHHARIYYDFTCHHFALEVLGKKGVFVKGVLHSLGDPPVKLASQDLLQIGNKEFYFLLPSKGINKRSSQFSIPLSTVPLPGGAAVGAKNGDDNVDVKVNDDGEIGKGS >OIW00761 pep chromosome:LupAngTanjil_v1.0:LG12:7745059:7755128:-1 gene:TanjilG_19201 transcript:OIW00761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKNKSLSSSTSSSSTSAQASTITTRSSSATPSKLVLKIKTNGGMKRSMQQTNSRAKPKATQKKSKQKIDAKKPKKPPTAFFYFLEDFRKGFQDQNPDVKSMRDVGKACGEKWKTMTYDEKVQYYDIATEKRAEFDRAMAEYEKKMESGEYDESNDESELDE >OIV99744 pep chromosome:LupAngTanjil_v1.0:LG12:16643776:16645477:-1 gene:TanjilG_26082 transcript:OIV99744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEENVSLRKDAELGRAVSDKHFDLLRPSARNNTIFKGQTNAAGRGKGKYTIVRDPEDFQMGIYDKPLPCFGCGVGWFSFLFGFLCPPMWYYATFLYFGNYYRKDPRERAGLGASAIAALVFSVALLIIAAILLLRSPVAPILIQA >OIV99751 pep chromosome:LupAngTanjil_v1.0:LG12:16696108:16697905:-1 gene:TanjilG_26089 transcript:OIV99751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVGGSSETTPFSEPSAARVKLEQVTFFDDNARFNNSGISSSSEEGVFSESKNSGVTEEEGGSANNNNTIKEEVIDDVGVNVSSSSSSMEDMPKPMEGLNEVGPPPFLKKTFEMVEDPETDPIVAWSENRDSFIVFDSHEFSKLLLPKYFKHSNFSSFIRQLNTYGFRKVDSDRWEFANEGFQGGKKHLLKNIRRRSKYNKQHEGTFNSMKPGLEAEVEKLKKDQNIMKLEILKLRQQQENSHMQLTNVQEQIRCAEMKQYQMIYFLTRMCRKPMNVDQLIQKIKRKRELDGNDIVKRLRLLGGQCPKTMETNTLNADYRDQGHEQFTTLQSDLTGLLSESVNNNSCMGMEDELCRSVQGLRGYGSRTNNGKDVSSAYHVVSEKLMRENSVVDEEQLDVNDSNIYLELEDLITKPSDWVGSASGLVGQTS >OIV99861 pep chromosome:LupAngTanjil_v1.0:LG12:17544160:17545848:1 gene:TanjilG_26199 transcript:OIV99861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSKSLFLVIIFTILISFSSAQGAVKGGYWYSDSGLAVSDIDSSYFTHLFCAFADLDTNTNQVTISSSNAPSFSTFTQTVQQNNPSVKTLLSIGGGGGPSLAADFADMASQASTRKSFIDSSIQVARNYNFHGLDLDWEYPSSDTDKTNFGLLLNEWRSAITQESSTSGNPALLLTAAVAGSNQISLLEYYPAQDIANNFDWINVMVYDLFTPGGYSTQTQPPAPLKNPLGQFSAEEGVTSWIQLGVPANKLAIGLPFYGYAWNLVSANDHGLFATANGADTDATSSGDGSIGYGDIREFINTHSGAQSVYNSTFVTDYCYSGTTWIGYDDTQSISAKVTYAKDNGLLGYFAWQIGSDDNWTLSKTGKYHES >OIW00885 pep chromosome:LupAngTanjil_v1.0:LG12:3219569:3233194:1 gene:TanjilG_20886 transcript:OIW00885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVSIARRIFRRGSAQFRWQHSLCRAATETKTEAIASAAVAPDLPAFDYSPPTYMGPTAEEILEKRKEYLSPSLFHLYKNPVNIVEGKRQYLFDDKGRRYVDAFGGIATVCCGHCHPDVVEAIVNQTKRLQHSTVLYLNNAIADFAEALASKLPANLKVVFFTNSGTEANELAIMIARLYTGCHDIISIRNAYHGNAAGTMGATAQSIWKFNVVQSGVHHALNPDPYRGVFGSDGEMYARDVQEIINFGTSGNVAAFLSEAIQGVGGIIELAPGYLPAVYSSIKKAGGLCIADEVQSGFARTGSHFWGFETHGVVPDIVTMAKGIGNGIPLGAVVTTPEIAEVLTRRSYFNTFGGNPVCTAAGLAVLKVIEKEKLQENASVVGSYLKDRLTGLKDKYELIGDVRGRGLMLGVELVTDRELKTPAKAETLHVMDQMKEFGVLVGKGGYYGNVFRITPPLCFSKEDADFLVDAMDLSLSRL >OIW00826 pep chromosome:LupAngTanjil_v1.0:LG12:5685527:5687971:1 gene:TanjilG_08265 transcript:OIW00826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGNKQKGTYSSFTSELIGSEESHSSSSGIFGSLFSLPSPKVKVLGRESLRNELNRKITNEAWSSKIGTQDYIYKFNGGETQKTSNNDMSSFYEEQIVQPCSLSSSIYYGGQDFYPLPPNTKNSAGLYSKQKNYEGEDDREFASRGNWWKGSLYY >OIW01064 pep chromosome:LupAngTanjil_v1.0:LG12:505041:505880:-1 gene:TanjilG_14247 transcript:OIW01064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLRNRIFGSYTIVNVLVLISCGMTYGTESDIFCLKMIKESLQDSDNILYSSWNFHNSTEGFICRFDGVECWHPDENRVLNLKLSNKGLKGQFPRDIQNCSSLTGLDLSFNKLSGIIPGDISTLIQYVTSLDLSSNELSGPIPESLANCTYLNDLKLDQNQLTGQIPAQFALLSRLKMFTVSNNLLTGQVPSFSSNVSVSYANNRGLCGGSFGVCQTKPSKTNTSVIVGAAVGGVTVAILGVGVALFFYLRRVVVKKEEDPEGNKWARSLKGTKAIKAS >OIW00256 pep chromosome:LupAngTanjil_v1.0:LG12:14855410:14855880:-1 gene:TanjilG_27507 transcript:OIW00256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKVYLFVFISSLIPLLLNSKLLFIIGNLVIFALILNSRILSSHSSSTTNVYYDEYIHSSQTQKPEIPSVEVKGKILEKHVTENVVMIREDGLNILELKEKGWIKKASEAWHEKEEDEPSLFPSSDELNRRAENFIARMNKQRRLELSLLKYGKLE >OIW00199 pep chromosome:LupAngTanjil_v1.0:LG12:16311865:16314347:1 gene:TanjilG_29189 transcript:OIW00199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQQQHKTLQQQQNDVEEIQHGPFPVEQLQASGIAAVDVKKLKDAGICTVESVAYTPRKDLLQIKGISEAKVDKIIEAATKLVPMGFTSASELHVQRAEIIQITTGSRELDKVLEGGIETGSITELYGESRSGKTQLCHTLCVTCQLPLDQGGGEGKAMYIDAEGTFRPQRLLQIADRFGLNGADVLENVAYARAYNTDHQSRLLLEAASMMVETRFALMIVDSATALYRTDFSGRGELSARQMHLAKFLRSLQKLADEFGVAIVITNQVVSQVDGSAVFAGPQVKPIGGNIMAHATTTRLALRKGRGEERICKVISSPCLAEAEARFQICAEGVADVKD >OIW00057 pep chromosome:LupAngTanjil_v1.0:LG12:18801931:18807925:1 gene:TanjilG_26394 transcript:OIW00057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTSSLSSSTLSTPSSSSSSWFSGIVRAGRPDRSATLKMSAVASTVDIAGPVVRKSQFRGVLFKYGPNPIQVAFKTGDFTRQVIFIGGLTDGFLATAYLEPLAIALDLENWSLVQFLMSSSYSGYGTSSLQQDAKDLDQLINYLINKEDSEGVVLLGHSTGCQDIVHYMRTNFACSRAVRAAIFQAPVSDREYRATLPETASMIDLAASMISEGRGTELMPRETDPCSPITAYRYHSLCSYNGDDDLFSSDLSDDQLKMRLGHMSSTHCQVIFSMADEYVPDYVDKKALVERLCRAMGGAEKVEIEYGNHSLSNRIEEAVQAIIDFLKREGPKGWDDPWN >OIW00206 pep chromosome:LupAngTanjil_v1.0:LG12:16377954:16378301:1 gene:TanjilG_29196 transcript:OIW00206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKVGKLMKLKSVLKKWNSFGNNKHNTVTTVPISDLHPVYVGKSRRLYRLTSDVVDHPLFRELVERSRDEQQQQQEHDNINVACEVVLFEHLLWMLHNADPQPESLDELVDFYAC >OIW01001 pep chromosome:LupAngTanjil_v1.0:LG12:1299837:1301054:1 gene:TanjilG_16250 transcript:OIW01001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPLMEIPASGQPVFSDDLIMQILSWLPVMYLLQFRCVAKSWKSLISLPTFIKLHLERSPRNANLFIALDDIFEDSFSVMYCCKHYFLEYPSSVISDEGGGVSLTYKYCFIGSCNGLVCLHGSTSEDGEFEVYEYNRREYWFRICNPALGLRSKKSPLLCVDLDIFDSIECGFGYDKSSDTYKVVAILSNTSAMEDSERTQVKVYAIGEHCWRDIQPFPAFPYNFEKQGRFLSGTINWLAVQNYSPEYDLDEVTIDQLVIVSLDLGKETYQQFLLPDGLNEAHVHNPRLVVLMDCLCLSYDYKITYFVLWQMKEFGIEKSWTQLVKVSYEYLQIKRFPFPLPGPRFYSSMPLCMSDNGDVLMLIDDQVYEVILYNMRDRKVQHTGIPKHRFQIYAADYVESLILP >OIV99723 pep chromosome:LupAngTanjil_v1.0:LG12:16512962:16518045:-1 gene:TanjilG_26061 transcript:OIV99723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSKSTTSPSISPSPPIFIDLTIDDADHNNTNTNNNSNNPSPPHSISDPLSPRLRNILSHFYKDRSSTDVEFSNFQRRYRPPPTLDFFGSSPSKRIRITQDQEFPEDETHDFNLGLQFERDNLDEFGDDPRDDEVAVEESDSADSNNDVPVPLQNIVPRNYNQYVIEDRRNRYREIARENASKIAHFRPTPDVDNEKVEMDFFDSSTPFSKAMKIIKEREMKKKSLTGLWVPRKSQQDSRFSVPLTLLEMCLQTLAKNVDAIVSLDGIPDFLRHKLSQLLCDSRKMNIRTFELLVNGSPTEIRLRDCSWLLEDQFTKFCQTCDTSNLKVLQLDQCGRCVPDYALPVTLAESPSWLPRLTSLSLSGACRLSDKGLHVLVSYAPALRSINLSQCSLLTPASVKTLAESLGSLLKELYLDDCQNMDAARIVPALKKLVHLEVLSLAGIQSVSDEFIKDYIVACGYNMKELVLRDCIKLTDASAKVIAEHCPVLCALDLMNLSKLTDVSIGYLTNSCQTLHTLKLCRNPFSDEAIAAFMEIRGGTMEELSLNNIRKVGHHTTLSLASRGKNLHTLDLSWCRNLTDNELGLIVDSCFLLRLLKLFGCTQVTDVFLKGHSNPEIQIIGLKMSPLLQNVKMPHHLQGALHYSSAPVDLM >OIW00512 pep chromosome:LupAngTanjil_v1.0:LG12:11869868:11873424:1 gene:TanjilG_24242 transcript:OIW00512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPFSIFLILLSLFSLVQSSCNNTNNGDYDLASKAFKFVTGFNFSTWFQISNCSYTHITKIELPSKNLTGIVSWSYLKNMSNLEVLDLSGNSLQGKIPTWLWSSTTLLGINLSRNKFGGSIIIGSKNTSFSSIQNLNLSQNRFTNWVQLSTFPNLKSLDLSHNNLGTTLPYSFQNLTNLQHLDLSSCNLKGTIKLVSSLHSLLYLDLSNNTFNGNFPSDFPPLETLNLLNISFNNFTFNNYSSFLISKEFKRFGKSAFIKAGNNNEEYSNTPSFPQPHVEKQAIHHHHHKKHKPKHKTLIIALCSASSSALVLLLLFVFTIYKRKKQRCKRAKWEINKPVAHGMMEKSSGPFVFETESGSTWMVELKEPSSAAVVMFEKPLMKLNFRDLIIATSHFGKDSQLAEGTSAPVYRAVLCGELHVAIKVLEYARDVDRDDCVAMFVDLSKLKHPNLLPLAGYCIAGKEKLVLYEYLANGDLGRWLHELPTGDTNVEDWSDDRWEIQNSHINDGSPEKMGWLTRHRIAVGIARGLAYLHHAGSKPIVHGHLIASNILLADDFEPRISDFGLRVDPNLNYDTEADVYCFGVILMELLTGKVGTTETIAATRKAVRDGYSVRVLDEKLELSGDSVNEMVESLRVAYLCTAESTKKRPTMQQVLGLLKDIR >OIV99992 pep chromosome:LupAngTanjil_v1.0:LG12:18426959:18428197:1 gene:TanjilG_26330 transcript:OIV99992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASASAVTFTVGTAPYLAYRGSSFPQSKSFGVRFNSQNHLKSFCGLKATSSVRCESELSFSGKEMSAALRASFASKAQNENQNIQYHLQPQASFKVAVLGAAGGIGQPLSLLIKMSPLISDLHLYDIANVKGVAADLSHCNTPSQVKDFTGASELANCLKGVNVVVIPAGVPRKPGMTRDDLFNINAGIVRDLVTAVADNCPGAFIHIISNPVNSTVPIAAEVLKQKGVYDPSKLFGVTTLDVVRANTFVAQKKNLKLIDVDVPVVGGHAGITILPLLSKTRPSVSFSDEEIEQLTVRIQNAGTEVVEAKAGAGSATLSMAYAAARFVESSLRALDGDGDVYESAFVQSNLTDLPFFASRVKLGRNGVETLIPSDLQGLTEYEQKALEALKPELKASIEKGIAFAQKQAIAA >OIW00394 pep chromosome:LupAngTanjil_v1.0:LG12:12909242:12910810:1 gene:TanjilG_05744 transcript:OIW00394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRLGSSDSLGALMTICPQTEEHSPRNNNHVYGREFQSMLEGLDEEGCGLEETGHHSEKKRRLSVDQVKALEKNFEVENKLEPDRKVKLAQELGLQPRQVAVWFQNRRARYKTKQLERDYGVLKANYDALKLNYDTLQKDNEALLKEIKELKSRVQEENTESDASVKEEMLTLHQDSSEDLKYECFNMNNSEGVEAGSLFPSDFNKDGASDSDSSAILNEEQNHSPINLAISSSGVLQSHNLLMSPSLKFNNCSSPSPSSINCFQFQKATYQTQYVKMEEHDFFSADEACNFFSDEQAPTLQWYCSDF >OIW01050 pep chromosome:LupAngTanjil_v1.0:LG12:359874:364173:1 gene:TanjilG_14233 transcript:OIW01050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFSNSMKTASIFLFFLLLAFALVSAKKSGDVTELQIGVKYKPATCDVQAHKGDKVKVHYRGKLTDGTVFDSSFERNSPIDFELGSGQVIKGWDQGLLGMCLGEKRKLKIPAKLGYGEQGSPPTIPGGATLIFDTELVGVNEKTLEEKPDSEL >OIW00829 pep chromosome:LupAngTanjil_v1.0:LG12:5820892:5824168:1 gene:TanjilG_08268 transcript:OIW00829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESEYDEPLTPAGRLFLQPDTQQIVHCAIGLKNPIQLEIVKQEINNSSLVEHPRFRSLLVHDRNGIEHWRPIQIDLNSHLIIIDQPLPQGDAGLNQYLSDLSIQSNGLSMDKPLWEIHVLMSHNCLVFRIHHSLGDGISLMSMLIASCRNLNNPHQLPNLHLPSSTNPSKPVGRGGGINLWKLVVTMCFCVLFAVEFVLRCFWIRDRKTAITGGAGVELWPRKMATASFLLQDMKTVKNAVRDATINDVLFAIISSGISRYLDSRSSNGLRDGLQLTGLAMVNLRKQPGLQDLSDLMKSNSGARWGNKFGMILLPVYYHRSNNSNPLEYLKRAKAMIDRKKQSFEALFSYKIGDIVMSTLGPKFASYLNYRILCHTSFTISNVVGPQEEIMMGGNPVTFLRANNSALPHALILNMVSYAGRADMQVQVAKDIIPDPDFLAKCFEDALLEMKEQVSVKI >OIW01038 pep chromosome:LupAngTanjil_v1.0:LG12:263859:265714:-1 gene:TanjilG_14221 transcript:OIW01038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTYHILLCRNSKTTTVAVDHSTLGGGMNISLHRARRWFYGTDMSFCIRVIIGKNDGPMHDALIHLMGYEEDRGKQPYHPDKRIGCFWDLAGSDEVRMNHHSLQYNSVTLQP >OIW00982 pep chromosome:LupAngTanjil_v1.0:LG12:1007090:1009114:1 gene:TanjilG_16231 transcript:OIW00982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTTSTTLKFNQILAKEKKHELHNNLEETQQIHSHFIKTCLNFSHHKLPFPSLKSYSSTEAIQSFLITSYNNNNCPQEAVKIYADMRKEDAEVDNFIIPSVLKSCSLIPSTMQGQEVHGFVVKSGFQSDAFVCNALIMMYSEVGKSKSARLLFDKIDNKDVVSWSTMIRSYHRSGFLEEALNLLRDMHIMKVKPSESAIISTIHVFAELGNLKLCKAMHAYVIRNMNYYMKSEASLSTSLINMHVKCSNLSYARRVFDGLSEASIISWTAMISGYIHFNDLYEVTRLFTKMLQEGIFPNDVTILSFVKECCNAKSLELGKCLHAFTLRNGFTISLVLATAFIDMYGKCGDVISARSVFDSTKNKDLTIWSAMISTYAQNNTIDEAFDAFFQMNCNGIRPNELTMVSLIVLCAKVGSLEKGKWIHSYINKEGIKEDLVLKTSLVDMYAKCGDINMAYRIFNAATIRDIAMLNAMISGFAIHGHGYAALKLLEETESLGLFPNDITFIGAIHACSHAGLVQEGKMVFHKMVHGFGLVPKVEHYGCMVDLLGRGGLLDEAMELIQNMTMKPNDAVLGSFLGACKVHKNVKLGEWVAKKFLSLESEKFGYNVLMSNIYAEASRWSNVADIRRSMKDVGASKEPGVSSIEINGSFHEFTMGDREHPESQNIYEMIDAVF >OIW00711 pep chromosome:LupAngTanjil_v1.0:LG12:8947080:8950276:1 gene:TanjilG_09680 transcript:OIW00711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPQQSQPQSQSSAPSAQDEALKRNTDCVYFLASPLTCKKGNECEYRHSEYARVNPRDCWYWLNGNCLNPKCSFRHPPLDGLIGTPPATSSGPSLPSVPLLQHPTPSATHAPYSSSKPAVPCIFFQKGLCLKGDRCAFLHGPIPNTGNKVVTQAPMNSQGTEAQNFKKPFGGIEKNTQERKFSHGNVTKPVGGLEVKPFQKVETAPQKKFFELKKHVPPPSAGFEEEASIFNVTSTPPVINDPTVVRSSRLHQAYVPDDNSFHNGKDSDEFLRESSPGFDVLVDDELRNSDYYHGEDDFGNTRGQDERNLDSLNEYDLGHSADYSLAADIERDRFRVPQGYDSYDHTQETYMWEQHRKPSAHLERRPHRRSDSPNNAEVSDLRLHISKRRKGNGLKSVVAHDYALESRDEERSQRHFPRMDSLQLPSNESSISNRFRGRINLPVNGGDVNQERESDRGRIGSRLSSGRLPAPHQGRFQDRIRGRVQHGERGNFRQSLQGRELMGDRTNDFYAPKRLSELKNGRNSENREQLFLGKRKGLRDHQQSDDDFQFDGPKPLSEILKEKKRGVGAGAASQSGNSSENKNIEITNNSDHTSLASTQNGALFETVENVKNHEEVSKAEVTDASHGQSSVEGLIYDEAAEDQKYEGDDQIDGEYDYDQVDEGDYEYEQVDEGENPEQEYMDEEEDGDDFAKKIGVVLT >OIV99766 pep chromosome:LupAngTanjil_v1.0:LG12:16821477:16825033:-1 gene:TanjilG_26104 transcript:OIV99766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNFLLTNDVKKILKRKDSDAGETGRALENLRASLFNEFRSTEGVKRQQRTSGPAAALFFNFLVAVGIIFMNKMVLQTVQFKFPILLSLIHYAVSWLLMALLNVFSLLPASPSSKSTQMSALFGLGIVMSLSTGLANVSLKYNSLGFYQMAKIAVTPSIVMAEFVLYRKKVSWPKIEMLTIVIETSQALALTVVSIGVAVATVTDLQFQLFGACVALAWIVPSAVNKILWSRLQQQENWAALALMWKITPITLIFLAAMIPWLDPPGVLSFDWNISNILVILSSAILGFLLQWSGALALGATSPLSHVVLGQFKTCVILLGNYYLFGSNPGISSIFGACTAIAGMSVYTYLNLKLHSNKIFPGQASTLPKSRHDKENGSARDGNHGAESV >OIW00047 pep chromosome:LupAngTanjil_v1.0:LG12:18741275:18742324:1 gene:TanjilG_26384 transcript:OIW00047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFWSSTFPILLGLLSFLLLLHPITSIRLGLVRRGTSTPDLPQFREAPAFRNGQECGSMEADRINVAMTLDANYLRGTMAAVLSMLQHSTCPENLAFHFLSAHDAPELFSSIKSTFPYLNMKIYHFESNRVRGKISKSIRQALDQPLNYARIYLADSLPEDVQRVIYLDSDLVVVDDIAKLWGVDMEEKVVAAPEYCHANFTVYFTEMFWKDPVMSKTFKGRNPCYFNTGVMVMDVGKWRKEGYTKKVEEWMTVQKQQKRIYHLGSLPPFLLVLAGNIKAVDHRWNQHGLGGDNFEGKCRSLHPGPISLLHWSGKGKPWLRLDSRKPCTVDHLWAPYDLYRSSRHFFEE >OIW00771 pep chromosome:LupAngTanjil_v1.0:LG12:7234307:7235275:-1 gene:TanjilG_22270 transcript:OIW00771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHGESSNDDDNIRKLSQQLQELRDQIQVEKDKKKELDKAFNKYKFIKGKLPIDNLSFEELLEFKASLVVKFLHQGQASHMSHGESSNDDDNIHKLSQQLQELRDQIQVEKDKKKELDKAFNKYKFIKGKLPIDNLSFEELLEFKASLVVVQDNFPASNNELEAA >OIW00525 pep chromosome:LupAngTanjil_v1.0:LG12:12044304:12045149:1 gene:TanjilG_24255 transcript:OIW00525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQILFLSLLLLPLIFSTTTLAQSPASAPTKAPAKKPAPTTLAPAPAKPLVPSLPQSPSSDSGSQDIIKILRKAKSFNTLIRLLKTTQIINQVNAQLVTTKSGGITILAPDDGAFSQLKAGFFNSLGERQQKELIQFHVLPVYVSSSNFDALSNPVLTLASDSPTGFQINVTAYENSVNITSGVVNATVTGIVYTDKTLAIYHVDKVLIPLDFSKPKSIAPAPALAKAPKADKENSSAEDDDQGETTKNSSGAISLLSSVHGATFVSLGAALFAAAAAISC >OIV99971 pep chromosome:LupAngTanjil_v1.0:LG12:18287437:18288543:-1 gene:TanjilG_26309 transcript:OIV99971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIENSSKGYQAKKEGDSTNIEKLSMAPYTTSTSSKQWAAFRNPRIVRVSRALGGKDRHSKVCTIRGLRDRRIRLSIPTAIILYDLQHKLGLNQPSKVIDWLIEATKLDIDNLPPLQIPHGFPQFHHQQTILPYHNHHESSTASHNHFASGGFYDANISTFIKGNEENHQKLLGKSRYWDLDSEHSRLKGKEAESSVMFNISQKGKWVKTGHQENQDAVSYNSYHSEPSILSLSQFGSHGSLFPSHVDPHQNSDSGVQFSSSNLAVPSGSQLIFCPPSATTSPFTPHAPFMANSSVENDPRQFKHVQILSSLSNNSQVMQPHPLIQSLHSFYSPLSRRHPIMPFNSKLLDSDINKNCSRPNKGSGSPS >OIW00659 pep chromosome:LupAngTanjil_v1.0:LG12:9679403:9680745:1 gene:TanjilG_09140 transcript:OIW00659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNRDSPSWREKMKSLNIVDTPVDNPVIKLHKEDSSWQIMQKICQTTTTYNDSKTNPPQIECVLKVLNHQKTFSSFEECREMAMNNAEKLQDKLPRCFADGNELLRFHGTTIACSLGMNNGSSDLLCTLDQCGLCQILRHGFSTKEQEFQGAVGVLTTSTSDQAFDSIGSSCNDCMRRCVIVCRVIGGRIHNPLHEIQEVVNADLGFDSLVKKKRAGSDIEELHVLNPRAILPCFVVTYKL >OIW00922 pep chromosome:LupAngTanjil_v1.0:LG12:1501112:1505032:-1 gene:TanjilG_10000 transcript:OIW00922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVATDPVRVLVTGAAGQIGYALVPMIARGVMLGPNQPVILHMLDIEPAADALKGVKMELIDAAFPLLRGVVATTDVTEACKGVNIAVMVGGFPRKEGMERKDVMSKNVSIYKAQASALEQHAASDCKVLVVANPANTNALILKEFAPSIPEKNITCLTRLDHNRALGQISERVNVHVSDVKNVIIWGNHSSTQYPDVNHATINTSSGHKPVKELVSDDNWVKTEFISIVQQRGAAIIKARKLSSALSAASAACDHIRDWVLGTPKGSWVSMGVYSDGSYGIQPGLIYSFPVTCEKGDWNIVQGLKIDEFSREKMDKTAEELIEEKTLAKSCLN >OIW00420 pep chromosome:LupAngTanjil_v1.0:LG12:13200283:13210205:-1 gene:TanjilG_05770 transcript:OIW00420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNQLLYWKLVLAFYISCHFVAVAQSEDFTLPIKAVNLGNWLVTEGSMKPSLFDGIINKDLLDGTQVQLMSTKLQKYLCAEHGGGSILVLNRTRARGWETFRLWRVNESAFNFRVSNKQFVKQGKGNKLVADSDSPTHMETFQIVRNDGDPNRVRIRAPNGLFLQAISETLVIADYEGSAWDENDPSVFNMTVLTDRSLNGEYQITNGYGPDKAPQIMQDHWNTYITEDDFRFMSENGLNAVRVPVGWWIVHDPTPPKPFVGGSLKILDNVFTWAQNYGMKVIVDLHAAQGSQNGRSHSASRDGYQEWGDSYIPDTVATIDFLAERYGNRSNLVAIELMNEPVGVNLQSLKNYYQAAYEAIRKHTLSAYVIMSNPLDRDSKVLLSFAGAFNRVVIDVHYYSLFSDTFNNMNVQQNIDYIRKQRASDLSSLTSSNGPLIFVGEWSGVWKIESASKLDYQVFEKVQIDVYSRATFGWAYWSYKCNSNYWSLKWMIENNYAISETLVIADYEGSAWDENDPSVFNMTVLTDRSLNGEYQITNGYGPDKAPQIMQDHWNTYITEDDFRFMSENGLNAVRVPVGWWIVHDPTPPKPFVGGSLKILDNVFTWAQNYGMKVIVDLHAAQGSQNGRSHSASRDGYQEWGDSYIPDTVATIDFLAERYGNRSNLVAIELMNEPVGVNLQSLKNYYQAAYEAIRKHTLSAYVIMSNPLDRDSKVLLSFAGAFNRVVIDVHYYSLFSDTFNNMNVQQNIDYIRKQRASDLSSLTSSNGPLIFVGEWSGVWKIESASKLDYQVFEKVQIDVYSRATFGWAYWSYKCESESSLILIRHGESLWNEKNLFTGCCDVPLTKRGVEEAIEAGKRISYIPIDMIFTSALIRAQMTAMLAMTQHRQKKVPIIIHNESEQATTWTRVYSGKTMNQSIPVITAWQLNERMYGELQGLNKQETAERYGKEKVYEWRRSYDIPPPKGESLEMCSQRAVAYFKDFIEPQLKSGKHVMLAAHGNSLRSIIMYLEKLTSQEVTDLELSTGIPLLYIYKEGKFMSRGSPVGPSEADVYAYTQNLAVYRQQLEEMPH >OIW00644 pep chromosome:LupAngTanjil_v1.0:LG12:10127587:10128213:-1 gene:TanjilG_09125 transcript:OIW00644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIYMKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKHIKGKG >OIW00593 pep chromosome:LupAngTanjil_v1.0:LG12:11494738:11496195:1 gene:TanjilG_14819 transcript:OIW00593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFKRATNLALSSTFMLLWIGFANSDINQDKAECSNQLIGLANCLPYVEGQAKVPTIDCCSGLKMVIDKSKRCLCVLIKDRDDPNLGLMINVSLALNLPTACHTTTNLTQCVDLLHLAPKSPDAKVFEGFDKAIGTNSSSPIPSANNDATGKGTNTSAQDQNGGGWGRRWQVSEVICGILPFVFTSQFFLV >OIW00891 pep chromosome:LupAngTanjil_v1.0:LG12:3058449:3062754:-1 gene:TanjilG_20892 transcript:OIW00891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRYINGRTARRFLSTSATARGWWNHVRPAPKDPIVSVNEAFLADPFPLKIHLGVGTYRDDDGKPLIPHCVRDAEAKIERCKLENLNSSAVTSKFVLESVKLAYGNDSYVVREGLFAGIPALSGTGACRLFAEFQRHFYPDSQIYLPDPTWSNHHNIWGQAEIPIKTFHYYNRDTKGLDFAALINDVKNAPDCSFFLLHPCAHNPTGVDPTEEQWREISYQFKVKNHFPFFDMAYQGFSTGDLDKDAVALRIFLEDGHLIGCAQTFAKNMGLFGHKVGCLSVLCQDIKQASAVKSQLQKIAHSMYSSPHIHGILLVTMILSDPDMKALWRKEINVIAALTFMVMAKRIQTMRSSLRQSLETLDSSFNWEHITTQGGMFCFSGLTPDQVKLLEKIFHIYMTPDGRISMAAVTTSNVKYLANAIHQVTRIDEEALTACNNRF >OIW00279 pep chromosome:LupAngTanjil_v1.0:LG12:15063568:15066284:-1 gene:TanjilG_27530 transcript:OIW00279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLGNRTPSMKRIDSHYDVPEGVDIRGRYDEEFAKILTRDALKFVADLQREFRSHVKYALECRREAKKRYNEGALPGFDPATRRIREGEWVCASVPPAVSDRKVEITGPVDRKMVINALNSGAKVFMADFEDALSPSWENLMRGQVNLKDAVAGTISFNDKSRNKVYKLNDQTAKLFVRPRGWHLPEAHILIDGEPATGCLVDFGLYFYHNHATFRANQGAGFGPFFYLPKMENSREARIWNNVFERAEKVAGIERGSIRATVLIETLPAVFQMDEILYELRDHSVGLNCGRWDYIFSYVKTFQAHPDRLLPDRILVGMTQHFMKSYSDLLIRTCHKRGVHAMGGMAAQIPVKDDPVANDAAMELVRKDKLREVVAGHDGTWAAHPGLIPACMEIFNTNMGNVPNQIKTMKREDAANLTEQDLLQIPRGTRSMDGLRLNTRVGIQYVAAWLTGSGSVPLYNLMEDAATAEISRVQNWQWIKYGVELNGDGLGVKVNKELFGRVVEEEMARIEKEVGKEKFKKGMYKEACKFFTKQCTSPILDDFLTLDAYNHIVMHHPRGLSNL >OIW00288 pep chromosome:LupAngTanjil_v1.0:LG12:15149936:15151805:1 gene:TanjilG_27539 transcript:OIW00288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTSLSLFFFLFSLLTLTTLISSSPLQDPELVSQEVNREINASMARRNLGYLSCGTGNPIDDCWRCDPNWENNRQRLADCAIGFGKNAVGGRDGKIYVVTDSGDDDPVTPKPGTLRYAVIQDEPLWIIFARDMVIKLKEELIMNSFKTIDGRGASVHIAGGPCITIQYVTNVIVHGINIHDCKQGGNAMVRDSPQHYGWRTISDGDGVSIFGGSHIWVDHCSLSNCNDGLIDAIHGSTAITISNNYMTHHDKVMLLGHSDTYTQDKNMQVTIAFNHFGEGLVQRMPRCRHGYFHVVNNDYTHWEMYAIGGSANPTINSQGNRFVAPNDRFSKEVTKHEDAPENEWKEWNWRSEGDLLVNGAFFTPSGAGASSIYARASSLSARPSSLVGSITTAAGALSCRKGSRC >OIW00762 pep chromosome:LupAngTanjil_v1.0:LG12:7739386:7743084:1 gene:TanjilG_19202 transcript:OIW00762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSLTCMSLSSSPHTFLKPTHKPLLNATTCRPFPPLKRRSCFTTKALLSNTKEFVLKDFQERRALKIITGLQNFNKDNVASVVIAAEKGGATHVDIACDPELVKLALNLTSCPVCVSSVDPATFPAAVEAGALMVEIGNYDSFYEKGIVFTPQQILGLTKETRRILPSIVLSVTVPHTLSLPDQVKLAESLELEGVDIIQTEGGKCCNPTKSGVLGLIEKATPTLAAAYSISRAVKIPVMCSSGISAVTAPMAITAGAAGVGVGSAINRLNDVVAMIAEVRSISSSLHTSFQTYPTHEVETHSHRN >OIW00021 pep chromosome:LupAngTanjil_v1.0:LG12:18596744:18598894:-1 gene:TanjilG_26358 transcript:OIW00021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSNCSLFSLFPPSSNSIITSRRISTLIIVSSISSSNVTHWKKHSRTKILKTLNPKFSLSHQPQEESVEFQDLRVSSGGVQEAKFTKSAHEVITVSLEENQDSGPIFEKDDVHSINGSSRHGLAEKTSAANGDEVKQANTNSDKWWLNLSYVLVILMQRDSKEGQKALYKLKYTSVEQGQSVDSYIVAFEDYGDANNFTVLLESFFEDIDDFSAYPVPMTIQELNEEIASHAKKVVVVKKRQLQLYAGQPFSDVEMSLHSLIEQDQNVPQLIT >OIW00426 pep chromosome:LupAngTanjil_v1.0:LG12:13237442:13238773:-1 gene:TanjilG_05776 transcript:OIW00426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENFNSFLRFILLFATLLCYGSIVTSTTQGFEASFTPSTHSSPPPPTPQDHQEHSLFSHTALLPPILSHLGFHNLATAAPSLSDSTSTGAPSAWTGPSTIFAPSDASLRTCFSCSIPSLLREHMVPGLFTIDYLRQLAFGTKIETLSPGRCITVTSDSVHQNTNSSATAKVFIGGVEITQPDLFNNGMIVVHGIHGFISPLSPFSCDVERMTSLSFPFHSDHRSGQHLHTPGANAAQAAIMRLMLRDAMLRLRNNGFSILALAMKVKYAELVTLSNMTIFAVDDLSIFSGSQSYIHNVRFHVVPNRHLSIADLEKLPVGTPLPTLERGQPLLITTSGGGTTLAPMRINYVRIKTADVIRNVRIMVHSVYLPFPHINPVAAAYDSILGGGGVSEGTENMPHEEGTCTATADGQGSCGVPPMAMPQVQVKPVAMAMVEIEDHHGL >OIW00403 pep chromosome:LupAngTanjil_v1.0:LG12:13028740:13033757:1 gene:TanjilG_05753 transcript:OIW00403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTMSLTFTLSSTITSSSSSLPNFTPTLLTKHHPIINLFSSKHKHNYSHKHRVFSVSASSSPSLEALIFDCDGVILESEHLHRQAYNDAFTHFNVRVPSSSSSYDDQQPLNWGIHFYDELQNRIGGGKPKMRWYFKEHGWPSSNLFETPPTDDNDRAKLIDTLQDWKTERYKEIIKSGTVEPRPGVLRLMDEAKAAGKKLAVCSAATKSSVILCLENLIGIERFQSLDCFLAGDDVKEKKPDPSIYVAASKKLGVSEKDCLVVEDSVIGLKAATKAGMSCVVTYTSSTADQDFKDAIAIYPDLSNVRYNALLTSEDTSTCDPNFEYLRASTLMRPSVVSLGITLFPPTNTCCVYSPANLENKGELDLKDMKCGAYASVSPLGTILLALYFGFKK >OIW00111 pep chromosome:LupAngTanjil_v1.0:LG12:15686278:15698663:-1 gene:TanjilG_29101 transcript:OIW00111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLQNTVKEALNALYHHPDDTVRMQADRYLQDFQRTLDAWQVADNLLHDPNSNLETLIFCSQTLRSKVQRDFEELPSTAFRPLRDSLNTLLKKFHKGPPKVRTQISIAVAALSVHVPAQDWGDGGIVIWLRDEMDSHPEYIPGFLELLTVLPEEVVNYKIAARPERRRQFEKELTSQIEVALNILTACLTISELKEQVLEAFASWLRLKHGIPGSVLSSHPLVLTALSSLNSEFVSEASVNVISELIHYSAAGTIDGIYANMPLIQVIVPQVMNLKAQLSDSTKDEEDVKAIARLFADMGDSYVELIATGSDESMLIVHALLEVASHPEYDIASMTFNFWHSLQLNLTKRESYISYGNEASIEAERNRRLQVFRPAYESLVSLVSFRVQYPEDYQDLSYEDLKEFKHTKYAVADVLTDAASVLGGDATLKILYMKLLEAVSGHASNEQKEWRPAEAALFCIRAISHYVSVVEAEVMPQIMALLPKLPHQPQLLQTVCLTIGAYSKWLDSVSCGLSILPSVLDILMNGMGISEECAAAAALAFRHICDDCRKKLCGCLEGLFHIYNKTVNGEDSFKVPADDSLHLVEALSMVVTELPPDDAKRALEALCMPIIPPLQEAINQGPDMLSKKPSRQLTIHIDRFAYIFRYVNHPQVVADAIQRLWPIFKAIFDLRAWDMRTMESLCRACKYAVRTSGRFMGLTIGAMLEEIQSLYRLHHQPCFLYLSSEVIKIFGSDPSCADYLKNLIEALFQHTTLLLTNIQEFTARPDIADDCFLLASRCIRYCPQLFIPSPVFPSLVDCSMIGITVQHREASNSILHFLADIFDLAKSSTGEQFLPIRDSIIIPRGSTITRILVASLTGALPKSRVDVISYTLLALTRTYGMQALEWAKESVLLIPSTAVTDVERSRFLKALTDAASGVDTNGLTVPVEELADVCRRNRAVQEIVQEALRPLELNLVRVS >OIW00712 pep chromosome:LupAngTanjil_v1.0:LG12:8954377:8958726:-1 gene:TanjilG_09681 transcript:OIW00712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIRDFILFSETQSSNWWHDIDVSPLWQDRIFHILAALYGIVSAIAFVQLVRIQLRVPEYGWTTQKVFHFLNFLVNGVRCSVFIFRRDVQKLQPEIVQHMLLDLPSLAFFTTYALLARAVSTDGLRPSFYTINAVVYIVQIALWLILLWKPVSMLAIMSKVFFAGVSLFAALGFLLYGGRLFLMLRRFPVESKGRRKKLQEVGYVTTICFSCFLVRCVMMCFNAFDKAADLDVLDHPILNFIYYLSVEILPSSLVLFILRKLPPKRGITQYHPIR >OIV99977 pep chromosome:LupAngTanjil_v1.0:LG12:18323891:18326492:-1 gene:TanjilG_26315 transcript:OIV99977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFWCSSLPMDDEFKELVIRMNNPRKFHHMLSRRSSSGIRKYHCTEIPPTDGHNPLK >OIW00893 pep chromosome:LupAngTanjil_v1.0:LG12:3048290:3051297:-1 gene:TanjilG_20894 transcript:OIW00893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVNAAISDMSVNRFEPGSGNVVGDGVAKKEKEECGLRTEIDTSVPFESVKEAVTRFGGLGYWKPISNNNNKKLVFAERTEELDAGKLEEEAAVLEKELILKERDTLHVLKELESTKRLVENLKSKLQKEESEANLNHEMSVCDSIASVKEKEDKKNQVSHVLQHSSECCSRYPSPNPGLILMELKQAKLNLNRTTDDIADVRASVESLNKKLEKERISLEKTRERLTKNSSKISSLEEELNQTRLRLQVAKDAEIKGGFDDPSDITRELQRLISEADHFKKMGEVAKSEVLRAMSEIEQTKAMISTAEIRLFAARKMKEAARAAEVSALAEIKALSYHENSGGDGMQKHEGVTLSFEEYTALTCKARESEELSKKRVFDAMLQVDEANLSKMDILKRVEDATKEVKTSKMALEEALERVEAANTGKLAVEEALRKWRSDSHKRHSSIHNTNKFKNSYPSPHRRDSRLFNVNGLNLTNDEPKPVLKPTLSIGQILSMKLIPLEEYEGKMLRERSSAKQKVSLGQMFAKQNDDPSFDRQVEKENTQKQFSAQRKKFGFSRFSLLLTKQQKKKKPTLNLR >OIW00725 pep chromosome:LupAngTanjil_v1.0:LG12:9178385:9180270:1 gene:TanjilG_09694 transcript:OIW00725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMHHHHHNHVGVEKNTDFWPVEHPMEPPDEDRPVKCPMPESSLINDGGMHENRYAESLRKRVEASGAMEDKERTATTGTEPPARGVRKRHHTLTNGGDLVMTPLMRMPSLPPIPAKNMTIFKMLQELDKFES >OIW00087 pep chromosome:LupAngTanjil_v1.0:LG12:18959300:18960764:-1 gene:TanjilG_26424 transcript:OIW00087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMQNMLRVVWLVSVFSFVLLTSTYAVAQTCASHSFSNNKVFATCRDLPELTSYLHWTYDQNTAKLDIAFRHDGIKSTDSWVAWGINPRNVLDPAMIGAQALVAILQSNGTTRVYTSSIASTSTQLQDGTISYDVTGLRATYQNNEVTIFATLTLPNGTTSLVHLWQDGALSSSSIPQQHYQNPTHLSSKETLDLLSGQTQPSSTANSREIDKVFFIIFQTHGVINALSWGILMPTGAIIARYLKVFKSADPAWFYLHIMCQASAYVVGVAGFGTGLKLGSDSVGVEYDTHRSLGIVLFCLGTLQVFALFLRPNKDHKHRLYWNVYHHAVGYATIIISIINVFEGFNTLENYVGDRYNRWKHAYIGIIGALGGIAVFLEAYTWIIVFKRRQSENKMPESINGANGHDSRPQHV >OIW00684 pep chromosome:LupAngTanjil_v1.0:LG12:8345780:8349263:-1 gene:TanjilG_09653 transcript:OIW00684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTDHHNELIQLGINPSLKWIESTHKFPNVIFCAPPSRTPDYADNIRLAASSWNGEGCILFTSSSAPYDCSDNGPCDEDTPVVPIGRVPRIDVLLKAENVILEFGDRGAHNYYLEKGIVDSRPDHILNLIHYEDAASLSVAILKKKFRGQIFLGCDNHPLSRQEMMDLVNKSGKFSKKFDKFTGTNDHLGKRLNNTRTRGEVGWEPKYPSFAHFLESI >OIW00779 pep chromosome:LupAngTanjil_v1.0:LG12:7050461:7052281:1 gene:TanjilG_22278 transcript:OIW00779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSEKHFSSAYDSSSQTFDPSYMSFTECLQGGMDYNSLASSFALSPSSSKVFSSIEDNQKPSELGDIVGVGGGGETLATLNSSISSSSTEAGAEEDSGKSKKDGHVKGEGGEKSKKGNKDKKKGEKKQKEPRFAFMTKSEVDHLEDGYRWRKYGQKAVKNSPYPRSYYRCTTQKCTVKKRVERSFQDPTTVVTTYEGQHNHPVPTSLRGNAASGMFTPMFTTSTASFPQDLLLHMHNQNHHSLMFQNNNSNIQQSMNTNTNASAGSIYSHNNNINNYLLQQFNNHQNIPQEYGLLQDVVPSMFLNKSNQN >OIV99927 pep chromosome:LupAngTanjil_v1.0:LG12:17992815:17998057:-1 gene:TanjilG_26265 transcript:OIV99927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGIALLKKFSRTTPIAFSNTFGGGGGGGGQSNTHSSTFRLPFTALTTISGGLSSFYYFSSPNLVHSEKVQEGGSKNIALVPDKWIEFKLQDTARVSHNTQLFRFSFDPTKKLGLDIASCIVTRAPLGQNAEGKPKYVIRPYTPISDPESKGYFDLLIKVYPEGKMSQHFASLKPGDVVEVKGPVEKLRYTPNMKKHIGMIAGGTGITPMLQVIEAVLKNPDDKTQISLLYANVSPDDILLKQKLDVLAASHPNLKVFYTVDNPTKNWRGGAGYISKDVVVKGLPSPSDDTLILVCGPPGMMKHVSGEKAKDWTQGEISGILKEAGYTEEMVYKF >OIW00363 pep chromosome:LupAngTanjil_v1.0:LG12:12595705:12597967:-1 gene:TanjilG_05713 transcript:OIW00363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLILYCLCYRTMQPKGKQGKTAEPKNHEQNTEGTSSNNTNNNRERKEKVAEVVEDSSHSASLNEQAYRPPAGYLECPSLTPERSSHEEVENVGNSVSAARFTVDKPDAWEAHVLGHSSTSSSLNPAQVITLQKGNEVNSVVQGLARDMVDKYQVKLVLIPIVKQIICKHGDIFKNCTVVTTKYRSKLLEMICNIIIDLQEKKFSETNEDHLQDIVLLLDDMKNKNVDVEWLHQRLVEILQAREVLKQTSMLKEKREFSRQKVENAEKELKEKERDRDGLAILLKVACAEVADCKEKLDATRNESARIDETIADSESKADCFLNCSLVDDLL >OIW01049 pep chromosome:LupAngTanjil_v1.0:LG12:347382:356066:-1 gene:TanjilG_14232 transcript:OIW01049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENDGRVDDLKIPLLETEEEECVKDRTVLFQISDIKCASCVNSVESAVRNINGVKSIMVSPLDGRAAIKYAPKFITAKKIKETIEDSGFRVDNVQEQNISVCRVRIKGMTCTSCSESIENALQMVDGVKKAIVGLALEEAKVHFDSNLTNADKIIKAIEGGGYGADLISFGNDVNKVHLKVEGVDSAKDIDVIMSCIELAVGVNHVEMDLTEHTVTVGYDPDFTGPRTLIHCIQAASCGPKIYQASLYSPPGQIGRDKVNEIRMYRNQFLLSCLFSVPVFVFAMVLPMFPSCDKWLNYKIHNMLTLGLLLRWVLSTPVQFIVGKRFYIGSYHALRRRSANMDVLVALGTNAAYFYSIYVLIKASTSDTFQGQDFFETSSMLISFILLGKYLEIMAKGKTSDALAKLTKLVPDKAYLIEIDTGGNIITETEIDTQLIQKNDIIKIVPGAKIPVDGIVIKGQSYANESMITGEARPVDKSPGDKVISGTINENGCLHVKATHVGSDTALSQIVQLVEAAQLAKAPVQKLADDISRVFVPIVVVAALITWLGWYIPGEAGIYPKHLGPKVVDPFELALQFAISVLVVACPCALGLATPTAVMVASGMGASLGVLIKGGDALEKAHKVKIVVFDKTGTLTVGKPVVVSAVLFSEFSMEELCDMTVSVEASSEHPIAKAVVAHAKRLRQKFGSRTEDVPDVKDFEVHVGAGVSGKVGKRTVLVGNKRLMHACNVPICSEVERYICENEIQARTCVLVSIDGKIAGAFSVSDPVKPEAKRVISFLHSMGISSIIVTGDNFATAIAIANEVGIDEIFAETNPLGKADKVKELQMKGMTVAMVGDGINDSPALVAADVGMAIGAGTDIAIEAADIVLVKSSLEDVVTAIDLSRKSMSRIRLNYIWALGYNILGMPIAAGVLYPFTGIRLPPWLAGACMAASSLSVVSSSLLLQFYKKPLHIE >OIW00597 pep chromosome:LupAngTanjil_v1.0:LG12:11533042:11535177:1 gene:TanjilG_14823 transcript:OIW00597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYSKRASLPTISSLRKLCIQYRCCTRNVADCVKTINALRAISTAAEKSDFQLSGAYQTDDSLGYQQNHAGYYQGRYSNADSQNPVQGLEYVAQANTTAYREQNQPGLNQNWGYTGGIRNDHCGNFGGSYGNNHGSAGKFSHGAGQIADAGHISLSGNGVQNNLVGYNGTVNGYHGQSNTKMQQNLVGDDFNNSRGYEKHPNAFVENHNGTQEPRKWMQPPNAYSSPRMFESQRNLNGNLTQNMGYFQPSPNDHYSRSNEMSQQYLGSGQHQQNLKDDQYPPHLNTVDRSTGASYQSSNANLDGGPADASNVAPHGTLEQLDYFCMEGKVKEAIEVLELLEKQHIPVDLPRYLKLMSQCGEAKSLEEAKIVHKHALQHLSPLTVSTYNRILDMYFECGSVDDAVEVFNNMPERNLTTWDTMIMQLTKNGFAEDSLDLFTQFKKSGLKPDGQMFIGIFNACSMLGDIDEGMLHFQSMNKDYGIVPSLAHFVSVVDMIGSSGHLDEAFEFVEKMPVEPSVDVWETLMNLCRAHGNTELADRCAELIEQLDPSRLNDQSKSGLLPVKASDLNKEKEKKLASKNLLEVKSRVHEYRAGDTSHPENDKLYALLRGMKSQMKEAGYIPETKFVLHDIDQEGKEEALLSHSERLAVAYGLLSSSARSPIRVIKNLRVCGDCHNALKIISKLVGRELIMRDAKRFHHFKDGICSCRDYW >OIW00915 pep chromosome:LupAngTanjil_v1.0:LG12:1412326:1413018:1 gene:TanjilG_09993 transcript:OIW00915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNPKRRLEKRSKVELEMGSDEIPDLTKKVPANVLSHILTFLPLDEAIRSGILSKKWKDLWRNTTHIELNEKKLIKPLSQLLISRKFVPTKDVTKGANRYALLVYRIMFHHYGDLPSFRILHLWKSLLLGEVQSWVEYVLKTREGVQKLSLECELDNGEMGEWFLFKDDIPKLNFSKGIFQSLGSLEMINYNINCSNAFVGCKNLKTLKLEKINLADRIINDILNNCVVL >OIW00797 pep chromosome:LupAngTanjil_v1.0:LG12:6465789:6466496:1 gene:TanjilG_18107 transcript:OIW00797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTTLLITFLLILTLSLSSLPIPSLSQLCNPQDKQVLLQIKNQLGNPTQLASWDPSTDCCNTTWQGVSCDTDTKTYRINDLSLSNLNLPKLYPIPPSLGNLPFLAILDINNILNLVGPIPLAIVNLTKLRYLYISHTNVSGSIPEFMSQIQTLVTIDFSYNKLSGELPSSLSLLPNLVGITFNDNFLTGPIPESYGSFSNLFTSITLQRNQLSGNIPTTLAKLNLAFVDLSSNRY >OIW00208 pep chromosome:LupAngTanjil_v1.0:LG12:16387878:16388477:1 gene:TanjilG_29198 transcript:OIW00208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNASMDSPFEALLFNYSTFNFLTLFINNLWTWLAAATFWKFSSTKPEFLQLPHSPVFDQPDPIPEVLESDAVTTEPACVPSSMVANVCDDVDGVRKGKVTIYFEDDVECDNGEVLNVVGKWEEGDGVRYNGCESEWWENWERLLKLKVGENENGWYTWQDLTDINGNVVRLWDSGEFRFGAFTQESRSRSCSSCVHLWN >OIW01060 pep chromosome:LupAngTanjil_v1.0:LG12:456512:462507:1 gene:TanjilG_14243 transcript:OIW01060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSFDEKDYASCCGSSRFAKEMVIASPFSSLQHSISVARNIWFNKIDVNSWLQAFSAHPQIGQTHSPSVASQTSAQWSKGEQSTALATATGSSLQELSEWNAQYRNKFGFVFLICASGRSTDEILAELKKRYTNRPIVEFEIAAQEQMKITELRLAKLFSSKESISSTTNKDSIVVAKKAEEDRISIIGGHVTSDSANSPGKSIQLPSRTRPPITTHVLDVSRGAPAAGIEVLLEAWRGTQPRPTFGVADSGSWIVQGSSTTDSDGRSGQLLSIVDDVNPGIYRISFNTGMYNPNGFFPYVSIVFEILESQKKQHFHVPLLLSPFSFSTYRGS >OIV99837 pep chromosome:LupAngTanjil_v1.0:LG12:17372213:17376117:1 gene:TanjilG_26175 transcript:OIV99837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIVVRSLWQLRGPFQSQTYTLISGRVVETPHHFENCYHIHTSAYRATHPTEANFVKNPSFTITTIFSIDAAKLTNENSKLVFRLMEFVVLVTETNRAGPRIENERRIANGELAEGDACQKHKGLSDPLDVVAGEVSAEAILLCLDEFMVTDALILNCLFGHLLAKELCYMRIVTISEAIQMAPRTASRSRKNDESDLCVDNELGFAKDHTISRYNVHKKPSYLLIFVTMIWSSQSTFTLLPTIWNMDELDPNWLMLLNDIVT >OIW01047 pep chromosome:LupAngTanjil_v1.0:LG12:339737:340126:-1 gene:TanjilG_14230 transcript:OIW01047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVLLMRLIILIIATLSTLLTRLILTSIICAVMLLIQAIKVPSEAIHEGFRQVAEAIMACLELIFQLMIEAITMLLTSVFDLLRDNIRGMVESMFEGVVEKFKSSIEESSLKDELLDMVSKIVSETWNN >OIW00458 pep chromosome:LupAngTanjil_v1.0:LG12:13547986:13548524:1 gene:TanjilG_05808 transcript:OIW00458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLIFTWRLMKLVRFLLLEKIGKGERPWNTSDARLVLVDGSIWNAKSFGASGTQVGEVVFNTSLTGYQEILTYPSYAGQFVLMTNPHIGNTGINLASSKHVFVGPLNTEANTL >OIW00835 pep chromosome:LupAngTanjil_v1.0:LG12:4553556:4556150:1 gene:TanjilG_12239 transcript:OIW00835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATWLLSECGLKPLQPLFPRPRTGAISCNNPSKIRFSNTNSGLGDLNSKKRSFLQCCGVKDRSWELRVGAPLRVGTIEGEIINGVEEKLPEFDPGAPPPFKLADIRAAIPNHCWVKDPWKSMSYVVRDVAVVLGLAAAAAYLNHWLVWPLYWAAQGTMFWGLFVLGHDCGHGSFSNNPKLNSVVGHLLHSSILVPYHGWRISHRTHHQNHGHVENDESWHPLPEKIYKSLETATSILRFTVPFPMLAYPFYLWNRSPGKTGSHFHPDSDLFVPNERKYVITSTICWTAMAALLVGLGFVMGPIQLLKLYVVPYWIFVMWLDLVTYLHHHGHEDKLPWYRGQEWSYLRGGLTTLDRDYGWINNIHHDIGTHVIHHLFPQIPHYHLIEATEAAKPVLGKYYREPKKSSPIPFHLIGDLIRSMKKDHFVSDIGDVVYYQTDPNISGSSTSK >OIW00542 pep chromosome:LupAngTanjil_v1.0:LG12:12206655:12208734:1 gene:TanjilG_24272 transcript:OIW00542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQYMMPTCLIEKKCVPCNLKELRPMDEDVAHTLMPQVDKWNLVKESGVLKLRQSWTVKSFTKGLEFFKIVANLAENEGHHPDLHLVNWNNVTIEIWTHACGGLTENDFILAAKIDKLNLLEQIRRNASE >OIW00675 pep chromosome:LupAngTanjil_v1.0:LG12:8279305:8279574:-1 gene:TanjilG_09644 transcript:OIW00675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFRLPGIRRVSFQIASKAMEVPKGYLAVYVGAKMKRFVIPVSYLNQPSFQVLLNEAEEEFGYEHPMGGLTIPCREDAFLDIASRLNRL >OIW00599 pep chromosome:LupAngTanjil_v1.0:LG12:11548260:11551783:-1 gene:TanjilG_14825 transcript:OIW00599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVIPVRSIIPSISMGMGHVYTRNMRIHKITSSPIHPTKTLKCPLRFAIRAKQSSTDFQDFQSYVRPSRLLPSSEVKVYTNTSLENISSFLKEDRSKSLFRVKLGTSNIYGSSISDNSAGILLCLIDENGNSILQRIPASSMMDHSTESGDITHVEMLHFQRGSVDEFIFEGPKIARVDALWIGIESGQWRIGHVSLMVINCEVQPSEDGELQYTGYQYHFLIDNVLLGEGSDLSMLELRHSHVSELEQIDPVSFFDKGLDNSTLFSSPKISNEESMREYADLKFSLLFYDVMLIFFGTSVTSFLAGGNTGFAFLIGGIGGFVYLLLLQRSVDALPASELITGNKGGALFGGLKEPIASVALAVGFAVFVVRYSSGDLQVTPNDLIFGMMGFLACKISVVLAAFKPIKAGLRLPTDM >OIW00395 pep chromosome:LupAngTanjil_v1.0:LG12:12933990:12944410:-1 gene:TanjilG_05745 transcript:OIW00395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWVFYLISLPLTLGMVIFPLRYFAGPDVPRYVLFTVGYTWLSSLSIIVLVPADIWAGFEDAGDFTVSERLKTSLHVNLIFYLILGSIGLIGLIILIMMRKAWTGSLLGLAMALSNTFGLVTGAFLLGFGLSEIPKSIWRNADQTTRQKVLTHKIAKMAVKLDNAHQELSNAIVNYEFVKLHGVWYLIPVRALVLVAQATSNLISKRDPLRPFMDVVDDMLTRMFREDPSFKPQGGQFGESDMDYDTDEKSMATLRRHLRGAAEEYYRYRSEYMTYVLEALELEDTIKNYERRTSTGWKYISSIRPDRTGKLGSLFDTSEFFWRCILRKQVQKGLAIILGLMSVAILLAEATLLPSVDLSLFSILIKSFGTQEMLVQVFALVPLMYMCVCTYYSLFKIGRLTFYTLTPRQTSSVNLLMICSMVARYAPPISYNFLNLIRLGSDKTTIFEQRMGNIDKAVPFFGDKFNRIYPLIMVIYTILVASNFFDRVFDFLGSWKRYIFKTDAEDMDGFDPSGLIILQKERYWIEQGHKVGEQVVPLARNFYSVDVESANYPMEKNGVEVDRTSHSSNAEISRSVPKTAYKEETRRYSSNREVISSKYAAVRQQSRQAYKSKPDETNIASAKVSLLHQINEHSGNTSEVSSSGLSSTWKTMKTGFQSFKANIGAKKGYLPLPQTQEDKISRASSSESLDDIFQRMKQPSLDQEVYARILPKD >OIW00059 pep chromosome:LupAngTanjil_v1.0:LG12:18819823:18822914:1 gene:TanjilG_26396 transcript:OIW00059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSNQEEEVEAMIPPQFEAFRRVKRLRLFEPSLNVLVFVVVSAFVVCCFFYLDNRDFGTRLGLINQPSEKRLSWLKVKGFSNNEEEHRVEFIGEKGDGCDLFDGKWVWDESYPLYNSKDCSFLDEGFRCSENGRRDLFYTKWRWQPKHCNLPRFNATVMLEKLRNKRVVFAGDSIGRNQWESLLCMLSSGIPNKDSIYEVNGSPITKHKGFLVFKFRDFNCTVEYYRSPFLVLQSRPPTGAPGKIRTTLKVDKMDWYSSKWRDADVLVLNTGHWWNYEKTIRGRCYFQDGMDVKMEMPIIDAYKRSIETVLNWIQDAVNPSKTQVFFRTLAPVHFRGGDWRNGGNCHLETLPEPGSSLVPNDNWSQFKIANSVLSSAHTNVSEIMKKFKVLNVTLMTAQRKDGHSSIYYLGPIVGPASPRRQDCSHWCLPGVPDTWNELLYALFLKHEATHIWNS >OIW00665 pep chromosome:LupAngTanjil_v1.0:LG12:8132525:8143400:-1 gene:TanjilG_09634 transcript:OIW00665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRETSSPGFETNAVVSPPPPPPQALLERLKDYGQEDAFALWNELSPDERDLLVKDIESLDLSRIDRIIRCSLRSHGLPAAAIEAVAESTVSMVEERSMEERERWWKMGLKAISDGKLAVLLLSGGQGTRLGSSDPKGCFNIGLPSGKSLFQLQAERILCVQRLAAHASNENSALVQIHWYIMTSPFTDDATRKYFESHKFFGLEAEQVTFFQQGTIPCVSKDGRYIMETPYRVAKAPDGNGGVYSALKSTKLLDDMASKGIKYIDCYGVDNALVRVADPAFLGYFIDKGVAAAAKVVRKAYPQEKVGVFVRRGKGGPLTVVEYSELDPSLASAVNQATGRLRFCWSNVCLHMFTLDFLNQVANGLEKDSVYHLAEKKIPSIHGYEMGLKLEQFIFDAFPYAPTTALFEVLREEEFAPVKNANGSNYDTPDSAKQLVLRLHTRWVVAAGVEVSPLSSYAGENLEPICRGRTFHAPCEITF >OIV99822 pep chromosome:LupAngTanjil_v1.0:LG12:17278524:17281235:-1 gene:TanjilG_26160 transcript:OIV99822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISGSCVSLLNLVSQDDYQVSNAMTVTPEFEIAHVNNDLSNSGSDDVVSNDSRERRIIVANQLPIRASRDVETKKWTFEFDSDSLVLQLKDGFPNDTEVLYVGSLNTVVDVSEQDDVAQTLLEKFRCVPTFIPTEVQNRFYHGFCKHYLWPLFHYMLPLSPSHGARFDRSQWQAYVLANKIFADKVTEVINPDEDYVWVHDYHLMILPTFLRKRFHRVKLGFFLHGPFPSSEIYRTAPVRDDILRALLNCDLVGFHTFDYARHFLSCCSRMLGLDYESKRGYIGLDYYGRTVTIKILPVGIHMGQLESVLSLSETAKRVKELKEEYEGKVVILGVDDMDLFKGISFKFLAMGQLLEVHQDLRGRVVLVQILNPARSCGKDIQDVQDETEAIAKEINEKYGGPGYQPIVFINGPVSTQEKAAYYAIAECCVVNCVRDGMNLVPYRYTVCRQARVALDKALGVEDEFVRPRQSVIIVSEFIGCSPSLSGAIRVNPWNIDDVSVAMNSAIKMSEAEKHLRHEKHYKYISSHDVAYWARSFDQDLERACRELYRKRCWGVGFGLGFRIIALDPTFRKLSVQKIVSAYSQTQNRLILLDYDGTMMPQASIDKTPSGGVISVLNHLCCDPKNIVFIVSGRDKDCLSKWFSPCEKLGLSAEHGYFTRWIRDSPWETCGLMNDFDWKNIVEPVMAHYTEATDGSFIEQKESAMVWHHQEADPHFGSCQAKELLDHLESVLANEPVVVKRGQHIVEVKPQGVSKGIVVENLISTMRKEGKSPDFLLCIGDDRSDEDMFESIARSVSNPALPTISQVFACTVGQKPSKAKYYLDDTSEVISLLEGLAIASAAL >OIW00211 pep chromosome:LupAngTanjil_v1.0:LG12:16428788:16434399:1 gene:TanjilG_29201 transcript:OIW00211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSISITVERKTINSELWHACAGPLVSLPPVGSLVVYFPQGHSEQVAASMQKQTDFIPSYPDLQSKLICRLQNVALHADPETDEVYAQMTLQTINKYDNEAILASDMGLKQSRQPTEFFCTTLTASDTSTHGGFSVPRRAAEKIFPPLDYSMQPPAQELVAKDLHDTTWAFRHIYRGQPKRHLLTTGWSVFVSTKRLFAGDSVLFIRDERQNLLLGLRRANRQQPALSSSVISSDSMHIGILAAAANAAANNSPFTIFYNPRASASEFVIPFAKYNKAMYTQVSLGMRFRMMFETEESGVRRYMGTITGVSDLDPVRWKSSQWRNLQVGWDESTAGERPSRVSLWEIEPVVSPFYICPPQFLRSRFPRQPGMSDDGSDIENAFKRVMPWLGEDFGMNDPSNSVYPGLSLVQWMSMQQNNQFSAAQPGFFPAMLSPNTMHNNLGTDNPSKLLISSNQNTNQFVPQPVAYSQLQQQQLLSGDIPPQQSIQSASKNTFPLPTLPQDSQSQQQIDQQASLLQRQQQQTQLQQSPLQLLQQTLSQRVIQQPQMTQMSLQNPSEKQVQLQFLQKWQQQQQQQHQHLLSMSSPLLQSQLLQQQNTHQQNQQLPQQNLSRHEPQQLGMGNNALPTKKPLNSNNFTSSSALMQSKQLPVNQIQNTQKALTITRAPSTLTDGDAPSCSTSPSTNNCQISPSSLLKRNHQVSATFGGSLVVDPTCNLIQELYSKSDMQIKHEFSSVNGPDQTKHKGTITDHLEASSSGTSYCLGPGSVQENLPLPNFFIDGDIQSHPRNNLPFDSNLDVLASDTMLLKGSDSQGDLQYLLANYGGAPRDIKTELSTADISSQSFGLPNVSFNPACSSDVGINDIGVLNNSVRASQTQRVRTYTKVQKRGSVGRCIDVTRYKGYDELRYDLARMFGIEGQLEDPHRTEWKLVYVDHESDILLVGDDPWEEFVSCVQSIKIFSSTEVQQMNLDGDLDKDFIRDNLEDQLNFLKLLGGASTLLYHKWKGDIFIANDAVEEGIVEDK >OIV99929 pep chromosome:LupAngTanjil_v1.0:LG12:18002879:18004652:-1 gene:TanjilG_26267 transcript:OIV99929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFFNDLPPYIPLPPPYDATSQTDAIVLSVEQEFPENDEPFIKRSLIDDHDHDHDYPPSFNRARTTSFEINNNNHSNAIPCPPRMVMMHPQNNNKVGTGTSHIFFKTRMCAKFRLGSCRNGENCTFAHGVEDMRQPPPNWQEIVGLHGSNDAAENWDDDQKIIHKMKLCKKYYNGGECPYGERCNFLHEDPAKFRDDSGRIKESSAINIGTNGSPKSYLNGYDHNNSETNKVMALSMGLNAYRGNVRSTFWKTKLCIKWETTGHCPFGEDCHFAHGQSELHVLGGRIETEATSVIPIATYATSTTLPKFTSIPVIDVAPAPSNLASPPRANDTAQGKKCLLKWKGTKKINRIYGDWLEDDDEPHV >OIW00992 pep chromosome:LupAngTanjil_v1.0:LG12:1154240:1156231:1 gene:TanjilG_16241 transcript:OIW00992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFELNSELKQLVKRGQLREARDMFDKMPHRDEISWTTLIAGYVNAKDSYEALILFSNMWVHQGLQKDQFMISVALKACAFGMNVCFGELLHVFSLKSSLINSVFVSSALVDMYMKVGKVEQGRRVFEEMVTRNVVSWTSIIAGLVHAGYCMEGLLYFSEMWRSKVGYDSHIFAIALKASADSSCLTHGKAIHAQTVKQGFDESSFVVNTLATMYNKCGKPDNVMRLFEKMRMPDVVSWTTLITTYAQMGEEEYAVEAFKRMRKSYVIPNEYTFAAVISACANLANIKWGEQIHGHILRLGMVDALSVANSIITLYSKCGMLTSASVVFHGMTKKDMISWSTIIAVYSQGGYAKEAFDYLSWMRREGPKPNEFAFASVLSVCGSMAFLEQGKQVHAHVLCIGLDHETMVHSALISMYSKCGSLQEASKIFHGIKNNDIVSWTAMISGYAEHGYSQEAISLFEKISSIGLKPDYVTFIGVLTACSHAGLVDLGFYYFMLMSNEYRISPSKEHYGCMIDLLCRAGRLSEAEHMIRSMPFHSDDVVWSTLLRACRVHGDVDRGRRTAEQILHLDPDSAGTHITLANIYSAKGRWKEAAHIRKVMKSKGVIKEPGWSWINVNDQLNVFVSGDQSHSHSEHIITILELLSARTGDARMEIASHVENIED >OIW00084 pep chromosome:LupAngTanjil_v1.0:LG12:18951791:18952487:-1 gene:TanjilG_26421 transcript:OIW00084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMAQVVNLNLSRSYFYSPCPSRQPKLNTNNNATFLCLFSHNNSSNNNNNNNNNNYNNKQEQARKALESALSGKKDEYDKWDKEIKRKEELGGGGGGGGGTGGGGWFGWSNDDHFWQEAKRACLTILGIILMYLLLAKSNLLLAIIFNPLLYVLRGVRNGFALITSKLFKSTATFDGFSKKEDYQHTSAKDNVIRKWGSD >OIV99804 pep chromosome:LupAngTanjil_v1.0:LG12:17142642:17143733:-1 gene:TanjilG_26142 transcript:OIV99804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSCCNCSTITILSLLALSYFMVASAGDLNQDFDITWGDGRAKILNNGELLTLSLDKASGSGFQSKKEYLYGKIDMQLKLVAGNSAGTVTAYYLSSKGSNWDEIDFEFLGNLSGDPYILHTNVFSQGKGNREQQFYLWFDPTADFHTYSILWNPQRIVFSVDGTPIREFKNSESIGVPFPKNQAMRIYSSLWNADDWATRGGLVKTDWTQAPFTASYRNFNANACTVSSGTSSCSSNTASSSNNASWLSEELDSTSNDRLKWVQSNYMIYNYCTDAKRFPQGFPPECKSA >OIW00579 pep chromosome:LupAngTanjil_v1.0:LG12:11106324:11111166:-1 gene:TanjilG_14805 transcript:OIW00579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADRTLAVVKPIWMKQAEEAKIKSEAEKDAAAKAAFEATFKDLEKNHERGAVLSDSESEEYEDLAKKPIGPVDPAKCTAAGTGIAGGAAGAPSSFTVVTKDADERKISTGGAQIKVKVVPGLGVGGAEQEGIVKDMGDGTYTITYVVAKRGNYMVSVECNGKPIMGSPFPVFFSAGSSSGGLLGLTPASTFPNLVNQNQTTMPNMPNYSGSVSGAFPGLLGMIPGILAGPSGGATLPGFGASLGEICRDYLNGHCAKVDCKLNHPQHNLLMAALAATTSMGTLSHAPMAPSAAAMAAAQAIVAAQALQAHAAQSAKDSTASPDKASKDDTLKKTLQVSNLSPLLTAEQLKQLFGFCGTVIECTLTDSKHFAYIEYSKPEEATAALALDNIDVGGRPLNVEMAKSLPQKQSHVNSSMASSSIPLMMQQAVAMQQMQFQQALLMQQTMNAQQAANRAATMKSATELAAARAAEISKKLKADGVGIEEKETKEKSRSPSPPRGRSSPKSRSPISYRRNRRSRSYSPPRYAKDHRSRSPLRFHHHSGIERERRSYRDIREHNDKNRRHDSYRSRDHHSSSYRRNRSRSVSPHARKSYPNESVSPKRRTESSPRRGRKPSRANSGSPGHRRGSRSSPKDDERKLRNSRRSRSRSSDDKLHSLDKNEEILHGRSKHRERRRSRSLSVDEKPQRKSRSSPRRVDESRSRHKKRSRSKSVDGKHHFPEKLDENRNKRHIDKRRSRSRSTEDRDQTDEREDEIKSEKAKQYDTKRTKSQSVEEKHHSKDKSGEKKEKKSRHRDPRRSRSISLEGRHDKGGSSSHRNLDESNSKHRPFRSKSPDGKHSSDKYGSKDERLGHREKASSKSKLKNHKQCDGSEISGRNFKEYELQGITQSCSGSAKGKHHLNDGENATCEDHSELLVDAMQEPIINVKSSTNLNDNGILISVNDKRKLEGSTKNKGADDIAGGWTKMEHRIPELLM >OIW00488 pep chromosome:LupAngTanjil_v1.0:LG12:13840738:13843800:-1 gene:TanjilG_05838 transcript:OIW00488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPENTATTNLSHLQAFDIEGVPSQSQITSSKCYDDDGRLKRTGSVWTASSHIITAVIGSGVLSLAWAIGQLGWIAGPAVMVLFSLVTFYTSTILADCYRAGDPNTGKRNYTYMEAVRSILGGVNVTFCGMFQYLNLLGIVIGYTIAASISMLAIKKSNCFHHSGHQDPCHMSSNQYMIIFGVTQMFLSQIPDFDQIWWLSTVAAIMSFTYSIIGLVLGIAKVAENRTFKGSLTGISIGPNVSETQKIWRTSQALGDIAFAYSYAVVLIEIQDTVKSPPSEAKTMKKATMISIAVTTTFYMLCGCMGYAAFGDEAPGNLLTGFGFYDPYWLIDIANVAIVVHLVGAYQVFAQPIFAFVEKSAAKRWPNIIDKELNIPIPCLSSYKLNLFRLVWRTMFVILTTVVSMLLPFFNGIVGVIGALGFWPLTVYFPVEMYISQKKIPKWSNRWISLQIFSVGCLTVSVVAAVGSVAGVLVDLKKYKPFQSNY >OIW00643 pep chromosome:LupAngTanjil_v1.0:LG12:10170567:10170866:1 gene:TanjilG_09124 transcript:OIW00643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSRIARLFMEVAPPQYISVMRQRTSKMLDTINEEEKEINSHDSIISSKSSSPSLSSSSALASASVSSTASASATIIAAPNSKYFLKEVHRSLSTLNN >OIW00307 pep chromosome:LupAngTanjil_v1.0:LG12:15264389:15264712:1 gene:TanjilG_27558 transcript:OIW00307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKLQLKLLLPWESLYNCVDNSKAVLELNGIPKLMAMLYIKDRAHMHELKLLCYLPLNAGSSKVLEEECALSTLEKLAHPVLSQHPDLRELFAKAIYHLTLYQPGAQ >OIW01048 pep chromosome:LupAngTanjil_v1.0:LG12:341347:343778:-1 gene:TanjilG_14231 transcript:OIW01048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLHHHNTFTFFPPSNFRTVPRRRAQSPRVVCEFSSLNSPLKTRSIVGKSLTCVMQNHPLLFHVAVEKELKLLAYDREAAFSHMHQLSSYSHDQTLLHRRIAQVKENQCQIAVEDVMYLLILNKFSEIKVPMVPKISRCLYNGRLEILPSKDWELESIHSLEILDMIKEHITDVTGLRANSSVTESWATTEVRQFLLGRVYVASILYGYFLKSVSLRHHLERNLSLSNHDLPLGHRTALSFNDMCPNGFKDTIFGSSSTMRSTGQGLFKQEMEIEDLKCYAMRFDTGSLQKCDKFRSKEGVNLVGSYNCALFNNVESGLVENDDLILTSFSSLKRLVLEAVAFGTFLWETEDYIDNLYKLKDN >OIW01014 pep chromosome:LupAngTanjil_v1.0:LG12:32413:38123:1 gene:TanjilG_14197 transcript:OIW01014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVDSSPSSEIPLSIRVNSRRSNNTVHPFEITLNQTKTRTNEYRKVKKHYKKWFPWLIPFFVVANIIVFVITMYVNDCPKNSVSCIARFLHRFSFQPFKENPLLGPSSLTLQKMGALDVNKVIHRHQGWRLITCMWLHGGVFHLLANMLGILVIGTRLEKEFGFVLVGLLFLISGFGGSLLSALFIQSNISVGASGALFGLLGGMLSELITNWSIYDNKLAALFILVVIIVINLAVGILPHVDNFAHIGGFLTGFLLGFVFFIRPQFGWVNQQYAPVEYSPSQSQAKPKFKKYQCILLVLSLIIVIVGLSVGLVALLRGVDANDHCSWCHYLSCVPTSKWSCHTEAAYCLSNELGNQLNITCSSNGKSNIYYIQDPTNSQIQQLCTQLCA >OIV99798 pep chromosome:LupAngTanjil_v1.0:LG12:17095233:17098861:-1 gene:TanjilG_26136 transcript:OIV99798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQGDTIPLHPHLSSQSDIDEIENLMNASPATVLPARPPSPPRASIPVSSSPFIPSNLPPLPSKSSSSSSSTQNHIPKPPSQPVPSRPDLAPTGFGSPPNTLTEPVWDTVKRDLSRIVSNLKLVVFPNPFREDPGKALRDWDLWGPFFFIVFLGLVLSWSASVKKSEVFAVAFALLAAGAVILTLNVLLLGGHIIFFQSLSLLGYCLFPLDVGALICMLKNNVILKIVVVCVTLAWSSWAAYPFMSSAVNPRRKALALYPVFLVYVSVGFLIIAID >OIW00873 pep chromosome:LupAngTanjil_v1.0:LG12:3626334:3626915:-1 gene:TanjilG_12814 transcript:OIW00873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPQHFLIFFLFLLSFHSLTSITAQDTDFVHQIDRNLLGLDMKKEKLSHFKFYWHDIQSGQNPTSVRVVSPPLNLNTTTLFGLVNMIDNPLTLGPELSSKVVGKAQGFYASASQSEVGLLMAQNFAFIEGKYNGSTITILGRNPVFNKVREMPVIGGSGLFRFARGYAEATTHVFNYKNGDATVEYNVYVFHY >OIV99818 pep chromosome:LupAngTanjil_v1.0:LG12:17222796:17223281:-1 gene:TanjilG_26156 transcript:OIV99818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPTNESERSPISSHQPHSSWHSPVPYLFGGLATVLALIVFALLIIVCSYWKLSRHLRHHGDGDRDVEAGTAKNDDNYKPQKSYEEKVLVIMAGQEKPTFLATPVSSSNNTTRSSSFGDNTGTCTCDKNEEKSEENVKQGSGSDNQVLQNENRETVSDQNR >OIW00100 pep chromosome:LupAngTanjil_v1.0:LG12:19019785:19024701:1 gene:TanjilG_26437 transcript:OIW00100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEMMMRVSDPSKLHLKKELTQIRKAARVLRDPGTTSSFKKSPLTSSRSVPLPSSSACHKNITSSLLDSHFALKQKDKDKNVFLYNWKNTEQVEEEEEEEDEDEDGSLSLLGSMEDRFSDATNGCDSKSDTYLGIFRSVDAAAAVSAIKKKESKKPYSPHLDFLNKYQQHSQHNNLNLGGNISTKSKALLFTRDDSDNDTEEDYSNNSEHVRRVFGASPFLLKLKRNNLLRRSTKEDSSYSYSTPALSTSSYNRCPHRYPSTVGSWDATTTSINDRDDANDDHLALPGRQGCGIPCYWSKRTLKHQGACRSSYSPSLSDSLRKKGRSILCGSQNIYPRHRRSPSASHKRKISLRSAQGVIPLLSDSGDVRGGSSIGTGRSDDELSTNFGELDLEGLSRLDGRRWSSSCRSQEGLEIVALNGAGEDEGTPENSWSFSKKYKPVLFCEVIGQNIVVQSLINAVSRGRIAPIYLFQGPRGTGKTSIARIFAAALNCVALDESKPCGYCRECADFISGKSNDLLEVDGTDKKGIDRARYLLTRLPIGSSSASSRYTVFVIDECQLLPSKTWLRFLKFLEEPPQRVVIIFITSDLDNVPRTIQSRCQKYLFNKIKDVDIVARLRKISAQENLNIETDALDLIAMNADGSLRDAETMLEQLSLLGKGITTSLVNELVGVVSDEKLLELLELAMSSDTAETVKRARELMDSGIDPVALMSQLAGLIMDIIAGSYTVIDAKHDDSFFGGRSLNESELERLKHALKLLSEAEKQLRTSNERSTWFTATLLQLGSMPSPDLTQPGSSRQQSCKTTTEDNPSSASRDVTACEHKSDLQYMPRNLTFPESQHILVNGNSSHHKDVSSKNDGFRLKSKPLNNPVIDDGSTIVSSDDLMVGNMMLRCINLEKLYDIWERCIEGCHSKTLRQLLHDHGKLVSICEVEGFLVAYVAFGDGHIKRRAKRFLRSITNSMEMVLRHNVEVRMIHLPDGEGENLVNLSSLKQAESTVANEKDQRGHMNGTESCSSFPPLLDGNLQCASDSSDILAEGNGLREKRRDNPMQRIESIIREQRLETAWLQAVEKGSPGSLSRLRLEKNQVLPQDGIFCVDPKESSVHKTRSSSQHWEDELNNELKILSVKNGKVLQKDQIGRRADQYPMSPSLLHDSSLAISSGKGNV >OIW00447 pep chromosome:LupAngTanjil_v1.0:LG12:13434286:13435249:-1 gene:TanjilG_05797 transcript:OIW00447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKFCRTNLLFLLFCIVFILQFHPATLDEGSQNFEQNHPEKPRFPKILMDTISLLKKSQESSWDKLKTVIHDLQMQFSPPNLDFRGGREGGSDGTKGKMKEAVEKSFERSKETVEGSAKLAAKVVGEAFHKTTETMKESADSDRESKEEL >OIW00107 pep chromosome:LupAngTanjil_v1.0:LG12:15659221:15661566:1 gene:TanjilG_29097 transcript:OIW00107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPQQKNIKKKVFTCLTKLSDRDTHSLAVAELESIARNLNQTTVPSFLSCILSTDSSDKSLVRKQCVNLLGFLAETHGNMLSPHLSKILNAVVRRLRDPDSSVRSACVNSVSALSRYVTKQPFSTFLKPLSEALFTEQDQNSQLGAALCFASAIDGAPAPEPAKLAKLLPRLEKLLKRDAFKAKPAVITLIGSIVEAGGASNHAILKNLVPCLVESLSSSDWAARKAAAEALVVMANVERGFLSEYKAGCLKVFENRRFDKVKVVREVMNQMLEAWKQIPDVSDEFSPPPRSQSSSKGNSSEHYQNSCNPRSLVVNLQKKSTPVSRFSLPDCSSAGNAKNTSALSSNKRVSSGVLRKLNHKNWDVQVSVSNDPSAATADHGDLQETDGTVLERSKKDKSRLLKPEMRRALFNKNPDDKTEKFGEYKAGSRVVPCHEECQNSVPVSDVSKDIIKNEKETEDLYLIRNQLHQIEKQQSSLLDLVQKFIGSSQSGMHSLETRVHGLELVLDEISYDLAISRGSMTNYDEPGNACCLLPGAEFLSSRFWRKAQGRYSFPRFSKSGSTPSLSVADTHYRNVETRLMNRRLRPDGGFIINPLAEVRTNARDFARGELV >OIV99780 pep chromosome:LupAngTanjil_v1.0:LG12:16953403:16956320:-1 gene:TanjilG_26118 transcript:OIV99780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRPESIAPPEIFYDDVEARKYTSSSRIVQIQAELSERALELLALPEDGVPKLLLDIGCGSGLSGETLSEDGHHWIGLDISPSMLNIAVEREVEGDLLLADMGQGLGVRPGVIDGAISISAVQWLCNADKSSHNPRLRLKAFFTSLYKCLSNGARAVFQVYPENIDQREVILNAAMRAGFAGGIVVDFPHSSKKRKEFLVLTCGQRSTNASMPNGKDEDGESCSDDDMEEDENQTVCISDRHRPRKKLKGNKSGKGREWIMRKKDQMRRRGNVVPPNTKYTGRKRKDRF >OIW00540 pep chromosome:LupAngTanjil_v1.0:LG12:12194963:12195865:-1 gene:TanjilG_24270 transcript:OIW00540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQLKELNVPMVSDYDIGFKVIGTCNSLVCILHYSFNQSCCLSLWNPTTMQTKKILEPQNSALLPYKVPPNCLIGFYFNQYVNDYEVVRIHSFEDIDSACLDDSLSKVSVVRVEKYSLRSGLWREIECYGPSVTVNGILFWTENCVTLEEKRTLFWIAMEVSEKINHEVIIYFNFNHTKIGKIECPFKSKYCSEVYKKVAVYNDSLALLICSENKCMEQCLDLWVFHDNYEDFQCWSKVFTIGVFSRLEHPVGIWKDEVLMATPEVLHCGSGIIALLHGYDFVSRFSYNVFNYVENYVPF >OIV99849 pep chromosome:LupAngTanjil_v1.0:LG12:17466557:17469100:-1 gene:TanjilG_26187 transcript:OIV99849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLGVFGSVMVMTLLFQHLACQQPNTDEFFVSEFLNKMGFHNYPVSVCSWERVSCDANREHVVELNFSAMGLSGPIPDNSIGKLSKLQTLDLSFNKITALPSDFWSFSSLKTLNLSSNQISDSLNNIGNFGFLETFDLSSNNYADEIPEAIRSLVKLRVLKLSRNMFTQSIPLGILKCQSLVSIDLSSNRLNGTLPDGFEAAFPKLRTLNLAGNKIYGRVSDISGLKSIVSLNISGNLFEGSVMDVFQEQLEVLDLSRNQFQGHIPQVHYSSNFNWSHLVYLDLSDNELSGEFFQNLNQTLNIKHLNLAHNRFTRQKFPLIEMLPELEYLNLSKSSLVGNIPNEISQLSNLNALDLSTNHLTGKVPLLRNRDLQILDLSNNNLSGEVSPYVLEKLPLMEKYNFSYNNLTFCALEINHDIMQKTFFGSVNSCPIAANPTLFKRRATKHNGMKLALVLTFAMICLLAGILFLAFVCRRRTRMWEVKQSSYREEQNISGPFSFQTDSTTWVADVKQATSVPVVIFEKPLLSITFADLLSATSNFDRGTLLAEGKFGPVYRGFLPGGIHVAIKVLVVGSTLTDQEAARELECLGRIKHPNLVPLTGYCVAGDQRIAIYDYMENGSLQNLLYDLPLGLQSTEDWSTDTWEEAHNNGIQNAGSEGLLTTWPFRHKIALGTARALAFLHHGCSPPIIHRDVKASSVYLDYDLEPRLSDFGLAKIFGNGLDEEIVRGSPGYVPPEFSQPEFESPTPKSDVYCFGVVLFELITGKKPVDDDYPDDKEATLVSWVRGLVRKNQASRVIDPKIRDTGPDEQMEEALKIGYLCTADLPSKRPSMQQIVGLKKDIEPAAN >OIW00631 pep chromosome:LupAngTanjil_v1.0:LG12:10372364:10376258:1 gene:TanjilG_09112 transcript:OIW00631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSNLHIQTEQPPPSQVPLLTSISNQQQQQNHETVSNSNNNNNNNNNNSNNNDDESKTELDQTLARVETYLTLLGFNQSSIFTFILSWTLFATVGVALPLIALRFINCSSFNCERFDIKSFEIDIVAFQASLAAVSLLCLSHNLRKYGLRRFLFVDRHGGNMACFHNDYVKQISGSMRLLILWLLPCILLKTVREIIRASYAQQGSWQLSLVLTLALIISWTYVSAISLSASILFHLVCNLQVIHFDDYGKLLQRESDVLVFMEEHIRLRYHLSKISHRFRIYLLLGFLVVTASQCVTLLQVTGYAGSITFINGGDFAASTIVQVVGIIICLHAATRISHRAQSVVSLANMWHALLTCTSSDPSQMRNAASSGSLEAANRINSIHLDYSENDLESMDFARSGMPTNTQLASYLSSHHRRQAFGVELGNNHVHLIRLAI >OIW00546 pep chromosome:LupAngTanjil_v1.0:LG12:12221681:12224182:-1 gene:TanjilG_24276 transcript:OIW00546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMSTSLSKTFSSISPKNHLISFSRLTHLYSNSRNTHIPEKTTSKSDFDEKISPEESESLKPTSVLSKDYILDTLLSHKTDPESALKLFKEVNRKRGFVKTVDVFSLLLHILASNPDTHGAARDLIYNYVFGDSGPAAMVFVEQLVECAERYGFESNSQVYSYLLSSYVRANKVTDAVECFRAMLKHDVVPGVINMNTLLTAMVRRNLINDARTLYDEMVQREIYGDCVTLHVLMHACVKGGESEEAEKYFKQASGRGLELDAVSYSILIQAVCMRMDSGLACKLLNKMKELGWVPSEGTYTSVIGACVKEGNVVEALRLKDEMVNSGMPVNTIVATSLMKGHCVQGDVDSALKLFEEIIEAGVTPNTVTFSVLINGCSNSGNMEKAYELYSRMKLMGIRPTVFIVNFLLKGFKKQNLLEDAYRLLDEAVGHGIASVVTYNILLSWLCEQGKVNEACNLWDKMTAKGIVPSLVSYNNIILGHCKKGCMDDAYSVMNDILKQGLKPNVFSYTILIDGFFKKGDSERAFDVFEQMVAENILPTDFTFNAIINGLCKVGRVSETKEMLDNFVKQGFVPTSITYNSIIDGFMKKGAIDSALSAYREMCKSGISPNVITYTSLINGFCKSNNIDLALKMHNDMKKKGLELDIAAYSALIDGFCKMRDMESACIFFSKLLEVGLAPNTIVYNSMISGFRNLKNMEAALNLHKNMISNNIPCDLQTYTTLIDGLLKEGKLSFASDLYSEMLSRGIVPDDLMCTVLTNGLCKQGRLEDAYKTLKEMDRNNVSPSVLVYNTLISGHFREGNLQDAFRLHDEMLDKGLMPDDTTYDILVNGKS >OIV99731 pep chromosome:LupAngTanjil_v1.0:LG12:16564436:16565083:-1 gene:TanjilG_26069 transcript:OIV99731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMIEHIVVFKVKDDVPPSESEAMVHRINSLASLEHLLHLTMVPLFRFRSSSPSFHFTHILHSRYNSKDDLNAYTVHPSHVAAVQANSPLLQDIMAVDFVAQDLQGGFVPPRGSAVRVTLFKLKEGFGNRVKDEVLRAIKGIQDGFKEGVQFSCGENFSPGRAKGFSIGSIVVFPGLSELEGADADEGIEVYHKNDEIKEHLECVVLLDFVVPSP >OIW00744 pep chromosome:LupAngTanjil_v1.0:LG12:9616562:9623697:-1 gene:TanjilG_09713 transcript:OIW00744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLPSWRKAYGALKDTTKVGLAHVNSDYADLDVAIVKATNHVECPPKERHVRKLMFATSVVRPRADVAYCIHALSRRMAKTRNWTVALKTLIVIHRLLREGDPTFREELLNFSQGGRILQLSNFKDDSSPIAWDCSAWVRTYALFLEERLECFRILKYDIEAERLNKPSQGQDDKGYSKTRDLDSEELLEQLPALQQLLNRLVGCRPEGAAGSNHVIQYALALVLKESFKIYCAINDGIINLVDKFFDMPKHEAITALDVYKRAGQQAESLSDFYEVCKGLELARSFQFPVLREPPRSFLTTMEEYIREAPRVVTAPSAPLMLTYRPDEALEIEDTKLSVEKLEPSFHIGNEIVVSNSEPAPPPPPPSHSNIEIGDLLGLNDTPPDASPVEERNAFALALVPTDNGGATSAFNPTAIQTKDFDPTGWELALVSTPSTNISSVNERQLAGGLDILTLSSLYDEAAYRSAQQPVYGAPMAPNPFEVQDPFALSSSIARPSNIPMSATGQQQVNPFGPFQPLQPPPQQQHMLMNPTNPFGDATSYGSFPAKQVSHPQNNNPFGTPGLL >OIW00273 pep chromosome:LupAngTanjil_v1.0:LG12:15030140:15031968:1 gene:TanjilG_27524 transcript:OIW00273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIAKDDNKQKRTKAYDEDLISQLPYGIPVDILSKLPIDEAARTSILSRKWRHLWTFFSGTLEFDGSLIMKDMKKDIKKASGRQLQMAMEIMYDAERQTYTNWINELLASLKCSTLQGLKFWFNVGSRCDIDKWVDFAIQKKVQKLELYFGHSFEYVLPLHLFKHASFNSLCVLRLKSITVTKEMLEYFMCCCPLLEIMSLVNSVVPKTMEISGSSLNLKCLELVRCLELKNLEIFADNLVSFKYYGPRLDTEFKSVPSLVEATFGGSYAEFITESFLSQIKVLKLDITQNVPELIYWFTQLPEFKNLNHLELVACVDDDNVTINAFALLLKASPSLKRFTLKMLNTNRIFRTELKFSRQCKYSLKELELVGFCGATSEVELLMYVLENAVELKKITIDTRLPTKPKLRRQGEHPNTWDHEKHRKRAWELQDKIPTGIEFVCL >OIW00022 pep chromosome:LupAngTanjil_v1.0:LG12:18602191:18603258:1 gene:TanjilG_26359 transcript:OIW00022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAKYSEPLKYQIWSLKVSIHCEGCRRKVKKVLRSIDGVFTTTIDPQQQKVTVTGSVGVGVETLIRKLVKAGKHAEVWPENLTGKHKPAKKNEKRDQVANAESNLKKQEIKISNKCNGEKKNKDSKTGGNMPEKSPVSNQAPGQDRKSGQSEEGSGKKKKKKGQSVNGLSAPFNNAHAHTGSQFQMNISPTRQHSYPYADFYYYHPLLYFSTYNNLYPMGTMNVPSYYVPSYYVPSLPYKSSGLEYDPYYQVQSTPLVSFEIFSDENVNCCSIM >OIW00607 pep chromosome:LupAngTanjil_v1.0:LG12:10738402:10739226:1 gene:TanjilG_21822 transcript:OIW00607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGGYTKRSGKTAKGVNAKDAKNHNVNANQFGVLLEVGADSLEVFNVGGAPLFNESVKRINGMNKKRSRFKNKVDINSSGTDSIKIQTNLNSKVLEKLPANDGSTRFQSSLDFNAGSTLLGKEIDCRMDYSKKMGLPISLMQDARMNIQASSLGGKTGFSEQEQLCASMHTSIQSHVADSVSARSLELSICHGSMGKASNSLHASPMLSTMHMSNCLQWERVLVHDANHLQGPSHSVEEERVIEDYEYEVMPQKLGSSKEEVMRDNGDVSMECH >OIW00108 pep chromosome:LupAngTanjil_v1.0:LG12:15665768:15667359:-1 gene:TanjilG_29098 transcript:OIW00108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAILQIKEAELTSLSQGNKIDELEAQLHEAEEIVSNLRAELREAETKLESVTNYQMYPPVEQNVQGEIPTQESCLQDNIFDPYNGCVHNLPDSLVESVSISYTKNPAVSGTNDSSKFYVSHDHKDKCYIHNPDFSSIVIGRKESELYQNGCTQRIHAFERSHFDNVHDETSVRVQEEGKAMPVTIIAKADTIYEGEKPDELIVVEADAEGVNIPACKRRNYIEALDLCHVLSGLGKEGKHYEKDLVRVHEGGKATTITTNAKADIICGKGKPDKINVAKTDVNLVKVPVQKKRRLTMRLHPYQVKKTNKAWYISDAKGSPCVLDNSDPPRVDSSMLCEKEAEKDPMSLSSAKLPTDEAATICRSESRDDIERGRVFLNACSVGNKNKDDKDLLDKSYLTRHKSLSTESLEVPSCRTDVEQANWLPDKPDSKASQLDEKVSCQPASDKFLKYTFQRKCKKEPVSSANADCSLENDKSKSGPTRRNMKRSKMVMPSLGSLAQ >OIW00556 pep chromosome:LupAngTanjil_v1.0:LG12:12345400:12348147:-1 gene:TanjilG_24286 transcript:OIW00556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSEEEVTLTVKWSGKEYTVRVCGDDTVGELKRRICELTNVLPIRQKLLYPKAGSKLNDDSLFLSQLPLKSSFKMTMIGTTEEDLIVDPVESPEILDDFELGKEETVDIKDMEVNKQKLIRRISQFKIEVQNPCRKGKKLLVLDIDYTLFDHRSTAENPLQLMRPYLHEFLTSVYSEYDIMIWSATSMKWIKVKMGQLGVLDNPNYKITALLDHMAMITVQSSSRGVFDCKPLGLIWAQFPEFYSASNTIMFDDLRRNFVMNPQNGLIIKPFRKAHANRHSDQELVKLTQYLLAIAELDDLSNLDHNNWELLTEDNGKRRRHR >OIV99928 pep chromosome:LupAngTanjil_v1.0:LG12:17998483:18000944:-1 gene:TanjilG_26266 transcript:OIV99928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSINLNSHAFADNPLLRKPTDPFSPKSALQALSIRILETNTLSSTSPNFTVLPFKNSRPLASSTGDSPVPVFQLGWISLEDLKGFFENSGSQLSAEWLVYLGSSAEDDAVYWAIDVSDHSSLVTELCSKEMSFVELRTLMVASDWEDLQAMRNLAIAGHAKALLEWHNISRFCGHCGEKTVPIEAGRRKQCSNDSCKKRIYPRVDPAVIMLVIDKENDRALLASRPKLIPRLWSCLAGFTEPGESLEEAVRRETWEETGIEVGEVVYHSSQPWPVGPNSIPCQLMVGFFAYAKSLEITVDKTELEDAQWYSREDVRKALTFAEYKKSQRNAAVKVEQMCKGVEKSERLGADSNTESGELTPMFVPGPYAIAHHLISSWAFPHQ >OIW00312 pep chromosome:LupAngTanjil_v1.0:LG12:15314897:15317335:1 gene:TanjilG_27563 transcript:OIW00312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFSCSLATLPSQMRNLSLNYSSSSPSPISNSPSLSFSKNLSHTLLSKGSVSLSTVQNPTQRLRVVCEVTTKKADSAVKRARLSEKRRVYNKARKSEIRTRMRKVLEALEGLKKKPEAQADEILSVEKLIGEAYSVIDKAVKAGTLHRNTGANRKSRLARRKKAVEIHHGWYTPVPEASSV >OIW00343 pep chromosome:LupAngTanjil_v1.0:LG12:15574331:15575524:1 gene:TanjilG_27594 transcript:OIW00343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCQAIDTATIVIQQPNGKVEKLYWPVSASEVMKTNPGHYVALLISTTLCTSKDKDNCPNKSDNNNKNNSVRLTRIKLLKPTDTLVLGQVYRLISTKEVMKGLWAKKQAKLKKNLPEPAHKTDQVREKPVLEMDEVARRSEPETNQPEFCKMILHDQLEWNQNMKDMGQGQQNQLMVLAPRLSQEHGNHLYIASQKHQVDLCFQSCEGQRSTQIIKKQISPISMIA >OIW00294 pep chromosome:LupAngTanjil_v1.0:LG12:15193711:15197451:1 gene:TanjilG_27545 transcript:OIW00294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVEGIASNNSKPSNLSIEQDQDKGTQNEDCGLTSAHTIDHGWKWGIICLIVVGLYVAYANWLLASFHVIDGQRFIRYRDLMGFVYGKNMYRITWTFQCSTLLLVNMGFILLGGKALKEINSEFHDSPLRLQYYIVITGALYFLFSFFIPTISAMRNWLGASAVLTLSYIIMVLIVLVKDGKSNSNKDFHISGSEGSKVFNAFGAISAVIVCNTGGLLLEIQSTLRKPPVKNMRKALYSQFTMGVMFYYGVTIVGYWAYGSMVSAYLPQNFSGPRWINVLINAIVFLQSITSQHVFAAPIHEALDTRFLDVNKGMHSGKNLKNLFLLRVLFFLGNTLVTAAFPFMGDFVNLLGSFSLVPLTFTFPSMVFIKVKGKKTRTAKKAWHWFNIVFSSLLTVATTISAVRLIVDNIQKYQFFADA >OIW00309 pep chromosome:LupAngTanjil_v1.0:LG12:15277526:15281312:1 gene:TanjilG_27560 transcript:OIW00309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIGEESGASLPSSGADAKKRRVTYFYEPTIGHYYYGQGHPMKPHRIQMAHNLIVHYSLHRHMEINRPFLAAANDIRRFHSPDYVDFLSSVSPETISEIAFSRQLKRFNVGEDCPVFDGLFNFCQASAGGSIGAAVKLNRGDADIAINWAGGLHHAKKGEASGFCYVNDIVLGILELLKVHRRVLYVDIDVHHGDGVEEAFYTTDRVMTVSFHKFGDFFPGTGHIRDIGVGPGKNYALNVPLNDGLDDNSFRGLFRSVIQKVMEVYQPDAVVLQCGADSLSGDRLGCFNLTVKGHADCLRFLRSFNVPLMVLGGGGYTIRNVARCWCYETAVAVGVEPDNKLPYNEYYEYFGPDYTLHVDPSKMDNLNTPKDMEKIRSVLLEQISRLPHAPSVSFYTTPPTIPVPEEVEEDMDRRTNPRIWRGEDCDSDHDGEKADSKDGADNMEEDKPEGHPPSCC >OIW01065 pep chromosome:LupAngTanjil_v1.0:LG12:510870:512462:1 gene:TanjilG_14248 transcript:OIW01065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDTDSFATLMDSTSSKIQQLQKAFAELESYRAVTLNLKWKELEAHFRGLEKSLKRRFHELEDQEKEFENKTMKAQEIVKKREAAVVAKEQATLQRLQEKRDAAVFAIVNAREKHRKVSSKGIAIVSDGDEGHGTPEVEEKPMNSACVAAEVNLQDVKTSPGIANVESMSYLELVKLCEEMDAGGIHKFISDNRKNLAAIREEVPLALRAAPNAALLVLDSLEGFYDAEVPNHDVKKDANLLGLRRTCIMLMECLSVLLSNSESVSDVISEDIKDKAKAVAEEWKPRLLDIDASNGNSLEAHAFLQLIASFGIASNFNEEELSRLIPLVSRRRQAADLCRSLGLSEKMPGVIKVLVDSGRHIDAVNLAFAFDLTEQFSPVPLLKSYLDDARKFSHVKSVNSSPTAQIRNILDSFDIYVLFQLRYPNSLIAIMNY >OIV99855 pep chromosome:LupAngTanjil_v1.0:LG12:17509349:17514134:-1 gene:TanjilG_26193 transcript:OIV99855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAGTGVFSETLEGDVYKYYADGEWKKSSSGKNVAIINPTTRKTQYKVQACSQEEVNKVMDSAKSAQKLWAKTPLWKRAELLHKAAAILKEHKAPIAECLVKEIAKPAKDAVTEVVRSGDLISYCAEEGVRILGEGKFLVSDSFPGNERTKYCLTSKIPLGVILAIPPFNYPVNLAVSKIAPALIAGNSIVLKPPTQGAVSALHMVHCFHLAGFPKGLISCVTGKGSEIGDFLTMHPGVNCISFTGGDTGIAISKKANMIPLQMELGGKDACIVLEDADLDLVAANIIKGGFSYSGQRCTAVKVVLVMESVADALVEKVNAKVAKLSVGPPENDSDITPVVSESSANFIEGLVSDAKQKGATFCQEYKREGNLIWPLLLDNVRPDMRIAWEEPFGPVLPVIRINSVEEGINHCNASNFGLQGCVFTKDVNKAMLISDAMETGTVQINSAPARGPDHFPFQGIKDSGIGSQGITNSINMMTKVKTTVINLPSPSYTMGYFTGGDTGIAISKKANMIPLQMELGGKDACIVLEDADLDLVAANIIKGGFSYSGQRCTAVKVVLVMESVADALVEKVNAKVAKLSVGPPENDSDITPVVSESSANFIEGLVSDAKQKGATFCQEYKREGNLIWPLLLDNVRPDMRIAWEEPFGPVLPVIRINSVEEGINHCNASNFGLQGCVFTKDVNKAMLISDAMETGTVQINSAPARGPDHFPFQGIKDSGIGSQGITNSINMMTKVKTTVINLPSPSYTMG >OIV99809 pep chromosome:LupAngTanjil_v1.0:LG12:17170213:17176828:1 gene:TanjilG_26147 transcript:OIV99809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPSSPVADPLRSVFLGVDVGTGSARAGLFDEEGKLLGSSSSPIQIWKSDACVEQSSTDIWLAICAAVKAACSQAKVAPTEVKGLGFAATCSLVAVDADSSPVSVSWSGDSRRNVIVWMDHRAVEQAERINSCNSPVLEYCGGALSPEMQPPKLLWVKENLQESWAMVFRWMDLSDWLSYRATGDDTRSLCTTVCKWTYLGHAHMQHINDQNSRDMEACGWDDEFWEEIGLGDLIEGHHAKIGRSVAFPGHPLGSGLTPTAAKARNSELGLVPGIPVGTSLIDAHAGGVGVIESVPPSEAEEHDKEAISNRMVLVCGTSTCHMGVSRSKLFIPGVWGPFWSAMVPEYWLTEGGQSATGALLDHIIENHAASPLLANRAASQKISVFELLNKLLETMMIEQKQSFVAALTEDLHVLPDHHGNRSPIADPKSKGVIYGLTLDTSVKQLALLYLATVQGIAYGTRHIVEHCNAHGHKINTLLACGGLSKNPIYIQEHADIIGCPIILPRESESVLLGAAILGAVASRKYRSLSEAMKSLNAAGQVIHPSKDPKVKKYHDAKYKIFRDLYTQQLEFRSMMAQALA >OIV99831 pep chromosome:LupAngTanjil_v1.0:LG12:17342125:17342998:-1 gene:TanjilG_26169 transcript:OIV99831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNFSNSLIGILNLVTFLLSIPIIIAGIWLSKQGVTVCEHWLEKPAIAFGIFLLIVSIVGLIGACCRVSWLMWIYLFIMFLLILILFGCTIFAFVITNKGAGRVLSDKGFKEYRLGAYSNWLQNRVTGRTWKKIRSCLVASKYCSNFNKHHGQDNIAMFNKQKLSPVESGCCKPSNECGFTYISPTKWTKTRNVTLANPDCNAWNNDPNILCYNCQSCKAGFLQNIKKNWKKVSIVNIVFLIFLVVVFSIGCCAFKNNRNHNYYKGH >OIW00386 pep chromosome:LupAngTanjil_v1.0:LG12:12833419:12834421:1 gene:TanjilG_05736 transcript:OIW00386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVFTFVLRNSGGKWTAKQHSGDIEASASSTFELQRLLVNAALAVDSSGGVQSSFSTVSPTSAVFQVIVGGAVAVGGGVAAAASAGAAPAAEAAAPAKKEEKVEEEEDEEEFGLSLFD >OIW00334 pep chromosome:LupAngTanjil_v1.0:LG12:15494543:15499674:-1 gene:TanjilG_27585 transcript:OIW00334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVINTNPVAKTSVDNGLSERGKKQAIRAAFDLKEMGACDKSCWIWPAITQRAYQTAEIIAAVNAVPRSYIVPEYSFLDARGLGAYEGKNLDSVSEIYASDVISPNTKPPPIDDGTPNESVADVFVRVTQLMSILETQYSGDTVIIVSPDSDNLTILQAGLIGLDLRRQLITASPGTPVFETYVVKNADGSYHMQRKGQRIMILLSRNPEEEVNNLDEPDEVTLEADSDASGEEKDLDMKLFVGLYVGAFGPYGAEVVQLKPKFGHWNDMDSENNPSDMEFFEYVEAVKLTGDFDVPRGQDIEHHMKGADIGFLYIVPDQSFIVLFNRLKLPE >OIW00065 pep chromosome:LupAngTanjil_v1.0:LG12:18854103:18854663:1 gene:TanjilG_26402 transcript:OIW00065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEWGGFVSKGSGFNEGTMRNEEFDEEDIWGMAKESDYSSPKTRVSNESSGSSSSSSSSSAWCLSTSPRKITMANKSMTLIPSSESNANVVEGSSEPVDIPDWSKIYGDKGGCGNGTHYHDHGYGDDDDDDDDDEDMIPPHEWIARKLARSQISSFSVCEGIGRTLKGRDLSKVRNAILTKTGFIE >OIW00577 pep chromosome:LupAngTanjil_v1.0:LG12:11088934:11090968:1 gene:TanjilG_14803 transcript:OIW00577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFPGCSSEKHREKKHKKEKKDKEKRENKEKKDKEGRDGKDKEKKDKKEKHREKKKEKEKHKDKGRDKDKSKISTAGDKGFPGQAVDPNAGKLHQNEIKQSDKKGILSEEKLNKQYIGHNGEKASENNHLAEENKDSKFLLELNRRIKDNSGGAGYQLVQNFSNTYNHRKDEGTVKLLHKGSGTLPDGKEKHEDMDLDAKMIDGKGIRTDVRPIGNATVQNHAGNYHPRVDGMPRLVETNFNGSLEATVEGKEKVKEKKDERKEKKVKEKKDGGKKRKDDKLRDKKKDKEKKKKGHGKDKNRDKEKKKEEKAKEYTELKNADQNKLKESNKDGPTCLNSSTQVSKNIHENAVSAENIKKRKDIVSNGVPRANDNWPSKLPRPSPAHPFTENGRILEPCQVFNSNASDRPEVATSVKVDNKEHKINGFVKAPPPFAASSTKAQIATAPTVPATEAPAKPPHPDAKFLSQLTEASAKPPHPDTKYLSQVYSVPKMDVWSEFDDQEWLLGSSGSQERKPVVKSSEVGDTRQVWADTLHIETADIYALPYVVPY >OIW00905 pep chromosome:LupAngTanjil_v1.0:LG12:2566374:2568943:-1 gene:TanjilG_19846 transcript:OIW00905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFILKSKKKRSDQVMQTNRVIGNNHVPAVLPEPQTHTQAQSASASFRTKFETFASIKQVTENRTHVLSAPSALDPAEHNASVLVEHLEQEESKHHVGPMKEQRSSTPRGVISLASIEHKEREESRHLAGLTKEQSSPTPQPLPLPLPPWSSCALKGTSRINSGTLSGPQYASGFLPLPPTGLLRNFLYAEIAAACDNFSSDRCMMKCLSSVVYRASFHDDASSLKKFGATVNLLHTSTKGLKEFINEVNTLASLQHPYICKLLGFHARVGSEPRMLVFEKLCHGSLDHLLFGKFDGPPLDWNTRIKIAICAAQGLTFLHEEGPFQAMYNDFSTANIQIDKDFSAKLSGYGCVGHVCEEEISNYSSAVGCLPVETLQRGILTPKSNVWSFGIILLELLTGRKNLDSYYPKEERDLVKWSSPFLVDGYKLLTIMDPKLKGRFPCKAARALAEITQRCLKKEPSERPNMRTVVNHLEIVLDLRYSRWLEPEALISGEHMLRSLSPNCIISTSIEPKLNFSPPNLPISTPPLSPPSWTGVPLHPSQACSSTLVEELNKLENG >OIV99914 pep chromosome:LupAngTanjil_v1.0:LG12:17929660:17935535:-1 gene:TanjilG_26252 transcript:OIV99914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTNSNIKQSQKKKTWFVSLIISLLISTILILLSIFISSDSSSLLHLYRTRLITHLPHFVESKLTLSPTSSTNSVPRIAYLISGSTGDGDSLKRTLKALYHPRNYYAVHLDLEAPTHERLELANFLTNEPLFSKLGNVKMVVKANLVTYRGPTMVTTTLHAASILLKEAGDWDWFINLSASDYPLLTQDDLLHTLSSIPRHLNFIEHTSDIGWKEDHRAKPVIIDPGLYSINKSDVFWVTEKRNVPTAYRLFTGSAWMMLSRQFVEYCLWGWDNLPRLVLMYHANVLSSPEGYFHTVICNAEEFRNTTVNHDLHFISWDNPPKQHPHYLTIDNYQRMVDSNAPFARKFGRNEPALDKIDNELLGRKADGYVPGKCSPLLLRMTHSLCFSPICSLVSSNRPGAVTGNSVARKAFSIKETCLNSKARNLKSLEVKAADDKSQTTKVKSIVCSGCEGNGAILCTQCKGTGVNSEDHFNGQFKAGGLCWLCSPTTKIDVQMGCGESFLFFLSKLIDWVFSTLAGPGAAMGFANMCC >OIW00129 pep chromosome:LupAngTanjil_v1.0:LG12:15836214:15838045:1 gene:TanjilG_29119 transcript:OIW00129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIDHIIVKELKDESDFDAIASSDGYISICGFGSLLSEKSARSTFPDLTGFRIATLTGFRRVFNVVGGFFFSHGITNIKTEEIAALSVVPCEGETILLTVFEIKKTEIPAFIRREREYSFLAVVPESLDGKPHANPAVLCASSTDEEFFKLKCTEGREIYFQQYGDYKVHKIWRDDVLPCRVYLRHCVLAAKSLGDEAYNNFLDHTFLADRKTTIRQYFEKVGTSIMDEEPPESLKTRYGGSS >OIW00825 pep chromosome:LupAngTanjil_v1.0:LG12:5683667:5684065:1 gene:TanjilG_08264 transcript:OIW00825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNLQCTKPNLGAVWNLVFGIAVDSIWRCRNRLIFENIDSDWLPLLYEIAAKCSSTAKDLQGLNQAIIRFAHPNNPIIRWVLPEIGWIKLNTDGAFSSSRSLAASGGVVRDHHGAFFFCLLQANWCLHLCSS >OIW00627 pep chromosome:LupAngTanjil_v1.0:LG12:10415108:10417744:-1 gene:TanjilG_09108 transcript:OIW00627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSSYFSLHWESTGDKWWYASPIDFAAANGLYDLVTELLHFDTNLLIKLTSLRRICRLETVWDDDKATFEDVAKCRSKVARNLMLECETGRGQNSLIRTGYGGWLLYTAASAGDMDFVVELLRRNPLLVFGEGEYGVTDILYAAARSKNCEVFKLLLDSALSRKECLGSSGAELEENLDESAKVFKRDMVNRAIHSAARGGNWEIVKEIIGSGAEILSYRDAQGCTVLHAAAGRGQVEVVRNLITSYDIINSIDAQGNTALHVASYRGYLPVVEILIGASLSLACLTNHSGDTFLHMAVAGFLSPGFCRLDKHIELMKQLVSEKIMNMEHIINIKNNDGRTALHVAVIHNIQCDIVELLMSAPSIDLNIRDVDGMTPLDHLKQRSRSASSEILFKKLVSVEGISNCHDYIARNALVTHLKTHHGIGASPGTSFRIPDANILLYTNTEKNSSDATYDEASVESNSCSSEINNYESPNSPSKSSPLNSAAKRLKFLLQWPMMRKETKAATSDLDVDSVDSFSSRKKLANNSLRHRYSKTRSLPNNKRTLSIKTYLTSPSSKKYFTAGLMQGVFQVKPHVLHPTHDSTHGSFEELSITSESSNSKQKQVDIMGPSSSNWPIDDGKLELNYKKSPFNKKMMNRYFSFGAQSQALEDGNKGHKVKWKL >OIW00457 pep chromosome:LupAngTanjil_v1.0:LG12:13538304:13541790:-1 gene:TanjilG_05807 transcript:OIW00457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGCATKPEVLKDDSDVKAPEPELEPEPSKVDVVDETNVSEEPQPEINTGVDDDQTNKRRSLSLLFNKENDDTNVSTENDKTVVEEIVKQETLEAQKPLEDSKSNEPIVKHESSKAEDKNKLLNNEPVEQDSPLLIKLEEKSSVQNESSKLDEEIAKLESKIEELLEENQIIETPKQEFVDAKETPSHGSKSDKPETLIEETKVTKVVTQPEEKVIEALSTDTGSVPKIITEKATHSSREEKNHNEVEHESKASDASNIKT >OIV99900 pep chromosome:LupAngTanjil_v1.0:LG12:17846936:17855524:1 gene:TanjilG_26238 transcript:OIV99900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLSRELVFLILQFLDEEKFKESVHKLEQESGFFFNVRYFEDMVTNGEWDEVEKYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDKQDRPKAVEILVKDLKVFATFNEELFKEITQLLALDNFRHNEQLSKYGDTKSARGIMLSELKKLIEANPLFRDKLQFPTLKNSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFVDHSCGQPNGARAPSPVTNPLMGVVPKAGGFPALGAHGPFQPTPAVLPTSLAGWMANPSPVPHPSASAGPISMAASNNAAGILKRPRTPTNNPALDYQIADPDNVLRRTRPFGISDEVNNLPGNLLPYSYQSNGQSSYSSDDLPKTAVMNLSLGSTVISMDFHPLQQILLLVGTNTGEVMVWDIGSRDRIARRNFKVWELGACSMALQGSLSNDYSASVNRVVWSPDGTLCSVAYSKHIVHIYSYHGGDDLRNHLEIEAHTGSVNDLAFSYPNKQLCVVTCGEDRVIKFIFSTATDGKIKAWLYDNMGSRVDYDAPGHSSTTLAYSADGTRLFSCGTNKEGESFLVEWNESEGAVRRTYHGLGKRSVSIVKFDTSKNRFLAAGDESMIKFWDMDSTNLLTSVDGEGGLPASPCIRFNKEGILLAVSTNDNGIKILANTEGIKLLRTVENRTSDASRVASAAIVKAPTIGTFPSTNVTVGTSLADRGPPVPVMVGINNDTRSLADVKPRIVDDAVEKSRIWKLTEITEPLQCRSSKLPDSLSSAKVSRLIYTNQGVAILALAANAVHKLWKWQRNDRNPSGKANASAQPQLWQPSSGILMTNDIGDTNPEEAVSCFALSKNDSYVMSASGGKISLFNMMTFKTMTTFMPPPPAATFLAFHPQDNNIIAIGMDDSSIQIYNVRVDEVKTKLKGHQKRITGLAFSHVLNVLVSSGADSQLCVWNTDGWEKLTSKFLQMPSGRAPAPLADTRVQFHLNQTHLLAVHETQIAMYEAPKLECLKQWVPREASGPITHATYSCDSQSIYVSFEDGSVGVLTASNLRLRCRINQTAYLQPNPSLRVHPLVIAAHPSEPNQFALGLTNGGVHVLEPLDSEGRWGSPLPSENGAAGPSTTSGATPS >OIW00189 pep chromosome:LupAngTanjil_v1.0:LG12:16244340:16244579:1 gene:TanjilG_29179 transcript:OIW00189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGNKSSSFSLCGMFKSCFSSGSKDYDYYYEDNGRRIFASDEDRGRWIAEPGIDNKASAFIAKFYANRVTDSEHQFASS >OIW00109 pep chromosome:LupAngTanjil_v1.0:LG12:15679645:15681421:1 gene:TanjilG_29099 transcript:OIW00109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRALTAADSAVSGDLPAGEFMLFGVRVVVDSMRKSVSMNNLSQYELPPDASSNNNKDTVAAGYASADDAVPHNSAKLRERKRGVPWTEEEHKLFLVGLQKVGKGDWRGISRNYVKTRTPTQVASHAQKYFLRRTNLNRRRRRSSLFDITTDTVPMEEEQIQNQDSLSHLQSVYPAAIETTNTNRFQMMPIYPMHVGSGMFSVKAENPMEELTLGQRIMEHNVPTNQVLPIPKASTVSDIASNLSSTIDPPKLSLGLSFSSDQRQTSSRHSPLYALSHLNNGDSIISVA >OIW00569 pep chromosome:LupAngTanjil_v1.0:LG12:12489485:12495719:1 gene:TanjilG_24299 transcript:OIW00569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSGSSEEKSFSNGDVYIGEIKAKLPHGKGKYTWSDGTAYAGDWEDGKMTGKGVIIWPSGAKYEGEFSGGYLHGYGTFTEYTGCVYRGSWRMNAHHGIGRKDYSNSDSYEGLWREGIREGCGRYSWSNGNTYVGNWKSGKIDGRGVMKRANGDIFDGCWVNGLKHGSGVYRFADGGLYIGTWSKGLKDGKGTFYPSGSKYPSLRKWCSIKGHYSGLPLNAETHMDPKSSVRRSFSEKISFSSRSKSSRKISLRTSSLDADWSLRDPAGGCICHDSSSAESQTLDEGQSEVSGTSTLVYEREYMQGVLIMERTRTYSDSSPKKKRQNKFSVKPVMKSSLMDIFGGRRSYYLKLNLQLGIRYTVGKITPVPAREVRSSDFGERARIRMYFPKEGSQRTPPHCSIDFYWKDYCPMVFRNLREMFKLDAAEYMMSICGDCGLRDISSPGKSGSIFYLSQDDRFVIKTLKKSELKVLLNMLPKYYSHVGKYENTLITKFFGVHRITLRGGKKVRFVVMGNMFCTELHIHRRFDLKGSSHGRCTDKDKINSHTTLKDLDLKYVFQMDIKLRESLLNQISLDCKFLESRHIIDYSLLLGLHFRAPENLKALAELPGSMQRQDSIPFGDDVKQGDQLIIPKGLLLVAHEPNFVNTAPGPHIRGHTLRAYSIGDREVDLLLPGTARLRVQLGVNMPAQAISKVHEDKVDASEVELFEVYDVVLYMGIIDILQEYNMKKKIENACKSLKFDPHTISVVEPKIYAQRFINFLDKEVFPHNA >OIW00487 pep chromosome:LupAngTanjil_v1.0:LG12:13834130:13838273:1 gene:TanjilG_05837 transcript:OIW00487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQSFSHVLITRHAPDIRWSSEPTASASKTTSTLAEDPFVVFESPSAPKDSPTSLFTDPLEEINKFSSSRDTKNDNSSNSYGKLYEDIDPFDGLGRSEPEFSSEGNSRKGSSSPRLNISTGWTRDKESVEKFSGRSPSSNSQNKTPVEHDHDFSQAPFYTPTDSSNSSKPVDQRSRSPSYNAGFKLANIQVDMSSKFEENLGSNEDIWLTVLDIPLFTQPTTVPPPSRPPPPRPVHIPKSGTGSPASTNSRKKANDFSSFASFTRFSQATNSAPAVARVSPASQFDELDDFAMGKSRGTDDESRNGPPDEELEMNTATDAMKEAMDRAEGKFRHAKEVRERDNTQASRNEETVQLEKDDRTMLEEREKQEMLDRERQQKEREEEEQRRVMEEREEQRLERDKARQAVERATREARGRAAAEAHQKAQRAAVQKAHAEARERSEKAAVQRAQAEARERAAAEAKERAEKAAAEAKEKETRVRARAARVEAEARVRAERAAAETRERAAAEARERAAATARMNQQKNENDLESFFGMGERASSVPRPPRTNCSDSIFETQFQSDVTRKSTAASPSMKKAPSDTNIADDLSSIFGAAPSSGDFQEVEGESEERRKARLERHQRSQERVAKALAEKNQRDLQTQREQVERHRLAETLDFEIKRWVAGKEGNLRALLSTLQYVLWPECGWQPVSLTDLITVAAVKKVYRKATLCIHPDKVQQKGATLQQKYIAEKVFDILKLINFFFAWPHLDS >OIW00869 pep chromosome:LupAngTanjil_v1.0:LG12:3712300:3712872:-1 gene:TanjilG_12810 transcript:OIW00869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPQSLIFFLLLSGYALASVAGEDTEFGRPLDRKTLGLYKKEKLSHFTFYWHQKMSGSNTTSASIVAPISKYSNSTSFGLVQIFDNPLTLGPKLNSKLVGRAEGFFASTSQSEVDFLMIQTFNFIEGKYNGSAISILGRNVAFAKIRELPVVGGSGLFRYAKGYAVLTTYFEDPKTRDAIIEYNVYVSHY >OIW00581 pep chromosome:LupAngTanjil_v1.0:LG12:11141860:11142036:-1 gene:TanjilG_14807 transcript:OIW00581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSTLTDSRVQNSSSKANSSDIWAQEGFLRFGCKNVVTTPNQSYDQCSVENQGATMR >OIV99874 pep chromosome:LupAngTanjil_v1.0:LG12:17611562:17615620:1 gene:TanjilG_26212 transcript:OIV99874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYNEHLAFQGFTEEEQELSPDESTRGGGGGGGGDMQQHHHHHRVVPPTWLINNNNATRQQQNFLHLQTQKSMDRMSNSESEELGEYKAHILGHPLYDQLLSAHVSCLRIATPVDQLPRIDAQLQHSQRVVDKYSALANGVLDQKELDHFMTHYVLLLCAFKEQLQQHVRVHAMEAVMACWDLEQSLQSLTGVSPGEGSGATMSDDEDEQAESNANLYEGSMDGGDSLGFGPLVPTESERSLMERVRHELKHELKQGYKEKIVDIREEILRKRRAGKLPGDTTSLLKAWWQSHSKWPYPTEEDKARLVQETGLQLKQINNWFINQRKRNWHTNPTTSTSSKSKRKSAGESSNQSFM >OIW00098 pep chromosome:LupAngTanjil_v1.0:LG12:19010837:19011950:-1 gene:TanjilG_26435 transcript:OIW00098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSPAPCTRSWSISEDSLRRYVQFASESCIQELLGASDTNKGNGNDGWKVLTDLHNGVELSKRRSGSLHTFRSRWVLRSVSPQQFISVANAIDAAKQWDSDLVEARYIKDVEDNLSIICLRFGDNSKPLFRNREFIVYERRETMEDGTLVVAVASLPKEIAAGLHPKQSNAIRGVLLQSGWVVEKLDHNSCVVTYVVQLDPAGWLPKCFVNRINTKLVMIIENLRKLAQSSPSEVDK >OIW00372 pep chromosome:LupAngTanjil_v1.0:LG12:12733556:12736191:1 gene:TanjilG_05722 transcript:OIW00372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTTTIVVGTPLPTFQPQQRHCYGDSMPGEFPLSASPSIVLHVLTSCNLDPQDLAKLEATCSFFRQPANFAPDFDLSLSELAALDMCQKRAIFKPMTTEEKQNLKQRCGGSWKLVLRFLLAGEACCRREKSQAIAGPGHSIAVTSKGVVYSFGCNSSGQLGNGTTEEYWQPRPIRALQGIRIIQAAAGTGRTMLISDSGQVYAFGKDSFSDTEFGIQGSKMAATPQLMESLKNIFVVQATIGNFLTAVLSREGRVYTFSWGSEGKLCHHTDPDDIEPRPLLGALEHIPVVQIAAGYCYLLCLACQSSGMSVYSVGCGLGGKLGHGSRTDEKYPRLVEQFQMLNLQPRVIAAGAWHAAVVGQDGRVCTWGWGRYGCLGHGNEECELVPKVVEALNNVKAVHVATGDYTTFVLSDNGDVYSFGCGESASLGHNPGDDDEEENMHANVLSPELVTLLKQVNERVVQISLTNSIYWNGHTFALTESGKLYAFGAGDKGQLGVELVGNQTERGKPERVNIDLDN >OIV99952 pep chromosome:LupAngTanjil_v1.0:LG12:18158105:18158581:-1 gene:TanjilG_26290 transcript:OIV99952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERNPTSAQTQRAPPRPTTPTFLYKLQYHAPNSTQLASLFTLTLTGAIFLLLTVAGAVLSLILFSPLIIVSSPIWVPAGTILFVVVTSFLSMVGFGIAAVAALSWMYRYYRGLHPLGSDRFDYARHRIYDTASHVKDYAREYGGYLQNKVKDAAPGA >OIW00913 pep chromosome:LupAngTanjil_v1.0:LG12:2469358:2472479:1 gene:TanjilG_06293 transcript:OIW00913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMQMQQILSLFLVLFGSVLVISSDQVFNDDVLGLIVFKAGLEDPKGKLSTWNEDDYSPCNWVGVKCDPITNRVTVLVLDGFSLSGHIDRGLLRLQSLQVLSLSRNNFTGAINPDLLTFGSLQVVDLSENNLSGSIPDRLFQQCWSLRVVSFAKNNLTGKIPNSLSSCYSLVTLNLSFNQLYGEIPSWMWFLRGLQSLDLSNNFLEGEIPEGIQNLYDLRELRLGKNRFTGTGPQDIGGCLLLKLIDFSGNFLSGKFPESIQRLNSCTFLNLQGNSFTGGVPNWIGEMKNLEVLDLSENRFSGWIPKSFGNLDSLSRLNLSRNQITGNLPESMVNCIKLLALDISHNHLAGPLPSWIFRIGSKSTYASWNSFNKRIPPSLASVSYDGLMVLDLSSNAFSGQLPSSVGDLSSLQVLNLSTNNISGSIPVSIGELKSLYILDLSDNKLNGSIPSEIVGAISLSELRLQKNFLGGRIPTKINKCSSLKYLNLSHNKLTGSIPAPIANLINLEHVDLSWNELSGSLPKELMNLTQLFSFNVSYNQLQGELPVGGFFNIISPLSVSGNPLLCGSVVNHSCPPVHPKPIVLNPNSSYSNSRASFQNRHHKMILSISTLIAIGAAAFIVIGVMAVTVLNIHVRSSMSRSAAPFALSGGEDYSCSPENDPKYGKLVMFSGDADIADGAHNLLNKDNEIGRGGFGVVYCTVLQDGKSVAIKKLTVSSLIKSQEDFESEVKKLGKMRHQNLVALEGYYWTSSLQLLIYEYLARGSLHKLLHNDDSETVLSWRRRFKIILGMAKGLAYLHEMDIIHYNLKSTNVLIDCTYEPKIGDFGLVRLLPMLDHCVLSSKVQSALGYMAPEFACRTVKITEKCDIYGFGILVLEVVTGKKPVEYMEDDVIVLCDMVRSALEEGMVEQCVDERLLRNFAIEEAIPVIKLGLVCASQVPSNRPDMAEVVSILELIQCPSEGQEEL >OIW00933 pep chromosome:LupAngTanjil_v1.0:LG12:1601703:1608072:1 gene:TanjilG_10011 transcript:OIW00933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPNLKLKLFYVPFLFLLFTFLLKVESEHHVSQKIIAAPHKDLGTNVIDATGFDNSFSNVLGDRKGGVNSKVSVSTVALFTLAMAAATGLGAVPFFFVELDPQWAGLCNGMAAGVMLAASFDLIQEGQDYGSGNWVVIGILAGGIFIWLCKKCLEQYGEVSMLDIKGADAAKVVLVIGIMTLHSFGEGSGVGVSFAGSKGFSQGLLVTLAIAVHNIPEGLAVSMVLASRGVSPQNAMLWSIITSLPQPIVAVPSFMCADAFSKFLPFCTGFAAGCMIWMVVAEVLPDAFKEASASQVASAATLSVAFMEALSTLFQNFNHDYNSDDASGFFVSLLFGLGPLVGGIILVAFALAFRLQHALLMGTACGIAFVLGAWRPVQLILSSKLGLIPVVLLLAIGAAFIHVSSSGLLKLAGQKKASVNDLPTLTGLAVSVHTLQSFISCGAIALHALAEGLALGVAAPKAYGLGRHMVLPVSLHGLPRGAAVASCIFGATDSWHGSLATAAIIGFMGPISAIGSILAGIDYSGLDHIMVMACGGLIPCFGSVVKRALSLDKRKSTCGIIIGIVFATLCLTFTRLVCLYTPYCNSAPEAVR >OIV99924 pep chromosome:LupAngTanjil_v1.0:LG12:17981705:17982388:1 gene:TanjilG_26262 transcript:OIV99924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANQDKKHKRGFMYIYKGGIGNQRKKEVEHVVEQDKKSNSRSWLQRISKIRTLNVENDGNRGLDIGKQTPAAEGGFVEARKSTSWLELGSKEGFVERRKSVSCIETAAASPAARGIIVEEGRKSVSHIETKSSKEGKFVEARKSVSQIETLSSVVKHLQVKVLVSDMPSFMQVHAFRCARRTCDSLDNFSSKHIAHNIKKEGY >OIV99719 pep chromosome:LupAngTanjil_v1.0:LG12:16501977:16503816:-1 gene:TanjilG_26057 transcript:OIV99719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVMKITWKSIFPSCYKGDDNYQSPKPNKVVSSPPKTTKPDGSSSRISVTDLSFPTKTLSEDLSISLAGSNLHVFTLAELKIITQGFSSSNFLGEGGFGPVHKGFIDDNLRPDLKAQPVAVKLLDLDGSQGHKEWLTEVVFLGQLRHPHLVNLIGYCCEEEHRLLVYEYLPRRSLENQLFRRYSGSLPWSTRMKIAVGAAKGLAFLHEAQKPVIYRDFKASNILLDSDYSAKLSDFGLAKDGPEGDNTHVSTRVMGTQGYAAPEYVMTGHLTSMSDVYSFGVVLLELLTGRRSVEKGRPQREQNLVEWARPYLNDSRKLSRIMDPRLDGQYSDIGAKKAAALAYLCLSQRPKSRPTMTTVVKTLEPLQDCNDIPIGPFVYTVPSDNGEGNKDAKESDAPKERKNENGGHHHHRSHHHSNGHRHHHPLRSPKTSNSKLQNDIHQNGSSGFTSADTSFASEGQGTKV >OIV99852 pep chromosome:LupAngTanjil_v1.0:LG12:17495068:17496378:1 gene:TanjilG_26190 transcript:OIV99852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDKGGTEAQIQSTLVHGKYELGRLLGHGTFAKVYHARNIETGKNVAMKVVGKEKVIKVGMTEQIKREISVMKMVKHPNIVQLHEVMASKSKIYIAMELVRGGELFNKIVKGRLKEDVARFYFQQLISAIDFCHSRGVYHRDLKPENLLLDEDGNLKVSDFGLSTFSEEHLNQDGLLHTTCGTPAYVSPEIIAKKGYDGAKADLWSCGVILYVLLAGFLPFQDENLIVMYKKIYKGDFRCPPWFSMEARKLITKLLDPNPSTRITISKIMDSAWFKKPVPKSLLRSKKEENELFDLEDKCEMSETMNAFHIISLSEGFDLSPLFEEKKREEREEMRFATAGTPSSVISRLEEVAKAVKFDVKSTDTKVRLQGQHRGRKGKLSIAADIYAVTQSFLVVEVKKDKGDTLEYIQFCSNELRPALKDILWNSPPENSTPV >OIW00209 pep chromosome:LupAngTanjil_v1.0:LG12:16396740:16397330:1 gene:TanjilG_29199 transcript:OIW00209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTHHTLTLFSFFLLFLSLSEARTLPPQDLLHSSCTHSRYPTLCLQTLSTFTGPTKTTLNLAQAAIQVSLTHARALSSYLNTLHPQPPSNKRNQVALSDCAKQISDSVDELSKTLNELQHLHMGTFQWQMSNAETWASTALTNGDICLNGFNDGGDRKMMVEVKRRVNDVAMLTSNALYFIDRVGQTRSGKPHVNP >OIV99830 pep chromosome:LupAngTanjil_v1.0:LG12:17331524:17336881:-1 gene:TanjilG_26168 transcript:OIV99830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAPLSLWPWENLGIFKYLLYGPFVVKVVYERFYDEEHSNSNPSWCFHLLILWCLRGLIHVLWCSYTNMLFLTRNRRILQQGVDFKQVDKEWDWDNFLILQALVASMAYYMFPFLQHLALWNLKGVIVALMLHVGVSEPLYYWVHRKFHGDYLFTHYHSLHHSSPVPAALTAGNATFLEHLILMAVIGIPILGASLMGYGSASLIYGYVLIFDFLRCLGHCNVEIVPHQLFETFPFLRYVLYTPTYHTLHHTEKDTNFCLFMPLFDVLGNTLNNKSWQLHKKLSSGSGNSSRVPHFVFLAHIVDVTSSMHVQFCLRSLSSLPFTTRLFLIPIWPFALLVLLAMWVWSKTFLLSFYCLRGRLHQTWVVPRCGFQYFLSFATEGINKHIEQAILRADRIGVKVISLAALNKNESLNGGGKLFVDKHPNLRVRVVHGNTLTAAVILKEIPQAVEEVFLTGATSKLGRAIALYLCQKRVRVLMLTSSTDRFQRIQKEAPPEYQSYLVQVTKYQAAQNCKTWIIGKWIMPREQCWAPRGTHFHQFVVPPILSFRRDCTYGDLAAMRLPEDVEGLGCCEYTMERGLVHACHAGGVVHSLEGWTHHEVGAIDVERIDLVWKAAIKHGLRPLSS >OIW00196 pep chromosome:LupAngTanjil_v1.0:LG12:16299036:16301718:1 gene:TanjilG_29186 transcript:OIW00196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRLKRIEDPVRFLLGHHRHHNPLMAYFIGYDPCTQLSKSFSQNPLSSYDRGSSYVSLPNIRNLIYDNVFGVSRSNGFSVRCCSFDVSISGNDTKRIKVNAKSRPQSFVSLPRPPMLIDLEQENSVRGDEHDAASVNSDIEWPSGSPAKPFCFRDQRLTHKLAVAVDVDEVLGNFVSALNKFIADRYSSEYSVSEYHVYEFFKIWNCSRDEADFRVHEFFKTPYFKSGIHPLPGAQLALQKLSRYCNLSVVTSRQNVIKDHTIEWIEKNYPGLFHEIHFGNHFALDGVSRPKSEICRSLNAKVLIDDNPRYAMECAESGIRVLLFDYEDSYPWSKDESVDKHPLVTKVKNWKEVEQQLMSLVVS >OIW00496 pep chromosome:LupAngTanjil_v1.0:LG12:11700909:11711622:1 gene:TanjilG_24226 transcript:OIW00496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPESTQLQQSQLAAILGPDPTPFETLISHLMSSSNDQRSHAETIFNLCKQNDPNTLSLKLAYLLQSSPHLEARAMSAILLRKQLTRHHNDTDDSNYLWPRLNPNTQSTLKSLLLSSLQSEQTKSISKKVCDTVSELASGIVPDNGWPELLPFMFQCVSASDSPKLQESAFLIFAHLSQYIGDSLVPHISHLHEIFLQCLTSSAVDSDVRIAALNAVINFIQCLSDSADRDRFQDLLPVMIRTLTEALNSGQEATAQEALELLIELAGTEPRFLRRQLAEVVGAMLQIAEAESLEEGTRHLAIEFVITLAEARERAPGMMRKLPQFISRLFAILMKMLLDIEDDPAWHSAETEDEDAGETSNYSVGQECLDRLAISLGGNTVVPVASEQLPAYLAAPEWQKRHAALIALAQIAEGCSKVMIKNLEQVVSMVLNSFADQHPRVRWAAINAIGQLSTDLGPDLQIQYHQGVLPALAAAMDDFQNPRVQAHAASAVLNFSENCTPDILTPYLDGIVSKLLVVLQNGKQMVQEGALTALASVADSSQEHFNKYYDAVMPYLKAILINATDKSNRMLRAKSMECISLVGMAVGKEKFRDDAKQVMEVLMSLQVSQMETDDPTTSYMLQAWTRLCKCLGQDFLPYMTFVMPPLLWSAALKPDVTITSADSDNDIDDSDDEGMETITLGDKRIGIKTSVLEEKATACNMLCCYVDELKEGFFPWIDQVAATLVPLLKFYFHEEVRRAAVSAMPELLRSAKLAIEKGQSQGRDASYLKFLTDSIVPALVEALHKEPDTEICASMLDSINESLQISGMLLDENQVRSIVDELKQVITASSSRKREREERANAEDFDAEEGELIKEENEQEEEVFEQVGEILGTLIETFKTSFLPFFDELSSYLTPMWGRDKTPEERRIAICIFDDVAEHCREAALKYSALYGLGVCAEFGGSVFKLLVGEALSRLNAVIQHPNALHGDYIMAYDNAVSALGKICQFHRDSIDSAQVIPAWLNCLPIKGDLVEAKAVHDQLCSMVERSDKDLLGPNSQYLPKIVSVFAEVLCAGKELATEQTAGRMINLLRQLQQTLPPATLASTLSSLQPQQQLALQSILSS >OIW00185 pep chromosome:LupAngTanjil_v1.0:LG12:16214158:16221259:-1 gene:TanjilG_29175 transcript:OIW00185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKNNMGFLAKTNSVLGDVFSVTPPNDSDVDYLGQSTKGDFNLNFKYLQAFGIDGNAALEGPIEEVAKTEAREAEGLLKHLGIPSPSSSRNSSCQIFCSRTLNLRSIRAIGYDMDYTLIHYNVMAWEGLVYDYCMEKLRNKGFPVDGLAFDPDLVISGLVIDRERGNLVKADRFNYVKRAMHGTKMLSTLAVSEMYGRELVDLRNESRWEFLNTLFSVALAVAYMQMVDRLDDGAILPDIGPFDYKGLYKAVGEAILQAHLEGHLKSEIMSKPEQFVEPDPQLPLALLDQKEAGKKLLLITNSDYHYTNKMMQHSFNRFLPSDMDWRDIFDIVIVSARKPGFFQMSNPLYEVVTGEGLMRPCFKAQTGGLYSGGCAQMVEKSLNVHGDEILYVGDHIYTDVNQSKVHSRWRTALICRELEDEYNALIRSQSHRESLVELINQKELAGDLFNQLRLALQRRSKNYPAQTLAATNINDEDLNESMQKLLIVMQRLDEKIAPMLEADGKLFNLRWGFLSRAGLWDKSHLMKQIEKYADIYTSRVSNFLNYTPFMYFRSQEQSLAHDS >OIW00385 pep chromosome:LupAngTanjil_v1.0:LG12:12825844:12831219:1 gene:TanjilG_05735 transcript:OIW00385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCFSVPFVSLWLLLSLCIHSVSSQNISLSSLQQASFPPRGWNSYDSFCWTISEEEFLENAELVSQRLRAHGYEYVVVDYLWYRKKVNGSYPDSLGFDVIDEWGRMVPDPGRWPSSAGGKGFTEVANKVHRMGLKFGIHVMRGISTQAFNANTAILDITKGGAYQESGRVWHAKDIAIPERACAWMPHGFMSVNTKLGAGKAFLRSLYEQYAEWGVDFVKHDCVFGDDLDLNEITYVSEVIRNHPIVYSLSPGTSVTPAMAKDVSSLVNLYRITGDDWDTWGDVKAHFGVTRDFATANMIGGKGLKGFSWPDLDMLPFGWLTDPGSNEGPHRYSNLNLEEKRTQMTLWSMAKSPLMYGGDVRKIDPTTFDLITNPTLLEINHFSSNNMEACAPSISFFPYVTSSVNSKSEDQHHGGKKRRPKKGPKTPFTNSLRLTGCTETKASGWSIESLNRDLERICWKRRLEKRHQAPFCVHKRELRDGESIYQEGHQGKHHLVDTNRMKFCLDASPKRKLTSKEFKRGTFSPCRWDANQMWELNPNGTLVNSYSGLCATVKSVKAYVNSGGISSWIATGRKGEVYVAFFNLSEQKTLIYAQISNLAKVLPGRSFNSCKGKELWSGKDIVTKEKTLSIEVEIHGCALFVLNCY >OIW00036 pep chromosome:LupAngTanjil_v1.0:LG12:18694456:18695313:-1 gene:TanjilG_26373 transcript:OIW00036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCGKSKHDVASDNTLQRKKSSNAGQKIWTETKDNNVDNVVSSEVEQNNKENVKEAGVEGKADESNNAKDKSLEVIEDEALDVKNKDAEETTQKKENVDVKELISEKDDSLLKENDAPAIAVEEEKKGENQKDEVENKGTVQEETLTKEEETKETYQEETLANEEKTKDTIVPTTKGEEKDLR >OIW01028 pep chromosome:LupAngTanjil_v1.0:LG12:175211:188794:-1 gene:TanjilG_14211 transcript:OIW01028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMRHRRVREISRFLSPLFFSGDCYQQSGKKAAFKLLTLQKPIANYIQVKGFHGQRLLSTIADVSHEPAAEIDLLSFLKDSLDEFEGTNYCWLNRSGKNKPLFGGDGTFLVLAARNIEHDIIFEKLKTIQMKFKKDMQYMIPHIFIMGFNPIHSSTDQLNLAELLLTENITVPILLSQQTFPEIEKGACYILFKKFQNPVIYQEMDMDLEILYQAIRELQMQSDGNAKSLTDLIRPSWLQSGITEDQYICHPFQNLLLSYPGCVSADETDNRLFFSDCNHHRIIVSDGNGEILDCIGSSPGFEDGDFESARLRRPAGSYYHADEDCLYFVDSENHAIRKADMGLRQVETLYPTRASNKGRFSLWNWVTDKLGLGSSEETNDEEASQVFDPKSLYFPWHLLKSADNTLHIIDRRFQTLWTMDFGSGKIDDVYKGSPTILEVCGQEIMKNLSILDQIPRDWFRQQSNNPRLLEGLPHSDLLSSLTTLQHHIFICDTVGQRILKVDRESGVHSNFQLSNFGILGLPYWLNFPLETCYAAGNGLLGTPVDHLQHFEILPGRLDIHIRVDIPTDIELVEPLQDSCIWRQARGAATEVSAVDDVLGSLKKVGVAQQWYDELDNLVVPQSEISIENDDLDENDKNLTVEDGKVRIDCNVCTSPGTSEVIIYAALHCKLAQNSNEGSREKHAARLLDILSSKQCGKTERDLWNAFLLQSKGDLRDLIFTKPLHIRIRLATLDQLKEDNGRDIIVTNSSINVNVVALN >OIW00351 pep chromosome:LupAngTanjil_v1.0:LG12:15633172:15635332:1 gene:TanjilG_27602 transcript:OIW00351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTAGDVFRHTLPELLEESPSGAAELHVLAVDDSFVDRKVIERLLKVSSCKVTVVESGTRALQYLGLDGDKSSFGFDQESSVFREIPVVIMSSENILTRIDRCLEEGAEEFLLKPVKLADVRRLTDFIMRGEVKEDEKTSQKRKLSDNCGPPLSTTLPSVSQPRDSSSSGLSPLSPSSLSSKKSRL >OIV99889 pep chromosome:LupAngTanjil_v1.0:LG12:17718404:17720812:-1 gene:TanjilG_26227 transcript:OIV99889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCSSTFYPPQLKSSLYSINPHPLQFKHSFLHFSLKNNNTNVSSPKTLRIRAIDAAQSFDHESKLAIQFHNSQKLKIAIVGFGNFGQFLAATLIRQGHTVLAYSRSDYSIAARQMGVTFFHNPDDLCEEHPEVILLCSSIISTQKVLLSLPFQRLKRSTLFVDVLSVKEFPKNLLLEVLPNYFDIICSHPMFGPESGRHGWKGLTFMYDKVRILDEDHRIARCQKFLNVFAREGCRMIEMSCGDHDIYAAGSQFITHTVGRVLEGLNLESTPINTKGYESLLDLVENTAGDSFDLYYGLFMFNKNSLEMLEKLNFAFEDLRKELIGRLHHVVREQLFENAGKSQSMQDNNYALSKYYLNGSAHILSPKDDRFHDVAQLNKSSNSSQSNENSKLKIAVIGFGNFGQFLAKTFVHLGHEVLAYSRSDYSAMAQKLRVSYFSDADDLCEQHPEVILLCTSILSTEKVLKSLPVQRLKRSTLFVDVLSVKEFPRNLFLQHLPPYFDILCTHPMFGPESGKNGWQGLPFVFDKVRVVRDESRISRCDQFLNIFASEGCQMVEMSCAEHDWHAAGSQFITHTTGRILERLKLEATPINTKGYETLLSLMDNTVGDSFDLYYGLFLYNLNAMEQLERLDQAFESLKKQLFGRLHRIYRKQVFENEELVHALPERSFLPEISEDSNAVSSLTDNVDPK >OIW00441 pep chromosome:LupAngTanjil_v1.0:LG12:13375293:13377394:-1 gene:TanjilG_05791 transcript:OIW00441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDFREEFTIETSTITCLIWIQLILFLLLIFILLFFSPIAFETSHDSTTATTTVSASTADIHHSQKLLTNNQSSSTPLTNRLQISRYFDRG >OIW00476 pep chromosome:LupAngTanjil_v1.0:LG12:13743185:13745125:1 gene:TanjilG_05826 transcript:OIW00476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIQGCEVEAIGINYKIQTQKTKHPFKVFSKPPTIDAKEDGQEQEEEAKDEQSCSGVKHVLKNVSCRAKAWEILAIVGPSGAGKSSLLEVLAGKVSPQNGTVLVNQKPMDKAQLKKLSGYVTQKDILFPLLTVEETMMFSAMLKLKLPQEQLCSRVKSLIKELGLDHVAGTRIGDDRVRGISGGERRRVSIGVEVIHDPRVLILDEPTSGLDSNSALQIVDMLKVMADTKGRTIILSIHQPGFRIVKLLNSLLLLANGCLFHHGTADLLDVNLRLMGLNIPLHVNVVEFAIESIDAIQQQRCKLVQLETPQGTLQQNKGDDAEETGECRSGKFTLQQLFQLSKVIDEETINAGIDFPCDFANSRFRETMILTQRFSKNIFRTKELFACRTIQMLISGLVLGSIFCNLKDDLVGAAEIVGLFAFILTFLLSTSIEALPIFLQEREILMKETSSGSYRVSSYAIANGLVYLPFLLILAILFSLPLYWLVGLNKNFMAFLHFLLLIWLILYTANSVVVCFSALVPNFIVGNSLVAGVIGSFFLFSGYFISNHEIPNYWIFMHYISLFKYPFEGLLINEFSNSGKCLQYMFGECVLRAESVLKEEGYGGENRRWKNVGVMVSFILFYRFISYVFLRYRCSQRGFKSVGII >OIW01019 pep chromosome:LupAngTanjil_v1.0:LG12:73695:80040:-1 gene:TanjilG_14202 transcript:OIW01019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSTNWFTFLVMVIALLSTCVIAINENENNVGMERLQRLKNSSMVERSGDDDLNEHAVDNPEEIASMVEMSIHNYTARRNLNFFSCGTGNPIDDCWRCDKTWYARRKRLANCAIGFGRNAIGGRDGRYYVVSDPGDDDPVNPRPGTLRHAVIQDRPLWIVFKRSMVITLKQELIMNSFKTIDARGVNVHIAYGACITIQFITNVIIHGLHIHDCKPTGNAMVRSSPSHYGWRTMADGDGISIFGSSHIWIDHNSLSNCADGLIDAIMGSTAITVSNNYFTHHNEVMLLGHSDTYVRDKQMQVTIAYNHFGEGLIQRMPRCRHGYFHVVNNDYTHWEMYAIGGSANPTINSQGNRYLAPLNPFAKEVTHRVDAGDQWKGWNWRSEGDLLLNGAFFTASGVRTAASYARASSLGAKSSSLVGTLTSGAGVLNCRRGTMC >OIW00245 pep chromosome:LupAngTanjil_v1.0:LG12:14725662:14726866:-1 gene:TanjilG_27496 transcript:OIW00245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGNSKLNAGEEVVVPVKIRPLLLRRFEEFRKHRNGGTLKIEGTLSKKELLKDNPGEEDGNSQTSHEHETEIQEKYNEKEHIKEEIMVLRVISIEKISRVVPLPNTECECKCKCETQEEKEENKEEKDTNTERDNQEKVFHVNDVVEVHEDEKTRENEEELDAKSDTESSDDDDDDEESEEHGRLDYPGSPSFRIYCIETENRKEGKEECKNETIVVHKKSASADSIHSTASRISRNSNEATQIVGIESTRKRKGKKKFGAVRTLLKVKSCYHPMSSCTGDDRRHLLVAKMN >OIW00138 pep chromosome:LupAngTanjil_v1.0:LG12:15889797:15892976:-1 gene:TanjilG_29128 transcript:OIW00138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAPCCEKVGLKKGRWTAEEDKILTDYIQEHGEGSWRSLPKNAGLLRCGKSCRLRWINYLRSDVKRGNITPQEEEIIVKLHAVLGNRWSVIAGNLPGRTDNEIKNYWNSHLRRKIYCFMKSLNESLPSIEMAVAAISKRRPVVRPPMQDNNNNKGNTSEVVLQPMPKRSKPTTSQSNFNASWSQMHHCGEDIKGNNIEGNTNDSIILGSSSSCPSMNESVEGALAPYEWLDDEIMKLSYMFESGGMMITNEEEGNRNNNCGLSLGEWNNNTSSSSVNYVYDYQWPDMHLAGSSVHQSYNQWNFCEEQDQLWDTSSSGELYGFHH >OIW00902 pep chromosome:LupAngTanjil_v1.0:LG12:2638376:2644157:-1 gene:TanjilG_19843 transcript:OIW00902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEHVAALHTATNALQALGRGFDLNFDTRLLYCKGVSGSRVVEIDEEHPRELFLYDDVVIPNVSRDIRNFPEPEGRQSSGVCTFQEMVEYFNHKANVSGSFALGSFNSAFSFTGSKHVDAAATKTLSKDGFYVPLAKIELMKSQLMLQENVKRAVPVYWDPPSLASFIENFGTHVITSITIGGKDVIYIKQHHTSPLSKSEIKNYIEDIGNQRFADIDSQTSSGQTKSKDKASSLLFHFSYITDKIQDVTVIFRRRGGDDLEQNHSKWVRTIKSSPDIIEMTFCPITDLLGERPCKEHLTRAIGLYLEYKPPIEELRYFLEFQIPRIWAPIQDRIPGHQRKEPVCPSLQFSIMGQKLYVSQEQITVGRRPVTGLRLCLEGSKQNRLSVHLQHLVSLPKILQPYWESHVAIGAPKWQGPEEQDSRWFEPLKWKNFSHVSTAPIENPETFIGDFSGVYIVTGAQLGVWDFGLRNVLYMKLLYSRLPGCTVRRSLWDHTPIKSPKIIPAGNTSNPDESSTGSRENVMANKLVKFVDLSEMSKGPQDPPGHWLVTGGKLGVEKGKIVLRVKYSLLNY >OIW00280 pep chromosome:LupAngTanjil_v1.0:LG12:15084323:15085189:1 gene:TanjilG_27531 transcript:OIW00280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECRGGCCIARYATGGAYDMSKWDKIMLRFRPIAPKPVSGKATTASGGSSSESSNAFSAATRPKRKYVRSVKDNSNSNKRNTRRKSTTLSSEQKQHQVPVVTLPLLPETPDLKDLTLPVTKEVLNNNNKTNKNIPLWLSFENLSHTKVEPYRYYGATSSYSCVTVESVMDTWLEVESIGSTDDERRVNLSKDTCPGFITDGYGKVTWMNGAYREMVGEGACVLLTMKKNVSATVTNPLSFTCRVRVVEYDTCGKERSSFTVPCDVWRMDFGFTWRLDVKTALSLSLGR >OIV99933 pep chromosome:LupAngTanjil_v1.0:LG12:18029030:18029639:1 gene:TanjilG_26271 transcript:OIV99933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRGALVVLGGITTLNLAYAATLKALNVATENKRKKFALPCRACRGKGFYICKLCKGNATISWSPMYDPIAINPCLCPTCDGNRVQRCLNCLGKGYD >OIW00159 pep chromosome:LupAngTanjil_v1.0:LG12:16042079:16043131:1 gene:TanjilG_29149 transcript:OIW00159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHTSVRGEGIEVDIESGLVVNDDDDSKNVPTLGTVKQGNTLVAKIYCGLVGKGGDKLNVYYNKSNSNGVSTDVDKVTSKLLGGQDSVDCADKTSVKEKRKTSSHKKAPKPPRPPRAPSLDSADLKLIREISEFAMLKRSRIERMKALKKMRAAKSSSSSSSSSMFAIVFTVVFCILIILQGMSSGKSSVSSFQGSPVSAGGAEGGMNAVQHNLNPFSSDPNAPGLVSHNFVQQITSSDLPEELRRGAR >OIV99967 pep chromosome:LupAngTanjil_v1.0:LG12:18251430:18253001:-1 gene:TanjilG_26305 transcript:OIV99967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPPLPSLQLRRLLLRSLRSTSLSFISSSHIQSTPLFPKLPSSTLSSPNFLNSPSFFTTLHFSSQTLPDESDPIVRSLSSALLNDPDSDPVSVSQRLHLSFSHITPTSDLVLQTLNLSPDAGRTVLGFHLWLFSNPKFTYTDETLSFFVDYFGRRKDFKVIHELLAGENARSVAGPKTLFSAIDRIVRGDRASRAVQFFEKMESDYGLKRDRDSLKVVVEKLSEKGFASHAEKLVKDLANEFFPDQSMCDMLIKGWCVDGDLREARRLAGEMYRGGFELGVDAYNAMLDCVCKLSRKKLPFQLQSEAEKVLVDMDYHGVPRNVETFNVLITNLCKVRKTEDALKLFNRMGEWGCYPNETTFLVLIRSLYQAARLEEGDEMIDRMRSAGFGKVLDKTAYYEFLKILCGIERVDHALSVFAKMKADGCEPGVKTYDLLMGKLGAQNRVDKANALFNEAKNRGVPVTPKDYPIDPRFLKKAKDAKGEKKVKKRETLPEKMARKRSRLKQIRLSFVKKPKRMMGGRG >OIW00605 pep chromosome:LupAngTanjil_v1.0:LG12:11601898:11603100:-1 gene:TanjilG_14831 transcript:OIW00605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKFRRVKSPSVGRTSSYPYPSNPKNNEQKPMNLSGSVDDIKEWEEARCPICMEPPHNAVLLKCSSHEVGCRPFMCNTSHRHSNCLDQFCKTFASHLSSATLQEIPLTSIASPSSDVQTESWGPTQHSSQSQPKIICPLCRGEIHGYMVLEPARRYMNSISRSCSSETCEFQGTYPELRNHARSEHPNVRPSEVDSSRMSDWTRMEQERSLGDLFSSFNPSSDTEYNSETVLTGDPAGWMSFLDAIVFIRDASRMARRLSDRRVRVPFHDRRSATMHRVYETVTNHSAIWRSDLPHQYAQQVQGLRSIRRRTNSSLFWVETTHTARSRTNLSSLRMPRDVNEHHRDLNPGVRSSSRAMMQFPSVSHVAWANPQRNSSSSGRFGHQLRWRGQRWSTFNNQP >OIW00610 pep chromosome:LupAngTanjil_v1.0:LG12:10771555:10774025:-1 gene:TanjilG_21825 transcript:OIW00610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWNAKSPSQWDWEHQLLLNAKATEISKSLPPNWNGETNQEINVGLLDISVGSGCSGSELIHASSRSSISASINSTSNRDSKTSMYTFETSLDDSSGKRELSKVEISHGLEHSSASGEPLLSLKLGKRLYFEDVYPGSISKSPSFSGAPMSSLSTGKKCKSNGQNVQFPRCQVEGCGLDLSSAKEYHCKHRVCDSHSKSPKVLIGGTVCRFCQQCSRFHGLSEFDEKKRSCRRRLSDHNARRRKPHPVATRLNQPSSPYDGRQHMSPLAHSRTATNLVWQDTHSSKLPQTKDFLFNPAKANNEIPSIGTTVSYDFNPPFTLKGIATNSAHPGLEDSITSSDHNVTQDFHHALSLLSTNSWGGSHEHKLISVEPPNNAIVTGQPVTHAMGQCLPPEYWHIGQQPVNSSMWISYSNCDDSNRFQEFQLFREPYESGFPHNQLG >OIW00951 pep chromosome:LupAngTanjil_v1.0:LG12:1953869:1954051:-1 gene:TanjilG_10029 transcript:OIW00951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHKDKAIGHGAPNLTVAHMDKAIGHDTPNLTVAHKDKAVGHGAPNLTVVHKDKAMGHDA >OIW00492 pep chromosome:LupAngTanjil_v1.0:LG12:12531030:12534022:1 gene:TanjilG_27605 transcript:OIW00492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKDADHEATLVILSSALSSNKSNAGKKYVRQVTGRHKDTELHLAARHGDVAAIRHIISRINEQMVGTLSMNNADFDAEVDEMRSAIVNDVNELGETALFIAANKGHLDVVKELLQYASPEGISMKNDMGFDPLHIAANHGHLAIVQVLLDHDPGLINTFSPSSNATPLISAATRGHTNVVDQLLSHDLSQLESSRSNGKNALHLAARQGHVDVVKLLLEKDPQLARRTDKKGQTALHMAVKGMSCEVVKLILCADAAIVMLPDKFGNTALHITTRKKRVEIVNELLLLPDTNVNALTRNHETALDIAEALPRSEEILEIKDILARYGAIKANDLNQPRDKLIRNTVTQIRRDVHTQLEQTCKTNMNVNGLAIELRKLHRAGINNATNSVTVVAVLFATVAFAALFSLPGGDDNSTGVAVMVGTVPLDIFFIFDSIALFTSLAVVLVQITIVRGRTKSEMRVVKVINKLMWLASICTTTAFMSASYIVVGRHNKWVAMVVTIIGGIIMVGVLGTMTYYVLKSKRDIRARKKDRSNFMSGSNSGSETDLEITPIYAI >OIW00083 pep chromosome:LupAngTanjil_v1.0:LG12:18948968:18949940:-1 gene:TanjilG_26420 transcript:OIW00083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFDHHDANADTSETKRIEEDSGNEERSSLSRKMSESSIAVTEDEDDDAKIELGPQCTLKQQLEKDKDDESLRRWKEQLLGTVDINSVGETMEPEVKMLSLAIQRSGRADIVLPIPENGNPNGLWFTLKEGSRYRLMFTFQVSNNIVSGLKYSNTVWKTGIKVDSAKEMIGTFSPQAEPYTHEMPEETTPSGMFARGAYSARTKFLDDDNKLYLEINYTFDIRKEW >OIW00380 pep chromosome:LupAngTanjil_v1.0:LG12:12804895:12806463:-1 gene:TanjilG_05730 transcript:OIW00380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRGETNPHFSRPRHGHQDHQQPPTPTVPHQEHHGQPPPSLPLGGPPPIQQEDQPYHPRFPLNPQQGDQPYRPHFPRHHQDDQLPHISQVPPREKRGRTSRGGAGKQRDDQPYLPLAAYGGQPQSREKGDRMPPREKREQSPPREKRGQIPREDASKQRDGQPYLPLGAYGGQPQSREKGDQTPSREKGDRMPPREKRDRSPPQEKRDQIPPGGTHIKQEDQPHPASQEHQDQLPHEGSHKQHEDEPYLIPRAYGGWPPRKAHVQHQDQPHQLPHEHHGQKPHRGAPPRVNFQEQSPKPHGSKMLPPPDRHHGHHKKPDHGRRPLNMGEETSQKSKACTWCGIVFCLIFWLVIIIGGLIVLIVYLVFRPQSPHFDVSSVTLNAAYLDLGYLLNADVTMLANFTNPNKRVHVDFSSVIIYLRYGNTLISTQYVEPFSAARNQSRFAAIHMVTSQVQLPLKESQRMMKQMEGNGILLDVLGVFRAKSKLGRILRYSYNLYGHCDIMLTRPPNGVLLKKKCSTKR >OIW00522 pep chromosome:LupAngTanjil_v1.0:LG12:12023898:12033754:1 gene:TanjilG_24252 transcript:OIW00522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSSIHDDWELTSSTKEAKTMLLVGRAGNGKSATGNSILGRKVFKSKTSSSCVTNTCEFQSTELSDGQHVNVIDTPGLFDSSVGDELIVKEIVKCFDLAKDGIHAVLLVFSVGSRFTQEEVAILHRLQALFGDKIVNYMILVFTGGDVLEDDGETLDDYLGCECPQLLKEGAINLRDQQRKADSTKECSKGEILDYRKQMLQMQQKYDDQLERITEMVTSKLTEAMTRLEQQLAEERDARCKAEMDAKSAQRKSDEEIQKLREHLRMANEERGGNSCVIL >OIW00501 pep chromosome:LupAngTanjil_v1.0:LG12:11746945:11751040:1 gene:TanjilG_24231 transcript:OIW00501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDEKKPKIGVWPTVKPFINGGVSGMLATCVIQPIDMIKVRIQLGQGSAAHITTTMLKNEGVGAFYKGLSAGLLRQATYTTARLGSFRILTTKAIEANDGKPLPLYQKALCGLTAGAIGATVGSPSDLALIRMQADATLPAAQRRNYTNAFHALFRITKDEGVLSLWKGAGPTVVRAMALNMGMLSSYDQSVEFFRDSVGLGEAATVVGASSVSGFFAAACSLPFDYVKTQIQKMQPDAEGKYPYTGSLDCVMKTLKAGGPLKFYSGFPVYCVRIAPHVMMTWIFLNQIQKVEKSYADFGN >OIW00313 pep chromosome:LupAngTanjil_v1.0:LG12:15322309:15322785:1 gene:TanjilG_27564 transcript:OIW00313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPQYDYYHQRKNTPSTSKILAISTIVPFGASLLILAFLSLTVTVIGLAVATPLLVFFSPILVPAALLIGFAVAGFLASGACGITSLSSFAWMASYLRHSRLPEQLQCAKDRAQETLGDLAQRTKEAAESGISKAQEMTQSTAHEVGKAQEGNRTSS >OIW00391 pep chromosome:LupAngTanjil_v1.0:LG12:12869252:12871065:-1 gene:TanjilG_05741 transcript:OIW00391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWWMMNETGGNYCSKKSDDLCSNVCGQESSQVTGMSRIRCILRGLDVKTYIFLFAIIPMCTLGVYIHGQKISYFLRPLWEKPPKPFHVIPHYYHENLSMENLCKLHGWGVREFPRRVYDAVLFSNEIEILTLRWRELYPYITEFVLLESNSTFSGLPKPFVFNSNRAQFKFVEPRLTYGTIGGRFKKGENPFIEEAYQRVALDQLLKIAGITDDDLLIMSDVDEIPSAHTINLLRWCDQVPSIIHLQLKNYLYSFEFLLDEKSWRASVHRYKSGKTRYAHYRQSDDILADSGWHCSFCFRRISDFIFKMKAYSHNDRVRFSHYLSPDRIQKVICKGADLFDMLPEEYTFKEIIGKMGPIPHSYSAVHLPSFILENANEYKFLLPGNCMREG >OIW00592 pep chromosome:LupAngTanjil_v1.0:LG12:11374607:11374777:-1 gene:TanjilG_14818 transcript:OIW00592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDVLDFLCQAMADLIQDKSVKFVRNFFRVVNDYTTAEEKDIRRTRAWAFEGVDEE >OIV99803 pep chromosome:LupAngTanjil_v1.0:LG12:17134991:17136082:1 gene:TanjilG_26141 transcript:OIV99803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSCCNCSTITILSLLALSYFMVASAGDLNQDFDITWGDGRAKILNNGELLTLSLDKASGSGFQSKKEYLYGKIDMQLKLVAGNSAGTVTAYYLSSKGSNWDEIDFEFLGNLSGDPYILHTNVFSQGKGNREQQFYLWFDPTADFHTYSILWNPQRIVFSVDGTPIREFKNSESIGVPFPKNQAMRIYSSLWNADDWATRGGLVKTDWTQAPFTASYRNFNANACTVSSGTSSCSSNTASSSNNASWLSEELDSTSNDRLKWVQSNYMIYNYCTDAKRFPQGFPPECKSA >OIW00239 pep chromosome:LupAngTanjil_v1.0:LG12:14675637:14680346:-1 gene:TanjilG_27490 transcript:OIW00239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRTRNHTPVQSLSNHRNIAKHHLPHLIASHTDEENNASVSATPSFSTSRPNVRSVLLPPNKFTLPTQVAIPRRDWFSSDIRQLNLKDTGGQHEASALLDELDVLHEDNEIILDKLIDAEKRLEEAEARERELEKRIASLGDRVTLEDKLMSRKEAALRQREAALKAAKQSQDGRDEELIALRVELQNLKDAAAAAIEQQQEAESEAKALRTMTQRMILTQEEMEELVLKRCWLARYWGLAVKHGLCPDTAPSKHGYWSSLAPLPFEVVISAGQKAREKPWDKNADNPDRSNPIRDLSDLAGEGSIESMLSVEMGLRELASLKVEDAVVVALAQYRLQYLEHDFCNSVYISVHFFSDSKYPGDPKFVEALELNDEEADDVVFKEAWLTYFWRRALTYGVEVDIAEERLRFWISRSGQSPTSHDAIDVARGLLELRKLGIEQQLWEASRKEIDQPALLAVDNHELSIISEALS >OIW00710 pep chromosome:LupAngTanjil_v1.0:LG12:8939807:8944334:1 gene:TanjilG_09679 transcript:OIW00710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLGVEKRRKEEKEIHSTFTMRSQNIDESGGSGSDHCKDVDVVSVLPDCSTSAISCTVSAEAVGCGNHIVKDNATATSKHRIIEDPSFDYDTGHDNMSMPSPMFEFQRAERAPQRVPMGPFSKPAPSKWDDAQKWIASPTSNRPKIGQTEGQVGPRKVGGLGYGSRQSSIKVVVEVPDPREIVLDEPDTKQIDTNQTKMECGVQKVVDWDDGPDAIANSYVSLSQHNSSIAVQNATTFVPPPSTARSVSMRDMGTEMTPIASQEPSRTGTPVRATTPMRSPNSSQPSTPTRPARASTFTDPSSDHPNKNELSEKELQMKTRREIIALGAQLGKTNIAAWASKEEEDMVASTSLKTKASEQPAKGVIEARAEAWEEAEKAKYMARFRREEMKIQAWENHQKAKTEAKMRKIQVDVERIRSKTHDKLMNKLTSVRHKAEEKRAAAEANRSNKAAKTEEQSEYIRRTGHVPSSYLSFSCCSWGS >OIW00494 pep chromosome:LupAngTanjil_v1.0:LG12:12548458:12550311:1 gene:TanjilG_27607 transcript:OIW00494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGFRKAPPSKPASGSDNESEKMTTLTPDRRTASEPVVLPKSKGNYFDDDDDDDDWGRKPSSTASSSVSSKDRYKNGFRDSGGLENQSVQELENYAVYKSEETTNSVNNCLRIAENIREDATKTIDMLHQQGEQITRTHNMIVETEKDLSKGEKLLNNLGGMFSMPWKPKKTREIQGPVITADKASKKNIGSKEDREKLGLAPLPKGRSAPTTPPNESANAYQKIDVEKAKQDDALTDLSDILGDLKGMAVNMGSELDRQNKALDHLDADVDEMNSRVKGANQRARKLVG >OIW00652 pep chromosome:LupAngTanjil_v1.0:LG12:9894091:9897519:-1 gene:TanjilG_09133 transcript:OIW00652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLFQVLGAVTSFSVSNSNGYLLPSQRSISERKASIFVVRSDARVSQVLNTGARKNELLITNAVATKENSSAASTSSKPGHELLLFEALREGLEEEMDRDPSVCVMGEDVGHYGGSYKVSKGLATKFGDLRVLDTPIAENSFTGMGIGAAMTGLRPIVEGMNMGFLLLAFNQISNNCGMLHYTSGGQFKIPIVIRGPGGVGRQLGAEHSQRLESYFQSIPGIQMVACSTPYNAKGLMKAAIRSDNPVILFEHVLLYNLKERIPDEEYVLSLEEAEMVRPGEHVTILTYSRMRYHVMQAAKTLVNKGYDPEVIDIRSLKPFDLFTIGNSVKKTHHVLIVEECMRTGGIGASLTAAITENFNDYLDAPIVCLSSQDVPTPYAGTLEEWTVVQPSQIVTAVEQLCK >OIW00803 pep chromosome:LupAngTanjil_v1.0:LG12:6158224:6158457:-1 gene:TanjilG_18605 transcript:OIW00803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPLNHHDPNLEPPHLTITTASSPHERTHHHHRYWTTVNHHKPPPSEPSAIIVAPSIPASGPLAPRKKTDSTSWATA >OIW00444 pep chromosome:LupAngTanjil_v1.0:LG12:13414699:13418041:1 gene:TanjilG_05794 transcript:OIW00444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKRGALARPFPSYKHDGSSSSKEKGIAERDGSSSKGKVIVEPQPKVEQLSQGLVDINIGTGQDDGEWEVQSRKSKNRGGSNFARQLALPIHNFNPRVAGTTRMAQRSGTWNHGEVGRGSENPWQTQNADFRRPAGRGNGRPQFTTTGPQKYNVSSNPLVRAPLEKGWNWKSRTSYEQSISGDVSTVIDETALKSSVKNNDVDDEVEEDFDDMEDTDDDLLSEDNDSDSSQKRHETCKNNKWFKKFFATLNSLTIEQINEPNRQWHCPACQGGSGSIDWYRGLQPLMNHCKTKGSKRVKIHRELSIILDEELHRRGTSVIPFGEVYGKWKGLKGRERDHEIVWPPMVVIQNTQLEQVENEKWIGMGNQELLEYFNTYAAAKARHAYGPQGHRGISLLIFEKSPTGYIEAERLHMHFIDEGTGRDSWLGHRKLFLPGGQRQLYGYMAQKEDLDLFNRHSQGKTQLKYEMKSFLEVVVKEIRKMGEDNEQLRYWVTKAGKYEKHKKILEESLSVMAERMRQTIDESHIVRLRTKKQHDETSEELYLQEQFFKDQIRIIQDLRNANEDDFERLHQEKRKEVKQSSTSSLNPKEQIIKVDGCEEFVEIQGTKMSKYVAEEENLRQFHEENIAALKLRYLDEEVQLEKKFNEEKAKLMEKYAPSQA >OIW00515 pep chromosome:LupAngTanjil_v1.0:LG12:11909756:11913794:1 gene:TanjilG_24245 transcript:OIW00515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKSITNLSTITAYHHSHGNNPIYLNSPNCFIFFGQSSTNSNLSSRTTNVAASVSLSHTTHNTTIYSDENATICKFCEMGHLKKSMELLIKSQKSKLDLNTYCSVLQLCAELKSLEDGRRVHSIAISNGMKIEGALGAKLVFMYVNCGDLIEGRRIFDKILNDKVFLWNLVMTEYARIGNYKESLCIFKKMQELGVAGNAFTFTCVSKCFAALGEEMECKRVHGYVYKLGFGSYNAVVNSLIAAYFKFGGVESAYKLFDELSDQDVVSWNSMISGCVTNGFSRKGLEFFIQMLILGADLDSDTLVSVLVACANVGNLSFGKALHALGVKRCYSGDVVFNNTLLDMYAKCDHLNGATDVFVKMGDKTIVSWTSIIAAYVREGRYDDAIGLFDEMQSKGLKPDIYTVTSIVHACACSNSLDKGRDVHDYITKNKMGSSLPVCNALMNMYAKCGSMEEAHIIFSQIPVKDIVSWNTMIGGYSKNSFPNEALYLFVDMQKQSKPDEITMACVLPACSGLSALDKGREIHGHILRKGYFSNLNVLGALVDMYVKCGLLVIAKLLFDMIPKKNLIHWTIMIAGYAMHGFGNEAISAFQKMRRAGIEPDETSLTSILCACRDSGLQKEGWVFFNYMRSKCSNEPKLEHYACMVDLLALSGNLSKAYKFVETMPIKPDVTIWGALLSGCRIHHDVVLAEKVAEHIFELDPENTRYYVLLANVYADAEKWENVKKFQEKIGKRGFKKNAGCSWIEVQGKFNIFAGDKSHPQARTIESLLKKLQMKMKSEGYSPKMSFKNSGEDDDYDNNALIYLDDQLHFPPEEKAIDISKNIRADRVHAS >OIV99908 pep chromosome:LupAngTanjil_v1.0:LG12:17898302:17900145:1 gene:TanjilG_26246 transcript:OIV99908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGKVFNDANEARDTCRVAYIIHFFLGVGNLLPWNAFITAVDYFAYLYPTNHIEKVFSVAYMISSVVVLLVMMSWGGWSKTTPRMRMNLGFSMFVMSLTVTSVVNWASSSTKLKDRSFGLTVAAVVICGLADGLVAGSLIGSAGKLPKQYMQAIFAGTASSGIIVSILRIVTKASLPQTPKGLQISAHLYFMVATIFLLCCIILSNLQYKLPVMQHYQQMFVQENNLSSGKTIWAVVGKIKGPAFGISILYIVTLSIFPGFIAEDLESKVLKDWYPILLITVYNFADLLGKSLTAFHVLQSIRKAIWASIARLLFYPLFIVCLHGPKWLKTEVPMMFLTFLLGFTNGYFTSVLMILTPKSVPFSESELSAIVMTLFLGFGLVGGSVLGWFWIL >OIV99763 pep chromosome:LupAngTanjil_v1.0:LG12:16809759:16811580:1 gene:TanjilG_26101 transcript:OIV99763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSSIEYPDVQASKKDFERNSVKENPSEIEGKKQTPLSSGLRRRPVPATSAEDRAHEPTETDHSSPVKLDASAHAHIEKHRYLILQSRPLSIAWQAQVLPMYEQRQYTQRVQRLHA >OIW00052 pep chromosome:LupAngTanjil_v1.0:LG12:18766668:18770381:-1 gene:TanjilG_26389 transcript:OIW00052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSSADVDFFTEYGEGSRYKIEEVIGKGSYGVVCSAYDTHTGEKVAIKKINDIFEHVSDATRILREIKLLRLLRHPDIVEIKHILLPPSRREFKDIYVVFELMESDLHQVIKANDDLTPEHYQFFLYQLLRGLKYIHTANVFHRDLKPKNILANADCKLKICDFGLARVAFNDTPTAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAELLTGKPLFPGKNVVHQLDIMTDFLGTPSQEAIARIRNEKARRYLSSMRKKKPVPFSHKFPNADPLALRLLERMLAFEPKDRPTAEEALADPYFKGLAKVEREPSAQPVTKMEFEFERRRITKEDVRELIYREALEYHPQMLKEHLDGEEPTGFMYPSAVDHFKKQFAYLEEHYGKGGTVTPPERQHASLPRQCVLYSDKSRQNTSEVAEDLSKCYIKEVERPAIDRSGDIPITRLPLQAPQNIQGVAARHGKVVASALRYNNCGVAVTAEAEQQRVGKNSSVSAQYAASNCSYPRRNSSCKNERAEDDGVEASNELQPKPQYMVRKVAAAQDGAGGNWY >OIV99878 pep chromosome:LupAngTanjil_v1.0:LG12:17639774:17650283:-1 gene:TanjilG_26216 transcript:OIV99878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSNATENIPSHSGTESSEPTIEIKIKTLDSQTYTLRVDKEMPVPALKEQIASVTGVLSERQRLICQGKVLKDDQLLSAYHVEDGHTLHLVVRQPDLPSPGNSFDHSVPDPNSGTSHGHSNQVAPGVFIETFDVPLQGDGISPDFQRVVSAVLGSIGISMNGSDGIDTREQDSQGFGRTSNSSGIPDSSHPQSEQAGGRILSDRMHNIFGPPSVGSLQPPVIPDSLTTLSQYLSRISHEFDAIVREGVNNGQAAEDHRSEEMGSISSRSGSTAEGIPSPASLAEVLRSSRRMIVEQVGECLLQLASQLENQANITDSLLRSSTQSRAMRTGVLFYNLGAFLLELGRTTMTTRLGQTASEAVVNGGPAVFISPSGPNHIMVQPIPFHPGASFGAVPVVTTQSNSSLGSGLGSSFIPRRIDIQIRRGTSTTTSNANQEERNDTQSAAVQRNQGESSVNQAPSRRSNASLAGEPGIRVVPIRTMVAAVPGSILTPSTRQEPSNSRVVNINILSAGGAENNPESERQIQSSVLQHLRALFPGGDIHVEDSSLQGTAAGAISEHAATLGDSSHVPEAEPRASDEGIFLSNILREIMPLISQRAGSDGNPSVDQMAQDSSTRVETDAGTSRRGSGSELDLPNPKRQKTEKAFLKQPKVFLSSKKSGKGKRPGKGGNRFWKSIGLGFKTPREAIDGTYIDKKCPFTGNVSIRGRILSGTCHSAKMNRTIIVRRNYLHFIKKYQRYEKRHSNIPAHVSPCFRVKEGDHVIIGQCRPISKTVRFNVLKVIPAGSSGGAKKAFTGI >OIV99912 pep chromosome:LupAngTanjil_v1.0:LG12:17922597:17924975:-1 gene:TanjilG_26250 transcript:OIV99912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGTVRTMVFLMFIYLSSVSIKADIPLGSSLSPNGGSNSSFWVSPSGVFAFGFYKQDDGFGVGIWLAGVKNDIVVWSANRGDPPLTSNATLQLSAEGIELVDQGQGKLIANANATTFYASMNDSGNFVLYNKESNITWQSFDYPTNTILGGQTLRAGGQLVSSYSYTNHSTGQYHLKMQDDGNLVLYPLNTDDEPVDAYWSSGTSGFIGSRFHLYLNKTGMLVIRNGSDLNLNAIKYLYGDSFSNNKDNQTIYRATLGYDGFFRLYVHFKNGDENKLAYWPNGNACAVKAFCGFNSYCTFSDDQPYCQCLQGFDYIDPNEGTLGCNRNFSEGECSGEKDNAASYNMVYMRNINWGDHAYSEAIMSREEECSSSCLADCNCWAAIYEGGVCKKQEPPLRYVNMTIMVDNDVTTITTTAFLKVGNISLDHKPVPDQTLPTIKTTSSKAIMHIILVISGFTFLLCLTVAISSHFIHKIRVLRYKRLVENRNLGLNEEVALRRFSYSELKRATKGFKEELGKGSFGAVYKGSLYKGKRLIAVKRLEKLVEDGEREFQAEMRAIGKTHHRNLVHLFGYCAEGSKRLLVYEYMSNSSLGKLIFGDGRLPEWNERVRIALNIARGILYLHEECEAPIIHCDIKPQNILMDEFWTAKISDFGLAKLLMPDQTRTFTVVRGTRGYMAPEWNKNIPISVKADIYSYGIVLLEIICCRRNFEVNLLKPEEILLSDWVYKCFIAGELNKLVLWEDVDIKIIESMVKVALWCIQDDPVIRPTMKSVVLMLEGVTNIAIPPCPTSS >OIW00258 pep chromosome:LupAngTanjil_v1.0:LG12:14872514:14874135:-1 gene:TanjilG_27509 transcript:OIW00258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEEKKPEENKVEEKKAEEVKEDKKEAKEEEKKPEKAAEESKDGKETKDQQPAPPPEIVLKVFMHCEGCARKVRRSLKGFPGVEDVITDCKTHKVVVKGEKADPLKVQERVQRKSHRQVDLLSPIPKPQTEEEKKPEEEKPKPEEKKEEPQVITVVFNVHMHCEACSQEIKRRIEKMKGVESVEPDLKNSQVSVKGVFEPAKLLEYVHKRTGKKAVIVKQEPEKKEEPKEAAKEEKKGEEGEKDKNGNGEGEENKEKKEGEEAKPVEGNAEEEINKVIDMKRNEYYYNPPRYGFEREYYHAYPGPAYPPQIFSDENPNACTVM >OIW00214 pep chromosome:LupAngTanjil_v1.0:LG12:13978592:13980877:1 gene:TanjilG_27465 transcript:OIW00214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIVTELLLGGTLRKYLLNMRPKCLETHVAVGFALDIARAIECLHSHGIIHRDLKPDNLLLTEDQKTVKLADFGLAREETLTEMMTAETGTYRWMAPELYSTVTLRQGEKKHYNHKVDAYSFAIVLWELLHNKVPFEGMSNLQAAYAAAFKNVRPSAENLPEEMAVILTSCWQEDPNARPNFTQIIQMLLNYLYTVSPPEPMVPSRIFASENAVFPPESPGTRSLMAKRDDTGETPRAKDEIKPNGFLCCFSQCY >OIV99824 pep chromosome:LupAngTanjil_v1.0:LG12:17297681:17299753:1 gene:TanjilG_26162 transcript:OIV99824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFNTHPGLSQVSRKESSGSNWGDRVFPKLSIILIHRYIRLGIESNRCPTSRARRDLDQPRVNAPTVKEVAATGELPAPLAVAEAVEADNATGFGRGFESPGDAEAGKIVEIGRRIRVGAEAEAVEGTAKEEEVKEEEGGEAEEEEEEGGEEKHDDWFEEKGEKVGG >OIW00005 pep chromosome:LupAngTanjil_v1.0:LG12:18496901:18497845:1 gene:TanjilG_26342 transcript:OIW00005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIIIIIDGKTFKKPRRPYEKERLDAELKLVGEYGLRCKRELWRVQYALSRIRNNARNLLTLDEKNPRRIFEGEALLRRMFRYGLLDENQNKLDYVLALTVENFLERRLQTLVFKSGMAKSIHHARVLIRQRHIRVGRQVVNIPSFMVRVDSQKHIDFSLTSPLGGGRPGRVKRRNQKAAAKKASGGDGDEEDED >OIW01069 pep chromosome:LupAngTanjil_v1.0:LG12:551905:559465:1 gene:TanjilG_14252 transcript:OIW01069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQQQNSNIPVSEVFWTLADKADKKFSNIRDLPYYQRTRHDTYFYKVFKVYTQLWKFQQENRQKLVEAGLKRWEIGEIASRIGQLYFGQYMRTSEANYLSESYIFYEAILTREYFKEGLFQDVNIANKQLRFLARFLMVCLVLNRREMVQQLVNQLQVLVSECKRTFQESDFKEWKVVVQEIVRFLKADTAFMNIRPLRYSLVLDPHPDTLPNVSAAITKRNLKLRDAVLSSFHHNEVKFSELTIDTFRMLQCLEWEPSGLFYQSTGSKLSHNGATGATRINYSQDIADPTLPTNPRKAVLYRPSLTHFIAVLATICEELPSDGILLVYLSASGSCGAAQNEPGCILFGPRGDGGSNCIYPSDFLPFTRRPLLLVIDNDNSKAFKIIEESQKGESVAMLLSPSCSPPIASNYSHHSNGSLFTMFLTSPLQAFCLLIGLSGTDIDLDTYNKAELLLSQSLNKWGMALATSDTLNPVWGQVLGDPFIRRLLLRFIFCKEVLALYAPVYNKTEFLPTCVPSLSIPVLSSSDSFQSVILQLCSIFGATKCFIFSEDVLPENLLTDVDKLCTL >OIW00692 pep chromosome:LupAngTanjil_v1.0:LG12:8545562:8560074:1 gene:TanjilG_09661 transcript:OIW00692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCGNSAMDDDLVGGSVIEQNLCSELPVQCVPEQQSASKEACDVLDSNVDLSGIDSAGEGHVESASFVNVSEGSRGALVSECRNADMVLLENTSEDDCQNQFGTYCDDVEVSGLNSGGLCSEGDFQNEGNLDIPPKFVTVIGLREDCVQQGEHKDDKSNMLALGGDDSVVMEGRNNGAGLLDDASNCVSDFSHFEMSLKSEPITHLLADCNQQNGDFGGREANACNQISPSQFMEVPSRALYTEVQSAGDQQHDQKDVEDCNSEEKVKAFVDIENNFIDSYAMKHQHFTTVSLGSSPVVDSPCEPTLFGQGSEIENSLCQIEEDSLHELKNCPTEQTTNCTFRKPLYPETGQPSVILVTSSSSKEMPDLLNNGDNVHINTNAVNNPEQADVVEVDCTAERTRLPSQRNSRSTKLGRKTQSKNASRKCKNNANVTHPGGCMDVMSEAARKKRSCISKPARSSTWGLLGNVAHYFEQDNEVEVSEAISQELGKARRKRQSSKVIKNGASSSSLNSVQKCSASTTRVRLKVKFGKEIDLSRSHVLIPEAFDGSASSSHLGSGSGSQKLANNAEGNISEVGALGKLETFKNELDTDAIVLNAQTINNHLEGTTITEKSDGDADDSCLMVPPQIEVEALIEPINNKGMDPGTSPDSEVIDTIPEDQVGVGHQEDLHHAILGSSREFNSILDVNITKRGKKKDKLIRSKDCIPEDGSQGLPRNNRAKNRRRKKNFSDAVCSSELPTSTEINASRKSVSCKELSTEPLPVSGEIELKDSTEALKVKMEDKTTCNPSVDNVFSESPASENLLSSGRSSGHKLPKSLLPSEVSKTKSKTSDSTCKKKTTYRCNEKQKQSTKKSGVKRKSLSSKVKCELEDPPRTEENIVENHNLDAVGEVNAGENIVSINVSNLDVVPGVGLGEQLSPRNAWVRCDDCHKWRRIPAVLADLINETNGTWTCNDNGDKDFADCAIPQEMSNAEINFELGISDEEDAYEDSKNYKQLEYRQPSVSQESTFSRIFTNEFLHRSQITQTIDEVMVCHCKPPREGKLGCGDACLNRILNIECVQGTCPCGDRCSNQQFQKREYASLDWFKCGKKGYGLKALEDIAEGQFLIEYVGEVLNMHAYEARQREYALRGHRHFYFMTLDGSEVIDASAKGNLGRFINHSCDPNCRTEKWMVNGEICIGLFAVKNIKQDEELTFDYNYVRVFGAAAKKCYCGSPNCRGYIGGNPLDEEVIVQGDSDEEFPEPVMVTKGGEIKNSMAAQSARHMLKDRYVLNKFTTFLDVDGTPDKEICMNPTSAVSLLYRPSQMKDSKDMSSVRAQEISQQMEDMTDKALPDVQPGYAMESELADNKSHTQQLESTFPTTGSKLLPNSTGSNRESHMRVKAPKPSGSVKKGKICAHPLDGLKARTANQSQVSSIKPKNVGQGTPNGRFEAVQEKLNELLDGDGGVSKRKDATKGYLKLLLLTVASGDRSNGEAIQSNRDLSMILDALLKTKSRTVLNDIINKNGLQMLHKILKQYRQDFKKIPILRKLLKVIEYLISGNILTFEHINSGAPCHGMESFRESMLSLTEHEDKQVHQIARSFRDRWFPRPVRKHHMDRDGNRVESRRSIYSNRFSASHNHQHEQDLRPTEAIDRVHQSMPVTTSVGDVPQEGCSPLSQDGIEKKGTKQRKRKSRWDQPAETNSFPNTVIGSIHGSRSTQEDVPPGFPVPVGSLPAPLNSGVPSSQNACHTGCSSDSVIVHPKEKFNSGLPVMYGMPLSVVQQYGTPHAEITESWVIAPGMTFNSFPPLPSYPRDEKNFRPSNAANAMSIDQIAEVRQSDTSGPSICSSDDTILRTTGANPDDMNLPSEENEHTSKRLKGDSCDLGATYFRQQKWNNPKIHRPWLRRNAWKCDVKLNNSSDVSNIGVEDVSKESNGACHSEDAICRDE >OIW00562 pep chromosome:LupAngTanjil_v1.0:LG12:12439577:12443547:-1 gene:TanjilG_24292 transcript:OIW00562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGPFSFRRSSPRRRTKKPPSQSPLATPPPAISGSSFENGGGGAVTKAKKKTGGARLWMRVDRTGRSELVEWDKNMIIRHAAIPARDLRILGPVFSHSSNILARERAMVVNLEFIKAIVTAEEVLLLDPLRQEVLPFVEQLRQQLPYKNQPKLHGPADEQENDMQVSIGRQWLPAPESAEGLQAELPFEFRVLEIALEVVCTFLDSSVADLERGAYPVLDELARNVSTKNLEHVRSLKSNLTRLLARVQKVRDEIEHLLDDNEDMAQLYLTRKWLQNQQFEAHSGATVSNNLSNLRRLGSTRSGSLVTSNDDNDVEELEMLLEAYFMQLDGTRNKILSVREYIDDTEDYVNIQLDNHRNELIQLQLTLTIASFAIAVETLIAGAFATCVEAIGYVSLIFQ >OIW00360 pep chromosome:LupAngTanjil_v1.0:LG12:12560867:12561302:-1 gene:TanjilG_05710 transcript:OIW00360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGIVVQEKEETAIPVQTFSPPEGNTTFIDGTTWCVALAGVSQTDLQNALDWACGLGMTDCTAIKVGGPCYEPDTLVSHASFAFNTYYQANGNSDIACNFGGTATVTKNNPILR >OIV99823 pep chromosome:LupAngTanjil_v1.0:LG12:17295443:17296372:-1 gene:TanjilG_26161 transcript:OIV99823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKAVLSPVKIILESLKILLSNKLVFTSILIFTTLPLSTLIITQSFSLHSRATQIHHLEILAQYSSTRFEARHVWQESRHDALSLLRTRALFSLPTYLLSLSAAISSVHSTLSPTSPTLRSAAKSISINYRRPFLTSIFVYAILFVFSPVRIAFSAISASTGSIFLVNAIASVIEVYLMSVLSMGLVVAIAEERFGWDAIRVGSGLMEERRVCGWVLSGLCVMSSRLIRSKVEKLLEGQIEPPDFLGLTDVAAKEIRIEEKAVLIGWYGLVVLLSYVIMSVYYSDCRKRHPIKEAESDNDEHELNSLSL >OIW00031 pep chromosome:LupAngTanjil_v1.0:LG12:18646920:18653324:1 gene:TanjilG_26368 transcript:OIW00031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSGSMDSARDGVVVAGTVLIPMRFVWPYGGRSVYLSGSFTRWSELLQMSPVEGCPSVFQVIHSLAPGYHQYKFYVDGEWRHDEHQPYMSGEFGIVNTVLLATDPNFVPVLPPDIASGSNMDVDNEAFRRVVRLTDVSLSDVLPRISDVDLQMSRQRISAFLSMRTAYELLPESGKVVALDVDLPVKQAFHILHEQGIPVAPLWDFCKGQFVGVLSALDFILILREIGNHGSNLTEEELETHTVSAWKEGKFYLNRQNNGHRTAFSRPFIHAGPYENLKDVAMKILQNEVSTVPIVHSSSEDGSFPQLLHLASLSGILKCICRYFRHCSSSLPILQLPICAIPVGTWVPKIGESNRRPLAMLRPSAPLASALNLLVQAQVSSIPIVDDTDTLLDIYCRSDITALAKDRAYTHINLDGMTVHQALQLGQDSYDPYELRSQRCQMCLRSDSLHKVMERLANPGVRRIVIVEAGSKRVEGIVSLSDIFKFFLG >OIW00499 pep chromosome:LupAngTanjil_v1.0:LG12:11728097:11730631:1 gene:TanjilG_24229 transcript:OIW00499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLVEPPSRIKPKGKHYYTIWQTMFEIDTKYVPIKPIGRGSYGVVCSSINRETDEKVAIKKIGNIFENCIDALRTLRELKLLRHIQHENVIALKDVMMPIQRTSFKDVYLVYELMDTDLHQIIKSSQPLSVDTNHKLIFQLLRGLKYLHSANILHRDLKPGNLLVNANCDLKICDFGLARTNGVDGQFMTEYVVTRWYRAPELLLCCDNYGTSIDVWSVGCIFAEILGRKPIFPGTECLNQLKLIISVLGSQNESDLGFIDNPKARRFIRSLPYTRGRHFSQLYPQADPLAIDLLQKMLVFDPTKRITVLEALQHPYMNGLYDPRNNPPAQVPINLDIDENWGEPVIREMMWSEMLHYHPEAFSVNA >OIW00418 pep chromosome:LupAngTanjil_v1.0:LG12:13184929:13188339:1 gene:TanjilG_05768 transcript:OIW00418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTPTSNPEAERRIQKLTQHLNPLPHLPNTNYLYMLSCASRTKLNVDTSSLSSYMRGKHRDIQEKVFNYFNANPHLQTPLEISKDNHRELCMKQLVGLVREAGIRPLRYVLHDPSTYFSLLEAVGSVDMSLGIKMGVQYSLWGGSVMNLGTQKHKDKYYDGIDNLDYPGCFAMTELHHGSNVQGLQTIATFDPITDEFIIDTPNDGAIKWWIGNAAVHGKFATVFARLKLPTYDTKEVSDMGVHAFIVPIRDMKTHQPLPGIEIHDCGHKVGLNGVDNGALRFRSVRIPRDNLLNRFGDVSHDGKYTSNLPSANKRFAATLGELVGGRVGLAYSSVSVLKVAATIATRYSLLRQQFGPPNQPEVSILDYQSQQHKLMPMLASTYAFHFATTSLVEKYSEMKKTHDEELVADVHALSAGLKAYVTSYTAKSLSICREACGGHGYAAVNRFGSLRNDHDIFQTFEGDNTVLLQQVAGDLLKQYQGKFKGGTFSVTWNYLRESMNTYLSQPNPVTARWEGEDHLRNPKFQLDAFRYRTSRLLQSVAVRLRKHSKSLGNFGAWNRCLNHLLTLAESHIESVILAKFIEAVESSPDPSSQAALKLVCDLYALDRIWNDIGTYRNVDYVAPNKAKAIHKLSEYLCFQVRNIARELVDAFDLPDHVTRAPIAMQSEAYSQYTQHVGF >OIV99792 pep chromosome:LupAngTanjil_v1.0:LG12:17059909:17061361:-1 gene:TanjilG_26130 transcript:OIV99792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATIPVNPKPFLNNLTGKHVIVKLKWGMEYKGYLVSVDSYMNLQLANTEEYIEGQFTGNLGEILIRCNNVLYLRGVPEDEEIEDAPED >OIW00658 pep chromosome:LupAngTanjil_v1.0:LG12:9684134:9686166:1 gene:TanjilG_09139 transcript:OIW00658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRRPRIHRQRLKTLPIGFSPFARALARMDSLSTAKCQTRESEFIEKPNPSSASNGFAEQTSDDEAEQSASSSDEQFDGVVQADFSFFDPKPDDFHGVKTLLQTYLDDKEWDLSGFVDLILEQTTVGTVVKIEDDEDEGLFACVSALNLWRYREQKCIMEVKDYLHKACQDKGVVDKLRLLLEEQACDVGLLVSQRVVNLPPQLLPPLYDGLFDEVSWATEDEPTEDLRKSFQFKHYIILSKIYKHKNAEQKRKLSDDSEAEMIYIKPEDEIFHKLSSWSFIFPLRPQQPAPHEASFMLLESELPLS >OIV99779 pep chromosome:LupAngTanjil_v1.0:LG12:16948698:16952634:1 gene:TanjilG_26117 transcript:OIV99779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCSQSRLDDEEAVQLCKDRKKFIKQAVEQRTQFASGHIAYIQSLKRVSAALLDYIEGDEMSLDSFMTPPPFTPVKKTSPVFIPISSKTFIPTTIEFGANSTLKVNHLKPGGNPPISVEERPQSPEIFRVEMYSPMHQFGIDSYFSMQSSPISYPPNNRPIIPPPSPQTSHWDFFWDPFSSLDYYGYSTRSTLEQYATDDENRGLRQVREEEGIPDLEEETEEENFAGKRNVAEERTKIDINSSKEEVMVEDVDEDEEEGHEEGTDNETGTVHQVTDSQANVGDSFQVSKAQTAGHIGPSHGEVAIENQVAKEETPGFTVYVDRRPTSMTEVIRDLQAQFTMVCNAASDFSTLLEAKKTQYLSTSNEISASKLLNPVALFRSVSSSTSSRFVANPSSNRDDDNECISDPEEQCVFSGSHQSTLERLYAWEKKLYEEVKSGERVRIAYEKKCQQFRSNDVKGEDPSSVDKTRAAIRDLHTQITVSIHSIEAISKRIETLRDEELHLQLLDLVQGLARMWKVMAECHQTQKTTLDEAKILLAGTTSKVNIRKQSAMSITDPHRLARSASNLETELRNWRNTFESWTTSQRSYVHALTSWLLRCMRCEPDASKLTCSPRRSSGTHPLFGLCVQWSRRLDAIQEATVLEGIDFFASGIGSFYAQHLREDSRRNLVGSEENMEMVEEVMSNEKLAEVATKVLCAGMSAAMSSMAEFAVGCAEGYNELVKQWENVNLQHTSCGTGT >OIW00834 pep chromosome:LupAngTanjil_v1.0:LG12:4542239:4544322:-1 gene:TanjilG_12238 transcript:OIW00834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRTQILLIGLPIFLFCSDIFNLFTIPSPPPKPTHHPSIHPIPQPQSRSHFQQPLEFPLQKQSGIGLNGVGVTVNIDFCTSCSYKGTAVTVKNMLESVFPGITVILANYPPPLPKRILSKVVPVAQFGIVAIIAAGEQIFPRLGMTPPAFYYSLRANRFRSIASTWLLGNFLQSFLQSSGAFEVYCNGDLIFSKLKENRFPGEIELKELVSRRLGNTRYGNGIEGVVWP >OIW00975 pep chromosome:LupAngTanjil_v1.0:LG12:941974:944192:-1 gene:TanjilG_16224 transcript:OIW00975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLEGIMTQGDTFLFCTGNIQCLMVTDIEMVKKLILYTPLNLGKPSYLSEYLKPFLGLGIVSSSGSTWLRHRKIIAPELYLDKVKAMINLMVDSTKTVISCWENKVERDGGVSEIKVDEDLQNLSADVIAKACFGSNYNEAKEIFTKLRDIQRAMSTVFSYAGIPGFRYMPIRTNREIWRIEKEIDTKILKLIKERLDHGEEKDLLQMILEGANNYEESDTLLTNSISHDRFIIDNCKNIFSAGHETTSITASWCLMLLASNQVWQDRVRAEVLQVCGRDPPNATMLRSMETLNMVIQETLRLYPPSAFVNREAIEDININGFIIPKGMGIQIPIAVMQQDPELWGNDAHKFNPERFSNGVIRAAKFPQAYIPFGIGPRVCVGQHLGMTELKVIISLILMKFQFSISPSYCHSPVFHMLIESDHGVLLKVTKI >OIW00749 pep chromosome:LupAngTanjil_v1.0:LG12:7980330:7984096:-1 gene:TanjilG_19189 transcript:OIW00749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKDEEEMRGEIEERLINEEYKIWKKNTPFLYDLVITHALEWPSLTVEWLPDREEPPGKDFSVQKMILGTHTSENEPNYLMLAQVQLPLDDAENDARHYEDDRTDAGGFGCANGKVQIIQQINHEGEVNRARYMPQNPFIIATKTVSAEVYVFDYSKHPSKPPLDGECNPDLRLRGHNTEGYGLSWSKFKQGHLLSGSDDAQICLWDINSNGKGKTIDATQIFKVHEGVVEDVAWHLRHEYLFGSVGDDQYLLIWDLRTPAASKPVQSVVAHQSEVNCLAFNPFNEWIVATGSTDKTVKLFDLRKISAPLHTFDCHKEEVFQVGWNPKNETILASCCLGRRLMVWDLSRIDEEQPPEDAEDGPPELLFIHGGHTSKISDFSWNSCEDWVVASVAEDNILQIWQMAENIYHDEDDLPEESTKAS >OIW00002 pep chromosome:LupAngTanjil_v1.0:LG12:18480760:18481986:1 gene:TanjilG_26339 transcript:OIW00002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDDALHHHYQQHRQNFPFQLLEKKEDQEAASCSTSSPYPTLAISPTEPRTSNSNRSNQLDATTPTNASIEPSKKPLPKRASTKDRHTKVDGRGRRIRMPALCAARVFQLTRELGHKSDGETIEWLLQQAEPSVIAATGTGTIPANFTSLNISLRSSGSTMSVPSQLRSSYFNPNFSVQQQRRTLFPGIGLSSDNNNTSTLLNFQQPNNLAMLQAKQELRDSVGGGGQSSSTTLDLSDTNTVEGLGRKRRPTEQDLSSTQHQMGGYLLQSSAGAIPASHSHTPANIWMVAQAAAAANSNNQVMSHGDPIWTFPQVNNSAALYRGTVPSGLHFMNYPTPMTLLPGQQQVGLSGGGSSGNINMNEGHLSIFAGVSPYRPVTGVSESQASGSQSQHGGSDDRHDSTSHHS >OIW00778 pep chromosome:LupAngTanjil_v1.0:LG12:7096805:7098055:1 gene:TanjilG_22277 transcript:OIW00778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSEKEESCNSRHITWLMKSCFPTPHHITPPITTITITKPSSHVPTTLSSLPNDIVLDFLSRIPSSSLPSISLVCSLWSRLLISPDFLHLRRLRFLPYHTAAAITATQYGFFFATLLNNSWNNSKFFLSTCNAISIDDVYNILSHARVVSISHWVYVVGRNAMLRCDIWTSAVTLCRAMIFPRKKFAAAAVAGKIYVAGGGSRSTAVEEYDPVSDTWAVVSQAPRRRYGCIGASVDGVFYIIGGLRIGASEQNELSRASIGAEAHAAYASSMDLFDVEGRVWLRSRVVPGGGCVVAACAAAGRVYALTSHAVELSFWSFDARRKSSGRGGGAFGEWCRIKSPPLPALVRVDTRLRFSCVGMGEKVVLIQVGGCGSEVRGLKEGFVLVYDCTTGEWGRGADLPEVFRRAAYVGVEC >OIW00115 pep chromosome:LupAngTanjil_v1.0:LG12:15717601:15727300:-1 gene:TanjilG_29105 transcript:OIW00115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVLSLRVLEGKIMSKMKRKHACFHSHMQKTKDLFLLLLPVPGELRSSPSPSPEPNSQNHAPDSGNHDVATTSTTTRRSNRPARVCAIRAVSRLCSALQNPPATERKATKKEKRREYSQSPLPSPPPQLQQCSKVFTPLVEPPMPMQLPRWNLRCMWELASILNFLHLFRPFLNISLEFSAEEFESALLTPNDTLGDTYAFAQGNPSYYTNDTHTGYLDNRVMQEIEGLVALGNDILLVSKLIFDDMYFIISRANKTMKLKCRLLMQDIKSYIVNSLKHSVHLSTFRKERVGGDSHDISYWYEDDPTIGHRLYREIRKTKVVQLKKAKTRGDKLFSSKNRTEANMGKKLKIDMLPEIEKDHKVAMMVGRSSMTVLVMVDLRCWWGGEVVIGKWWCLCVAEIVASCDWRWGNKGEQWCYDSGQRQQLEHDGGNMVLKRKEKLLKKQQRQALLDTYMVVDRLPHFVIGNQLPTLLIHYYVLCITVLDHTSFFKNWVFYDYNQSINEAIKIIKQKQASPEHRLRRESEAKHEASLTNGKLSDPSHAPEHQNFGTSSPKLSDSDYDEEEHQTDVNRLRKRPTRYSEKEFIEEVLDEADFDSDDDIVGEAVYDEEYIEKHKQRRKLSSGSEGDEEYQQKQASPEHRLRRESEAKHEASLTNGKLSDPSHAPEHQNFGTSSPKLSDSDYDEEEHQTDVNRLRKRPTRYSEKEFIEEVLDEADFDSDDDIVGEAVYDEEYIEKHKQRRKLSSGSEGDEEYQWDVDNIEEEEEEDYDDDDDDDDDDEDEGSSSISEDSDKPHKSNQLQGRTRRETRRRSVDEMQSGIRRSNRSTRNRINYQQYEVSESETEFIKPDTSNVSADQSDASQNGEYMMESEDSDDNDFEDQEMKVEDEPATTYPE >OIV99795 pep chromosome:LupAngTanjil_v1.0:LG12:17074140:17074886:1 gene:TanjilG_26133 transcript:OIV99795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDKKHYKLQVDEDDDHEEALSLCDLPLNQNTSSKLNNLNDKSFKKIMVSPLHDSTELFEFFNGFTITTDMCPADDIISCGKLLPLKDKDKNILTEPKKPPPILRRRSESLSSLNQSNSVATCSRRLMMRNSRSMDYQRLDDVISPVLEYDRSFSTRSVVISEKKALKPRWYSNMFGTMKVPHEMKLNDIKNRQVRRNPSTSMFPCPDNGGVNRFSGKVSWRILKALSCKDPSSVTVTTSFPMPQAS >OIW00570 pep chromosome:LupAngTanjil_v1.0:LG12:12502003:12502437:-1 gene:TanjilG_24300 transcript:OIW00570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRGTFKNYVPKHCKQKQHHKYLKEMKSRGKLKVFGDLLNFKIPTRKRSRMVLKNMTPMEMFQKQLLLLSKCDMEQNESYEEEVLLSNNGNNFIPNNEIGLGAILLKPNDAST >OIW00335 pep chromosome:LupAngTanjil_v1.0:LG12:15504038:15509476:1 gene:TanjilG_27586 transcript:OIW00335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKESSDGFVRADQIDLKSIDEQLERHLNKVLTMEKKKHIEDEDGSYVHRHSGSASNSPIAAVSATKFRSPLKKQEWEIEPSKLIIKSVIARGTFGTVHRGIYDTQDVAVKLLDWGEEGQRTEAEVASLRAAFIQEVAVWHKLDHPNVTKFIGATMGSAELQIQTDNGLIGMPSNVCCVVVEYLPGGTLKTFLIKNRKRKLAFKVVIQLAVDLARGLSYLHSQKIVHRDVKTENMLLDKSRTVKIADFGVARVEASNPNDMTGETGTLGYMAPEVLNGNPYNRKCDVYSFGICLWETYCCDMPYPDLSFSEITSAVVRQNLRPEIPRCCPSSLANVMKKCWDASPDKRPEMDEVVSMLEAIDTSKGGGMIPPDQQDGCLCFRRRRGP >OIW00965 pep chromosome:LupAngTanjil_v1.0:LG12:2339619:2348505:1 gene:TanjilG_10043 transcript:OIW00965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFGKRLKKQIEESLPEWRDNYLCYKEMKKLVKLISASPMFMNGSLEYYKVEAEFVYLLNNEIDKFNGFFMEKEEDFIIRHEELQQRIKRVVELWGPNSNNPSEVDYNEEMAKIRRAIVDFHGEMVLLVHYSNINYTGLAKILKKYDKRTGGLLRLPFIQKVLDQPFFTTDIISKLVKECESIIDTVFPAEEEAEIRAKEAIIIAGEGIFRNTVSALLTMQEIRKGSSTQSPFSLPPLNFPESDFIRSIQLNAAMPIV >OIW00782 pep chromosome:LupAngTanjil_v1.0:LG12:6559073:6560416:-1 gene:TanjilG_19587 transcript:OIW00782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLPWKKHKVTPLSQIVADLQPPKHGSSFVLEPGFPTSLVDLFVKNRTRFRKYKTKKPVHFDISHPILVTQPPMLSPAPPAPPPPETSCFRDFPVVSTEAPSLMPLVVKNDVVDEDDGFAGSSQEVKWVDECESGSGSKTVFGVVLFVFVVVVVLMTSVKEITIGISVLAVLLVFIENAGKRVVSFLMPCSDANLGIVTCLTKKVSNYVWFQKLMLKIDENCEGSESLNVGGECSSSSLSFEEIEVVENKNVVVGVCREETCFRELEIREKKMEEEDSNVKVVVVDSCEIFSQWNKTKDSRSVKLKSKMVKKLVPKKLRGSKKDKKEKKVKERNDEIISECYSIGKEDKSMNFEIEGVGKVEVIEQECVREEEVDDHGINCSQDSLMERVEEGDVIGEEKRMERVGNSGCIVLFAIVLVGLVVGRFPAMILTVTYCFMLRMARSIVT >OIV99720 pep chromosome:LupAngTanjil_v1.0:LG12:16505573:16507210:-1 gene:TanjilG_26058 transcript:OIV99720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLLSPSPSSFSIRASKIAFAHYSVHTQVTPLTHDKGLILRAEAWFVKIVSTIFLRYSNLDKFLGYFSHHLTPSLAFEVIKRLKNPNLGFKFFQFSRERLNFSHSFWTYNMLLRSLCQVGMHNSAKLVYDSMNSDGQFPDGRLLGFLVSSYAMGGRIDISKELLAQAHHNNVAVDSVLYNNLFNVLVKHNKLDDAVRLLRELMRLCYNLETVTFNVLIHGLCRSGAIDESFKFLSDMRSFGYSPDIVTFNTLIHGLCRVNEVDRAQELLKEVCLKGDFAPTVVSYTTIISGYCKLSKMKEATSLFEEMVRSGVKPNAVTFNALIDGFVKVGSMASALAMYDTMLFHGCHPDVVTFTSLIDGYCRVGQVNHGLELCREMNGRNISASLYTFSVLISALCKSNRLKEARDLLRLLKHSDIVPQSFIYNPVIDGYCKSGNVDEANIIMAEMEETKCKPDKLTFTILIIGHCMKGRLHEAIDIFYNMLAAGCYPDDITVRTLCTLLLKAGMPSVAARIKQTLFENQTTDISLKKSYHERTRSDMPNSVN >OIW01006 pep chromosome:LupAngTanjil_v1.0:LG12:1358654:1368290:-1 gene:TanjilG_16255 transcript:OIW01006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLPERKTEDEIEKEVSAKKQKIEEVSQNRETSSDDSSSESESEDDDDCDDVLVETNPTSFNDKPSESHANEVQEDEHEEEEEEYTFRFENGMSPLDFVDNSSVQHYRQFERLESQALANKKRKTLQLSQPEGSACKKAREDDDITGAAIAEMMELLDHGKRRRRSKKEKKRGRRKGSRNKLNPRLTRMMGDATLHYACARYDQAIAVLHEVVRLAPNLPDPYHTLGLVYRSLKDYKKATGFYMIAAHLTPKDSSLWELLFTWSIEQGDIGQAGYCLSKAITADPKDITLRSHRAKLYVELGDYQKAAVAYEQVHQLCPENVDALKAAAEFYRKCGQKDCSDRSVRILEDYLQSQPNEESVVDLLAATLMETKEHDRALQHIIVHAQVVSSGKDLPLNLKIKAGICHAHLGNMEEAQVHFNDLKPENASEHVDLVIEVADSLMDLGHYNSALNYYLMLEANNGNGNGLLYLKIARCYESLKERSQAILFFSQALETIQDNVDARITLASLLLEEGKADEAISLLSPPKDSDSGEAHSEKSNRWWVDERIKLKLCNIYWNKGMHEDFVGAIFPLTRESLYVATLRQKGRKKRFCFSKKSKKRLSRRDLLERVRKLNGQEKDNNVFQGFRPIATPSDLSKASRARKLLQKKAIEKEKQKAEALASGVDWLSDDSDDEPQEADKDLPLCNILNVEEYHQLIIDLCKALASLQRYWEALEIINLTLRLAPTSLSSEKKEELRSLGAQMAYNTTDPKHGFDCVKYIVQQHPYSVAAWNCYYKVISRLESRDTRHTKFIRAMQGKLADCAAPLLIAGHQFTVFSHHQDAARKYLEAYKLLPENPLVNLCVGTALINLALGFRLQNKHQCVAQGFAFLYNNLRICENSQESLYNIGRAFHHVGLISLAAFYYEKVIATHEKDYPIPKLPNEVPDMMENHKPGYCNLHREAAYNLHLIYKKCGSLDRARQLLKDYCAL >OIW00121 pep chromosome:LupAngTanjil_v1.0:LG12:15784604:15786837:1 gene:TanjilG_29111 transcript:OIW00121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTPLQNLIQLSTKIVAVGRNYASHAKELGNAVPKDPVLFLKPTSSYLENGGTIKIPHNEGSLHHEVELAVVIGKKARDVPQSSAMDYVAGYALALDMTARDLQAAAKSAGLPWTLAKGQDTFTPISSILPKTQVPNPDDLELWLKVDDEIRQKGSTKDMIFKIPFLISHISSVITLFEGDVILTGTPQGVGPVKEGQTITAGITGLVDVKFNVEKGNKPANS >OIW00598 pep chromosome:LupAngTanjil_v1.0:LG12:11538197:11543919:1 gene:TanjilG_14824 transcript:OIW00598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSNSHGNLDEQISQLMQCKPLSEQEVRALCDKAKEILMEESNVQPVKSPVTICGDIHGQFHDLAELFRIGGKCPDTNYLFMGDYVDRGYYSVETVTLLVALKVRYRQRITILRGNHESRQITQVYGFYDECLRKYGSANVWKTFTDLFDYFPLTALVESEIFCLHGGLSPSIETLDNIRNFDRVQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDISEQFNHTNSLKLIARAHQLVMEGYNWGHDQKVVTIFSAPNYCYRCGNMASILEVDDCKGHTFIQFEPAPRRGEPDVTRRTPDYFL >OIW00175 pep chromosome:LupAngTanjil_v1.0:LG12:16142744:16151457:1 gene:TanjilG_29165 transcript:OIW00175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELTPKLTPRGRTQGHVLTFDRDADSFVDEDKELQSKWAAIEKLPTFKRIKTSFVDVSHDDEEGGSTSKEGDGKRVVDVTKLGAVEKRLFIDKLIKHIENDNLQLLQKLRERMERVNVKLPTVEVKYKNLHVEAECEVVQGKPLPTLWNSFTSSFSGLVKTISCNTQGANASILNDVSGIIKPSRLTLLLGPPGCGKTTFLMALAGKLDQSLKVSGEISYNGYKLDEFVPQKSSAYISQYDLHVPEMTVRETIDFSARCQGVGSRADVMAEITRKEKEAGIIPDPDIDTYMKILGLDMCADTLVGDALEIGISGGQKKRLTTGEMIVGPIKALFMDEISTGLDSSTTFQIVTCLQQLAHITDATAVLSLLQPAPETFELFDDLILMAEGKIVYHGPRSQALQFFNDCGFWCPERKGVADFLQEVISKKDQSQYWYRMDIPYNYVSVDQFSEIFKESYWGRMLDDEVSQPSDKSQSHKNALSFSKYSLGKWDLFNACMRREILLMKRNSFIYIFKTVQLTITAIITMTVFIRTQLAVDMISANYLLGSLYYTLVRLMTNGVAELIMTITRLPVVDKQKTFYLYPAWAYCLPASILKIPFSVLDSIVWTSVTYYVIGYSPEASRQAFLLLVVLHMSSTSMCRCLASIFKTDVMASTVGSLVLVLMFLFGGFILPRPSLPSWLRWGFWLSPMSYGEIGITLNEFLAPRWQKIQEGGITIGRKVLINHGLDFHANFYWISVGALLGFTVLFDFGFILALTYLKQPKMSRALVSKKRLSQLRGGEKSNNVELKSVTVDIKRTPRGTQSTGKMVLPFEPLTIAFKDVQYFVDTPPEMRKHGSNEKKLQLLRDITGAFRPGILTALMGVSGAGKTTLMDVLSGRKTGGIIEGDIRIAGYPKVQKTFERKFVEEVLETIELDDIKDCLVGIPGQSGLSTEQRKRLTIAVELVSNPSIIFMDEPTSGLDARAAAVVMRAVKNVVGTGRTTVCTIHQPSIDIFETFDELILMKSGGQIIYSGTLGYQSSKLIEYFQSIPGVPKIKDNYNPATWMLEATSASIEEELQVDFAKIYQESHLYQETLELVRELSQPWPGSSDLHFSTRFSQNILGQFMACLWKQHLSYWRSPEYNLTRFIFMVAAAVIFGAVFWQKGNKINNQQDLFNVLGSLYIAVIFLGVNYCSTILPYVSTERSVLYREKFAGMYSSMAYSFAQVAIEIPYILLQSILYVAITYPMIGFHWSVEKVFWYFYSTFCTFLYFVYLGMLIMSLSINLDIAAVLSTAVYTIFNLFSGFLMPGPKIPKWWVWCYWITPTAWSLNGLLTSQYGDMDKEILIFGEKKQVGSFLKDYYGFRHDRLSIVAVVLIAYPIIYASLFAYCIGKMNFQKR >OIW00405 pep chromosome:LupAngTanjil_v1.0:LG12:13047820:13054010:1 gene:TanjilG_05755 transcript:OIW00405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVKMDFIFVLFLLGCLCSFVLPDPQGDALFALRSSLNASANQLTDWNQNQVNPCTWSRVYCDLDYNVVQVSLAFMGFTGTLTPRIGVLKYLKTLSLQGNGITGGIPKEIGNLTNLNRLDLENNRLTGEIPSSLGNLKRLQFLTLSQNNLTGTIPESLASIPSLINVLLDSNDLSGQIPDPLFEVSKYNFTGNKLNCGVNRRHPCTSHNADQGSSHKSKTGLIIGVIVGLVVILFLCGLLCFWCKGRHKGYKREIFVDVAGEVDRRIAFGQLKRFAWRELQIATDNFSEKNVLGQGGFGKVYKGVLADNTKVAVKRLTDYESPGGDAAFQREVEMISVAVHRNLLRLIGFCTTPTERLLVYPFMQNLSVAYRLREIKPGEPVLDWPTRKRVALGTARGLEYLHEHCNPKIIHRDVKAANVLLDEDFEAVVGDFGLAKLVDVRKTNVTTQVRGTMGHIAPEYLSTGKSSERTDVFGYGVMLLELVTGQRAIDFSRLEEEDDVLLLDHVKKLEREKKLDAIVDRNLIKHYNIQEVEMMIKVALLCTQGTPEDRPLMSEVVRMLEGEGLAERWEEWQHVEVNRREEYERLQRRFDWGEDSVYNQDAIELSGGR >OIW00378 pep chromosome:LupAngTanjil_v1.0:LG12:12782278:12785250:-1 gene:TanjilG_05728 transcript:OIW00378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKWSCSLLQLITITTLLLSKAIIEVKGVPLHTDSRWIVNEDGKRVKLACVNWVSHLDAVVAEGLSKQPVDVISNRIKIMGFNCVRLTWPIFLATNDSYASLTVRDSFQSLGLIESVAGVQSNNPSIVDLTLIQAFQAVVKSLGDNNVMVILDNHITRPGWCCSNNDGNGFFGDQYFDPNLWIQGLTKMATTFKGISNVVGMSLRNELRGPRQNVNDWYRYMIQGAEAVHAANPDVLVILSGLSFDKDLSFIKNRPVNLSFKGKLVFETHWYGFSDGQAWLSGNPNQVCGQVAGNMKRSSGFLVDQGWPLFVSEFGIDLRGTNVNDNRYFSCFMAVAAELDLDFALWTLVGSYYFRQGVVGMEEFYGILNWDWTQVRNTSLLQRISALQLPFRGPGITQGNPHKKIFHPLTGLCVLRKSILDPLTLGPCSSSDGWTYTPQKILSIKGTYFCLQAVKEGAPAKLSMICSDPNSKWEMISDSKLQLSAKVSDGSNVCLDVDASNIIVTNACKCVSRDSKCDPGSQWFKLIDSGRRSISKPFSST >OIW00446 pep chromosome:LupAngTanjil_v1.0:LG12:13431095:13433296:1 gene:TanjilG_05796 transcript:OIW00446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSMYTKHGYSVEALVSFCRFRRSCDEKPNEYILASVVRACSQLGSLSQALQVHGFVLKGGFVQDVYVGTSLIDFYAKHGYMDEARLLFDSLEVKTTVTWTTIIAGYSKQGRSEVSLKLFNQMKDGDVCPDRYVISSVLSACSALGFLEWGKQIHGFVLRRGIDMDVSLLNGLVDFYLKCRKVKTGRILFDRLVDKNVVSWTTMIAGCMQNSFHWDAMNLIVEMARIGCKPDAFACTSILTSCGSLQVLEKGRQVHAYTIKANIDNDDFVKNGLIDMYAKCDSLTDARKVFDLAAAINVVSYNAMIEGYSRQDKLYEALDLFREMRLSSVQPVLLTFIGLLGLSASLFHLKLSNQIHGLIIKYGVSLDNFAGSGLIDVYSKCSCVGDARLVFEEIYDKDIVVWNAMFSGYCQQLENEEALKLYKDLQLSRLAPNEFTFAAVITAASNIASLRQGQQFHNQVIKMGLDDDPFVTNALVDMYAKCGSIKEAHEVFSSTNQRDIPCWNSMISTYAQHGEATKALEVFEHLIKEGLKPNYVTFIGVLSACAHAGLVDLGFHHFESMSQFGIEPRTEHHACMVSLLGRAGRILEAKEFIANMPIKPTAVVWRSLLSACRVSGHVELGAYAAEMAISCDPADSGSYILLSNIFASKGMWLNVKRVREKMDIRGVVKEPGCSWIEVNNEVHRFIARDTSHRDTTLISLVLDNLILHIKGLGSVANAAAILLNDRDESIIL >OIW00641 pep chromosome:LupAngTanjil_v1.0:LG12:10184870:10187954:-1 gene:TanjilG_09122 transcript:OIW00641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDESWRIGAGLMRGLSRRQSVEDQSSSRMCQSIFSGNSVDANDFADVFGGPPKTLLAHKFHSSGTFYDDIFRSPEFMSPAPKSDRNLPVFRIPAKNEGFYSDIFGSDDDRKSRERSGSQSKANSSSVLSSEELSPSQQLAGDDVALSDFASNLRPINVPWRWNSSTMMPEEHADKQRVPLFQCNTNSFDNFRSSNSGFSKRVSSPETISLESNSYRSIEVFTDEWEPSSPFSVVSSLCQEPEAKSLVHDHVLPEQTIEHDDEVMNSYVIDISSNLIKEDCDTSSSAIDEAIAWAKEKFQSRGFDEGSSMRNDGNEHEIEGSLDASEYHDDGIGIVQPQKTEREKLDRDIRLWSSGKETNIRLLLSTMHNVLWPESGWYAIPVMSLTESSQVKKAYQKARLFLHPYKLQHRGVTLLQNYAAEKAFSILQDAWAAFIFEDVSFQPEDESNS >OIV99769 pep chromosome:LupAngTanjil_v1.0:LG12:16846829:16847032:-1 gene:TanjilG_26107 transcript:OIV99769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWFMCLGEEEDKELGRQQAPGSCPYCAGKVEAMDVDRQWRFCFLPMCSKIKRKYFCTQCARRLELYY >OIV99965 pep chromosome:LupAngTanjil_v1.0:LG12:18235061:18235924:1 gene:TanjilG_26303 transcript:OIV99965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHEIHSMGGDRSFRPHHHHHHHHHQALKCPRCDSINTKFCYYNNYHLSQPRHFCKTCRRYWTKGGVLRNVPVGGGCRKSKPSNNNSSSETETTTAATEHNSNSHSSSESSSLTLATEAVSAPKTFNSDSNNNNDSKSFTPFSNPAFETGALEHQQQQGTEDCAIFSEIGSFTRSITSTNDTLQFGFGVTTIPDAGLLMSMDGGDDVELKFPDNLNGGGASLLEQGTIPVDLTGLQNKTGHGGFGPLDWHGDGDQGLFDLSNTVDYADWTHQTTHWCDHDNSSPFHLP >OIW00559 pep chromosome:LupAngTanjil_v1.0:LG12:12392007:12393814:-1 gene:TanjilG_24289 transcript:OIW00559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEELIHRILSFVDAKTAVQTSVLAHRWKNIWISLPFLNLHSSSFDHDFLFQDFVLNFLANRNSDSKIDVLKFECSGDELEETGAPTVDSVVDYVASKDIQNLTIVADYVLESLPRLFTSRTLTVLNLSNISTETSTFEFVSLQKLSLVDCKFEIRDVEILDPFLGCPKLSFLLFDCCQFYGKFDKFKIHAPQLTELSISCLRVDEEFDSECVIELFTPKLRYFSYDDPLNLYAFSIQAKLHFLEKLVIDVDSSAVLNALDNTEGTKLSMKFIELFEAMGSAKFVYLSPDVVKVLSLFPALFMGRLSPFTRVQTFKFIVDVYSSFPLPTNVMAYLLRGSPGFTCYRRQGSYTAKQSG >OIV99899 pep chromosome:LupAngTanjil_v1.0:LG12:17833165:17834157:1 gene:TanjilG_26237 transcript:OIV99899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELQLGLALPNHSSKTLNHDSSHNNKRSFSNFFHHADTHNNTSQVMLPTLSLLSLTPSHSCNLHDNRLSTKNDENDAVGWPPVNSYRKKLRYDNYVDEVAENDQMVWIHHHHHSRSSVAMRRSNMLYVKVKMEGNGIARKINLNMHHSYQTLKETLMDMFGKCHQHSKCYELAYQDQEGDWLLADDVPWKSFTQCAQRLKLVKNSRYRIMPACIASS >OIV99972 pep chromosome:LupAngTanjil_v1.0:LG12:18292974:18295868:1 gene:TanjilG_26310 transcript:OIV99972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTSFAPLIFVLLIIGCTARPLYNGNRKPLQTFRPYNIAHRGSNGEFPEETAPAYMRAIEEGADFIESDILSSKDVDFTLKELKSLRVKQRYNFRDQQYNGKFQIITFEEFISIALKAPRIVGIYPEIKNPVLINQYVKWADGKRFEDKIMETLKKYGYKGSYLSKDWLEQPAFIQSFAPTSLVYISNLTDLPKILLIDDITIPTQDTNQSYGEITSDSYFDYIKDYVVGIGPWKDTVVPVVNNYLQTPTDLLDRARAHNLQVHPYTYRNENQFLSLNFNQDPYEEYDYWFNKIGVDGMFTDFTGSLHRYQEWTSSNCHNTSDEKTASKLLHKIASLVTSYKG >OIW00758 pep chromosome:LupAngTanjil_v1.0:LG12:7799351:7804412:1 gene:TanjilG_19198 transcript:OIW00758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVSEEVRAAHKREFADFLDQDVGKGIYMDEIKALINHKRHRLIINISDLHSFRDLGNRILRNPSEYMQSFCDAVTDATRAIDPKYLKEGEQVLVGFEGPFVSRRVTPRDLLSEFIGSMVCVEGIITKCSLVRPKVVKSVHFCPTTGNFTTREYRDITSNLGLPTGSVYPTRDETGNLLVTEYGLCKYKDHQTLSMQEVPENSAPGQLPRTVDVIVEDDLVDSCKPGDRVAIVGIYKALPGKSKGSVNGVFRTVLIANNVSLLNKEANTPIYSPEDLKNIKKIAERNDTFDLLGNSLAPSIYGHTWIKKAVVLLMLSGVEKNLKNGTHLRGDINMMMVGDPSVAKSQLLRAIMNIAPLAISTTGRGSSGVGLTAAVTSDQETGERRLEAGAMVLADRGVVCIDEFDKMNDQDRVAIHEVMEQQTVTIAKAGIHASLNARCSVVAAANPIYGTYDRSLTPTKNIGLPDSLLSRFDLLFIVLDQMDPDIDRRISEHVLRMHRFISTTDGGDATLDGSSGYGREDEAETESSVFVKYNRMLHGKQKKKRNQKDDNTLTIKFLKKYIHYAKHRIQPELTDEASDRIATAYAELRNAGSNAKTGGTLPITARTLETIIRLSTAHAKLKLSRKVLKSDVEAALKVLNFAIYHQELTDMEERERNRAEQHPGENDGPGRGPRNETGPTPDFMEVDDSTAAAAAETASILTPGRIEAFNAVFGQHMRANRLDLISIADIENVVNQGADSSYSSADILVLLQKLQDDNRVMIVDGMVHMIT >OIW00523 pep chromosome:LupAngTanjil_v1.0:LG12:12034692:12036037:-1 gene:TanjilG_24253 transcript:OIW00523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNKDVKVVSFWVSPFVKRVEWALKLKGVDYEYIEEDIYNKSPLLLELNSVTKKVPVLVHNHKPIVESFIILEYIDETWNQYPLLPHHPHERALARFWANFAERKLLDIAWVAMCSSGNEQEKAVNIAREAMEKIEEEIKGKKFFGGEYIGFLDIALGWISYWLPVFEEVGSMQIIDSSKHSATIAWMNNFLSHPVIKESLPPRDKTLAYFHTRRKALLSTFQGFFKD >OIW00165 pep chromosome:LupAngTanjil_v1.0:LG12:16061330:16064263:-1 gene:TanjilG_29155 transcript:OIW00165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWNLGADVNVIMCFLCLCFFLSIKASEEINVGTYESDRIIDLPGQPSTPTVSHFSGYITVNEDHGRALFYWFYEAQSEPSNKPLLLWLNGGPGCSSVGYGAIVEIGPLLINKDGDGLHFNTFSWNQEANLLFVESPVGVGFSYTNTSSDLTRLEDGFVAEDSYNFLVNWLEKFPQFKSRDFFIAGESYAGHYIPQLAELVFDRNKDTNKYPFINLKGFIVGNPETEDYYDYKGLLEYAWSHAVISDQQYDKAKQVCDFKKSEWSKECNQAMNGIFQDYSEIDIYNIYVPKCRLNSTSSVTIVHDSKSHGPESFTEVRNDYRVGRMRIFGGYDPCYSPYAEEYFNRIDTQSSLHANIERRNTNVTWKVCNDSILRTYNFSVFSVLPIYTKLIKGGLKIWIYSGDADGRVPVIGTRYCIEALGLPLKSSWRSWYHDNQVGGRIVEYEGLTYVTVRGAGHLVPLNKPSEALTIIHSFLTGDHLPTQL >OIW00696 pep chromosome:LupAngTanjil_v1.0:LG12:8619660:8622164:-1 gene:TanjilG_09665 transcript:OIW00696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPRRCNHPPNPTSYSDDSETDDYDDDDDDEEEEGNFTMRCISCEEDYDHDGAGTCKECYEEANETEEEYKRQIEELKAKINFLKLSSAVDTNDCSNPYALTHTDVILIPYGDCSSGCVHAHKAILVSRSPVFKAMLESDMEESRSGTIKIDDVSYDALSAFVNYLYTAEACLDDQMAFDLLVLAEKYEVKHLKAFCEKFLIARLNLDKAIANYAFAHQHNAKQLQDSALALIIDNMDRFTRCEDYADLKDTNPRVVVEIFEAYLAKQVNTASPLKL >OIW00326 pep chromosome:LupAngTanjil_v1.0:LG12:15425198:15426148:-1 gene:TanjilG_27577 transcript:OIW00326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLVVASQSERYRVGYALETKKVATFIQQSLIDYANNHGIDLIQIDPTKPLLQQGPFRCIIHKLYTQQWINHLNQFSSQNPSTIVIDPMERVSRLHNRVSMLEAVTKLKISLQNGTVEVPKQVVLNESKDFNEGEEVGLDLKFPVIAKPLVANGTATCHELFLVFDREGLRELSFPVVLQEFVNHGGVVFKIYVAGNQVDCVKRKSLTDIPEEKLKTLNGLVPFSQISNLRNEEGGDGGGEDGIEMPPESLVTELAKGLRVELGLNLFNVDVIRDGNDPTRYLVIDINYFPGYAKLTSYETFITNFLLDIVHSNAA >OIW00776 pep chromosome:LupAngTanjil_v1.0:LG12:7153930:7154724:1 gene:TanjilG_22275 transcript:OIW00776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASTMALSSPSLAGQAVKLSPSAPELGVGRISMRKTVTKKVSSGSPWYGPDRVKYLGPFSGEAPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHSRWAMLGALGIVFPELLARNGVKFGEAVWFKAGSQIFSEGGLDYLGNPSLIHAQSILAIWATQVILMGAVEGYRIAGGPLGEVTDPLYPGGSFDPLGLADDPEAFAELKVKELKNGRLAMFSVFGFFVQAIVTGKGPLENLADHIADPVNNNAWAFATNFVPGN >OIW00284 pep chromosome:LupAngTanjil_v1.0:LG12:15105693:15108209:-1 gene:TanjilG_27535 transcript:OIW00284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGEEGSQEPQLVLADKLFLLRQPDVSDIDKVRYKDEVFTYVKDNDMLPLYETLVAQSVLDSDPALLDSMRAKIDDELKKIDEKIADAEENLGESEVREAHLAKSLFFIRIGDKTKALDHLKVTESKTVAIGQKMDLVFHTLQLGFFDMDFDLISKSIDKAKSLFEEGGDWERKNRLKVYEGLYCMSTRNFEKASSLFLDSISTFTTYELFPYDTFIFYTVLTSIISLDRVSLKQKVVDAPEILTVIGKVPHLSEFLNSLYECQYKSFFSAFAGLTEQIKLDRYLLPHFRYYMREVRTVVYSQYLESYKSVTIEAMAKAFGVTVDFIDVELSRFIAAGKLHCKIDKVAGVLETNRPDAKNALYQATIKQGDFLLNRIQKLSRVIDL >OIV99776 pep chromosome:LupAngTanjil_v1.0:LG12:16908402:16912622:-1 gene:TanjilG_26114 transcript:OIV99776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPALIDPSLPKSSSVSLVSSDNVSDRLSDQFEDLRSLQWRINLGVLPSSLSTSIDDLRRTTADSRRRYASLRGRLLVDPHISKDGSRSPSPNLIMDNPLSQNPDSTWSRYFRNAELERMVDQDLSRLYPEHGSYFQTPGCQGTLRRILLLWCLRHPECGYRQGMHELLAPLLYVLQVDVESHSDVRKLYEDHFTDRFDGLFCQENDLSYNFDFRKSPDLMDDEIDSNGNAMKIKTLDELDPKIRTIVLLSDAYGAEGELGVVLSEKFTEHDAYCMFDALMNGSCGSVAMVDFFSYSPLAGSYSGLPPVIEASTALYYLLSCVDSSLHSHLVDLGVEPQYFALRWLRVLFGREFSLANLLIIWDEIFLSDNDKVVKHAEDNTDSGFNIFQSSRGAFISAMAVAMLLHLRSSLLATENPTTCLQRLLSFPEKTNIKKLLEKAKSLQALALSSDISSSTPPFVGGHNQGKSIITRSRTLPSESDSPKTPLNLFPDSYWEEQWRVVHKAEELKKDELEKQVPTRKKGWTEKVKLSLKRAESHPFSSTIKSGKKESEVKVRHNLLEDLSKELGFEEDTEKLHCHEFACQRDNLSVAGEVEQESDGSEGCNSYSADDRCLSGSIGSEENLSITSGLASPPNEANDHENYLEKSSVGSNLFLDEINEITNISPVDSPLPISDPPECISETSGCKNVSMGNLATNAKERKVNKFQWLWKFGRNNGQVISEKGEVPEAVKPTKNYIDHCTTPSSTADANCSSLSSNGHSVDQNDMGTLRNIGQSMLKHIQVIESSIQLEQGQGTLPENLSKYVLVTTGQVTAMTALKELRNISNLLSEM >OIW00174 pep chromosome:LupAngTanjil_v1.0:LG12:16124193:16128353:1 gene:TanjilG_29164 transcript:OIW00174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSEELKIGELKLIEKEKVEGELKVNCFSEVLNDGSIHFQIIRFPKQIYVWIGYNSAKLGHLYAAAHTRPNNMVSATTLLGGTFDNTGSGIARRLGITRSISDSSPAHYVVKVQSFSLLTKNSIERYESGEFQAGGYKWKLVLHPNGNKNKNVKDHVSLYLALNEATSLQPGWEIYVNFRLFLHDQNKDNYLVVQGNERRFHKLKAEWGFDQFIPLKDFNDGSKGYLVDDTCAFGAEVFVCRERSKDKGESLVMMKDAITYKHIWEVTNFSKLVSECCVSKPFNAGNYNWNMKLYPKGKGDGLGSYLSLYVALAEPTTLPQSSRIYAQVQLRIIDQKQAKHHFGKANYWFSASNHENGSSRFILLSNFNSQYLGYLVRDNCTVEAEVTILGIVRALS >OIW00356 pep chromosome:LupAngTanjil_v1.0:LG12:13899171:13906737:1 gene:TanjilG_29923 transcript:OIW00356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGQTTSLPRRNSGSFHKRQPPLSKKSENGTSGEPFTQSRPSSPPIQQSDRGERTVKKLRLSKALTISEGTTVSEACRRMAARRVDSVLLTDANALLSGIVTDKDIASRVIAEGLRPEQTIVAKVMTRNPLFVTADTLAIDALQKMVQGKFRHLPVVENGEVIAILDITKCLYDAISRMQKAAEQGSAIAAVVEGVERQRVPHALIEILRERMFKPSLSTIIGEHTKVAIASASDPVYVAAQKMRELRVNSVVVVSGAKIQGILTSKDILMRVVAQNLSPELTLVEKVMTPNPECASLETTILDALHMMHDGKFLHLPVLDRDGYVTACVDVLQITHAAISLVESSPGSVNDVANTIMQRFWDSAMALEPPEDSDVHSEVSGLMTSDGVDTVKSAYQSSDYGNSFAFKFRDLNGRVHRVNYGSEHLDELVSAVMQRLDVNDGEHPIILYEDDEGDKIVITTDNDLASAVSFARTAGSKSLKLHLDFGGSTKPTTPQPDTATRQKTSALSYRSGIFAGAIVISSIGLMVYLKRSKQ >OIV99948 pep chromosome:LupAngTanjil_v1.0:LG12:18127319:18134229:-1 gene:TanjilG_26286 transcript:OIV99948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWRRVLKSAQALAAHTFLFCFTLFLVLKLDHYVFCSWWLIFLPLWMFHAVVARGRFSLPAPSAPRNRHWAPCHAVVATPLIIAFESLLCIYLESLYVDGYAAVSLKLVFLPLLLFEILILIDNFRMCKALMPGDEGNMSDEAIWETLPHFWVAISMVFFIAATVFTLLKLSGDVALGWWDLFINFAIAQCFAFLVCTKWSNPVIHRNSREPSSSSTTIRYLDWNSGLMVSSEESERQNRVCSLQDIGGHFMKVPVIVFQILLCMYLEGTPASAVYIPLPALFSPIFILQGAGVLLSVSKLVEKLVLLLRSGAGRGMYFRFSSRVHDCLGFLHHGSRLLGWWSIDEGSREEQACLYQEGASGYNTFSGYPPEIVKKMPKKDLAEEEKVLCRVCFEGEISVVLLPCRHHVLCRLFLLGLDKFGKGDWRSISRNFVNSRNPTQVASHAQKNLIRLNSMNRDRRTSIHDITSINNGDVASNHAPITCHHSSTMSSSTMALGQSVKHRAHQPHHIHAGLGMYGAPVGHPVI >OIW00759 pep chromosome:LupAngTanjil_v1.0:LG12:7792222:7796870:1 gene:TanjilG_19199 transcript:OIW00759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTLNSPTLHFSPLDPFLTKSPNATAKPRRVSFITASATVTPKVSAPQREKDPKKRVVVTGMGLASVFGNNVDQYYEKLLAGESGVSLIDRFDASKFPTRFAGQIRGFSSEGYIDGKNDRRLDDCLRYCIVAGKKALESADLGADKLNTIDKQRAGVLVGSGMGGLTVFSDGVKALIEKGHRKITPFFIPYAITNMGSALLGIDLGFMGPNYSISTACATSNYCFYAAANHIRRGEADLMLAGGTEAAIIPIGLGGFVACRALSQRNDDPKTASRPWDKDRDGFVMGEGSGVLVLESLEHAMKRGVPILAEYLGGAVNCDAYHMTDPRADGLGVSSCIKSTLEDAGVSPEEVNYINAHATSTLAGDLAEINAIKKVFKDTSGIKINATKSLIGHSLGASGGLEAIATVKAITTGWLHPSINQFNPEPAVDFDTVPNVKKQHEVNVAISNSFGFGGHNSVVAFSAFKP >OIW00398 pep chromosome:LupAngTanjil_v1.0:LG12:12974531:12981828:-1 gene:TanjilG_05748 transcript:OIW00398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGGRSRTQRKHFRQSRENVWKRSKSDPNSKPNDENQTQTNHTSWTPFATENSSFDLYYKEQNIVNEEEWEQFVTVLRTPLPASFRINSSTQFADDIRSQLENDFAHSLRDEVTEGGEKEAIRPLLWYPGNFAWHSNFSRMQLRKNQTLERFHEFLKLENEIGNITRQEAVSMVPPLFLDVHSDHFVLDMCAAPGSKTFQLLEIIHQSTKAGLLPDGMVIANDLDVQRCNLLIHQTKRMCTANLIVTNHEAQNFPGCRLNRNHEKIEPDQHISQLLFDRVLCDVPCSGDGTLRKAPDLWRKWNSGMGQGLHSLQVLIAMRGLSLLNVGGRMVYSTCSMNPIENEAVVAEVLRRCGGSVELVDVSSKLPQLIRRPGLKSWKVHDKGTWLVSCKDVPKYRRSVILPGMFPSGGSYQELVDNSNCSADMGDNISVCTNRNSEDGVQTIEEPVTHQSAEEVCDFPLEHCMRIVPHDQNTGAFFIAVLQKVAPLPATQEKSKSEIVEQNVEPLNPSLEDAQALKINSSESAIEEVCKAVPEEILNDNKQNTEDLEVSPVEEQDSKETEEPPIVENMAKKVPAKRKLQIQGRWRGVDPVVFFKDEAIINSIRAFYGIGEHFPLDGHLVTRNNDASHVKRIYYVSKSVKDVLKLNFSAGQQLKITSVGLKMFERQSAQEGSDTPCAFRISSEGLPLVLPYITKQILHASPEDFKRLLQDKDVKFADFADAEFGEKAANLMPGYCVVILNKGNRSATEPPKVDESTIAIGCWRGRVRLTVMVTAMDCQELLERLLIRLDPEKGASVNVDKSSNNVGGEVQPVPEINGKNDE >OIW00055 pep chromosome:LupAngTanjil_v1.0:LG12:18784252:18789351:-1 gene:TanjilG_26392 transcript:OIW00055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTMMNMVNALMVTRMLSRDHGDVVPLYATEEIPFGSVWWFVYAGISCFLVLFAGIMSGLTLGLMSLGLVDLEILQRSGSPSEKKQAAIILPVVQKQHQLLVTLLLCNAAAMEALPIYLDKLFNPFVAIILSVTFVLFFGEVIPQAICTRYGLAVGANFVWLVRILMIICYPVSYPVGKVYSLPEVIPLHSLDELNNVLDHLLGHNEALFRRAQLKALVSIHSQEAGKGGELTHDETTIISGALDLTEKTAEEAMTPIESTFSLDVNSKLDWEAMGKVLARGHSRVPVYSGTPKNIIGLLLVKSLLTVRPETETPVSAVSIRRIPRVPADMPLYDILNEFQKGSSHMAAVVKAKGKGRETPQIIEEETHEENISIGGDSHLTTPLLQKQGEKSASIVLDIDKPSRPPSTNKLTGLQHSDGTSNGASENIEDGEVIGIITLEDVFEELLQEEIVDETDEYVDVHKRIRVAAAAAASSVARAPSTRRLTGQKGAGGHTKPGQNPKKPAEEDGLNSTR >OIV99860 pep chromosome:LupAngTanjil_v1.0:LG12:17541049:17541750:1 gene:TanjilG_26198 transcript:OIV99860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASSIAMSLTLPYTKTQSFQILNRPINPFNKPGPTFKPLRHRNHFPTVTAAISRGKKEETVGTVREQLENCYLLAAINYKGFTVKQFQDLRKTLPETTTLIVAKNTLVYKALEGTQWETLKPCMKGMNVWLFVHTEEIPAAIKPYRDFQKEKKLEENDFTGAVFEGKFYGPDEFKKLETLPTRAEIYATLLGSLKSPASALVSTLQAPARELVLVLKAYVKKLEDEAAGAAQ >OIW00474 pep chromosome:LupAngTanjil_v1.0:LG12:13718345:13730619:1 gene:TanjilG_05824 transcript:OIW00474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGWWSRNSSKKKHKDDEEEKSGSANFNCMKSSTRKGKKKGKVKDKANNFDELLPHAQRVFQYGYASSTSTSGSSASSSASFDDHPTSPHFHFPNRGLGTEMKLKVKSKCNVGSRGLTSNLAATSPIHPRLHLFSLDSAQDHGRSQSQGQGPCHPLPLPPPPPSPPPFNTPSLKNITSNLSKWQKGKLLGRGTFGHVYLGFNSESGQMCAIKEVKLVLDDQTSKECLKQLNQEINLLNQLSHPNIVQYYGSELGKEVLSVYLEYVSGGSIHKLLQEYGPFSEPVIQIYTRQIVSGLAYLHARNTVHRDIKGANILVDPNGEIKLADFGMAKHINSSASMLSFKGSPHWMAPEVVMNTNGYSLPVDIWSLGCTVLEMATARPPWSQFEGVAAIFKIGNSEDIPEIPEHLSNDAKNFIKLCLRRNPLARPTAQKLLDHPFIRDQSATKASNFGITRDAFPYMFDGSRTPPVSKPHSNRTSMTSHDRDLAATRGSNNPRDNTRMITSLPVSPSSSPLRQYGAAQKSCFSSPTHPNYTMTRQSNHPSSFPLIAMDSSSGQQEFDYLFKLLMIGDSGVGKSSLLLCFTVDDFQDLSPTIGVDFKVKYVMMGGKKLKLAIWDTAGQERFRTLTSSYYRGAQGIIMVYDVTRRETFTNLSEVWAKEVELYSTNPDCIKMLVGNKVDKEADRVVTKKEGIDFARECGCLFTECSAKTRVNVQQCFEELMLKILDTPSLLAEGSKGVKKNIFKDKQSQFDASTSGRLFLLSLPLSSYLILPVFSSYATATTQSQYDPVTDAERDASALFSQRVSQAVELLDKGRELQAQGDFNGALQYFSQVIESYKDLAFSEYARVGRALALYEVGDREEAIAEMEDVSISLKGYPEVHAALAAALYADKHAPLLAENQFTIATLLDPQFTDLSYVRDTKHWPPSLVSSLQHFITLS >OIW01020 pep chromosome:LupAngTanjil_v1.0:LG12:85808:89339:1 gene:TanjilG_14203 transcript:OIW01020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEIEFQETEENECTAKEASDGFETASDAELGSDDGGDGGDAAQEKHIVRDDSNDVSNTEELKQKGLAEANEAKLEGNNFFGDGKYEEALSQYEFALQVAPDAPSSVEVRSICHSNRAVCFLKLGKYEDTIKECTKALELNPVYVKALVRRGEAHEKLEHYDEAIADMKKILEVDPLNDQAKKVIRRLEPLAAEKREKMKEEMIGKLKEMGNSVLGRFGMSVDNFKAVKDPNTGSYSISFER >OIW00414 pep chromosome:LupAngTanjil_v1.0:LG12:13124959:13127637:1 gene:TanjilG_05764 transcript:OIW00414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDKSPERNSGCCLITSVFWRRKTNLETNARNISNDNDCDKASNTQKTKTSQGGSEDAAFLGAKASTKATDCLSPSNPKPSPSPSRSVVVNQNHQGNNPKEKTGVKPPRVLPAEGYVNQGRRVPKEAIGISGELESMISDHQKSKGSSNLVRASSSNVMLFGNLGNLRQGGNNNNASSQNVMDYQVMQREASPVANSRYTNNRVQNVDKGSKQQQVSPNRAISTRADPEQLKILGNEDYKNGRFAEALALYDAAISVDPNKASYRSNRSAALTALGRLLEAVFECRLAIKIEPHYQRAHNRLGNLHMRLGETDKALYHYKQAGPEADPDEVANLKNIQLHLNKCTEAHRLKDWNTLIKETNNAISSGADSAPQIFALQAEALLKLRRHQDADEAISRGPNFDVDDCTKFFGPIANANLLVSQAQVDLAAGRFEDALDALDKAARLDSSNKDVNKVMRKARTAAAARSNGNELFKASKFSEACVAYGQGLELDPYNAVLLCNRAACRSKLGQFEKALEDCNVALNLRPSYRKARLRRADCNAKLKRWGASIQDYEILEKETPEDEEVSKALLEVREQLKNQRGDL >OIW00800 pep chromosome:LupAngTanjil_v1.0:LG12:6438902:6440088:1 gene:TanjilG_18110 transcript:OIW00800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIAAAISGYHNSSNSEQLPLTPQGVQVCVPPTLSRYENQKRRDWNTFGQYLKNHRPPLTLSRCSGAHVLEFLRYLDQFGKTKVHAETCAYFGNSHPPGPCPCPLKQAWGSLDALIGRLRAAFEENGGSPEINPFGARAVRLYLREVRDTQAKARGIVYEKKKRRKQPHQQEQNGSIMMMEDNPPIHDVVSSRAVHSLGYGDGGFVHLSNSSGTSPMVAAVSYFSS >OIV99817 pep chromosome:LupAngTanjil_v1.0:LG12:17216584:17219520:-1 gene:TanjilG_26155 transcript:OIV99817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSHSPAKILIGLPLDPDDSKEILSWAIRVLANPNDTIVAVHVLVAKDKDNEKRVSVRRRISQIRKAKAYVISVLGEFAQTCWSNQVDLEAKVVLSSKVGRGLVQEAKSISAEFLLLCGSRNQRNKNGNYKGITKYCFEHAHEGCSVVSVGRSKKADQITHSNSTHSLDNHQQSSRRPKIDHQVDEAASSSPITVLDALEGQSNSTEDDTFSTRVSSITDTPSMTSKIKSQSKLRRSQSPFRLILSFLGSSFRRKNFNTSKNEKHQPLLKCFTYEQISNATNDFHQDNLVGRGGYSEVYRGDLCDGRTIAVKRLAKDNNNPNKEKEFLMELGVIGHVCHPNTAALEGCCIENGLYLIFKYSQNGNLSTALHGKAGNSLDWPIRYRIALGVARGLNYLHKCCKHRIIHRDIKASNVLLGPDYEPQITDFGLAKWLPNKWTHHAVIPVEGTFGYLAPECFMHGIVDEKTDVFAFGVLLMEIVTGRRPVDSSKRNLLLWAKPFMESGNIAELADPKLEGKYDEDQLHRVILTASYCVRQTATWRPPMSEVLELLTSGQDCEAGKSWRIPKFSSDDLDDYSMVFGYDVPSAISLEHFLIE >OIW00026 pep chromosome:LupAngTanjil_v1.0:LG12:18616248:18616583:-1 gene:TanjilG_26363 transcript:OIW00026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGVWVFKNGVVRLVENPGAEAMEGSRQGSRKKVLVHIQSGEVIESYGALEEKLNSLGWERYYDDPNLLQFHKRSTVHLISLPRDFNKLKSMHMYDIVVKNKNSFQVRDNM >OIV99857 pep chromosome:LupAngTanjil_v1.0:LG12:17522863:17525022:-1 gene:TanjilG_26195 transcript:OIV99857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLLPGHSEVLTDLIKCTQHKKLHKGRALHAHILKNGSFYTSIYLANTLITLYAKCGHFHKANLVFNSIPNKDVVSWNSLINAFSQHHPHRPTSSYFVMKLFRSMREHNTLPNSHTFAGVFASASNSFDICGGRQAHTVAIKTDSFGDVFVGSSLLNMYCKTGFVLEARKLFDRMPDRNTVSWATMISGYASQDMPNEAVELFEVLRREEEDENEFVFTSVISALTSPEFVDTGRQVHSLATKNGLLSIVSVGNALVTMYGKCGSLDDALRTFDLSGNKNSITWSAMVTGYAQSGDSDKSLKLFYNMHHSGVLPSEYTLVGVINACSDLCAIVEGKQMHGYALKLGYESQLFVLSALVDMYAKCGSIVDARQGFEYIQQPDVVLWTSIITGYAQNGDFEGALNLYCKMQMKGVIPNELTMASVLKACSSLAALDQGKQMHARIIKYGFNLGVPIGSALSAMYAKCGSLGDGYLIFWRMPTRDVISWNAMISGLSQNGRGNEALELFEEMCLEGTKPDHVTFVNLLSACSHMGLVERGWDYFKMMSDEYNIAPTVEHYACMVDILSRAGKLTEAKEFIESATVDHGLCLWRILLAACKNYRNYDLGAYAGEKLMELGSPESSAYVLLSSIYTALGKWDDVERVRRMMKNRGVTKEPGCSWIELKNLVHVFVVGDNMHPHIEEIRSELMSLTKLMKDEGYEPLSDLSPATIRVRDGLRGII >OIV99991 pep chromosome:LupAngTanjil_v1.0:LG12:18421213:18423168:1 gene:TanjilG_26329 transcript:OIV99991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSIALKSFIGLRQSSPETPHFSAQPKPITIHRKFKVLAGKYSPKIQGRNLRVAIVGGGPAGGAAAETLAKGGIETFLIERKLDNCKPCGGAIPLCMVGEFDLPLDIIDRRVTKMKMISPSNVAVDIGRTLKPHEYIGMVRREVLDNYLRERAKENGANIINGLFLKMDIPKDKESPYVLHYSGYDGKTGGVGEKRTLEVDAVIGADGANSRVAKAIDAGDYEYAIAFQERVKISDEKMVYYENLAEMYVGDDVSPDFYGWVFPKCDHVAVGTGTVTHKGDIKKFQLATRKRAEDKILGGKIIRVEAHPIPEHPRPRRLKGRVALVGDAAGYVTKCSGEGIYFAAKSGRMCAEAIVEGSENGKRLVDEGDLRKYLEKWDKTYWPTYKVLDILQKVFYRSNPAREAFVELCADEYVQKMTFDSYLYKTVVPGNPLEDLKLAINTIGSLVRASALRKEMNKLNV >OIW00988 pep chromosome:LupAngTanjil_v1.0:LG12:1094038:1098030:-1 gene:TanjilG_16237 transcript:OIW00988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDEGDYLFKLLLIGDSGVGKSCLLLRFADDSYIDSYISTIGVDFKIRTVEQDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIIVYDVTDEESFKNVKQWLSEIDRYASDNVNKLLVGNKSDLTANRAVSYDTAKEFADEIGIPFMETSAKDSTNVEQAFMAMSASIKNRMASQPSANNARPPTVQIKGQPVGQKSGCCSS >OIW00384 pep chromosome:LupAngTanjil_v1.0:LG12:12819452:12821886:-1 gene:TanjilG_05734 transcript:OIW00384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTLQLTGTATVTPPPPPPPPTAFVLLPNAPSLWVLSLKGIVSPSIYFARKMKNRTVQQACGVAFSCCILFMLITPRIPQPQKYHDFADKRQFFGIPNTLNVVSNFPFLVIGVIGLTLCQHRNYFKLSMQGEIWGWTCFYVSVIATGFGSSLYHLNPNHDRLVWDRLPMATAFASLIAILIIERVDAKKGTLSIIPLNMAVYTHSTYWLWASAFYPLAMLQETADKVIYACTFHSVSGHTLKHLSAAMVPVLLTIMLAKRSVDPGKLLHVCKVYLNTCSISQTKVEEDAFDLSCAGCEVSQ >OIW00387 pep chromosome:LupAngTanjil_v1.0:LG12:12836902:12838101:1 gene:TanjilG_05737 transcript:OIW00387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKAWGNIGAWAADSERAEAEEREAEVVVQTAGSQNFPSLKEAVNAKQPKKKKMTLSEFTKLAAGGSGGGSSEYRGLTPDEMLRLPTGPKERSAEEMQFSRGGFSSYGRSGGPSRDRDDNRDGSWGGGRRSYGGFDEEPRRGNNSRVLELDQPSRADEVDNWASVRKPLPSFDSGRQNRYGSNGGGPRGDGSFGGSPRGGGGFGGDPRGDGGFSGGPRGDVGFGGGSRADGVDNWAVGRKPLPARSSNFGSGFRDSGLEPDRWTRGTPLLQREEREGERERPRLVLDPRKSGDGSVNEAPVKTNKSNPFGVARPREEVLAEKGLDWKKLDSELAAKKPLSRPTSSHSSRPSSAQSGRSEGPGLLGAETVVKPRPKVNPFGDAKPREVLLVERGMGLEED >OIV99960 pep chromosome:LupAngTanjil_v1.0:LG12:18197735:18199223:-1 gene:TanjilG_26298 transcript:OIV99960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRISNTLVGALNILSLIIGLAAVGTSAYIHVHGGASTDCQKVLQYPLLFGGVFVVLVSTLAIVGSMCRVNVALYMYLFVTFLLIVWLVFFTIFALFVTNRKVGKNVSVKGNGEYKVTDFSHWLQRYVVNNKNWDEVKSCLMDAHVCQNLALNGGRNNESLIFKHLSTTQSGCCKPPAYCGYTMKNATFWEVPKTGPPANNSDCAIWNNKQDKLCYDCNSCKGGVLANIRNQWKHLTVFNACVLVLVTAIYILGCYAIRNNRLDSLGKHPRAPNP >OIW00101 pep chromosome:LupAngTanjil_v1.0:LG12:19026297:19028453:-1 gene:TanjilG_26438 transcript:OIW00101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLASASATEEKVKERSVSVVLLAGGKGKRMGANMPKQYLPLFGQPIALYSFHTFSTMLQVREIVVVCDPSYQDVFQDAKPDHHQVQLKFALPGKERQDSVYSGLQAIDSNSELVCIHDSARPLVLSADVEKVLKDGLLNGAAVLGVPVKATIKEANNESFVVRTLDRKRLWEMQTPQVIKPKLLRKGFELVNREGLEVTDDVSIIEHLKHPVYITEGSYTNIKVTTPDDMILAERILNINSGDTA >OIV99771 pep chromosome:LupAngTanjil_v1.0:LG12:16859539:16863252:-1 gene:TanjilG_26109 transcript:OIV99771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSGGCALQQTLTAEAASVLKHSLGLARRRGHAQVTPLHVAATLLSLRASSFRRGCLKSQPHQTSHPLQCRALELCFNVALNRLPTTPAPLIQNSHQPSFSNALIAALKRAQAHQRRGSIEQQQQQPLLTVRVELEQLIISILDDPSVSRVMREAGFSSTAVKNNIEDSSSSPSSVFQCYNTSGGVFSSPCSPSASETNPLRSFRQNHFLSAYTSEFNSQVLFSPPKKAPLYPYHTTGATTSSMKDDIKVVFDILSRKKKKNTVIVSDSVSLTEALVGEIMRRFQRSEVPDELKSTQFIKFQFAPVSMWYMKRDEVEMKVQELKRKVNSVASGGGGGGIFYIGDLKWIMEGSFSKKHQEGSLDGEVSGYNPVDHLVSEIGKLFCDFGTSNTKVWLMATASYQTYMRCQIKQSPLESQWNLQAVPVPSSGLSLSLHASSVLDSKMTISQNPSHMLETKLFSNKEQQDNLYCCEECTSNYEKEAQFLKTDQKKTLPFWLQSHDMEANQKDEFTKLKTKWNRLCHCLHQSQQHQNKGNSNWNGKIYPFNSSSSISFANNTYSSSHVPCFQRQRSCIEFNFSDNKQAAETVLDSVEGMAEGKEVKTTLALGNGGSGETVGDITNRTLRRAHICKLLQENVAWHSETVPSIAEVLIDSQSAKQSNITWLLMQGNDSIGKRRMALAVAESVFSSVDKFLHLDMQRKDTSIAPFSDLLAGALKIHQQLVVFIENIDFVDAQFKKLLAGGFETGNFGNLTRSADKLGQLIFILSHGGSTRSEEHNHDSVIKLLLQVSETKPNLEAPCLGLKRRAELDFFPNIKRPKIEEKEEALLVSEQGGKKKDFSRQSSFNTLDLNLKADEEDDKKYDNSPNSSDLTRETTADSLNSNFFLDSIENRFEFNTSPAKDKEMAELFLSKIKASFDEVYGKQCLVNFSVDERVIEEIYVGCGYFTNSLFEKWLRDIFQNSLQTVSFGGKESIVVRLTWGGKGDRKLDNGFVSSTLPKGIQVKYLME >OIW00689 pep chromosome:LupAngTanjil_v1.0:LG12:8482751:8485117:1 gene:TanjilG_09658 transcript:OIW00689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTKIRRRSEVEIGDEGEDLVLATLIANGDDLSPLVRHAFEMGRPEGLLRQLNYVVKKKETEIEEMCKMHYEEFILAVDELRGMLVDAEELKSELQSDNFKLQQVGTNLLVKLEELFESYSVKKNVTEAIKMTKNCIQVLELCVKCNNHISEGQFYPILKTVDLLEKSYMENIPARALKKVIDKRIPTIKSHVEKKVYSQVNEWMVHIRSSCKHIGQTAINHSASVRQRDKEMLERKRKADEQNIPVVEDQAYTLDVVEVDEDSAMKFDLTPLYRACHIHSCMGILDQFHEYYYKNRLLQLNSDLEVSSAQPFIESYQTYLAQIVGYFIVEDRVLRTAGGLLVADQVETMWETAVSKMTSVLDVQFSLMNSATHLLRVKDYVTLLGCTLRQYGYEIGPLLDVLDNSRDKYHLLLLGECRKQTVDVLGSDSYEQMVIKKDTDYENIVLALSLQTSDIMPAFPYVAPFSSMVPDVCHIVRSFIKGSVDYLSYGVRTGYFDVVRKYLDKFLIEVVNETLLDTINSGNISVSQAMQISANIAFLERACDFFIRHAAQQCGIPVRSAEKPQASLTAKVLLNTSMDAAYITLLNLVNTKINEYLTLTESVNWISEETKQNGNDYMNEVIFYLDSLMSTAQQILPLDAMYKVGTGALEHISDTIVAAFLSDSIKRFNANAVISINSDLKMLENFADDRFYSAGLEEIYKKDFKSCLKEARQLINLLSSSQPENFMNPVIREKNYYVLDYKKVATICDKFKDSADGIFGSLSNKNTKQSAKKKSMDVLKKRLKDFN >OIW00074 pep chromosome:LupAngTanjil_v1.0:LG12:18904614:18908749:-1 gene:TanjilG_26411 transcript:OIW00074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVAVPNPGKSNRNVNDKLEFSRCSKPSGTSKRKAENVQTYGNKKLSASNMKRKFEDDDYLIDNSEEDEEMHFLLKTKSRSRARTTDNIMGVGKNPRLCHQCKKERTAFVPCTKCPKMYCMRCINQWYPDMTIEDIAESCPFCCKICNCNGCLHLRGLIKTSNGDITDYEKIQHLKYMVKLLLPFVKQICHEQSQEEEIEAKIQGKLCCEVEIRQSLCGDNERVYCDHCATSIIDLHRSCPKCSYELCLSCCQEIRNGIIAPRTEMKFQYVNRGYDYMHGGDPLPVSCDLGTSEGPIELSTKWTAKRNGSVSCPPEEEGGCGSSLLELKRILPHGWISNLEAKAHSMLKSWETEHTTLWQKEAALGYNSMRCAASREGTNDNNIYCPESKDILKDGLLLFQKHWTNGKPVIVRDALNQGTGLSWEPMVMWRVLCENAVSEISSKMSEVKAIDCLAGCEVEINTHKFFKGYTEGRTYRNLWPEMLKLKDWPPSDKFEDLLPRHCDEFIRFLPFQEYTDPRAGIFNLAVKLPAHVLKPDMGPKTYIAYGIKEELGRGDSVTKLHCDMSDAVNILTHTAEVIFSDERQAVISTLKEAHKAQDEKECLSQERVAECLNGLPFKDKVIDNNGKMFPNDVSEVATFPAAISTKNETNETGGALWDIFRREDVGKLETYLRKHTKEFRHTYCSPVQQVFHPIHDQCFYLTMEHKKKLKEEFGVEPWTFEQKLGEAVFIPAGCPHQVRNLKSCTKVAVDFVSPENVHECLRLTNEFRQLPKNHKAREDKLEIKKMIVYAVDQALKDLEALAKCS >OIW01029 pep chromosome:LupAngTanjil_v1.0:LG12:191509:193444:1 gene:TanjilG_14212 transcript:OIW01029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSGSGICAKRVVVDARHHMLGRLASIVAKELLNGQKVVIVRSEEICISGGLVRQKMKYLRFLRKRMNTKPSHGPIHFRAPSKIFWRTVRGMIPHKTKRGEHALARLKVYEGIPPPFDKQKRLVVPDALKVLRLQKGHKYCLLGQLSSEVGWNYYDTIKELEKKRKERSQVVYERKKQLNKLRVKAEQVAQEKLGSQLHILAPVKY >OIW00126 pep chromosome:LupAngTanjil_v1.0:LG12:15824658:15824858:1 gene:TanjilG_29116 transcript:OIW00126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPQPNLTNIGPDKDKLYGPQKGFRNSSQPQNLCQYKYYPTYLTAKVIQIKLEDFKCNEAASIFIA >OIW00147 pep chromosome:LupAngTanjil_v1.0:LG12:15945766:15953187:-1 gene:TanjilG_29137 transcript:OIW00147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENRHNNIEGVSSMDVDEEELKGKEEEQDPFLEFVEYARSELLLLVDDLNGDVSGPGWSWIVSRILKTCTAYSSGVTPAILLAELAQAWCEQRRLGAPKKRLELINQMKKNHRRTKLPNTVTIDSIYEKNFLSLNSVLEALIIDAFVLPGTNIHMLTLGDYWSSNIIDLYLHRRFYDSANLQSGILNKGREVLLTGCYLRTAAEGSGYPRLLPTEYLVIVLDENQDDDAMLLGAQFFSDSFSSISLDAVNKGAYYSLYARIESIESAETQGRSGILQSKQVTLVDDDSVRLKFLLWGEQIILANLFRVGSMLALDKPYIESSVECDTDTSEEFCLEYGSATQLYLVPCIQQEEQVCVTLTPNHHQSSRPLSLYGPSQSLRFSQVSLPCDSHGTIDFSNYPLRSFVADLRNKMTSISLYGVVTDIIKEDNNPETVFSLRIADTSGVIWAKLHFARFWSLGRLSLGHTVFISGLSCTTSKQKRLEVSWSENGVGASFINLSCLPALINSSCLHKLSQLSDISNQTSYAQVCQVWLDPMEYYYVNTRFSHSLCGHFVKKMPSGFLECSFCLVNCDVEIVRTFHLKITLADKSTKVLAWCTGQTAMDLLQISPEEFCELPEEEQVMYPSSLENERFMVSLVNCKWDGRVTDDLLPEDLILWEITRACKCE >OIW00929 pep chromosome:LupAngTanjil_v1.0:LG12:1575779:1580345:-1 gene:TanjilG_10007 transcript:OIW00929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHHHHPLKDKRNVEKSVEFHDHNSRLIYINDPNKTNANYNFTGNQIRTSRYTLLTFFPTNLFYQFHRLAYLYFLVICVLNQLPPLAVFGRTASLFPLLIVLSVTAIKDAYEDLRRHRSDRNENNRQAHVLNSSHFQFKAWQNIQAGDVVQIYADDMIPADIVLLGTSNQTGIAYIQTMNLDGESNLKTRYARHETASVISQQHCPVSGVIRCEHPNRNIYEFTANMEFNGHKFPLNHSYIVLRGCILKNTDWIVGVVVYAGQETKAMLNSAASPSKRSKLESYMNRETFFLSGFLFVMCSVVALGMGLWLLHHKDELDTLPYYRKRYMNNGPDYGKMYKYYGIPMETFFSFLSSIIVFQIMIPISLYITMELVRLGQSYFMIEDKDMYDASSGSRFQCRSLNINEDLGQIRYVFSDKTGTLTENKMEFQRASIHGKSYGSSLLMADNKNTASTTSGKKRWKLESEIPVDSELMTLLQKDSNGDERVAAHEFFLTLAACNTVVPILSGSKFSSCRASESNEDVESIDYQGESPDEQALVSAAAAYGYTLFERTSGHIVIDANGDKLRLDVLGLHEFDSVRKRMSIVVRFPNNVVKVLVKGADTPIFSILENINASNNSIQHATQTHLSEYSSEGLRTLVVASRDLSDVELDEWQSMYQVASTSLTDRTAKFRRTAALVECNLKLLGATAIEDRLQEGVPETIESLRQAGIKVWVLTGDKQETAISIGLSCKLLCADMHQIIINGKSEAECKNLLADAKAKYGVKSSSGGGHQNMKHKTNTRHNGHDIKNDTKSLSTPKWNPIKEEESNASLALIIDGTSLVYILEKELELELFDLATSCRVVLCCRVAPLQKAGIVDLIKSHTDDMTLAIGDGANDVSMIQTADVGIGICGQEGRQAVMASDFSMGQFRFLKKLLLVHGHWNYQRIGYLVLYNFYRNAVFVLMLFWYILCTAFSTTSALTDWSSVLYSVIYTSIPTVIVGTLDKDLSHSTLFEYPKLYGAGHRHEAYNLQLFWITMVDMLWQSLVLYYVPIFTYKDSSIDIWSMGSLWTISVVILVNVHLAMDIKNWVLIIHVAVWGSITIAYGCMVVLDSIPIFPNYWTIYHLARSPTYWITILLITIVALLPRFICKAVKQTFWPSDIEIAREAEAMRSKQKDDLQ >OIW00952 pep chromosome:LupAngTanjil_v1.0:LG12:1963735:1964130:-1 gene:TanjilG_10030 transcript:OIW00952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEADNTHDQDTEGKHDQGRHKVGTYHMHHIGIGGTYGQNIDSSKCGLGKPNLTMAHKDKVVHHGAPNLTVAHKDKAAGHGAPNLTVAHKEKAMGHGAPYPFVMQKNKVMGHNASELILTHMCRAMGHKIP >OIW00027 pep chromosome:LupAngTanjil_v1.0:LG12:18623334:18623897:-1 gene:TanjilG_26364 transcript:OIW00027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPLDEKETTAVFEKLFKFVGNNLKNIVDNPSHEGPDSTPGRYCFRLHKNKIFYTSDSIVKRATNISRTNLVSVGTCIGKYTHGGSFHLTVQALSLLASNAKHKVWLKPQSEMSFLYGNHVLKSAIGRITENIAPGDGVVVFSMSDVPLGFGIAAKSTQDCRKLDPNAIVVLHQGDLGEYLRMEDEL >OIW00899 pep chromosome:LupAngTanjil_v1.0:LG12:2825973:2827100:-1 gene:TanjilG_19840 transcript:OIW00899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNYRFKLSDMIPNAWFYKLREMGRTRNQNTISSKKKKQSLLASTTQNSSKPKQPHQCNPRKSYYFTRELEPTSNKINTSPSNTPKFSPDSPRKSFKQRTRKRTVTKTYSPKLVNSSVSSGCNCRTTLDSVWSKPDSPYSSSQFDSSTESEFPDPEFRTDRVLLPIEPSFDEMVSLSTNSCSCNQNNNNNNNNKNSDIVINVDKNSLPRRKDGHEFGSFSELDLPPIITKEIKPREQSNMKVPMKVKIVKEDTSSIKEQRNANVRRFSLSSPKVKVRVNSPRIGTRKVQLLGRKSVSNSGSRRKLSDSFAIVKSSFNPQKDFRESMMEMIVENNLRASKDLEDLLACYLSLNSDEYHDLIVKVFKQIWFDLTETR >OIW00846 pep chromosome:LupAngTanjil_v1.0:LG12:5024984:5025448:-1 gene:TanjilG_12250 transcript:OIW00846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFIGYHLTGAYKLYNPIEDKVQISRDVIVLENESWNWKEMTTSLRRLIPEMSDEDIVSERENVMTCDSDAIISQRSQRVRTLPNRFSDYELLHDSQIDEEGDLIHLALMVEAEPISEEEALQDSVWKKAVAEELRSIEKNQIWNLIDLPEGKH >OIW00853 pep chromosome:LupAngTanjil_v1.0:LG12:4226129:4227061:-1 gene:TanjilG_12794 transcript:OIW00853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANDGSEEFKLVSPSISNEGRLPRQYTDEGQGAKKNISPPLEWYNLPQGTKTLALVVEDIDAPDPDGPIVPWTQWVMVNIPPSAKKLPEGFSGKEDMGEEYAGLKEGNNDLKVPGWCAPKLPTHGHRVQFKLFALDYEVNLGNKVTKEKLLDSIEGHVLGEAILIAKY >OIW00688 pep chromosome:LupAngTanjil_v1.0:LG12:8408678:8411541:-1 gene:TanjilG_09657 transcript:OIW00688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPILMKGHERPLTFLKYNNDGDLLFSCAKDHNPTVWFADNGERLGTYRGHNGAVWCCDVSRDSGRLITGSADQTVKLWNVQTGQQLFTFNFDSPARSVDFSVGDKLAVITSDPFMELTSAIHVKRIARDPADQTGESVLVLKGPQGRINRAVWGPLNRTIISGGEDAVIRIWDAETGKLLQESDKESGHKKTITSLVKSADGSHFLTGSLDKSARLWDTRTLTLIKTYVTERPVNAVAMSPLLDHVVLGGGQDASAVTTTDHRAGKFEAKFYDKILQEEIGGVKGHFGPINALAFNPDGKSFSSGGEDGYVRLHHFDQDYFNIKL >OIW00097 pep chromosome:LupAngTanjil_v1.0:LG12:19007804:19009817:1 gene:TanjilG_26434 transcript:OIW00097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFMKLGARPDTFYTEQATRTLISDIPADLVIKINDVTYMLHKFLLLPKCGLLQRLCYDSSDSECVTLDLHDIPGGGDAFELCAKFCYGISINISSHNYVLALCAAKFLRMKDSVEKGNFVGKLESFFNSCILEGWKDSMATLQTTATLPEWSENLGIVRKCIDSIIEKILTPPPQVKWSYTYTRPGYTKKQHHSVPRDWWTEDVSDLDIDLFRCIIMAIRSTYVLPPQLIGEALHVYACRWLPGITKLKSSSSSASSQKDESKVRNQKILETVVSMIPADRGSVSIGFLFRLLSISIHVGASSVTKTELIRRASLQFEEATVSDLLYPNSTSSSDQNYYDIELVQAVLETFLKVWKRMSPGAVDNSYFLRSVRNVGKLIDSYLQVVARDDNMPVSKFVSLAETVPGIARVEHDELYHAISIYLKMHPELRKEEKKRVCGILECQKLSQEVRGRAVKNELLPLRTVVQVLYFEQEVKGSRESSRTQKPHEIVVRDSNKEDKEEMNNQKSKRRSDGNKLAERRRDTVEETQSDTNLEFHPNKLNQIIPIKKADR >OIW00009 pep chromosome:LupAngTanjil_v1.0:LG12:18523242:18527833:1 gene:TanjilG_26346 transcript:OIW00009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGDISAKAAHMLSLPKVSDFFTRLIQRVSPKSYQQAYGEVYVTQKFLENFCGDQVRFLARSFAVPGNHLGQQSHGFRWPYGPVAIITPFNFPLEIPVLQLMGALYMGNKPVLKVDSKVSIVMEQMLRLLHNCGLPVEDVDFINSDGKTMNKLLQEANPRMTLFTGSSRVAEKLAGDLKGKIKLEDAGFDWKILGPDVQQEDYIAWVCDQDAYACSGQKCSAQSLLFIHENWSQTSLISKLKDLAERRKLEDLTVGPVLTFTTDSMLEHVNKLLEIPGSKLLFGGQPLKDHSIPSIYGAIKPTAVYVPLEEIVKGKNYELVTKEIFGPFQIVTDYKSSQLPIVLDALERMHAHLTAAVVSNDPLFLQARFYVTNQVIGNTVNGTTYAGLRARTTGAPQNHWFGPAGDPRGAGIGTPEAIKLVWSCHREIIYDVGPVPNNWEIPPST >OIW00596 pep chromosome:LupAngTanjil_v1.0:LG12:11517992:11518201:1 gene:TanjilG_14822 transcript:OIW00596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNGYGISGSGINKGSSNEGSNRKKLLMSRGMAMENEKEDINKMAETFIKIFHKQLKIEREKSSKGLLI >OIV99939 pep chromosome:LupAngTanjil_v1.0:LG12:18055332:18056864:-1 gene:TanjilG_26277 transcript:OIV99939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADARLDTPLMPPSLRNLPDFKKSIKLKYVKLGYHYLITHGMYLFLSPIVVLTFAQISTFSLQDLYDLWEHLQYNLVSAILCSALLVFLSTLYFLTRPRPVYLVNFSCYKPEESHKCTKRIFMDHSRMAGSFSNENLEFQKKILERSGLGDSTYLPEAVLNIPPNPSMKEARKEAEAVMFGAIDELFMKTCVKPKDIGILIVNCSLFNPTPSLSAMIVNHYKLRGNIKSYNLGGMGCSAGLISIDLAKDLLQAHPNSYALVISMENITLNWYFGNDRSKLVSNCLFRMGGAAVLLSNKSCDRRRSKYRLITTVRTNKAADDKCFTCVTQEEDANGKIGVTLSKDLMAVAGDALKTNITTLGPLVLPTSEQLLFFATLVGKKLFKMKIKPYIPDFKLAFEHFCIHAGGRAVLDELEKNLQLSSWHMEPSRMTLYRFGNTSSSSLWYELAYTEAKGRIKKGDRTWQIAFGSGFKCNSAVWKALKTINPAKEKSPWMDEIHEFPVDVPRVSAI >OIV99954 pep chromosome:LupAngTanjil_v1.0:LG12:18164428:18171697:1 gene:TanjilG_26292 transcript:OIV99954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIKEKEVIYSVIQGFVGKWWNGSDLYPDPCGWTPIQGVSCEQYDDGFLYVTAINLGPVFDNSLTCSHDAKFPEQIFKLKHLKVLSLYSCFLSPSQNPAKLPFSNWDNFSDSLESLTLRSNPGLVGTIPSKFGSLRNLQSLVLLENGLTGKLPPSIGNLVKLRQLVLAGNDLVGEVPFNFGRFSELLIFDASRNNLSGALPSTFGILDSLLKLDLSNNMFEGELPRELGRLKNLTLLDISHNKLRVNCTEFEVGGKNGWVIPKSKEHDQMYNHWASQNRFRIGDTVVFKYKKDSVMGVTEEEYETCKSSYPLFFSNNGNTVCKFVRPGLFYFISGVSGHCDRGQKVIIKVLDIMPATSPQSANDTATKPHHKDSLESLTLRSNPGLVGTIPSKFGSLRNLQSLVLLENGLTGKLPPSIGNLVKLRQLVLAGNDLVVNCTEFEVGGKNGWVIPKSKEHDQMYNHWASQNRFRIGDTVVFKYKKDSVMGVTEEEYETCKSSYPLFFSNNGNTVCKFVRPGLFYFISGVSGHCDRGQKVIIKVLDIMPATSPQSANDTATKPHHKGGAAEITPMSLTTLAPFVISFLGMLFA >OIW01032 pep chromosome:LupAngTanjil_v1.0:LG12:204731:207055:-1 gene:TanjilG_14215 transcript:OIW01032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSLMMNPSIAPSHPQLQVPHIRLCKNIRELKQVHAFFIKTAQTHNPNVATELLKLAATSDFRDTDYALSLFDQMPEPNCFAWNTLIRSYSESKDRPIDALLLFCKMVSDGIVEPNGFTFPSVLKACSVVARLEEGKQVHGLVVKFGLGDDEFVVTNLLRMYVMCGEMEDAHVLFYRNVNGIDDMKRMDTTMEIQSYPWYPSS >OIV99807 pep chromosome:LupAngTanjil_v1.0:LG12:17152010:17157639:-1 gene:TanjilG_26145 transcript:OIV99807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRPTRDPNSNSMGKRSLEGGGEDDQPERKRPGLASVIVEALKVDSLQKLCSSLEPILRRVVSEEVERALAKLGPARLSGRSSPKMIEGPDGRNLRLHFRSRLSLPLFTGGKVEGEQGAPVHVVLIDTNTGNIVISGPEACMKLDVVVLEGDFNNEDDEDWTQEEFESHVVKEREGKRPLLTGELQVTLKEGIGTLGELTFTDNSSWIRSRKFRLGLKVASGFCESIRIREAKTEAFIVKDHRGELYKKHYPPALTDEVWRLEKIGKDGSFHKKLNYAGICTVEQFLRLVVKDSQKLRNILGSGMSNKMWEALLDHAKTCVLTGKLYVYYPEDTRNIGVIFNNIYELRGLIAGEQFFSADSLTDSQKVYVDSLVKKAYENWDQVIEYDGKSLVSVGENNVVADSELQIELIDYTSGFDHQLHLPVLPACVPSEDQMSSGMSVGGYNDHMVTRYPGQSLIESSSAQNQFDSSLYLSNDQLINNTQHSQPTRNGRGAIGLALGPLQPSTSGFLAGSSSIQPSTTNPFDDWSHNRDKGVNEFFSEDEIRLRSNEMLENEDMQHLLRLLSMGGNSSMNAEDGYSFQSFMPSPMPNFDEDRSRPGRAVVGWLKIKAAMRWGFFIRKIAAEKRAQIEELDE >OIW00337 pep chromosome:LupAngTanjil_v1.0:LG12:15524993:15525733:1 gene:TanjilG_27588 transcript:OIW00337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSRAFTIFVLLLIAPFPQFQGQATTILHFKSFSPFSTALETLQKQLGYSFKNIGLLRRAVTHASFSEENNKALSILGANVIEASVSFRSLSKDIDVSSKELNHQLSQISNVESSCAVDGMRLALHKVVRVSPKTNSSVPAVVCSAFRAIFGAVAIDDGKSDAAGDIFWTFHRGRELGNVLPL >OIW00979 pep chromosome:LupAngTanjil_v1.0:LG12:987438:988382:-1 gene:TanjilG_16228 transcript:OIW00979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSPTDPSPPFAVPLLPPPPSSRRLPPPCWSPEETSSLIDSYRQKWYSLGRSNLKATHWQEVADAVTASCPNVSPPKTAVQCRHKMEKLRKRYRTEIQRARNLPVKKFNSAWLHFNLMDSMEKGPSPAKSDFVKVDDDDDEDDDEDHDLIHANSLYKLRRNGIGSSGGGGGGGGGDGWRGEGAFRIRIPSGVSVAKPDSRFYPKFSDEKRNPNYGFGGKGVKEGSGLGKREREKDPVEEMVNAVKVLAEGFMRTEQMKMEMAREIERMRMEREMKRTKMILESQHRIVEAFANAVAEQKKKTNQVPSSPES >OIW00871 pep chromosome:LupAngTanjil_v1.0:LG12:3695329:3695877:-1 gene:TanjilG_12812 transcript:OIW00871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSQFLITLLLLSAYTLTSATEFGRELKDLKKKEHLTHFKFYWHEVIGGNNDTAAQIIPSIPKYKSTTSFGGLRVIDTPLTLGPKLSSKLVGRAEGLYAATSQTQLELLMIQTFNFFEGKYNGSAINVLGRNVALNKTRELPVVGGSGVFRFAKGYAELSTYSFDPKSGSSVVEYNLYVLHY >OIW00509 pep chromosome:LupAngTanjil_v1.0:LG12:11826024:11828308:1 gene:TanjilG_24239 transcript:OIW00509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDERKLDINAPLMSVRHSYATSQSLTKSKRKILEKQHHLQCNKSDMTLDQVTEPVAVPFNWEHIPGRCKGISVSESQPLKEPSVTLTPSLPPGKFTNLAKVPFEKGCNVARKFRSSSCLSGSVIRIDCDKEKQGEKIRPSVEEDDGDDDDDDDDYSDALETLSPTESFSMNCSVSGLDDLYANNCGAFSSDKKTQDLMMSRFLPAAKAMTIQPLQYSSRKQSVQVEQLRDVTKLVREEKKSFVDKPITDIIPYIGQFQEEEDNSDDETDDYEDSANISSKGCGLFSRFRASNSLCLLNQVPEMKMRNQFSSTNEVGKSNKSSYIRSYSPAPAIKKAWDAINKSKSSSGDASSDMQEARKKWTSGSNRFVYSSELKHSSFRRSTAAGSSFRSIHQPPSHGARLGDSKQSGKLKFPSQGHSSIQEVQSQGTKKSSNSRSLAIEKTLYIDSESTLKSSFSKSTSVDNTKRINTMVAALDKRDRKDIKSFQDMKQVQSVEENLDSEVLSSSETNSLTLSSMLHLKAKEDKAERLSTDQGSFDEDKNTDNLQMVVYDDSGKVLPPPLPKSPSESWLCHTLPLVSSKNSKLHSSQVSQFHAKRQGSNASSRYTKWETVVKASNLHHDHVRSSQVITFGIVD >OIW00011 pep chromosome:LupAngTanjil_v1.0:LG12:18531999:18541517:1 gene:TanjilG_26348 transcript:OIW00011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKMEKVMSNSSAAFELEHEQLSEPSPTPLPRKAGGWRSIKYIIGNESFEKLASMSLISNLTVYLLTNYNLSGIFVVQDPPLWIYCITFGNESFEKLASMSLISNLTVYLLTNYNLSGIFVVNVVQIWNGSSNIASIIGAFISDTYLGRFRTLLCGSIASLLGILTMTLTAGIHQLRPSTCKDTLHCQLPQGWQLGVLFSGLGLLSVGAGGIRPCNIAFGADQFDTNTEKGRVQLESFFNWWYFTFTIALVIALTGVVYIQTNISWILGFAIPTMCLAFSITIFLLGRHTYLCKKPQGSIFSDMTKVITAACRKRKLQVSEVTFYDPAPTLNNSPELENNKRLAHTDRFKFLDKAAIIADPSELDNHGMARNAWRLCSLQQVEQFKCLLGILPVWVTGICCFIVMDQQNTFGVLQVVQTNRSIGSHFKVPPGWMNLISMISLSFWIYIYECIYIPLSKRITKKAKRLTLSERIKIGILLSILCMLVAAVVERKRRDSALRHGTLISPLSFVMLLPQFALSGLSEAFAAVSIMEFFTLQMPESMRTVAGAVFFLSLSIANYIGSLIVNVVHKATSHRGKTPWLGGHDLNKNRLDYYYYIIVALGAFNFVYFNFFASHYLTNNKSTETTENSTAREASQPNDEEKNNEKVSVEDNENSKINYKGWKVMPFIIGNETFEKLGAIGTLANLLVYLTTVFNLNSITATNIINIFNGSTNLATLLGAFLCDTYFGRYITLGFCTVASFLGLLVICLTAAIKSMHPPHCGRESITCTGPSVGQMAFLLLGFGFILIGAAGIRPCNLAFGADQFNPNTESGKKGIDSFFNWYFFTYTFAQMVSLTLIVYIQSNVSWALGLGIPAALMLFSSLVYFMGSKSYVKVKATGSPVTSIVQVIVVAVKKRKLNLPEYPLDELFTYMSPQSINSKLPYTYQFRFLDKAAIITPEDHLKSDGSACDPWNLCNMQQVEEVKCLLRVIPIWVSGIFYFVAVVQQNTMLVFQAFQSDRTLFNSNFKIPAASYNIFAMLSLSIWLPIYDRLIVPSLQRVTKKEGGITILQRIGIGIFISVICMLISGVVEKHRRTMALTKPIGIEPRKGAISSMSGLWLIPQLAIVGLADAFTLVGQVEFYYKQFPENMRSLAGSLFLCGLAGSSYLSSFLISIIHHATEKSATGNWLPQDLNKGRLEYFYYIITGLEVINLGYFILCAKWYKYKGVDTGCSSSIIELDQVSKQSETTV >OIV99864 pep chromosome:LupAngTanjil_v1.0:LG12:17556317:17557555:1 gene:TanjilG_26202 transcript:OIV99864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLNIMQLLSLALVLTASTSVTASASASASAVKAIYWMDQPLFPASSINTSLFTHVYYAFLAPNATTFKLYVSNSTNTTLTNFITTFRTRIPTVTTILSIGTSNATSFSLIFSNITTRATFINSTITVARAYGFDGVDLDWEFPQNSDDTNNLASLFQEWRVAITTNAAITGKPPLLLTAAVYFAVYFSISDTPWTYPVTSINHNVDWVNVMSYNFHGSWNNDTGSPSGLFNPTRNISVVDGLKSWIGAGVKPEKLVMGMPLYGMTWQLQDPNVNGIGAPVIGPGPGSNGAMAYFQVIDFNKQKNAKVIYDVDTMSVYSYSGSYWIGYDDPLTVTAKVGYAQALSLRGYFFWAAGYDTNDWKISTQASKAWILT >OIW00383 pep chromosome:LupAngTanjil_v1.0:LG12:12815839:12817977:1 gene:TanjilG_05733 transcript:OIW00383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGGEEGDDSAGAGRRRTYSRSVSWTDRAPASRKPPNKPRSLLPSLQPLSINKRSVQEWPSAGSDDLGVWPLPQTPRGSIRSTEPGAMKEFQFKREKLAFYDKECSRIAEHVYLGSDTVAKNHELLRQNGITHVLNCVGFVCPEYFKSDFVYKTLWLQDSPTEDITSILYDVFDYFEDVREQGGRVLVHCCQGVSRSTSLVIAYIMWRESQSFEDAFQYVKNARGVTNPNMGFACQLLQCQKRVHAMPASPNSILRMYRVAPHSPYDPLHLVPKMVNQPCAQALDSRGAFIIHVPSAIYVWTGKNCNCVMSCNAKSAADQVIRYERAKGPVLMIHEDEEPPDFWIALSNEQLLSGTCDKAEVEKDAALASSEGMEIDDTVDKQIRPRKVNEYDLDFEIFHKALAGGVVPPFSVSNAESETCLPAREHGWGRLRRKLASGLMKGLFPTCKNTTSSKDESSVIMEEEEEKQHSVVDPSSPSSNHPCGSPDSFECFPDNSSDRAKDTLEVMDHFVPSVDLSLPPSPGGMSDSYACFLSNSPKLSSKSPTLSPSSSDYASSFTFSPSSTNWSSRLPSPSSLESTEAFYGKDASFSDGFFSLQKEVISPPSGASLANHTLGGANFYFPSKESSSPSIAERRGSNLPPRMVLPSVNESPRAHKVLVRSQSFSLPDLDDNLNNDVSFKQSDKDSGKWLKTDADIIPIAVESESNDRGSL >OIV99725 pep chromosome:LupAngTanjil_v1.0:LG12:16526089:16527868:-1 gene:TanjilG_26063 transcript:OIV99725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVQGAQLQKHIDATLGSGNLREAVKLPPGEDINEWLAVNTVDFFNQVNILYGTLTEFCTPTDCPMMTAGPKYEYRWADGVTIKKPIEVSAPKYVELLLDWIETQLDDETIFPQKLGSPFPPNFREVVKTILKRLFRVYAHIYHSHFQKITSLKEEAHLNTCFKHFVLFTWEFRLIEKGELAPVQELVESIIQF >OIV99821 pep chromosome:LupAngTanjil_v1.0:LG12:17262168:17265781:1 gene:TanjilG_26159 transcript:OIV99821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAGLSTIQQTLTPEAASVLDNSIAEAGRRNHGQTTPLHVAATLLSSSSGYLRQACIKSHPNSSHPLQCRALELCFSVALERLPTSQNTSPSTEPQISNALMAALKRAQAHQRRGYPEQQQQPLLAVKVELEQLIISILDDPSVSRVMREASFSSPAVKATIEQSLNSAPSNSNSIGLGFRPAAMTMLAAQPPGRSLYLNPRLQQVSGCAGAGAGSGQLGQAQRGEEVKKIVDILLRSKKRNPILVGESQPEAAIREVLRKIENKELGEAGFSFSNAHVIHLEKEIPTDRIQVPARLKELGDLIEAWVGNSGVFVNLGDLKWLVEQPVGFGVGNLGNKQQLSAVEAGRSAVAEVATLVAKFGESGAGRLWLLGTATCETYLRCQVYHPSMENDWDLQAVPITTRIPMPGMFPRLGNNGIIGTSLESLSPLKAFSTTAITPPRHASENIAPTEESTCCPQCVRNCEKEVAEMLKENDKSDSESKSEAACPPLPQWLQVAKTNNDNAKVKDQPQSKSQEDVKRRTQELQKKWHDTCLNLHPKFHQQNVSLERLTSTPFNMTGLYNMSLTGHQFQSKIPLNKNLDTSLHLTSNSMPIQLPLEPVISVRQNPVTTELVLGQTKPADNIPEETQEQPINDLLGCLSQQKDKFDELQNKKLLDADSFKKLLKGLTEKVWWQHDAASAVATTVTQCKVGNGKRRQLGTKGDMWLLFLGPDRIGKKKMAAAVADLINGSSPTIISLAQWNGDGDSDVPHIRGKTVLDRITEAIRRNPHSIIVLEDIDEANILIRGSIKRAMEQGRFPDSHGREISLGNVIFILTANWLPEDLRHLSNGNSLDEEKVANLAKGGWQLRLSVAKKASKRRPGWLSGEDRSVKPRKEMTSGLSFDLNEAADANEEDKADGSLNSSDLTVDHEDNHVIHNDGSPSIIPRDLLDSVDDAIVFKPLNFDFIRRNFATTITKRFSTVVGDGISIEVKEEALDKIASGVWLGQTSIDEWMERVLVPSFHQLKTNFNSNTNQIESSMVVRLEDDGYSDHRSPEEWLPATVRVVAEEY >OIW00345 pep chromosome:LupAngTanjil_v1.0:LG12:15590974:15591288:-1 gene:TanjilG_27596 transcript:OIW00345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMARTTLDFSSTFQRHDPTAIIIPSPFSFTLLHKHHHYPFNAPTTSYYSFITNIIIHQLFSHNHLHLHHKNHFSHRTQAQEEDEDNKDKEGKDAGFLVLMRKP >OIW00095 pep chromosome:LupAngTanjil_v1.0:LG12:18996272:18998359:1 gene:TanjilG_26432 transcript:OIW00095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRSSDDVTNTSASKPVFLTKAQREELALQRRQLQIADQKRRHDDILSTTDLKPSSSDRRERDRDRDRDRDRHRDRDRDRERERERERERERERDRARDKAREDERRNRMEKLAEREREKELDAIKEQYLGSKKPKKRVIKPSEKFRFSFDWENTEDTSRDMNVLYQNPHEAQPLFGRGFRAGMDRREQKKLAAKNEKEMRDQLRKKDGIEEKPEEADAQRRKEAAADLYDTFDMRVDRHWSEKKLDEMTERDWRIFREDYNISYKGSRIPRPMRSWIESKLSLELLKAVDKAGYKTPSPIQMAAIPLGLQQRDVIGIAETGSGKTAAFVLPMLAYISKLPPMSEEIEAEGPYAVVMAPTRELAQQIEDETVKFAQYLGFKVVSIVGGQSIEEQGFKIRQGCEIVIATPGRLIDCLERRYCVLNQCNYVVLDEADRMIDMGFEPQVMGVLDAMPSSNLKPENEDEELDEKKIYRTTYMFSATMPPAVERLARKYLRNPVVVTIGTAGKATDLISQHVIMVKESEKIHKLHRLLDELNDKTAIVFVNTKKNADMVAKNLDKDGYRVTTLHGGKSQEQREISLEGFRTKRYNVLVATDVAGRGIDIPDVAHVINYDMPGNIEMYTHRIGRTGRAGKTGVATTFLTLHDTDVFYDLKQMLIQSNSPVPPELARHEASKFKPGSIPDRPPRRNDTVFAH >OIW00995 pep chromosome:LupAngTanjil_v1.0:LG12:1231348:1232127:-1 gene:TanjilG_16244 transcript:OIW00995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEYGSPIFFSQLSNSSDDDSFNPNGSNHVCTVGANGWSNCPHKRPRGRPPGSKNKPKPPLVITQDSEESLKPVIIEIATGLDVVKVVENFARRRQVCISVISGSGSISDVTIRNPLPHSPSFTIHGPFTLLALTGTFVCGPSSFPSNPNHHFPMNTNSFGISLLGSQGEIFGGVIDGKVVAGSNVTIMATVFRKPEFHKVGFNGNDDGGVVEKDHIHNGNNGRVNINMSDLLNPQVPSVEDDVMQWGRMYSSTFSGNY >OIW00847 pep chromosome:LupAngTanjil_v1.0:LG12:5028482:5028819:-1 gene:TanjilG_12251 transcript:OIW00847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLVHRGVCKEWGIGLEPPNLGGQTRDRDYRWDAAWPREAASPRLRQLERFLVCLRRSLWLFSMVVMEDKDGCSSFAALVKASKASGL >OIW00142 pep chromosome:LupAngTanjil_v1.0:LG12:15914791:15915091:1 gene:TanjilG_29132 transcript:OIW00142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSKNHTAHNQSYKAHKNGIKKPKRHRHTSTKGMDPKFLRNQRYARKHNKKDGEAISEEE >OIW00475 pep chromosome:LupAngTanjil_v1.0:LG12:13735321:13738884:-1 gene:TanjilG_05825 transcript:OIW00475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVEVDTKNATVDKVVCYAPNMITSNGIWQGDSPLDYALPLFILQVTLVVVATRIFVILLKPIRQPRVIAEILGGLILGPSVLGRSKTFANAVFPLRSVMVIETMANVGLLYFLFMVGIGMDLTGITRVGKKAVSIAIAGMVLPFIVGVLSAFFFVRHNDTKNIPYMLFLGVVLSVTAFPVLARILTELKLSNSEMGKVALSSALVNDVCSWIMVAISIALVENEKPTLASGMVILSTVAFIAFYAFAVKPLISWIIRKTPEGEDFSDLYICLILAGVMVSGFITDALGTHSVVGGFVFGLIIPHGPLGVALVEKIEDFMSGLLLPLFFAISGLNTNLGLIKGLKTWISVILIVIMACIGKIAGTILAAVSYEMSVNESAALGLLMNSKGLVEMIVLNVGRDTKILDAESFAIMVMITILMTGIIVPGLSVIYRPARRFISYKKRTVQISKRDAELRVLVCVHTPKNVPTIINLLEASNPTKKSPICIYVLNLIELSGHASTLLIQHSIMTSDNPSTVNRPEAQSDHIINAFANYEQRAAFVSVQPLAAVSPYSTMHEDICNLAEDKRVAFIILPFHKQQTVDGGMEPTNTAFRSVNQNVLANSPCSVGILVDKGFNGSNRLPSDQLSHHVAVLFFGGPDDREALCYAWRMCEHPDVSLTILRFVPGEEVKEPNDDHDKDHNHILDPDEPRILTVETDKDNDKELDDKLLHLFRISHANDESVVYTEKEVNDGEETVAAIRSMDDMHDLFIVGRGRGMLSQLTAGLTDWSECPELGAIGDLLASSDFASNASVLVVQQYVGAEIEIGDAMATPDVNAMQANEDYTSQISHPSTTPPPSERRNVFSAESSHL >OIV99796 pep chromosome:LupAngTanjil_v1.0:LG12:17081373:17083828:-1 gene:TanjilG_26134 transcript:OIV99796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVANSFDLWRKDSFFSAAEEVQESADIMESAYRAWLRERRERSASGGLNELCRELQTALGTAKWQMEEFEKAIRLNYRHHGDFNIATRHRQFISAIESQISQVEQALRESFTEEGKQPLRWVNLNEEERDDLAAFLSGTCQTIQSSKDECVEVTPSFKKVNPSSKSSVQEKLVNKEDKNGRVNSACNWDISTIDEVSEDFRSVNKDRDHVIEIKANSVSRNSDEVVCQTDRTISTRKTWSTPNYSALKIAIAGDERRNKPTRPLEATPKEKGSRPLFWKQKWEEYPKAMRAVHILNQCSGRIGLFNKQFRSPLQLRYGCSVQVTVALMLTIFIMGKTSNFLLLKDFWHLGIPSVTNLSLIYVYFSFIVMALHFRSLIDKGGHWPYEMSGCCGGTSPLRRQGRQSISPYNLWLLTLR >OIW00357 pep chromosome:LupAngTanjil_v1.0:LG12:13885943:13888996:-1 gene:TanjilG_29924 transcript:OIW00357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRRNWLWRRKSSERSPGETESTGSISSLSERLSDDQVYPTQAALSPEVTSKIALNEEPVADVKILTDKLAAAIRNISAKEDLVKQHSKFAEEAVTGWEKAENEVSALRQQLDATKQKNSILEERVAHFDGALKECMRQLRQAREEQEQKIHEAVANNSHDWESKKSELDRKIAELEAQLQTAKADPAAPVLSGLHKRLEDIEKENSVLKLELQSRLEEVEFRIAERDLRAQAAQTASKQHLESNKKVAKLEAECRRLKALTRKPFSVSDQRSLAASSVYVESFTDSMSDSGERLLAVESDMRKLGGWDMNECEPSRSDSWASALVTEPGQFKNEKSTGKNHVVPATEISLMDDFVEMERLAALQDTEKGSNFVGAGVSSDQLNVGQGTMKYEMEATVQKNVEFEKKLGKIEADKHEVEMSLTKCQMQLEASECRLREADLKVTELQTQLAFANKSNQEAFEEIKATKTIKEIAESKLRFTQTEVEKLISKISSLEKEIQQERALSAENLIKCEKLENELSSMKREAQVQQDAEILRREGVKSELKLKQEKDLALAASKFSECQKTIVSLGQRLKSLATFEDFLHDSDNPMELISEVAQAPQTSGEELKLHSDLSFPKRDSESPISVKSSITHQKNRNNGYGKVVPRSKSVSRTRRH >OIW00119 pep chromosome:LupAngTanjil_v1.0:LG12:15755782:15765108:-1 gene:TanjilG_29109 transcript:OIW00119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNKKRNSHAPRSKHSPAASPVTQSAIGGAAGVPEFDSFMVVSDLIIHNPSTKIELSPNTDGSIYNSIKLECDKALKAFRRGNHNRALKMMKDLCSKHEGSAYAGFTQRVQGFVLYKVSSIMNDPAVKQRHLRNAVDSARRATELSPKSIEFSMFYGNLLLEAATEAKDYEEVVQECERALAVENPNDPVKESLQDESEHKSSTMESRIAYVQNELRQLIQKSNIASLSTWMKTLGNGEERFRLIPLRRPVEDPMEMRLVQNRRPNEIKKVSKTPEERRKEIEVRVAAARLLQQKSESPQSPNEADREERSLDSSSGSGHRIGDRRKYGNARKNGSTDESRNWVHSYWNSASMEMKKELLRIRVSDLKSHFGSSKDTLPRDVLSEALSYAESSKTWKFWLCSECDEKFSNPESHRQHVMKEHMRNLFPKMQSLLPQNVDNEWIEMILNCSWKPLDVSAAIRMLVNKSKFNVSPFTEDSYFGPHTQKYPHAQNYNDCFKDASDSFHEKGSLGYSLHNGTTKGSDNCKIAGSDITEGVEDQGSKAYPFADSWPVSDDSERAKLLEKIHAVFEMLIRHKFLASSHLNKVIQFTMGEIQGLAAGSLLLNRGVDQTPMCICFLGVSQLKKILQFLQELSHACGLGRYPDKSTDPMNDSHDINEVPEIKEKIVLSGDSSCLLLDECLLPTQVTSGTTQGSVLDDVTAPGSPDGISHNNDALLSWIFSSSPIGDQLTSWMRTKEDKKNQGKEIVEFLEKEFLQLQGLCEKKFERISYEEALQTVEDLCLEEGKKREHGGEFVQRSYESVLRKRREELTESENDVTYVSNRFELDAISNVLQEAEAVNVNQFGCGETYAGVNSQLCDLESGENGWRMKDYLHQMDGCIEIAIQKLKEQLSIELSKLDARIIKNVTDMQQMELKLGPVYAYDYRAILLPLMKSYLRAVLEDLAEKDATEKSDAAREAFLAELALDSEKGVKGGSENTRHMEKTKDNKKKNKDHRKTRGSKATSAHGQLLLRDTIPDSNPVAPNSYFQDIVVTMNGDAMEHQEEEFRRKIELEEEKKLEETLEFQRRIENEVKQKHLAEQQKKSSGTYLKEVVDKLQDVQLEAVAHGPDVQEHFRPHTREQLVKENGFPINLDIMLITPENGSLEPAKSSTDSTAQKIGYLHQSKVKQDLSNGVISENGLQLSDRRQGKRHKRHKNSARIVDEKFEPVASERENTENTHTDYHLREQVKSHNNQDANNVWENNGSMALKELKMKDEEERFQADLKRAVRQSLDTYQAHGKVPPVSGLRLLERASSQVDSSGFVLEEAPTEDVNGATLLGTGLKNEAGEYNCFLNVIIQSLWHIRRFREEFLGISRSEHDHVGNPCVVCALYEIFSALNLASKDPRREAVAPTSLRVALSNLYPDSNFFQELQMNDASEILAVVFDCLHRSFTRSSSVSDAESVESNCLGSWDCAYSNCIAHSLFGMNIFEQMNCYNCGLESRHLKYTSFFHNINANAIRTMKDMCTETKSSFDELLNLVEMNHQLACDLEVGGCGKLNYIHHFLSTAPHVFMTVLGWQNTCESVDDIKATLAALNTKIDISVLYRGLDPKSTHSLVSVVCYYGQHYHCFAYSHDHRQWIMYDDKTVKVIGGWADVLTMCERGHLQPQGISRNNRFSRRNENDDESILSNWMT >OIW00155 pep chromosome:LupAngTanjil_v1.0:LG12:16004142:16005622:1 gene:TanjilG_29145 transcript:OIW00155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKKNKEELNPMANSVAAPFSEEIPNMTMNNNSFIFPFSSTTTTTIFDMMPPAPSKASSSSFDGGFMDLLAVHDYNPFLFDCITTTTTTTTTQIDHYPLPSQVDGSEVLNTPASPNSTSISSSSNEATVVDANNINEYHSGKAEDEDGDGGKGHEQDQHRKTKKQLKPKKKKQKKQREPRFAFMTKSEVDQLDDGYRWRKYGQKAVKNSPYPRSYYRCTTAGCGVKKRVERSSEDPTTVVTTYEGQHTHPCPATSRASLSFMQYGNGGSLGSHHFMLPHHQQQQQQFHQAFTRDSTTPPLNTTSDFVNSSSFNPFSQDQQDHHQSFVPSRMTVFRDNGLLQDIVPTQMRNEKNEDE >OIW00232 pep chromosome:LupAngTanjil_v1.0:LG12:14588564:14595267:-1 gene:TanjilG_27483 transcript:OIW00232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFQVMDQRGVSAPSLFFDDISFHSERNVGLQKQKSFHDRYPQGKNGRVASPGSIFSDSSPLGRNAKSGLQLSQTSLPRESMENLHLGGEEGIVDVLKDSKESSYYHPRSWSDPYMQPARTSYGLIGSKIVTNAVPCESSLFSSSLSEIFSQKLRLMGKDVLSDQRITVGSLPKEEPYKSIEEDIIGNILPDEDDLFSGVTNELGYNTHARTNDDFEDFDLFSSGGGMELEGGEHLNSGKRTNGQDGNSVFYGGSKGKLPLGEQPSRTLFVRNINSNVEDSELKSVFEQYGDIRTIYTACKHRGFVMISYYDLRAAQNAMQALQNRPLRSRKLDIHYSIPKANAPEKDIGHGTLMLSGLDSSALNDELKRIFGFYGEIKDIYEYPEMNHHTFIEFYDVRAAEAALRALNRIGIAGKQIKLEPGHPSLMQQSHKGQEEPGLGQSIIDNLSLTQKAKVSYGALGSGCLGNGYNQGFQSAMRQPLNAFVDNAFFQANSSIHHTVGGASAAKVSGVRESSNIADAMKFASSPRFHPHSLPEYLGSLPNGSRYNFSSTVSNMASNIGTATTEASDGRHIQGMVSNRKVAEFNAGGNGIPPNNGLYDMWNNSSLHQQPSSGSVLWQKTPSFVNGASAPGLPQMPGFAKASPQMLRTQHIDHHVGSAPVVTASPWERQHSFLGESPEASGFRLGSLGSGGFHGSWQLHSPDLSSHMFSHVGGKNTELVSNAAHSSPKQLSHVFPGSHPTSLSKFDSTNERMRNIYHHKSEANTNNADKKQYELDLGSILRGKDSRTTLMIKNIPNKYTSKMLLVAIDEHCRGTYDFLYATLQAFNGKKWEKFNSEKVASLTYARIQGKTSLIAHFQNSSLMNEDKRCRPILFHTDGPNAGDMEPFPVGANIRVRPRKSRTGSNEDNRSQGSSSTLASGEESANGIDSSISS >OIW00714 pep chromosome:LupAngTanjil_v1.0:LG12:8986519:8989783:1 gene:TanjilG_09683 transcript:OIW00714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDEERNRYTGDGSVDFKGRPVLKQNTGNWRACPFILGNECCERLAYYGIATNLVTYLTRKLHEGNASAARNVTTWQGTCYLTPLIGAVLADAYWGRYWTIAGFSTIYFIGMCTLTLSASAPALKPAECLGSVCPPATPAQYGVFFLGLYLIALGTGGIKPCVSSFGADQFDDTDSQERIKKGSFFNWFYFSINIGAFVSSSFIVWIQENAGWGIGFGIPALFMGLAIGSFFLGTPLYRFQKPGGSPITRMCQVLVASFRKRDLVIPEDSSILYETPDKSSAIEGSRKLEHSDELRYLDRAAVVSDAESKRGDYSNPWRLCTVTQVEELKILIRMFPVWATGIVFSAVYAQMSTLFVEQGTMMNTKIGSFRIPPATLSTFDVISVIFWVPVYDRILVPIARKFTGNARGFSELQRMGIGLFISVLCMSAAAVVEIMRLQLAQELDLVDKPVAVPLNIFWQVPQYFLLGAAEVFTFVGQLEFFYDQSPDAMRSLCSALSLLTTSLGNYLSSFILTLVTYFTTQGGKPGWIPDNLNEGHLDYFFWLLAGLSFLNMLVYVVAAKRYKQKKAS >OIW00317 pep chromosome:LupAngTanjil_v1.0:LG12:15353790:15356783:-1 gene:TanjilG_27568 transcript:OIW00317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCNILFAMALAASEGKLNESIERVDEEQEAITWYNKVLGFLVEGGPGVKFTFKNINLNKPNEEYLFTICIENNNYMLLSCEPTLEGTEELIHELNNTNGLFKFVRVMRRKFQEAVV >OIW00359 pep chromosome:LupAngTanjil_v1.0:LG12:13871112:13874717:-1 gene:TanjilG_29926 transcript:OIW00359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLSLGPFLVASAPVGSRDFAITRQCFPKQLHFNSHSVVSSSYLRRCYATLSVVPELQEKEVFDGEKASLLVKELRKSFDLGRTKSYEWRVSQLEGIAKMLEEKEKEIAEALYKDLSKPALEAFISEFSQVKGSCSEALQELKQWMKPEKVNTSITTYPSSAEIVSEPLGVVLVISTWNFPFLLSMDPVIGAISAGNAVVLKPSEIAPATSSLLANLLKDYLDNSAIRVVEGAVHETTALLEQKWDKILYTGSARVGRIVMAAAAKHLTPVVLELGGKCPAVVDSDINLQVAARRIIGGKWACNSGQACISVDYIITTKEFAPKLINALKEELEQCFGKDPIESKDMSRIVSPAQFTRLAKLLDEVSDKIVLGGQKDEKKLKIAPTIVLDVPQEAMVMQEEIFGPIMPIITVDNIKDSFSIIKSKPKPLAAYLFTNNEQLKKDYVENISSGGMLINDTVLHVATRGLPFGGIEESGMGSYHGKFSFDTFSHKKSILYRGFEADTSLRYPPYTPKKEKLLKAIINGNIFGAILILIGWS >OIW00355 pep chromosome:LupAngTanjil_v1.0:LG12:13908417:13909062:1 gene:TanjilG_29922 transcript:OIW00355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKGSCQQLHFSSFIRDVNYSCGSCGYELNLNSSNRNTTSFIDSKCYNKFIKKGFISFFSIDETRFSQLNQLPYSFSWLPFFNSKRQPTTTRRRRRTKLLCLMCGTHLGYGYTLPNPHSQSWDGITESRIYDIKLNALQPSLYDYPSQSSKDMDNGNGMYENDASSISVMNPRIGVM >OIV99976 pep chromosome:LupAngTanjil_v1.0:LG12:18311609:18319191:1 gene:TanjilG_26314 transcript:OIV99976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGNEVGDRIHNFFGQENLSQGQYHTQAIDGNWPGLSNNLWAGSQRPTGAPFIPNLKNFNLQQSGSEQGQTSSPHLQHGFNLAQSNRRPDSGRNQSPNQQTVVNGYMQGHQVFLSRQNEANILGVDAESDLHHISSLPRGISVLESQQGAGAELYNKNMTRTDATESPVNYDFFGNQQQISGQHLGMLQQSLPRQPSGINDMHHLQQQVILNQMQELHRQQQFYQVEAMQQSSMTPASSTSRQTVVSHSASLINGIPINEASNLLWQPEVMATNANWLQHGASQVMQGSSNGLVLSPEQVHLMGLVPNQGDQSLYGLPISGSRGTSNLYPHVQADKPAASQVSMPHQYSHILGDKSPLPHISASGNSFPAHQYTAFSDQTNTNDGTSVSRQDIQGKSMFGSIAQGINSGLNMDSLQKVNSEQRNASTEDFHGRQELAGPSETSQDNMVMQFAPQNVAALDPTEEKILFGSDDSLWDGFGRNAGLNMLDSTDGFNGLPSVQSGSWSALMQSAVAETTSSETGVQEEWSGINFQNAERSSGNEQPSTIDSSKQQSLWADNNLHSTPDINSRPFLRPNDVSRPNTTVNYSVTGFQKSGIDTAQEQQDRLQTNSSRRSIPQSLERGKWADCSPHQKPNAEGSHIYGNVANSSGLEMKENMISDSWAMRQTLSSPNSSGEPFNRSNGWNGIKSAPPVNNSTLKARAEAMQEMGQVPAMWEHDSDTNSSVGLEHVKSASNMQVCGEDTGINVIAAMPNSDATWVRQQNNQQLPNVGAWRHADSVGNYKRNEAPDKYRHHMEKNPLVLESSKNEKIDSEAHDMQNSNKKVKSSDSLGPNPSHHRADGTRETSSFDGSDSHSPKLSGQGNRRLPVTRKFQYHPMGDVGVHMEPYGNKHALTSQPMPHQPFGGVKGQDQSYLGQSKYGHYDGNYTEMEKGDSRSSDDNASRGRSHSHMPTSDRSIGNYASNKTASPSQNILELLHKVDQSGEHGIPSNTSISNRHFSSRVPDTKSSDGSTIHPQQYQSSSTQGFGLQLAPPTQRLPMAFSHATPQVAPETVDMGHTWLTTTQTFPSREATHEHRNNISSSSGQTFDKASQYSGLGNIPQAFTSGFPFSMIHTQNQNMVNTQRSNETFDDRTASMNNLHEHSERAQSSHSELASAPGESTMQISALEASTAPHPSVTFSASLHDSPSKVLHNVWTSVSSKQQPYTSMIPSRPLPINVCETTVEAQKSGIEDSEKDGDDLSGQRILPGSVDASEEISSASHMKSTPDASQSSPAATPRDIEDFGRSLRPNDFLHQNFSLLNQAQSTQNIDIDPSNRDVKRFKISDNVVDKQQVDSNRGQKSYGYGNMVKDVASNHASLPPSDPNVLSFSTKPGDGRDTNASPQEVVDYAQKNALNFSNNSKTTSVRSKHPLINPQMAPSWFEQYGTFKNGKTLPTYDVQTMTPQKIMDQPFILRSQSDNLHLGRPMEQVNSLSDAGQLGNARQTLMPTSVANEHVPSQLMPPPAVEPDLPITLPKKRESTTSELMPWHKELSQGSERLHDISVAEFEWAQVANRLVEKVEDDAELVEDLTMKSKRRLVLTTQLMQQLLNPPPAAVLSADVKLNHESVVYSVARLALGDACRSVSWSGSDTIVLPDSKKLLPDKLKSSQKIDQYILKVEDFVGRAKKLENDISRLDSRASVLDLRVECQDLERFSVINRFAKFHGRGQNDGAGSSSSSNATANVQKSCPQKYVTAVPMPRNLPDRVQCLSL >OIW00790 pep chromosome:LupAngTanjil_v1.0:LG12:6713308:6714432:-1 gene:TanjilG_19595 transcript:OIW00790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLNLLLLMAMVATNILSLYHLTSTTLQSPKSPKQPQVPDQLLHQLHTIRATINHLTRLHPNTPTLTTKSPKPTIPPDLSLYSHLSPIPSSCHNHPDLLYKYMSYTPFSLCPSDPDTAESLILRGCHPLPRRRCFTKTPHKTIQTLPVNNPFPSLSSIPETMILWDRYNCKSFNCLNRQNPNLGFDFERESSRHVIYKTELDLPVSQLMQIAKAAKSVIRLGVDIGGGTGSFAAAMRRFNVTVVTTTMNVVAPYNEAVAVRGLVPLHVPLQQRLPVFDGVLDLVRCGHAVNRWIPVTVLEFLLFDVDRVLRGGGYLWLDHFFSKGVDLEKVFAPLIGKLGYKKVKWATANKTDAGGVKNGEVYLTALLQKPVSR >OIW00091 pep chromosome:LupAngTanjil_v1.0:LG12:18972471:18973278:-1 gene:TanjilG_26428 transcript:OIW00091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLKVDLHCSKCYKKVKKLLCKFPQIRDQVYDEKNNIVTIKVICCSPEKIRDKLCYKGCGTIKTIEILEPPKPNPPPPDKPKPDIEKPKEPEKPKEPEKPKDPEKPKVPEKPKEPEKPKEPEKPKEPEKPKEPEKPKEAPKEPEKAKPEPEKAKEKPQVVEAGPPEVVDPPPAMAVPIGMCCVPSFYGGGTSYYDGYYGRPVYDSYGGGRPCYVNHCDEYIVCQDNTQQPCTIM >OIW00574 pep chromosome:LupAngTanjil_v1.0:LG12:11005098:11005669:-1 gene:TanjilG_14800 transcript:OIW00574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTGAGKSKKGAAGRKGGGPRKKAVTRSIRAGLQFPVGRIGRYLKKGRYAQRVGTGAPVYLAAVLEYLAAEVLELAGNAARDNKKNRIIPRHVLLAVRNDEELGKLLAGVTIAHGGVLPNINPVLLPKKTGASSSASSAKEPKSPSKAAKSPKKAA >OIW00182 pep chromosome:LupAngTanjil_v1.0:LG12:16191113:16194320:1 gene:TanjilG_29172 transcript:OIW00182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELFSHFHSLDFPLLYSLSKPTLSIPITHLLYSRRRRSSLGSFQLACGGDVSAMAGGKMVIELVGAFNDLTERMNVLSTSSSRLLFKSLKLSIPILQSSPLSPDGRSPLSKALSVAMLLADLQMDAEVISAGILREVLEAGEVTMHEIRNEIGVGTAHLLHESLRVNNIPSTIQVLDDENAAALRKFCLTYYDIRALILDLALKLDMMRHLHYLPRYQQQIHSLQVMKIHAPLAHAVGTNYLSLELEDLSFQYLFPYSYLYVDTWLRSHETGGRSLIDVYKEQLLQTLKADALLTTLVDNISVKGRYKSRYSTMKKLLKDGRKPEDVNDVLGLRVILNPKPGDNALEDGDKACYRSQQIIQSLWKELPNRTKDYVARPKANGYKSLHMAVDVSENGRARPLMEIQIRTTQMDRLAVGGAASHSLYKAGLTDPEEAKRLKTIMLAAAELAALRLKDFPSANHKGIEIDQRDRVFRLLDKNGDGKISIEELTEVMEELGAPGEDAREMMQLLDSNSDGSLSSDEFEMFQKQVELVRNLEVRDDQYKKILDEKLHMADECGLIQVYSKEFGNRLAS >OIW00722 pep chromosome:LupAngTanjil_v1.0:LG12:9140237:9142462:-1 gene:TanjilG_09691 transcript:OIW00722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDKNNNNNNNTVFSGAPSSSDAPFTLSENGVSNKRKRRPAGTPDPDAEVVSLSPKTLLESDRYVCEICNQGFQRDQNLQMHRRRHKVPWKLLKRETQGLKKKVFVCPEPSCLHHDPCHALGDLVGIKKHFRRKHSNHKQWVCDKCSKGYAVQSDYKAHIKICGTRGHSCDCGRVFSRVESFIEHQDSCTIRQHRPELQALQPACSSRTASSTSPSSEANYSIAPTKLQGLRLPKSVEKVDALLTSEIHNKPSTLDQMHNLELQLLPSSTNSQERRNSSDVNYETHLKLSIGSCSTNDKGSESNQLPSSQTHKNVNEITTMEVARLKEFANEELKLAMVEKAYAEEARREAKRLIEIAELEFENAQRIRKQAQSELGKAEALRNQASKKMNSTVMEITCQACKQKFQTSTIHGVPSEETSIVMNSYMSSATTEG >OIV99736 pep chromosome:LupAngTanjil_v1.0:LG12:16591864:16592835:1 gene:TanjilG_26074 transcript:OIV99736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSYVNILMYGVGGLVVAGMALLVAFQEKLVYVPVLPGLTKSYSITPSRLRLSYEDVWLRSSDGVRLHAWFIKLFPDCRGPTVLFFQENAGSLDCQPLDIAHRLEMVRVMLQQLKCNVFLLSYRGYVVS >OIW00137 pep chromosome:LupAngTanjil_v1.0:LG12:15883960:15887786:1 gene:TanjilG_29127 transcript:OIW00137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAEKVGTKSEGGVFRLFDWTSKSRKKLFASKSDFPESSKQRRKIDDSLTMKHSYLMREDEDEIGVRASIRGSNDHSYASSVTDDEICGTRTPSVVARLMGLESVPFSGVPDPHSTPYFDSRSLREAQYCRTNLNCQHDHEIPYSGRLLEKDEGSSRNSMGPKLHKNFSRPIEKFQAEVMPPKSAKSIPVTHHKLLSPIKSPGFVPTNNAAYVMEAAARIIKYGPQVTTKVKSPSVASSTVSLRVRDLKEKVKGSPNGPSVETSSLASRVGDHSEKQEISHRTCRLTETSQRSVESNPAKYSKGQSLNKSRERSISSLTEAEEDSSLKDKGKSISLAIQAKMNVQRREGLCLSGGESLADRKENFDITTKLPQKENIQRSMHKKSCGQNASGVLKQNNQKQNCTIDKDKLPSKPLVAGSNNRKVQSVDSSYGSGKSSGGKSIAKSKGGPKNSAIQVKDGEKETLYTRTNNFSIEKRSADRNWNDSVDSLFIDKTVKHAHSNLLSDKHYSCTGEVKKRDIDVVSFTFTTPLTRKTSGFEKSGQTGLKSNGSLNQHNKRVLLETGSTRSPVGYNEAGGGDALGILLEQKLKELTYGCETSSGDSSKARPPSSTASKSNGLVPTMNSVNLIRQLQQKKDQNMLFADAVCSSRESDISFTGLLELQSKHRLWVDEMKECKSNPEEAKSFNCRQPSPISVLEPSFSTESCDSLFRTDAATSSEGSKLCLSSQPREVLDYNFSRKSHIAEADAELSDSACSSLTRTMVKKHTCAFSLKNTERSSTWELDYVNDILCHVELMYTDFALGRAREIINPHLFNLLESRKGSDSGEFRTRRKVIFDCVSECLDLRCRRYVGGGYKMWTKGIAMVRRNEWLAREVYKEISSWKGVEDSMVDDLVDRDMSSQHGRWVDFEVDTFALGAEVVDQIFNSLVDDVVSEILQLQQVKDCDVMAMRL >OIW00173 pep chromosome:LupAngTanjil_v1.0:LG12:16121804:16123876:-1 gene:TanjilG_29163 transcript:OIW00173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGELANIKKWNILYPVYINSKKTMAQGRRIGLTKACENPTCAEIGDCCTYFKLPFAIEIDKAYPRDFMQRGRVRVLLKKEDGTLFNPAIASRKQLMLRIAEMVPRHPGRTKKPEAASTSAAGTSTKSGKGGKKRR >OIW00077 pep chromosome:LupAngTanjil_v1.0:LG12:18924574:18927319:-1 gene:TanjilG_26414 transcript:OIW00077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLDNSNGKLCAPTNSSDSIPADDDDAEEIFVPPLNFAMVDNGIFRSGFPDSANFGFLKSLRLRSVICLCPEPYPEATLEFLKASRIRLYQFGIDGCKEPFVNIPHDIVREALKVVLDDRNHPLLIHCKRGKHRTGCLVGCIRRLQRWCLSSVFDEYQRFAGAKARVSDQRFIELFDLSCLKHHPLSFSSSRKYNFE >OIV99930 pep chromosome:LupAngTanjil_v1.0:LG12:18009019:18010047:-1 gene:TanjilG_26268 transcript:OIV99930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWSGGDWMCGACEHINFKKRDACQNCGYPKFGGPDPSTYRYNKTETLAGDWFCTGMNCGAHNYASRSNCYRCGALKDDYSSGYGGNIAGSGGYGSDCSLPPGWKTGDWICPRYGCGVHNYASRAECFKCKMLRDFGGAH >OIV99985 pep chromosome:LupAngTanjil_v1.0:LG12:18372256:18373402:1 gene:TanjilG_26323 transcript:OIV99985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDEEHHFESKADAGASKTYPQQAGTIRKNGYIVIKGRPCKVVEVSTSKTGKHGHAKCHFVAIDIFTAKKLEDIVPSSHNCDVPHVNRTDYQLIDIAEDGFLSLLTENGNTKDDLKLPTDETLLAQIKDGFAEGKDLVVSVMSAMGEEQICALKDIGPK >OIW00925 pep chromosome:LupAngTanjil_v1.0:LG12:1526915:1528139:1 gene:TanjilG_10003 transcript:OIW00925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFFTLLFISPPHIVSRTILDHQAFTQPATKIAIKNHNIQSFINAGIGTKMLGIAQFKRYLTRFGYIPMYNNTFTDEFDDKFEVGLIRYQQRLGLQVTGKLDSNTVSQMMIPRCGVSDTTTHNNHHHITKHFMYFPGKPRWSRPMPRTLTYAFSPVNMIHSLSMLEIREAFQRAFFKWASVIPVSFVEAEDYGFAEIKIGFYIGDHGDGEPFDGVLGVLAHSFSPESGRLHLDAAETWAVDFGVTKSEMAVDLESVATHEIGHILGLSHSSVKEAVMYPSLRPRDKRANLNIDDIKGVQSLYGSNPKFRSGWLLESDLSANQGVQFGVKPLSLVASFIFFSFAHTLCACLS >OIV99916 pep chromosome:LupAngTanjil_v1.0:LG12:17942488:17945743:-1 gene:TanjilG_26254 transcript:OIV99916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEDLKLEKMDGKMALIVADAVDYKGFPADRSKTGGWVPAALILGIEIVERLSTMGIAVNLVTYMIGVMHLPSSTSANIVTDFMGTSFLLCLLGGFLADSFLGRYKTIGIFAAIQTLGTATLAISTKLPQLRPPPCHVKVGSSTCKQANGFQMGILYIALYLIALGTGGLKSSISGFGTDQFDEKDEKEKPQMAFFFNRFFFFISCGTLAAVTVLVYIQDEVSRSWAYGICSFAMIITILVFLSGTKRYRYKNSSGSPIVHIFQVIVAAVNKRKMDLPSNVGYLYEDTPEASRIEHTDQFSFLEKAAIVAEGDFEKKLSGSAPNPWKLCSLTRVEEVKMMVRLLPVWATTIIFWTTYAQLITFSVEQASTMERNVGSFQIPAGSLTVFFVAAILLTLAVNDRIIMPLWKKWNGKEGFTNLERIAIGLVLSIFGMAAASICEWKRLSVAKSVSGNPSTLPISVFFLIPQFFLVGSGEAFIYTGQLDFFITKSPKGMKTMSTGLFLTTLSLGFFVSSFLVSIVKKITGTRNGQGWLADNINKGRLDLFYALLTILSFINFVAFMICAMCDKPKKSKPSLQMVATNEERC >OIW00066 pep chromosome:LupAngTanjil_v1.0:LG12:18857158:18860929:1 gene:TanjilG_26403 transcript:OIW00066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIKSKGGALVLSARSVIPRKWALLLCIGSFCAGMFFTNRMWSMPECKEISRTSSEVEKIKLNPEGCNLNLAVKTNSNYSRADVSNTQNIIKKARNSEKVESTSRKKYFMVIGINTAFNSRKRRDSVRETWMPRAEERKKLEEEKNIIIRFVIGHSSTSGGILDKAIEAEEKLHADFMRLNHVEGYLELSAKTKAYFSTAVALWDAEFYVKVDDDVHVNLATLGLTLAMHRMKPRVYIGCMKSGPVLAQKGVKYHEPEYWKFGEVGNKYFRHATGQLYAISRDLATYISMNQDVLHKYANEDVSLGSWFIGLEVDHVDDRRMCCGTPPDCEWKAQAGNICVASFDWKCSGICNSVHRIKDVHQRCGEDPNTLWTNTFS >OIW01009 pep chromosome:LupAngTanjil_v1.0:LG12:1379250:1381408:-1 gene:TanjilG_16258 transcript:OIW01009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHGDRIDNFEPLWVSSATRPWDPPLVEPGRVRAFVTGRKFRQTLGYPLHRVFVSPFLRCVQTAAEVVTALSAVEDDPNVLTSHGVPIDPSNLKVSVEYGLCEMMNSEAIRQEVAPKDGNWGFDISEREAMLPTGTVDNNVQRVYKELPQWKEPVSRTRARYEQIVKDLADKYPTQNLVLVTHGEGVGVALTSFKKGFIVYEVEYCGYVELRRPIFKKDESFTAGEFEVLTNAGQTGVGYGPDHE >OIW00892 pep chromosome:LupAngTanjil_v1.0:LG12:3055616:3056074:1 gene:TanjilG_20893 transcript:OIW00892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNSKADLPQKSVLQIKQDDKFFRRLLSKESSISNPSFRVEVSVPFVWESQPGTPKYTISDDTLPPLTPPPSYHLNTYKKPAKKRSRTNLLLALLPKLNLKKMILSSSSSSPSPPSLSLSSSSWSSSSSSLSDSSKVVSMGKLGRGKLKIVN >OIV99935 pep chromosome:LupAngTanjil_v1.0:LG12:18035552:18037144:1 gene:TanjilG_26273 transcript:OIV99935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFKEGAKVEVLCNTEVPSGSWLCAEIICGNGHYCTIRYDGYHGADGEAIVERVSRKAIRPCPPAIELVESWCPGDVVEIFQNFSWKMATILKALGKKYILIRLLGSSLEFQVSKFDIRVRQSWQGGKWIVVGEGSASYQDEKRDNALNLRQNLFSSAQIQKTAAKTKLSVSNVYNAQRKKPTMLEPRLVSFKTLKRGSHSQIEAYAEPPPKFRAFENEGRCHRAMVRNLPIPLKQVHGVSFPRVVLADECIPASLNNRKTGISYIDMERRKQTGVVGCSFGENLESNDADSVTCSVGSCSITSRSSYKLQYPVSADPFEDVDSSFSDAESYCQRGYEEGSCSPPTQQELAAEIHKIELHAYRCTIEALHASGPLSWEQEALMADLRLSLHISNDEHLMELRNLISSENSIPFK >OIW00819 pep chromosome:LupAngTanjil_v1.0:LG12:5877515:5892907:-1 gene:TanjilG_25937 transcript:OIW00819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSTPSSESCSNSSYKPSYTSVISVLDLCSLGAGIVDRGEGRCLATSKPKGTGFREAVKKAEKHLNLKREMGKKVYLKRAATPRPYLIGLGGYTYYNMEDLAREEGGSDIGFSQRPVLNLNLPPSGRDKLSDLIAKLDQVEREIHHLSECRIESPEEGEACQFSLLGLHTVLDKVGNSLEQFRQLDGSRDEEQARQRQSVESPFIFMRRRRLFLMLERRPLRKQVFPSSYNFGPKTNSFKKGMKEEVVQDPYE >OIV99893 pep chromosome:LupAngTanjil_v1.0:LG12:17757266:17761425:1 gene:TanjilG_26231 transcript:OIV99893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGVSQNSIRKALGALKDTTTVSLAKVQSGYKDLDIAIVRATNHVEHPAKEKHIRAIFSAVSATRPRADVAYCIHALARRLSRTHNWAVALKTLIVIHRAMREVDPTFHEELINYGRLVICSAWDYSAWVRTYALFLEERLECFRVLKYDVEADRPRTKDLDTVELLEQLPALQQLLFRVIGCQPEGAAVHNFVIQLALSLVASESIKIYQAISDGTVNMVDKFFDMQRHDAVKALNIYRRVGQQAERLSEFYEICRNVDIGRGEKFIKVEQPPPSFLQAMEEYVKDAPHGSVVRKDQATDYKVGSPKEVLASEYKRTPEKEERPPLPTLLPPHEPLKVEAPVVQPPPDLLNLEDPVPAALELEDKNALALAVIPDADQPNSAVPNLANGSIGWELALVTAPSLNESSATASKLAGGLDKLTLDSLYDDAIRRNNQNASYNPWEPAPMGGMIQPTMHNPFSASSTVVAPPSFQTPPISTQQQAFTFQQQQHTTIAPQQPYVNPFENPNGAAAHPYGSDTQSNKLKDERYTDTLCSGF >OIW00427 pep chromosome:LupAngTanjil_v1.0:LG12:13242523:13244379:1 gene:TanjilG_05777 transcript:OIW00427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSLSHSSIVILTAPTTNRKVKFGFSHSSPISCVWKPNDNSRKAHNLSCSAHNNNKNPLVGISIGVIATCVIGLTPLDADATRIEYYATVAEPPCELKYAKSGLGYCDIVEGFGDEAPLGELINVHYTARFSDGIVFDSSYKRARPLTMRIGAGKLIAGLDQGILGGEGVPPMRIGGKRKLKIPPMLAYGPEPAGCFSGDCNIPGNATLLYDITFVEVYSGNRK >OIW00998 pep chromosome:LupAngTanjil_v1.0:LG12:1277111:1277482:-1 gene:TanjilG_16247 transcript:OIW00998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPDLEQKSKSENNHDPAFSGQKVLEPGTNDVNDACDTEMSPFEKYHLSCGNEDAEVNITVCTNAGKALVVEDCCEDATECSSSFADTGSGTENASLSDTEVESQMRADNGSSSMHDDCFGAL >OIW00322 pep chromosome:LupAngTanjil_v1.0:LG12:15405768:15409161:-1 gene:TanjilG_27573 transcript:OIW00322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPSDAGIESLRRLKETDPPSLLAPNPSLSETARAASQYLFSTLRPFSRKSPLDNLLVDGFDAEQIWQQIDLQSQPLLSSLRRRVKQLSKSPEEIINQLKAASVGGEKAKTEETKLNVLDEEESDSFDEELDEEDEEGVEKENGKEEEEEEDESEESEGEEEKGEGGGVEDKFLKIDELTKYLEKEEENFENAEKDEKSEDDDDDEEEDDESDEAGGFDFDDDDDEEEEDTEGARYDDFFGGKKEKGSKRKARLPQDSEDYEDEDDMELDEQKTGIASTHEKELETIQSKIEQMEKSNLDPKTWTMQGEVTAAKRPKNSALEVDLDFEHNVRPAPVITEEVTASIEDMIQKRITEGHFNDVQRAPKLPSKAPREVKELDDNKSKQGLAEIYEQEYVQKKDPTSAPLSFNDELHKEASMLFKKISLKLDALTHFNFAPKPVIEDMSIQTNVPALTMEEIAPVAVSDAAMLAPEEIFDGRGDIKEETELTKTERKRRRANKKRKFEAETVRRQEKKGQKDAPLPIQVDGNFFISS >OIV99974 pep chromosome:LupAngTanjil_v1.0:LG12:18299560:18302899:-1 gene:TanjilG_26312 transcript:OIV99974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFWVVAAATGVGYLVKCLNKISKNGHNSYHLSSEDSNIKNPDSPSRPFRHRNKFGKDVVPLDRNDADVNSSFRNCNESDILCVSKLPVSFSANENFNDSEEGNDESSNVSGNCTFMLPHSSPSRATRPCLVSDGCQIISRENDNDSSGALSASAEYKLHAGASQVKDGNVLFGVPSLPNFGCFDDSRMKFSTSNGRSGRLSSSNDVFKHFCAQHDATFIFSLGITFGIITCILANKRGMDKLRELLKHNENLVQDLQEELQMKVSMTVKELHGEIYSSQETHDHFFFDKNLTGLSPEKHVDNSPVIDGKKSYNQEKEESSESMSKIEAELEAEFERLGLNMNESSLDRRLSELVQFDPDFIADFAQGELSTGKVHGDDIVESNEDTSDTTNLPVNSAVSPRELSLRLHEVMQSRLEARVVELETALQNSVRKLRFMELEHETCSQKHSPGGGQASSSTKGNILTHDDCDPMIEPLVTDLSGKALGAHNEAHEELVKTDNSEENSPSGIYDPDQKVCSHSNDRLQDGGVDGSRTDPMVNGFNLSRELSSIDVPMLEGQSSGVNELNDGSVEENCDHELEMQIVERTKKGYPVLQNARMILYSMDEDEH >OIW00961 pep chromosome:LupAngTanjil_v1.0:LG12:2258932:2260845:1 gene:TanjilG_10039 transcript:OIW00961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSLGSNNTIVAATTLSDTTPHASLEASPEKCGMRMEDWEGQDQSIMRLIMGDVEDPSAGLNKLFQNGGCGSQNVDLDRGFGVVDQGLNMVSIIDPSVQGNYHGGSFVENIDGHNAKTGSMPVSESIYVSANNPMLVSSSPGVVNSQQQQQQQVIGGVDEKPQLIIPQPLLNQNQVQFSENPSFFVPLTYPQMQEQGVSYQHQAKCPLPDTVGQNNYQVPRLPLLDYGQGQFARRQQTQLPLFPHHHMQQLQSLVMPSAKQQKVNSTGDDASNQLQQSIFDQLYKTAELIEAGNPVLAQGILARLNHQLSPVGKPFQRASFYMKEALQLLLNSNISNFFAFSPISFIFKIGAYKSFSEISPVLQFVNFTCNQALIEAMERFDRIHVIDFDMGFGEQWSSFMQELALRNNGSTSLKVTAIVSPSTCNDVELNFIRENLIQYAKDINMSFELNVLSIESLNSTCPLAGQFFDNEAIAVNLPLSCFTNYPSVFPSVLRFVKQLRPKVVVTLDRNCDRIDVPFSTNVVHALQCYSDLLESLDAVNVSSDVLQIIERHFIQPAIKKIVSSHHRSQETLPPWRNLFLQTGFSPFTFSNFTEAQAECLVQRAPVRGFQVERRHSSLVLCWQRKELISVSTWRC >OIW00024 pep chromosome:LupAngTanjil_v1.0:LG12:18608763:18610133:1 gene:TanjilG_26361 transcript:OIW00024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHRCLGTRRLRHIQRACQHASLTFLCLFLTVVLLRGTIGAGKFGTPEQDLNEIRIHLYSRGGRRVEPRRVLEEVQPETTTTEPNNYATFDISKILVDEGGDDEFKRDPNTPYTLGPKISDWDLQRSQWLQNNPDYPNFVGPTKPRVLLVTGSSPKPCENPVGDHYLLKSIKNKIDYCRLHGIEIFYNMALLDAEMAGFWAKLPLIRKLLLSHPEVEFLWWMDSDAMFTDMAFEVPWERYKDHNFVMHGWNEMVYDEKNWIGLNTGSFLLRNCQWSLDILDAWAPMGPKGKIRDEAGKILTRELKNRPVFEADDQSAMVYLLATGKEQWGNKVYLENHYYLHGYWGILVDKYEEFIENYHPGLGDHRWPLVTHFVGCKPCGKFGDYPVERCLKQMDRAYNFGDNQILQMYGFTHKSLGSRRVKRVRNESSNPLEVKDELGLLHPAFKAIKLPISSS >OIW00210 pep chromosome:LupAngTanjil_v1.0:LG12:16399502:16404134:-1 gene:TanjilG_29200 transcript:OIW00210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSDIGLGIAKFQGKTRAVASQPAQEVTSTKEPIKKQRRVVVTGLGVVTPLGHEPDVFYNNLLNGVSGITEIESFDCSEFPTRIAGEIKSLSTEGWVAPKSSRRIDKYMLYLITAGKKALADAGVTEDVMNELNKEKCGVLIGSGMGGMKMFSDSVAALQISHRKMNPFCVPFTTINTGSAMLAVDLGWMGPNYSIATACATSNFSILSAANHIIGGEADMMLCGGSDAAIVPSGLGGFVACKALSKRNADPTKASRPWDTDRDGFVMGEGAGVLLLEDLEHAKKRGAKIYAEFLGGSFTSDAYHITEPHPDGAGVILCLERALAQSGVSKEDVNYINAHATSTPAGDLKEYQALMHCFGQNPNLRINSTKSMTGHLLGASGGVEAVATVQAIRTGWVHPNINLENPDKGVDVNVLVGPTKERLDIKVAISNSFGFGGHNSSIIFAPYK >OIW00720 pep chromosome:LupAngTanjil_v1.0:LG12:9118817:9118990:-1 gene:TanjilG_09689 transcript:OIW00720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQMTETDMDQVHQMTETDMDLKTETNLDHMTRRGALDDRDRHGPDDRDRQAKAHDS >OIW00507 pep chromosome:LupAngTanjil_v1.0:LG12:11781943:11789129:-1 gene:TanjilG_24237 transcript:OIW00507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLVRLQVRNEFSLGQPELYKETNREDPKAVLDGVAVAGLVGILRQLGDLADFAAEVFHGLQEQVMTTASRSHRLMVRVQNIEASLSPLEKVVLGQTGHIHFAYTAGNDLILCCEWHPHIKAARNHFIYNDLPQFIMDSYEECRDPPRIHLLDKFDIDGPGSCFKRYSDPTFFKRVSADSDESYSEKNQKARKTRKNKKKSSSRRNRELLRGEQMHSSSGRMQFISPTVNGRTSSSQAASTTDMTVRSDVEDRSNSFDSKAGAGYIECIFHPSNSMQSDEHDCKEPSPSRLIQKTNNFQPVSPLIDDSVSHDSLEKQVASSSSGVTWDEKEEIVESTSQDCDTDKIPEIFMEKLDSDMHVDEAVTVTNIENNETILEEESNLKPVSSRVQTDDIDSEPDNYMDALNSIESESEIDFDYETKREVEQVASHVTHGMIETGVAESTSNSFDNNLADVSQTGYTVSLNNETCGDFSDSLLENHLRISETCASNFGSVCPSDVPYSEEMTRDTVSLNKETSGDLPESLQEIPPLTPEPYKSNFRPLSLSNVSDSEEMTGDTVSLNNETFRNLPDLHQEIYTLTSPPHASNLDFMSPSDVPPSKEIINDRVYSHSTESLICEQIPQTCGNSVLNHSVHTDSFIGSYTVHDTVSAPVESDIWSSGSKSSNLPDEEAGTIKSNICKSEETPRESFSDRSVSFWTNGGLLGLEPSKPPDFNMPSSLTAKSEMGFGSPCNSLQKSNGYNEKHDLLKEVAEQILKEPSSRFLTSSHNEHQPCISSKNSSSSQVSNGIGQTESNTLGGTLGSVLPAAPDMKALAEPNQGNSEKSSRVFGLGHRLLKNSFQRKVSFDEKTTPYNSLKSVISEQNEQNGIVKQSPPETTISDKHGSGYPIDSLPPSPPLEHMKISFQPVSGIEISKLKLKFPDGSNRHESIRDMFPSFQLVPEFSIPLDESGSHSDDDDTFCRSSPYVSDGCLSPHSVNDSDQWESDETPESSDHVVYDSPQKRSSSSESMLRTQELGRASNDDTDIASGHGTYTTIGVEPSLAGPSLDFPSFDNVNPILERESNYHSEGNNVVTTHKYAEPTPPAPPPLPPMQWQVSKPHLDMTNKTQHFMCEDSEHINEQSLPESTLFHQSMLAEFEQVQINHDDHEFHDNVIHELKDKWDQQKLNGKKEANQSRMGKEMDEREDFLQQIRTKSFNLRRTITGKPNATTGPTASVKVTAILEKANAIRQVVASDDGEDDDNWSDT >OIW00162 pep chromosome:LupAngTanjil_v1.0:LG12:16049186:16049731:-1 gene:TanjilG_29152 transcript:OIW00162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNFNSLTLIFCLQAMFIIISIPSCHSRTFNPKNDKLIESTCKKTPNYIVCINSLKPSPGSSSADVRGLALIMVKVMETKANDALNKIHELQRVLTGHNQKVALNSCASKYNAILVADIPSATEALQKGDPKFAEDGANDAANEATYCETDYPAGTLPLTKWNNAMHDVAAVTAAIVRSLL >OIW00883 pep chromosome:LupAngTanjil_v1.0:LG12:3457736:3459286:1 gene:TanjilG_22681 transcript:OIW00883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPAVGKPSFLRNVLLRLLLFGVFIVIARFAYVITVTGESCNVGDFCFFSVPETLNLAIAGSGTDALAVKTSATGVTAPPELHTSKDWISGVRFYSSVFHDLIADGYLSPESKSLCVETPTGRDVLAMREIGVTKAIGISKKAKKPLVKSGEAHLIPFGDNYFDFIFSGDGGLERSPRSGKFASEIVRTLRPGGFAVFHVKANDTYSLNSFVDLFNSCCKVVKLHNIEGFDSSIPNIREIILKKESNMVENFGSDFDSDSNGKCNVPGYKLELVKNSEPLIEEEPLKPWITLKRNVKNIKYIPSMVDISFKNRYVYVDVGARGYGSSIGSWFRKQYPKQNKTFHVYAIEADKAFHQEYGTKKGITLLPYAAWVRNETLTFEINHGPGEHEQVHAKGARGMGRIQPLKSSGNDFGGGSEVEKIQGFDFANWLKSTVSKNDFVVMKMDVEGTEFDLIPRLFETGAICLVDEIFLECHYNRWQRCCPGQRSPKYEKTYDQCLQLFSSLRKSGVLVHQWF >OIW00348 pep chromosome:LupAngTanjil_v1.0:LG12:15606031:15610501:1 gene:TanjilG_27599 transcript:OIW00348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSISVPKRQLFIDGQWKAPLLNKRIPIINPSTQLIIGDIPAATKEDVDLAVAAAKSALSRNKGADWASASGSVRARYLRAIAAKITEKKTELGKLESLDCGKPLDEALWDLDDVAGCFDYYADLAENLDKKQKSPVSLPLETFRSYVLKEPIGVVGLITPWNYPLLMATWKVAPALAAGCAAILKPSELASVTCLELAEICREVGLPRGVLNILTGLGPEAGAPLASHPDVDKIAFTGSSATGSKIMTAAAQLVKPVSLELGGKSPVVVFEDVDIDKAVEWTLFGCFWTNGQICSATSRLIIHESIATEFLNRLVKWAKNIKISDPFEEGCRLGPVVSEGQLDYVVQYEKILKFISNAKSEGATILTGGSRPEHLKKGFFIEPTIITDVTTSMQIWREEVFGPVLCVKTFSTEEEAIDLANDTIYGLGSAVISNDLDRCERLTKAFKAGIVWINCSQPCFAQAPWGGNKRSGFGRELGEWGLDNYLSVKQVTQYISDEAWGWYKNPSKL >OIV99839 pep chromosome:LupAngTanjil_v1.0:LG12:17383946:17388666:-1 gene:TanjilG_26177 transcript:OIV99839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALIIFLFFFFLSHVALCSSSEPRNHEVEALMSIREGLSDPHGVLSNWDEYSVDACSWAMITCSSDSLVIGLLLQNNNISGKIPQELGTLPKLQTLDLSNNHFSGAIPASLSQLNTLQYMRLNNNSLCGPFPVSLAKNPHLTFLDLSYNNLEGPLPKLPAKSFNIMGNPLICGSSPVEGCSGTVTFRLVPFAQALSQGKQKSKKLAIALGASLSCASIIFLFFGLIWHRKKRQYQAALYVSGYREEGVVNLGNLKKFTFRELQHATDNFSSKNILGAGGFGNVYRGKFGDGTMVAVKRLKDVTGSAGESQFQTELEMISLAVHRHLLRLIGYCATPSDKLLVYPYMSNGSVASRLRGKPALDWNTRKRIAIGAARGLLYLHEQCDPKIIHRDVKAANVLLDDYCEAVVGDFGLAKLLDHADSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLIELITGMTALEFGKTVNQKGAMLEWVRKIQCEKKVEVLLDKELVSNYDRIEVGEMLQVALLCTQNLPAHRPKMSEVVRMLEGDGLVEKWAALHNHSNQGITPCHSNSSSSSSRPTSSASKHDDNAHDRSSMFGMTMDDDDDERSLDSYAMELSGPR >OIW00276 pep chromosome:LupAngTanjil_v1.0:LG12:15048136:15050653:1 gene:TanjilG_27527 transcript:OIW00276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFLLYESASGYALFEAHGLDEIGQNTEAVRNSVSDLNRFGKVVKLRSFNPFTSALDALTQCNAISEGILTNELRTVLETNLPKPKEGKKAKFSLGVADPKIGSQVSELTKIPCQSNEFVLELIRGVRLHFDRFVSDLKSGDLEKAQLGLGHSYSRAKVKFNVNRVDNMVIQAIFLLDTLDKDINSFSMRVREWYSWHFPELVKIVNDNYLYAKLTKFIEDKSKLTEDTISDLTDIVGDEDKAKEIVEAAKASMGQDLSPVDLINVQQFAQRVMDLSEYRRKLYDYLVAKMSDIAPNLASLIGEVVGARLISHAGSLTNLAKCPSSTLQILGAEKALFRALKTKGNTPKYGLIFHSSFIGRASAKNKGRMARYLANKCSIASRIDCFSEREKGTTAFGEKLREQVEERLDFYDKGVAPRKNIDVMRSAMESADNKDTELETEEVPLEASSKKDKKKKQKGDDDIVVDSSEDPKSEKKKKKKEKRKLDEEPEVDDQGVDDGANGVANEKTKKKKKKDKKVDDSESLEAASETKKKKKKLKSKDAE >OIW01010 pep chromosome:LupAngTanjil_v1.0:LG12:1387068:1388024:1 gene:TanjilG_16259 transcript:OIW01010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDETMTCEYRDLLEPLELPGCIPIEGKDLPEPLQDRSGEEYKVFIEGNKRFYLADGVLVNSFLDMEAETIRVLHQEGNKIPYAYAIGPFIQKGSSKKGNRKESCLRWLDEQEDDSILYISFGSGGALSQDQLNELAWGLELSGQKFIWVLRSPSKFGFVNDLSLANEDPIQFIPNGFLERTKDQGLVVPYWAPQIEILGHSAIGGFISHCGWNSTLESVVNGIPMITWPLFAEQKMNAAILTKGLKVALRPKLNDKGIVEREEVAMVIKNLMVGEEGKGIRQRMKELKDAAANAFKEDGSSTKTLAELVLKWKSLGVS >OIW00179 pep chromosome:LupAngTanjil_v1.0:LG12:16172774:16176447:-1 gene:TanjilG_29169 transcript:OIW00179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNLQMNPQLEQIHGEIRDHFRALANGFHKLDKIKDSNRQSNQLEELTGKMRECKRLIKEFDHEIKDAEGRTPEEVNKQLNDEKQSMIKELNSYVALRKTFMNTLGNKKVELFDMGTGVGEPTAQENVQMASEMSNQELIDAGTKTMDETDQAIERSKQVVHQTIEVGTQTASTLKGQTDQMGRIVNELDSIQFSIKKASQLVKEIGRQVATDKCIMLFLFLIVCGVVAIIVVKIVNPHNKDIRDIPGLAPPVPTSRRLLYVKSGERFD >OIW00106 pep chromosome:LupAngTanjil_v1.0:LG12:15650900:15652134:-1 gene:TanjilG_29096 transcript:OIW00106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDSMTIATPIPSSTRAKNPGNKKKINRSAKLKQNKIHVRREQWLSQAAVKNKGCKDGEDYSSHVPPLPAEKYSKYLLEELETKRGRDQEDNGLVIHEDNYWESPTNSPTSNVSGTNFTGSSSSRSSSSSTAGYCSGNFTGEDDDDDEEEDCLDDWEAVADALAANDKQQRLNNCMDSPPQPIVQMVSPDELNSGATKLESGRLVPLASGHNRAWRADDVFRPQSLPNLSKQLSMPNPDRYCGGGSPWTRPMIPSSCPICCEDLDLTDSSFLPCLCGFRLCLFCHKRILEDDGRCPGCRKPYECKPVETEAKVAGGSLTIRLARSCSLIERS >OIW00698 pep chromosome:LupAngTanjil_v1.0:LG12:8650998:8652561:1 gene:TanjilG_09667 transcript:OIW00698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDLNPAFQAVRLLVPPARFEASKLKVVRLEDEIKKHPSIIPRTYILSHCDFTANLTLTVSNVINLEQLRGWYEKDDVVAEWKKVNNEMCLHVHCFVSGPNPFLNLAAELRYHIFSKEMPLVFKAIQYGDSALFSEHPELLESIVRVYFHSSSKKYNRMECWGSLKDAMEVIQGNAEMSNDLD >OIV99901 pep chromosome:LupAngTanjil_v1.0:LG12:17858048:17861519:1 gene:TanjilG_26239 transcript:OIV99901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRPGPRPYECLRRAWHSERHQPLRGSIIQQIFRVVNDAHSPATKKNKEWQEKLPVVVLKAEEILYSKAISEEEYLNVDTLWERLNDAINTIIRIDQTTETGDLLPPCVEAALNLGCKPVRTPRSDRHSNPRTYLAPRTQQPPPPGPPKPAGGNPLNYTKVTNSTVSGIPASDSKQHARQNSRIVGSRNYPFSDSFPSGQHQQPLRIETKSSMDLGSVYPLYYGNEAKEPQLRTTNLEKTCSETIFVGRPVMTPVPEASGIGRIDNFPYGRFQHAPNRIAKETAVGTHQELPDRLCDLSLRLGQSLHPTMSRKGSLAYEMDDVGIGASQDSRKWNHLSNQKNKELCFYPRETGYGAVDSANYTKYNAEGEDQNLEATLRKRKAPAVNSEEDGQFCRYLGLSSSVPSNQFTDIPKASLRVHPLVIAAHPSEPNQFALGLTNGGVHVLEPLDSEGRWGSPLPSENGAAGPSTTSGATPS >OIW00285 pep chromosome:LupAngTanjil_v1.0:LG12:15109656:15111629:-1 gene:TanjilG_27536 transcript:OIW00285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLSPKIITICTLFTVLSIASSAATDEEQTRPFKKLYAFGDSFTDTGNTKNGNGPSGFGHVSNSPYGKTFFNHSTNRYCDGRLVIDFVAESLSLPYLTPYLHHKGNDTFGVNFAVAGSTAINHEFFVRNNLSLDFTPQSIQTQILWFNRYLESQACQGVESGCKDFNETLFWFGEIGVNDYAYILGSSVSDDTIRKLAISSVSGALQYLVVQGLPPTGCLTLAMYLASPDDRDEIGCVKSANNQSYTHNLVLQAKLDELRKQYPQSVILYADYWNAYSTIMKNLTKYGFAEAFKACCGSQDPPYNFSVFKTCGTPNATVCSSPSQYINWDGVHLTEAMYKVVSNMFLQGNFSQTPFNFLLEKKERQG >OIW00706 pep chromosome:LupAngTanjil_v1.0:LG12:8859390:8859850:-1 gene:TanjilG_09675 transcript:OIW00706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPITATGVGAVWGLVIQIWSNRLRKLPPMRHPWEHVVGMGLGAIFANQYMKWSEQVEKDLEIMLQKAKTANENRYIGYNPLNFLIYMSCFSYKCLSLFSVYISLFIFVIGFVMILCFCV >OIW00390 pep chromosome:LupAngTanjil_v1.0:LG12:12856817:12857890:-1 gene:TanjilG_05740 transcript:OIW00390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRIRCILRGLDVKTYIFLFAIIPMCTLGVYIHGQKISYFLRPLWEKPPKPFHVIPHYYHENVSMENLCKLHGWGVREFPRHVYDAVLFSNEIEILTLRWKELYPYITEFVLLESNSTFSGLPKPFVFNSNRAQLKFVEPRLTYGTIGGRFKKGENPFIEEAYQRVALDQLLKIAGITDDDLLIMSDVDEIPSAHTINLLRWCDQVPSIIHLQLKNYLYSFEFLLDEKSWRASVHRYKSGKTRYAHYRQSDDILADSGWHCSFCFRRISDFIFKMKAYSHNDRVRFSHYLSPDRIQKVICKGADLFDMLPEEYTFKEIIGKMGPIPHSYSAVHLPAFILENAKEYKFLLPGNCMREG >OIW00203 pep chromosome:LupAngTanjil_v1.0:LG12:16347263:16349340:-1 gene:TanjilG_29193 transcript:OIW00203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGREIEEEQVGYTVEQLVGLNRYNPDILPDLENHVNDQFEPEKMSSQIVARILIKALMAMPAPDFSLCLFLIPERVTGRFHQFWDEAAKNRHIVEAVPGFEQAIQSYAIHVLSLTYQKVPRTVLAEAINREGLSLDKFLEHQVATSGWAIEKGSQGRGQLIALPRNEFNDPNSKKNIADSVPLEHITRIFPILS >OIV99869 pep chromosome:LupAngTanjil_v1.0:LG12:17581935:17582333:1 gene:TanjilG_26207 transcript:OIV99869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPWPSPRHVSQTDTTNHNQNFNSYNRRCRRTPLPPNHLGRSRSDDKSMKFPATTNLVIGQVKILKRGEKFSIENQLMTVKKQIRVSDAKEMMYAGSAFVTSPPPSFVPVPGFLGRNDATTNNLRRLLRLHD >OIW00038 pep chromosome:LupAngTanjil_v1.0:LG12:18699411:18701177:-1 gene:TanjilG_26375 transcript:OIW00038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAQKIAPPDLNCKDKFLIQCTIVPFGATEDDITSDMFAKDSGKYIEEKKLRVVLIAPPSSPVLLPVNGDTNQDSSNEIHVQKDWVPTGVENIPLPLRVSEEVKAFETARDMEEDRTDEDIVDRHVENVSDMEPSKDVVQLNLAKDFEELKSRLGIMDSKLSEAKANIMKLTEERRTNTEEKDLLKKEMEVLKRKMDAKRVENGFPLLFVCMVALVSVVVGYYIHP >OIV99863 pep chromosome:LupAngTanjil_v1.0:LG12:17554536:17554763:1 gene:TanjilG_26201 transcript:OIV99863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCMQIALLCVQENSGDRPSMLKFDSMFKNDGAVISTPKVPGFSSKKKEHEEETSDSGIKYSSINDVTVSQLTPR >OIW00561 pep chromosome:LupAngTanjil_v1.0:LG12:12429805:12433036:-1 gene:TanjilG_24291 transcript:OIW00561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSKGSSGVRNFMYNGKHPLLPPKSPFPSVSQPYADSAVGSKAFQNRREGNTHHQRTSSESHVMEEQPSWLDDLLNEPETPVRRGGHRRSSSDSSFAYLDTVNATNINYAGQNLLSVPSWSSQEFGHGKDGHHIPTYAEMNATKQRNRSWDSFSNAMTHPGVSPSSKENVVLKSSGSPYAPHEADGLPSTANEKYDSLEPGIQDTKSSSERKDGSHAKSSASETDTKRAKQQFAQRSRVRKLQYIAELERNVQALQAEGSEVSAELEFLNQQNLILSMENKALKQRLESLAQEQLIKYLEQEVLEREIGRLRALFQQQQTLKPQQPSASHRRTNSRDLESQFANISLKHKDTNSGHDPATGALRI >OIW00524 pep chromosome:LupAngTanjil_v1.0:LG12:12038767:12042201:-1 gene:TanjilG_24254 transcript:OIW00524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIFRLAGDMTHLLSILVLLLKIYATKSCSGISLKTQELYALVFLARYLDLFTDFISVYNTIMKVVFIASSLAIVWCMRLHPLVKRSYDKELDTFRHYFLIGGTFALALVLNEKFTLQEVLWAFSIYLEAVAILPQLVLLQRSGNVDNLTGQYVFFLGAYRAFYILNWIYRYLTEPRFTRWIACVSGIVQTGIYADFFYYYFISWKNNSKLKLPA >OIV99826 pep chromosome:LupAngTanjil_v1.0:LG12:17306609:17308129:-1 gene:TanjilG_26164 transcript:OIV99826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTSFLHEKGDAFLPQSEQSSYFLSQPNPPSPPNLQSNTFIFNDKVLKVSPSVLLIIIILAIVFFISGLLHLLVRFLWRPQSRDHDDLDNVTALQGQLQQLFHLHDAGVDQSFIDTLPVFNYKAIIGLKNPFDCAVCLCEFEPEDKLRLLPKCSHAFHMECIDTWLLSHSTCPLCRATLLPEFSTNNTRSPFVFVLESGSESSREIVSEIEGIASGAVVGRTSSVITTKSRLGGSDFGSTRIDLPRKSGDLILNENPIPDQTVVGDGVEKVVTVKLGKFRNVDGDVVVGGEGSSSTNNVDDRRCFSMGSFAYVMDESASLQVPIRTPMKKQPSKKKSVLPLTPGHRPAMSECNFESRRDFKFAGFHNVTNYSNRSVESVGCSGSGGAAIGRNRKESFSISKIWLRGKKEKPNALADSTRRAVSFRYPVVGSDDLKAKNGKFDTRSTISMDIGKWENGGSEFGYDEENQSCYSMDSQATATSFARRTLLWLTGRQNKVVHSSSASNL >OIW00724 pep chromosome:LupAngTanjil_v1.0:LG12:9170672:9171874:-1 gene:TanjilG_09693 transcript:OIW00724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLWKKASGILKDRNSIWVARISWNGPFRNPDLETVIIKATSHDEHKIDCKSVQRVFQWIRASPLYLKPLVVALSIRMQKTRSWVVALKGLMVMHGVFCVEIPVMQKMGRLPFDLSNFSDGHMNPAKAWAFNAFVRAYFLYLDQKSAFLSSEAKKMSDRIKQRNYKDVRVEETLMEELEKLQKLQGLIDMLMQIKPRNVNMNVGLILEAMDCVIVEVFDTYSKFCNLIARVLLRIYDIGGKVEAGIALKVLQKATIQGDELTLYIEFCRDIGVLNASQCPRIQNFSEEDIQDLEKIISGASEMKKLEGNVANDEDKAIVIRHCEKESQNGLTTVITHQWEVFDDDIIIDDVKGNYNVFNYLRPDIVTTTNPFDESSSYSIVPYHPPHVHNQIVLPDLISF >OIW00636 pep chromosome:LupAngTanjil_v1.0:LG12:10324770:10325051:1 gene:TanjilG_09117 transcript:OIW00636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWQGQKLAEQLMQILLLAFAVIAFVTGYVLASFQLMVLIYAGGVVFTSLVTIPNWPFFNRHPLKWLDPIEAENHPKPQPAEKVTSKKKPVKK >OIW00454 pep chromosome:LupAngTanjil_v1.0:LG12:13497817:13503827:1 gene:TanjilG_05804 transcript:OIW00454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFDEYEYLEKTVEDHNDKVPSKKKDSDRSSRKRDVDDVLDAADDRRRSRRSRGDDENGSSREKERDKDRISTEREKGRDKDRDRERRDQRKREKERERYRRSRSRSERDTREVDFESRDARRGFRDKKEAVEPEADPERDQRTVFAYQMPLKATERDVYEFFSKAGKVRDVRLIMDRHSKRSKGVGNAIMMRDGGNTLHSCLINSCCVPKVDDIILLPVWSIPNFLPLCLSYNGNFICVLMNIFDISKETQLELSALEFLFPYISVLHALYIEFYDAMSVPMAIALSGQLLFGQPVMVKPSEAEKNLVQSNASSGAAGVAGPYGAVDRKLYVGNLHFNMTESLLREIFEPFGPVEVVQLPLDLETGHCKGFGFIQFAQLEHAKAAQSLNGKLEIAGRIVKVSSVTDHLGNQDTTAKSTDLDDDEGGMVLNAQSRVELMRKLAGDAVSLPIGNPGVVSTAAFPSQFIPTPVAETVGIPSECLLLKNMFDPSTETEPDFDLDIKEDVEEECSKYGRVKHIYVHKRSAGFVYLRFETVKASSDAQHALHMRWFARKLISAIFVQPHEYEAKFKGEC >OIV99847 pep chromosome:LupAngTanjil_v1.0:LG12:17458887:17461717:-1 gene:TanjilG_26185 transcript:OIV99847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGPPKLRAMNVASDSEARPVLVPSGNKTGPLSSRKPVSKPLRKPEKSRNKKPCELSSLVTSPLPSPQPQSVNVPSTLRRHEQLLHSNLSMNASCSSDASTDSFHCRTPTGRLGRSNSLGLTRKRSVSKPRSVASDGVLEYPPDGLQSKKRCAWITPNTDVAYFLFDFVQLIIVNKVLCREVFADFDLVAVSKFNERRTIASGTPASSLLSEVKLRAIIENARQISKVIDEFGSFEKYIWSFVNHKPIVSKFRYPRQVPVKTPKADVISKDLVRRGFRGVGPTVIYSFMQAVGLTNDHLISCFRFQECIDAAEGKEVNAIKDDSQQKQCDTVMESDMSIAIHNLSFTSE >OIW01002 pep chromosome:LupAngTanjil_v1.0:LG12:1305638:1308378:-1 gene:TanjilG_16251 transcript:OIW01002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDRTSETRSFFRGIDVNAAPPPSLTAATGGDDENGVSSPNSTVSSISGKRSEREGNGDDANAVERASCSQGGGSDDDGGGGDGDADADGENSRKKLRLSKEQALVLEETFKDHNTLNPKQKQALANQLNLRPRQVEVWFQNRRARTKLKQTEVDCEYLKRCCENLTEENKRLQKEVQELRALKLSPHLYMHMNPPTTLTMCPSCERVAVSSTSSSSSSATMPSALSLANRNPLGPNIQRPMPINPWAAMQIKHRPFDGPSSRP >OIW00070 pep chromosome:LupAngTanjil_v1.0:LG12:18880386:18888003:1 gene:TanjilG_26407 transcript:OIW00070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLYTCLSSLILLITFLFTSSVYSEDPYRFFTWKVTYGDIYPLGVKQQGILINGQFPGPQIDAVTNDNLIISVYNYLNEPLLISWNGIQHRRNSWQDGVSGTTCPIPPRKNFTYTLQVKDQIGTFFYFPSLGMQKAAGGFGGIRIWSRPRIPVPFPPPSGDFTILAGDWFKLDHHRLRRVLENGHNLPFPDGLLINGRGWNGNTFTIDQGKTYRFRISNVGLTTSINFRIQQHKLKLVEVEGSHTLQNYYSSLDIHVGQSYSVLVTADQPVKDYYIVVSTRFTRKILTTTSILHYSNSRIGVSGPVPGGPTLDIASSVFQARTIRRNLTASGPRPNPQGSYKYGLINPTRTIMLANSAPYINGKQRYAVNSVSHIEPDTPLKLADYFNIPGVFYVGSIPTNPTGGNAYLQTSVMGANFHEFVEIVFQNWEDSVQSWHIDGYSFFVVGFGSGQWTPQSRSGYNLRDTVARCTTQVYPKSWTAIYMALDNVGMWNIRSENWARQYLGQQLYLRVYTASNSWRDEYPVPKNALLCGRASTLNTVASLGGQSTNMADVVQYRLERMLDELDDLEQRGLFSRREIAEIVKQRRKFEYRLKRPCPLKQDFLAYIEYESQLDALRSLRMKSVAREMKKQGNKNLKKSKSDIAGLRRIMDIYEIALKRFKGDLQLWFQYLEFCRNKKNGRMKKGLAKVIRFHPKVPGVWIYAAAWEFDHNLNVTAARALMQEGLRVCPTSEELWVEYLRMELTYINKLKARKVALGEDEGTLTRDPKTAVEKQWRDENKELFMPLGGKASNDEPDVESEEPNKKKELFEEHGMNIFRTVYSAAVEAVPLSLSLRKCFFEILEGTNLAHFEDMRKEILGDMKRDFSTVPEFWDWLARHECDLENAQADISEEVITSEMHKAIQVYEEALKNVSSGTMYSLYSNFLMGIVAHKEGETNISGLSGHTENYISHLLKIYERAESLGCITEDLACKHVSLHLQLRQLDEGRKLAATLCSGKLAESVQLWELRITIEIRCITRKSPSPSDADLQSLFELLRQILTKVPVSKSENLWVKAFKFYTNQRQYFDKLVEISVSSLTRDGGSESGFSLSSTIVSFILQKDGIQQARDLYKRYLALPHPGLALYRSCIGLETNVASIGDKSGLINTRKLYESALATYDQNVSLWQDYYRMETKMGTSEKATAVYWRARRILKDATEFVASSNL >OIW00837 pep chromosome:LupAngTanjil_v1.0:LG12:4612058:4619011:1 gene:TanjilG_12241 transcript:OIW00837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCCRSPAAVAREDVKSSFSGHDHGKRESSSGAAKKLPVTVLGGVPKENIEEKYLVDRELGRGEFGVTYLCIDRGSRELLACKSISKRKLRSAIDVEDVRREVAIMRHLPRSNSIVSLREACEDDSAVHLVMELCEGGELFDRIVARGHYTERAAAAVTRTIMEVVQLCHKHGVIHRDLKPENFLFANKKENSPLKAIDFGLSIFFKPGERFSEIVGSPYYMAPEVLKRNYGPEIDIWSAGVILYILLCGVPPFWAESEQGVAQAILRGLIDFKREPWPSISESAKSLVRQMLEPDPKLRLTAKQVLEHPWLQNAKKAPNVPLGDVVKSRLKQFSVMNRFKRKALRVIADFLSTEEVEDLKDMFKKMDSDNDGIVSIEELKAGFQNFGSQLADSEVQMLIEAVGSNGKSTLDYGEFIAVSLHLKRLANDEHLRKAFSYFDKDGDGYIEPDDLRNALMEDGADDSTDVANDIFQEVDTDKDGRISYDEFVAMMKTGTDWRKASRHYSRGRFNSLSLKLMKDGSVNLGTE >OIW00609 pep chromosome:LupAngTanjil_v1.0:LG12:10755543:10758457:1 gene:TanjilG_21824 transcript:OIW00609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQFDNRNSSASKRARTDGSRREDDWTCPSCGNVNFSFRTTCNMRNCTQPRPADHNSKSAPKPLPAPQGFSTSASYLGSNVPSSMYLGVPPYGSSLFNGSPILPYDPFSGRIAYHHDYGSRLPTGSPHRPLLLSGPTPYSSGSMMGNGGIYGLPPLVDRFGVGIPIGPGPLGLRPGFFPEENSPKRGTDTTRDDDWKCPNCGNINFSFRNVCNMRKCNTPKPVSQVSKSDKNSKQKMPEGSWKCEKCNNVNYPFRTKCNRQNCGAEKPTESSKSPSPASGQNDQDMFGLRRSRFISNIAQFGCLTVGLVVIYVAAVVKSLTFSLLSVSCYSN >OIW00563 pep chromosome:LupAngTanjil_v1.0:LG12:12449839:12450282:-1 gene:TanjilG_24293 transcript:OIW00563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTPTLSGTMVSSTSFLRSQPMATSLRAFPNMGEPLFGVKGGRSLVIAMATYKVKVITPDGPKEFECPDDVYILDQAEEEGLDLPYSCRAGSCSSCAGKVTEGKVDQTDGSFLDDDQVDEGFVLTCVAYPQSDVVIETHKEEELTG >OIW00180 pep chromosome:LupAngTanjil_v1.0:LG12:16181479:16181796:-1 gene:TanjilG_29170 transcript:OIW00180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGTLLQETKESLQLQPKISVSGQKMVQFRVAPRSRSACTCSNRTGSVICVRHGYLVPPSEKRMKKRLASKEILRRALTPAPKRLALRWLNFRPTPSRLSNMSMA >OIW00733 pep chromosome:LupAngTanjil_v1.0:LG12:9262835:9268597:-1 gene:TanjilG_09702 transcript:OIW00733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWPTLVANKILKKRLGSSNFIADYPTYEEPLLGTIDIDQSSKTILHVQKNTQKYKVFVSTWNVGGIAPDEDLNIEDLLETCNNSCDIYVLGFQEIVPLKASNVLGSENNEISTKWNSIIRDSLNKRTSLQFDDNKHELKNISPNYDKIESPDEQYSKVSQHFECIISKQMVGIFISVWTRSDLRPFIQHPSVSCVGCGIMGCLGNKGSVSVRFLLHETSFCFVCSHLASGGREGDQKNRNSNVAEIFSRTSFPRGPLFDLPRKILDHDHVILLGDLNYRISLPEETIRLLVENGDWDSLFENDQMNLYVKNN >OIW00311 pep chromosome:LupAngTanjil_v1.0:LG12:15309195:15309757:1 gene:TanjilG_27562 transcript:OIW00311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNYYHHHFDNNDALLPPGFRFHPTDEELITYYLLKKVLDNTFTGRAIAEVDLNKCEPWQLPGKAKMGEKEWYFFSLRDRKYPTGLRTNRATESGYWKATGKDREIYSSKTCALVGMKKTLVFYKGRAPKGEKSNWVMHEYRLEGKFAYLYLSRSSKVS >OIW00204 pep chromosome:LupAngTanjil_v1.0:LG12:16350519:16356443:-1 gene:TanjilG_29194 transcript:OIW00204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRNPPCTPGGSSKVREEKIGVTVRMRPLNPKEQAMYDLIAWECLDGNTIVFKNPNQERPPTPYTFDKVFAPTCSAHKVYDEGAKDVALSALSGINATIFAYGQTSSGKTFTMRGITENAIRDIYEYIQNTPERAFILRISALEIYNETVIDLLNRESGALRLLDDPEKGTVVEKLNEKVAKDGQHLRHLIGICEAQRQIGETALNDKSSRSHQIIRLTVESSPIDSSGHLKSYVASLNFVDLAGSERISQTHTCGARLKEGNHINRSLLTLTTVIRKLSGGKRSGHIPYRDSKLTRILQSSLGGNARTAIICTISPALSHVEQTRNTLTFATSAKEVINNARVNMVVPDKTLVRQLQKEVARLEGELQSPDRSSSSCLKSLLAERELQIKLMISSLQNFKHLSKACEIQDMQMERDIEDLRRQRDLAQSQLKLERRTNKVQKGSNDYGPSAQVVRCLSFPEENESTSIGKLTPVRRAAVGRQVMLKNLLISPDPSILASEIQKLEHRQLQLCENANQALEVLHKDFATHKFGNQETAETMSKLLSEIKDLVLATSTAEEIVTADKVNLMEKITQLDCQGNTIASLERKLENVQKSIDKLVSAFSTEEAPESKAHLKRKKILPFTLSNSPNMQHIIRAPCSPLSSSCKAMEHEIENRVPPKNNNAFSGSDASESLHKDTSRNDEQSCNSILTRGGSPSTGRSKSVNVKKIQKMFKNAAEENIRSFRVLELEANKSVNEETDIVDRSPLSWHIMGDPSDQMYMEVELRRLTWLEQHLAELGNASPALLGDEPASSVSASIKALKQEREHLGKRVSYKLSAEERELLYAKWEVPPVGKQRRLQLVNKLWTDPYNMQHVQESADIVAKLIDFCGSDENSKDMFELNFASPSNKKTWGGWNFISNLLNL >OIW00050 pep chromosome:LupAngTanjil_v1.0:LG12:18750868:18752316:1 gene:TanjilG_26387 transcript:OIW00050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLVAEEQSLKIYFIPFLAYGHMIPLCDIARLFSSRGHHVTIITTVANAETLNNSISNHFHIHTIPFPSQQVGLPHGIEIVSVSEDSISNKVFHAAMLLKEPIELFIEENPPDCIVADFLYPWVDDLANKFHIPRLAFNGFPLFSVCAFDSFYANPNLDDNHDSYVIKDFPHVITMKDTPPKEVYTFLEQMRKTELKSYGLIVNNIAEIDGEEYIQHYEKTTRHKAWHLGPASLVLKSDEEKVERGQKNVVSVHECLTWLNSKPVNSVLYICFGSLCHFPDKQLYEIACGVEASGHGFIWVVPEKKGKENESNEEKEKWLPKGFEERNKMKGMIIKGWAPQVLILNHSAIGAFLTHCGWNSTTEAISAGVPLITWPLHSEQFYNEKLITQVRGIGIEVGAEVWNSSGSWKTDKLVGRDQIEKVVKRLMEDGDEAKQIRNRAHEFGEKARQALQQGGSSYNNLTALIDNLICLRDQNFLGRF >OIW00246 pep chromosome:LupAngTanjil_v1.0:LG12:14731580:14733009:-1 gene:TanjilG_27497 transcript:OIW00246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMLSFVKNGIRRLPLGFRFQPTDEELVFQYLKCKVFSYPLPASIIPEINVCKYDPWDFPGNWEQEEMYFFSSKEAKYRSGKRMNRTTISGYWKATGSDKRILSSTSSCDSIVGIRKNHVFYQGKSPNGSRTDWVMHEYRLVTIQNNYVSEIGDWVLCRLLIKKRSVIESDGSSNHVHKRNTVQGLPRLFDFIIVSKKSRHSYASSSCSSSSDNLEVSSNALDHEETSG >OIW00466 pep chromosome:LupAngTanjil_v1.0:LG12:13618907:13620163:1 gene:TanjilG_05816 transcript:OIW00466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRDDLYITAPSFFRCPISLDVMKSPVSLCTGVTYDRTSIQRWLDNGNNTCPATMQVLYTKDFIPNSNLQRLIQIWSDSVQQRVDSPESRAVTESDSVPSRDQVLIAVADVEGNSRLDPLVKIVRFALDSDENRDFLVKIVGFVARLVGLLLNVDGGVEFLELVVKALDLVLEKIEDHEWLNNSMLKKQREGESRCDCLDSLLLVLQEGGSDSRIASVRVLKSIAMDAESKQLIAEKEGLLSELLKLITPEKDPLLIENGLSCLIAITTPKQNKVKLVNLGAIRTFSKLLLEPNMSVSIVEKVLKLLETMSSRKEGRVEICEEPECVAAILNKVIKISNVATEHAVTTLWSVCYLFRDQKAQEAVIKANGLTKILLLMQSNCSPSVRKMCSELLKIFRVNSKSCLSCYDTKTTHIMPF >OIW00352 pep chromosome:LupAngTanjil_v1.0:LG12:13950538:13954431:-1 gene:TanjilG_29919 transcript:OIW00352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPPLPVAYQAWTSQAVPDWLNKGDNAWQMVAATLVGIQSMPGLVILYGSIVKKKWAVNSAFMALYAFAAVIICWVTWGYKMSFGEKLLPFWGKAGPALGQSFLIKQAALPATPHYYKNGVLETAEIEPYYPMATMVWFQGVFAAIALVILAGSVLARMSFKAWMLFVPLWLTFSYTVGAFSLWGGGFLFQWGVMDYSGGYVIHLSSGFAGFTAAYWVGPRLKKDRERFPPNNVLLTLAGAGLLWMGWAGFNGGDPYSVNTDSSMAVLNTNICAATSLLVWTWLDVIFFKKPSVIGAVQGMITGLVCITPAAGLVQGWAAIVMGILSGSVPWFTMMILGKKFILFQIVDDTLAVFHTHAVAGLLGGILTGIFAEPRLSALFLPVTNSRGAIYGGSGGVQILKQIVGALFIAGWNILATSIICFVISLIVPLRMTEDELSIGDDAVHGEEAYALWGDGEKLSLYKDEATNHGGVIMSSGATQVV >OIW00910 pep chromosome:LupAngTanjil_v1.0:LG12:2416390:2422171:-1 gene:TanjilG_06290 transcript:OIW00910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYSAYNIQQQQQQQEAYNYDPSSYQMQPYNPSYPYQQYYPYSQQYPYYPHTVSDTTYQPHLQYQPETNPVHPPGVNPTATEPLSRTPAHVPTGPSQYRGRGGRAFRGGGRGRGRRLNGGKHFPSHAPAAAGATSVIHPSSSVSGQTPAHVPAAPLQPPSRHVQCEICKVECNTLEILEQHKNGKKHKKNMKVHEELQRRKGTNGQQSVLIHSPQLDLTYQPKQVQESERKGFPTGYMGSEVTAITGQQNLLIPSTQSNYTATQVQENMVSEVTPDKELQNNEGQTSQAPAEELAGKTTDNSAVRGRGLKRKTKGGRGGKSMRTDDGSRRRVEPPKPQLAKSFICEVCNVKCESQVVYDSHLVGKKHLARLNRVHGRQSTVAGFQTLYPTTDINALANAINVQIQQGDSDPQVLLAQLLVTLISQTQQPATTPTSSSLAATQVTAAASVAGSSNEPQLSQTQTSETTSKVEMGNPTGEANNMLLSAPLLSDLPGSISALSNSITAQIQQGVSDPQVLLANLLTTILSQTQVPAIAPPSVATQIPAPSSLAESNIGPQLLPAQVSEVTAHVEMENPAAETKNQPPSVALELDAPAGLE >OIW00763 pep chromosome:LupAngTanjil_v1.0:LG12:7313251:7318953:1 gene:TanjilG_13137 transcript:OIW00763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNNKNNSNSWEDGKLMRHLNSLIVAHLRHNNLIQAATAVASATMIPLNVDVPPNKLIQLVAKGLAVEKDDVLRGTSSSLFGDLNASLPVAPQPSTTAVDFRQLFYFFRYSAVLDTKGVSKSFPKHETRHLSEHKNIARCAKFSPDGKFVATGSADTSIKLFEVSKINQMLLPDTKDGPVRPVVRTFYDHIQPINDLDFHPQGTILVSGAKDQTIKFFDISKAIAKRANRVIQDTHNVRSVSFHPSGDFLLAGTDHAIPHLYDINTFQCYLSANTPEVGPNGAINQVRYSSTGAVYVTASKNGAIQLWDGITANCVRSITGAHGTAEATSAIFTKDQRFVLSCGKDSTIKLWDVGSGRLVKQYVGAIHTQLRCQAIFNETEEFVLSIDEMTNEIVIWDAMTTEKVAKWPSNHAGAPRWLEHSPVESAFISCGTDRSIRFWKESL >OIV99746 pep chromosome:LupAngTanjil_v1.0:LG12:16656215:16658362:-1 gene:TanjilG_26084 transcript:OIV99746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEITSAVAVPFTLKNLNQRESSVKTHMEIKLMANTAATLISNPSVEGNCQSSYSVASENHADVRLQHQITVSAEVKENLAGSALVSEVVIQCDSNWILTENHNQPRKEDELMLAVGFHCLHNDKRNPSEEKSAYSEIDSPIITKVGDNNIHGKSVQDIVSVAVDVEIEDQSGSDGSDPKPSSVLLDQLPEEKKTSYQNALDTSRGPLYGSSSICGKRKEMEDAIALKPQLFEVSSKMLTDDRVNGDTKYSLAHFFGVFDGHGGFQVANYCRERLHSALIEEIEAEQARLSETTSGDDWQDHWKKALWNCFQRVDDEVGGIRTGNSENNGGGSQTNVEPLAPDTVGSTAVVAILSQSHIIVANCGDSRAVLYRGKEALPLSVDHKPNREDEWARIEAAGGRVINWKGYRVLGVLAMSRSIGDRYLKPCIIPEPEVMFVQREKNDECLILASDGLWDVVTNEEACEVARKRILLWHKKYGSNGSTGPSEGGVDPAAQHAAEYLSRLALQRGSQDNISVIVIDLKAQRKIKRNP >OIV99906 pep chromosome:LupAngTanjil_v1.0:LG12:17883254:17885085:1 gene:TanjilG_26244 transcript:OIV99906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNFLSTANPFLVLFSSSSSSPSFSLGALTPTYFSVKRQLPICKTVNQPARNPLSVTKRGLYISFLTSFVLTLAGKGCFDANAAILEADDDVELLEKVKLDRKKRLERQGVISSSTKETGYLQDVVYKLSKVGEAIENNDLPTASSVLGKGTNTDWVQKTTIALTKLSSSPEEKTEVDAFNSSLATLISSVAKNDVESSKVAFVSSASAFEKWTSLTGLVVQLKGL >OIW01036 pep chromosome:LupAngTanjil_v1.0:LG12:237373:246581:1 gene:TanjilG_14219 transcript:OIW01036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKYTSVRKQVGILQEHENTNNKTNMNSIWLLFKLGKKIKQQPKIYGRAHPFTMHFRIFGFLWSFAVYLEAISVLPQLRYMQNAKMIEPMTGKYVFALGLSKFLGLANWIIQIYETRGHYFFWDEGGYFWYVTGFIAEMVQSFILADFCYYYIKSFMQGQLLKKMPV >OIW00839 pep chromosome:LupAngTanjil_v1.0:LG12:4738663:4740915:-1 gene:TanjilG_12243 transcript:OIW00839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIDYYKILNVDRSAKDDDLKKAYRKLAMKWHPDKNPNNKKDAEANFKQISEAYDVLSDPQKRQVYDQFGEEGLKGQVPPQSAGGFSGGSDGGGPTMYRFNPRNADDIFSEFFGFTSPFGGIGDMGGRAGASGFPRGMFSDDLFSSFRSGAGEGSGNVHAPRKSAPIEKTLHCNLEDLYKGTTKKMKISRDVTDSSGRSTTVEEILTIEVKPGWKKGTKITFPEKGNEQRGIIPADLVFIIDEKPHSVFKRDGNDLVVTQKISLVEALTGYTIQLTTLDGRNLTVAINSIISPSYEEVIKGEGMPIPKEPSKRGNLRVKFNIKFPSRLTSEHKIGIKRLLTSP >OIW00344 pep chromosome:LupAngTanjil_v1.0:LG12:15582813:15583687:-1 gene:TanjilG_27595 transcript:OIW00344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLRVLVLGMLLFVCLTKVSSDLKIEKEDEELTLLNELPIVRDVNRRLMQEIDCGGLCKSRCSVHSRPNLCNRACGTCCVRCKCVPPGTSGNRALCGTCYTDMTTHGNKTKCP >OIV99768 pep chromosome:LupAngTanjil_v1.0:LG12:16838917:16842932:1 gene:TanjilG_26106 transcript:OIV99768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMQLKPFTLYLGQSQPRNLNFPNKHKIITCCSVRDSVSNTQNHKLVHQFNPKIPIEEAVTPPTSWYTDPSFFHLELDRVFYTGWQVVGSTEQIKDALDFFTGRLGDVEFVVCRDESGKVRAFHNVCRHHASLLASGSGQKSCFVCPYHGWTYGLNGALLKATRISGMRDFNLKDFGLIPIKVATWGPFVLINLEKESLSLNEVDSHNVASEWLGSCSEILSTNGVDSSLSYVCRREYTIECNWKVFCDNYLDGGYHVPYAHKGLASGLKLDSYSISMFERVSIQSCESNSGKSKENYDRLGRKPIYAFIYPNFMINRYGPWMDTNLVLPLGPNKCQVVFDYYLDHSLKDDKDYIENSLQDSEIVQIEDIVLCEGVQKGLQSPAYRVGRYAPRVEQAMHHFHCLLYENLTK >OIW01070 pep chromosome:LupAngTanjil_v1.0:LG12:561340:567454:-1 gene:TanjilG_14253 transcript:OIW01070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAITAWMKKVASTASSSPLMHHSSYKFFYRTFSVTTSLSEPVRVRFAPSPTGNLHVGGARTALFNYLFARSKGGKFVLRIEDTDLERSTRESEEAVLRDLSWLGLEWDEGPGVGGDYGPYRQSERNSLYKQYAEKLRESGHVYCCFCSNEELEKMKVVAKLKNLPPVYTGKWASATNEEVEEELAKGTPYTYRFRVPEGSLKINDIIRGEVTWNLATLGDFVIMRSNGQPVYNFCVTVDDATMAISHVIRAEEHLPNTLRQALIYKALGFPMPHFAHVSLILAPDRSKLSKRHGATSVTQFREMGYLPQAMVNYLALLGWGDGTENEFFTLDQLVEKFTIERVNKSGAVFDSTKLRWMNGHYLRGHPEEEFTNLIGEHWKTSGLLTVSAGPFIDDAAQLLKDGIDLITDAEKALVIFLSYPLNLTLRSQDAEPVLQDNLSEFSASLLGAYESGDLVSALESQASWQKWVKEFGKSRNRKGKSLFMPIRLLLTGKLHGPDLGASVLLLHKAGTTSILAPEVGFVTLDERFKILGQINWETLSKDHPIKETATSV >OIW00340 pep chromosome:LupAngTanjil_v1.0:LG12:15539648:15544224:-1 gene:TanjilG_27591 transcript:OIW00340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDHKRKRGRKPKNPSPETLDTAPNTSTATVTVDKAFSVGNVELIENPSSPRLSRRRGRPKKLPNHSDKPVSPASERRFTRTAVENGADFAVPNDAAASPTAVVGMEADPAWESVSARVLPAMDSVVKVFCVHTEPNFSLPWQRKRQYSSSSSGFVIGGRRVLTNAHSVEHYTQVKLKKRGSDTKYLATVLAIGTECDIAMLTVDDDEFWQGMSTVEFGDLPTLQDAVTVVGYPIGGDTISVTSGVVSRIEILSYVHGSTELLGLQIDAAINSGNSGGPAFNDKGNCVGIAFQSLKHEDAENIGYVIPTPVIMHFIQDYEKNGKYTGFPILGIEWQKMENPDLRTAMGMRPNEKGVRIRRIDPTAPESKVLKPSDVILSFDGVDIANDGTVPFRHGERIGFSYLISQKYSGDDAAIKVLRNSDVFRFDIKLHSHRRLIPAHSKGKPPSYYIIAGFVFTAVSVPYLRSEYGKDYEFEAPVKLLDKLVHAMPQSPDEQVVVVSQVLVADINIGYEDIVNTQVVGFNGKPVKNLKSLATMVESCDDEYLKFDLEYAQIVVLRTKTAKAATLDILATHCIPSAMSDDLKS >OIW00930 pep chromosome:LupAngTanjil_v1.0:LG12:1583088:1585975:1 gene:TanjilG_10008 transcript:OIW00930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVERVSEDLSDMQRWSFLIFGGLTWIYLTARPGVLVGAIDAYLLAPLQLALDNLSGRRKNLKSSDFVVGDKLGEGSFGVVYSGVLLPKNVNVGVEEERVQKKRGGRSKTATTTTKLDVKSKDKVILKKKAGEKYSVADQWNMQVKVGIRGAEEFGDFEEWFNYRLSRAAPETCADFLGSFVADKTNSRFTKGGKWLVWKFEGDRTLGDYMKDRNFPSNLESVMFGRVLQGVDSSKRNALIIKQIMRQIITSLKKIHNTGIVHRDVKPANLVVTRRGQIKIIDFGAATDLRIGKNYVPNLALLDPDYCPPELYVLPEETPSPPPAPIAALLSPILWQLNSPDLFDMYSAGIVLLQMAIPTLRSSSALKSFNSEIKTCGYDMRKWRDYTRLRPDLQVLDSESGRGWDLATKLISERGSLRRGRLSAAAALRHPYFLLGQGDQAAAVISKLSLTRK >OIW00422 pep chromosome:LupAngTanjil_v1.0:LG12:13222358:13223637:-1 gene:TanjilG_05772 transcript:OIW00422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSYSCNENTNTPLIVFFDLETTVPKKSGQKFNVLEFGAIVVSPQKLSEIESYTTLIRPKDLSVVSVKSSRSDGITHEAVKNAPSFEDVAERIFSILDGRVWAGHNIRSFDCVRIKEAFDEINMPAPVPVGMIDSLGVLSEKFGRRAGNMKMSTLASYFGLGQQKHRSLDDVRMNLEVVKHCATVLFLESSLPSTMHSKWYGSSSIMTRSRTNGNSPCREESSRKSPPTSLSLGYQRTVPYAARGSLGKMTEGVKSLLFKAQTQQSLNQILRNSHSLLR >OIW00435 pep chromosome:LupAngTanjil_v1.0:LG12:13339234:13340785:1 gene:TanjilG_05785 transcript:OIW00435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQEELDLFIKLLRDAANDIAAVDISAKISTLAAGMSCRMVLGRKYMDNEFDEKGFKAVMKEGMHLAAAPNIGDYIPYVGALDLKGLKKRMKVVNKIFDDFFDRVIDEHMKSDSREDKIKDFVDVMLGFVGTEESEYRIDRPNIKAILLDMLAGSMDTSATAIEWAFSELMRHPRVMKKLQMELETVVGMKRKVDESDLDKLGYLNMVIKESMRLHPVAPLLIPHQSMEDCIVEDYFIPKKSKVIINAWAIMRDPSAWSDPEKFWPERFEGSNIDVRGRDFQLIPFGSGRRGCPGLQLGLTMAHLVVAQLVHCFDWKLTNDMLPIDLDMTEHFGLSMPRAKHLVCIPAYRLHDEKD >OIW00183 pep chromosome:LupAngTanjil_v1.0:LG12:16196633:16202559:-1 gene:TanjilG_29173 transcript:OIW00183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIVNLDKEGQKRMGVGKYELGRTLGEGNFGKVKFARNTDSGQPFAVKILEKKKIIDLNTTDQIKREIASLKLLKHPNVVRLHEIFKGDVKIPKWLSSGAQNMIKVILDPNPKTRITISEIKEDPWFKEDYNPANHDDEDEDINIHNEALSINEVPHDAEERSLTSPTLINAFQLIGMSSCLDLSNFFEKEDVSERNIRFTSNLSAKDLIERIEDTVTEMGFRIRNKNGKLKVILENKTHKSLGCLSVATEVFEISPSLYVVELRKSYGDASIYRQLCKRLSNDLGVPPNHMEVVSSGSQVN >OIW00514 pep chromosome:LupAngTanjil_v1.0:LG12:11905351:11908035:1 gene:TanjilG_24244 transcript:OIW00514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAFVVNGDDSLTGHIISTTIGGKNGEPKQTISYMAERVVGTGSFGVVFQAKCLETGEAVAIKKVLQDRRYKNRELQLMRMMDHPNVISLKHCFFSTTSTDELFLNLVMEYVPESMYRVLKFYTNANQRMPLIYVKLYMYQIFRGLAYIHTVPGVCHRDLKPQNILVDPLTHQVKICDFGSAKVLVKGEANISYICSRFYRAPELIFGATEYTTSIDVWSAGCILAELLLGQPLFPGENAVDQLVEIIKVLGTPTREEVRCMNPNYNDFRFPQVKAHPWHKIFHKRMPPEAIDLASRLLQYSPSLRCTALEAYAHPFFDELRQPNARLPNGRPFPPLFNFKQLSGASPELINKLIPDHVKQQMGLQLAHLSGT >OIV99966 pep chromosome:LupAngTanjil_v1.0:LG12:18239214:18240895:-1 gene:TanjilG_26304 transcript:OIV99966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKTLEKYQKYSYSALETNRSINDTQTNYQEYVRLKANVEVLQRSQRNLLGEDLAQMNTSELEQLENQLEAALRNIRSTKTQFMLGQLADLHNRETVLVETNNALRSKLEETNNSQVPAAPSLEAGGSNIHYTRFPPHSEGFFQPLRVNPSLQIGYSTTGADDVNVGASSLNMRGFVSGWML >OIV99921 pep chromosome:LupAngTanjil_v1.0:LG12:17965976:17968287:-1 gene:TanjilG_26259 transcript:OIV99921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRVLQPPLVDSTACLCRVDTGLKTVTGARRYVPGTKLCLRPDIKSSIHPTRNKPTRGDRSRNQSPLLPGLPDDLAIACLIRVPRAEHRKLRLVCKRWYRLLAGNFFYSLRKNLGIAEEWIYVIKRDRDEKISWHAFDPVCQVWQPLPPVPKEYSGALGFGCAVLSGCHLYLFGGKDPLKGSMRRVIFYNARTNKWHRAPDMLRRRHFFGTCVINNCLYVAGGENEGVHRSLRSAEVYDPNKNRWSFISDMSTAMVPFIGVVYEGKWFLKGLGSHRQVLSEAYQPETDSWYPVFDGMVSGWRNPSTTLNGQLYALDCKDGCKLTVYDEATDSWSKHIDSNMHLGNSRAMEAAALVPLNGKLCIIRNNMSISLVDVSKFEDLKGSSAEHLWETIAGKGQFKTLVTNLWSSLAGRNRLKSHIVHCQVLQA >OIW00976 pep chromosome:LupAngTanjil_v1.0:LG12:956085:956577:-1 gene:TanjilG_16225 transcript:OIW00976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVSQHSKFFCEFCGKYAVKRKAVGIWGCKDCGKVKAGGAYTLNTASAVTVRSTIRRLREQTEG >OIW00843 pep chromosome:LupAngTanjil_v1.0:LG12:4902341:4908604:1 gene:TanjilG_12247 transcript:OIW00843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIALFSPSSLFSEEDDISTHEENAETQESYVERKHQFPGMELIIREFSFHQLNANLLWPGTFAFAEWLVQHRSCIEGRRAIELGSGTGALAIFLQKTYNLDITTSDYEDQEIEDNIAHNCRANEIPVIPHIKHTWGDKFPISDPDWDLIIASDILLYVKQYANLIQTISFLLKSYKPRHTKALSPTREDEKNAGDVVLPWPAFLMSWRRRIGKEDELLFFNGCENAGLEVQHIGSRVYCIVLKGNEKS >OIW00015 pep chromosome:LupAngTanjil_v1.0:LG12:18565364:18566698:1 gene:TanjilG_26352 transcript:OIW00015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIETEGMKGGVGGRVGVGEDEMSDGMQCIDHPFRNNNNPGGICAICLQEKLAKLVSSSFPLPILPSTSSSSSPSFSSNNIASTSSSTIRPSPSITLATLTTPPPPPPPPPPPSSATSLVCPTNGTDSHHHQYCTRRTRIPFLLPKKKKKASPTSNNSNVVILKRSKSTATPSSRGNLYVDEDLTSHRKRNGFWSFLYHSSNSKSSSSAKKLKSKSFRDPTRISSTINVPNTSSSSSTTLKAKEKCKSEIVVVEDDNNSCNSNTTTVSAASSFERKVSRSRSVGCGSRSFSGDFFERISTGFGDCTLRRVESQREGKTKVNNAAAATTASGAAMNRERVRCGGLFSGFMMTSSSSSSSSSSSYWVSSSATENNNNNDIAMNNGKSVALSHGRAKNWGWAFASPMRAFTTKPSSSKENRRDIVRDAKNKNATPNLSAIPSLLSATG >OIW00424 pep chromosome:LupAngTanjil_v1.0:LG12:13227521:13230819:-1 gene:TanjilG_05774 transcript:OIW00424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIGKQKNKIIVKASKSDEVDISLSPRVNSLKPSKTVAISDQATALVQAGVPVIRLAAGEPDFDTPSVIAEAGINAIREGYTRYTPNAGTLELRQAICNKLKVENGISYTPDQIVVTNGAKQSITQAVLAVCSPGDEVIIPAPFWVSYPEMARLADATPVILPTSISDYFLLDPKLLESIITEKSRLLILCSPSNPTGSVYPKKLLEEIAQIVAKYPRLLVLSDEIYEHIIYAPATHTSFASLPGMWDRTLTVNGFSKAFAMTGWRLGYLAGPKHFVAACGKIQSQFTSGASSIAQKAAVAALGLGYAGGEAVSTMVKAFRERRDFLVKSFSEMDGVKISEPQGAFYLFIDFSSYYGREAEGFGIIEDSDSLCRYLLDKGQVAVVPGGAFGDDTCIRISYAASLTTLQAAVERIKKALTHLTSHALV >OIW00669 pep chromosome:LupAngTanjil_v1.0:LG12:8177864:8183157:1 gene:TanjilG_09638 transcript:OIW00669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARNLIIRSSSIFRKAIENRVRPFCIYPHSQSHSLSSSTSLTPHSSTPSFFAAATNFSPPLHHRRSLSSAPPSTDIVLVNSDDEFHSILNKVQDNSLNAVFYFTAAWCGPCRFISPIVGDISKKYPHVTTYKIDIDQEAIQVTLGQLQITSVPTLHIFQNGKKADEVIGADVTRLNYITEKLFKKD >OIV99778 pep chromosome:LupAngTanjil_v1.0:LG12:16931282:16938383:-1 gene:TanjilG_26116 transcript:OIV99778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQEGKKLQKSYFDVLGLCCSSEVPLIENILKPLEGIKEVSVIVPSRTVIVVHDILLISQLQIVKALNQARLQANIRVYGDEKNQKRWPSPYAIASGVLLLLSFLKYVFHPLQYLALGAVAAGAFPIILKAIVSIRNLRFDINILIIVAVVGTIVMNDYLEAGTIVFLFSIAEWLETRASHKANTVMSSLMNIAPQKAVIAETGEVVDADVVKLNTILAVKAGEVIPIDGVVIDGNCEVDEKTLTGESFPVPKQKDSIVWAGTINLNGYISVKTTALPEDCVVAKMAKLVEEAQNSKTSTQRLIDKFAKFYTPAVVVISTLVAVIPLALKVHNERHWLHFALVVLVSACPCALILSTPVATFCAYTKAATSGLLIKGGDHLETLAKIKVMAFDKTGTITMGEFMVTNFQSLSDDIDLNTLLYWVSSIESKSSHPLAAAIIDHGRSLLIEPKPEKVMEFENFPGEGICGKIDERVLYIGNRKIATRAGSETVPTLQGENQRGKTTGYIYSDATPVGIFSLSDACRSGVQEAIGQLKSLGIKTIMLTGDSEAAAIQAHEQLGKALELVHAELLPEDKVKIISEFKKEGPTAMVGDGLNDAPALATADIGISMGISGSALASETGNIILMSNDIRKIPEAIKLARKSHRKAIENIILSVITKAAIIGLAIGGYPIIWAAVLADVGTCLLVILNSMLLLRKGHNHGGISCRSSSTQPHIHKNGCGGTTCS >OIW00236 pep chromosome:LupAngTanjil_v1.0:LG12:14652718:14657939:1 gene:TanjilG_27487 transcript:OIW00236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSYSKIAMNKRGQFINNNTRDRGEGQNEAEQALFRELWEACAGPLVTAPREGELVFYFPQGHIEQVEASTNQVPNENMPVYDLRSKILCRVMNVVLKVEPYTDEVFAQVTLVPEPIQDENKVEKEPPLAPLPRIHVRSFYKTLTASDTSTHGGLSVLKRHADECLPPLDMTKDVPTQELVTKDLHGNEWRFKHIYRGEPRRHLLQSGWSVFVTSKRCENGELRVGVRRAMRQQVNVPLSIISSHSMYLGVLATAWHAASTRTMFTVYYKPRTSPVGFIVPYYQYMESLKINYTNGIRFKMRFEGEEAREQRFTGTIVGIEDVDPKKWPDSKWRNLKVRWDETSNIPLPDRVSPWMIEPYLAPPSLNLISIPRPKRHRPNVVPSSPESSVLTREGTSSKVTTNPFPASGYSRVLQGSEFSTLRGNWVGSNTSDNGGKSIMWPPAVYDKKNDVVSTSRRFGPESWMSTRRHETYSDLLSGFGANRDLSSHIPSLLDQSGDVANAAKKHLLDREGEHNVMGSPCSVVPSSLSLDLVNSNTKGLAHGGDLPYQVRGNFRYSAFSEYPVYGGQKVEHPHGNLMMLPPPTTQYVSPHSREMSSKQISANTGEVVKPKEGNCKLFGFSLPSSPIVSGPSMSQRNVVNELVGPMHLPSHQNHTSENDQKSEHSRGSKPTDGEFVADDYEKQSKDVQPKLHNSFARSYTKVHKKGIALGRSVDLTKFGGYDELIAELDQLFEFGGELTSPKKDWLIVYTDNEGDMMLVGDDPWLEFCAMVCKMYIYPKEEIQKMNPGALGSKNKENHSGSKGADAPAVKCQPPHPGYNT >OIW00738 pep chromosome:LupAngTanjil_v1.0:LG12:9509515:9511926:-1 gene:TanjilG_09707 transcript:OIW00738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSRNFLPIIPFDEGYTPLFGDNNLAIHRDGKTVHISLDERTGSGFVSHDLYLHGYFSASIKLPADYTAGVVVAFYMSNGDMFQKNHDEIDFEFLGNIRGKDWRIQTNVYGNGSTNIGREERYGLWFDPAEDFHQYSILWTDSKIIFYVDNVPIREVKRTESMGGDFPSKPMTLYATIWDASDWATNGGKYRVNYKYAPYVAEFSDLVLHGCAVDPIEHVANCDNAPSSKAVPSGVTPVQRIKMDNFRKKHMTYSYCYDKIRYKSPPSECVINPKEAERLRRYDPVTFGNGRRHHGKHNNHGRGPKTEAVSF >OIW00781 pep chromosome:LupAngTanjil_v1.0:LG12:6551155:6553888:-1 gene:TanjilG_19586 transcript:OIW00781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSEKYVVDLNKPLVSQVGHLGEDYDEWVHQPIVSKEGPRFFGSNYLEFFTRTVWWVIPIVWVPVASWFISNSVRMGLAGPHVALFVVIGIFVWTFAEYMLHRFLFHVKTTSYWGNTFHYLLHGCHHKHPMDGLRLVFPPAAAAIIATPIWNLVKLICVPLTAPAIFGGILLGYVMYDCTHYYLHHGQPKTDMPKSLKKYHLNHHYRLWNYGFGITSPLWDFVFGTVPPPSKADAKHR >OIV99879 pep chromosome:LupAngTanjil_v1.0:LG12:17657797:17659033:-1 gene:TanjilG_26217 transcript:OIV99879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPSFVISLLLLSLLLTKAQGIRLGKGSIPVQQQKQHEEKNALLKRSNSDADGEAILCEDEQCTGKIKNRKLVTTPVSTTYTISKNMNKGENEAHPLVNSNITNVKVNGETNETKVKKLSTTSKNQNQHEEQYLDLEDITEMDYSPATRKPPIHN >OIW00912 pep chromosome:LupAngTanjil_v1.0:LG12:2445234:2445479:1 gene:TanjilG_06292 transcript:OIW00912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGGAAPQRGSAAAAASLRRRKTTSGGASGGAAGTMLQFYTDDAPGLKISPNVVLVMSIGFIAFVAVLHVVGKLYFVRKE >OIW00681 pep chromosome:LupAngTanjil_v1.0:LG12:8334574:8338195:1 gene:TanjilG_09650 transcript:OIW00681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLVKLAAIIFTVIAVLCSSTQAQPEAKFKCSTTDVTCHSLIDYKNPNGTTLRHIQTLFNVKHLQDILGANNLPTNTTGNYSVSPNEAIKVPFPCKCNNGTGLSNHVPVYTIKAGDGLYDIATTTFAGLVKFPQIQEVNNIPDENKIIVGDKLWIPLPCSCDEVGGESVVHYGHLVESGNSVEGIADKYGTTQQVLLTLNGISDPKTLQAGQVLDVPLKACSSNVRNDSLDFPLLVANATYIYTANNCVKCKCDSSNNNILQCEPSSQFKPTKWSICPSTQCSSNLFIGNITSSDSCNSTTCDYAGYTSKNISTVLATHSTCAAATLAKTQASRESSMVQANEPQRSHSCHAYSIGFVARGSQFRLP >OIW00237 pep chromosome:LupAngTanjil_v1.0:LG12:14661154:14663560:-1 gene:TanjilG_27488 transcript:OIW00237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSRVSWMVEADREQHSNGNNNNKDELLSSLGGFKPMFEVNEEWFMANNINQNHTVCSPNYTDPDNLLFHPLDSSSCSLSSSILTNLNSSQVQYFLPPKPTFSSLLNTNPLEHGFDQSGTYEVGFLEPQASNSNNTSNNQLSTLPSYLSLEPHLENMCMNIIPQMPQSSVDFGGFHTFEESPREALVLNRSNTLRALKTLPQPGATQPTLFQKRAALWNKNLTDKNINNLENCEVLEEVNYDKKRKMSNRGEDDAEGGSFDGSGRLSYDSDYDVTESNNNKIEENGKNGGNSSNANSNISGLDQKGKKKNGMPAKNLMAERRRRKKLNDRLYMLRSLVPNISKMDRSSILGDAIEYLKELLKKINDLHNELESTPSGSSLTTASTFSPLSPTLPTLRSRMKEQLCPTTLLSPNGQPARVEVRLCEGRVVNIHMFCGRKPGLLLSTMRTLDNLGLDIQQGVISYFNGFAMDIFRAEVNF >OIW00042 pep chromosome:LupAngTanjil_v1.0:LG12:18716564:18720724:1 gene:TanjilG_26379 transcript:OIW00042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLRRRFCCVALLLIVATTIEPALCSFNPHRILLDTDVGTDDLFALLYLLKLNTSQFQLEAVTINANTWTSAGHAVNQIYDVLYMMGRDDIAVGIGGEGGILQNGTVLANVGGYLPIIDQGMTTAGNCRYRQAIPVGLGGRLDVDANYGIRKAFLPQGKRRYTPLRQPTAQQVLIDKISTGPITVLITGAHTNFAIFLMSNPHLKKNVKHIYIMGGGVRSSNPTGCCPKNAPSSCVPSQCGDHGNLYTDYNTNPYAEFNIFGDPFAAYQDGYTSEVNGPDSVRVLVATKAKPNQDVGSSLDREYFTHFLNVLKQPQQAGRFNFTTEFPHYREVTYKPVFQNKRLGKPVVFDMDMSAGDFLALFYLLKVPVEVINLKAIIVSPTGWANPATIDVIYDLLHMMGRDDIPVGLGDVFAMNQSDSTFSAVGECKYIKAIPQGSGGFLDSDTLYGLARDLPHSLRRYTAENSVKFGAPRDTDHPELRQPLAMEVWESILETTEPKSKITVLTNGPLTNLAKVVSVKNISSRIQEVYVLGGHISMNASDKGNIFSIPTNQYAEFNMFLDPLAAKSVLESELNITLIPLSIQRRVSSFSTFIGRLRRTRKTPEAVFSKHLLSRLYRLKGIHHRYQHMDTFLGEILGAVVMADSPSSLDPKFEVKSIKVIASGVESSDGEIVVDEKHGKLIRILSHIDAKAYYDLYANKLGDQKQSAKVGSFKEQKREWNHPQDLLSKVSRHTLVSPV >OIW00240 pep chromosome:LupAngTanjil_v1.0:LG12:14694529:14695824:1 gene:TanjilG_27491 transcript:OIW00240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGQRAIEIASEDKLSGLHDYVLLHIMGFLRTKHAVRTSVLSKRWEHLWKSLASFKLDSSNFQNVVQFREFLSSFMSHRDGSLSLENVAFRHPGHIGGEILNSFIEYIVSRGIQKLTLDTKFEKLPPCIFSCQSLTFLKISSPSTPFKKTIIPESLGFPALKTLHLDGVIFSTSGNGYPEPFSTCQMLNTLVVEDCFVGGLYICNSMLTSLTIVDANSLDLQTHYDKIVLCTPRLSSLNTNGYLVYEISSNCNLLYLKDVKFNVTCSFRDLPRKSLVLVSWMKVLANVTTMTLSSYTIEMLNVLLFRRSTRNSLPCFSRLDLLKVNLGPNSKITSDKDVLRIVGYLLQYSPSTKVDIIRRA >OIW00086 pep chromosome:LupAngTanjil_v1.0:LG12:18956705:18958169:-1 gene:TanjilG_26423 transcript:OIW00086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMQNMLRVVWLVSVFSFVLLTSTYAVAQTCASHSFSNNKVFATCRDLPELTSYLHWTYDQNTAKLDIAFRHDGIKSTDSWVAWGINPRNVLDPAMIGAQALVAILQSNGTTRVYTSSIASTSTQLQDGTISYDVTGLRATYQNNEVTIFATLTLPNGTTSLVHLWQDGALSSSSIPQQHYQNPTHLSSKETLDLLSGQTQPSSTANSREIDKVFFIIFQTHGVINALSWGILMPTGAIIARYLKVFKSADPAWFYLHIMCQASAYVVGVAGFGTGLKLGSDSVGVEYDTHRSLGIVLFCLGTLQVFALFLRPNKDHKHRLYWNVYHHAVGYATIIISIINVFEGFNTLENYVGDRYNRWKHAYIGIIGALGGIAVFLEAYTWIIVFKRRQSENKMPESINGANGHDSRPQHV >OIW01053 pep chromosome:LupAngTanjil_v1.0:LG12:391466:391660:1 gene:TanjilG_14236 transcript:OIW01053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVVRGNNLHERPCDEIYVVGEGETLNTISDKCGDPFIVERNPHIHDPDDVFPGLVIQVIPTKY >OIW00141 pep chromosome:LupAngTanjil_v1.0:LG12:15911393:15913484:-1 gene:TanjilG_29131 transcript:OIW00141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLFDWFYGILASLGLWQKEAKILFLGLDNAGKTTLLHMLKDERLVQHQPTQHPTSEELSIGKIKFKAFDLGGHQVARRVWKDYYAKVDAVVYLVDSYDKERFAESKKELDALLSDESLATVPFLVLGNKIDIPYAASEEELRYHLGLTNFTTGKGKINLTESNLRPLEVFMCSVVRKMGYGDGFKWVSQYIK >OIW00640 pep chromosome:LupAngTanjil_v1.0:LG12:10237893:10238306:1 gene:TanjilG_09121 transcript:OIW00640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILLKDIAPAAENNIETKFILLDKGRVIVEGQHKTCLALVADETASVHFQLWGDECDAFEAGDMIDLTNGIFSKQRNNLVLRAGKRGKIMKIGEFTMSFVETPNMSEIHWVPDPTNSKKYIQGHVISTHSRLFPPIP >OIW00751 pep chromosome:LupAngTanjil_v1.0:LG12:7905295:7906712:1 gene:TanjilG_19191 transcript:OIW00751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRKVREPKEETVTLGPAVRDGEHVFGVARIFASFNDTFIHVTDLSGRETLVRITGGMKVKADRDESSPYAAMLAAQDVATRCKELGITALHIKLRATGGNKTKTPGPGAQSALRALARSGMKIGRIEDVTPIPSDSTRRKSGRRGRRL >OIW00408 pep chromosome:LupAngTanjil_v1.0:LG12:13073407:13077044:1 gene:TanjilG_05758 transcript:OIW00408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLKVRATLKIPSHVPSPEEDSEQLRKAFQGWGTNEGLIISILGHRNAAQRKLIRATYAATYGEDLLKDLDKELSSDFERTVVLWTLDPAERDAFLVNEATKRLTSNNWVIMEIASTRSSRELFSAKQAYQARFKRSIEEDIAYHTSGDLRKLLVPLVGTFRYEGDEVNTILAKSEAKLLHEKIAEKAYNHDDLIRIVTTRSKAQLNATLNHYNDEFGNAIDKDLETNLEDEYLKLLKATIQCLTYPEKYFEELLRIAINKMGTDEWALTRVVTTRAEVDLQRIAEEYQKRNSIPLDRAIANDTSGDYKSMLLALLGHDD >OIW00777 pep chromosome:LupAngTanjil_v1.0:LG12:7132793:7139228:1 gene:TanjilG_22276 transcript:OIW00777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDSGPITPGQVSFLLGIIPVFVTWIYSEYLEYKRTSSPPKVHSDISLDELGNDAIKEDDRAILLEAGLTKTGSAKFHASSVKLNLIRFLTMDDSFLLENRATLRAMAEFGLILFYFYICDRSNLLGDSKKNYSRDLFIFLYILLIIVSAMTSLKKHNDSSAFSGRSILYLNRHQTEEWKGWMQVLFLMYHYFAATEIYNAIRMFIAAYVWMTGFGNFSYYYVRKDFSLGRFAQMMWRLNFFVAFCCIVLNNDYMLYYICPMHTLFTLMVYGALGIYYKYNDNSSVIAVKILACFLVVILIWEIPGFFDIAWSPFAFFLGYTDPAKPDLPRMHEWHFRSGLDRYIWIIGMIYAYFHPNVEKWMEKLEECETKRKVTIKTIIVSITLFVGYLWFEYIYKLDKVSYNKLHPYTSWIPITVYICLRNFTQQFRNFSLTLFAWLGKITLETYISQFHIWLRSNMPNGQPKWLLSLIPEYPMLNFMLTTAIYILVSHRLFELTNTLKSVFIPAKDNRRLLHNFIAGAAISVSLYCIALVLLQIPHSAVSHFLQHKGFIVVNHGEGQ >OIV99968 pep chromosome:LupAngTanjil_v1.0:LG12:18258214:18260469:-1 gene:TanjilG_26306 transcript:OIV99968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSELCSFLFPALLCSTTFSLNAFIAFLLLVAVFSYWLVPGGLAWALTSNKTTIPGPSGFPVVGLVFTFTGTLTHRVLAKLAHVFHAKPLMAFSIGLTRFIISTNPDTAKEILNSSAFADRPVKESAYELLFHRAMGFAPFGEYWRNLRRISATHLFSPRRIAASGNFRLNIGGRMVSQIKNLMQNDGVVEVRKVLHFGSLNNVMKMVFGRTYEFGEGGDGNGCEVEELVREGYDLLGVFNWSDHFPLWGLLDLQSVRKRCRNLVAKVNVFVGRIILEHRMKRVGENKTVDEGDFVDVLLDLEKENKLQHSDMVAVLWEMIFRGTDTVAILLEWIVARMVLHPKIQAKVHAEIDSVVGFARTVTDADIPNLPYLRAIVKETLRMHPPGPLLSWARLAIHDTQIGDHFIPAGTTAMVNMWAITHDHEIWSDPQEFKPERFLEQDVPNIMGSDLRLAPFGAGRRVCPGKAMGLATVELWLAMLLQSFEWVPSDDCGVDLSECLKLSLEMKSSLLSKAIARPVSFSFKN >OIV99984 pep chromosome:LupAngTanjil_v1.0:LG12:18364834:18368004:-1 gene:TanjilG_26322 transcript:OIV99984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKSYLDNQTATDQGRYTYWNKRSTNPCEWTGISCTNMRVVGIDLSSNDITGNLFANFSMLTELTHLDLSSNTLSGEIPQDLRQCYKLLHLNLSHNILTGELNLTGLTSLRTLDLSTNRMDGELGLNFPSICDNLVTLNVSDNNLTGRIDNNVFDQCLRLKYLDLSTNDLSGGIWMEFARLIVFSVAENHLSGTIPSEAFPVGNCSLSELDLSQNGFVGGAPKGVANCKNLTTLNLSSNHFNGSIPIEMGSISGLHALYLANNSFSRDIPDTLLNLTNLVFLDLSRNGFGEDIQEIFGKLKQVRFLLLHSNSYSRGLNSSGIFQLPCIVRLDLSFNNFSGPLPIEITQMSSLKFLMLSYNQFSGPIPSEFGNMLRLQALDIGSNNLSGPIPPSLGNLTSLLWLMLANNSITGEIPAELGNCSSLLWLNLAYNKLYGKLPSELSKIGRNAITTFEINRKDDRMIAGSGECLAMKRWIPADYPPFSFVYSILTRKNCISLWDKMLKGYGLFPFCTPGSSYSLPQISGYVQLSGNQLSGDVPPDIATMVNFSMLHLGFNNFSGKFPPEMGSMPLVVLNVTRNRFSGELPSEIGNWQCMLNLDLSYNNFSGMFPTSLNNLNELNMFNISYNPFISGSVPSTGQFATFGRDSYFGDPFLILPMFIDINITNDKNITNHNNDKEIDTNLSVFFVFLAITLAFMIFGILTIIVCVMVKSPSDEPGFLMRDTKQWHDSNSSGSSPWLYETVKVIRLDKTAFTHDDILKATCNFSEDRIIGKGGFGTVYKGVFHDGRVVAVKKLQREGLEGEKEFHAEMEVLCGHGFGWPHPNLVTLHGWCLNDSQKILVYEYIEGGSLEDLVTDRARLTWRRRVEVAIDVASALVYLHHECNPSIVHRDVKASNVLLDKDGKAKVTDFGLARVVDAGDSHVSTIVAGTVGYVAPEYGQTWQATTKGDVYSFGVLVMELATGRRAVDGGEECLVEWGRRAMGYGRHHHGFSSHAMPVLVTGTELVGGAEEMAELLRIGVKCTAESPQARPNMKEVLAMLVRIFVPKGDSNLGNFG >OIW00346 pep chromosome:LupAngTanjil_v1.0:LG12:15594266:15596458:1 gene:TanjilG_27597 transcript:OIW00346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLTNGTRCMVHFNLHFSHGTFFKHLIPRKSKTLCHHKSINTTSLSHFGTLNSHQKDQIHLYVDSLLQWNKRMNLTAVKEVDEVMERHVEDSLAILPPLKDSYRTHCGTSYDKLKLVDVGTGAGLPGVVLAIACPEWEVTLIESLNKRCVFLEHVVSVIGSSNIQIVRGRAEDLGQNSCFREQFDIAVARAVAEMRVLAEYCLPLVRVGGLFIAPKGHDPEDEVKRAESAIHKMGGSLLQVCSVQSQSPFGQRTAVICSKDRLTPKKYPRDTGTPAKEPL >OIV99815 pep chromosome:LupAngTanjil_v1.0:LG12:17203712:17206645:1 gene:TanjilG_26153 transcript:OIV99815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFDVTVSHIINFNFVFLTEILLGLIGGQTSIRPYWRCYFPNTQAIIYVVDSSDTDRLVIAKEEFHAILEEEELKGAVVLIFANKQDLPGALDDAGVTEALELHKIKNRQWSIFKTSAIKGEGLFEGLDW >OIW00431 pep chromosome:LupAngTanjil_v1.0:LG12:13314170:13318622:1 gene:TanjilG_05781 transcript:OIW00431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGEEKRHQMMQNLFGDQSEEEEEEEENAPNPQPNSSSDGDGEGEGEGEGEGESHGGEVEMESEGDQPDGGDHVENEREGYQSSEEVEVEADDQREESEEAREDTDSDAKDDGYSQRGVTSKHRGVAESESERDNDDGEEIGEARSPSGSPRADKDQTSDLHSVPEIRDVFGDFDDEEEEEGYAVQHDIEQDSNRSPMEEEGSYGKSLRPEDILAEEDRHYMSEEENFERKIKEKPLGPPLELEVPLQPPPAPPENMNMIKVSNIMGVDPKPFDPKTYVEEDTFVTDESGAKRRIRLENNIVRWRTVRNPDGTTSCESNARFVRWSDGSLQLLIGNEVLDISVQDAQHDQAHLFRRHGKGILQSQGRLLRKMRFMPSSLSSNSHRQLTALVDSRHKKVYKVKNCITDIDPEREKEEKEKAESQSIRASVLLNRKREKVSRKYPPTVDRRRQLSPGFLDDEDDETDYHNSRRSQHRFEDDLELEALAEKRIMNAKKSQGLKDIPRKSSFAPAKSSWRPMEYNDDDREESEYETDGEEDVRPISRKRTGDSEPEYEDEEEEEEHYEEEAQVNDASDEEEEEEEPKRKSKEIRGSAKRKGIESDEDSPPRKTSNRRKVVVYDSDEE >OIW00516 pep chromosome:LupAngTanjil_v1.0:LG12:11915681:11916283:-1 gene:TanjilG_24246 transcript:OIW00516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEESLSEPKLARIQTNHSRSNSDQSLTSFKHGHDSLSPTSVLLPSHQKLQTILSGKEVTDSEPENSASEKVLSAKKNKNITRGSERKKIRGSKSLSDLEIEELKGFMDLGFVFSEEDKDSSLASIIPGLQRLGKKNEEVGDSNDESLVPRPYLSEAWDVYDTRKKENPLKNWKVPAINNETDMKDTLRWWAHTVASTVR >OIW00823 pep chromosome:LupAngTanjil_v1.0:LG12:5477783:5485223:-1 gene:TanjilG_08262 transcript:OIW00823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKIHNYRHTSDQHEQTQGHAPDASGSAHGASDSAPGALDAQGAPSSAPGAQGTSGSAPGASSQGSALGAQGASSHGAPSYTQGAQGQGTPQGAQGTTCTPSHVPGAPTTLGHTSANPGPASDHAKPHTSACLINLAQAIWARRNWLTY >OIW00532 pep chromosome:LupAngTanjil_v1.0:LG12:12138979:12140371:1 gene:TanjilG_24262 transcript:OIW00532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSGPKKRKAAKKKKQKEHNINNIQEMSENDDLKSQYEKGSDDGEVSSPEHYDDDNHHQNPFNEGSEEVEERDPPAAQPIASDPKSVEDIANDIQIDKVGGEKQDIVVLIEGDVKPEESPESKDACFEQIETAEESYHGNENASGTSNDKPVTEKNSEDEDYNSIQEAIVCHALVKSIDSSPSNTTSIAENAPVEESGNSAAESSVNSVNAVASLSEAENSDNGSVLLEKSVVSSLGVTDLAMKIDEGPVYPLTDESARMSNLEETKSKECDSKVITSLSASPFTRSSNGAEHIKASETPESSEYQPLVASAPHIVQQTSWLSCCGLFEVVSGSDR >OIW00686 pep chromosome:LupAngTanjil_v1.0:LG12:8399321:8401021:-1 gene:TanjilG_09655 transcript:OIW00686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDQTGCFDPNTMAESENSMKDNSFPQTLQNSPLIVADNTTNSNYNNLEENLRLSVEELSYHHHNPQHSEDLSAYANGVTPTSMDISNPQNMALNMGNSYNYNNMDNDMVQEVIDVYQQTTWDTSVQELQDMDYANQQEHQQHEQQFHQIEAQHCSQSYNPSSILDPPPPYPSTDLLNFLHLPRCSASSLLSNPTICIPNPIHKTPNFQNSMAFLGDLPIGSDNTSASSVLYDPLFHLNLPPQPPALRELFQSLPRGYSLPTSSRNGSLFGGGDEMEGDGSQIDMGVLEFNRGTVSVGKGKEGKGTKHFATEKQRREQLNGKYKILRSLIPNPTKIDRASVVGDAIEYIRELLRTVNELKLLVEKKRYGKERCKRHKAEDDAAESCNIKPFGDPDGNIRTSWLQRKSKDSEVDVRIIDDDVTIKLFQRKKVNCLLFVSKVLDELQLELQHVAGGHVGEYCSFLFNSKIIEGSSVYASVIANRVIDVMDTQYAAAVPHTNSY >OIW00324 pep chromosome:LupAngTanjil_v1.0:LG12:15419093:15420997:1 gene:TanjilG_27575 transcript:OIW00324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLETNQNENYASQPMHLQENYLKQPSKKEELPFETPSSQEFMQDFQHIDQFHHTNASLPNQVFGIQTQNFDPFVNITCGCSQSDFDGYECKPFVENDGSGSHAHVVDIFQYEGYSLNFPRRNQMDIMPSNQSYLPFNPLETKSMNFAAPDEVSCISPANYYRRVGLNRNNKTSPIIRRSCKVKKKSNIVKGQWTEDEDRLLIQLVEQYGVRKWAHIAQNLPGRIGKQCRERWHNHLRPDIKKEVWTDEEDKILIQAHAEIGNKWAEIAKRLPGRTENSIKNHWNATKRRQYSKRKCRSKYPRGTILQDYIKSLNLDKNPPIDYRKRSSANAMRIKASTSKAATSTTQPHSTNQVSSNDRLVPNYEFNEVSDFCFDDNMFQEGCSIDSLLDDMPCAPTMDEKDFDGKMQSASKMKGKQVVNVEEGHHFETEMPQEMEGNVVKKELDLVEMMSQVNQTHDD >OIW00907 pep chromosome:LupAngTanjil_v1.0:LG12:2555432:2555680:1 gene:TanjilG_19848 transcript:OIW00907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFVPLSMSLSLSFLFLYISACTRLLSLSLSVFVFSLSGNRQFKFNRFLRLRSDSDEIPIKTPSTLAMQIHNHTTRRHNFSL >OIW01015 pep chromosome:LupAngTanjil_v1.0:LG12:40414:53849:1 gene:TanjilG_14198 transcript:OIW01015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEELQAMRKRRKTVSNASNSKYLYLNMGLLNEELVEDRVVNHSLQKGLLLEFKGDSDRILLAVSQRPDGKKKWMVSDQNGVTSSIKPQQVTYIVPGIHNFDPADIADFAQKAQDNMDLSLLEFAWAELLEKNKSVTVEELAEMIFGSAEPLESYSAHALLSKDEVYFTVLETKGPCSIYGPRPSKQVEELILRKLAKEAYEKEFQEFIELLSSAKSMPSQDKPPKSSWMDEEKIRSRIESLEAYAIDACKNDEQRKTAGMILKDIGLAKTASSAVNLLADIGYFPVHVNLDLLKLGIPTDHSEENISVAQSLLSNSYDQDEINRKNLTDLKVYAIDVDEADELDDALSAKKLQDGRIKVWIHVADPTRYVEPGSIVDREAMRRGTSVFLPTATYPMFPEKLAMRGMSLRQGELCNAVTVSVVLHNDGRGQPQSYINVSEFAHLPEGPVRSHALVKVMRAAQIDFRKPVRHGVLGIPGYVQFTSPIRRYLDLLAHYQVKAFLRGEPPPFSPGKLEGIAAVVNMKFRAVRKLCSSSLRYWILEYLRRQPKEKVYRALVLRFIKDRIAALLLVEEVHRFESGICLLRMRDALPSEVGFQASTWVSVGTHIGDEILVKVEEAHPRDDIIFLKEVIKG >OIW00278 pep chromosome:LupAngTanjil_v1.0:LG12:15059563:15061648:-1 gene:TanjilG_27529 transcript:OIW00278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGRKSVLRKRDSFEFSSGKGLGHHNNDSHSVEVDGTYNNYTTHSDKNFFHGEKEEREEGELCFEHGEGDVFTPPEKKRKFSPILWDLAEKDVKVSSKIRVTQDAPVSPIPQNLPNSPTAGDVLQEGVSEVSPPNSSHVPPQKYCGNDEEDGGDEENKVKGWNITMSRWASDGLSPRGADDKSKGLKVISSPEIGEFQTELSESTITRSSGTGGRDQYLSRSAYDTDSEKEFPTDSRDDAGEPSDFSDSPSDSDEDSGLVHVQTNRNMFQSSRSICEYEMIKKINEGTYGVVYKARDKKTGEVVAIKKVKMNISRDGFPLSALREMNILLSFDHPSIVDVKEVAVDDYDGTFMVMEYMEHDLKELMKVKKHPFSIGEIKSMMKQLLEGVKYIHDNWVIHRDLKTSNILLNNEGHLKICDFGLSRQYGSPLKPYTPVVVTLWYRAPELLLGAKEYSTAIDMWSVGCIMAELISKEALFAGKTEVEQLDKIFRTLGSPDEKIWPGLSKLPASKGKFIKQPYNMLKRKFRATSFIGLPVLSELGFDLLEKLLTYDPEKRITAEDALLHDWFHESPLPKSDFKPILPSWRG >OIV99835 pep chromosome:LupAngTanjil_v1.0:LG12:17357867:17362273:-1 gene:TanjilG_26173 transcript:OIV99835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSVIYGFTALTNVLVVNYFDRFITRLRFHIDRPSVTHLTGVACLSLAAKMEEAHVPLLLDLQNQTCTLISGRVAETPHLFENCYHHIHTSAYRVTHPTEANFVKNPSFTITARVISIDAAKLTNEETNRAGPLVEYERRIANGELAEGDACQNFKDYDELVGNADACPLDRTVEKPVKVFFFPGTSNWRKKRIHFHDFMLNVHSLLQKHKGLSDLLDIVAGEISEEAILLCLDEFMVTDVADALILNCLFGQNFHYFLHCVGKFHTLALEGIPIFGLSNKSAAYRFVTLVDLNPSYKQAIYPVALEYNKNDALYHAIAGDI >OIW00754 pep chromosome:LupAngTanjil_v1.0:LG12:7848818:7851245:1 gene:TanjilG_19194 transcript:OIW00754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEGDHNHKHEHHHDHDHDHDSDIKSSWIGGDGKVYHSHDGLAPHSHEPIYSPGFFTRRAPPLLNRDFNERAFTIGIGGPVDDACRKTALMLALCEHLRDNYSLAAVTNDIFTKEDGEFLVKHKALPEERIRAVETGGCPHAAIREDISINLGPLEELSNLYKTDILLCESGGDNLAANFSRELADYIIYIIDVSGGDKIPRKGGPGITQADLLVINKTDLAQAVGADLAVMERDALRMRDGGPFVFAQVKHKVGVEAIVNHVLQAWEATTGKKRH >OIW00228 pep chromosome:LupAngTanjil_v1.0:LG12:14547824:14550662:-1 gene:TanjilG_27479 transcript:OIW00228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGAIDMDHVGFSKAKSTAAPAAGHGKKTAPVSMDHVLLALRETKEERDLRIRSLFNFFDAANNGYLDYAQIEAGLSALQIPPEYKYAKELFKVCDADRDGRIDYGDFRRYMDDKELELYRIFQAIDVEHSGCILPEELWDALVKAGTQDGIQILLCHFWKLFSFSSSSFSHSFIISICSFCAAGIEMDEEELARFVEHVDKDNNGIITFEEWRDFLLLYPHEATIENIYHHWERVCLVDIGEQAVIPEGISKHVHRSRYFIAGAIAGAASRTATAPLDRLKVVLQVQTGNASIMSAVMKIWKQDGLLGFFRGNGLNVVKVAPESAIKFYAYEMLKNVIGEAQGNNSADIGTAGRLFAGGMAGAVAQMAIYPMDLIKTRLQTCASDDGRVPKLSRLAKDIWVHEGPRAFYRGLVPSLLGMIPYAGIDLTAYDTLKDMSKRYLLDSEPGPLVQLGCGTISGAVGATCVYPLQVIRTRLQAQPCNSSTAYKGMSDVFWKTLKDEGFRGFYKGLVPNLLKVVPAASITYMVYESMKKNLDLD >OIW00549 pep chromosome:LupAngTanjil_v1.0:LG12:12261016:12263570:-1 gene:TanjilG_24279 transcript:OIW00549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVTNFLVLAIFVLCLHFITKTIKLRRHQNLNLPVGSLGWPIVGETFVFLRAMFDGNIVRFIKERMDKYDSRVFKTSLLGEPMVVFCGPSGNKFLFSNENKNVQVGWPTTVRKLLRSSLVNKVGDEAKITRRLLMSFLNPEALRNYLPKMDTIAQHHIDTHWQGNEEVVVHSTVKLYTFDLACCLFLSIEESVQLSNISSNFGKFLKGILGFPINLPGTRFHAAMKAANVIQNEIKMIIKKRKVDLEERRVSPTQDLLSHLLVTPDTNGRFLTEMEIIDNILLLLFAGHDTSRSVLSSLMKYLTDLPQVYEQVLKEQLEISQGKEEGELLQWEDVQRMKYSWNVASEVMRLSPPVTGTYREAIKDFTFADYNIPKGWKLHWNTASSHVDPTLFPNPETFDASRFEGAGPTPFSYVPFGGGPRMCIGQEFARLEILVFMHNIVKRFKWDLVNPGEKFKYDPMLEPEKGLPIRLQPHHF >OIW00362 pep chromosome:LupAngTanjil_v1.0:LG12:12583363:12585614:-1 gene:TanjilG_05712 transcript:OIW00362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLILYCFCYRTMQPKGKRGKAAEPKNNEQNTEGTSSNNNNNNRERKEKVAEVVEDSSHSASLNEQAYRPPAGYLECPSLTPEMSSHEEVENVGNSVSAARFTVDKPCAREAHVVGHSSTSSSFNPAQVINLQKGNEVNSVEQGLAQNMVDKYQVKPVLMPIVKQIISKHGDIFKNCTVVTTKYRSKLLEMICNIIIDLQERKISETNEDHLQDIVLLLDDMKNKNVDVEWLHQRLVEILQAREVLKQTSMLKEKKEFSRQNVENAEKELKEKERDKDGLAILLKAACAEVADCKEKLAAVRDESARIKETIADSESKANRFLNCSLVGDLL >OIV99844 pep chromosome:LupAngTanjil_v1.0:LG12:17445269:17446393:1 gene:TanjilG_26182 transcript:OIV99844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACLSLPYAILPSLQQTHSDLQGEDGADTILFHRSIFSVLDKKCYEWKNMFNNLVGAWCVGSSHGCLVLLDNKATPFILKLTSLVCIQFPPFPRPFLHPLSETNFVTYLRKMFVIKAVLNRSPSPNDSNYILAIIYGCHCKLAFCSKSSTWVEISDAKRCYYDIVLKGNYLHALAQDGSVEVWDLCEEVPRKILYVKPTMEIDEQEDKEFPRDLFSTQLYMVLSGGEVLLVKRYIGNFVNEDGTALYEGDLLSEEDSQPLICPYRTKHFSVYTLVNGTKWEKVTSLHDHVLFLGANESISVPIQALPTCEANTIYFSDDRWEEMNMDYSYGGQDWGVFSLQDRSVKLLLPYTDMVKPPPIWVVPVSNESIDTRH >OIW00996 pep chromosome:LupAngTanjil_v1.0:LG12:1251941:1252783:-1 gene:TanjilG_16245 transcript:OIW00996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNTSEEEPFDLNTLNSNGDVTNGWSCPHRKQPSSSIMHHHSYYEGHSPPEKKPRGRPPGSKNKPKPPIVITRNNDDIMKPILIEVSNGSDVVEALIKFARRYNVCISVLSGSGSIANVTLHHPLPFSTSFTVHGPFTLLTLTGTYLFSPSHRCLSFPSMAASSSSSRINPNHLYSTPTSSFGITLLGSQGEIVGGVVAGKVIAGSIVSVMVTVFKNPEFYKIGFNGNDGGGVDEDDHNPNASGNDNMSGFLIPQNHYVDVNAIQWGQNHTPNSTCPRNY >OIW00225 pep chromosome:LupAngTanjil_v1.0:LG12:14532477:14535734:-1 gene:TanjilG_27476 transcript:OIW00225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGERKRISVGILAVFLLISASSFQFLHASHDHAIFYESFDESFEGRWIVSQKEEYNGVWKHAKSEGHDDYGLLVSEKARKYAIVKVLDEPVILRDESVVLQFETRLQEGLECGGAYLKYLRPQEAGWKPKEFDNESPYSIMFGPDKCGAINKVHFIFKHKNPKSGEYVEHHLKFPPVAPSDKLSHVYTAILKPDNELQILIDGEERKKANFLTSEDFEPALIPPKTIPDPEDKKPEDWDERAKIPDPSATKPEDWDEDAPLEIVDEEAEKPEGWLDDEPEEIDDPDATKPEDWDDEEDGEWEAPKIDNPKCEAAPGCGEWKRPMKKNPAYKGKWHTPLIDNPDYKGIWKPQEIANPDYFELEKPNFEPIAAIGIEIWTMQNGILFDNILIAKDDKIAASYRETTWKPKFTIEKEKQKEEELETGSVGLAGFQKKIFGLLYQIADMPFLSAYKLKILDVIEKGEKQPNLTIGILVAVVVVLFSIFFRIIFGSKKKPARVEKTKTEPAETSTNQGGENEENKEKEETSGPARRRTRPEN >OIW00801 pep chromosome:LupAngTanjil_v1.0:LG12:6420332:6421318:1 gene:TanjilG_18111 transcript:OIW00801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGSRGRYRIASRQYRLAPYPLASCKKDICKDMCQKRCSKAFDKKEWENVTCSVCIEYPHNAVLLLCSSHDKGCRPYMCGTSFRHSNCLDQYKKACTKVMEDSISNPSSLLDANSALEKSEVTELACPLCRGQVKGWTVVEPVRDYLNAKTRNCMQDNCSFVGNYKELRKHVKAEHPLARPRDVDPDHERKWRSLEWEREREDVISTVTSTIPGAMVFGDYVIERHRNDFDSDADEDGTDNTERSGSFQMGMEAMNFFLLMHAVRQGNDLNNLSRQLMPDLIPTGQNGAGEMDFSGEDNDNDSGGVLFGRASRRRRRREAQTRIGDS >OIW00994 pep chromosome:LupAngTanjil_v1.0:LG12:1201779:1202231:1 gene:TanjilG_16243 transcript:OIW00994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKHYRGVRRRPWGKFAAEIRDPKKNGARVWLGTYDTEEKAGLAYDRAAFKIHGRKTKLNFPHLIGSDTNSEPMRVIVAPKVNSLEPYSPPLFNGLDQFKGSNRRENLTSLLNRLARNRSQVVQLFEMGSVEKDFDADQWLNQLKCVERF >OIW00433 pep chromosome:LupAngTanjil_v1.0:LG12:13334345:13336313:1 gene:TanjilG_05783 transcript:OIW00433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIWIAILLVSLTCLLLWRNSKKRRLPPGPRGLPILGSLHKLGQNPHRDLHQLAQKHGPIMFLRLGIVPTIVVSSPQAAEQFLKTHDHVFASRPPVEAAKYIAWEQKNLTFGEYGSYWRNMRKMCTLELLSHSKIISFKSMRQEELDLFIKLLRDAANDIAAVDISAKISTLAAGMSCRMVLGRKYMDNEFDEKGFKAVMKEGMHLAAAPNIGDYIPYVGALDLKGLKKRMKVVNKIFDDFFDRVIDEHMKSDSREDKIKDFVDVMLGFVGTEESEYRIDRPNIKAILLDMLAGSMDTSATAIEWAFSELMRHPRVMKKLQMELETVVGMKRKVDESDLDKLGYLNMVIKESMRLHPVAPLLIPHQSMEDCIVEDYFIPKKSKVIINAWAIMRDPSAWSDPEKFWPERFEGSNIDVRGRDFQLIPFGSGRRGCPGLQLGLTMAHLVVAQLVHCFDWKLTNDMLPIDLDMTEHFGLSMPRAKHLVCIPAYRLHDEKD >OIW00788 pep chromosome:LupAngTanjil_v1.0:LG12:6695215:6696806:1 gene:TanjilG_19593 transcript:OIW00788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEEGSSVTLDMLKQIMAQFAKDRNWDQYHSPRNLLLAMVGEVGELSEIFQWKGEVAKGLPDWKEEEKIHLGEELSDVLLYLVRLSDMCGVDLGKAALRKVELNAIKYPAKIIKEEEVSSKHEEKNVSGKRVFDSI >OIW01040 pep chromosome:LupAngTanjil_v1.0:LG12:276337:277511:-1 gene:TanjilG_14223 transcript:OIW01040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWRGNISKSIKELRFLLCQSSPASLSARAFIEKNYTELKTLNPKLPILIRESKGVEPQLWARYDLGIEKGVKLEGLTETQISKALEDLVKVGGL >OIW00898 pep chromosome:LupAngTanjil_v1.0:LG12:2865450:2865861:1 gene:TanjilG_19839 transcript:OIW00898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVAIPGEGLVHIEMFFFGITGNDETLVACIQYFCLRCILMVELTVVCDEVLGCIINVEDVDMVDVHSDSSFTNVMAMLNDASNGGGTMATNEVSSSNSLVDVL >OIW00249 pep chromosome:LupAngTanjil_v1.0:LG12:14793297:14795370:1 gene:TanjilG_27500 transcript:OIW00249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMNRESWAFVFGLIGNIISFMVFLSPIPTFYQIYKKKTSEGYQSLPYVVALLSAMLWIYYALVKKEAALLLITINTFGIVVETSYLALFLFYASKKARLSTIKLLLLLNVFGYGAMLLATLYLSKGTKRLAIIGWICLVFNISVFAAPLFIMKKVITTRSVEYMPFTLSFFLTINAVMWFFYGLFLRDYYIALPNTLGFLFGIVQMVLYLMYRNATPVALENPMKVQELNGHIVDVVKGQDGARGGDISKV >OIV99741 pep chromosome:LupAngTanjil_v1.0:LG12:16627185:16633958:-1 gene:TanjilG_26079 transcript:OIV99741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAPVNIIVGSHVWVEDPVQAWVDAEVSKINGDKVHVCTTDGKTVISNISKVFPKDNEAPPGGVDDMTKLSYLHEPGVLHNLAARYELNEIYTYTGNILIAINPFQRLPHLYDTHMMEQYKGAGFGELSPHVFAVADVAYRAMINEGKSNSILVSGESGAGKTETTKMLMRYLAYLGGRSGVEGRTVEQQVLESNPVLEAFGNAKTIRNNNSSRFGKFVEIQFDNKGRISGAAIRTYLLERSRVCQISDPERNYHCFYFLCAAPAEEKEKYKLGSPSSFHYLNQSKCCELDGVDDAHEYLATRRAMDVLGISEDEQEAIFRVVAAILHLGNIEFAKGEEFDSSVIKDEKSRFHLNVTAELLKCNATSLEDALIKRVMVTPEEVITRTLDPDAAVGSRDALAKTIYSRLFDWLVEKINNSIGQDPNSKSIIGVLDIYGFESFKFNSFEQFCINFTNEKLQQHFNQHVFKMEQEEYTKEEINWSYIEFIDNQDVLDLIEKKPGGIISLLDEACMFPKSTHETFAQKLYQTFKNNKRFIKPKLSRTSFTISHYAGEVTYLADLFLDKNKDYVVAEHRDVMTASECSFVASLFPPSRDDSSKSSKFSSIGSRFKLQLQSLMETLNSTEPHYIRCVKPNNALRPSIFENINIMQQLRCGGVLEAIRISCAGYPTRRTFYDFLNRFGVLAPEILDGNSDDKVACQMILDKMGMKGSQIGKTKVFLRAGQMAELDARRAKVLGNAARIIQGQIRTHIARREFIELRQAAIRVQSNLRGILSSL >OIV99813 pep chromosome:LupAngTanjil_v1.0:LG12:17196443:17196940:1 gene:TanjilG_26151 transcript:OIV99813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMHSTHYSLTIITLLLLIVSTTHAKTPNLASQFLIPQNAARHAVGLRPLVWDTNLAHYAQWYANQRVHDCALEHSDGPYGENIFWGSGTGWKPAQAVSSWVEEFQWYNYWHNSCAYGQMCGHYTQIVWGATRRVGCASVTCTDNQGVFITCNYFPPGNYYGERPY >OIW00061 pep chromosome:LupAngTanjil_v1.0:LG12:18830293:18830634:-1 gene:TanjilG_26398 transcript:OIW00061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRSSRKVFDESQGLSLGKVKKENLSEALRASCDVNGMVKVKISKKELAELLGGIEKQNEMMMKKKKQVGRSTSSSAEQVLDRLIKAREQENQYHDSHRRPWRPVLHTINEVN >OIW00140 pep chromosome:LupAngTanjil_v1.0:LG12:15907717:15909288:-1 gene:TanjilG_29130 transcript:OIW00140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLFDWFYGILASLGLWQKEAKILFLGLDNAGKTTLLHMLKDERLVQHQPTQYPTSEELSIGKIKFKAFDLGGHQIARRVWRDYYAQVDAVVYLVDAFDKERFAESKRELDALLSDESLANVPFLILGNKIDIPYAASEDELRYHMGLTNFTTGKGKVNLADSNVRPLEVFMCSIVRKMGYGEGFKWVSQYIK >OIW00103 pep chromosome:LupAngTanjil_v1.0:LG12:19039635:19042941:-1 gene:TanjilG_26440 transcript:OIW00103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIAVTVPSSSSFLLLINNNLFNFPSSSTTTTSSSSRWRGRRGKGRISALFWGSKNNDMRQEQEEQFSLSSTVYTDLDNKESSKRVINVSVVSSISDIPSTEWDACAVDASGGHEKYNPFISHSFLSSLEHSGSAVKETGWTPHHLLARMDSTILAVVPLYLKTHSYGEFVFDHSWANAYYSYGSPYYPKFQSCVPFTPVTGPRILLRNSPFKDQVFHFLLSALKHLTAHSHLSSLHVTFPSQDDFHKFTQQGFLPRIGIQYHWKNRNYKNSNGVLLLTLSIMFIYWKAKHWDSFYSFYRNTTDNKWGTPYLTRDFFHEMGSKMGDQVLLVVAEEGGELVAGALNLIGGDTLFGRLWGCQPQTYYPSLHFEACYYQAIEAAIELNLETVEAGAQGEHKIQRGYLPVTTYSCHYLVDEEFRKAIQNFIIRESSQEGKALIPIVMEILGIGRTSGKQRTLGKKEFPDNVIRKKEIRSGVTNESDWLHH >OIW00742 pep chromosome:LupAngTanjil_v1.0:LG12:9591579:9597339:-1 gene:TanjilG_09711 transcript:OIW00742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKSLSFLHSLVKHFKFGSTSGQNNEAELQRMASQEQKIFAYETLVVATKNFNATHKLGEGGFGPVYKGKLNDGREIAVKKLSQTSNQGKKEFLNEARLLARVQHRNVVNLLGYCVHGIEKLLVYEYVPHESLDKLLFKSQRRDELDWKRRFAIIKGVAKGLLYLHEDSHNCIIHRDIKASNILLDDKWSPKIADFGMARLFPEDQSQVNTRVAGTNGYMAPEYVMHGNLSVKADVFSYGVLVLELITGQRNSAFNLDVDAQSLLDWAYKQYKKGKSLDIVDSTLTSSMEPEQVAMCIQLGLLCTQGDPQLRPNMRRVVMTLSRKRGHMDEPMRPGTPGSRYRRPRRHSAMSSTADISDSHTSNSSNNCTTCTTTATETNSATNTIEIIDPKGKRPMQG >OIW00143 pep chromosome:LupAngTanjil_v1.0:LG12:15917150:15922535:-1 gene:TanjilG_29133 transcript:OIW00143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSTLSLSFSAATISKPHHYTSHSLPFTTAKPLNLRFCGLKSEVLGFTATSLNRRHAQLPCRTNSNTVVSAALSSNGTPPKSFDYDLLIIGAGVGGHGAALHAVEKGLKTAIVEGDVVGGTCVNRGCVPSKALLAVSGRMRELKSDHHLKSLGLQVSGAGYDRQGVADHANNLATKIRSNLTNSMKALGVDILTGFGTIVGPQKVKIGSSDKIVTAKDIIIATGSIPFVPKGIEVDGKTVITSDHALKLESVPDWIAIVGSGYIGLEFSDVYTALGSEVTFVEALDQLMPGFDPEISKLAQRVLINPRNIDYHTGVFASKITPARDGKPVLIELIDAKTKEPKDTLEVDAALIATGRAPFTQGLGLENIDVVTQRGFIPVDERMRVTDANGKLVPNLYCIGDANGKMMLAHAASAQGISVVEQLTGRDHVLNHLSIPAACFTHPEISMVGLTEPQAREKGEKEGFEVSVAKTSFKANTKALAENEGEGIAKLIYRPDNGEILGVHIFGLHAADLIHEASNAIALGTRIQDIKLAVHAHPTLSEVLDELFKSAKVKEQASSPGLPKYYTTSGRGSQHIQNPVKEEKHLDETINDQIMDRRQMLQDLMSFVDGIISVCQRFLSLLLHFLESILF >OIW00495 pep chromosome:LupAngTanjil_v1.0:LG12:11640961:11643678:-1 gene:TanjilG_24225 transcript:OIW00495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLFFSCPFAKYSDVVDDLDSMVVKSINFGNDKIKTLVRSVSFKNQDLEPTILKSLGSGKMTIEKSVSFKRKDLENMILTKSLSLDEEQNIAISRIYKKSKEVDDQSFRPECQVESIQSALLNPSSPKHMAALKLQKVYKSFRTRRKLADCAILVEQSWWKLLDFAELKRSSISFFDIEKHETAISRWSRARTRAAKVGKGLSKDDKAQKLALQHWLEAIDPRHRYGHNLHFYYDNWLQCQSREPFFYWLDIGEGREVNLEKCPRAKLQQQCIKYLGPIERLPYEVVVEDGKFCYKQSGEILHTGEDEHAKWIFVLSTSKTLFVGKKKKGSFQHSSFLAGGATSSAGRLVVEHGVLKAVWPHSGHYRPTAENFKEFISFLQENNVNLSDVKMAPVDESDDLSSVRSSGHLRCLSSEEYYAENVSGLETEETSFKDSIVGKSDLIETESESVLMAPGTTQFRILARKLTNLEIPKRGQVFEGQFSESFQLESPKSGQETAQVFVQELDHTTPKQNFSDENDVETITEESILKRINSHKEMKSYQLGKQLSSKWTTGAGPRIGCVRDYPCELQFRALEQVNLSPRTSCRSKLLFASRTTTGLSPSVSTLATSVSSVASLCGDTTEPLLENNRSEQNSISEFSVSPLIRGTSVIPLIHML >OIW00943 pep chromosome:LupAngTanjil_v1.0:LG12:1772732:1773307:-1 gene:TanjilG_10021 transcript:OIW00943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKHNPQTVSYIESSCNGTLYQDLCIRCLAKYVNNYSTIDGPHHLAQVALSVSLSRALHTRGYLMKLAKELKTIKNKRDYLTVKDCANQISDSVDQLSQAIKELSRCSQRGSTINDDSLWHISNVETWVSTALTDASSCVYSFTGIRMSKRMAAIKVKAQNVAEVTSNALALFRRYALSSQQSAARTTKKP >OIW01075 pep chromosome:LupAngTanjil_v1.0:LG12:650139:652111:-1 gene:TanjilG_14258 transcript:OIW01075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPQAFIRLSIGSLGLRYTGIESSTGKSGIHKLSSSCVCEIRLRGFPVQTSSVPLISSADVIPDSQNIASSFYLEESDLKALLTPGCFYNPHACLEIAVFSGRKGSHCGVGVKRQQIGIFKIQVGPEWCEGKPLILFNGWVGIGKNKQETGKPGAELHLKVKLDPDPRYVFQFEDITTLSPQIVQLQGSIQQPIFSCKFTKDRVSQMDPLSSYWSGSADITDLESERRERKGWKVKIHDLSGSAVAAAFITTPFVPSSGCDWVARSNPGAWLIVRPDARGPESWQPWGKLEAWRERGLRDSICCRFHLLSEAQQGGGLLMSEINISAEKGGEFFIDTEKRMRTAAAVAAATPIPSPQSSGDFGALSPVVGGFVMSCRVQGEGKRSKPLVQLALRHVTCVEDAAMFMALAAAVDLSIEACRPFRRKFRRGFRHSF >OIW00822 pep chromosome:LupAngTanjil_v1.0:LG12:5362904:5367430:-1 gene:TanjilG_08261 transcript:OIW00822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKNKLNAKPGEVNGEMKWVHDSSVDHKGRIPLRASTGSWKASLFIIAIEFSERLSYFGIATSLILYLTKFIHQDLKTAARNVNYWTGVTTLMPLSGGFLADAYLGRYITGLVLLSLSWFLPTLKPCNHANVCTEPRRVHEVFFFLAIYLISIGTGGHKPSLESFGADQFDDDHVEERRQKMSFFNWWNCGLCSGLILGVTLIVYIQEHVNWGVADIILTVVMAFSLLIFIIGRSSYRYRAPNGSPLTPMLQVLVAAISKRKLPYPSNPSMLFEVSKAESSNGRVLCHTKKLKFLDKAAIVESERTFAEKQNSWNLASVTKVEEMKLIINMIPIWVFTLPFGICAAQTSTFFIKQGAIMDRKIGNGFEIPPASIFTLSAIGMTISVAIYDKILVPFLRRLTKNERGMNLLQRIGFGMIFSIITMITAALVEKKRLETVEMNPLKGSLSMSVFWLAPQFLIIGFGDGLALVGLQEYFYDQVPDSMRSLGIALYLSVIGAANFLSSVLITIVDHVSGKSGKSWFGKDLNNSRLDKFYWLLAVITTVDMFLFVFFARRYTYKNVQHVAAVADCSEGKSDSGGAESVV >OIW00443 pep chromosome:LupAngTanjil_v1.0:LG12:13392527:13395815:1 gene:TanjilG_05793 transcript:OIW00443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRRGALARPFPSSKHDGFSSSKEKGITERGGSSSKGKVIVEPQPKVEKLSQGLVDINIGRGQDDGEWEVQSRKSKNRARAAANTRMAQNPSTWNHVEVGRGSGNPWQTQNDDFRRAASRGNGRPQFTATGPQSYSVNSNPLVRAPLEKGWNWKSRTIYEQSISGDVNKVTDETALKSSVKNTDVDDEVEEDFDDMEDTDDDLLSDDNDSDYSQKSHETYKNNKWFKKFFATLDTLTVEQINEPDRQWHCPACQGGPGSIEWYRGVQPLMNHCKTKGSKRVKIHREFATILDEELYKRGTSVIPFGEVYGKWKGLKCGEKDHEIVWPPMVVIQNTQLEQVENEKWIGMGNQELLEYFSTYAATKARHAYSPQGHRGMSLLIFEKSPTGYIEAERLHKHFVDEGTGRDAWLGRRKLFLPGGQRQLYGYMALKEDMNLFNRHSQGKTQLKYEMKSFLEMVVKEIRKMGEDNEQLHYWVTKAGKYEKHKKILEESLSVMAERMRQTMDESHIVRLRTKKQHDETSEELYLQEQFFKDQIRIIHDLRNAKEEDFERLQQEKREEMKQSSTKSLNEKEHRIKVDEYEEVVKIQGTEMSKYVAEEEKLRQSHEDNIATLKLRYLEEEVQLEKKFNEEKAKLMEKYAPSRA >OIW00545 pep chromosome:LupAngTanjil_v1.0:LG12:12215863:12216330:-1 gene:TanjilG_24275 transcript:OIW00545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPEPAILFTVLILAALSSARDLRPSDHGLIFQTLSPASAYFTPEMKSFFNADKSSPSTSSSVALPRTMNYGDATPPSWRRSTALDGGGDRLGKALMAVSVVCGIAGVVLLVASVLIYMYKNPKQELNAAFRSENGNDGENNEDNNKLQLVVHNS >OIV99814 pep chromosome:LupAngTanjil_v1.0:LG12:17198926:17199498:-1 gene:TanjilG_26152 transcript:OIV99814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKATLLLFFFLLVTLTNTLSAIPQLVPQHKSPDNETIYKVSKQLCWGCIGESLEFLFRHNLVRAAKLELPLMWDFQLENYARWWASQRKADCKVEHSFPEDDFKLGENIYWGSGSTWTPTDAVRAWADEEKYYTYATNTCEAGQMCGHYTQIVWKNTRRIGCARAVCNDGDVFMTCNYDPVGNYVGERPY >OIW00342 pep chromosome:LupAngTanjil_v1.0:LG12:15561259:15566695:1 gene:TanjilG_27593 transcript:OIW00342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGAPAPKADEPQPHPPKDQLPNVYYCITSPPPWPEAILLGFQHYLVMLGTTVLIPTALVPQMGGGNEEKAQVIQTLLFVAGINTLLQSLFGTRLPAVIGGSYTFVPTTISIILAGRFSDEADPIEKFKRIMRAIQGALIVASTLQIVLGFSGLWRNVARFLSPLSAVPLVSLVGFGLYELGFPGVAKCIEIGLPELILLIFVSQYVPDVLHSGKHIFGRFAVLFTIAIVWLYAYILTVGGAYKNAAPKTQTTCRTDRAGLIEAAPWIRVPYPFQWGPPSFDAGEAFAMMMASFVALVESSGAFIAVYRFASATPLPPSILSRGIGWQGVGILLSGLFGTVNASSVSVENAGLLALTRVGSRRVVQISAGFMIFFSILGKFGAVFASIPPSIIAALYCLFFAYVGAGGLSFLQFCNLNSFRTKFVLGFSIFLGLSVAQYFNEYQAINGFGPVHTGARWFNDIINVPFQSKAFVAGIVAYFLDNTLHKKEGAIRKDRGKHWWDKYKSFKGDTRSEEFYSLPFNLNKYFPSV >OIW00181 pep chromosome:LupAngTanjil_v1.0:LG12:16187164:16189454:-1 gene:TanjilG_29171 transcript:OIW00181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRCWGQLQVLHPLQPASTSTTHYSPILRSNVTDSYSTMAEVKQHHSLLIRLGLSSNNHAITPIIIFSSLSNHGDFHYSLKLFSTLPNPDTFLFNTLLKSFLFHNYHFPFNSIFLFYSYMLHQQHSLTPNSFTFPSLIKACSLHNAVQQGKQIHAHVLKFGFGLDTFALNNLIHMYVNFGYLGDARKVFDRMPATQALPLPIRASTLHEFSLQAFYTALLVTA >OIW00586 pep chromosome:LupAngTanjil_v1.0:LG12:11198125:11201274:-1 gene:TanjilG_14812 transcript:OIW00586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLNVNETLYTQISEVNATMYKVCIAIPTPTTSDGIWGGQISGRTPLKSFLPLFDLQVIVIYAVTFICQYFLKHLNFPVFISQMLAGLILGSSIQQETVVKYKLMLFPYGSQEVLTSITSIGYAFFMFLTTVQMDFSMITRTGCKPWAIAMIGLAAPLVFCIPTFLIFHTQFHGLMGYAVKDLHIVLLSQTVLSTAVIASLLNELKITNSELGRLALSSVLVSDLVTTTITSFTTALTESNEVKHLVVNLVALVALCIFILFVCRPAMFWIIKQTPEGRAVKDGYIYLIISMVLVSGWVVVQIEQDFILGPFIFGLAVPEGPPLGSALVKKLNVFCTCLLLPIFVTCSVMKTDLSFKYLSAVSSVVIIVLVIVTHLLKITACTVVALYCKMPFKDALALALILNAKGIVEVGLYNGLYDAKVITVETYGIMMLSIMIAACIVKWSVKYLYDPSRKYAGYQTRNIMSLTADSELKVIACIHKQCHISSIIDVLDLCCPTTEQPIIVDVLHLIELVGRCTPIFISHRLQRTTSETFSHKSYSDEIILTFDFYALDNPGAVTAQAYTSISQANLMHDDVCQLALDKVASIIILPFHQKLSADGEIEFDDKNIRSLNCKVLEIAPCSVGILVSRATFQSGSQVRLAMVFLGGKDDREAFCLAKRAMRNPRISLVVYHIVHKEYMPDIEDVIDKDTLEDIQQAHSSLENVSYRQIIANDGQELSAFLRDIVDGHDFFIVGRRHGISSPQIHGLSDWSEFSELGVIGDLLASTDFGIRASLLVVQQQVKDE >OIW00831 pep chromosome:LupAngTanjil_v1.0:LG12:4490736:4491248:-1 gene:TanjilG_12235 transcript:OIW00831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSDDQINHEGELIYLALMAEACPINEAEAMTQEVWKKAMSEEIRSIEKNETWSLVKLPAGKHKIGVKWIFKQKLNPDGSISKYKARLVAKGFLQKQGVDYTEVFALVARIETIRLVVAIACAKEWKLFQLDVKSAFLHGPLMEEVYVQQPPGFVAKGKEQQVYKLNKAL >OIW00595 pep chromosome:LupAngTanjil_v1.0:LG12:11512616:11513134:1 gene:TanjilG_14821 transcript:OIW00595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNIIMAPHISITITFFFFIIFFFSTSLSATKPKDIHDIISDYGLPKGLIPNNVISYTLSPNNSTFTIQLQSPCYVNFDRLVYYNTQITGKISYGSVTDVTGIQAKSFFVWLPVTGIKVDSDDSDMVDFFVGPLSKKLPAKQFENVPGCSRKGIEEFFGIEKFGERLWMSE >OIW00971 pep chromosome:LupAngTanjil_v1.0:LG12:812747:821312:1 gene:TanjilG_16220 transcript:OIW00971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFNQSKSDKSEAVYRKSGRSGSFNQHRGSSASYVKAGDGPASSARSFNKKPNNAQGGQSRVNPTTVNSTEANANRNIQNATNVRPQLHGAPDASVTTKLSESSAAPRSSVVVPKAPTSQLVPPISSDSAAPTTPSKGDVSKAFPFQFGSISPGFMNGMAIPARTSSAPPNIDEQKRDQALRSVPSGPTPPVPKQHQPPRKDAVVTEKSNSVETHTITKGRKDPQVSASTPAVLIQKPSVVPVTGISMPMPYHHSPASLQFGGPTQQIQPQGMSITSLQMPIQIPIPIGNASQVQQQVFVPGLQSHPMHHQGIMHPGQNMSFNHPMGHHLSHQLGSMGIGPQYPQQQGGQFAPPRKTTTVKITHPETHEELRLDKKTDAYSDGGTSGVRSHPSRPSQSQPVQSLAASHPMNYYSSSFNTGSIYFPPPSSLPPTSVQITPNPKSPRFNYPVNHGPQIAGFKNSSSLGSPPVNKASTPIIGIVETHKSESSRDVPNALSSAPLGVTSVPIKTSGASAVVDSSSPISSISGVQNRESSSAASYDTTSFVPQKGSETCTENSSQQSKLASDSSVLCSLPKQSSASVAVPSEKVTAAPLTLPSSSANEDSVLVVSNNEGIRNESLSRSNSLKDKPLKKGQLQHQVSVKSPMEVNVSSQAVDSGISYTGVSEPAGTETNYSVAVNAEVLPSSLDTISAISSSIPSAVEVKTNFSADVSACVSTEGSDVQGADSLNNHKHDNLGHSSEEVKQTDQDAATVSTEMITLKTVQKQQDESASCSAGYDNAGDNLSTFASTGLDSVDVILSRNDLITNGTISENSGMSGSQSADLPETTSILVKGSSENAGSDSVSHPVSGNKDRQTSEANKVNTTSKARKKRKEILQKADAAGSISDLYNAYTGPEEKKEAILSSESTENDSTSGSLEQLPADSVQSGDVASEKCGASKTEPDDWEDAADMSTPKLEVADKSNPVSDESGGTIKKYSRDFLLKFSEQCTDLPEGFESADFAELRANITGGHVIERDSHHNPGRNVDRSGGMSRMDRRGGGMNEEDKWNKVSGAFHYARGFDGIGGNAGFRAGQGGNVGVLRNPRAQAHLQYAGGVLSDPMQSMGNQGGMQRASLDGERWQRSASFQRGLIPSPTTPQTPLQMMHKAENKYEVGKIPDEEQAKQRQLKAILNKLTPQNFERLFEQVKAVNIDNAVTLTGVISQIFEKALMEPTFCEMYANFCSHLASELPDLSEGNEKITFKRLLLNKCQEEFERGVKEQEEANKADEGEVKQSDEEREERRVKARRRMLGNIRLIGELYKKRMLTERIMHECIKKLLGQYQDPDEEDIEALCKLMSTIGEMIDHPKAKVHIDAYFERIRVLSNNMNLSSRLRFMLKDAIDLRKNKWQQRRKVEGPKKIEEVHRDAVQERQAQAQAGRMGRGPGSSPSARRNPMDFGPRGSSMLSSPTAQMGGLRGLPTQVRGYGSQDARFEERQSYEARTLSVPLPQRTLADNSITLGPQGGLARGMSIRGSTVISSSSTSDGFPVPRDSHRMATGLNGYNNLQERTPYNSREDLASRYTVDRFSGPDTSDQSSALDRDINYGNRDLRNVDRNLDRPVASSPPAQSHVSTVSQNDSSEKAWPEERLLAMSMAAIREYYSARDDKEVALCIKELNSPSFHPSMVSLWVTDSFERKDTERDLLAKLLVNLVKSPDGILSQVHLVKGFESVLSTLEDAVNDAPRAPEFLGRIFAKALTESVVSLTEIGRLIHDGGEEPGSLLEAGLAANVLGWTLESIQSEKGEAVLNEIRSSSNLRLETFRPPNPITSRKLEKFISG >OIW00212 pep chromosome:LupAngTanjil_v1.0:LG12:16437327:16437959:1 gene:TanjilG_29202 transcript:OIW00212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKPNNENTKEQSFQFKGIRKRKWGKWVSEIRLPNSRQRIWLGSFDSAVKAARAFDAATFCLRGRNARFNFPDDPPNIAGGNSMTPTQIQAAAASFANSEPHVRNSGRPDKSESHVECSSVETMLLHTESPSPCFSEGNVQTDSDVTVNDLFPDLMAPIGSGNFVTDYGMFPGFDDFSGEYYVPEMESVNYYGEENIDGFIVEDSFLWNF >OIW00413 pep chromosome:LupAngTanjil_v1.0:LG12:13116048:13117256:-1 gene:TanjilG_05763 transcript:OIW00413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSGNSSSSLQSSNGGDDESSSFSAFLNNNPPPQTPTLFNTSSTNHNMFDPLSSYLDPTQPSPLLNLDMMWSKNHRSEPNPTYLPSSSSFSPSPHNQEAFPSRDTTCSAFLNTANTTLLQQESGSRGLVSSVSAATNNDQGHNSNMVRNPKKRSRASRRAPTTVLTTDTTNFRAMVQEFTGIPAPPFTSSSSSSHLLRTRFDLFAPHHAAKPSTSTLLDHPPYLLRPSAQKVQQPLLPIPPSSSSSIMDTLLLALNNSANPFNNMHNNNPNINPSFQNILQQPQQQPSLETPQPNDGHLKMGVFEELGLRHAHVNNNNNNINININGLQHQNMVSSGNNNNSSNTNTNTSSMDQWAHKTDTANYASSSDFHGEKVPETTTTVATAARSEGMVESWINCSSDN >OIV99887 pep chromosome:LupAngTanjil_v1.0:LG12:17703665:17706640:1 gene:TanjilG_26225 transcript:OIV99887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSQIRPLLAMSSYLLCFSFIVVNAVNEEKKGYSFEKGFVFINETSFIGKIEDDFVCATLDWWPTQKCDYGRCSWGHASLLNLDLKNNVLLNAVKAFSPLKIRLGGTLQDKVTYGTEDYHHPCTPFVRNTSRMFDFTKGCLPMHRAKIIFGLNALNGKSIQSGSAVGPWNHTNAESFIRYTVKKNYTIHGWELGNELSGKGIGASISADRYASDVSTLRHMIEDVYRGIKPKPLVISPGGFFDATWFKEFVTKAGKSVDVITHHIYNLGPGVDEHISKKILDPSYLDGEAKTFNSLKNILQSSGTSATAWVGEAGGAYNSGRHLVSDAFVNSFWYLDQLGMSATYDTRAYCRQTLVGGNYGLLNVSTFMPNPDYYSALLWHRLMGHRVLAARFSGTKKIRAYAHCAKQQKGITILLLNLGNSTVLAEVSLKFTKPPHDHVKFREEYHLTAKNQNLHSQVMLLNGNILRVNKDGAIPPLKPVHVDPSKPIVVTPLSVVFAHIPDVIIPACT >OIW00727 pep chromosome:LupAngTanjil_v1.0:LG12:9185781:9187666:1 gene:TanjilG_09696 transcript:OIW00727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMHHHHHNHVGVEKNTDFWPVEHPMEPPDEDRPVKCPMPESSLINDGGMHENRYAESLRKRVEASGAMEDKERTATTGTEPPARGVRKRHHTLTNGGDLVMTPLMRMPSLPPIPAKNMTIFKMLQELDKFES >OIW00870 pep chromosome:LupAngTanjil_v1.0:LG12:3702104:3702655:-1 gene:TanjilG_12811 transcript:OIW00870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSQFLITLLLLSAYTLTSAAKFGRELKDLKKKKEHLTHFKFYWHEVLGGNNDNAAQIIPSIPKYNTTTSFGGLRVIDTPLTLGPKLSSKLVGRAEGLYAATSQTQIELLMIQTFNFFEGKYNGSAINVLGRNVVLNKTRELSVVGGSGVFRFAKGYVELSTYSFDPKSGSSIVEYNLYVLHY >OIV99753 pep chromosome:LupAngTanjil_v1.0:LG12:16706747:16716400:1 gene:TanjilG_26091 transcript:OIV99753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNKDAFSVSDLTAALNEENRADLVNALKSKIQSLSGQHVDILESLSPVVRKRVEVLREIQGQHDEIEAKFFEERAALEAKYQKLYQPLYTKRYEIVNGVTEVEGAANETKSDTEEDNEKGVPDFWLTAMKNNEVLVEEISERDEAALSFLKDIKWSRIENPKGFKLDFFCDSNPYFSNSVLTKTYHMIDEDDPILEKAIGFFNFFNPPQVPDDDDDIDEEVAEELQNQMEQDYDIGDSATLKSLFAGQNWVERENKILAGLNEKFPCNAGRCSYHGRVVEIYGPEASGKTTLALHVIAEAQKHGGYCAFVDAEHALDKTLAESIGVNTENLLLSQPDCGEQALSLVDTLIRSGSIDVVVVDSVAALVPKGELDGEMGDAHMAMQARLMSQALRKLSHSLSLSQTILIFINQVRSKLSTFGGFGGPTEVTCGGNALKFYASMRLNIKRIGFVKKGEEILGSQVLVKVVKNKLAPPFKTAQFELEFGKGICREAEIIELSLKYKLISKAGSMYYYNDQNFRGKDALKNFLADNHSILAELEMKLREKLLNADTKKVLDSDVIIRDVTEEVATLDSTDEETAAIVEA >OIW01079 pep chromosome:LupAngTanjil_v1.0:LG12:735308:738937:-1 gene:TanjilG_14262 transcript:OIW01079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRSIRSQNKGSIKCNKVPQPNGALPIIGHLHFLNPQEPYFRTFSTMAQKYGSIFSLRLGCHNTLVVSSREIAKEILKTNDKVFASRPNISVGRYIGYNNAILALAPYGQYWREMRKMATLELLSCHRLEKLKHVRDLEIFSLIKDLYSSSSCGKRLNDSIIHQVPINLSNLLEHLTFNIIVRMIGGKRFRGETISQEDSDAWRLKKAIKDATYLSGVFVVGDAIPYLSWFDFQGHVSFMKKTFKEMDLILQKWMDEHIRKRDEENESDGRCENDFMDVLISTFQDQDVICGHKREIVIKATSMILILTGSGSTSMTLTWALSLLLNHPNVLKAVKKELDINIGKHKWVQECDIKDLKYLQAIIKETLRLYPPAPLTGIREATEECYVSKYYIPKGTRLLINLWKLHRDPEIWCNPNEFQPERFLTTTTTHANIDFQSQDFEFIPFSFGRRSCPGMTLGLQVVHLTLARLIQGFDICTKDGVKVDMSEGLGLALPKEKALEVMLKPLLPLELYESL >OIW01074 pep chromosome:LupAngTanjil_v1.0:LG12:623250:623738:1 gene:TanjilG_14257 transcript:OIW01074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NLHGNHDEIDFEFLGTDGPPFILQTNIYAGDSGGREQRIKLQFDPTKDFHNYAILWNKKEIKLLVDKKAIRVYLNKNGARFPKGPMAAEATLWNGDSWASGGKKIDWSKAPFQLHFRGFTIAA >OIV99862 pep chromosome:LupAngTanjil_v1.0:LG12:17550811:17553728:1 gene:TanjilG_26200 transcript:OIV99862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSRIVVALVLSHVFICQEFQLLEAQSWVQGGYWFYGSEFPVSDINSALYTHLICAFADVNSSSYELSIPSTVEQKFSSFTSTLKLKNPSISTLLSIAGGSANYSVMSFMVSTASSRKSFIQSSIRLARLYGFQGLDLSWVSANSSSDMNNMGRLFEEWRKAAKSEATNSTNLELILTAAVPYQPDSDFASYPAESIRINLNWVHVLAYDYYMPEWANFTAAHAALYDPSSDLNTDFGIRKWISSGVPTSKLVLSLPFYGYAWNLRNPKNNAVGASATGPAITEGGDMSYKDIKDYVQRYGGGTEFYNATYVVNYYTIGSSWIGFDDVQVVKIKVSYASEKKLLGYAVWQVPYDDNWVLSSAAAEQVDNNGHRNWRLLVIILTKTSISVILLGIAIYYFRRRLLKSKGMTNEAREDRSSKEAAGHFHGNTPDLQVFSFLDIEVATDRFSVENKLGQGGYGPVYKGILLDGKEVAVKKLSAASTQGFEEFQNEVTLTARLQHVNLVRLLGFCIERQEQMLVYEYMPNKSLDFYLFDPIRCYLLDWSKRVAIIEGVTQGLLYLQEYSRLTIIHRDIKASNILLDNEMKPKISDFGMARIFTKEDLEANTDKIVGTYGYVPPEYVKKGLYSTKSDVYSFGILLLQIVSGRKTARFYGEQENLSLMEYAYDLWKEGKYMEFVDPSLDDKSCPCKLLRCMQIALLCVQEDAYDRPPMLEICSMLRNEAILEFPKKPAFSKEKDVQEPNKQEAETSINDATISQLVAR >OIW00099 pep chromosome:LupAngTanjil_v1.0:LG12:19014023:19016721:1 gene:TanjilG_26436 transcript:OIW00099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKSKNHSQGSESSIPIPPPRITSNVKQNLQFLKLWKEFQKRKSSTTCKPSTSYRRKKIDKDDVVDHDSDLYRDPSTTLYYTNQGIENAVPVLLVDGYNVCGYWIKLKKHFINGHLHIARHKLLNELVTFSTLREVKIVAVFDAMMSGLPTHKENFAGVDVIFTSETCADTWIEKEVAALKEDGCPKVWVVTSDHCQQHAAHGAGAFVWSCKALVTEIKASHKEVEMMLQEQRSTSFQGRLLKHNLDAEVVDALKNLRQKLSKNELK >OIW00323 pep chromosome:LupAngTanjil_v1.0:LG12:15410889:15413284:-1 gene:TanjilG_27574 transcript:OIW00323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVIGSSKSTWKPIVSADTTIRSYWINWRVLLCALWLLFSAIISLLLIWKYDIKRKPVRNSNNIEANKETAHTLYEDETWRPSLKGIHPVWLLAYRVFAFIVLLVLLILIVTSEGERMLYFYTQWTFISVTIYFGLGTLFSMYGCYQHHKKSSGDKVDNVDGDAEKRTCEGHSLPQSYNPSNHEKGLRTSEEHHAHKPAGICGYIFQIIFQINAGAVFLTDCVFWFIFVPFLIRNDYNLDFLTISMHTINVVFMLGDTALNCLKFPWFRIGYFCMWTVTYVIFQWIVHAFVKLWWPYPFLDLSSQYAPLWYFLVALLHIPCYGIFALIMKLKHTVLSTRYPGSYQCVT >OIW00576 pep chromosome:LupAngTanjil_v1.0:LG12:11056692:11059459:1 gene:TanjilG_14802 transcript:OIW00576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPVSEWGNTPLSTIDPEIHDLIEKEKRRQSHGIELIASENFTSFAVIEALGSALTNKYSEGMPGNRYYGGNEFIDQIENTCRSRALQAFHLDPQSWGVNVQPYSGSPANFAAYTAVLNPHDRIMGLDLPSGGHLTHGYYTSGGKKISATSIYFESLPYKVNSSTGYIDYERLEEKALDFRPKLIICGGSAYPRDWDYKKFREVADKCGALLLCDMAHTSGLVAAQEVNNPFEYCDIVTTTTHKSLRGPRAGMIFYRKGPKPPKKGQPENAVYEFEDKINFAVFPSLQGGPHNHQIGALAVALKQAMTPGFKAYAKQVKANAVAIGNYLISKGYSLVTGGTENHLVLWDLRPLGLTGNKVEKLCDLCNITVNKNAVFGDSSALAPGGVRVGAPAMTSRGLVEKDFEQIGEFLHRAVTLTLEIQKEHGKLLKDFNKGLVNNKSIEDLKADVEKFSSSFDMPGFLLSEMKYKD >OIW00217 pep chromosome:LupAngTanjil_v1.0:LG12:14107983:14111010:-1 gene:TanjilG_27468 transcript:OIW00217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQDSSEQDLDDSEFVEIDPTARYGRYKEVLGKGAFKKVYRAFDELEGIEVAWNQVKVADLLRNSVDLERLYSEVHLLKTLKHKNIIKFYNSWVDTKNENINFITEIFTSGTLRQYRQKHKHVDLRALKKWSRQILEGLFYLHSHNPPVIHRDLKCDNIFVNGNQGEVKIGDLGLAAILQKANSAHSVIGTPEFMAPELYEEEYNELVDIYAFGMCLLELVTVEYPYVECTNAAQIYKKVTSGVKPASLGKVTDVEVKAFIEKCIANVSERLPAKDLLMDPFLQSDFNSESVGRSSRSEIHHSGNSHDNQAIGKSVVEISGETSREFTVEGQRRDINTIFLKLRIVDSSGNIRNIHFPFDVAADTSISVASEMVEELELTDQDVTTIAEMIDSEIRHHIPDWNPSENHVNCFCQDSTISSDGTPETCHEASPVVYDSIISPGTLALETLPSGRKYWSDSPKAFGGNSPCRHGAANLSYEVDATAEECSFNENGAISVELDPRDEICVGDYSTEKEYDGTVDSTFSERSITSGYLDATGEKISLEEMSGISKDSDIEDITKIAIKLESLLVRQREELHELKTKHKLAISDLLKGISPQMCQKVLNICNLRMPDYGNVG >OIW00852 pep chromosome:LupAngTanjil_v1.0:LG12:4343491:4346769:-1 gene:TanjilG_12793 transcript:OIW00852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFKNNLKLNHESSTKLKLWNQSVDCCQWGGVTCDKEGHVTGLDLSAESISGGFDNSSSLFSLQNLQILNLAANNFNSVIPSGFNKLKELTFLNLSYSGFRGQIPIEISQLTRLVTLDISSLTYLTGQELKLENPNLRKLVQNLTNIKQLFLDGISIKSQGPEWSNVLLLLPNLQELSMSHCNLLGPLDSSLARLRNLAIVRLNQNNLSSAVPESFANFKNLTTLSLDYCGLMGTFPEKIFQVRTLSVIDISYNTNLFGSFPDFPLNGSLRSLIVSSTNFSGAFPNSIGNMMQLSKLDLSNCKFNGTLPSSLSNLAELSYLDLSSNRFTGSIPPLGLARKLTHIDLSHNDLSGEIPSFSHFEGLQNLLSIDLGYNSFNGSVPSSLFTLPSLQVIQLSNNQFSKFDEFSNVSSYKLNTLNLGNNNLSGPIPASIFRLSGLSILELSSNKFNGSMLLRMLLELRNLTKLDLSYNNLSINASVIDADLSSFPNMSNITLVSCNLKTFPAFLRYQSRLTYLDLSDNHIQGIVPNWIWKLDNLQTLNVSHNLLTELEGPLQNLSSNLVVLDLHHNQLQGPLTIFTKYAAYLDYSSNKFSSVIPLNIGDYLSGMIFLSLSNNTFHGSIPVSLCTAENLQVLDLSENKISGTIPSCLIGLSETLGVLDLKMNQLTGPIPDMFPVSCALKTIDLNGNTLDGSIPKSLANCTTLEVLNLGENKIDDVFPCFLKNISTLCVLVLRKNNLRGSIGCPDNNGTWHMLQIVDLAFNNFSGKLPGKCFTRWEAMMYEENQAESEVNHIQFPVLQYSRGGYYQDSVTVTSKGQQMTLVKILTVFTSIDFSSNKFQGEIPKELFDFKALYVLNLSNNFLSGQIPSSVGNLKQLESLDLSINSLEGKIPVEIASLSFLSFLNISFNQLSGEIPTGTQIQSFPYTSFIGNKGLCGPPLTTNCSANDNDSLTEVSKTEFDWQFVTTGVGFGFGAGLVVPALMIWERGRKWSNNRIDTFLIVLLPMFGLAYTPIGKDDDEDDDKEETNSEMSDDYNEDKDDRGYPRLRGEYCVFCSKLDITMQKVIHDPSCTCYNHTLPVSTSTHSLDSNSS >OIW00243 pep chromosome:LupAngTanjil_v1.0:LG12:14714702:14715466:1 gene:TanjilG_27494 transcript:OIW00243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEIQPLSEGHINGAAIGVGSKRQRKPSVRLGDIGVDPPYVDSHHHHPRRNNTTTHKPYKTQSFSNDPNLSIKPSKTRPLTNLTSSPFRTLDYDGERERFDALAIGTWRVKEPSKKRGPTKRVRSNWVSTNEERDFDLQNSESPFSEEHSPVENFGIDGLQLQHHRRSSSFKGSAGPSDTDATRDWNNNNDNENCEDGVRVWLSGLGLSRYFPVFEVHEVDVEILPMLTLEDLKDMGISAVGTRRKMYSAIQKL >OIV99922 pep chromosome:LupAngTanjil_v1.0:LG12:17973070:17976749:-1 gene:TanjilG_26260 transcript:OIV99922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSMQLQVSLDKLPIKRLESIEENGLERFPSDADYDEKRLSLIRRIDFAWAVEKDEEKDKKKQKKTSKDTSTPWQWQTMVENLQAAHQELSVIVDLINTVEANDAVTVASMTRPKLLPNEALSDLAVSAATKLQCYCHVGKYFKQSAKAFEQQVAREARFYGALIRLQQNWKVKRLRQAAIAPGNEGFTFDLFDNSYDQAAIVRSSSISTVRVNHDAAGMLAIDMSPNLCHSLQFGFVSAQSDHIQMKSNENKSHFSDEHHLGETDKESLSDEECIKKTHLLLRGAHKAIFNEQVFDLVNREAFNSSVGVSVTGIQENYLQLSLGQGVSVFLSLVSSGQDHSTVEGELTNNGENSILTLEASDGMSRDAKQNTLKKKSQFSNSTCYEIYIQQAKDGSSFLGHFFMSLAHRIFSRKVLAELENVVFKVPYLELISNPTWHSRSSSWTLFMEVPQSILHGRQIKNPDYYVKNGIKRQFWTKVVVNDDCINVKAEGSPNVAGLFKGKCEETHSINRYNCNLADLPVIILQQVVSQIINWLYQEALMVGIKASRDFLSLSFELEQGETLGLVANVDPEDSKGCISWWLVMEDSFAEEQKLHMNITDAASEYRKFLGHLSLDLLYATLMDLVGLCSGGGQ >OIW00177 pep chromosome:LupAngTanjil_v1.0:LG12:16157649:16158014:1 gene:TanjilG_29167 transcript:OIW00177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACNTSLSLVKEKEHEGAEIVYGSEKCQKHSIELLQELGFPKGVLPLKDLVECGRVRESGFVWMKQKEPYEHFFERTNTRVSYAVEVTAYVEKLKMKKMSGIKSKQMLLSVPITEMSIEDP >OIW00030 pep chromosome:LupAngTanjil_v1.0:LG12:18636709:18644177:1 gene:TanjilG_26367 transcript:OIW00030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSERKTIDLEQGWDFMHKGITKLKNILEGLPEPQFSSEDYMMLYTTIYNMCTQKPPHDYSQQLYDKYRESFEEYILSTVLPSLREKHDEFMLRELVKRWANHKIMVRWLSRFFHYLDRYFIARRSLPPLNEVGLTCFRDLVYKELNGKVRDAVISLIDQEREGEQIDRALLKNVLGIFVEIGMGQMDHYENDFEAVMLKDTSAYYSRKASNWILEDSCPDYMLKAEECLKREKDRVAHYLHSSSEPKLLEKVQHELLSVYANQLLEKEHSGCHALLRDDKVGDLSRMFRLFSKIPRGLDPVSTIFKQHVTTEGMALVKLAEDAASNKKPDKKDIVGLQEQVFVRKVIELHDKYVAYVNDCFQNHTLFHKALKEAFEIFCNKGVAGSSSAELLATFCDNILKKGGSEKLSDEAIEETLEKVVKLLAYISDKDLFAEFYRKKLARRLLFDKSANDDHERSILTKLKQQCGGQFTSKMEGMVTDLTLAKENQTSFEEYLSNNTNADPGIDLTVTVLTTGFWPSYKSFDLNLPAEMVRCVEVFKEFYQTKTKHRKLAWIYSLGTCNIIGKFEPKNVELIVTTYQASALLLFNSSDRLSYSEIMTQLNLSDDDVIRLLHSLSCAKYKILIKEPNTKTISPTDYFEFNSKFTDKMRRIKIPLPPVDEKKKVIEDVDKDRRYAIDASIVRIMKSRKVLGYQQLVVECVEQLGRMFKPDVRAIKKRIEDLISRDYLERDKDNANMFRIVSLPVNALPNLALSYW >OIW00969 pep chromosome:LupAngTanjil_v1.0:LG12:776307:777781:-1 gene:TanjilG_16218 transcript:OIW00969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLRFVINKENPANDIVDIINKNRTDRKLPNLNDSPGLGCMALQYVESCKGNCTDNNVVNCKFLDDDFTEVFAPNCGVELPTFGTITGHIVGCQRKYLEPSLAFSQVLVVDKNSLSLLRNKSHTEVGVGLVGLHKGPFFWCVLFSNGKSNSTFVLENRGAGIEQKKGCYSGSSTPCSGVQKKNVVFLNIFFMCYVFILLFTLL >OIW00558 pep chromosome:LupAngTanjil_v1.0:LG12:12360781:12362686:-1 gene:TanjilG_24288 transcript:OIW00558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMHSRIWKNSSRSISRIKKKGEDKLSNLPDELIHRILSFVDAKTAVQTSVLAHRWKNIWISLPFLNFHSSSFDHDFLFQDFVLNFLANRNSDSKIDVLKFECTGDELEETGAPTVDSVVDYVASKDIQNLTIVADYVLESLPRLFTSRTLTVLNLSNISTETSTFEFVSLQKLSLVDCKFEIRDVEILDPFLGCPKLSFLLFDCCQFYGKFDKFKIHAPQLTELSISCLRVDEEFDSECVIELFTPKLRYFSYDDPLNLYAFSIQAKLHFLEKLVIDVDSSEVLNALDNTEGTKLSMKFIELFEAMGSAKFVYLSPDVVKVLSLFPALFMGRLSPFTRVQTFKFIVDVYSSFPLPTNVMAYLLRGSPGFTCYRRQGSYTAKQSG >OIW00419 pep chromosome:LupAngTanjil_v1.0:LG12:13189228:13198080:-1 gene:TanjilG_05769 transcript:OIW00419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFNASRSKSNATPDFTKTKSNAAPEMTKVKSNVIPETIYAKTNASSERTNANETNVCIINASNTNPSSDTGGGKNEKKKKSLFPKIFGSKRSGRSSDEDALKSQIQEGEGVTLDLERKIETRRKAFLEASPIMRKSFSERETSPGIEGLNLSNFERRMAPEIGIQSFRIFVATWNVGGKSPNFDLNLQDFFLVEGSADIYVLGFQEIVPLSAGNVLVIEDNEPAAKWLALISQALNRPKNEYSDSSDSGTTSKNLNNSKDSKSSASVNFFQKPSLKAISRNYRAEGSSLLKSCNCPIDPPSRERRRVRKFSDPLSKLDSDIHGESSVEELLAIAEIPSSPTQTKYSLISSKQMVGIFLTIWTKKELVPHIGHLRVDSVGRGIMGCLGNKGCISISMSLHQTTFCFVCSHLASGEKEGDELKRNADVSEIIKGIQFTRITKNPCQRAPERIVDHDRIIWLGDLNYRVSLSYDETRVLLEDNDWDTLLEKDQLNMERDAGRVFNGFREGRIVFAPTYKYSHNSDSYAGETTKSKKKRRTPAWCDRILWRGNGIEQLSYIRGESRFSDHRPVCAVFSVNVEVRNRNIRFRKERKRFIKVAIDSRYALAAAYVSYIQSLRNVGIALRRYAEGEILVESSLSISDKTLSQTSYPSPPSHVAEAEVEVSESPLNNEVHFSPSVFSVSYMNSGSNAALTVTINACGNNNCLDDEATVFPMSTPPESGASWDYFEPGEDSESFRFTAPTSAFRECRGQLLEVDSDGNCVMKLNLNEKFGRNFSGSAIGNECSSPHAHFSDHSIVSRGVEGCKEMIGGEVKQTELPGNGGVIKETGADKGSIGRSSSKREKNIAGENVCTEREDPSEFITHRAKYFLSSIKDIEHRFIRASESGKEVSRLLEANKIKVGYSEAKGKQSPMAILAAVQPAYCGRKAMPVSQEPVQKTISWRRTTSSRSSSSKNALATKPKEDIDDSGSDFVEEFCMIAGSHSSTLDRLYAWERKLHNEVKACESIRKDYDRKCVQLRQQFAKDQGTQVIDKTRSVVKDLHSRIKVAIYSVDSISKQIERMRDEELFPQLLELTDGLMRMWKAMLGCHHAQYITISLAYHSKSSRGTLQGEARREIMSQLQEEIEFFGLSFANWINSPTSYMAALNGWLQNCILQPRERSRNRRPFSPRRVLAPPIFVLCRDWSAGIKALPSEELSQEMGSFFV >OIV99797 pep chromosome:LupAngTanjil_v1.0:LG12:17088643:17090154:-1 gene:TanjilG_26135 transcript:OIV99797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEYWTSLASLLGVFAFCQTIIQSLFPPHLRFLSLKFFSHFFHCFSSYCYFDITEIDGVNTNELYNAVQLYLSSHISVTGTRLSLTRALNSTAFTFGLANNDTITDTFNGVNVLWEHIVTQRQSQTFSWRPLPDEKRGFTLRIKKKDKTLILKSYLDYIMEKANEIRRKNQDRLLYTNSRGGSLDSRGHPWESVPFKHPSTFDTLAMDPLKKNEIMEDLQDFANGQGFYQKTGRAWKRGYLLYGPPGTGKSSMIAAMANYLSYDIYDLELTEVNNNSELRKLLMKTSSKSIIVIEDIDCSINLTNRKKNKNSGVSGSRGYYDLSALEVRGVGGSEEGSGNSITLSGLLNFSDGLWSCCGSERIFVFTTNHVEKLDPALLRSGRMDMHIFMSYCSFSSLKILLQNYLRCEDSDLDESVLKELEEVVDVARMTPADISEVLIKNRRKKKKAVIELLENLKVRAQRNENIGDEEQEKRALDSDSPRKESEIEDNCKEGKIEEEKSD >OIW00118 pep chromosome:LupAngTanjil_v1.0:LG12:15752191:15753277:-1 gene:TanjilG_29108 transcript:OIW00118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLKGGTTQACAACKYQRRKCTSECLLAPYFPADQPKVFLNVHKLFGVSNIVKILKILEPDQKKIAMDSIIIQSNYRSKYPVHGCWEEICRLQYQILWAEEELQAVYQQLETCRQQQQASMPGYMNVTSQLDLGMATPDTNALPLFDHSPQPQTYDDTVAAVAALPAVQQHSYSNSNSVDYSSVDYNSSLYLESKDDTTNPLWVQHHPYANNNNNSIVMQSQLVASQQLAIQHGTVEDYEQMHPLFDAIDDRQSYIYSKEAYESSSEESLKDTRKCTDHFAKNELKNAAAFFSLTGVN >OIW00756 pep chromosome:LupAngTanjil_v1.0:LG12:7831503:7831679:1 gene:TanjilG_19196 transcript:OIW00756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNQATSIDLKELVKKFILGCIGKEIEKATCGKVKILKASKFDLCKLMDVQWSDFDLF >OIV99840 pep chromosome:LupAngTanjil_v1.0:LG12:17398456:17401993:1 gene:TanjilG_26178 transcript:OIV99840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPSRYKVPVKLEIEDPLEEEHGPLNKRFKPSQKEGNASNATSLSSPSQIDILDEPSPLGLRLRKSPSLLDLIQMKLSQGNTLIANAQNEGSSSGVKKESGGGASVSSRFDKLKASNFPASFLRIGSWEYKSIYEGDLVAKCYFAKHKLVWEVLEGALKSKIEIQWSDITALKANCPDNGPSSLTIVLARQPLFFRETNPQPRKHTLWQVTADFTDGQASKHRLHILQCAQGVLTKHFEKLIQCDERLHYLSRHSEIILDSPHFDAQPCVDNLKDHDLHQDSGKGSVISCFQDMGSPNSSLSPSFTIEHNGLPSISLDGLPHEAPSPSSVMDCRTIEGSSTSSETDSKIPRNWDQVNVPGLRPSISLSDLIGHIEHCLSEQITSGNPSFNGEVPEYQEMLENISQYLLNDNQVTTTSDEKSLMSRVDSLCCLLQKDTAEVQNSHDNENTIEGPHCGKDVQLSHHIFDPIQGKISTMDIKAEEDSGDVSSGKQAPGMSRKDSFGDLIFHIPRITSLSKFLFNISEEDGNSNADSFSHV >OIW00504 pep chromosome:LupAngTanjil_v1.0:LG12:11770472:11771426:1 gene:TanjilG_24234 transcript:OIW00504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSTTIIVASALCFLSFLGSANGVERFFVEGTVYCDTCRTQFLTRVSEFMQGATVRVECKETEGSQNVTFSKEATTDAKGSYKVEVDGDHEEETCEVVLVKSPREDCSEVDKESYLAQAAMISITKNNGITSPIRNANPLGFLKKERLSVCKDVLKELGLSEDGNELD >OIW00945 pep chromosome:LupAngTanjil_v1.0:LG12:1810193:1811452:-1 gene:TanjilG_10023 transcript:OIW00945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPTGAKEAQLRESNSQKVHPQPMEEAMNQNPEAVETLVSKVFTNISSLKSAYIQLQAAHTPYDPEKIQTADKLVIGELKTLSELKHFYRENNPKPVCVSPQDSRLAAEIQEQQSLLKTYEVMVKKFQSEIQNKDSEIHQLQQLIEEANHKRSKLEKNLKLRGLSAKESEDGNGFFPVDLTPDLFTSFVEATAKAIHDFSKPLINMMKAAQWDLDSAANSIEPDVVYAKRAHKKYAFESYICQRMFSGFEQESFSVKSENITVTKESFFHQFLALREIDPLDMLGQNPDSIFGKFCRSKYLVVVHPKMEASFFGNLDQRNYVTSGGHPRTPFYQAFLKLAKSIWLLHRLAYSFEPNVKVYQVKGGSEFSDIYMESVDKNLIMDDNDEKPKVGFMVMPGFWIGGSVIQSKVYLSGMKVAE >OIW00187 pep chromosome:LupAngTanjil_v1.0:LG12:16236445:16237062:1 gene:TanjilG_29177 transcript:OIW00187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHSSQVPSPSATCSGCGTTQRFSLIIHNVCYTSHNRHLCTNCLLKNHHGLFCPICYQVYDDSLPLHQRLKCLRCPSVVHRSCALPFSTTTTNASSAPLFLCPTCSDVKFSYFNLSAADRISRTVDEKSFKVLAAASRITAMSMSRGATAARFEAEWLAKEAAMSRKRAMEAMEELADIQENEEEKSHGCVFDLNLDARLHVTEE >OIW00687 pep chromosome:LupAngTanjil_v1.0:LG12:8405564:8406076:-1 gene:TanjilG_09656 transcript:OIW00687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSLRSKREKRLRAIRREIVEPLYEKKDAAKLAAQEAALAAPKLPVRVPSKSAMDASTPSNYTIMDVEMPDDSQSVASLKPVGGIGKKLKKKFKLGKGKRRGKGKKPSKRHI >OIW00019 pep chromosome:LupAngTanjil_v1.0:LG12:18583314:18585368:-1 gene:TanjilG_26356 transcript:OIW00019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVYQDLLTGDELLSDSFPYREIENGILWEVDGKWVVQGAVDVDIGANPSAEGGDDEGVDDQAVKVVDIVDTFRLQEQPPFDKKQFLAYVKRYIKLLTPKLDEESQAQFKQHIPSATKFLLSKLSDLQFFVGESMHDDGGLVFAYYKDGAADPTFLYFAHGLKEIKC >OIW00046 pep chromosome:LupAngTanjil_v1.0:LG12:18735718:18736746:-1 gene:TanjilG_26383 transcript:OIW00046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSGFEGFEKRLEIHFFGDDPAIFPLGLRKIDFESMQQVLEAVQCTVVSAVGNSYFDAYVLSESSLFVYPTKIIIKTCGTTQLLKSMQPMIHFAHHLGLTICSCRYTRGSFIFPKAQPFPHTSFKDEVTYLEDTIPSNLCYRKASIMPSKSCAHSWHVFTANDGSHSHMSYDNDIFTIEICMTELDPILARNFFRQAHDAKTGDSAGKEITVLTGINEINPNALICDFAFDPCGYSMNGMDGDWYSTIHVTPEDGFSYASFECVGSINDDIVHVLRKVVQIFRPETMSISTTWNDYNNKKWTHMVKAVETLGLKCKSSVMDQFPAAGDVVFQTFTARRKSI >OIW00993 pep chromosome:LupAngTanjil_v1.0:LG12:1189042:1189680:1 gene:TanjilG_16242 transcript:OIW00993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKISWDFSSMESINHYFEHDSDILITSSYDPIQSPTSDSSSSQIVSNMETTNEEKVSNETCVVKAPPTWKHYRGVRRRPWGKFAAEIRDPKKNGARVWLGTYDTEEKAGLAYDRAAFKIRGRKAKLNFPHLIGSDTNSEPMRVIVASKINSLEPYSPPLFNGLDRCQGSKRGKNLTNLLNRLARNRSRVVQLFEMGSVDKDLMLINGWIS >OIW00939 pep chromosome:LupAngTanjil_v1.0:LG12:1696890:1697691:1 gene:TanjilG_10017 transcript:OIW00939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEIWDDLVGEILVRLLVKSLLRFKCVDKRWNDLFRKPTFTNRNMKIHEKKKKQRVMIRESDEPPRFMILENDEILEFDWQNPFPDDRVIWTLEFDGTYNVLPVKCNTNYFQDGFLFNGVLHWVSVGEKQEDEDCILRFDFRNNQFTTIDLPSEVDDLS >OIW00127 pep chromosome:LupAngTanjil_v1.0:LG12:15826443:15831135:1 gene:TanjilG_29117 transcript:OIW00127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIAKSAVILILCFALANYAVAAPREKQVGSPDIFMGKNVAQDVLLPGEKLVDVTSFGAKPDGETDSTQAFMDAWQTTCHVNKEQSRMYVPAGRFLVSPIIFAGPCLSPNPITIQVIGTVLASTDISEYTEGTWLQFEHIDGLKIIGGGTFDGQGKDSWQFVEDCEKRTQTCVRNPSGLHFEHVRNALIQGVKSVNPKGFHVFVTNCANFRLRRLKLLAPETSPNTDGIHISHSINVKLSRNVIATGDDCVSIIQGAYNVTINKLKCGPGHGISIGSLGKFPDELEVKGIIVKNCTMIGTTNGLRIKSWPDLYPGGASDISFTDIIMENVKNPVIIDQEYECYPNCQKKPSLVKIANVHFANIRGTTISPVAVDLRCSQLNPCQGVTIQNINLKLAGGIPTTSRWKMAYLNARRTLASTLTRALSSSSSSSATSRSRFASALFATEQTLPLFGSVNFPVRTKSSGSGYSPLNDPSPNWSNRPPKETILLDGCDYEHWLIVMEFPDNPKPSEDEMVNSYVKTLTQVLGSEEEAKKKIYSVSTSTYTGFGALISEELSYKVKELPGVLWVLPDSYLDVPNKDYGGDLFVDGKVIPRPQYRYSERQPNNRNRPRPRHDRRRETMQVERREPLQRQNWNQGQGGPVQQSSSMNDQNSAPGGHN >OIW00054 pep chromosome:LupAngTanjil_v1.0:LG12:18776442:18779255:-1 gene:TanjilG_26391 transcript:OIW00054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAECCSNPPSLVASGGVGVVHKLGALPSYLTGSSNSNHAILLVSDIYGYEAPNLRKLADKVADAGYYVVVPDFLAADPYNPHNPDRPLLVWIKDHGTDKGFEAAKLVIEDLKSKGFSTVGAAGICWGAKVVVELSKSKLIQAAVHLHPSFVTVDDIKGVDIPTAILGAEIDNISPPVLIKQFEEVLAAKSEVESYVKIFPKVAHGWTVRYNTEDAEAVKAAEEAHQILLDWFAKHLK >OIV99718 pep chromosome:LupAngTanjil_v1.0:LG12:16496499:16497700:1 gene:TanjilG_26056 transcript:OIV99718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPMVRSSSIPSLPPPSPKSPPEYPDLYGKRREMARIHMLEREISFLQEELKSVEGLQPASRCIKETADFVMATSDPLLPS >OIW00068 pep chromosome:LupAngTanjil_v1.0:LG12:18869178:18874057:1 gene:TanjilG_26405 transcript:OIW00068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVKAVSGSKPPQFAASHSFNSRKIRNLRYDFDKWGCCFSLLAAHIATTTLENDKNPNVPFVTLHQTDSKGFHKDLNLLPKPLTAVDLTSSPRDGSKVRVAYQGLPGAYSEDAALKAYPNCETVPCDEFEAAFKAVELWLVEKAVLPIENSVGGSIHRNYDLFLRHRLNIVGEVQLRVNHCLLGLPGVRKEELKCVVSHPQALAQCDMMLNDLGVLKITAHDTAAAAHHNYLSSLNTEPLTAVDLTSSPRDGSKVRVAYQGLPGAYSEDAALKAYPNCETVPCDEFEAAFKAVELWLVEKAVLPIENSVGGSIHRNYDLFLRHRLNIVGEVQLRVNHCLLGLPGVRKEELKCVVSHPQALAQCDMMLNDLGVLKITAHDTAAAAHSVALNCVRDTGAIASSRAANIYGLNVLAERIQDDDENVTRFLILAREPIIPGTDRPYKTSIVFSLDEGPGVLFKALAVFALRDINLSKIESRPLKQRPFRVVDDSNEGSARYFDYLFYIDFEASMADPRAQYALGHLQWHLNLAGSY >OIW00255 pep chromosome:LupAngTanjil_v1.0:LG12:14852783:14853253:-1 gene:TanjilG_27506 transcript:OIW00255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKVYLFVFISSLIPLLLNSKLLFIIGNLVIFALILNSRILSSHSSSTTNVYYDEYIHSSQTQKPEIPSVEVKGKILEKHVTENVVMIREDGLNILELKEKGWIKKASEAWHEKEEDEPSLFPSSDELNRRAENFIARMNKQRRLELSLLKYGKLE >OIV99947 pep chromosome:LupAngTanjil_v1.0:LG12:18119896:18126932:1 gene:TanjilG_26285 transcript:OIV99947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEDDDDEDDSEWNPLELDKLVEPIKWFCTNCTVVNLNDTVHCYICGEHKDSKILTCGFFASPCAEEVGLTEVQPTKGLTDLSSQGSVTNSSTAIGFDERMLLHEEVETKSHPHPERPDRLRAIAASLATAGIFPGRCYRIPSREITREELITVHTLEHIESVEVTSSMRSSYFTPDTYANEHSAHAARLAAGLCADLASVIVSGRAKNGFALVRPPGHHAGVRDAMGFCLHNNAAVAALAAQAAGARKDVHHGNGTQEIFDQNKSVLYISLHRHEGGKFYPGTGAVDKVGSMGAEGYCVNIPWNQGGIGDNDYLFAFQHVVLPVASEFAPDLTIISAGFDAARGDPLGCCDVTPPGYAQMTQMLNALSGGKLLVILEGGYNLRSISSSATSVIKVLLGENPGYEQEFSSPSKSGLQTILEVLKIQKNFWPSLEPIFINLLSLWKMYCLERKRKHIKRRRVLAPFWWKCGRKSLLYYYLNGHLRARSK >OIV99961 pep chromosome:LupAngTanjil_v1.0:LG12:18200806:18204620:1 gene:TanjilG_26299 transcript:OIV99961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCSFSGLNALYDTVNGGVDVWINDNRFRVVSQLGEGGFAYVFLVKEILSDSVSNLKHSSLLSDDGTYAMKKVLIQNNEQLELVREEIRVSSLFNHPNLLPLLDHAIISVKPTQEKSWTNEAYLLFPVHLDGTLLDNAKTMKAKKEFYSTSDVLQIFHQLCAGLKHMHNLDPPYAHNDVKPGNVLITQRKGQPPLAILMDFGSAQPARKQIRSRQDALQLQEWASEHCSAPFRAPELWDCPSHADIDERTDVWSLGCTLYAIMYGVSPFEYALGESGGSLQLAIVNAQIKWPAGPKPSYPDALHQFVSWMLQPQAAVRPRIDDIVIHVDKLIAKFSQ >OIW00603 pep chromosome:LupAngTanjil_v1.0:LG12:11588081:11590267:1 gene:TanjilG_14829 transcript:OIW00603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPLLSKKIIKKRVKRFKRPQSDRKISVKPSWRRPKGIDSRVRRKFKGCVLMPNIGYGSDKKTRHYLPNGFKKFVVHNVSDLELLLMHNRTYAAEIAHNISTRKRKEIVERAAQLDVVVTNKTARLRSQEDE >OIV99890 pep chromosome:LupAngTanjil_v1.0:LG12:17722994:17727375:-1 gene:TanjilG_26228 transcript:OIV99890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAPILPDLGTEILIPVCAVIGIIFALFQWFLVSKVKLTAARDASPNSAGKNGYNDYLIEEEEGINDHNVVLKCAEIQNAISEGATSFLFTEYKYVGIFMVAFAVLIFVFLGSVEGFSTSHQPCTYDQTKICKPALATALFSTIAFLLGGITSVISGFLGMKIATYANARTTLEARKSVGKAFIVAFRSGAVMGFLLAANGLLVLYITINLFKIYYGDDWGGLFEAITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALVVASISSFGVNHEFTAMLYPLIISSVGILVCLLTTLFATDFFEITAVKEIEPALKKQLIISTVFMTVGIAIVSWVALPSSFTIFNFGVQKVVKNWQLFLCVAVGLWAGLIIGFVTEYYTSNAYSPVQDVADSCRTGAATNVIFGLALGYKSVIIPIFAIAVSIFVSFSFAAMYGIAVAALGMLSTIATGLAIDAYGPISDNAGGIAEMAGMSHRIRERTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAFVSRAAITTVDVLTPKVFIGLIVGAMLPYWFSAMTMKSVGKAALKMVEEVRRQFNTIPGLMEGTTKPDYATCVKISTDASIKEMIPPGALVMLTPLIVGIFFGVETLSGVLAGSLVSGVQIAISASNTGGAWDNAKKYIEAGASEHARSLGPKGSDPHKAAVIGDTIGDPLKDTSGPSLNILIKLMAVESLVFAPFFATHGGLLFKI >OIW00448 pep chromosome:LupAngTanjil_v1.0:LG12:13439196:13446785:1 gene:TanjilG_05798 transcript:OIW00448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNSQKIRTLSKVIVLGDSGYAYKKFSQQYKATIGADFVTKELQIDDKLITLQIWDTAGQERFRSLGPAFYRGADCCVLVYDVNIHKTFDKLNNWHDEFLHQADLDGSETFPFVLIGNKVDLDGGNSRRVTENKAREWCASRGNIPYFETSAKEGYNVDEAFLCVAKISLDNEHVQDIYFPGISETASEAEQQSCRVQIYWNLLLNNTELLRFDFNMALASRLFPKSKLVYGSQVLLQKEYAVPVRHFAKESAPPALKGDTMLKNIFVELKNKYETAIGLLKNEKITIDPEDPSAVSHYAKVMKTIREKASLSSESQHIQESIETQTADIPDARTYLLTLKEIRIKSGLTDDLGAEALMIEALDKIEKDLKNPLLRHDKKGMDLLLAEFDKINKKIGIRKEDLPKYEEKLELSIAKAQLEELKKDALEAIETQSKREEFKDEGTVDVKSLDIRNFI >OIW00064 pep chromosome:LupAngTanjil_v1.0:LG12:18845965:18849826:-1 gene:TanjilG_26401 transcript:OIW00064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPRVFMFLVLLSFSAVSLLSPVFAEEEQNPGLVMNYYKETCPQAEEVITEQVKLLYKRHKNTAFSWLRNIFHDCAVQRCDASLLLDSTRRSLSEKETDRSFGLRNFRYIETIKEAVERECPGVVSCADILVLSARDGIVSLGGPHIALKTGRRDGRRSRAEVVEQYLPDHNESISSVLHKFGAMGIDTPGVVALLGAHSVGRTHCVKLVHRLYPEVDPVINPDHVPHMLKKCPTPFQTRRPFLSEHHIYLDTAVFGYLRSSREGSKVAVSLLSPVFAEEEQNPGLVMNYYKETCPQAEEVITEQVKLLYKRHKNTAFSWLRNIFHDCAVQRCDASLLLDSTRRSLSEKETDRSFGLRNFRYIETIKEAVERECPGVVSCADILVLSARDGIVSLGGPHIALKTGRRDGRRSRAEVVEQYLPDHNESISSVLHKFGAMGIDTPGVVALLGAHSVGRTHCVKLVHRLYPEVDPVINPDHVPHMLKKCPDSIPDPKAVQYVRNDRGTPMVLDNNYYRNILDNKGLLVVDHQLATDKRTKPYVKKMAKSQDYFFKEFSRAITLLSENNPLTGTQGEIRKQCNVANKMHHHEES >OIW00932 pep chromosome:LupAngTanjil_v1.0:LG12:1591648:1595213:-1 gene:TanjilG_10010 transcript:OIW00932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCTAKGELGGLVRLLHSDHEVTGSNPRNSLSTYGVRLLTSTLLRPHQVRGSCTSPFARPLANDNDSDHDVEFVSGNVQLITTKEAWDQKLEQARHDGKIDFSSSWDIKATPTFFFLRDGEEIDKLVGANKPELEKKIGAVTKSPT >OIW00468 pep chromosome:LupAngTanjil_v1.0:LG12:13635859:13636497:-1 gene:TanjilG_05818 transcript:OIW00468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLPEFPSGIRTSSASSKKEVQLQGPRPPPLRVNKESHRIRKPPLPPPTSHHQPPPDHRKPVIIYSVSPQAINVTVNDFMNTVQRLTGPSSGNDPTLRSGDVSPAARFASIEKTSPSEKERAHGGGDDDMTWLLEGVEMGQFPGILSPPAANIPPISSGYFPAVTELQQTTSYWYDLSPFWSSSSFVMSPSGLLSAAVVSPLPSPDLFNLFK >OIW00774 pep chromosome:LupAngTanjil_v1.0:LG12:7195845:7196770:1 gene:TanjilG_22273 transcript:OIW00774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKEVEERMEHRMVEKMEKHMMEFAGKTLFVKVSSDSNSTSSEYDKVLIPEDQENKYNNDEAARSYVEDEDVHGSVVSSLIEVDTHTQAETTSVSVDKKLKIESDAVKPKIIPPPDTRKKIYEIDPFLQPHCEHFDFRCEHFDFRYAQYKRLHAEIDKYEGGLDAFSRGYKKFGFIHSVTCITDREWALGAKTTTLIEDFNRWNPSIDEMVWNEFCLWEIFLPNNVDGSLEIPHGSRVKIRMTTLNSCLDQVLYTCS >OIW00092 pep chromosome:LupAngTanjil_v1.0:LG12:18977305:18989673:1 gene:TanjilG_26429 transcript:OIW00092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQASTCLAELDQSPSASILDPIKPFFNAIVKPELLKHQDRDVKLLVATCISEITRITAPEAPYSDDVLKDTFQLIVSTFSGLSDTNGPSFGRRVFILETLAKYRSCVVMLDLECDDLVNEMFSTFFVVARDDHRESVLSSMQTIMTVLLEESEDVREDLLYILLSKLGREKKGVTMAARRLAMNVIQQCVVKLEPSIKEFLLSLISGDIKPVNSLVEYHGIIYDLYCCAPLVLSGVLPYVTGELLTDQLETRLKAVKLVGDIIAISGPSIPEALQPIFLEFLKRLTDRVVEVRMSVLEHVKSCLLSNPFRAEAPQIISALCERLLDFDENVRKQVVVVICDVACHAPNAVPLETVKFVADRLRDKSLLVKKYTMERLAEIYRVFCQKSSDTVNPNEYGWIPGKILRSFFDKDFRSDIIESIICGSLFPAEFSISDIVKHWVGIFSGFDKVEIKALEKIMEQKQRLQQEMQAYLSLRQFCKGKNDSEVQKKILFCFRVMSHLFANPIKAEESFRILDQLKDANIWKILTNIVDPKTTFNQARIYRDDLLKILGEKHRLYEFMNTFSMKCSYLLFNKEHVKAILSETVAQKSALNSQCIQSCMDILLIIARFSPLLLNGSEEELLNLLKDDNHMIKEGVLHVLVKAGSTIHEQLAMTSSSVDLVLEKLCLEGSRRQAKYAVHALVAITKDNGLKSLSVLYKKLVDILEEKAHLPPVLQSLGCIAQTAMPIFETRESEIVEFINKILKSDSKEEYHKSASWDDKSDLCMLKIYGIKTLVKSYLPVKDAHVRPNINSLLDILRNMLSYGEISKDLQSSSVDKAHLRLASAKAVLRLSRLWDHKIPVDLFHLTLRASKISFPQAKKVFLSKVHQYIKDRLLDAKYACAFLFNIFGSKAEEFAEDRQNLAEIIQMHHQAKSRQLSVQSDANSLTTYPEYILPYLIHALAHNSCPHVDECKDVGAYDNIYRQLHLILSMMVQRNEDHKSEVTSNREKENISIIASIFHYIKHSEDIVDSSKSKNSHAICDLGLAITKQIVQKDVDLQGLSASVSLPPMLYKTYESNEADDTLVSGVNTWLADETVLTHFESLDLEMVQSQLAEDEVPKDNEFDGNEMPLGKMLKQIKSQRTSVKKLKKNKSVSAETEKDDQDIDNLNLVRQIKLNNCGLSSNSEPSNGHEHCSSKDAPKDAENATGQKRKSRETKDIPVPKHWRSSCTNLILSSSTSKASRRVPGEDSPGIKLLLGSEFNPDLDSNATQINMAEDSDEDLLVSSLKQNVKGSGQYHNDETGKPDEHEMKSSDNLKLSDRTASNYSKSSIDSTKKYKRKSISGLAKCMTRGHEIDNEDLIGCRVKVWWPTDKKFYRGTLKSYDPLKGKHVILYDDGDVEILRLEKECWELVDKGHKSIKKIKPSSVKSVNGKQSPMKPVNRTKKCELKSNFDQEDAKETSEISNPEVIMTPKSDGMNSGGSEEVELVESLDEIITMEKKTKISKAVSRRKRIKEAKFLSYIEESEPANKDYGERLSEDRLHVTQGGQNVDEESSSEEREVYEASGALREIINGEEESDTEGYQGDSNVGVSPRKMKKSHIRPSSPDETRITEIADDVPLSKWKQRTGKKSRGKRQ >OIW00330 pep chromosome:LupAngTanjil_v1.0:LG12:15456248:15461224:-1 gene:TanjilG_27581 transcript:OIW00330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNLSPPTEVKSLFFTDSFKSNTLLTAKFPGSFALKRKDCGATAGRRVYCSAQAPPPAWPGRAVPEASVKTWEGPKPISIVGSTGSIGTQTLDIVAENPDKFRVVALAAGSNITLLADQIKRFKPQLVSVRDESLIAELEEALNGVEQKPEIIPGEQGIIEVARHPDAVSVVTGIVGCAGLKPTVAAIEAGKDIALANKETLIAGGPFVLPLAKKHNIKILPADSEHSAIFQCIQGLPEGALRKIILTASGGSFRDWPVEKLKDVKVADALKHPNWSMGKKITVDSATLFNKGLEVIEAHYLFGADYDDIEIVIHAQSIIHSMIETQDSSVLAQLGWPDMRLPILYTLSWPDRIHCSEITWPRLDLCKLGSLTFKAPDNVKYPSMNLAYAAGRAGGTMTGVLSAANEKAVELFIDEKISYLDIFKVVELTCEKHQDELVTSPSLEEIVHYDNWARKYAASLQSSSSSTPVPA >OIW00630 pep chromosome:LupAngTanjil_v1.0:LG12:10380991:10384178:-1 gene:TanjilG_09111 transcript:OIW00630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGRHGGYGDNEVRDRESNVRGVFANSKDELDCVRNGDREIVRGGSRGARDRTRVQQRDIREGEGSVNGSYRSLSSSRSDSGSSGGLGPRRCVFSLKTMDREPGELSSESGSDDGIESESWMVKETEVIVKVEESRKRKFSPIVWDQDDKEVNESSKSKVTVSMTSVTKLPPPPPLPKAFSKPPSVPYGGVEVSAVEKGEGGDLELPAETEVNDQEQTWGNNDPEAEPELPEREDIVPARNISSSRWADRDNSPGDEGEIGVDEEMPKNRRRRRMTPEELDTRVRNKLLSPEESKRGGRAKSTDSGGRGSHGRTSSGDNHPGSASEKDDYMEIDAQGGESEDEYHIHSVSHSDTESEDEDHGRGTPEPPTPPQRSVNMLQGCRSVDEFERLNKIDEGTYGVVYRARDKKTGETVALKKVKMEKEREGFPLTSLREINILLSFHHRSIVDVKEVVVGSSLDSIFMVMEYMEHDLKGLMESMKQPFSQSEVKCLMIQLLEGVKYLHENWVLHRDLKTSNLLLNNRGELKICDFGLARQYGSPLKPYTQLVVTLWYRAPELLLGAKQYSTAIDMWSLGCIMAELLSKEPLFNGRTEFDQLDKIFRILGTPNETIWPGFSKLPGVKVNFVKHQYNLLRKKFPATSFTGSPVLSDSGFDLLNKLLTYDPEKRITVEAALNHEWFREVPLPKSKEFMPTFPAQHAQDRRTRRVLKSPDPLEEQRRKELRGETGTGGIFG >OIW00160 pep chromosome:LupAngTanjil_v1.0:LG12:16044904:16045467:-1 gene:TanjilG_29150 transcript:OIW00160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSTSASISSFALHILLFSYVFFTLAHSSVLLEGKGDGDLVEQICKKTPFYDLCSNILHSNPLAPKSDPKGIALIMVNNILENATDTLSYIEELIKQTSDQKLEQDLAFCAESYIPVVKYILPQAAEAISQGRFGFASYSIADAEKEVDACNKKFPGSTQSPLGDRNNIMQKLVDVASAIVKLLLNG >OIW00785 pep chromosome:LupAngTanjil_v1.0:LG12:6596540:6604047:1 gene:TanjilG_19590 transcript:OIW00785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLNGIVPYHLGNLTLLIENKFHASKFLTCIWEQPKDDFTRGEKYSEDEDNNNVGDNNDEEKTADNDAVDDDQEEGEYLLGDEDPTATEEELYKGILTKDADDKHEPSTRQFSHGCHLVRGKMDHGMEDYIFAQHRNLNGYDLGLYAIFDGHSGRDVAKYLQSHLFENILSEPDFWENPVHAIKRACKATDDEILENIADSRGGSTAVAAILINGVKLVVANVGDSRAIACKNGVAKPLTVDHEPEKERDLVESRGGFVVTMPGLGNVPRVDGVLSMTRAFGDGRLKDHITAEPDVTIQKIEEDTEFIILASDGLWKVMTNQEACDCIKDVDDAYKASKKLVKEAKSMGSYDDISCIVVMF >OIV99997 pep chromosome:LupAngTanjil_v1.0:LG12:18451457:18454550:-1 gene:TanjilG_26335 transcript:OIV99997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSATFTLPSSSSFGLYSKTRKPFFSHSLNFINPLKIRASTTLDYSSVSTNGKPSPLKTSNWQWKFKDNSVNIYYEEHVKEAPEPSQNILMLPTISDVSTVEEWRSVAGDIIQRNGNVNWQATIVDWPGLGYSDRPKIEYNADVLEKFLVDFINSPDGPIKQAENDLIIFGGGHAASIVIRAAKKGLLKPKAIAAVAPTWAGPLPIVFGRDSSMETRYGFLRGTLKAPAVGWMMYNMLVSNENAIQSQYKSHVYANPDNVTPGIVESRYALTKRKGARYLPAAFLTGLLDPVTSREEFLQLFADLVGKTPVLVLSAEGAPKRSKAEMEALKGAKGVSKFVEVPGALLPHEEYPSVVAQELYQFLQEYFDIVA >OIV99885 pep chromosome:LupAngTanjil_v1.0:LG12:17690004:17696428:-1 gene:TanjilG_26223 transcript:OIV99885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSEIEVVEEVQSREHQLPLASSASSSAGAEVAEESLRNDVYTAAAYGDLEKLHRLVEQEGCTVTEPDGLGYYVLQWAALNNRTAAAQYIIEHGGDVNATDHTGQTALHWSAVRGAIQVAEVLLQEGARVNASDMNGYQTTHVAAQYGQTAFLYHVVSKWNADPDVPDNDGRSPLHWAAYKGFADSIRLLLFLNAHRGRQDKEGCTSLHWAAIRGNLEACTVLVQAGKKEDLMVTDNSGLTPAQLASDKNHRQVAFFLGNARRLLEKRCDGNSRLGKISKLGLAPILWCIIFLLLITYIHSVILATNLPKLPATAALLAWFGVLLATVGLAMFYKCSSKDPGYIKMNEHDTENMKDDEPLLKIEINNPALLAGNWTQLCATCKIVRPLRAKHCSTCDRCVEQFDHHCPWVSNCIGKKNKWDFFVFLVLEVSAMLVTGGVSLTRVLTDPLAPSSFGAWIQYVGKNHVGAISFLIADFFLFFGVFALTVVQGSQISRNITTNEMANAMRYSYLRGPGGRFRNPYDHGIKKNCSDFLINGYNEDVECIEEVGNSEEGIGMVPMARSSNLTNGDSHSHFNHATGSGNSHVIDVNSNSTTNSKTHHGHINGHVHSAHCSHNNNNHARTKNDSIPKGLGLGLGRNARSVAPS >OIW00999 pep chromosome:LupAngTanjil_v1.0:LG12:1285549:1286832:1 gene:TanjilG_16248 transcript:OIW00999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTILNNLPSNIDFTILPHVNIQDLPQNVHFSTKMILAVKLSLPFLHESVKTLSLSSHINLVALVFDLFSSDALDVANQFNLLSYVFFASGAISLSFCLSICNFDESVFTDLTKTVIIPGCVVPFQVKDFPDPALYERTSETFTLFVTICQRLSLVHGFIVNSFTDLEGDAIRAIEEKKVNGISSNNVTTPYVYAVGPIIQTESSISNENHFECSEWLNNQASKSVLYVSFGSGGTLSQEQLNELAFGLELSGHKFLWVLRVPNNSPSSPYFSGQKKDDPLNYLPLGFLERTKDQGLVVPSWAPQIEILAHESIASFLSHCGWSSTLESVVHGVPMIAWPLFAEQRMNAVFLTKVLKVAIRAKVDEESGIIKRDEISQVIKRIMETKEGFEMSERIKELSNHACVSLRENGSSYNALSSLEQKWQNI >OIV99981 pep chromosome:LupAngTanjil_v1.0:LG12:18348886:18351554:-1 gene:TanjilG_26319 transcript:OIV99981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLHLALFIFLASLCSTLSKHVEHGSIQVDGAQAIAEIDDNFICATMDWWPHDKCDYNYCSWGYASVTNLDLSHPLLTKAIQALKPLRIRIGGSLQDRVMYDVGSLKSPCHPFQKTEGELFGFSKGCLHMNRWDELNHFFNQTGAMLTFGLNALCGRNKVSETIWEGDWDPSNAKDLINYTISKRYKVDSWEFGNELSGKGIGASVDAAQYGKDLKNLKQTLNTLYQNSKFKPSLIAPGGFYEKEWFDKLLQASGSGTVDVLSHHIYNLGPGSDDESLENKILDPEYLSGVESIFRNVAESIQKNGPWSSAWVGEAGGAYNSGGRYVSNTFVDSFWYLDQLGIASKYNTKVYCRQTLIGGNYGLLNTTTFTPNPDYYRQVYALLWHQLMGKSVLAASNDASSPFLRTYAHCSKGRDGITLLLINFSNRNRFILTVGGGENVSSGINEDAKSIRVEKSFSYRLKRTFSWVGRKGSDITFREEYHLTAKDYNLRSQTMMLNGIPLELSNDGEIPTMTPVQSNVHSRISIAPLSIAFIVYPDFDAPACNGRKKH >OIW00291 pep chromosome:LupAngTanjil_v1.0:LG12:15174886:15177800:-1 gene:TanjilG_27542 transcript:OIW00291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKVCKSQEEIANEAIKHALKALKKRHLLEEAAHAPAFQALSRPFAFQGSEWKEKADNLQVELQQCYKAQSRLSEQLVVEVAESRVSKALVQEKETALADLQKELTEVREESSQLKLDLEQKIKELEVVASENSELKSQLEQMTSKAKNAEAENKMLIDRWMLEKMKDAERLNEANALYEDMVQRLRASGLEQLARQQVDGIVRRSEEGAQVFLESNIPSTCKYRLNAHEGGCAAILFEYNSSKLVTGGQDRSVKVWDTNTGSLCSSLHGCLGSVLDLTITHDNRSVIAASSSNNLYVWDLNSGRVRHTLTGHTDKVCAVDVSKVSSRHVVSAAYDRTIKVWDLVKGYCTSTIIFHSNCNALSFSMDGQTIFSGHVDGNLRLWDIQTGKLLSEVAGHSLAVTSISLSRNGNVVLTSGRDNLHNLFDVRSLEVCGTLRAAGNRVASNWSRSCISPDDNHVAAGSADGSVYIWSISKGDIVSTLKEHTSSVLSCRWSGIGKPLASADKNGIVCLWT >OIW00882 pep chromosome:LupAngTanjil_v1.0:LG12:3437535:3441397:1 gene:TanjilG_22680 transcript:OIW00882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFSFTNDLFSNYNTNLDLDDKWGTPQPKNDDHTGLEVPTYSYMSFPHSFSPTNLMHHSPLFHSSPNIHASNPIDESCFNWSKSSSEEQQQLNKKEEKNYSDIYIQAQTNHENLLHSSSSMLQAEPQEKQYTCQFNEPAKQTKFSSQKTIIKSEYPSTQNFSSDMATTKTALHSNSAPGTGYFNCTKASLTVREQSRTEDGYKWRKYGEKQVKGSENPRSYYKCTHQNCSMKKKVEKSLEGGHITEIVYKGSHNHPKPQSTKRTCSQSFLQLSSSCANSGISHQSMGEEDFEQTSQTSYSWGDNDNLGPDAKRWKGENENDGYSTSGSRTVKEPRVVVQTTSEIDILDDGYRWRKYGQKVVKGNPNPRSYYKCVTQGCSVRKLVERAAHDTKAVITTYEGKHNHDVPVGRGSSGYSMNRTSQNSNTSNVNAHIRPSVSFTNSLHDTKLPWSTSQEPSTLDMLLSPGSFGFSGFGRSMGSFTGHEQYSDDKKDDSFLQSFLSNKF >OIV99740 pep chromosome:LupAngTanjil_v1.0:LG12:16606887:16624845:-1 gene:TanjilG_26078 transcript:OIV99740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAPVNIIVGSHVWVEDPVQAWVDAEVSKINGDKVHVCTTDGKTVISNISKVFPKDNEAPPGGVDDMTKLSYLHEPGVLHNLAARYELNEIYTYTGNILIAINPFQRLPHLYDTHMMEQYKGAGFGELSPHVFAVADVAYRAMINEGKSNSILVSGESGAGKTETTKMLMRYLAYLGGRSGVEGRTVEQQVLESNPVLEAFGNAKTIRNNNSSRFGKFVEIQFDNKGRISGAAIRTYLLERSRVCQISDPERNYHCFYFLCAAPAEEKEKYKLGSPSSFHYLNQSKCCELDGVDDAHEYLATRRAMDVLGISEDEQEAIFRVVAAILHLGNIEFAKGEEFDSSVIKDEKSRFHLNVTAELLKCNATSLEDALIKRVMVTPEEVITRTLDPDAAVGSRDALAKTIYSRLFDWLVEKINNSIGQDPNSKSIIGVLDIYGFESFKFNSFEQFCINFTNEKLQQHFNQHVFKMEQEEYTKEEINWSYIEFIDNQDVLDLIEKKPGGIISLLDEACMFPKSTHETFAQKLYQTFKNNKRFIKPKLSRTSFTISHYAGEVTYLADLFLDKNKDYVVAEHRDVMTASECSFVASLFPPSRDDSSKSSKFSSIGSRFKLQLQSLMETLNSTEPHYIRCVKPNNALRPSIFENINIMQQLRCGGVLEAIRISCAGYPTRRTFYDFLNRFGVLAPEILDGNSDDKVACQMILDKMGMKGSQIGKTKVFLRAGQMAELDARRAKVLGNAARIIQGQIRTHIARREFIELRQAAIRVQSNLRGLLSRKLYEQLRREAAAVKIAKNFKGYIVRKSYTTERLSAIILQTGLRAMKARDEFRFRKQTKAAIHIQARLRRLFAYSYFKRLQKAAIFTQCCWRRRVAKRELRNLKMAARETGALKEAKDKLEKRVEELTWRLQIEKRLRTDLEEDKAQEIAKLHDTLHTMQKQVEEANARVIKEREAVQKAIEEAPPVIKETPVIIQDTEKINSLTDEVNSLKELLLLEREAKEEARKAQAEAETRNKDLLKKVEDSDRKMEQLQELIQRLEDKISNSESENQVLRQQTLAVSPTAKPLSARPRTVISQDFMHNMQRTPENGNSPNGEATIGPVTDMTHTVSNVREPESEGKPQKSLNEKQQENQDLLIKCMTQDLGFSGGKPVAACVIYKCLLHWRSFEVERTSVFDRITQRIASAVEAQDNTDVLAYWLSNTSTLLLLLQRTLKATGAASLTPQRRRTASSSLFGRMSQGLRASPQSAGLPYLNGRGLSRLDDLRQVEAKYPALLFKQQLTAFLEKIYGMIRDSLKKEISPLLGLCIQAPRTVRQSLVKGRSHANAVAQQALIAHWQSIVKILNNYLKIMKANYVPPFLVHKVFTQIFSFINVQLFNSLLLRRECCSFSNGEYLKTGLAELEQWCVEATAEYTGSAWEELKHIRQAVGFLVMHQKPKKSLNEITKELCPVLSIQQLYRISTMYWDDKYGTHSVSTNVISSMRTMMSEDSNSAVSTSFLLDDDSSIPFSVDDISKSIQEVEVVEVDPPLMMRENSGFGFLLARSE >OIW00838 pep chromosome:LupAngTanjil_v1.0:LG12:4687719:4691781:1 gene:TanjilG_12242 transcript:OIW00838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACQEWPEPVIRVQTLSESGITSIPKRFIKPQFQRPTKTIASPNKTSPNVDNIYIPVIDMQHLYGGDKKLREETLQHISDACKGWGFFQVVNHGVSHELMNCAREVWREFFHQTLEVKEVYANSPSTYEGYGSRLGVKKGAILDWSDYFFLHYMPSSLKDQAKWPTLPTSLRNVIDEYGAEVVKLGGRILEIMSINLGLREDFLLNAFGGESDLGACLRTNFYPKCPQPDLTLGLSPHSDPGGMTILLPDDYVSGLQVRRGEDWVTVKHVPSAFIINIGDQIQVLSNAIYKSVEHRVIVNSNKDRVSLAFFYNPRSDLLIQPAKELVTKDRPALYPPRTYDEYRHYIRTKGPAGKAQLVESSTSQT >OIW00953 pep chromosome:LupAngTanjil_v1.0:LG12:1969564:1970079:-1 gene:TanjilG_10031 transcript:OIW00953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFKLNKRVTRVTRWIFTRFFSTRPGYRRMGSSSSSSSSRKPMTKKLHSLGRKLTIRARSLCSSAKFGSRYEPIGSDPVQDTVPKGHLAVYVGQKDGDGDFCRVLVPVIYFNHPLFGELLKKAEKVYGFEHQGGITIPCRVAEFERVKTRIESGFGVNSGCRRLALPWFGK >OIW00456 pep chromosome:LupAngTanjil_v1.0:LG12:13527776:13531245:-1 gene:TanjilG_05806 transcript:OIW00456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSPENEHPHKSFGWAATDTSGILSPFHFSRRENGPDDVAIKILFCGVCHSDLHTVKNDWGFTTYPVVPGHEIVGIVTKTGDNVKKFKVGDRVGVGVIVESCKECECCQQDLESYCPKPVFTYNSPYYDGTRTHGGYSDFVVVHQHYVLQFPENLPLDAGAPLLCAGITVYSPMIYYGMTEPGKHLGVAGLGGLGHVAIKFGKAFGLKVTVISSSPNKEFEAINKLGADSFLLSTDPAKFKEAIGTLDYIIDTISAVHSLNSLLALLKLNGKLVTVGLPSKPLELPVFPLVMGRKLIGGSNFGGLKETQEMLDFCGKHNITADIELIKIDQINTAMERLVKSDVKYRFVIDVGNSLSTS >OIW00266 pep chromosome:LupAngTanjil_v1.0:LG12:14953308:14953727:-1 gene:TanjilG_27517 transcript:OIW00266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENQHNKELDLLPSPRSHAADSSLLRLRSSTTTETGPSLDLQLSISVGPPAMVEALKWEAAEQIRLAAMEKAYAERVRELTRREMEMAQSEFSRAREMWERAREEVERAERMKEMATRQMDSTCMEITCHSCKQRFRPA >OIW00786 pep chromosome:LupAngTanjil_v1.0:LG12:6605200:6607264:-1 gene:TanjilG_19591 transcript:OIW00786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFEFQALLFSLALLVLVLATPCLGSFGKSENNVKSAVFLSPKMELGPGSVSNKIYFDFDFPKGHIAIKSFDAEVVDEAGNSVPLHETYLHHWVVLKYHKLKNVTHKRAGEKSGYAVRNHGLCQGEVLGQTFGLGSETRGTSTHVPDPFGIESGNPEDIPEGYEEKWMANIHAIDTRGVEDKKGCTECRCSLYNTTKDESGKPLSPDYIGGLLCCSDSAQCKVKEGFKGTKRSVYLKYTVKWIDWDNFVVPVKIYIFDVTDTVKKSDNSKGTNPVHHCKVEYQVDPCSTGHKDRNGCVHVNKANIPLQNGGYVVYGVAHQHSGGIGSALYGQDGRAICSSVPTYGNGTKAGNEADYIVGMSTCYPKPGSVKIADGETLTLVSNYSSNKQHTGVMGLFYILVAEQLPQQNFRNSFLSSVVPYIRTIFY >OIW00768 pep chromosome:LupAngTanjil_v1.0:LG12:7268782:7269081:-1 gene:TanjilG_22267 transcript:OIW00768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSPQNDDDNIRKLSQQLQELQDQIQVEKDNKKELDKALSKYKFIKGKLPIDNLSFEELGEFKASLVVVQDNFPASNNELEAASSVLLLGENHMYETRI >OIW00917 pep chromosome:LupAngTanjil_v1.0:LG12:1426226:1428417:1 gene:TanjilG_09995 transcript:OIW00917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKEMRSDEKTDFTKSVPDDVLSYILSFLSIDEAVRSCILSKKWKNLWKNTSHIEFNSTKMIRPLSQLLLSRESQTTMDLKSITKGVSRYGFLVYRIIFRHIGDLCSCSFLHLWKSLLYGELQSWVEYLLRNKKGMKNLKLECELDNGEMEEYFIFKDDIPKLHFSHGIFATLGSLELINYTINCSNAFVGCKNLQTLKLERINLDDATINDILNNCVVLENIKLNGSTGFKKLIIINQSLKVLQLECLCVDELKVSCENLEVLFLDSIICPTNAASIYTPNLTTFSSYCYSKFGKMHGVDKGHSILKACEILQHSSMVYPSCNTFKNLSTLSMDLDLNHIRDSKDLSFVLQLCTSLQVLEISLPDFENPIIGSSNDYDFPYPMSMFWERQKLCYCVHQKLKFVYIKAFKGNELEMEFVKYLIAKATMMKKVTIFCNTLTEDAENLLSLPKASTNLSINFKVGANNMVDEFS >OIW00113 pep chromosome:LupAngTanjil_v1.0:LG12:15706336:15709389:1 gene:TanjilG_29103 transcript:OIW00113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKGNNRIGKFDTFMSLTRQRSIQILIAVAILYVLLLTFEIPIVFKTASPQSHKRVSLLEEEEQQQRHAPTRPIQRVSYNPAVSPKRTDTIQNVVVSRLALDDAFDSDQSSDFYDLARNACIEGKRVWEELESGEFRNGVGEKRENVTVSCPRSITVTGSEFVTRGSVMGIPCGLTLGSHITVVGKPLKKKEWKVFQFVMELQGLKVVEDGEEPPRILHFNPRLKGDWSEKPVIELNTCYRMQWGSALRCDGWKSRADADTVDGLAKCEKWIGGDDRHAEESKSAWWLNRLIGKTKTVTVDWPFPFSEGKLFVLTLSAGLEGYHINIDGRHVISFPYHTGFTLEDATGFTLEGDVDVHSIFAASLPSSHPSFFPQQSLEFKNKWRPPPLPASGVELLIGILSAGNHFAERMAVRKSWMQHTLIKSSKVVARFFVALHHRKEVNAELKKEAEYFGDIVIVPYMDNYDLVVLKTVAICEYGVHTVPAKYIMKGDDDTFVKVDAAIAQAKMIPDSISFYIGNINYHHRPLRRGKWAVTYEEWPEEAYPPYANGPGYILSSDIARNIISEFEAQRLRLFKMEDVSMGMWVEQFNTTKPVYYFHSFKFCQFGCVEDYYTAHYQSPRQMMCLWEKLKMQIRPQCCNMR >OIW00134 pep chromosome:LupAngTanjil_v1.0:LG12:15868295:15871498:1 gene:TanjilG_29124 transcript:OIW00134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLINGQGSMSNSGGDGVSDQFPAGLRVLVVDDDPTCLMILERMLRTCLYQVTKCNRAEIALMLLRENKNGFDIVLSDVHMPDMDGFKLLEHIGLEMDLPVISKYLIEKFRSLQCSVEILTIYIKKCVVMSADDSKNVVMKGVTHGACDYLIKPVRIDSLKNIWQHVVRKKKNEWKDTEQSGSAEEGDRQLRASDDADYSSSANEGRQRNSKKRRDEDEDADERDDTSALKKPRVVWSVELHQQFVAAVDQIGIDKAVPKKILELMNVPGLTRENVASHLQKYRLYLRRLSGVSQHPNNSFLSQQDATFGSVSSINDLQTLAAAGQLPAQSLATLQAAGLGRSTAKAGIPMPLMDQRNLFSFENPRLRFGEGQQQHLNSSKSINLLHEMCTNLEPKQLANLHQSTQSIGNLNIRVNASTTQRNPLLIHMTQSQPKGQMLNENTGLAPRLSSSFGQPNRNGFFNGPLDRNGIATSNIASAQNSSMLSFPRNQTPEMSISSFPLGSTPGISSITTKGSFQEEVTSGIKEDGGFVPSYDIFNELHHHKSRDWEITSPGLAYAASQPANPLQGNINVSSSILVERGFYPTQQTGLNRDSPLMSKALFSLGEGLERGNLQNADQHLNTLVDNSVRVKVERIPDESSQSNLFPEHYGQEDLLSALLKQQEGVEPVENEYDFDGYSLDNVHV >OIW00262 pep chromosome:LupAngTanjil_v1.0:LG12:14926141:14929114:-1 gene:TanjilG_27513 transcript:OIW00262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLARFSRRALRTAHAISKQNLQPQLACAERAFATEASKSITPSEDRVKWDYRGQRKIIPLGQWLPKIAVDAYVAPNVVLAGQVTVWDGASVWPGTVIRGDLNKINIGFCSNVQERSVVHAAWSSPTGLPADTLIERYVTIGAYSLLRSCTIEPECIIGQHSILMEGSLVETQSILEAGSVVPPGRRIPTGELWAGNPARFVRTLTHEEIIEIPKLAVAINDLSRDYHSEFLPYSTVYLEVEKFKKSLGISV >OIW00955 pep chromosome:LupAngTanjil_v1.0:LG12:2016141:2020718:1 gene:TanjilG_10033 transcript:OIW00955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDLHLELQESGWEELRKEARKIEGDLDVKLSSYAKLCARFNQSGHVDGGSSPLGSSRSGKSMEMEIQSLLEKLLDINDSMSRCAASAGPATSITQKLARHRDILHEFTQEFRRINGNLISMREHAELLSSVRDDITDFKTSGGLSPRMQLLRERAAIHGSISHIDDVINQAQATRSVLGSQRALFGDVQGKVKVLGDKFPIIGSILGSIRRRRSRDNLILAAVIAACLKYSSIVPVSDETQWFREWTIQHRPNITENSHNETILHPRWDGVVVVTNTMKIFSPVT >OIW00983 pep chromosome:LupAngTanjil_v1.0:LG12:1010570:1017841:-1 gene:TanjilG_16232 transcript:OIW00983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDRSVGSSVGGAASFVAVRKIPHGEPCHSNSAEVVAGSAAWLGKGLSCVCVQKRDSDASTFFDLTLAQEECLERLQRRIDVPYDSSITEHQDALRALWNAAFPEEELRGLISEQWKEMGWQGKDPSTDFRGGGFISLENFLYFSRNFPKSFQDLLWKREGDRSAWEYPFAVAGVNITFMLIQMLDLETVKPRTLVGATFLKFLEENESAFDLLYCITFVLMDRQWLSMRASYMDFNTVMKSTRSQLEKELLVEDITRLEDLPSYKLLSS >OIW00949 pep chromosome:LupAngTanjil_v1.0:LG12:1912560:1922617:1 gene:TanjilG_10027 transcript:OIW00949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLFRLLITILIVPFLFSSIVSSYLLNDIKKWCSQTPNPKPCEYYLTNNAFNQTIKNKSDFLKVSIQLALDQAQKGQENIHSLDPKFRNSQEKAAWANCLQLYENTIQTLNKTIDPNTKFTQVDVQTWLSTALTNLLISNTLSLNKVPDQQQSYKDGFPTWVKPRDGKLLRSYAIAQANVVVAKDGSGKYKTVKEAIDGAPQSSNDRYVIYVKKGTYNEQIVIKAKNIMLVGDGIGKTIITGSKSVAGGSTTLDSATVGVDEYGFMAQYITFRNAAGAARNQAVALRSNSDHSVFYKCGFEGYQDTLFAQSGRQFYRECDIYGTVDFIFGAAASVFQNCNIYARNGPQNTVTITAQGRMEGKFRIGYFMLR >OIW00632 pep chromosome:LupAngTanjil_v1.0:LG12:10365803:10366681:-1 gene:TanjilG_09113 transcript:OIW00632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRYRPLHACGVSILTIVDTIFAKTQNINEPFGSTLRRVTNLAKFVTPFILAIQYQWLAILSFIDDHILEAEKLTEKLFPPSTYLFDKVDELVLIIMCLPQKIDGALNTLFPMMIHHVPLLEWSLTYIISWLNGLVSILNHWGEEKSSIKEKTISVYRSCDGHIEDSSNGSIESFPSMLEACEVENAKGVKDMVESCPKKGSYKEVLLDRGKEENPHEKQIGGEGSLSYKEVLLKIGKEENQNDCEGGENNDGNNEENESAMKFNVERSECFKDDPLLELFESAWLMKPRY >OIW00604 pep chromosome:LupAngTanjil_v1.0:LG12:11593317:11595053:-1 gene:TanjilG_14830 transcript:OIW00604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVSNSSSSSSSGTEPIGQNLIKIISNLCFSFFVFSVLIFTVIAITYQPPDPWLQSAPALTQLFTRPENATFQIDTSIIKTGEDITPSPQDPPLPFPPPSSAASTVTEASIEKIEDKISNSTPPISSAACSSGEITAGTLNCSDPRVLIAVQRFNLRAFKSIAFFEYQTPVNGSGSNECDVAWRFRNKKEKSWRKYRDFRRFKIGITDDCRYKVVHAGGWHSGGNARRNPARATVPGGKGKTVPRISNNSNHDDEINDTIPTLGSQINFRNGKYLYYSRGGDYCKGMNHYMWSFLCGLGEAMYLNRTFVMDLSMCLAATYNPSNKDEEGKDFRYYFDFEHLKEEASIVEEGEFLRDWKKWDRTHLKKRKVPVKKVLSHKVSPIQLKKDKSTIIWRQFDAPEPENYWYRVCEGQAAKYVQRPWHALWKSKRLMNIVTEISGRMDWDFDAVHVVRGEKAQRRDLWPNLDSDTSPDALVEKLKGMVQPWRNLYIATNEPFYNYFDKLRSNYKVHLLDDYKELWGNTSEWYNETSLLNNGKPVEFDGYMRVAVDTEVFYRGTTRVETFYNLTQDCKDGVKTC >OIW00695 pep chromosome:LupAngTanjil_v1.0:LG12:8613570:8617634:1 gene:TanjilG_09664 transcript:OIW00695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSDFHPLHSHPPPRVYTLFPPYPQTSNPNYDSVPASTFHRPRDSDIQSNFEGYGERLEIVEKNRNRFVKHWKKPTRVLPRFELGNCDIGDDGNSRGGKEHTPEKQVQRKSAFLRIRKPNQWIRKVEQSDCNKDEQGNLGDEVKSEKREGSVVELDISFESNAIVAKAIVPPSSSIGVSKTVAATVSGTNLTPTRKSKKALSVISDSQVAKQSAVAGSSNSLPCKASATSGAGKDVILPKNVSDACSQQCLGAADNLQGKNDMAQSSKGIVSDESNSLVAKADVPLYDSVCSDSQLAKLSAGAVSSNSFPCKAGGNSSSDRDVLLQKNVSDNCSRHCLHSDHNPHEKNEVPKSSKGIVSDESNSLVAKAGVAPTSFVIVPYTNLMSKRQRKKMRRKALISNSACSGSQLAILSTGFASSNSSLCKAIDNPSSGKDVILAKNVSETCSQPCLGASHNPCGKSEVAKSAEGIVSDESNSLVVKAGVPPTSSVIVSNTNLMSKRQRKKMRKKALISNRPYSDSQLAILSTGFVSSNSSLCAAIDNPSSGKDVIAVNNVSDTCSQPCLSVAQNPRAKDEVAKSSKGVVLDRSNSLEAKAVVPPLSYVIFNTGKTLVSDTNLAPTKNRKKKRKKALISGSVCSVSQLANLSTGAVISNSLPCKASETSSSGKDVILPKNVSDTFSQPCLAASHNPHVHGKAEVTHSSEGIVSDEIANVGSGKTSVRVVKKKRIVKRVVRRVVVKPKSNVPSSVSANMLDGTVKVDGVTLSSRTASASCKTETSLNKKSATFENISMSNCLQSLPSEGNHYFCEDKKYDVPLLSLRTHPRLRECKSDRYSDLGIERSKSSSCDYSNIEDKKSASDCLNGSMSARGLLSIPNIDMVTKSLNGSILSEINHLDDFNKQLCQGEVFPSPAKYLDVANEMNSASDGVTGLSLNNLKAKSEENFTVCDIGNDSFAGKLYCENEAPTLSQDVILKENPDIAITMPSSGMVDFSSLSKTSIKEEPDFVQHVNVLAKWGSNNESTNSEYSMSFPHCDIMNDVRKQMSPSNVFVFPIAVCTKFLNGPCSNPYCKLTHKVIPERMPDCSYFLQGLCTNRNCSYRHVNVNPKASICEGFLKGYCAEGNECRKKHSNVCPTFEATENCNQGTRCKLHHPKKEQATGKKRKRSGDHNSGTEHYFGSIPIDFSEPGMMVGQRQQQQNNENEEEIADYISFEVDEGVAESADESYEEATLCDSNPLDIELDNLDELIKPVLIMNRNFMAQSSPVPVVL >OIW00170 pep chromosome:LupAngTanjil_v1.0:LG12:16098169:16100182:-1 gene:TanjilG_29160 transcript:OIW00170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAEAEIVYQQSIPNMLDVKYRLCVAQEHNVKVEVSPTSPSIQIFSQVRVSSESFSTETSSYESAVTRSEIIEDSIMETPAAKFIPNVRSGSYTEIGPRVSMEDEHIQIDDLASHLGFVFQCPIPSAFYAVFDGHGGPDAAAFVKRNAMSLFFEDANMLQSYDTDAFFMKKLEESHRRAFLRADLALADERSVNSSCGTTALTALVLGRHLMVANAGDCRAVLCRRGKAVEMSQDHRPSYLPEQRRVEELGGFVDDGYLNGYLSVTRALGDWDFKFPLGAASPLIADPDVQLVTLTEEDEFLIIGCDGIWDVMSSQYAVSLARRRLRRHDDPQQCARELVKEALRLNTSDNLTVIVICLSSVESIVESCPPRRRRFKACGLSEEACN >OIW00253 pep chromosome:LupAngTanjil_v1.0:LG12:14838387:14840071:-1 gene:TanjilG_27504 transcript:OIW00253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHGLWVPQMLPSLVQMCPRSKILSVDHLVNNAGIATISKVEDWHDVCETKPVIACKAAVINYFETLRIELGWTVGITIATPGLIKTNLALKVIDEEPSLGIIPMGSAFECGKAIVKSACRGDMYVTDPSWVKVLFPWKVLFPELVDWASRLLFRLSANNSRKKGNLNLSINSQNKAE >OIW00184 pep chromosome:LupAngTanjil_v1.0:LG12:16209363:16210154:-1 gene:TanjilG_29174 transcript:OIW00184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINDFYAAAYAPKLETFERSIENIKGISPEAYDWVIHSEPEHWANAFFGGARYNYLTSNFGQQFYSWVSEAHELPITQMIDMLRDKMMEIIYARGVESNQWMTKLTPSKEEMLQKETSVARSFQVFSQESSMFEVRGETVDIVDIDHWDCSCKGWQLTGMPCCHAIAVFECVGRSPYDYCSRYFTVDNYRLTYAESIHPVPNVDRPDLGESSLAVVVTPPPTKRAPGRPRTKQIVESIDIIKRQLQCSNCKGLGHNRKTCKLS >OIW00728 pep chromosome:LupAngTanjil_v1.0:LG12:9188777:9197822:-1 gene:TanjilG_09697 transcript:OIW00728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLRKSFYSSLFLLALVLVGFCLDLTFAHSHDHDHGHHQCGGHGHAHDHDHHHGHDHAHHHHEDAKERTLLPEELAEEEDMKLYGFGYPHDYDYDHHHYTEATELSGLALWLNALGCSFLVSMASLICLITLPVIFVKGKPSKGVVDSLALFGAGAMLGDAFLHQLPHAFGGEHSHSHDNHEDHDHGGSSGHGHSHSHSLSDLSTGLSILAGIVLFLLVEKIVRYVEENSGGASSLTHGHHHHTHNSKKKLKDDNNSGSESSNAKEEKLLDKEKESDQASNDSLKGVNPAQSKSSLRKRTGSNKTKGDNLDASAVNSSKDNIESSNVTEPARSSSLVFGYLNLFSDGVHNFTDGIALGSAFLLYGSVGGWSRTLFLLAHELPQEVGDFGILIRSGFSIPKALFFNFLSALVALAGTALALLLGKDPGQSSLIEGFTAGGFIYIAIAGVLAEMNNNGKTTLRSTAAHIISLTMGMAVALGISLVE >OIV99716 pep chromosome:LupAngTanjil_v1.0:LG12:16480135:16480611:1 gene:TanjilG_26054 transcript:OIV99716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISGSETQTPNRGVKTVTPPPPPQVAAEVESVKCDSCGFTEECTISYISRLRQRYQGHWLCGLCIEAVKDEVLRSERFITTEEALNRHIRFCREFKSSSPPLNEIEHPIFVMGRILRRSLDSPRPLRSNSTTSLPSVHVLRDPPLLRSESCFSSISG >OIV99734 pep chromosome:LupAngTanjil_v1.0:LG12:16583332:16584459:-1 gene:TanjilG_26072 transcript:OIV99734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRQRREGSFPQRRKTPSFSSTLLDSIYRSIDESKTDLDKDQQLGLYNETTCNNTTKRINFSYKYNHDGVHNCGKGGKERMNLRRAVMLEDWMEKQNSHNSHILNSSSSSSESSSGGIFSFSSSETDSSHKKQRSKTKSEKPQKKQQKAHGEGGFARTKLRAMKIYGELNQKVKQPISPGSRIASFLSSIFNSDNVKKAKMCYVGSIENVSFEHKSKSPCFSSTPSSFSTRSCMIKTPSSAKGNNSNNNNTKRSVRFYPVSVILGEDSESDPSLMTLSNVRKVTRISSTKELKNTITTKEKGYQNSSTKGKFDFRGFYDNGDEEEEDDDALSYSSSDLFELDHIIGGGRYQEELPVYETTNLETNKAIAIGLHL >OIW00626 pep chromosome:LupAngTanjil_v1.0:LG12:10421520:10427539:-1 gene:TanjilG_09107 transcript:OIW00626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMLDGVLGIVNVAFFYAILIWVLVDSLRQSKKNNIAYLKQGPKVLATITVLCNVVISVMNMAFAFHEYRIKRIIGYNSLSLSLTWVLSTIVSFYSMKRRTLNQRFPLVLNLWWGFTTIIVALSVSIEIVNNFESLNLWFFLSGDIILGMVSLSMLLFLVCFDALPYVLCAREQQHNDSDMKQRLLQQEMEAEDEDAFTNANMWSQLTFQWLNPIFNKGRIQKLEHGHIPSVPHSETAENASSLLEESLRKQKLEGGSLTKAITHSIWKSLALNAIFAGVNTIASYMGPLLITNFVNFLSGNDGNSSIQYRLILAFIFFLSKTVESLSQRQWYFGAQRIGIRVRAALMALVYSKSLLMKCAGPTHGKVINLINVDVERIGDFCWYIHGVWLLPVQVILALVILYINLGYIPSIAALVVTILVMVCNTPLANMQENLHSKIMEAKDSRIKVTSETMKNMRILKLHSWESTFLQKLLQLRDTESNWLQKYLYICSAIATLFWASPTLVSVVTFGACILVKTELTAATVLSTLATFRILQDPIYNLPELISMIAQTKVSVDRIQEFIKAEDQNQFMNKHASNTSPIAIEIRPGEYAWDTYDQVPKKPTIQITEKMMIKKGQKVAVCGSVGSGKSNLLCCMLGEVPLVSGALIKVYGTKSYVPQSPWIQSGTIRENILFGKKMNKDFYENVLDVCALHQDINMWVDGDLTLVEERGLNLSGGQKQRIQLARAVYNDSDIYFLDDPFSAVDAHTGTHLFKKCLMQLLSEKTVVYATHQLEFLEAADIVLVMKDGKIVESGRYEDLMACSNCELVQQMAAHEETVNQINPLQEDDYVSCKPCQKNQIEVAEEIFQESIKDWKRSKEEEAETGRVKWSVYSTFVTCAYRGAFVPAIIVCQILFQVLQMGSNYWISWASEQDSVSKGKLMGTFMLLSSGSSIFILGRTVLMTTVAVKTAQRLFHGMITSVFRAPVSFFDTTPSSRILSRSSTDQSTVDTDIPYRLAGLVFALIQLLSIIMLMSQASWQVILLFLVVFAISIWYQAYYITTARELARMVGIRKAPILHHFSESIAGVATIRCFNQEQIFIDKVKALIDDYSRVAFHNDATMEWLSVRINFLFNLVFYFVLIILVMLPRSAIDPSLAGLVATYGLNLSVLQAWVIWNLCNVENKMISVERILQFSSIPSEAPLVIQDCRPEPEWPREGKIEFHNLHIQYDPAAPMILKGVTCTFPGQKKIGVVGRTGSGKSTLVQALFRVVEPLKGWILIDGVHISKIGLQDLRSKLGIIPQDPTLFLGTVRTNLDPLEQHADQELWEVLSKCHLAKIVRQDPRLLDAPVAENGENWSVGQRQLVCLARLLLKKRRILVLDEATASIDTATDNLIQKTIREETSGCTVITVAHRIPTVIDNDLVLVLDEGTIVEFDHPGQLLQNNSSSFSKLVSEFVRRSSQSS >OIV98891 pep chromosome:LupAngTanjil_v1.0:LG13:9010446:9011184:1 gene:TanjilG_06382 transcript:OIV98891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTVHTAKDDHGKKTQNLGSSFKRWGRRSPFFRYGLPMISLTVFGALGLGHLLQGSKDIAKVKDDQEWEIIETRKALSRAGPVDAYKPKKISLEDELKVLQQKVNINDYEYKKIPKPNEARRD >OIV98945 pep chromosome:LupAngTanjil_v1.0:LG13:8716351:8719426:-1 gene:TanjilG_07380 transcript:OIV98945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNTVCLFGGCIPQSNVGVVEQWGRFQRVVQPGFHFFNPFAGECLAGVLSTRISSLDVRVETKTKDNVFVQLLCSIQYRVIKENADDAFYELQNPKEQIQAYVFDVTRAIVPRMNLDELFEQKGEVAKAVLEELEKVMGEYGYNIEHILMVDIIPDSSVRRAMNEINAAQRMQLASVYKGEAEKILQVKKAEAEAEAKYLGGVGVARQRQAITDGMRENILNFSHKVEGTSAKEVMDLIMITQYFDTIKDLGNSSKNTTVFIPHGPGHVRDIGEQIRNGLMESASAQISLK >OIV98534 pep chromosome:LupAngTanjil_v1.0:LG13:17685274:17686479:-1 gene:TanjilG_12120 transcript:OIV98534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIMKQRKKRRNENQDWSSLPLEIVELICKGLTITDQLHIGSVCKPWLFCTTNKFNIWVPETPWLIENSDRSSQFLFFNNTYQKVYRIYKPFRSLHGSSIVGSFRGWLFIRNKAKIFILNPLSRIQVELPLITSIGFFPPKPFDSIGMPIPIAFAISTCHKFNPITIIMVTFTGDLAWCNVGDKSWKSYRSEHSRYGNIIFYNHKIYAITQDGSNVDIFKPNNESLVLLNSTAHSTNPNIDTKLIRVYLVECGGKVFVVKRFLSEIMSLTTTFVILEVVQESCNTPKLVHANSLEDHILFVADNSIESVHSKHCPRLKNNCIYYTDYSINFRTGVGLWEFSVTARTMTKMPFSGTQFLCPCWVLPRFAFECDSNCKCNYSKWTKRKKRRAKSLRLKANNVV >OIV99158 pep chromosome:LupAngTanjil_v1.0:LG13:4537386:4537550:1 gene:TanjilG_01133 transcript:OIV99158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRHKNDSETKEYLERGRRLKPSNLVVTITTTCLTVALANSCPLCRSHQVSRFV >OIV98773 pep chromosome:LupAngTanjil_v1.0:LG13:13823830:13826446:-1 gene:TanjilG_20519 transcript:OIV98773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAWVMEDNNQDSRLPHYGSPNKFVSLDQLAELGVLYWKLNPNDYENDEELRKIREERGYNYMDLLDLCPEKVENYEEKLKNFYTEHIHQDEEIRYCLEGSGYFDVRDKDNGWIRIWIKAGDLIILPAGIYHRFTLDTSNYVKLMRLFMGEPVWAAYNRPQEDHPARQEYVKSFAEKKGMPLEAH >OIV99693 pep chromosome:LupAngTanjil_v1.0:LG13:135867:138481:-1 gene:TanjilG_17503 transcript:OIV99693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPKDYSSSSSSSGRKLKFTPKPPRKRNPKPLPNPKPYETDDNDERRGWNDLRRCEPKVERNSSVQVAFGPASSPNLGTYKGSGKRSGSASKHFASQENSLECSITSVEDQIDTCMIDTPDDTTKASVPKIKKEYQEPWNYQHSYYPTTLPLRKPYSGDPEILDKEEFGDAASNVMYDESTINPAAEFGLLEKSEEPRMLLFKLPPLPFVKQPATKKGEEKVGSGESTKGGPWEDLPEGFMGKMLVYKSGAVKFKLGQILLDVSPGPKSEMPQDVVAMNTAQKHCCVIGQIRKMAVVTPDLDSFETLEDSG >OIV98889 pep chromosome:LupAngTanjil_v1.0:LG13:9042071:9047476:1 gene:TanjilG_06380 transcript:OIV98889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAFGKKLKDRQIQEWQGYYINYKLMKKRVRQYAQQIELGTQDRRHVLKDFSRMLDNQIEKIVLFLLEEQGLLASRIEKLGEQHNALQEEPEIHKISELREAYRAVGQDLLKLLSFVEINAIGLRKILKKFDKRFGYRFTDYYVKTRANHPYSQLQQVFKHVGLGAVVGALSRNLHELQDRQGSSYLSIYDQPTLPLQDPVVDSIRAAVDRLTNSTNFLNFLGKHALIMQEELPTPSEEHVDDESYQFMSLLLNLANTFLYMINTYIIVPTADDYSMSLGAAPTVCGIVIGAMAVAQVFSSVYFSAWSNKSYFRPLVFSSIVLFLGNILYALAYDVNSIWVLLIGRLLCGFGSARAVNRRYISDCVPLRIRMQASAGFVSASALGMACGPALAGVLQTKFKIWKLTFNQDTLPGWVMAVAWVVYLIWLCINFKEPSHDSEENRTPTQSNDEENSALEKGLNQPLLITSEDKVDEDADQDCDESEEAPEESRKPANSFRSAYRLLTPSVKVQLLIYFMLKYVMEILLSESSVITTYYFNWTTSTVSIFLACLGLTVLPVNIVVGSYISNMFEDRQILLASELMVCIGVVLSFNIIFPYSEPQYICSGLLLFVSAEVLEGVNLSLLSRVMSSRLSRGTYNGGLLSTEAGTIARVIADATITVAGYLGESRLLNVTLIPSLFIAISSILATCYTYNSLY >OIV98837 pep chromosome:LupAngTanjil_v1.0:LG13:11194407:11194904:-1 gene:TanjilG_08493 transcript:OIV98837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMDQIIEKFTTKNLTIREMVALTGAHTIGFTHCKEFSNRIFNFSKTSHVDPTLNPKMAEGLRQVCQNYTVDHSMAAFNDVRSPSKFDNAYFNNILKGLGLLASDHLLGVDPRTRPIVEQYAKDEKVFFQDFANAMEKVSVFGVKTGHKGEVRNRCDQFNNLPAM >OIV98906 pep chromosome:LupAngTanjil_v1.0:LG13:7744541:7746106:1 gene:TanjilG_07341 transcript:OIV98906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAESVDSIIEVREDVMVSPSSVGNPTCTRTAYFIKPCIEQYSPSHIHPPTQYMLSGTLNSSKYNHAKLALDSFVFCLRVSELVGMGCREQYLPHRVAMQFGMDQDIPGMVEPCHTDSLVNYCSWDRNLCKALCPNQCQPNVTFRYYNWWKQSKIDSSGMEDGHIAKNEWNETRRKSSFVNEMQLRDVAGPCMVQEISFNLENRIWKLEREVAKLKAARFAQV >OIV99402 pep chromosome:LupAngTanjil_v1.0:LG13:2051346:2055265:1 gene:TanjilG_17212 transcript:OIV99402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIIGCKPIYIPSFILCFWSVPFSSSLSSHSQTSQTLNSILPISLQSLSLNFPSSCFENSNRVWGEEFYVMASSTTSQVYIQVIDDVINKVRDEVVNNQVLKELQAMWESKMIQAGVISGPIERSSAPKPTPGGPITPVHDLNMPYEGTEEYETPTAEILFPPTPVQTPMQTPLPGTADNSIYNIPTGPSDYTSSGNGPGGNTEMKGGRPSPYMQPPSPWMNPRPALDVNVAYVEGRDEADRGTSNQPLTQDFFTLNSAKRKRDEFASQYNAGGYIPQQDGAGDVASGVFEIELYGGSISIDVGHSTKGKISGNLERQASRIPQLDGPIPYEDDVLSTPNIYNYGGAYNEDYNIANTPAPPEAPVSTPALVAQLEAEEEEEDDDDDEPPLNEDDDDDLDDLEQGDDQNTHHLVLAQFDKVSRTKSRWKCTLKDGIMHINNKDILFNKATGEFDF >OIV99210 pep chromosome:LupAngTanjil_v1.0:LG13:3739010:3741742:-1 gene:TanjilG_06515 transcript:OIV99210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYAYKMFNKSSKKIILNFEPFHTIPNSTKFLFFIKEASGIVEKDQLSLKLMVHKETNKVLFAEVGKDFVDVLISFLTLPLGTIDRLVAKQSDMGPIRIASVSSLYESVEELYDDYMLTDSCMVMLLHPKNPMEDYCRSLKLNVDDTDPTNYYVCNNLLQCQNGGSVMCSTFKSKKCSCGKLLGNQIFLKGYDSFEGFVKENSCFLVTDDLCILPMSLDTMVSIIKKMGIEDISTLKEIEVGVTKNKLIDLLKCSLVSKTPLTDVFLHKKPCLQKSDGNIVYLSSDFIHEQCTSVDVKIMYQKSDGVVVRLLQGNSSMGSIDGLYKSVADLNEDLFNTKEVKVKLVDLGLPPQFKLSNQVLPISEVRAPIYHCVTKSSNPKLSDFFLTEDPIIVDPSKKCMDVIMVDPISVNESSKVFLRGPTVFAATDDLLVSPISFIPLLSLSNNSNTNLGDIDVKLVNIGLYEITHI >OIV98673 pep chromosome:LupAngTanjil_v1.0:LG13:15415481:15419910:1 gene:TanjilG_23965 transcript:OIV98673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRFASNLASKARVARNISQQFGGSRLSWSRNYAAKEVKFGVEARALMLKGVEDLADAVKVTMGPKGRNVVIEQSWGAPKVTKDGVTVAKSIEFNDKIKNIGASLVKQVANATNDVAGDGTTCATVLTRAIFTEGCKSVAAGMNAMDLRRGITLAVDAVVTNLKSRARMISTSEEIAQVGTISANGEREIGELIAKAMEKVGKEGVITIADGKTLYNELEVVEGMKLDRGYISPYFITNQKNQKCELEDPLILIHEKKISSIQSVVKVLELALKKQRPLLIVAEDVESDALATLILNKLRAGIKVCAIKAPGFGENRKSGLQDLAILTGGSLITEELGLNLEKVDLDLLGTCKKVTISKDDTVILDGAADKKALEERCEQIRSAIDNSTSDYDKEKLQERLAKLSGGVAVLKIGGASEAEVGEKKDRVTDALNATKAAVEEGIVPGGGVALLYASKELDKLQTANFDQKIGVQIIQNALKAPVHIIASNAGVEGAVVVGKLWEQDNHDLGYDAAKGEYVDMVKSGIIDPVKVIRTALVDAASVSSLMTTTEAVVVELPKDEKAAPAMPGGMGGMGGMDY >OIV99393 pep chromosome:LupAngTanjil_v1.0:LG13:2124944:2127241:-1 gene:TanjilG_17203 transcript:OIV99393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSTTSTSFAPNVPQSTFLTNNRFSLRFSAKFNHRVSAFSDHRPEGPYCVYVGPLETANQETLEALYSQARDAYYSGEPLIVDDMFDRVELKLRRFGSKSVVKYPRCSIRRQSTFADAEEDLSMVLALASAWAMFLAFGSLACVGPITYTVGAAYQNAINSGFPHSSQLSGLGFLALMNNIFIGLGFVIGYPVASASVKVLQGLWRNDLVSLKGACPNCGEEVFAFVRRDKNDELPHRANCHVCECLLEFRTKVEPSVSTLGRQWVYGRIYLVSLKGRRQRQL >OIV99667 pep chromosome:LupAngTanjil_v1.0:LG13:303084:306048:1 gene:TanjilG_17477 transcript:OIV99667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGYEATRIVFSRIQNLDPENASKIMGILLIQDHGEKEMIRLAFGPEALVHSVIVKARKDLGLPSNSPPTPSTPPSPSPFLPRQSSITSASRLSGVINLPPPALTIPNTTTSNSPSWATISELNNNQDELIMSPNNLVVGSNSTSSSSSLPFYHHHHANGGVSDPIDELQLQDQLSFLNDGSPHNNQDLFYPHQSDLSLSPTSGADPSNIFPSYAWGGSLHRRSCSVNDACLGSEDSNSGFGWKPCLYFARGYCKNGTSCRFLHGGGGVIGDADAAAMVGSPSKIDMMDELLRSKTAQQQRLSAASQLMASSFPYSPKCMNFLLQQQQNESPRGAVAALMMNEELHKFGRSRLERNDFSLNSPGIVNPASRQIYLTFPADSTFREEDVSNYFSIYGPVQDVRIPYQQKRMFGFVTFVYPETVKLILSKGNPHFVCDARVLVKPYKEKGKVPDKKQQVDRGDFSPCGTPTGLDARDQFDLQLGGRMFYNTQDMLWRRKLEEQADFQQALELQSRRLMSLQLLDIKKQHHRTLSTGSPIPSPTHSPSMFNQNLVFPSFQGSSETPKDNGSSSAPVGSTVSVNTCIGKEVVGNGENGYKYNDGNGNGKSSSHEECDLQECLEHNLPDSPFASPTKAATGDDYMAAFSNGPNEAIVSDASAASANSKFGTSTLLPSPSALDMASFKSFNCQIPRFSSGHGTIGMFAGTGGPIGI >OIV99453 pep chromosome:LupAngTanjil_v1.0:LG13:1682543:1682851:1 gene:TanjilG_17263 transcript:OIV99453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMASLVKKCIGYRIDENKKKSIGKFSRMLKSLLSVFEVEQIMHAEMVCQTNQIAPEKVIVNSELVDWDQLILLLSCPNPPKGLKQGCYWYDKASGLTEEDHT >OIV98888 pep chromosome:LupAngTanjil_v1.0:LG13:9112745:9113407:-1 gene:TanjilG_06379 transcript:OIV98888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METSQTLSIESFSYSWLVNLKPSLGSLDSSHRTSLDASDEASFIEMDPRMPPSKRFFKNHSQDFKFDFPVLQSPLVDADQLFSNGHIMPHFVESLKIEPYESLDFNPSLASSSHAPKNVSPKGPTRCPSLKRCRTLSRRIFQKYLNFLRPLCRKLRVHNKSDSNHEVVVKRTQSVKNRGCYSESSPRISLAYSEDAWRKSCDSESSIYEAVLHCKRSIGM >OIV99646 pep chromosome:LupAngTanjil_v1.0:LG13:453914:455905:1 gene:TanjilG_17456 transcript:OIV99646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATLSISISGGSHVNAPKLWLTKRNGFGVAVFPNKSNFTLHSTNRRCSSISAQYRDNSGGGGGDFLAGFLLGGAILGTAAYIFAPQIRRSLLNEDEYGFRKAKRPLYHDGGLERTRQTLNKKISQLNSAIDNVSSRLRGGNNVPASKIESDPEVEATM >OIV99324 pep chromosome:LupAngTanjil_v1.0:LG13:2624815:2628337:-1 gene:TanjilG_17134 transcript:OIV99324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKGGANPATYSPSPTTQKKKAPLFKEDWVRPDGRGFHQCRPAFFRTGAVNAASGSAYAEFGNTKVIVSVFGPRESKKAMMYSDTGRLNCNVSFTTFATPVRGQGSDHKEYTAMLHKALEGAIILESFPKTTVDVFALVLESSGSDLPVVISCASLALADAGIMMYDLVASVSVSCVSKNLVIDPIFEEENYQDGSLMITCMPSRYEITQLTVTGEWSTPKINEGMQLCLDACAKLAKIMRSCLKEAASDSKE >OIV99510 pep chromosome:LupAngTanjil_v1.0:LG13:1289878:1291907:-1 gene:TanjilG_17320 transcript:OIV99510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLNPHAPTFIPSEHKPFTSPCFAFTTPISPLPQHCYFHFSSHRLLYHPKLVLTRLVPFPSIPFHHDDDDDDVNIITKQLSTTTQKVEPTGPYLSDIEPTIIKEAHVQKQVIKEASCRKGRALKGMNLCRRGLREKKDNEVAEYHKCWGSKNRQICGHGENKNFKAFPIKNRYYRSITPVRVDGEDTTVMIKNIPNKYTRELLVDYLEKQCMMENNKAENDEGSDGIEEDHIILAFDFVYLPIDFKSGLNKGYAFVNFTSHKGAWRFNMTASNMKWDLFQSHKIREVVAARLQGKEALKRHFESMHFPCESEEVLPLCFNPPRDGLTKGGEQSTIGRLRLFKQRQV >OIV98637 pep chromosome:LupAngTanjil_v1.0:LG13:16258954:16263208:1 gene:TanjilG_12760 transcript:OIV98637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSEKPGHDDSSIWIPESIDIDPIVEDHVSRNNKNDGPPLEESMVVKVPSDAALNKSISNVRSRNGTSQGHCIKMERLQSGAADRGLKGLHFLDRTVAGKKGDAWKSIEKCFVQHAIDGKISKEKFGICVGMGAESKDFAEELFEALARRRNISVANGATLDQVRVFWEDMSNKDLESRFQLFFDMCDKNGDGRLSREEVEEVIVSSASANKLGNLNQHAAEYASLIMEELDPDHKGYIELWQLETLLREMTSSEDGTTSTGATVPRKYRTPIIKFVSRASKFIEDNGKKVWVITFWLAINLVLFIWKFKQYKEKGAFEVMGYCVCFAKGAAETLKFNMALIVLTMCRRTLTMLRESFLGRILPFDDNIKFHKLIAPAIVIGTLIHVVMHMTCDFPRIASCPKQKFLTILGPDFDYNQPTYLGVVATVVGITGIVMVLIMAFSFTLATQYFRSVIKLPSPLHHLAGFNAFWYSHHLLIVVYILLIVHGYFLFLTKEWRKKTTWMYFVVPVALYAIERIHPFFRGKDHQASVIKAVVYTEDVLALYMTKPSGFKYRSGMYIFVKCADISGFEWHPFSITSAPDDDYLSVHIKASGDWTKELRNRFAQTTQTRRGSLLRKETKVHSNSSPNLDLSSQTRYPKIMIKGPYGAPAQDYKKYDVLLLIGLGIGATPMISILKDLLNHMKIGSPQHDSLQNNHSDDIAESGKRGLQRAYFYWVTKEQTSFEWFKGVMDDIAENDQDGIIDMHNHLTSVYEEGDARSALISAIQKLQYAKNGVDAVSESRIRTHFSRPDWKKVLAELSSTHQNSEIGVFYCGNPSLAKKLKTYCEDLSLKSSTSFHFHKENF >OIV98714 pep chromosome:LupAngTanjil_v1.0:LG13:14886181:14897085:-1 gene:TanjilG_24885 transcript:OIV98714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRVRRKVARRSEGNVVSGSCSEFRDEVSDLECQGIVDWMSLPDDTVIQLLSLLSYRDRASLSSTCRTWRFLGSSPCLWTSLDIRSNRFDATIASSLAPRCVHLQKLRFRGAESADAIIHLRSRNLRELSGDYCRKITDATLAVIGARHELLESLQLGPDFCEKISSDAIKAVAHCCPRLNKLRLSGIKDINADAINALAKHCSKLTDIGFIDCLKIDEVALGNVSSLHFLSVAGTSGIKWGVVSNLWHELPNLIGLDVSRTDISSSAVAWFLASSQSLRILCALNCPILEGDTSFSASKYKNKLLISPSTDIFKELGSLFFSNTNKGKSVFLDWRNSKNNDKELNEIIPWLEWMLSHTLLRSAESPQQGLDNFWVEQGASLLLSLMQSSQEDVQERAATGLATFVVIDDENARIDCGRAEAVMRDGGIRLLLGLAKSWREGLQSEAAKAIANLSVNANVAKAVAEEGGIGILAGLARSTNKLVAEEAAGGLWNLSVGEEHKGAIAEAGGIQALVDLIFKWSSSGDGVLERAAGALANLAADDKCSTEVAAAGGVNALVMLACNCKFEGVQEQAARALANLAAHGDSNTNNAAVGQEAGALQALVQLTRSPHEGVRQEAAGALWNLSFDDRNREAIAAAGGVQALVALAQSCSNASLGLQERAAGALWGLSVSEANSIAIGREGGVASLISLARSEAEDVHETAAGALWNLAFNPGNALRIVEEGGVSALVDLCSSSVSKMARFMAALALAYMFDGRMDEFALIGNSSESISKSVNLDGARRMVLKNIEAFVLTFSDPQAFAAAAASSAPAALAQVTEGARIQEAGHLRCSGAEIGRFVTMLRNPSSTLKACAAFALLQFTIPGGRHATHHASLMQNAGAARVLRGAAAAATAPLEAKIFARIVLRNLEYHQIEHTV >OIV98658 pep chromosome:LupAngTanjil_v1.0:LG13:15977905:15978679:1 gene:TanjilG_12781 transcript:OIV98658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSIYERGNQREKDRERAQARGAKSKQKEDGLTPEQRRERDAKALQEKTAKKAAQAAGGSNAGGSGSKK >OIV98678 pep chromosome:LupAngTanjil_v1.0:LG13:15529741:15532543:-1 gene:TanjilG_23970 transcript:OIV98678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTIDSFSRGDTQEFVDLNKLAERFLRGTYNASDGEVKDAPSCAYVQEVVEELRKGEQGECPICLEAFEDAVLTPCAHRLCRDAYWQVGEMLLLVYVLFVGSKSIVFSQWTAFLDLLQIPFTRNNISYVRLDGTLNLQQREIVIKQFSEDSSTLVLLMSLKAGGVGINLTTASNAFLMDRWWNPAVEEQAVMRIHCIGQTKKVAIKRFTVKGTVEERMEAVQAVNKE >OIV98532 pep chromosome:LupAngTanjil_v1.0:LG13:17708743:17710899:-1 gene:TanjilG_12118 transcript:OIV98532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMMSNNSKSRSRRNVVDDEVEGVGVHGVGVHGVGVHGVGVHSDDNHLHLFSHVLPSSSSSSSITNGGKHDYDWLLTPPGTPLFPSSTSKLSLSQSDHIIHSRSLTRHSHSHSHSHSHSHSHSHSILNTSSSSVSSYIRPSSPSKPRSQSRPSTPSKPRSPASRPTPTPRPAPSQPSRPATPTSRPATPTSRPATPTSRPATPSARSLSRPSTPTRRHSTPSPSPSQISSTSPARLPFNSRPSSPTPRPRSTPPPIVPPHFPQHTPPNLRTTLPNRPLSAGRSRPAAAATSKTNSETQPSSASNISRRHSSPIVNRGRFTEPPAPKTRGYANSNAHHSDLHEPRKLSHAPEVGARRSVKSSTTTTTTGTDNNGGFGRTISKKSLDMAIKHMDIRNSSGNLRALSNTTLFPQSIRSSATPNPKSQSHRVSSAPASINMNARLVSRNNRVATFDVGNNVNQNMMINGREVLDERQHNSAKLSEVVDIYESSRYDALLLKEDLKNTDWLHSADDKCDQDSIFGNGFEHLPEPFGLL >OIV99395 pep chromosome:LupAngTanjil_v1.0:LG13:2108604:2112059:-1 gene:TanjilG_17205 transcript:OIV99395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLQQRLDYGFNGFQVPATARRTRSTMRRAKFQRTVDKNQMCAFDVLATIAGKILQENWNPTMTTDTSSEKGHSRFDKECQNANKTFKAELSDEGSCGEKCFSPLSSQTNNPNCCLKEFPHPESDGHSGIASIVTSSSFMERVDPNNLVDGKSHKEMENITSNAKLDSPGYAEVSCCKLDGDTSRVKDELHKFENMPIESGTKMCCFEDPLDENHPALISLDDNAKLSRYNDGIPCSSLSKGCGDVPVVSRDDDEFFFGCSHPCTKPKSFRPMASIGDRIRKTLASKYSKVAQESKVDIHSSSDGYFKPTYHSKKYCYKRQRSQMNIPLKKRKLFNCSSVSNSKGFLRSGGIYYSPVNDMSHNAPGSSPGRMREDCGIESLEAHQQSALRCGGSRVKLRIRSFRVPELSIEIPETATIGSLKRTVMDTVTASLGGGLHIGVSFQGKKVTDDSKTLLQTGISLDNQLDAFGFTLEHNSSPSLPRLCAANSPHVPSLEIHQSPISPAVIHRRSQGNFDMLPELQVTNLGNFVESDLISTPSPINASLDKGRKDYKTLYTVPEMAEEELAMIPVLQKSKRSEIVQRRIRRPFSVDEVETLIQAVEKLGIGRWRDVKILAFENAKHRTYVDLKDKWKTLVHTARISPQQRRGQPVPQELLDRVLIAHAYWSQHQTKVTAQTASRN >OIV99346 pep chromosome:LupAngTanjil_v1.0:LG13:2447137:2451215:1 gene:TanjilG_17156 transcript:OIV99346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTTENLHRLLSEQRRELAAAEAMDSDLDFAFRLQLEEALAASVASNPSPSSFTIPDDQQHIPDDAVLNATAIQLEELSRAEQEIKDREMIEVETRKMREDLCRRIHDLKVAAEIMKMTEEEWEEWGDEFAKPFGEGCSGSKGPVRFDESDICRIYFKGLVSEEWVRGEKVVLAGIGVAICDPSDNLILELAKPLVGNGLSKIAAEAKALIEGFNAAIALDLSRVAYYFDYYPLFQYVSGKWPAKQRKIAMLINQVNLLRRKFTYCNPVHVARQDVKFAFKLARDAIISQFTRPAESGNGKNLNETCVICLEDTDLSHIFSVDDCQHRYCFSCMKQHVEVKLLHGMEPKCPHEGCNSVLLVDSCMKFLTPKLVETMQQRKLEASIPITDKIYCPHPRCSALMSKTAVLEYSKGLIGDSEHSGPKKCIKCHGLFCFSCKVPWHIGLSCSNYKALNPNPPAEDVKLKFLATRSLWRQCVMCNHMIELAEGCYHMTCRCGNEFCYNCGAVWKNKKATCSCPLWAEDNIWLEDHESEEEEDDEEDYDYESDYSDVVNSNHQWF >OIV99055 pep chromosome:LupAngTanjil_v1.0:LG13:5372819:5374426:-1 gene:TanjilG_32314 transcript:OIV99055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDPNTATWNSIFRGYLQLDCYLEVVVLFGKMRHFGVVPNCFTFPMVVKCCGKVNAVREGEEVHCVVVRMGFMSNPFVGTALIDMYSLWGFVGDAYKVFGEMHERNVVAWTAIIRAYISVGDMVSAQRLFDLAPLRDVILWNTLVSGYIESEDMVAARKLFDKMPGRDVMSWNTMLNGYASNGDVELFEKFFDEIPERNIFSWNGLIGGYARNGLFSQVLESFKQMLVEGDVLPNDATLVTVLSACSKLGSLDMGKWVHVYAESIGYKGNLFVGNALIDLYAKCGVIENAVDVFNSMDRKDIFTWNTIINCLAMHGHAPDALSLFDDMKNAGVKPDEVTFVGILSACVHMGLIEDGFSYFQSMTDHYSIVPQIQHYGCMVDLLGRAGLLDQAVNFVRKMPMKPDAIIWAALLGACRIYKNVEIAELALQQLIELEPKNPANFVMLSNIYKDLGRWQDVARLKTAMRDTGFRKLPGCSVVEVNDSVVEFYSLDERHPETENIYRALRGLTILLRSYGYIPNLVDTAKGTKMMGEVL >OIV98604 pep chromosome:LupAngTanjil_v1.0:LG13:16864860:16866621:-1 gene:TanjilG_23043 transcript:OIV98604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGAASGSASRKRTLEALEKRFATETFLNNPTLNDPKTPPIHISNHKPSSNVSSSRSSRPSLDTPKVGNFTFVGTIPTSQDIEEGGPVYTQLTLPVDENLLKTNEKFSVDSGCSIDSMLHEILQKGDSSQKYMQGFRNLKINSWILLDNVNQGRSLASTSQTRALKIHSKRSKKHMSMTQHKKQGSLVLPQGFHKLV >OIV99179 pep chromosome:LupAngTanjil_v1.0:LG13:4111987:4114562:-1 gene:TanjilG_19675 transcript:OIV99179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEAMKNVANLDVELTVEERNLLSVAYKNVIGARRASWRILSSIEQKEESKGNEVHVKRINEYRQKVETELSSICNDVMRVIDEHLIPSAAPGDSTVFYYKMKGDYYRYLAEFKAGTEKKEAADQSLKAYESATTAAGADLPPTHPIRLGLALNYSVFYYEIMNSPERACHLAKQAFDEAISELDTLSEESYKDSTLIMQLLRDNLTLWTSDIPEEGEDFHKVNGSTKVVGDDDAETIACRTGGFEAMCLGPEHVS >OIV98879 pep chromosome:LupAngTanjil_v1.0:LG13:9873460:9875273:-1 gene:TanjilG_21710 transcript:OIV98879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFLSLTYWVTRGKVAEGIDFDRHYGRLVIMFGVPFQYTLSRILLARLEYLRENFQIGASDFLTFDALRQAAQCVGRVIRSKADYGMMIFADKRYSRHDKRSKLPGWILSHLHDAHLNLSTDMALHIAREFLRKMAQPYDKTGGSGRKTLLSQEDLEKMVEGGMNDMY >OIV98687 pep chromosome:LupAngTanjil_v1.0:LG13:15770074:15770634:1 gene:TanjilG_23979 transcript:OIV98687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCSKVPYLLFPLSIILICYAPIASSSKDLANSVCNNANNEDGFGNGNGITLDDCFQLMTMDPKFYSASNYRDLSKFLIQFAIQKGTENKNKFIQLQKDFPKSKAIVQCGTTFYTAVISVFEAALKEWDTNTQNALHEISQSADGTEQCIVAIDGEKLVNGNITNMNKMMFLLTEATFSALDLSTQ >OIV99624 pep chromosome:LupAngTanjil_v1.0:LG13:606704:611669:-1 gene:TanjilG_17434 transcript:OIV99624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKLVYVTGASGYIASWIVKFLLQRGYTVRATVRDPSNPKKIQHLVQLDGANERLQLYKADLLQQGSFDSAIQGCDAVFHTASPVPPPAANIQNPQVEVIDPAVKGTVNVLESCAKSPSVKRIILTSSMIAAFYNGMPLSPEVEIDETWFSNPDFCMQTKKWYAFAKTSAEDIAWKFVKEKKIDMVVINPTVTVGPLLQPELNSSAALIFNLINGSETFPNISYPWVNVKDVADAHVKAYETPSASGRYILSERVTHLSEVVKILRNLYPTLQIADKCADNEPFMTTYKVSKEKAKHLGIEFIPLDMSLKETVESLKEKKFVNDF >OIV99180 pep chromosome:LupAngTanjil_v1.0:LG13:4109919:4111087:1 gene:TanjilG_19676 transcript:OIV99180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESHVKHSAISSKKRTSKNEKLCLDDYLPFLHSRQTIHLTMNQLNQIIRIHGFKKIHHAPKKVLSDAVETLDLVDLSRSTLRENITAFAILSLEDVIADLSELNWQECCVTSLEKLSTWNESFSPGSSNQKNTTFQLADHSTSHKIHLTPKTLHLTPRSRILKATKMVPRRKRSNIHARDGSTVDSVSLASC >OIV99000 pep chromosome:LupAngTanjil_v1.0:LG13:7370818:7371051:-1 gene:TanjilG_29403 transcript:OIV99000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIFLLCHKRETHKTEGVEFKDLSEINSIDLLGSMALSNMEINKRRALVANCGHYQGNKEKVMIQNVKIRVVIGRRC >OIV99228 pep chromosome:LupAngTanjil_v1.0:LG13:3594158:3597083:1 gene:TanjilG_06533 transcript:OIV99228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQHNINGNNFEGNGIALATQLELELDPNLIGANWVEECEKVGSFDVGYPIEEAVEKISLVDGSQTCVTTTHGNGNKDETKDESKDEIKDESKDKIKDEIKDENKSESESDSESESEDSSSEESSRSSSSSDSDSDSDSDSDSDSDEDDKKNKGDVEEGEIEGSEDEDDDDDSKGGDKMVTCGLDDDDIDVLEFDEDDGAKGEPIRSKNELENLPPVPPVEVTLEPHHQVQPVGVVMSIVGAQVIVEGVEKHEPLNEGSILWMTENRKPLGLVDEIFGPVKTPYYIVRYNSESEVPEGIHAGTLISFVPEFADYVLNNKDVYKKGYDASGANDEELSDEYEFSDDEKEAEYRRMQRTAKRDKTDQNQGQRKINRKKSSPKQNVAPSISGTPAPTLPVHGNSSPFPGNLQRHFGGPTMAPPFRIPPSNAGPNFATNGVWTNGTTLPQQPQSALPPNAFPNNGIPWYPQNTQIPHQLSGPGMQFQQQLHPNQGPFLQAMFPGILPNMFAQPMNALGLVGQNQMQFGLNTPFQQVQRPVFSAQQGFPSGELQSQGNLNLPSCTIPGPPPQFRPNASSNRGSRGGRTFRGAGRKGWRPSR >OIV98918 pep chromosome:LupAngTanjil_v1.0:LG13:8075389:8075718:-1 gene:TanjilG_07353 transcript:OIV98918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASKTLFFLRSEVAVLLFLIMTLGSDVAKAQNACTSHLSNLNVCAPFVVPGAAAAPSAGCCEALQAVNRDCLCNTLRIASQLPSQCQLPSLGCGMLLTKFLVHILPSF >OIV99446 pep chromosome:LupAngTanjil_v1.0:LG13:1710197:1711268:1 gene:TanjilG_17256 transcript:OIV99446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVNDADQSNKSHRIRQSGAKKDKKKLKKKKQQDSDDDDQKQLNPKAFAFSSSNKAKRLQSRAVEKEQRRLHVPIIDRSYGEPAPFVIVVQGPPQVGKSLLIKSLVKHYTKQNLPEVRGPITIVSGKQRRLQFVECPNDINGMIDAAKFADLALLLVDGSYGFEMETFEFLNILQVHGFPKVMGVLTHLDQFKDAKKLRKTKQLIPMLKKYLDFVRVVFLGLEFSLDIGAG >OIV98584 pep chromosome:LupAngTanjil_v1.0:LG13:17033426:17038542:-1 gene:TanjilG_12170 transcript:OIV98584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDFNLALVIVAIVVCIIVFLFNVYLLVNYQHPDDVNQAYFPKFVVVFGLSIAAISILMLPADVANRQACRHAIYNGACNLTLPMKSLWLAIYIVDAVLVFFVIPFAMFYYEGDQDKSIGKRIKSAMMWMVTTAIVCALILGLVGKVDFTVRHLSSSTASFPSSWGGLSSGQQCIGGSGVHQCSAYSASPSSEKTWTMRATFPEYVVALATIAGSVLFAIFGGVGIASLPLGLIFSFIRRPKAVITRSQYIKEATELGKKAKDLKKAAESLHQEERSGSKGRKFRKNVKEVEKELFQLEEDVKLLEEMYPQGEKAETSWALTVLGYLAKLVLGILGLIVSVAWVAHIIIYLLIDPPLSPFLNEVFIKLDDVWGLLGTAAFAFFCFYLLLAVIAGATMLGLRLVFITIHPMKWGGTLMNSFLFNVGLILLCSISVIQFCSTAFAYYAQATAAQEIFGHTLESLRGIKYLYKYNVFQIAFVVLAGLTFVYYAAFGWRRKKPSGRFQLST >OIV98946 pep chromosome:LupAngTanjil_v1.0:LG13:8759894:8760678:1 gene:TanjilG_07381 transcript:OIV98946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCWSAENATKAYLSTLKMGQRAKEPAVSEFISALAAGNNAQFMVVACASVADSTTLALVAAANQTGGHVVCIVRGNEELKASKNVLGVSACKVHFIVGEAEDLLLNQYDAADFVLIDCTLVNHQEIFRAVQHGKQNRKVVVGYNAFSCRGSWRSCGSKTQLLPIGEGLLVTRFRANYTTSPKYGPDMRKNRSHWVKRVDKCTGEEHVYRIRFQPGRVIQA >OIV99439 pep chromosome:LupAngTanjil_v1.0:LG13:1766196:1767008:-1 gene:TanjilG_17249 transcript:OIV99439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKPLFYEILEKPATSCIIGICSAIWFYIQKKNIGYSHVGLSYETAIEGHYWRIITSAFSHISAIHLVFNMSALWSLGVVEQLDHMGLGVGYYLQYTLVMVVLSGMLVLAMYHLLIQRFNIEYFRRVTAVGYSCVVFGWMTILSVKQPSSKLELFGFLSLPISFAPFESLVFTSIIVPQASFIGHLSGIVVGYAIAWGLIHGMNSYWALSLLSWIALVFVWSLKKSGAVDLNFLEIESVTDPSLPVRFLASGNGRTLQMTALPNGNVDIV >OIV99255 pep chromosome:LupAngTanjil_v1.0:LG13:3349333:3351656:1 gene:TanjilG_06560 transcript:OIV99255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVDYYKLLQVDKNAKDEELKKAYRKLAMKWHPDKNPNNKKEAEAKFKQISEAYEVLSDPQKRAIYDQYGEEGLKGQVPPPDAAGGAAGGGSTYFSTGDIPGSFRFNPRNADDIFAEFFGFSSPFGRRGGGGHGMRSRFSGGMFGDDMFGPFGEGGGIHMSQGAPRKAPPIENKLICTLEEIYRGTTKKMKIHREIADATGKTMQVEEILTINVKPGWKKGTKITFPEKGHEQPNVTPADLVFIIDEKPHSVFTRDGNDLIVNQKISLVEALTGYTVHLTTLDGRNLSIPINNVIHPNYEEVVPREGMPLPKDPSKKGNLRIKFSIKFPTRLTDEQKEGVRKLLASSA >OIV98778 pep chromosome:LupAngTanjil_v1.0:LG13:13247246:13267216:1 gene:TanjilG_20502 transcript:OIV98778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIIIQGKMSWSGRLKEKHGQHNRLSMDNYDEESAARTRPSSLEDIMFRRKQKELLENGKDPAKKSRNTSPEDSSKKFSHRFHSLGTGKHHNSSVSGMEEQALKELVMVSSRKKIASICIKGDRLIEGKDRENDNLETKSSAGLNNKNRTTKESNTGREMHEESKTGRVMHGWRSNERMRDNSEYDVGNKHSKDSVNKDRYAETNRPKYERKIQKKNQIADDESPNKYPAERKHVKDSHDRGKRKKWSSNDSKEVAEKKNHIDSADKHRHTEDSRGKYEREIKEKYRNGDDKTQDRNAARKKDIMKRHNSGNYEIKERREKMRSHFEESTKKRRRSRSQERVDRRRRSQSFSPRAQKHSSYNEEHNELSVLSQKDTSGKKHSDIERSRVSTNDSNDDHHRHGGSTSRLGGYSPRKRKSEAAVKTPSPSKNSMEKKRVGWDLPPVGTDNPASAFISSSFQLSNHTVLSNMRENDNLETKSSAGLNNKNRTTKESNTGREMHEESKTGRVMHGWRSNERMRDNSEYDVGNKHSKDSVNKDRYAETNRPKYERKIQKKNQIADDESPNKYPAERKHVKDSHDRGKRKKWSSNDSKEVAEKKNHIDSADKHRHTEDSRGKYEREIKEKYRNGDDKTQDRNAARKKDIMKRHNSGNYEIKERREKMRSHFEESTKKRRRSRSQERVDRRRRSQSFSPRAQKHSSYNEEHNELSVLSQKDTSGKKHSDIERSRVSTNDSNDDHHRHGGSTSRLGGYSPRKRKSEAAVKTPSPSKNSMEKKRVGWDLPPVGTDNPASAFISSSFQLSNHTVLSNMHDVVAATSVDPAIVKPLPVSFVNNVLTGKNANIDSVQLTQATRPMRRLYLENLPDSASEKTVVDCFNNLLLPASVNHIQQAQPCISCILHKDRGQALVEFLTAEDASAALSFDGITLFGSTVKIRRPKDYVEAATGEPERSADASVTISEIVVDSPDKIFIGGISNHLSSEMLMEIAGAFGSLKAYHFETKDTNGLCAFLEYVDHSVTIKACAGLNGMKLAGKVLTVVQAMPDSSPLENGGKSPSYGIPEHAKPLLRKPTQVLKIKDVFTVESLSSLSDMAIEEILKDVRSECARFGTIKSLNVIKHSSEKTNLEECEVVNAVDSKEASQDTVHVIDNTESSISEKATDPKPKETSGVEFHYEKEVEEDKFDDDCISVNADKHAHGGFDNKSCQGEQLVSDATVEDKGNSIIQECPDHQDTPEVGQKLHDTMMANDVGVDIENKIVAGDMNLTSTIGTFREGFSEHTTSSGTELVGPSKGINEEDDISNHIFESGSVLVEFGRTEACCLAAHSLHGRFFDDRKVTVEYVALNLYRERFKE >OIV99277 pep chromosome:LupAngTanjil_v1.0:LG13:3126500:3127759:-1 gene:TanjilG_17087 transcript:OIV99277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQLPVEVIGNILSHLRAAGDVIVASATCRKWREACCKHLHTLSFSSNDWPIYRDLKTTRLEILITQTIFQTSGLQALSILMEDVDEFSASAVIAWLMYTRESLKQLFYNVKTMPNVNILEICGRHKLEILDLAHNSIIGVEPNYQRFPCLKYLSLSYVSISALDLHLLVSACPKIEVLKLVNPEIAMSDAQVTVELSSSTLKRVYVEAISLDKFILEADGIECLHLKDCALEVFELIGKGTLKHFKIDDVSVIHLDIGETVENLEIVDISNFTIIWPKFYQMISRSSNLKRLRLWDVMFDDEDEIVDLETIAACFPHLSHLSLSYDVRDGVLHYGLQGSSCLENVVVLELGWTVINDLFSHWVEGLLKRCPNLKKLVIHGVVSEAKTDEECQMLANFTTSMVKLMRRFTHVDPHFKYE >OIV99021 pep chromosome:LupAngTanjil_v1.0:LG13:4992489:5002523:1 gene:TanjilG_32280 transcript:OIV99021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGNKYNGHLNHRIPGRVERLLRNRELRKSSRGSHLNENEQRIREDDDFYDGECFIDGAKAARMLAEMCQRQGVKQRLLVVANRLPVSAVRKGEDSWSLEISAGGLVSALLGVKEFETRWIGWAGVNVPDEIGQEALTKALAEKRCIPVFLDEEIVHQYYNGYCNNILWPLFHYLGLPQEDRLATTRSFQSQFAAYEKANQMFADVVNEHYEEGDVVWCHDYHLMFLPKCLKKYNSNMKVGWFLHTPFPSSEIHRTLPSRSELLHSVLAADLVGFHTYDYARHFVSACTRILGLEGTPDGVEYQGKLTRVAAFPIGIDSERFIRALDLPPVQDHVKDLQERFKGRKVMLGVDRLDMIKGIPQKLLAFEKFLEENVYWRDKVVLLQIAVPTRTDVPEYQKLTSQVHEIVGRINGRFGTLTAVPIHHLDRSLDFHALCALYAVTDVALVTSLRDGMNLVSYEFVACQEKKKGVLILSEFAGAAQSLGAGAILVNPWNITEVADAIGKALNMPSAEREKRHKHNFLHVKSHTAQEWAATFVSELNDTVIEAQLRTRQVPPRLPTKTAIEHYLQSTNRLVILGFSGTLTEPVEKTGDQIKEMELKVHPLLKQPLTALCSDPNTTVVVLSGSGRKVLDENFEEYGMWLAAENGMFLHPSMGEWMTTMPEHLTMEWVDSVKHVFEYFTERTPRSHFDFEERETSLVWNYKYSDVEFGRLQARDMLQHLWAGPISNASVDVVHGSRCIEVRAVGVTKGAAIDRILGEIVHSKSMTSPIDYVLCIGHFLGKDEDVYAFFEPDLPSIGVYHPRSMVTDGVKYPTERKPSLKTSNIKIATKSSQNKAQKPLSNSEKKTSNHACNNTPRRPTPERVSWNVLDLKKENYFSCAVGRTRTNARYTLGSSDDVVAFLMELVDASSNSSQLS >OIV99251 pep chromosome:LupAngTanjil_v1.0:LG13:3397460:3399002:-1 gene:TanjilG_06556 transcript:OIV99251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPPATAATAAKRKPVFVKVDQLKPGTNGHTLTAKVVSSEAVKNAPIRGTRSSSLLARPSRPSRIAECLIGDETGTIVFTARNEQVDLLTPGSTLILRNAKIDMFKGSMRLAVDKWGRVEVTEPASFEVKEDNNLSLVEYELVNVVEE >OIV99399 pep chromosome:LupAngTanjil_v1.0:LG13:2073388:2076648:1 gene:TanjilG_17209 transcript:OIV99399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTILASSHALFFLATSFLWNFPEIAVATSNHGSVTRHYNFDIRLKNVTRLCQTKSMVTVNGKFPGPRVVAREGDRLIVRVVNHVPNNISIHWHGVRQLQSGWSDGPSYITQCPIQTNQSYVYNFTIVGQRGTLFWHAHFSWLRATLYGPLILLPKHNESYPFLKPYKEVPILFGEWWNVDPEAVIAEALQTGGGPNVSDAYTINGLPGPLYNCSSNETFRLKVKPGKTYLLRLINAALNDELFFSIANHTLVTVEADATYVKPFKSNVILITPGQTTNVLLKTKPHYPNTTFFMLASPYFTGLGTFDNSTVAGILEYVNPSGSNNAPNSSTILKPTLPAINDTSFVANFSSKLRSLNNAKYPSNVPQTIDKSFFFTVGLGSSPCPKNQTCQGPNNSSKFAASMNNISFALPSIALLQQHYFIRENSKSVYTTDFPIVPLDPFNYTGTPPNNTRVSNGTKTVVIPYNTSVQVVLQDTSILGAESHPFHLHGFNFYVVGQGFGNFNSSVDPAKFNLVDPVERNTVGVPSGGWLAIRFLADNPGVWLMHCHFDVHLSWGLRMAWIVEDGKLPNQKLPPPPPDLPKC >OIV99144 pep chromosome:LupAngTanjil_v1.0:LG13:4387045:4391767:-1 gene:TanjilG_01119 transcript:OIV99144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLLLNAYSNNSDDDEEEEEQKQQKQQPEPKRQRFSIPSSKPQKPILTLPSTPSLPTPIPTPIPGRYVSKRQRQRFDPLSSLPSPLHPPSPFTLTGSILDADIPRSILPLLKSKAKGHQNLSSIQEKLSMTLYGHTKAVNAIHWSPTHAHLLASAGMDHTVCVWNIWSRGQKKACVLNFHNSAVKDVKWSQQGPLLLSCGYDCTSRLVDVEKGLETQVFREDQVVGAIKFSPDNSNLFLSGGSKGHIKLWDIRTGKVVHNYNRNLGPVLDVEFTMNGKQFISSSDVSGSNVSENSIIVWDVSRQVPLSNQVYVEAYTCPSVRCHPFDSVFVAQSNGNYVAIFTTTPPYRLNKYKRYESHGVSGFPIKCNFNLDGNKLASGSSDGSIYLYDYQSSKVVKKIKAYDQACIDVAFHPIIPDVIASCSWDGRISVFE >OIV99603 pep chromosome:LupAngTanjil_v1.0:LG13:740750:741894:-1 gene:TanjilG_17413 transcript:OIV99603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVVVTNGVHQDLALSYETKNKKSLWLKFLAFIGAHEFRSAEMWRAGLTEMVGSTFATFALVGSIIGCMNSNVAAPVLLVPIVVFCVTFFILIAVAPISGGFINPTFTFIASLKGVVTISRAIVYIVAQFIGTTIGFLLLKSVMSHDMTLKYFLAGCTINAYGGTSGISPSTAFVLEFSCTFLMCLLGVTVAFDKKRSKELGPIMVYTVLGGCIALPVLISSTITGSVGYSGAGLNPVRCLAPALLYGGPQLWNGFWVFLLGPFFACIMYYIFSINLPKEGLSWVEGEYDISKLAGVCFKGPHDTPEHVHHKEKATF >OIV99565 pep chromosome:LupAngTanjil_v1.0:LG13:992145:993371:1 gene:TanjilG_17375 transcript:OIV99565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATVSAWSKPGAWALDSEEHESELLQPQPVQPAVSDFPSLAAAAATKPKKKKKPQTLSLAEFTAKPDEDLNILSLPTAPRERTAEEIERDRTRLGGGFRSYGDRGGRNSGPGGDDGSNSRWGSSRVSDESRRSGSFGSRDLNRDSAPSRADEIDNWASVKKSSIGNGFERRERERGGFFDSQSRADESDRWVSNKSVMEGRRFGSNGGGFERERKIGFGFSGGADSDNWNKKKVESNVGIESGNGSGGFERERKVGFGASGAADSDNWNKKKVESNGGSERPGSGSGGGGRPRLVLQPRSISASNQSSPDSDSGNVVKPKGPNPFGEAKPREQVLAEKGQDWKKIDQQLESVKIKETVEKSDGGFGKKDFGSGNGRATSPEDRTEKSWRKILLADPRPRRFVVTCQQ >OIV99131 pep chromosome:LupAngTanjil_v1.0:LG13:4674497:4675213:-1 gene:TanjilG_22711 transcript:OIV99131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFRSDPIKVAALSNKLLDPTLHKEDDDVEVQVGVAMEEDKDVCEVEKCIVLIDSKEDEDANGSGKVETISENDVVEKGSKDEEEKESMEREKRSNIESGILPECLLLMMCEPKLSTDVSKETWFCTVDFVRWLPPRPAAKTGSGDCQDKKRVAVESKQSLVQPPPPVIQPGRLSCSFTAPVVGPNVCEPDVLKRCKSEPRGSVAAKLAPEGCFWNDRKLMEPYSSARFQISVAGVGF >OIV98798 pep chromosome:LupAngTanjil_v1.0:LG13:11922243:11923616:1 gene:TanjilG_25044 transcript:OIV98798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTNTLMSSAISAFPYPLSSSKSRSPTSVAASRNGTKSSGRLSSSAEWFPGEPRPPYLDGSAPGDFGFDPLGLGRVPVHLERYKESEVFHCRLAMAAVPGVLIPEALGLGNWVQAQEWSTVPGGQATYLGSPVPWGTLPVIIAIEVVAMAFAEIQRVVEKDTEKKKYPGGFFDPLGYSKDPEKFAEYKVKEIKNGRLALLACLGFAVQQSVYPGTGPLDNLAAHVADPWHNNVGNILFPNF >OIV98523 pep chromosome:LupAngTanjil_v1.0:LG13:17804549:17807795:1 gene:TanjilG_12109 transcript:OIV98523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHWWFFVFVIVVSVTVEQRIYVEAEEGFVKARGVQLILNGSPYYANGFNAYWLMYVASDPSQRNKVTSTFQEAANHGLNIARTWAFSDAGYKPLQNSPGSYNEEMFRGLDFAIAEARKYGIKLVLSLVNNYESLGGKKQYVDWARSQGQYSINSEDDFFTNPLVKGYYKNHIKSVLTRKNSLTGIAYKDDPTIMAWELMNEIRCPSDQSGNTVQAWITEMASYLKSIDGNHLLEAGLEGFYGPSKQDSNPNFRVGTDFIANNQIPAIDFATIHSYPDQWLTSSSYEEQITFLGKWLNEHIQDAQNILHKPLLFAEFGISTKNLGSNSAPRDRFFDTVYSAIYASASGGGAAVGGLFWQLLAEGMDSFRDGYEVVLGESPSTDTLIAQNSQKLIRIRKMYARLRNIEKWNKARENRDSHDGVDGRN >OIV99566 pep chromosome:LupAngTanjil_v1.0:LG13:981724:984851:-1 gene:TanjilG_17376 transcript:OIV99566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLVNSPATTGFEVKALPYDYSAISECLKQPQKPQYNGGIIKNPELNNGLEGWTVFGNAKIEQRESSCNKYVVAYNRKQPSDSVSQKINLQKGMHYTLSGWIQVTGSNVLVKATLKTSKGYTLAGATYAESHCWSMIKGGFTADTSGPAELYFESKDTCVDIWIDNVSLQPFTEEEWKSHHVKSIEKARKRNVLLKVAHKQGSSVGNVAISIKQKRSGFPFGSAINHNILNNTAYQNWFASRFTVTTFENELKWYFTEVVQGKENYEVADSMLQFAKQHKIGVRGHCVFWDDPKYQPSWVPSLSPYQLKSATQKRILSVVKRYKDKFIAWDVVNENLHFSFFESKLGQQFSSKVFSVVHKIDPRVTLFLNEYNTVEEETDSSVNVAKYIRKLRQIKSYSTSKGIKIGVGLESHFTHTPNLPYMRASIDMLASTGSPVWITELDVANQPKQAEYFEQVLREAHSHPKVQGIVMWTTWSPNGECYRICLVDKNFKNLPGGDVVDKLLREWGSKPVSVITNQNGTHEVNLFHGDYEVTISDPANTDHHFTQQVQVLPADQPEKTTTQVLQISI >OIV99475 pep chromosome:LupAngTanjil_v1.0:LG13:1551042:1555848:-1 gene:TanjilG_17285 transcript:OIV99475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METKKSNMSIKFREISKTLEPKHAYHAPQSTPTTGLTPSGSYSCTSQCPSKGSASLDFSETVQRPFGGKNLDSVTKCDQNLQPKSSVTKEFEESGKNASIPKTSCTKSETMGSQNEDHVAKNASRPLKIVLKMQNYRLTRDQQCNEIVGNGQVAQKETGLENCNIVDENKKCASKANSTNLLNQNLGTGTNASGSNPQLQKQKEPHEELRNRVDNLRGEVSELRKELLRISKESEEVEKENESLMEELVEKYGEESIADLISKNPATP >OIV98641 pep chromosome:LupAngTanjil_v1.0:LG13:16220882:16221550:1 gene:TanjilG_12764 transcript:OIV98641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNSPTTIPPPPPLLFPPHFTDQIPIFPISSPPSPFAASPSSIFQITVHPAPPQPPPPSPFAVFHTSVDLSPIQFLLAIIAIITIPALIYTFIFAFWCPSSRRRRQTSGELSVPSELSHHDVENSGEVTDVKYQKEAHVKEIGGECPVCLSVFADGEEIRQLSVCKHSFHASCIDMWLSNHSNCPICRANIAAVTKLSGSNSSAAPTRDGDLQHGGDASALI >OIV99280 pep chromosome:LupAngTanjil_v1.0:LG13:3089474:3091514:-1 gene:TanjilG_17090 transcript:OIV99280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLREYNNIVEDKNLYLHSPTFIEWLKPFNSSPSYLTPTVSSSMSSPSSVTQNDLVHETIQFFPILKGKPSRDEELKKKVPEVKEEKVEQMTVALHIGLPNTTNDIIEHDHDEKKVFHVKEEEEEPLKEKIFHGSSERRFWIPSPAQILVGPMQFACNICSKTFNRYNNMQMHMWGHGSEYRKGPDSLRGTQPAAMLRLPCYCCAQGCKNSINHPRAKPLKDFRTLQTHYKRKHGTKPFTCRKCCKTFAVKGDWRTHEKNCGKLWYCSCGSDFKHKRSLKDHVRSFGKGHYPRPNSIEELLEDEKDCITGSDEDHDVAHNMII >OIV99165 pep chromosome:LupAngTanjil_v1.0:LG13:4269080:4270109:-1 gene:TanjilG_19661 transcript:OIV99165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESQYQLKKSKVVKIESWKSWEDYITYATNQGFPVMVHFSAFWCMPSIAMNPFFEELASTYQDVLFLKVDVDEVKEVASKLEIKAMPTFVLMSGGAQVNKIVGANPDELRKIIEHFIQQTHSPPIQYEA >OIV98863 pep chromosome:LupAngTanjil_v1.0:LG13:9973809:9975188:1 gene:TanjilG_21198 transcript:OIV98863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNILPCYQLLELNVISAQDLALVSQSMRTYAVVWIDPNHKLSTRVDSDGHNNPTWNDKFVFQVDEDFFYDDTSLITIDIYALHWFKDIHVGTAEVLAGDLFPPPSEPFHNTYKLRSMQLMGLQVHRSSGSHKGFLNVGVAVLDSSTRSMPVYTHNTSTPKNHHVHNDHMIHEAHAKSEFRRTKSETSSIIGSEAMAHDNRAKSKASTKSNKKSISTLSVSDVTAPPKNHKFTERKMKVAPGSQEPTASDFNAMQRVAAPRMSVGYEVKPSPKPQFRNTPAMAYNASPKLGTVRATPMHPFAKVNAMEYGTPRRSNMGNMIHQPIMTDSELGPSASEVAAAVARQPVVEDGENSTVGGWSLDESVEELQPKVERWRTDLAPVYDVGETSSKPTSSSKPTTSSKKERRSRRHTDGGGAGGNGLFSCFSVICGVECSIVCGGDGNKNRRRQSKSVENTSFV >OIV98653 pep chromosome:LupAngTanjil_v1.0:LG13:16026117:16028935:-1 gene:TanjilG_12776 transcript:OIV98653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKSRTSGDVASSSLGVQTRANRLRPPPSPPQIHDSSEYLQLRSRRLLKLQPPPPRKESSVEVENLRLKENSEKTASPSSVSESGKLEAEEESVDLSIEGSCGENFLEGEAIDRSTRESTPCSLIMDSNSITIPGSSTRQSTHQINHENVQRIFPTSHEMDEFFVHAERQQQAMFMQKYNFDIVNDVPLKGQYKWVQVLN >OIV98700 pep chromosome:LupAngTanjil_v1.0:LG13:15077300:15077890:-1 gene:TanjilG_24871 transcript:OIV98700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANYGTTQRIPTTSTTPSSTSETYEPKAPHEKKHSDFKIFCPFNFPLTSEASAIRIIKNFENLGLYYTLFVWIVLFITLIPQRNVSLILLVIMTYVTTLYFLLLRACPNSEVLHKIIDKRFVLGLLAIATALQLILTKAGIHLAVTLACTVPIVLVHAVLWVGFDAFEIENGFSVSGELVPLVGQNQNGEVGSNVV >OIV98535 pep chromosome:LupAngTanjil_v1.0:LG13:17678205:17682912:1 gene:TanjilG_12121 transcript:OIV98535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAVMASSSGNISTNNKYQKVKGIATIKESGGGFLTNLISGCLQGINLWVGTTLVFELVSNELDPKTNSERKTIKGKIEKRRKTEKEVEYEATFKVPSDFGNIGAVLVENEQHNEVFLKSIVLHGLLDCPMQFITCDSWIQPKHITPIKRLFFTHKSYLPSQTPSGLRRMREEELVQLRGNGEGERKSCERVYDYDVYNDLGDPDANIELKRTVLGATKFYPYPRRCRTGRPHSHADPSSEKISSSFYVPRDEAFSEIKQTQFTTTTISSGLGAILESLDALLTDQNLSFVSFEDIDTLYKQGFHLTNIQGNRLTLLQRLIPKFIKAANDTQNILRFDTPEPLKRDKFFWLSDEEFARETLAGVNPYTIQLEWPLRSKLEPQIYGPEESAITREVIEPQIIGYGTVEEAIEDKKLFMLDYHDLFLPYVNKVREIKGTTLYGSRTLFFLTKQGTLKPLAIELTRPPTNGKPIWKQVFAPASHPTSLWLWRFAKAHVLTHDSAYHELISHWLRTHCAAEPFVIATNRQLSAMHPIYRLLHPHMRYTMEINSLARRVLINSNGVIEVSFSTNKYSMELSSAAYDKLWRFDLQALPSDLIERGMAVEDPSSPHGIKLTIEDYPFANDGLLIWDAIKEWVTDYVNHYYPNQSMIECDKEVQDWWSEIRRVGHGDKSEEPWWPDLKTPNDLIDIITTIAWVTSAHHASVNFAQYTFGGYFPNRPTIARNKMPTEDPSVEEWENFVNKPEEALLECFPSQIQATLVMVVLNLLSYHSPDEEYIGQYMEPYWGENPSINAAFERFNSKMKEIEEIIESRNGNSELKNRNGAGLVPYEVMKPFSGPGVTGKGVPYSISI >OIV99685 pep chromosome:LupAngTanjil_v1.0:LG13:180694:185923:1 gene:TanjilG_17495 transcript:OIV99685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISSSVNGEEETDDKQQKHQQQQQQLIRFPSRNASSKYDFVKVKVWLGDNADHYYVLSRFLLSRMLTVTKIPNHVAIKIALELKKLLIDNSLLDVSQSDLEANLFKLMERRGYGEEYINRYKMMTRFHHQRVPLVILVCGTACVGKSTIATQLAQRLNLPNVLQTDMVYELLRTSTDAPLVSTPIWARDFSSSEELITEFCRECRVVRKGLAGDLKKAMKDGKPIIIEGIHLDPSIYLVDDEHKSPSGVHSENKEINPALVALDNNATVEMKNINAGNGDESNGGSSTLSSNEGKSAHHVDAVSSSMASMGLTESISAGNKGASLVELETDKTTISKKSGPKPIVVPIVLKMAEFDHKALLEEWISSRTFKDKCLDQANDKLIANLKTIQDYLCSFTSQGLTVVNVSATTFPQTLDWLHNHLLQADIIDMLPKANPWHCR >OIV99195 pep chromosome:LupAngTanjil_v1.0:LG13:3947068:3948021:-1 gene:TanjilG_19691 transcript:OIV99195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFQSLHKFYYILFLSILFLSSFLAQAKVTFKYCDQKGNYAVKVSGIEISPNPVVSGEPANFKISASSGKAISGGEVVIGVSYIGVTVHTERIDLCQEVTCPVSNGNFLISHTQTLPSITPPVSFSLSKYSLVEY >OIV98760 pep chromosome:LupAngTanjil_v1.0:LG13:13884113:13886625:1 gene:TanjilG_25026 transcript:OIV98760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAMPLECDSSTSTTPLAEAHDILARHVCPLDVLARATGPVLVVPMIEPTWLMLAPMIVTSCLMLVLVTLLECLVLVLVTLSKWNLLVLVSLANGVVLVPLSHASGDVHIPLPHASGIYCVLHLKSLLEPSKLPLCHLPCANGPIIAPIDLVLGKFINIAMYKAKPPNQHGWWALGAPQGALGTPT >OIV98752 pep chromosome:LupAngTanjil_v1.0:LG13:14082536:14092364:1 gene:TanjilG_24923 transcript:OIV98752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSEEAKLETFLQWLQANGFHLRGCKIKYCDSRKGFGIFSDQHVSDGVLLVVPLDLAITPMRVLQDPLIGPECRELFEEGDVDDRFLMMLLLTVERLRKNSLWKPYLDMLPTTFGNSLWFSDDELEELRGTTLYRATELQKKSLMSLYETKAKALVKKLLSLDGDMETEVCFEDFLWANSVFWSRALNIPFPRSYVFPETQEVHDCQLPVNRSSETSTDGEDDDKRSQVKISDTQTKEMTCNSIQAETVWVEGLVPGIDFCNHDLKPIATWEVDGTGLTTGVPFSMYLVSAAQSPLQIDSEITISYGNKGNEELLYLYGFVIDDNTDDYLMVHYPSEAINSISFSESKIQLLEVQNAEMRCLLPKTLLDHGFFPLRTQNNGVKNKGQVDRICSYSWSGQRKTPSYAKKLVFPEKFLTTLRTIAMQEDELFKVSSMLEELVGPEGERQLSDTDVQSAIWEVCGDSGALQLLVDLLRVKLTDLEEGSGTEESDIDLLKKAIIIDSQKDKNQCKNNTGPMENESEEHKLMSRNKWSAIVYRRGQKQLTRLFLKEAEHALQLSLDEEELNVHS >OIV99449 pep chromosome:LupAngTanjil_v1.0:LG13:1693528:1695441:1 gene:TanjilG_17259 transcript:OIV99449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLFQIFNSKALHFKHRRIFPHYSNLYPYSSSLPQPQETPSSSIPITHSTLLHSIQSSQWHFIEHLAPQLTPSLISSTLSNLHQSPQLVHQLLTHLHNHPHCLDLSALCLAVCVLYRLPSPKPAVNLCKQLIHRYGDGIGTKAMIFDELSRARDHFGIKTTVVFDFLVRAYCELKKPDEALDFFYIMKEKGFVPNIETCNQMLSLFLKLNRTQMVWALYAEMFRMQIKSSVCTFNIMINVLCKEGKLKKAKEFIEYMEVLGVKPNVVTYNTIIQAYCLRGRLKAARLIFQTIKDKGLEPDSYTYNSFISAMCKEKMLEEASALLGSMLEFGLVPNAVTYNALIDAYCNKGDLNKAFAYRDEMIKKGIMPSQVTYNMFIHALFMEGRTGEADDMITEMRGKGMNPDTVTYNILINGYCRCGDARKAFSLFNEMVRKRIQPSLVTYTSLVYVLGKRNRMKEADELFKKVQQEGLLPDIIMFNALIDGHCANSDIERGFQLLREMDQMKVLPDEVTYNTLMQGYCREGKVEEARKLIDEMKRRGIKPDHISYNTLISGYSKRGDMKDAFKVRDEMLTTGFNPTLLTYNALIQGLCKTRDGEHAEELLREMVSKGIAPDDSTYLSLVEAMNVEDLVGNDDK >OIV99352 pep chromosome:LupAngTanjil_v1.0:LG13:2399359:2402271:1 gene:TanjilG_17162 transcript:OIV99352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGANTNGGVGDFPAVPTHGGQYIQYNIFGNPFEVTTKYRPPIMPVGRGAFGIVCSLLNTETNELVAIKKIANAFDNYMDAKRTLREIKLLKHLDHENIIALRDVIPPPLRREFNDVYIVTELMDTDLHHIIRSNQNLSEEHCQYFLYQVLRGLKYIHSANIIHRDLKPSNLLLNANCDLKIIDFGLARPAMESDFMTEYVVTRWYRAPELLLNSSDYTSAIDVWSVGCIFMELMNKKPLLPGKDHVHQMRLLTELLGTPTEADLGLVRNEDVRRYIRQLPQYPRQPLAKVFPHVHPLAMDLVDKMLTLDPTKRITVEEALAHPYLAKLHDEGDEPVCMEPFSFEFEHQQLDEEQIKELIYREALALNP >OIV98583 pep chromosome:LupAngTanjil_v1.0:LG13:17061849:17065489:1 gene:TanjilG_12169 transcript:OIV98583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSNQQHLQEPWMLENGGNNIKGLSKETRHGRTAHNMSSSSLRKKSSLTLVTKIRCGLLRNLVANFQEVILGTKLSILFPAIPVAIFAQCYGLGRPWIFALSLLGLTPLAERVSFLTEQVAFYTGPTVGGLLNATCGNVTELIIAIFALTSGQVAVVKYSLLGSILSNLLLVLGTSLFCGGIANIGVEQKYDRRQADVNSLMLLLALLCHLLPLLFGYSGAPISLTKDSSLHFSRASSIVMLIAYFVYLIFQLFTHRELFEAEEDDESGENGSEEQAVIGCWSAVSWLIGMTLIIAFLSEFVVATIEEASDSWGLSVSFLSIILLPIVGNAAEHAGAIIFAFKNKLDITLGVALGSSTQIAMFVVPLCVIVAWIAGVEMDLNLNLLETGSLALAIIATSFTLQDGTSHYMKGFILLLCYIVIGACFFVQRSPLNQVDIITLKSTTDAVLSA >OIV99593 pep chromosome:LupAngTanjil_v1.0:LG13:814440:817040:-1 gene:TanjilG_17403 transcript:OIV99593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRREERVRKAEEIVEKAMKSQDASHDASHARRVRHIALSLASEEGLSSDSDSMHIVELAALFHDIADYKYIRDPSEEKIVENFLNEEGVEENIKSKILKIIKGMGFKEEVAGNGNTECFPEFGVVQDADRLDAIGAIGIARCFTFGGSRNRLLHDPAILPRSDLSKEQYINSEQQTTINHFHEKLLKLKDMMKTKAGQRRAERRHKFMEEFVKEFYEEWNGLS >OIV98875 pep chromosome:LupAngTanjil_v1.0:LG13:9796629:9798550:1 gene:TanjilG_21706 transcript:OIV98875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHNYIARSDDHIGHQMLKDIQTIGSAYDRYLHSGQPSSLTSGETSTTSALGLGRGGGGFPGYPLADPSAMGHHGGDGRGLTPNGRGVNYGGQLPVDAAYRPGPETVPLPPDASNTLYVEGLPSDCTKREVAHIFRPFVGYREVRLVSKESKHRGGDPLILCFVDFENPACAATAMSALQGYKVDELHPESSHLRLQFSRYPGPRSGSGPGPGPASRGKR >OIV99001 pep chromosome:LupAngTanjil_v1.0:LG13:7374942:7381923:1 gene:TanjilG_29404 transcript:OIV99001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFQRRRHHYCGQRFRRMTPVISGIAAALLVLFSLLTFFAPSPNDTVYLHSSFNVEKGDAIGTIVFRVPSSGGELDHHLWSSKNSEHFHGCSDASNKFLNAEAITKPNRYLGIATSGGLNQQRTGITDAVVAARILNAILVVPKLDQKSFWKDSSNFSEIFDVDWFISYLSKDVRIIKQLPRKGGKTLNAYSMRVPRKCNERCYINRISPVLVKKHAVQLNKFDYRLSNRLDTEYQKLRCRVNYHALRFTNPIPAMGKKLVQRMRMNSKHYIALHLRFEPDMLAFSGCDYGGGEKEQKELGAIRRRWKTLHRSNPDKARRQGKCPLTPEEVGLMLRALGYGSDVHIYVASGEVYGGEETLAPLKALFPNFHSKDTIATKKEIEPFSKFSSRMAALDFIVCDESDVFVTNNNGNMAKILAGRRRYFGHKPTIRPNAKKLYRLFLSRSNLTWEAFASSVRTFQKGFMGEPKEVRPGRGGFHENPSTCICEDAKMEKISSPIKYEKDNATKKITTNNDLDVVDDSEWPDIDDHDDHSDEKGMFNETISDYDTINSEDTELEEILSD >OIV98731 pep chromosome:LupAngTanjil_v1.0:LG13:14554897:14563600:1 gene:TanjilG_24902 transcript:OIV98731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNLPSPATKSSTTPSQEKRHRSIFEVPHNFFDSSLLLHSPHSLLSDHHFHDSHNVTLQTVEPSENDVVSGRRWTCNTCKAQFDSLQDQRSHFKSDIHRFNVKLTIAGKKIVKEEDFEVLTSELVKDYDVSSISGSDSDDDSENESQNQNRVRYKSGESLKQKLFVCLQTGQRVSVWKCLIMNMNESVLYDNEKEESKIVERLKSLTVEPRDNTRLRIVLLASGGHFAACVFDGDAVVAHKTFHRYVVRAKAGKKQSSKDASGRAAHSAGASLRRHNELALKKEVQELFAAWRHYFDASNCIFIHAPSSSRLLLYDGERSYFTNQQSAIRNIPLTVRRPTFREAKRVYSQLTQVSYEADEKETLQSNQEDIVSIPNTIRNSSPPLRKGNMDELDHKDKAETFSIKQNDKLPISIDGESENELCDKSTPLHQAAHSDDSEKVMELLEQGLDPCIKDERGRTPYMLAHEKEVRNAFRRFMASNPDKWDWHAAKVPSALTKEMEESQAAKQEVQELFAAWRHYFDASNCIFIHAPSSSRLLLYDGERSYFTNQQSAIRNIPLTVRRPTFREAKRVYSQLTQVSYEADEKETLQSNQEDIVSIPNTIRNSSPPLRKGNMDELDHKDKAETFSIKQNDKLPISIDGESENELCDKSTPLHQAAHSDDSEKVMELLEQGLDPCIKDERGRTPYMLAHEKEVRNAFRRFMASNPDKWDWHAAKVPSALTKEMEESQAAKQAEKDAKRKARAKELKKLRKAKEKKAQDKVGNFVRKHVVPIMGKVVVGAAVVCATTATALVVEAALPKNASKPVEKQVTPSTSTTGQSRPKSGLKLSKEEELQRVLAEEREKRAAAAERRIAALKIQSSSATTAPSISEPRSGIANDIHCSCCNSSLAGKVPFHRYNYKYCSTSCMHVHKEILEDE >OIV99691 pep chromosome:LupAngTanjil_v1.0:LG13:146541:152672:-1 gene:TanjilG_17501 transcript:OIV99691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLSQKLHEAFKGTVERITGPRTVSAFKEKGVLSVSEFVIAGDNLVSKCPTWSWESGEPGKRKPYLPPNKQFLITRNVPCLRRAASVEEEYEAAGGEVLLDDEDNDGWLATHGKPKEAKSDEDENLPSMESLEISNKSSIKQISSYIGGDEEDDIPDMTEFEETDNIIETDPATLQSTYLVAHEPDDDNILRTRTYDVSITYDKYYQTPRVWLTGYDESRMLLQPELVLEDVSQDHAHKTVTIEDHPHLPGKHASIHPCRHGAVMKKIIDVLMSRGVEPEVDKYLFLFLKFMASVIPTIEYDYTMDFDVGSSSSLFLCASGVTTDSNEECVYILYVKTGSIINGGTNSKISITLADSTGLSVLITNLTQWGLMGSTHDYFERGNLDAFTGRGPCIDSPICRLNLTSDGLGSQHGWYCDSVEVTSTGPHKGCSQTTFYVDRWLALDVPPYQLNAIIDNCLPLPPHHNNFII >OIV99574 pep chromosome:LupAngTanjil_v1.0:LG13:935361:937204:-1 gene:TanjilG_17384 transcript:OIV99574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKKGVKAPVSASKKKPEKITNPLFEKRPKQFGIGGALPPKRDLTRFVKWPKTVQIQRKKRILKQRLKVPPALNQFTKTLDKNLATSLFKILLKYRPEEKAEKKERLLKRAQAEADGKPLEAKKPIVVKYGLNHVTYLIEQNKAQLVVIAHDVDPIELVVWLPALCRKMEIPYCIVKGKARLGTVVHKKTASVLCLTTVKNEDKLEFSRVLEAIKANFNDKYDEYRKKWGGGIMGSKSQAKTKAKERLIAKEAAQRLT >OIV99190 pep chromosome:LupAngTanjil_v1.0:LG13:4018893:4022328:1 gene:TanjilG_19686 transcript:OIV99190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLFYSHQQCITVADFVWHLKKGMMKDKSKSKCGSPTHQSMKEKEKRLVEKIQAILTNLQSARKENRANDIVIFEEQMAQLLNEWKAELESPATSFADGSLDSFAAQLLQAIEEKDDATSPLTKPGPLKTDLNLDNIDDSNYSFLQEKCFDDNQPLDHTFIGSASTVYNTAFSNSYMTQLDYHPFTLNQNLDHTIIGHDSDLIGQINFNQHHNPEIKYLETTEFIFDEGFDCSQFFGEDDATKGGEEVIPNILPSICPPPSAFLSPKCALWDCFRPAQGLICCLDYCSSGHEILANNEGLPGTTPILRPGGIDVKDGPLLAAVRAKTHGKEVGIPKCEGAASTKSPWNAPELFDLCFLEGETLREWLFFDKPRRAFESGNRKQRSLPDYNGRGWHESRKQVMKEHGGLKRSYYMDPQPLSYLEWHLYEYEVNSHDGCALYRLELKLVDKKKSPKGKVTKESLTDLQNKMGKLTAAVISSDDGLNVKGKTITKSENVGPPEN >OIV98947 pep chromosome:LupAngTanjil_v1.0:LG13:8763917:8769431:-1 gene:TanjilG_07382 transcript:OIV98947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSLGMSKMLGNPINLSGATRAVPSPSKSSSFKTVAFFSNFTKKKAAPSPKQKPSAVVSPADDELAKWYGPDRRIFLPDGLLDRSEIPAYLTGEVAGDYGYDPFGLGKKPEDFAKYQAYELIHARWAMLGAAGFIIPEAFNKYGANCGPEAVWFKTGALLLDGNTLNYFGKSIPINLVVAVIAEVVLLGGAEYYRITNGLDLEDKLHPGGPFDPLGLAKDPDQAAILKVKEIKNGRLAMFAMLGFFLQAYITGEGPVENLAKHLSDPFGNNLLTVIAGSVERVPTL >OIV99196 pep chromosome:LupAngTanjil_v1.0:LG13:3931035:3941142:-1 gene:TanjilG_19692 transcript:OIV99196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSVSEDFPNNNNNTQPPNDQQVYLVPHSWWKDAQDSVPADSDETKGILYMALPGSTGPMKIINNIFSSDIVFNLRREKDLPNNGENGEVGVSGRDFALVSGEMWLQALKWHSDSKKAAKDEKGFSASDDMSDVYPLQLRLSVQKETNSLGVRISKKDNAVELFKRSCKIFCVDTEMLRIWDFSGQTTLFFTSDKSMVPTDFQKQSEEIFLELQVYGLSDSLRCKEGKKDEIGSFSGSASMKMNGASSSMNGNSLTYSSRPGAAGSLGLTGLQNLGNTCFMNSALQCLAHTPKLVDYFLDDYGKEINHDNPLGMNGEIASAFGDLLRKLWVPGASPVAPRIFKLKLARFAPQFSGFNQHDSQELLAFLLDGLHEDLNRVKRKPYAEVKDGDGRPDEEVADEYWHNHLARNDSIIVDLCQGQYKSTLVCPVCRKVSVTFDPFMYLSLPLPSTAMRTMTLTVINSYIDGNSQISPYTISVPKNGRFQDLTRALSIACSLGADETILVAEVYNNCIIRFLEDPSDSLSLIRDADRLIAYRFKKDNGDAPLVVFMNQRMEEQYVHGKLTPNWKAFGIPIVARLSNITDGFDLRNLYLKLLSPFQISTEETLGDFDVSKKTEETLLDFDVSKKTEETLGDFDVSKKTEEIAKSEGTRPPSLSPNVNGSDSPSDGGMEFYITDEKGTVKDSKILLNEPLAINGELKLLHVLVDWSDKQIQQYDTRLFNSLPEVFKYSFFTKKPQEPVSLYKCLEAFLQEEPLGPDDMWYCPGCKKHRQASKKLDLWRLPEILVIHLKRFQYSRFMKNKLETYVDFPADNLDLSGYIAYGNDKPYRYMLYAISNHYGSMGGGHYTAFVHHGGDQWYDFDDSHVHPISKEKIKSGAAYVLFYRRVSEL >OIV99241 pep chromosome:LupAngTanjil_v1.0:LG13:3497764:3501085:-1 gene:TanjilG_06546 transcript:OIV99241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIVATKNWFCKPPKTDDIVAHKLHFIITYLCQSEGNNKKLTNAIFDSGTSTGRALLQARKPCGVDFENQNYTILTSQCKGPQYPPKVCCDAFKQFACPFVDEIGDETTDCASVMFSYINIYGKYPPGLFANECKEGKEGLDCSQVKTANDSATSNSFHLAAPNSMLLMSTTAGFLGFLFHFF >OIV99610 pep chromosome:LupAngTanjil_v1.0:LG13:700037:701731:-1 gene:TanjilG_17420 transcript:OIV99610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGEITLPGFRFHPTEEELVDFYLKNMVVGKKFRYDVIGFLNIYHHDPWELPDLSKMGEREWYFFVPRDKKHGSGGRPNRTTEKGFWKATGSDRKIVTLSDPKRIIGLRKTLVFYEGRAPRGYKTDWIMNEYRLPDNCKLPKEIVLCKIYRKATSLKVLEQRAALEEETKQLIASSPSSTDTMSYNSQMEDQTMPLTSFQHVALKKEAEVEIEEMVSIPMQENTSTELMTNKENKKSCVTSIQLPLGSEILPELQLPMMTTDWTQDSFWAQLNSPWLQNFTPTYSNILNF >OIV99706 pep chromosome:LupAngTanjil_v1.0:LG13:22304:34371:1 gene:TanjilG_17516 transcript:OIV99706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTATEINAQYYQQESAKLRQQIQMLQNSNRHLMGDALSTLTVKELKQLENRLERGITRIRSKKHEMLLAEIEYLQKREIELENENLCIRTKIGEVERVQQANMVSGQELNAIQALASRNFFNPSIMETGAAYPQPDKKILHIGCAVKERMEVLKIDVDVDVDDNDNDNDDEGFDWEAAAEEIDVVCQSTSKVHILPNSNSNSNFKEEEEEEGPTPHPIDPHAAKTWIYPVNVPLRDYQFDITHTALFSNTLVALPTGLGKTLIAAVVMFNYFRWFPHAKIVFTAPSRPLVIQQIEACHNIVGIPQQWTIDMTGHLSPSKRALFWKTKRVFFVTPQVLEKDIQSGICFLNHLVCLVIDEAHRATGNYSYCQAIRQLMAVPVQLRILALTATPGSKPQTVQDVIDNLHISKLEYRSETDHDVISYIHNRKIELIQVAMGQDAEQINNSFLEVIRPIVARLTGIGAIQNRDYRTLSPCVLLEMREKFRSRQDLAHVNYGDVEGYFGVLITLYYIHKLLSSHGIRPAYEMLERKLKQGFFAKFMSKNEVILNARQLMQQSLSHGASSPKLSKMLEVLLEHFKINDPQNSRVIIFSNYRESVRDIMDALGGTGEPIRATQFIGQSSGKAMKGQSQKVQQAVLKKFRSGSYNVIVATSIGEEGLDIMEVDLVISFDANISPLRMIQRMGRTGRKHDGRLLLVLACEGAELKGYFRKQAKSKTINKHMRNGGINSFTFHPSPRMIPHVFKPEVQYVELSIEKFIPREKNVKDKPLQISASEDKLTVAEVDLLETYFQPTGENTCRLSLIAFPHFQTFPSRVHKVKHSCGTMMLIDMMQQLQGLVSFTGDSKTSSLQEYQCLGKSKPVAVNELDEAKKDNESSPRLTVERNLLSVNCLNLDSCHLGIQTKDSIDLTLQDSTCEGDETIPETPPSAKRSVSNKRGNAGETANLVEIETSLLLEGSCIDGMRDEELSPRLTDFILSGVVPESPIDERGHSRNKFVIRDLLPVRPHERQDVNSLSYRETEKVIIDCGTSKNVSPAVDNETQTPFLELKNRATKRGRVFLSPTGEGIPNPSLSEESHLGCGEMSVSIKPTRKFKRLRKAGDCENSMNQNNNNLVGSTANLSKTSSISDTSQYRQGRGKRKSVHGVRDFIEEEAEVSSDDSASNDEDDEDVNSFDSFIDDRTNLTAASQPEASRIDMMAIYRRSLLSQTPMSEELKFSAFSPDHVILTTSGDSSSKVMSHLQAEPTIKTPESVHINQITSEAVPPTSSSTVISHRRLTFNHSQHFPNKNLDQEFACQSKKESVDATVDVLCDDQFYNDLDLDELEAQATCLLKRKVDLSVQKQDTTIPVSHSQDLDILMSPSFDLGI >OIV99147 pep chromosome:LupAngTanjil_v1.0:LG13:4419283:4424341:1 gene:TanjilG_01122 transcript:OIV99147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRGSGGGYDRHITIFSPEGRLFQVEYAFKAVKASGITSIGVRGKDSVCVVTQKKVPDKLLDQTSVSHLFPITKYLGLLATGMTADAKTLVQQARNEAAEFRHKWGYEMPVDILARWIADKSQVYTQHAYMRPLGVVAMVLGIDEESGPQLYKCDPAGHYFGHKATSAGSKDQEAINFLEKKMKNDPSFTYEETVQTAISALQSVLQEDFKATEIEVGVVQKERPEFRNLTTDEIDEHLTAISERD >OIV99003 pep chromosome:LupAngTanjil_v1.0:LG13:7403515:7403718:-1 gene:TanjilG_29406 transcript:OIV99003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHENCKASKTGQEKETKDAPSIVNVNWQQGLNFGLFPFHFAPFSWNIEVWASLVHVHRYGCYLIIRL >OIV98536 pep chromosome:LupAngTanjil_v1.0:LG13:17654167:17660080:1 gene:TanjilG_12122 transcript:OIV98536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPDQPLLSQTPLPLSSSSSSSQIRYRNRSTSFDDFTNDNVIHHNNDVVLLSHSNFPLHQQQHQQQHQRSSSLDNHTNDVVLHTECSSTRMVSFAAMEMQQLNDTNNNNNNTTPFQISSSSSSSSSNPNPNNTTPFHKPYHNRHKSVQFEDPILHEDSSPRLIYINDPKTTNDKYDFTGNEIRTSKYTVLTFLPKNLFIQFHRVAYLYFLAIAALNQLPPLAVFGRTVSLFPLLFVLCVTAIKDGYEDWRRHRSDRNENNRESLVLQFGDFRSKKWKNIEVGEVVKIFADETIPADMVLLGTSDQSGLAYIQTMNLDGESNLKTRYAKQETTSAVSSEVCNVCGVIRCEPPNRNIYEFTANMEFNGVKFSLSQSNIVLRGCQLKNTDWIIGVVVYAGQETKAMMNSAISPSKRSRLETYMNRETLWLSIFLFIICLVVSTGMCLWLVRHKDQIDTLPYYRKRYFNDGPDNGKRYKFYGIPMEAFFSFLSSVIVFQIMIPISLYITMELVRLGQSYFMIEDGDMYDASSGSRFQCRSLNINEDLGQIRYIFSDKTGTLTENKMEFRRASVHGKNYGGSLLTADNSTAAAAVIRKRRWELKSEIAVDSELVTMLHEDSNRDERIDAHEFFLTLAACNTVIPILNGGGGFSSVATSESNEDIVGIDYQGESPDEQALVSAASAYGYTLFERTSGHIVLDVNGEKLRLDVLGLHEFDSVRKRMSVVIRFPNNVVKVLVKGADTSMFSILANDSETNNRIHHATEGHLSEYSSVGLRTLVIASRDLSAAELEKWQNAYEDASTSLTDRTAKLRQTASLIECNLKLLGATGIEDKLQEGVPEAIESLRQAGIKVWVLTGDKQETAISIGLSCKLLTPDMEQIIINGTSEVECRNLLGEAKDKYGVRSSDIGKKNLKHKTNADISHDSMSLSLPKWNPVKEEGITAPLALIIDGNSLVYILEKELEPELFDLATTCRVVLCCRVAPLQKAGIVDMIKSRTDDMTLAIGDGANDVSMIQMADVGVGICGQEGRQAVMASDFAMGQFRFLKKLLLVHGHLNYQRVGYLVLYNFYRNAVFVLMLFWYILCTAFSTTSALTDWSSVFYSLIYTSVPTIIVGILDKDLSHKTLLKYPKLYCTGHRQEAYNMQLFWITMIDTVWQSLVLFYTPLLIYKDSSIDIWSMGSLWTIGVVILVNVHLAMDINRWVLITHAAIWGSIIITYGCMVVIDSIPVFPNYW >OIV98531 pep chromosome:LupAngTanjil_v1.0:LG13:17714277:17720444:1 gene:TanjilG_12117 transcript:OIV98531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGLRIHGYALAVLFCFIILVAASQRIHPSEGNALIEIKKSLIDPKGNLRNWNKGDPCVSNWTGVWCFDKVGDDGYFHVQELYFMTLNLSGSLAPQLGQLSHLEILNFMWNNLTGTIPKEIGNLTSLTLLLLNGNNLSGTLPDELSNLSNLSRLQVDENQLSGPIPESFANLINVIHLHINNNSFSGQIPPNLSKLPNLMHLLGDNNNLSGYLPPEFSTLKNLRILQLDNNNFSGTGIPSTYANLSMLVKLSLRNCGLQGAIPDFNSIPELSYLDLSRNLFIGHIPSNKLSDNMTTIDLSNNYLNGSIPQSFSYLPHLQKLSLENNNLSGFIHASIWQNITFSMNSKLLIDLRNNSLLDVSGSLNPPANVTLRLSGNPICKNSNMQNIGEYCELEEHVSKEQANSTLVCPVQACPMDNFYEYAPSSPVPCFCAAPLRIGYRLKSPSFSYFPPYRTYFQSYITQSLNIAFYQLSIYTHAWEEGPRINMYLKIFPKYNDSHSSVFNESEVRRIEGIFTSWKFPRTDFFGPYELLNFTLLGPYEHLINDSERSKVSKGVLVAVILAAVAFVLAICAIILLLISRRRIKYFHKLPNKSSSISIKIDGLKAFTFKELAHATNKFNSTNKVGRGGYGIVYKGILSDETFVAVKRAEEDSLQGQKEFLTEIELLSRLHHRNLVSLIGYCNEAGEQMLVYEFMPNGTLRDWISDKSEKKESLSFGMRLQIAKGAAKGILYLHTEANPPIFHRDIKASNILLDSNFIAKVADFGLSRLAPYLDDEGDFPKHVSTVVKGTPGYLDPEYLLTHKLTDKCDVYSLGIVYLELLTGMQPISHGKNIVREVNVARESGMIYSITDKKMGFYPSECLDKFLSLALSCCQDNPELRPSMLDVVRELEDIIAMLPETYYTCIFSDITTDNSGKVAPSSSSLASASSNVTREDQQQYMSSYVLGSDLVSGVVPTIVPR >OIV98908 pep chromosome:LupAngTanjil_v1.0:LG13:7760818:7762786:1 gene:TanjilG_07343 transcript:OIV98908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQLWETLKEAIVAYTGLSAPTFFTLLAVLIAFYYVVSSLFGSSDQNTHARSRNFVPEMEPLKPPVQLGEISEEELKAYDGSDAEKPLLMAIKGQIYDISQSRMFYGPGGPYALFAGKDASRALAKMSFEEKDLTGDISGLGPFELDALQDWEYKFMTKYVKVGTVQKTVPATEGEAQSSAEPSESTSGDAEADATKKPTEDGPSDASKKPTEDGPSDASKKTTEDGPSETPAVKSDETPSNVDAVIE >OIV98956 pep chromosome:LupAngTanjil_v1.0:LG13:8860977:8863415:1 gene:TanjilG_07391 transcript:OIV98956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEGNVKLNNYKVGTLPTLIYIPDFVTDSEQSFLLNNIYGAPTSKWKPLKNRRLQNWGGVVHEKGLLPQVLPPWLINLTQKIYEESGLFPSAINHVLINEYLPNQGIMPHQDGPAYFPVVAILSLGSPAVMDFTPHPRLKLDPQDVIDKDSDGGTFEVGKDKWLDDHYPFSVLLMPRSLLIFKDMAYSDYLHGIKDCAVHCYDGVVNETEALKHRESDRHFLSDRHFFSSSEEAVETIATEEYQNISRASNRVSLTCRLVPKVHKNLFRF >OIV99272 pep chromosome:LupAngTanjil_v1.0:LG13:3159336:3159893:-1 gene:TanjilG_17082 transcript:OIV99272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKTVKWSWSSALVGAASAVAASAILSAKPKDPTFHLISISFTSFKLNLPLLDADLLLTVHVTNPNIVPINYSAATMSIFYHGSLLGSAQVQAGSQPPRSCQLLRLPARLNGLQMAQHAVTFVSDLAKREMVIDATVDIGGTAKVLWWDHSFVIHVDSHITVDPVFLDVIDQENTSELEVFAASA >OIV99082 pep chromosome:LupAngTanjil_v1.0:LG13:5713885:5719445:-1 gene:TanjilG_32341 transcript:OIV99082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTVSITAANPSAARRRPVLATDKKTTTNIELLPLDSVASPSAAAATSGAGDGRIPTSTGTARDLSHHSIRGEAVIERSAKDLTPAKKSSVNGVVAGGATRRARKPNGKGEKARWATVLSIFAKNFVLFVVLLGLVHLIRRVVLTSDDGVAGGYAGLSEIEGRISDVEGLLKRTTKMVQVQVEVVNKKIEDEVGGLRKELNEKIDEKGAILETGLKKLEAKNGELERYLNDLKAEDWLSKEEFEKILEGLTNEEGNDYKGRDLDEIREIARGMIEKELDKYAADGLGRVDYALASGGASVVKHSEPFDTAKGNWFLSAARNGVHRNAEKMLKPSFGEPGQCFPLKGNSGFVQIKLRTAIIPEAVTLEHVAKSVAYDRSSAPKDCRVSGWLQGRNTDALVDTEMMYRLLEFTYDLEKSNAQTFNVLNSASSGLIDTVRIDFTSNHGSPHTCIYRFRVHGHEPDLVSVMALQS >OIV99459 pep chromosome:LupAngTanjil_v1.0:LG13:1649927:1650091:-1 gene:TanjilG_17269 transcript:OIV99459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPGMFMRKPDKAAALKQLKSHAAMFGTWVVLIRVTPFILHILHGEKDELKLEL >OIV98962 pep chromosome:LupAngTanjil_v1.0:LG13:6749336:6752776:1 gene:TanjilG_29365 transcript:OIV98962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLKVPEIVPSPTHDSERIRKAFQGLGTDEKDLILVLGHRNSKQLKEIRETYQQLYNESLIDRLHSELSGDFRNAIILWTEDPAERDAKLANAGLKAKRKGIKELQILVEIACASNPNHLVAVRKAYCSLFHCSLEEDIVASVSQPLRKVLLGLVSSYRYDKVTVNLEVAKWESTKLYEAINTKKLDDDHIIWILSTRNIFQLRETFASYKHLYGNTLEQGIKNCGKGDMESLLNVVVWCINSPEKHFAKVVRESIIGLGTDEDSLNRGIVTRAQIDLLKIRFEYANMYKSSLDDDVIGDTSGEYKDFLLTLLGKGPKGL >OIV99708 pep chromosome:LupAngTanjil_v1.0:LG13:8219:12254:1 gene:TanjilG_17518 transcript:OIV99708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEHDEEERYEEEEEGNGGDSYPHDSKTHHASRDRDYDRDSSRSSREKEKEKEREKGREKDRKREKERDRDHRHRDHRDRREREREKGRDKNDDDDYYRRRDYDRRKDYDREDKHKRRSRSRSTSPLRDRSQHRSRSRSKSKRISGFDMAPPPSAMLAGASTITGFSGQITGANPAIPAVFQNMFPLATSQPFSALPVMPVQAMTQQATRHARRVYVGGLPPTANEQSVATFFSQVMANIGGNTAGPGDAVVNVYINHDKKFAFVEMRSVEEASNAMALDGIIFEGAPVKVRRPTDYNPSLAATLGPSQPNPNLNLAAVGLIPGSAGGLEGPDRIFVGGVPYYFTENQIRELLETFGPLRGFDLVKDRETGNSKGYAFCVYQDLAVTDIACAALNGIKMGDKTLTVRRANQGANQPKPEQENVLMHAQQQIALQKLMFQPTLVATKVVCLTQAVSPDELKEDEDYEEIIEDMRQECSKFGTLVNVVIPRPQPDGELTAGVGKVFLEYADTDGATKAFTGLNGRKFGGNQVQAVFYPENKFGQGDYEG >OIV98920 pep chromosome:LupAngTanjil_v1.0:LG13:8125477:8126247:-1 gene:TanjilG_07355 transcript:OIV98920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGDSTPPYHPTAKTFKPSSFFNLAKKTNLYSLLALLCIISYLFGAYQTTTPTSTTTKTQSTKCPQTTVTSTTHLDFISHHNATTTTTTTSKQYPPCAIKYSEYTPCEDHDRSLRFSRKNMIYRERHCPEKNELFKCRIPAPHGYRNPFPWPESRDLAWYANVPHRELTVEKAVQNWIRFDGDRFRFPGGGTMFPLGADKYIDDIGKLINLRDGSIRTAVDTGCGVCYPFLFTTVTILTYMSFHSDILIEKIYSN >OIV99282 pep chromosome:LupAngTanjil_v1.0:LG13:3069836:3074724:-1 gene:TanjilG_17092 transcript:OIV99282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSNFKEQAIEFVKQAVQEDNAGNYAKAFPLYMNALEYFKTHLKYEKNPKIKEAITHKFTEYLRRAEEIRSVLDDGGPGPASNGDAAVATRPKTKPKDGEGGDGEDPEQAKLRAGLNSAIIREKPNVNWNDVAGLESAKQSLQEAVILPVKFPQFFTGKRRPWRAFLLYGPPGTGKSYLAKAVATEADSTFFSISSSDLVSKWMGESEKLVSNLFQMARDSAPSIIFIDEIDSLCGQRGEGNESEASRRIKTELLVQMQGVGNNDQKVLVLAATNTPYALDQAIRRRFDKRIYIPLPDVKARQHMFKARQYVHLGDTPHNLAESDFEHLARKTDGFSGSDVAVCVKDVLFEPVRKTQDAMFFFQNHEGMWIPCGPKQQSAVQITMQDLAAKGLASKILPPPITRTDFDKVLARQRPTVSKSDLDVHERFTKEFGEEG >OIV99584 pep chromosome:LupAngTanjil_v1.0:LG13:861740:863918:-1 gene:TanjilG_17394 transcript:OIV99584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIGKLGGSIQGPIFQSLSIPAISELLSKRHWSDLKTHLRTVKPAVLLDQLLNAGVDSELVFRFFRWSQKELRLSYDLETTGKVLHFLTNSKSYSKVRSLLHSFVKNEKHTASSVFHSFLSCGGRPSASVIIVDMLVLEYVRNLEVHSALEAFKRAQDSGFKLSLNSCNPLLSALVKENEIGNMEYVYKEMIKRRIQPNLITFNTFINGLCKAGKLNKAKDVIEDMKVWGFSPNVVTYNTLVDGYCKKGNAGKMYKADAIMKEMLANKICPNEITFNTLIDGFCKDENVLAAKKAFEEMQRQGLKPNLVSYNSLINGLCNNGKLDEAIALWDKMASSGLKPNIVTYNALINGFCKNKMMKEATKLIDDITTQGLVPTAITFNTLIDAYCKEGMMEEGFALSSSMLDEGVLPNISTYNCLIAGLCRKQNIIAAKALLNDLGSKGLKADTVTYNILIDGQCKNGESRMAEGLLNEMRNVSLKPNHVTYNTLMDGYCMEGNLKAALNVRRRMEKEGKRGNVVTYNVLIKGFCRRGKLEDANRLLNEMLEKGLIPNRTTYDIVRLEMLDKGFILDIDGHLYNISGMS >OIV99067 pep chromosome:LupAngTanjil_v1.0:LG13:5530150:5542778:-1 gene:TanjilG_32326 transcript:OIV99067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPGAVTLDDVNLDEVSVDYVLNCAKKSKMVELSEAIKDYHDHTVLSQMSDTSPVGEFYLVTDSEPSCSPPKRAPTPVPISTVAVSSPPPIAEPSPNASRSESFQSSQEEELTVDDIDDFEDDGDALVLESFWEERTTNDAYDLAVELPSFSTGVTDDDLRETAYEVLLACAGATGGLIVPSKEKKREKKSSFIRKLRRSISGSIISQSQVSPGLVGLLETMRVQMELKVLEEGLVHHPAVGFGECGHKTNELRILLAKIEESEFLLSSTGELQRKECLRSLREIAISLVERPARGELTGEICHWADGYHFNVRLYEKLLLSVFDMLDEGKLTEEVEEILELLKLTWRVLGISETIHYTCYAWVLFRQYAITSEQGILLHAIEQLKKIPLMEQRGQQESLHLKSLRSKVEGEQDMSFLQSFLTPIKRWTDRQLGDYHLHFSESSATMEKMVAVAMITRRLLLEEPGTTMRSFPVSDVDQIELYISSSVKSAFARALQVVERVDMSHEHPLALLAEELKKLLKRDSGTFMAVLSQRHSQAAVVSASLVHKLYGNRLKPFVDGAEHLSKDVISVFPAAESIEQFVMVLITSVCQEHNAKILFKKLNLYQIETKSGTLVLRWLNSQLGRILDWVERAIQQEHWEPISPQKRHAGSIVEVYRIVEETVDQFFALKVPISFTELNSLFRGIDNVLQVYANSVVNDLASKEDLIPPVPILTRYSKEARIKAFLKKELFDTRMPDETTPNEISVIATPTLCVQLNTLYYEIIHLNKLVDSIWERWTSKRSQEKLIKKSLDEKSKSFSQKDILDGSRKAINAAMDRICEYTVQELNQLCDIVVEPLRDRIVMSLLQASLDGLLRVILDGGSSRVFFPGDAKLLEEDLEVLKEFFISGGDGLPRGVVQNQVAHVRHVIKLHDYETQELVEDLKCASGMEMQGGKCKLGADFKTLLRILCHRGDSEASQFLKKQFKIP >OIV99501 pep chromosome:LupAngTanjil_v1.0:LG13:1360157:1360633:-1 gene:TanjilG_17311 transcript:OIV99501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSGTSSGSSLLQNSGSEENLVSLIDQRKRKRMLSNRESARRSRMRKQKHLDDLVSQVDQFRKENNQILTTLNITTQQYLSVEAENSVLRAQVGELSHRLESLNEIIDFLNARTGVFGDSAASGNFNIEPSDNFFNPMNMAYMHQPIMASSEMLQY >OIV98930 pep chromosome:LupAngTanjil_v1.0:LG13:8377152:8380401:-1 gene:TanjilG_07365 transcript:OIV98930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGGMSIVDHGDGEEQNMAAWLVAINTLKIQPFNLPSIGPHDVRVRVKAVGICGSDVHYLKTLRCADFIVKEPMVIGHECAGIIVEVGSQVTSLEPGDRVAIEPGISCWRCDPCKQGRYNLCPDMKFFATPPVHGSLANQIVHPADLCFKLPQNVSLEEGAMCEPLSVGVHACRRANIGPETNVLIMGAGPIGLVTLLAARAFGAPRIVIVDVDDHRLSVAKNLGADDIVKVSTNIEDVADEVIQIHKAMGAGIDVTLDCAGFNKTMSTALNATQAGGKVCLVGMGHSIMTVPLTPAAAREVDVIGIFRYKNTWPLCLEFLRSGKIDVKPLITHRFGFSQKEVEEAFETSARGGNAIKVMFNL >OIV99577 pep chromosome:LupAngTanjil_v1.0:LG13:919384:920259:1 gene:TanjilG_17387 transcript:OIV99577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQSQQQRYLIDAIWLSRFGASFTEHANDMQQLERKRDDYFSELLKYNSKYGSFINPYESIAAPLPPPPLSPPLQPPPLPLPPPPPRLVQSHKRTLWSEEEHNLFIDGLRQYGRGKWKSISTNVLPSKTPSQIASHAQKYFLRQAASEKKRRSIHDDNNINNINNNKMMMIEPNHNHNCHAIVPIEPQELPHAATTTNAETMNTLAYTHATTTATNAEALNSLVAEQIDDAHAATTSANPVNSLGQEIEINDDIDWDSIDWNVKQEFQFQEDWDFINIEEEVHNQFMNFIA >OIV99104 pep chromosome:LupAngTanjil_v1.0:LG13:6161679:6181480:-1 gene:TanjilG_32363 transcript:OIV99104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLCTHFLNCHFPASSSGVAQRLSPSCCPETNAAQVSIREVKTTDGACYLTLEVSKSKLQSSFWSFLEKYGYRYEGSNIRALLPCEAKEIIKRISHETKKKLAGKGYFPQDGYVLKYLPVPPNCLSVPVASDGISVMSSDPSITTLRRLLRKVEVIKSSRSGEPNFESHQLEANELQSVFDLYLQVRGTSKAARDIETHYGVNKELNESSTKAWLEKMRTLFIRKGSGFSSRSVITGDAYKKINEVGIPVEVAQKITFEERVNIHNLSYLQKLVDEHLCLTYKEGVSTYSLREGSKGHIYLKPGQIVHRRIMDGDTVFINRPPTTHKHSLQALQVYIHDDHTVKINPLICGPLGADFDGDCVHLFYPQSLAAKAEVLELFAVEKQLLSSHSGNLNLQLTSDSLLSLKMLFKTCILDRVAAHRLAMFLTQPLPRPAVLKANSGDAWTAMQLLQCALPSSFDCAGGRYLISHSDIVEFDFSRDLLPTMINEIAASIFFAKGPQEALKFFDVIQPFLMENIFADGFSVGLQDFSISQARKRIINRSIGKVSPLLYQLRSIYNELVAQQLEKHIQDVEKPVINVALKSSRLGDLIDSKSKSAINKVVQQMGFLGQQLFERGRFYSRELIEDIASHFHTKCCYDGDGYPSAEYGLLKGCFFHGLDPYEEMVHSISTREILVRSSRGLSEPGTLFKNLMAILRDVVVCYDGTVRNVCSNSVIQFEYGIQAGDTTQHLFPAGEPVGVLAATSMSNPAYKAVLDASPSSTSSWELMKEILLCKANFRNEPIDHRVILYLNDCCCGRSYCIEHAAYAVKNQLRKVTLKDISVEFIVEYQQQRNRKDSSEIAAGLVGHIHLDEVMLKKLKVNLDEVLQRCQERLNSFNRKKKVNQIFKRTELDFSESCYCSHHSALCVKFLWPDGDHSDLDKTANIMSDIICPVLLETVVKGDPRISSANIIWVNPDTNTWVRNPSKSPKGELALDVILDKAAVKQSGDAWRIVHDSCLPILHLIDTRRSIPYAIKQIQELLGISCTFAQAIQRLATSVKMVAKGLLREHLILLASSMTCGGNLVGFNKGGYKALARQLNIQVPFTDATLFTPRKCFERAAEKFHTDSLSSVVASCSWGKHVAVGTGSKFDVVWNAKEIKSSENRGMDVYNFLYMVKDFTSGEEEKNACLGEDIDDLLEDENMDWGMSPQHTSGFDDAVFEENCEVPNSSTSNGWDINANKNETKSNDWSGWGSNKAEGVSENAKEDSWSSGKQKTDVVKEDSSRSNAWDANTKKTETKSNDWLAWGGNKSEIQDVGFKRAEEDSWSSGQRKANVAQEDSSKPGAWDANTNQAKTKSNDSLDWGGKKSEIQDGGSGRVQEDSWNSGQRKASVIQDDSSKPGAWDAKANQAKTTSNEWSGWGGKKSEVQGGGFDRSQEDSGSSWKWNAESRSNAWESNFNKSSENPAWGSQNESNQAASSQGWDSQIASANSGPDKNFQWGKPKSSESQSWDSKNKSNQAASSQGWDSQVASANSDSDKSFQWGKQGRESFKKKRFESSQGRGSNAGDWRNMTRPPRPPPPMYTPEEQEVRKDIDSIVQSIRRIMQQEGYNDGDPLASEDQAFVLENVFEHHPDKETKMGDGIDYVMVSRHSNFQESRCMYVVLKNGKKEDFSYRKCLENLVRKKYPDVAESFNDKHFRKPRGRAGGDQAPTAPLRASTETNQAPRTPWPTSTETNQAPTSSWPTSTESNQAPTSSWPTSTESNQAPTSSWPTSTETNQAPTATWPTSSEANE >OIV98708 pep chromosome:LupAngTanjil_v1.0:LG13:14968493:14969263:1 gene:TanjilG_24879 transcript:OIV98708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSELHSIPRISLRQHEELCSPKGSPFCASESSSVNGLGLLRTRLSCASSVPLSPTLVSSPERELSHVWNESEKFANSISQRKQQWEIPVFSLPSAPPSHRVSLSLSTLAPLLPRRKQWAAISALVVGQPVAGTRPPKLTPLSRPFVLLNPTTKVSHVELPISSQNCEGN >OIV99515 pep chromosome:LupAngTanjil_v1.0:LG13:1262388:1263539:-1 gene:TanjilG_17325 transcript:OIV99515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIAKALKPTSLQREFIFQQTHCKDILCFNVANNVVAPEDFSIDDLLDFSNVKEVHGNYEENEEKDSALESQNYREDDRNSNSTVTGGSHSIFAIEFPVPDDDLVELEWVSHFVDDSRSELSLLNHVPSEQPRAWAKPKTEPGLSKPLLLPSVVPVKPRTTRSRKPNNRLWFFNSMLSESLPLMLACEPPKKKQKKKIETQTSGDQLQQCCNHCKVQETPQWRTGPLGPKTLCNACGVRFKSGRLFPEYRPACSPTFSSDIHSNRHRKVLEMRRMKEVDGPETGLDRVQMVLS >OIV99444 pep chromosome:LupAngTanjil_v1.0:LG13:1726110:1727115:1 gene:TanjilG_17254 transcript:OIV99444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKQIHEIKDFLLTARRKDARSVKIKRSKDVVKFKVRCSKYLYTLSVFDTEKADKLKQSLPPGLTVQDL >OIV98973 pep chromosome:LupAngTanjil_v1.0:LG13:6973830:6974030:1 gene:TanjilG_29376 transcript:OIV98973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQLFFTIAFSAAPLVLYIPPIRSLNLFVETMEDMCRESRTYTNRVYPRLREAWGRIMDCILCNTR >OIV99460 pep chromosome:LupAngTanjil_v1.0:LG13:1645246:1645678:-1 gene:TanjilG_17270 transcript:OIV99460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKLFGNSDGFGDTLEFHISTGRWILSSCKMPNSPTRNTADYFCWRLGIIDSHIICSLLSS >OIV99435 pep chromosome:LupAngTanjil_v1.0:LG13:1793448:1800099:-1 gene:TanjilG_17245 transcript:OIV99435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHNSKKKKKKGGSGRSSGRTPSKGKDHVSPFTVDDDNDLSEEITALCAIFEDDCNIVSGSQIAIKLRPYFHDMGFYDSHVSALLLVRFLPGYPFKCPKLHITPENGLSQSHADQLLSLLLDQANLNAREGRVMIFNLVEAAQEFLSGIQPIAKSTDSKVLHSVNEGNEELLLKDIASSNRNRSFVYGFIDLFSGYGESWNWGFGIDESPGKSSPLPPSKLDASKRSLEAREKKSGSKEKPSILQELPAKLETVGEVSEDDNNSTSLTNSSRHLVEDFVENGNEDSIADDYATEDNENMYASESSESSSSASLTHHQASQTVEKDLMMVHLLRLACASKGTLAESLPQIATELYNLGILSDRAHDMASKPPSIFNKTFDRLFQKRLASSGVSQFWKPSSDLGGSNTVPNSSRYLNDFEEIRPLGRGGFGHVVLCKNKLDGRQYAVKKIRLKDKSMPDRILREVATLSRLQHQHVVRYYQAWFETGVVDSYGDAAWGSATPLSSTFSYKAASTNDALGHENQLESTYLYIQMEYCPRTLHQMFESYNHFDKELAWHLFRQIVEGLAHIHGQGIIHRDLTPNNIFFDARNDIKIGDFGLAKFLKLEQLDQDMGHPVDTTALSIDGTGQVGTYFYTAPEIEQGWPKIDEKV >OIV98610 pep chromosome:LupAngTanjil_v1.0:LG13:16902129:16903556:-1 gene:TanjilG_23049 transcript:OIV98610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHYPIAISLFKRFERMRINPDNFTLNILLNCYCHLDKMDYAFSVLAKILKLGYEPNTITFNALINGLCLSGDIKKALQFHDTVIGLGYPLNAITYGTLINGLCKIGQTEAATQLLRKIESKVMKPNVVMYNTIIDSLCKDKLITRALHLYSEMVVRGISPDIFTYSSLMYGLCVVDRLKEATDLLSDMVMKNIDPDIYTFNTLVDAFFKEGRVTEATSLLAVMIKAGVKPDVVTYNSLIDGYCLVNEVSKAKHVFSTMPQMGATPNVQSYSILINGYCKLKMVGEAMNLFKEMQRKSITPNTVTYNSLIDGLCKSGRLSHAWKLLDEMHDRGQPANVITYNSLLDTLCKNHQLDEATALLKKIEGQGIQPNVYTYTVLIHGLCNVGRVETAKQVFQHFLIKGYHPNVRICNAMIWGLCKVDSFDEALALKSKMEENNCLPDAVTFEIIIVALLEKYKNEDAEKLLSEMIARGLL >OIV98612 pep chromosome:LupAngTanjil_v1.0:LG13:16923301:16926079:-1 gene:TanjilG_23051 transcript:OIV98612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMASSPRTVEEIFKDYSARRIGVVRALTQDVDEFYGLCDPDKENLCLYGHPNETWEVTLPAEEVPPELPEPALGINFARDGMNRRDWLSLVAVHSDSWLLSVAFYLGARLNRNERKRLFSLINDFPTVFEVVTDRKPIKEKPTVDSGSKSRGSTKRSSDGQVKSNPKFDEEYEEEEEEEDEHSETPCGSCGGKYYKTSEFWIGCDICQRWFHGKCVKMTPAKADSIRHYKCPTCSLRRGRP >OIV99169 pep chromosome:LupAngTanjil_v1.0:LG13:4245403:4251142:1 gene:TanjilG_19665 transcript:OIV99169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRDPGAPADSFYEVRPECTDVPKSRFRIKAGKTLSARKWHAAFSPEGYLDIGKTLGRIHRGGIHPSIRGEVWEFLLGCYDPKSTFEERTEIREQRRLKYAALKEECRQLFPLVGSGKFITAPVITENGVPIHDPLVLLETNTDNGVIAPPQDNNAGGTMGPSSTGANVEKVTDKKVIQWMLTLHQIGLDVIRTDRTMVFYEKKENLSKLWDILAVYARVDTDVGYGQGMSDLCSPMIILLNDEADAFWCFERLMRRLRGNFRCTDNSVGVETQLTNLALITQVIDPKLHEHLEHLGGGDYLFAFRMLMVLFRREFSFCDSLYLWEMMWALEYDPDLFNLYEDTDDEKSEESKGRLKSIRHYGKFERENMRNGAKNAEEAPLPISVFLVASVLKEKSAILLQQARGLDDVVKILNDVNGNLDAKKACISALKLHKKYLKKAKKP >OIV98757 pep chromosome:LupAngTanjil_v1.0:LG13:14013829:14018924:1 gene:TanjilG_24928 transcript:OIV98757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENEVTEDKHQPQQQHVIEEVEEEEEDDEISCSSDSEIGEALDWLDTKDDEGMVDASFSFQSRRPNANGGHHSNLHSSTFQPLSNRNQKFSHHIRASPLEEWEGRMNVGMSNSVTTAIRESVRHMAIGKTKTTEKADRATVEQAIDPRTRMVLFKMLNRGVFRDINGCISTGKEANVYHATKSDGHELAIKIYKTSVLVFKDRDRYVQGDYRFRNGYCKHNPRKMVKTWAEKEMRNLMRLKAAGLRCPTVYLLRLHVLVMEFIGKDGWAAPRLKDANLSSDKLREGYFEMIIAMRTLYQKCKLVHGDLSEYNILYYEGHLYIIDVSQAVDPDHPHALDFLREDCVHVSDFFKKNGVAVMTIRELFDFIVDASITDDVVDSYLEEVQQKILARDVSAEDEIADSVFVQSFIPKTLEDVKNAEEDVQHIASGKDTGDLYYQTITGLKHALSKAQPSSQNNQQPKKPNLEEDTPLTSDGKSSLLEDEAESQSDDDEDIESGSEDDESNESEFDTPVDKKAARKENKKKVKEEKREARKHKVPKAVKKRKKKLSKAQKTR >OIV99535 pep chromosome:LupAngTanjil_v1.0:LG13:1178229:1180187:-1 gene:TanjilG_17345 transcript:OIV99535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFRLFSSKSCYLFTRAFHAGERFSNPSAEDIVFKAICVNLKHKKWNALDQLAPKLSNSLVNRVVCEFQNSPQLALDFYNRVAEWFPHSLDSDCTLVHVLVKSRRFDDALFLMQNLISEDGTPPLELLEALIGTYERCSSSTAVFDALVRACTQAGATEAAYDVICKLRTQGCWVTVHAWNNFLNHLLELNDIDRFWKLYKGMSSFGYLENVNTYNLVIYALCKECRLVEAISVFYRMLKAEIFANVVSFNMIIDGACKIGDLDLALKLMKKMTLMTGNFVGPNSVTYNCIINGFCKNGRLVLAEEMVHEMVKAGFKANVRTYATLMDGYARWGSLEEALRVCDEIVERGLVPNTVVYNSILYWLYRDGGIEEASLLLSDMVDKHIYPDQHSYAILMEGLCRNGYVTEALTLHKQILEQNVIKDSFSLNILLNYICKSKNLAVAKQLLCIMITRGLVPDVYTYGTVIDGYCKLGNTENALRVYDGMVKMGEKPNLTIYNSVINGLCKMASADVAKLLVEELLERKLFDAITFNTLISGYCISGWIGEVINLTREMKRLGISANRVTYNILINLVCKYGYDEEAKELMKMMIMQGIRPDFITYTTLVTHFIKKCHPEEVIALHDYMILKGVVPDKKTYDAIVSPLLLGKGRDT >OIV99070 pep chromosome:LupAngTanjil_v1.0:LG13:5558110:5558859:1 gene:TanjilG_32329 transcript:OIV99070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPPLSPVIEGDSPATTIATTKEKRLATPRLPISLQPANNKSKHDSKSTKFYRKFRSVFRTFPIIVPSCKMPTVNGNRTNEAFIHGGTRITGTLFGHKKARINLAFQENPKCLPFLLLELAIPTGKLLQEMGVGLNRIALECEKHQNNEKIKIVDEPIWTLFCNGKKTGYGVKREATDDDLNVMQLLHAVSMAVGVLPDDMSDPNDGELSYMRAHFERVVGSKDSETYYMMMPDGNNGPELSVFFVRV >OIV99564 pep chromosome:LupAngTanjil_v1.0:LG13:996104:996670:1 gene:TanjilG_17374 transcript:OIV99564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKQMVMMILVISAIAEWTQSLKLNAGNWGASFEVETKKETVTNLQFYFHDTLSGKNPSAVQVAQPIDKNRSFSTLFGEITMADDPLTETPDPKSKLLGRAQGLYASSCQQELGLMMALSYSFIDGPYNGSSFTILGKNSAMNPVREMPIVGGTGLFRLARGYALAKTNWFDPTTGDAIVGYNVTLFH >OIV99264 pep chromosome:LupAngTanjil_v1.0:LG13:3224591:3230016:-1 gene:TanjilG_17074 transcript:OIV99264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVPSFNIWLVNKYPNVVVRAKEDVNTDTHMPNPNGIEFDNLYLDVNGIIHPCFHPEGDNEIEEDRLRKQFERKGKQVLPKQESELLDSNIITPGTEFMHELSMALQSYISSRIASNSWKDIMVILSDANVPGEGEHKIMSYICAQRNVPNYDPNTRHCLYGLDADLIMLALATHEPHFSILRDVLPEIFCCYCESYECKWLQFMHVWILREYLELDMKIEDPPENFKFDFEKIIDDLVFMCFFAGNDFLPKMPTLEINEGAIDLLMTVYKKEFKKLGGYLVDMSKIDEKKAAFVKLSRVEKFILMVGTYEEQIFRKRSEIRERKLRRLIRDLQDAEQDKEHCFSYNDNESSSDCALVIKKDMASNNSSPSYDELLQNTKDLKELLKSCIIEQNDLFKTGKFPHDKIKLGTTGWRQRYYKEKFSVEGSIDMESKRKDILQKYTEGLLWVLQYYYSGVPSWTWFYPDNYGPFASDLKGMGQVRVNFSVGKPFLPFDQLLSVLPPSSAHALPSAYSQLMLSEESNILDFYPLDFEFDTEGKRFMGQGICKLPFIDETRLLAETKELHKGLSENEASRNSVKVDTLIVRSNSILAEKICSLSREPNPYFKLNTCRSKDNGWIRSLCHECVGKPCDQLKFFDNLQEDYVPCLCYNLPRGSSQVPRLLSGVKLPEKTISDGDIMETILWHEKGTWNFNRHWGAQKFSPIAKNPVRRFSANSSSEVLHKDAGIGWGSGRGKTYNNTNMKRTDMVQNERLHQHEDSRPYHVNAIRDRRSQPYVENFRQVRISDASQSLRPNGGTQFPTSASGFTNQSGNVSHQGSHSGTMPYGRGQYGYNTSMSTQRSGSKVFHVKDQNRW >OIV99208 pep chromosome:LupAngTanjil_v1.0:LG13:3756664:3761075:-1 gene:TanjilG_06513 transcript:OIV99208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFFLKVKDPLGSMVKGRILLLLVFGLWISTEGVGMKETSPSSTVNSTVSSRPKVVKIGALFTYNSVIGRSAKPAIIAAVKDVNSNRNVLPGIELQVILRDTNCSGFLGTVEALQLMENEVVAAVGPQSSGIAHVISHVANELHVPLLSFGATDPTLSALQYPYFIRTTQSDYYQMNAIVEFIEYHRWREVIAIFVDDDNGRNGVSALGDALSKKRAKISYKAALSPEASQSDISNLLNEVNLMESRIYVLHVNPDSGLAIFSIAKKLQMMSSGYVWIATDWLPSMLDSIVSAHTGTMNILQGVVAFRHHIPDTDLKKSFISRLKSIKDKETESFNSYAFYAYDSVWLAAHAIDVFLNEGGNISFSSDPRLHDTKGSMLNLAALRTFDGGDQFLHTILRMNFTGLSGQIEFDTDKNLIHPAYDILNIAGTGLRTIGYWSNHSGLSVLAPETLYTKKSSNTSSTSNQKLSSVIWPGDATTTPKGWVFPNNGKPLRIAVPNRVSYKEFVAKDNNPPGVQGFCIDVFEAAINLLPYPVPRQYMLYGNGDRNPNYNDLVDQVAQNNYDAAVGDVTIVTNRTRYVDFTQPFMGSGLVVVVPVKEEKSSPWAFLKPFTAQMWCVTGAFFLFVGTVVWILEHRFNPEFRGSPRKQLITVFWFSLSTMFFAHRENTVSTLGRLVLIIWLFVVLIINSSYTASLTSILTVQQLSSQIEGIDGLISSTQPIGIQDGSFARKYLIEELHIAESRIVTLKNMQGYIDALQRGPKYGGVVAVVDELPYIETLMSSTDCKFRTVGQEFTKSGWGFAFQRDSPLAVDLSTAILQLSENGDLQRMHDKWLKKMECSTNDVDSNKLSLRSFWGLFLICGIRLKRVMKFNQPSIEEHPKLKASRT >OIV99526 pep chromosome:LupAngTanjil_v1.0:LG13:1197282:1197554:1 gene:TanjilG_17336 transcript:OIV99526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFHFPLIRRASFTSNQASTKVANVPKGYIAVYVGENTKRFVIPISYLNQRSFQDLLGQAEEEFGYEHQMGGLTIPCREDEFLDITSQLQ >OIV99523 pep chromosome:LupAngTanjil_v1.0:LG13:1204916:1206547:1 gene:TanjilG_17333 transcript:OIV99523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSEDKDRKNLGKKLKDVEISIPIVYGNIAFWLGKKASEYHSHKWTVYVRGSTNEELGPFIKRAVFQLHSSFNNPTRVVNSPPFELSESGWGEFEIAITIYFHSDICDKPLNLYHHLKLYPEDENSSMSTKKPVVVECYDEIVVPDPSEAFLARAQSHPAVNFPRLPAGLTLPPPIPVEDASKRRKGDTKDHSLCQWFMNFSEADELLQLAAARQQVHLT >OIV99385 pep chromosome:LupAngTanjil_v1.0:LG13:2185169:2187786:-1 gene:TanjilG_17195 transcript:OIV99385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQGLSCRGSHEHGLFRAVQHGDLETFATLLETCPSLMHRTTVYDRHSPLHIAAANGQIQILTMLLNGSVNPDVLNRQKQTPLMLAAMHGKIACVEKLLEAGANVLMFDSVYGRTSLHYAAYYGHSSCLKAILSTAQSSPVAASWGYARFVNIRDGRGATPLHLAARQRQPECVHILLDSGALVCAPTGRYGCPGSTALHLAARGGSLDCIRPLLAWGADRLQRDTSGRIPYIVALKHKHGACAALLNPTSAEPLVWPLPLKVISELNPEAKALLERVLIDANKEREKNILKGTAYSLPSPMHSDVVDDNISEVSETELCCICFEQVCTIEVQDCGHQMCAQCTLALCCHNKPNPTTACLTPPLCPFCRSTIARLVVIQMEESRDDIDQDVLDINGSKISKSWKSRNLNEGDSSSFKGVTTIGSFGKMGGRSSGRITAENEWVDNKQQ >OIV99321 pep chromosome:LupAngTanjil_v1.0:LG13:2653348:2654088:1 gene:TanjilG_17131 transcript:OIV99321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNPIWYHCWVQERQQLRNLKLKDSFKIEVKALLRYYLPINDPNSHSPIIDTISLFQTSITLPCKQIFEINHKDFLRKYLVNSSYATLLTYGSPHQIENQSCEIMAQLRELYISHASSHGFTKSSNLRGKSFGCDVNISIDVPINTSDEELGAQEQLSMQEGVKMVPASHKDVQSLKTYKLPQQCQICLEKFYGEKEKADDDDDVEITAMPCGHVFHHHCIIQWLQTSHMCPLCRSPLSTDNKRKR >OIV99004 pep chromosome:LupAngTanjil_v1.0:LG13:7418220:7418540:-1 gene:TanjilG_29407 transcript:OIV99004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMVHQHDRGNIAKVHQHDQGTMALTHEVVHDIGRGTMALVHKPNRGMQGLAHGSDRMTLALAHRSDRRTLALAHGTDRVEMTLAHKTRLMTLTEIIKQKSENGQS >OIV99136 pep chromosome:LupAngTanjil_v1.0:LG13:4279600:4284694:-1 gene:TanjilG_01111 transcript:OIV99136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVETPINGRARLAFTIVNGGHDVSPSTAPPSNAGSDYGIIEFTREDVEALLNEKAKRKDRFNYKERCENMMDYIRRLKVCIRWFQDLEMNYSLEQERLKNSLELTQQKCIEIELLLKIKEEELNSIITEMRRNCASLQEKLIKEESERVVAVESLEKEREARLNIERSQTTLSEDLSKAQREIQNANQKISSLNDMYKRLQDYITSLQQYNGKLHTELSSVEDELKRVEKEKFAALENITMLRSQLTLSISSQDEAIKQKDTLVSEVASLRGELQQVRDERDRQLSQAQTLTFELEKLKESRESSCTELDNLTLKANELEANCSLKDNQIRELQEQLAIAEKKLQVLDISAFETRTEFEGQQKFVNELQRRVADAEYKLIEGERLRKKLHNTILELKGNIRVFCRVRPLLPDESCSTEGKIFSYPTSMEASGRDIDLTQSGQKHSFTFDKVFTPEATQEDVFVEISQLVQSALDGYKVCIFAYGQTGSGKTYTMMGRPGHPEEKGLIPRSLEQIFQAKQSQQPQGWKYEMQVSMLEIYNETIRDLLSSNRLSSEATRLENGTPGKQYTIKHDASGNTHVSDLTVVDVNSIKEVGFLLNQAANSRSVGKTQMNEQSSRSHFVFTLRIYGVNESTDQQVQGILNLIDLAGSERLSKSGSTGDRLKETQAINKSLSSLSDVIFALAKKEDHVPFRNSKLTYLLQPCLGGDSKTLMFVNISPDPTSVGESLCSLRFASRVNACEIGTPRRQTHARSTDSRLSYF >OIV99157 pep chromosome:LupAngTanjil_v1.0:LG13:4534798:4535244:-1 gene:TanjilG_01132 transcript:OIV99157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTSSSFPFHTPILNNPHPHHHNHLLLPLSSTLTFHHSHTLSFLHPLPTPPATTLILRAKPPTTDKSSEQKWVHEGLITESLPNGMFRVRLDNQDLILGYVSGKIRKNFVRILPGDRVKVEVSRYDSSKGRIVYRIRNTSSGGGGSTS >OIV99098 pep chromosome:LupAngTanjil_v1.0:LG13:6122951:6124321:1 gene:TanjilG_32357 transcript:OIV99098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAKLSTKVLNICIASICKSKQLGKAENVLIDGIRLGVLPDIVTYNTLIDAYCRFVSFDAGYAVLYRMNEAGINPDVISYNSLISAAARKCLLSKSLNLFDEMLQRGIHPDVWSYNILMNCLFKLGKPDEANRIFMDIVLGEYSPSPATYNVMINGLCKNGYVNNALALFRNLQRHGFIPQILTYNALINGLCKSRRMGAARKILKEFGELGYEPNAITYTTVMKCCFQCGKFEEGLEILHEMRSKGFTFDGFAYCTVIAALIKTGRIQEANEIIEQMQSNGIEPDLVSYNTLINLYCRQGKLDDALKLLDEIEKEGLECDKYTHTIIIHGLCMAGNFVGAQQHLNYMNTLGFGFNLVAVNCMLDGLGKAGHMDHAMKLFEAMEVKDSFTYTILVHNLCRAGRFLSASKILVSCLKCGFKILRATQRAVIDGLISIGYTNEVRKLKSKIRIARVLH >OIV98622 pep chromosome:LupAngTanjil_v1.0:LG13:16535486:16542427:-1 gene:TanjilG_12745 transcript:OIV98622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPTREFVKSVKRVVVKVGTAVVTRSDGRLALGRLGALCEQLKELNTKGYEVILVTSGAVGLGRQRLRYRRLANSSFSDLQRPQGDDHDGKACAAVGQSSLMALYDTMFSQLDVTSSQLLVNDGFFRDSAFRKQLSDTVNSLLDLRVIPIFNENDAVSTRKAPYEDSSGIFWDNDSLAGLLALELKADLLVLLSDVEGLYSGPPSDPKSKLIHTYIKEKHQSEITFGDKSRLGRGGMTAKVNAAVCAAYAGTPVIITSGYVTNNIMRVLQGERIGTVFHKDAHLWINTKEVSAREMAVAARDSSRRLQVLSSEERSKILLAVADALENNESTIRSENEADIAAAEGAGYEKSLISRLALKPEKISSLAKSVRMLADMEEPIGQILKRTELADNLTLEQISCPLGVILIIFESRPDALVQIAALAIRSGNGLLLKGGKEAKRSNEVLHKVITSAIPDTVGDKLIGLVTSREAIPDLLKLDDVIDLVVPRGSNKLVSQIKDTTKIPVLGHSDGICHVYIDKEANIDMAKQIIKDAKTDYPAACNAMETLLIHKDLSTNGGLDQLVVELKNEGVELYGGPRASALLNIAETCSFHHEHSSLACTIEIVDDVFAAIDHIHQHGSSHTECIVTEDSEVAEAFLRQVDSAAVFHNASTRFCDGARFGLGAEVGISTSRIHARGPVGVEGLLTNRWILRGNGQVVDGDKGVTYTHKELALKV >OIV99039 pep chromosome:LupAngTanjil_v1.0:LG13:5244840:5245692:-1 gene:TanjilG_32298 transcript:OIV99039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHSIGWSLGVTHNHVKLMNLQDEKVAIKEEGAKEDMGVELYQSGSTLPDCSHACGSCIPCKRVIVS >OIV98806 pep chromosome:LupAngTanjil_v1.0:LG13:12068003:12077837:-1 gene:TanjilG_25052 transcript:OIV98806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGVCSRSKKGAIDGVAVDNAMSESTGRGNGHSNNETGMTYQNVNGNSPLPPVDNDMDRKEQESFSSPGMEKVSFRLSGGDMNDGIPILSKASLHKSRSTKSKQAALLKVSEMSSLFGRASTVGLGKAVDVLDTLGSSMTNFNLSSGFTSGAATKGNKISILAFEVANTIVKGVNLMQSLSKENITHLKEVVLPSDGVQTLISSDMNELLRIAAEDKREELKIFSGEVVRFGNRCKDPQWHNLDRYFQKLGSELTPQKHLKEEAQMVMQQMMTLVLYTAELYHEMHALDRFDQDYRRKLQEEDNTSATRTGDSIAILRAELKIQNKHVRNLKKKSLWSKILEQVIEKLVEVVHFLHLEIHEAFGIADNVKEAKCSQGNHKTLGSAGLALHYANIINQLDTLVSRSSSVPPNTRDALYHGLPPNVRTALRSKLKLFQVKEELTVPQIKAEMEKTLQWLVPIAANTTKAHHGFGWVGEWANTGSEINHKPGLTGLLRIETLHHADKDKTEAYILELVVWLHHLVSQTRVTNGGIRSIKSPIRSPNDKTRPLLAQKAYSTSPTLTVEDQQMLLDVGKRKLTPGISKSQEFDTAKYRLSKHHRLCKSSNHSPISETKNETFYTRRSSVPVIDFDIDRMKALDVIDRVDSIGSS >OIV98668 pep chromosome:LupAngTanjil_v1.0:LG13:15829052:15830644:1 gene:TanjilG_12791 transcript:OIV98668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEKVKKKKGSKIDESNSGSGFELELNKGKKRKHKEGKKKHGTDEIVDLSEAQEKNCTGEVTERQTDSIDGNRETENHINKKKKKKKRKECDALLDGMQEVDASKPSKKGKMTEDNEFQNNEHDLPLVKDNEAEGDDQGKKKKKSSGKSKLTDKSKINECDQDLAKSSLTEDDDQGKEMKKELSGKGKRKESNDFNEHEQGKKRKAKKKTKASTTESPSPAPGSTSKPKRVTFSDKVEVCSDDIVVRGIRFTPEEDEKIKEAVFSYIKSHDLGDEGLDMVLHCRLHPEVKDCWKEIAATLPHRPHGSVYNRAHVLFERDEKRNWTEEEVEFIKNVQKQHGSDWKSIAEALGKSRFHVKDTWRRIKLDTAKTGHWTQEEYQNLFDLVNLDLRVRALEESKQSRHGMLRDNISWEAIAGKLETRTSQRCCKKWYEQITSPMVADNMWSDTDDYRLINALFTLDACCFEEVEWDYLLDHRPGDVCRKRWNEMVRHIGELVGKSFVDQVEILAKRFCPELLEAREAFDSKPVIN >OIV98794 pep chromosome:LupAngTanjil_v1.0:LG13:11795033:11797961:1 gene:TanjilG_25040 transcript:OIV98794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRFSNTVIGFLNLFTLLASIPIIGAGLWLARSTTTCENFFQTPLLVIGFVVLVISLAGFIGACFHVAWALWVYLVIMLLLIAALFGFTIFGFVVTGQGGGVEVPGRVYKEYHLHDYSTWLRNKIIKDGVYWSTIRSCIMGSKTCAKIAYWNNLDYMNRDMSPIQSGCCKPPTSCNYYNMETMLSQDPDCYRWNNAPTLLCYECDSCKGGVLENIRRDWHKISVLSVVMLVFLIAIYSIGCCAFRNTRRSETDYQHGENRMTKIKPRWDYHWWRWWHDKKEQLF >OIV99138 pep chromosome:LupAngTanjil_v1.0:LG13:4308855:4312114:1 gene:TanjilG_01113 transcript:OIV99138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTTLSSYSNGEEAQDHRTPLLPPLSKPGELEKLSIDEMLQKYCGEFGRWQLRHFILTNLAWALEAFHTMVMIFADREPEWRCRNGAAGFGCDASAKSVCQLEPGSWEWIGDKAATTVAEWGLVCGDKFKVGLVQAVFFGGCMIGAGIFGHLSDSSLGRKGSLIVVCILNTIFGTLTSFSPNYWTYVLFRLLTGCSTGGVGLCAFVLATEPVGLQMRGVAGMCTFYFFAGGIVILAGIAYIFQTWRNLYLATSLPSLLFIVLVLPFISESPRWYLIRGRIKEAMNVMSNIATSNGNHLPQGVLLTLDEESSSSSPLSSSTNLDQAYLEQVKDKDAVTGSLLDVIRSPLTRTRLFLAVVINFLCSVVYYGLSLNVVNMETNIYLNVVLNAVAECPAFLVTALLLDRVGRKPLTIGTLWFSGFFCFIGALVSNVGVWKGVKMVCGILGIFGMAGTYNLLFIYTTELFPTVVRNAALGCATQAAQMGAILAPMMVVLGGWLPFGVFAFCGIAGGIFAFYLPETLNQPLYDTMTGIEG >OIV99416 pep chromosome:LupAngTanjil_v1.0:LG13:1941244:1944791:1 gene:TanjilG_17226 transcript:OIV99416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEEVRTLWIGDLQYWIDESYLSHCFSHTGEVVSIKIIRNKITSQPEGYGFIEFVTHAAAERVLLTYNGTQMPGTELNFRLNWASFGVGERRPDAGPDHSIFVGDLAPDVTDFLLQETFRANYLSVRGAKVVTDPNTGRSKGYGFVKFSDENERNRAMSEMNGVYCSTRPMRISAATPKKTNTLQHQYAPPKAIYPIPVAYTPPVSTVPPDYDINNTTIFVGNLDLNVTEEELKQIFQQFGDIVSVKIHAGKGFGFVQFGTRASGEEAIQRMQGKMIGQQVAQISWSSTSSARQDIPGGWGPQVDPSQWSAYYGYGQGYDAYAYGATQDPSLYAYGAYAGYAQYPQQVEGAQDMSAMPVPTEELYDPMAMPDVDKLNSAYLSVHANAILGRSLWLKTSSSPQQA >OIV99589 pep chromosome:LupAngTanjil_v1.0:LG13:830494:832550:1 gene:TanjilG_17399 transcript:OIV99589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVSNCNSITEIPNVSGAKSLRELKLDGCKNLVIVDESVGFLPNLVYFSASGCFQLQSFVPEMYLPSLEYISFNLCRSLEHFPEIAGTMDKKPLKIYLMDTSIEELPDSIGKLTGLEYLEMTSCSRLRCLPSTLFMLPNLVTLKVGGCPRLPKSFRRHEVSNSVRTLHFNGAHVSGEDLPMIIHSFPKLEDLNMSSNCFAYFPACIQDSISLKCLDVSQCRRLEEIPELPSSVQKVNARDCYSLNAETLDMLWSQVRKKINRLEIVMPIGREIPEWFNQEQVGGVPIFKARAKFPVVGVAFAFKRLNGNRTSPHWETVRLQLFIEDELVSRKQNQTFYVEDNHVLLCDLRVMFSDEEWNDLNTRLGHDWKTVQVLCETSMTLIWWGVYAYVGETNMDDIKFTTSQDYHPIVRQSQVPEISEEDKVRNMIESINVPLGFEGFMRELRADEERGLNEYDQSLLANIAKMSKWAIKLREGKSGGLSPGEEDEEEGRSMLEWVAKTTLEGEERRQRKEAAAVSYHVPQYHDDDETTIMERQLYEGGIMMRKRLYDEMVQANMTCGPSYVPQYREDDDGDDEVIIVDGEIYDHLVQDNMIRPSQDHVANVAGPSYVLQYHEHGISPRGSLDIGESSRQRSQDDDDDVIKVQYYIFDFTKQ >OIV98788 pep chromosome:LupAngTanjil_v1.0:LG13:12984460:12988301:-1 gene:TanjilG_15760 transcript:OIV98788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSLPSLVFEVNRKEPELVAPAKPTPHEVKLLSDIDDQDSLRFQIPVIQFYRYDPSLAGKDPVDVIRKALAKTLVFYYPFAGRLREGPSRKLMVDCSGEGVLFIEADADVTLNQFGDALQPPFPCWEELLYDVPGSQGVLNTPLLLIQVTRLKCGGFIFALRLNHTMSDAASLVQFMTAVGEMAQGATEPSILPVWRRELLNARDPPRVTCTHREYEQVPDTKGTIIPLDDMAHRSFFFGPTEVAAIRHLIPPHQHKCSNFEILTACLWRCRTIALQPENDEEVRIICIVNARSKFNPPLPRGYYGNAFAFPVAVTTAGKLSENPLGYALELVRKAKADVTEEYMHSVADLMVTKDRPHFTVVRSYLVSDVTRAGFGDVDFGWGKAVYGGPAKGGVGAIPGVASFYIPFENAKGEDGLVIPVCLPSEAMERFVKELDNVLKNNHVQQPMSSLIVSSL >OIV98625 pep chromosome:LupAngTanjil_v1.0:LG13:16466496:16468304:-1 gene:TanjilG_12748 transcript:OIV98625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFKNNINETVSSFSTTFVFAIRSEYPNLSGHGIVFVVSPTKGLPNSLPSQYLGLFNETNNGNSTNHVFGVEIDTILSSEFDDINDNHVGIDINGLKSEKSASAGYYDRGEFTNLSLISGNPIQVWVEYDGVKKQIDVTLAPINYNKPEKPLLSLIKDLSPILNSTMYVGFSSSTGSVLTSHYVLGWSFKINGKSQELVISELPNLPRLGDKKVSKVITIGLPLISISLVLLVTLGIVHFIKRKKKFSELLEDWEQDYGPHRFKYKDLYFATKGFGENELLGSGGFGRVYKGVMPISKIEVAVKKVSHESRQGMKEFVAEIVSIGRLCHRNLVPLLGYCRRRGELLLVYDYMPNGSLDKYLYNKPRVTLNWSQRFRIIKGIASGLFYLHEEWEQVVIHRDIKASNVLLDSELNGRLGDFGLARLYDHGTDPQTTHIVGTLGYLAPEHTRTGKATKSSDVYAFGAFLLEVTCGRRPIEQVRECESVILVDWVFDCWKRGEIIEAKDQNLGHDYRLEEVELVLELGLLCSHSEPLARPSMRQVVQYLEREVTLPDLSLLTLSSNGLTFGHHENFQDFPMSYPSSMDRSFSHNSSVSESLLSGGR >OIV99010 pep chromosome:LupAngTanjil_v1.0:LG13:7491276:7492434:-1 gene:TanjilG_29413 transcript:OIV99010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKGVEPLCESAENTLLVLKLPVTPLPTRSGSGFITHSARPKRGVSGPQGISVTTVRVSCDDGNYARRTTGEEEKEEGGGGVGSRRHLSHLVVGATEALPPRPNRVQAGTHVILAAKALNGGTAVARQH >OIV99648 pep chromosome:LupAngTanjil_v1.0:LG13:443083:448653:1 gene:TanjilG_17458 transcript:OIV99648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYSKNWVMSDMAFLLVVPPEYGRKFVKHSIIQNTDMRIESGTCNVCAAPCSSCMHHNQALTGSKVEESSDENHHLGEASQYSMDDGDGPSSSTSRPSKIANGSHQTNADRITISYSSASISHLGAEGSGSGPSVDLPEILSSNNADILENLSECCIENVDSSLTKERAPIIVSGEESLADKDSPVIGTAKVSLDILPKTEDTDNVVRKAEDEYHKCSVHDGLHEKAGELVKSQSEPEPHSEDESDTTNSVEDGKACDICGDAGIEDKLVICSNCSDGAEHIYCMRQKLEKVPEGNWLCEECKYAEETENQRLDNEGEKHHKDSSTSQISGKRSSDRVEVGITAKRQALESNIVSPKASSPKKMVSLSRESSFKSLDKGKVEPGHQIPIRNNASGGDIELARSLSAGPRNQPTKSTFLKSNSFNLTSKPRVKLVNGVVPQKQKAGGEHTSKNMEAPAWIVKKSTSSISSNLHRSTSTESKVKMLSPKSESSQDLKGSRLAKKSGAYDRKLPSRIGRTVVSSTMTTSVGPNTKGEQKVTPCGVTAKPSAVNSNQEVKVNQDVKLSSSSKSTSNINRKSLEAQVISERTSTRVDETQQDGISHSQEATCSSKEDMHKGKRLKAVTQEEVWHRRPEIYKKKEMPDQTDVYHTSGTDWNCEFTSRDQMLVSNTLKNSKSAEETNVKQEILENSTFETSKCLSANGLRQLNLCPTDSCSQLGKPDSFGSSYEKPVVRVLPNEAWEVSNVLSKMFAIPEYEYIWQGVFEVHRSGKPPDLYAGIQAHLSICASPKVLEVVNKFLPKVTLTEVSRLSSWPSQFHQGGAKENNIALYFFAKDIDRQGLLDHMIRNDLALKGILDGVEFLIFPSNQLPENSQRKESSRLLLNNLPWRNHSDSVENICIPSLNVMPIEKDFPASVMILSEPCCSPKRMDEESIACGKACSALRPSTFLDESHIMVSRNFDTEGIDATSNISKNKLSERINNDEDQGRPNRKRLEDDLNINMEATFQGDLTVKEVNSQQPNDKKNQRIDLSDTVMQAPVVTCQRMPWNEVNGKFEGGESSSKKLKTDTSGSYGFGSTGSRGSFNDRFASLTNNIGPCSSVEVKECKEVCDEKIIHEDFGTTERVFFPMKESHECDEDRFQVGIPNLNLALDDELKPPHSGMLPFFVGAAVNKTSQEKLSDVVADEPVDNDSVAASLSLSLAFPSPHKEYIKTSFKS >OIV98724 pep chromosome:LupAngTanjil_v1.0:LG13:14693321:14694109:1 gene:TanjilG_24895 transcript:OIV98724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRTLQLDSTQRITLALKLSSMLFLFSSTLSANGYPTRANIFIYGGCSQEKYQPSSPFETNLNSFLSSVVSSSSQIIYNSFSVGNDSSESSEGAVFGLYQCRGDLRPIDCSKCVGRLVNQIGLVCPYTLGASLQLDGCYLRYEHYGDFLGKLDTGLRYRKCSKAMASDTDFFRRRDDVLADLQSANGFRVSSSGLVQGFAQCLGDLIVSDCSSCITDAVGKLKSLCGSAASADVFLGQCYARYWVSGYYDESGSFHSHSSMS >OIV99278 pep chromosome:LupAngTanjil_v1.0:LG13:3115060:3122445:-1 gene:TanjilG_17088 transcript:OIV99278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNQRIGQMGLSESGPSSHHVPYGVLHHGINIPTSSLMNQGSAFDFRELEEAMVLQGIKTRNDEAKASLFTGKPAATLEMFPSWPMRFHQTPRGSSKSRGESTDSGSGINTICSSKTTEPPQFETESPISKKASSSDQHHQAFDQHHHHMQQRQNQLQQQDIMTIVASRTSPSSQNQSAAKTTIQDKRKGASSTSEKPLDAKTLRRLAQNREAAKKSRLRKKAYVQQLESSRLKLNQLEQDLQRARSQGMLLGCGGTGGNISSGAAMFDMEYSRWLEDDHRLMAELRTGLQAAVSDNELRVIVDGYLGHYDEIFRLKGVVAKTDVFHLINGMWRSQAERCFLWIGGFRPSDLITMLIQQLEPLAEQQIMGMYGLRHSSHQAEEALSQGLEQLQQSLIETISGGPVVDGVQQMMVAMGKLSNLEGFVRQADNLRQQSLHQICRLLTIRQAARCFLVIGEYYARLRALSSLWASRPRETMMVDDNSCQTTTDLQMVQSSQNHFPSF >OIV98900 pep chromosome:LupAngTanjil_v1.0:LG13:7644772:7647108:-1 gene:TanjilG_07335 transcript:OIV98900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVNLFSVLQVKLNEYTCSVFQNNLKSGFFGERNELRATSVPVPFVGFSRKTIECKESRIGKQPVLVPSNVTITLEGQDLKVKGPLGELGLTYPREVLVERVESGILRIRKAVETRRANQMHGLFRTLTDNLVVGVSKGFEKKLQLVGVGYRAMVEGKELVLNLGFSHPVKMTIPEGLSVKVEENTRITVSGYDKSDIGQFAASIRRWRPPEPYKGKGIKYADEIVRRKEGKAGKKK >OIV99357 pep chromosome:LupAngTanjil_v1.0:LG13:2360268:2366493:-1 gene:TanjilG_17167 transcript:OIV99357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNNVRRGGFSEHDHILFDRKGPNHGVLNGGLGSGASTEDDTRSNKAVEIHQSFHISQQPPKGRVVGWERFLPLRSIKVLLVEDDDSTRHIVCALLRNCSYEVTAVSNGLQAWRALGDPGNHIDLVLTEVAMPILSGIGLLLKIMNHRTLKNIPVIMMSSHDAMGIVFKCLSKGAADFLVKPIRRNELKNLWQHVWRRCHSCSGSVSGSESGTYTRKSSKSRSNDAPQNSSDSCDENDHGNRSVQDGSDNGSGTQSSWTKCVAQVGSPHPASTHTQFVEATDSTCAQVIHTKPEKLSSRWAHATEKERHELIDDHLDNAAMGKDLAIGISLNIQMEQPLELSSKPIGIFKMSDVDGKQLDEGHSNVCKKGQPEYNIEKTRTQEDQAMNAVDVADSSNRQAESRDLNSPYGFFGFSQTKASCNFKQYPSLELTLKSLEDVRDAKNDTVDECNVLRHSDLSAFSRYNTASSANQTQTGNLGRCSPLYNSSMALNTDTAYDFPSHSNGTPPNQQSNGSNNRNDIASTNTYLSTKPETFDQDPESVRGVGLFNSSALQTLQNNSISPSQKKNCVQEESAETIKGQVRCSEQVLQVKHSYYKIHHYNHIAHKATPDKRSDPNDPLLKSLTTAAKQCVSSNVLGGPSESNSANFDMNGNAIETDHGRNRQDGSSNTLAIRAINVENGNVTAGIGVGGIDGRNIGNGVDEGRIALREAALTKFRQKRKERCFDKKVRYHSRKKLAEQRPRIRGQFVRHILPGEEKDKQCNMVSADNSSSVPQ >OIV99215 pep chromosome:LupAngTanjil_v1.0:LG13:3694722:3701132:1 gene:TanjilG_06520 transcript:OIV99215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSFKEELSQLIKRLTLKISNLFISLRNLDTQSIGAVAGLAVAIFFSWRLLRSPGGAQRRQRKRQSTTSASPGVSAQSNVSVAPSGVCSPSDDSRAQNVVDEFFQPVKPTLGQVVRQRLNEGRKVTCRLLGVILEESSPEELQVKGATVRSSVLEVLLEITKFCDLYLMERVLDDESEKRVLVALEDAGVFTSGGLVKDKKRVLVALEDAGVFTSGGLVKDKVLFCSTENGRSSFVRQLEPDWHIDSNPDVISQLARFIKYQLHVSPLRTEKTAANVLSAPSLEQFFGSM >OIV98779 pep chromosome:LupAngTanjil_v1.0:LG13:13287581:13301909:1 gene:TanjilG_20503 transcript:OIV98779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQFYAKIGRSYCSDLITVTDPNEKEDEIQIGFPTDVKHVAHIGSDDPSATAPSWMNEYKSESSQGTENDKLEEQQKNNSSSKGSKIRHLIPKSRHQSMENNESNDSAKNKQTRRHRSSDPSLESSSNHDSSSGGSRHSRRNRRGSNHGVESPTHDGMPPTATKPRRKSKMASSEDGGSERKPTSTRTSRRSSKGDSLTDNSFTELGSEK >OIV99217 pep chromosome:LupAngTanjil_v1.0:LG13:3675219:3677018:-1 gene:TanjilG_06522 transcript:OIV99217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPLCEFCVVVRAVVYCKSDSARLCLNCDVSVHGANALSYRHSRSVLCNKCNSQSAIVYCMDEKVSLCQGCDWNHKGCSLLGHRRQALECYSGCPSLTELPSFLSFVIDAISSCGGGLDHGWESLSTTLSSKNDTCTSKSFEQEQPNNDDGIDTFDLVSGKLNEIEECVKPEPWVEQPTTIPQQNPNHAPYTMDQTIFCPQDSNLPEECPNLKGLGISDDDDLNIDNFKLNFEKRDEIFDCSQVATRYHLDKGEIDCSLMWKKISESKYLIESAMEASSSFQQDCVAFQSSRDDSPASMMQGISNNAICEPMPPNGNENINLGFHHGQVHSSMPLSLPNITGESSTTDFQDCGLPPGFMTGEPPPWESILEASTPQAREKAKLRYFEKKKTRRFGKQIRYESRKARADTRKRVKGRFVKAGEEFDYDPRGEKSF >OIV99152 pep chromosome:LupAngTanjil_v1.0:LG13:4462278:4466445:-1 gene:TanjilG_01127 transcript:OIV99152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKKNSSKTTWSNVFRSAIVCVGGTRWKRASKCKNLIMQFQAQLVNQKNRRHSIIKHSKTDIAQILQIGSLEHALPRGLPINVAIAVANLIYTASRCGELSLLRQIRNLFRERYGVEFDFINVELSAGNLVDFELRKNLSINIVPEDEILKLFSEIAQDLNFPLGFKDLKQIFNSQCHSHYHGSDQKPEVMNDEMYKTCSDNDEAWMQPPRAVTYRAVWSTSPMSYQVESRRSSGSKDTSPMKVDNQESCSNRTSSEGMNRTPRMSIAYVDDIDEDMKNNDHHEVDQVTHTTTSKGGFWSESENVIEKPMWDVKNQKVEYKRGRKTLQIAGSRLPDCMHACGSCTPCRLVIVSLACAFHTEEAESCPISYRCMCNSKSYPVP >OIV99536 pep chromosome:LupAngTanjil_v1.0:LG13:1169592:1169882:-1 gene:TanjilG_17346 transcript:OIV99536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTGNMIAHATQKLQRTLSQRIRMASAIAGVPKGHLAVYVGENHKRFVIPISYLSYPLFKDLLDWAEEEFGFNHPMGGLTIPCSEDYFISLTSSLH >OIV99337 pep chromosome:LupAngTanjil_v1.0:LG13:2524751:2525856:-1 gene:TanjilG_17147 transcript:OIV99337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSNKEKKIVEDKKEQKNKEDKKKEQKVKEKKEVKNKEEKLNLSNGNLKQHKEKSLIALCSSDLKKQDNNAIVVNGTTSGTKPKRKREEHEDDEEDVSKTHAFPINRIRTVIKGEDPNSRVSHEAIIAINKATEKFLEQFTLDAYTRCVQERKKSLSYMHLAHVVSKERRYDFLSDFVPGKVKAEDALKERNSGGSGGG >OIV98620 pep chromosome:LupAngTanjil_v1.0:LG13:16573643:16574017:-1 gene:TanjilG_12743 transcript:OIV98620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFCFRRKKKENRHGNPVVTYGYQPTPKKKNGTFVNDFSSGGDGGVVEDQGRGQGGGGHAVKGHGGGGGGGDYGGGGDHGGGGDHGGGGDHGGGGDHGGGGHGGGCGGGGCGGGGCGGGGCGG >OIV98769 pep chromosome:LupAngTanjil_v1.0:LG13:13549651:13556617:-1 gene:TanjilG_20515 transcript:OIV98769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQSLQLLTPNPTFSFIHHPTPKPTFHSYSPIISKSNLSLRSQNHPSPSHSAVASAATDLTSIEVDAVTETELKENGFRSTRRTKLVCTIGPVTCGFEQLEALAVGGMNVARINMCHGTREWHKMVIERVRRLNHEKGYAVAVMMDTEGSEIHMGDLGGVSSAKAEDGEIWTFSVRAFDSTLPEHTINVNYEGFAEDVKVGDELLLDGGMVRFEVIEKIGPDVKCRCTDPGLLLPRANLTFWRNGSVVREKNSMLPTISSKDWLDIDFGISEGVDFIAISFVKTAEVINHLRSYIDARSPNSDIALIAKIESIDSVKNLEEIIQVSDGAMVARGDLGAQIPLEQVPATQERIVQLCRQLNKPVIVASQLLESMIEYPTPTRAEVADVSEAVRQRADALMLSGESAMGQFPEKSLTVLRSVSLRIERWWREQKRYETIAHPSIGTSHSENISEEICNSAAKMANILEVDALFVFTKTGHMASLLSRCRPDCPIFAFTTTPSVRRRLNLQWGLIPFRLSFSDDMETNLNKTFSLLKARNLIKSGDLVIAVSDMLQSIQVMNVP >OIV99431 pep chromosome:LupAngTanjil_v1.0:LG13:1822306:1829299:1 gene:TanjilG_17241 transcript:OIV99431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPKRSSQDGPGSGQVRTSDRIRTRPNIYGRPFSYYNSNIRRTRKSKNKTRTAASQIAKMLRPGNHRGQDSNTNSGSTNLRRSTRKRMINKNLEDFTDSSGHEDDDLMRPQYRTLRNRVRNSVTRDDLMSTKHKRTVQTKPEPRREGLRPRRSRAAAREQLILESDDEQDLSEDKGEQDESENGNDVEENDADDGQNEIEGDGEGEDGEDEGDEDGDDEEGEEEQDGRRRYDLRNRADVRRFSMEEGKARPRSPRRVLHQGMGTKVSRDVRKGSTRVHKRHRLARPEDSDDSLLVDELDQGPAIPWGRSGSRSGPPLAFGGLDMHGSTSWGLNLAASGWGHQGDSLTTLTSGIQTAGPSSKGGADIQPLQVDDSVSFSDIGGLSEYVDALKEMVFFPLLYPDFFASYHITPPRGVLLCGPPGTGKTLIARALACAASKAGQKVSFYMRKGADVLSKWVGEAERQLKLLFEEAQRNQPSIIFFDEIDGLAPVRSSKQEQIHNSIVSTLLALMDGLDSRGQVVLIGATNRIDAIDGALRRPGRFDREFNFSLPGCEARAEILDIHTRKWMHPPSNDLKKELAASCVGYCGADLKALCTEAAIRAFRQKYPQVYTSDDKFLIDVDSVRVHKYHFIEAMSTITPAAHRGAIVHSRPLSLVVQPCLQRHLEKAMNIISDIFPSATLASELTKLSMLSYGSAIPLVYRPRLLLCGGEGTGLDHLGPAVLHELEKFPVHSLGLPSLLADPSAKTPEEALVHIFGEARRTTPSILYLPQFDVWWETAHEQLRAVLLTLLEELPSDLPILLLGTSSVALAEVEEVPTSIFPHRSIYQAILPTTEDRTLFFDRMIEAVMSVLLEGMSKKSQDTGCIPELPKAPKLASGPKVSELKAKVEAEQHALRRLRMCLRDVCNRILYDKRFNAFHYPVSDEDAPNYRLIIQNPMDMATVLQHVDNGHYITCAAFLQDIDLIAYNGDDYNGARIVSRACELRDAVHGMLSQMDPALVAYCDKIASQGGPANLPDELRDSTFPATPVVQLGTATRMSARLRNVQPDVNVDRSYEALKRTKKNTDVVQAAEDKSQEDSVLLKSADEHQANNIESERIEPVLVDGNSHGTCTSSQSDDGNLEDVIMQDGEFSRQVDSVKELFVKRSENHNIPQLERLYMRVMKGVFEAKGKGNSGDLKHSVLDFLLKFVEDDANF >OIV99437 pep chromosome:LupAngTanjil_v1.0:LG13:1770602:1773782:-1 gene:TanjilG_17247 transcript:OIV99437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTTGNGLIVSFGEMLIDFVPTTSGVSLAEAPGFLKAPGGAPANVAIAVARLGGKAAFVGKLGDDEFGHMLAGILKENNVNADGITFDQGARTALAFVTLRADGEREFMFYRNPSADMLLTPQDLNLQLIRSAKIFHYGSISLIVEPSRSAHLKALEVAKEAGSLLSYDPNLRLPLWPSPEEAREQILSIWDKADIIKISDVELEFLTGKVDDASALSLWHPNLKLLLVTLGEDGSKYYTKNFNGSVDAFHVNTVDTTGAGDSFIGALLSKIVDDQTILEDEPRLREVLKFANATGAITTTKKGAIPALPTEPDVLNLIQKA >OIV99354 pep chromosome:LupAngTanjil_v1.0:LG13:2388172:2388393:-1 gene:TanjilG_17164 transcript:OIV99354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTSSSTPHKEKANLPPKRGQIKAQIFSSFVKFVTSKGGKVTENIGNNNSGGSAFSSSTPPPSAYNSDISSS >OIV99026 pep chromosome:LupAngTanjil_v1.0:LG13:5027916:5032162:1 gene:TanjilG_32285 transcript:OIV99026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTIAKIASSVALPFLRQFTYILLYNSYLTELESEILKLQRDEKEVRHTVEASKRSGEEIEDTVKDWFSRVHAALEEAQKFLNGEDKERVGCLDLYSKYMLSQKARNLVDLLCALRKETFDRVSYRCALKCNVSPAARGYEDLESRTKVMVEIMEALKDVKVHMVGVYGIGGVGKTAVVKELAWQAEKDGSFDVVVMANVTNNSDVKMIRDEIADALGLKFDEQTELGRASRLRQRIRQEQKILVVLDDVWGKLDLTEVGVPFGEDHEGCKLLVTSRDHNVLNAKLGAEKVYRIEGLSEDESWSLFEKKGGEAVKDLSIQPLAIKLAKSCSGLPLLIVTVIEALKNNDLYAWKDALEQLINFDFEGSYYSQVLSAIKLSYDCLESHELKSFFLLLGSMGNGYNMKDFLTYGWCLGLHKHVDTLADGRNRLHKLIDNLRDACLLLEGGRESVVALDLVRAVAASIASEVKPFFTMQRNSELKEWPRLDLLKNCHHIFLDWCYIHELPEKLECPNLKILQLNSQGNYLKVPDDFFLEMKELKVLSLGGLNCSPSLPPSLALLTNLQALNLCKCILEDIATIGELTSLEILNLEKSELKELPAEIGQLIHLRLLDLSDCPTLEVIPCNLISSLTSLEELYMGNCNIHWEIEGSENRSNNSSLSELKHLHQLTTLNMQVKDASVFPRDLLTFWKLESYKILIGDRWKWSEVESGNYKTSRVLKLNLNMDPSILLDYGIKLLMTRAEDLYLAGLKGVGEVLYELNDEGFPRLKHLNIQDSAEMQCIIGSTKWAHNDHAFPNLESLILHNLINMERICSGPLPAQAFTKLQVIKVKGCDRMEFVFLHSIVKHLSELLEIEISECKFMTKIIAEKEQEDDGETDKIEFSKTRSLILECLPSLVNLSLDPRVKATENDNGFSSELLNDRVEFPNLETLKLYSINVQKIWDEKLSAGSCFQNMTTLTVDGCERLTYLFSYSVAGKLDKLQHLLISSCKLVENIFVQDESMGHLSLARKLIHHEEVPIFPNLETFVISHMDNLKSIWPNQLTQNSFCKLKKMEITSCDNLLNVFPCHVLNKLQSLESLDVWFCNALEVVYEIEGTSQEELHIPLRTLSLGHLPKLKYMWNKDPEGSVRFQNLIMVKATKCESLKHIFPLSVAKDLQQLQVIEISDCGVLEIIAKDQGGDESAIGLVFPELASLKFVNLPELQCFCTGNHNFRFPFLNKLYMVECPAMETFCEGILRASILRKIYLTQKGDQWHWEGDLNTTVRKIFNRGMQY >OIV98768 pep chromosome:LupAngTanjil_v1.0:LG13:13505998:13507281:-1 gene:TanjilG_20514 transcript:OIV98768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRVGFKTGAEFHGRNATRNRSNSHQSLNTVITDATSSTATTITVPTKSSTSLEVSPNQPPMKPNYSSVSEKILLAKTEKIVEENNEVYEQKNVLKKIVSDGLSSLHYDSSICKTKWDKLTFYPGEYEFIDVIVKGERVLIDVDFRSEFEIARPTQTYKTILQSLPFIFVGKPKRLTKIILIISEEVKKSLRKKKMHVPPWRQADYMLAKWLSLSCVRAKQPMSFYSFVRVVVVSENRLSNGDRDDVMEENKCSKLELIFGEKTLLLEKDEREKSVLIPAWQPPMVKPKCAERKRKLVNGLASLLNGKP >OIV99143 pep chromosome:LupAngTanjil_v1.0:LG13:4362858:4365018:-1 gene:TanjilG_01118 transcript:OIV99143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEAPRDYNLLEQNNKTLDFIEDVTSNADQVQKKVLREILSLNANVEYLQRHGLNGQTDIDTFKKLVPVVSYEDVQPDINRIANGDTSPILCSKPISEFLTSSGTSGGERKLMPTIEEELGRRSLLYSLLNPVMSQFVPGLEKGKGMYLMFIKSEAKTPGGIVARPVLTSYYKSSYFRDRPYDPYTNYTSPNETVLCPDSYQSMYSQLLCGLCQNKEVLRVGAVFASGFIRAIRFLEKHWALLSNDIRTGTINSLITDTSVRESVMKILKPDPKLADFIQTECSKSSWQGIITSLWPNTKYVDVIVTGTMSQYIPILDYYSNGLPLVCTMYASSECYFGVNLNPLCKPSDVSYTLIPTMCYFEFLPVNRTNGVTDHSLQTPRSLDEKEQKELVELVDVKLGQEYELVVTTYAGLYRYRVGDVLKVAGFKNKSPQFNFICRKNVVLSIDSDKTDEVELQNAMKNAVTHLVPFDASVSEYTSYADTKTIPGHYVLYWELTLNGSTTIPPCVYEDCCLTIEESLNSVYRQGRVSDKSIGPLEIKIVEHGTFDKLMDYAISLGASINQYKTPRCVKFAPVVELLNSKVTSSYSSPKCPKWVPGHKQWVNQN >OIV98951 pep chromosome:LupAngTanjil_v1.0:LG13:8821794:8823407:1 gene:TanjilG_07386 transcript:OIV98951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNQWNLNEHVKSILQKCKHLNHLKQLQAYLITLGHSQTHFYAFKLLRFCALSLSNLHYSRLIFHHLVSPNIFLYTAMITAYASHPHTHVSAIALYRNMLRHGAEKPNQFIYPHVLKSCPQVMEPRGTELVHAQILKSGFEQYSVVETALVDSYSRSLGNVGSARKVFDEMTDRNVVSFTAMVSGYARAGDVENAVKLFDEMPERDVPSWNALIAGCTQNGFFSEGIRLFRKMVALATEEQYRFNKPNQVTVVCALSACGNTSMLQLGKWIHGYVYKNGFAIDSFISNALVDMYGKCGNLKQALKVFEMNPNKGLTSWNSMINSFALHGQSDYAIAVFEQMLKHSDGVRPDEVTFIGLLNACTHGGLVEKGYCYFEMMVREFGIEPRIDHYGCLIDLLGRAGRFDEAMEVVRGMSMEPDEVIWGSLLNGCKVHGRTDLAEIAAKKLVEIDPHNGGYGIMLANVYGELGKWDEVRNVWRTLKEQKSYKIPGCSWIELDDQVHQFYSLDKSNPKAEELYSVLESLIGFRNEVKVENYSP >OIV98873 pep chromosome:LupAngTanjil_v1.0:LG13:9743510:9747271:-1 gene:TanjilG_21704 transcript:OIV98873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEERVEGVKSIGEVGPNATYCVTGATGFIGSWLVAALLQRGYTVHATVRDPEKSIHLLSWWSGGEQLRLFKADLYDEGSFDEAVKGCDGVFHVAASMKFNVDYTKENIEDYVQTNILDPSIKGTLNLLKSCLKSNSVKRFVFTSSISTITAKDRNGKWKSIVDESCQVDIDHVWSKKASGWVYVLSKLLSEEAAFKFAKENGIDLVSVITTTVGGPFFTTCVPSSVKVLLSPITGETELSKILAAVNARMGSIASVHIEDICRAHIFLMEHAKAEGRYICRSQTSPLSILINLLGQEYSYSNIKSIAENNYDKVPCEISSKKLIDLGFSYKHGLEDIIHQTIKCCIDFGYLPPITQ >OIV99345 pep chromosome:LupAngTanjil_v1.0:LG13:2452236:2455035:1 gene:TanjilG_17155 transcript:OIV99345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGEWAKVKMCLSEFMKGAVEMAVELGKGCRDIVKQSVINQDSYINRNFGKDSYVGKRVRGPCHKLCKKFSVLNDYLPEDKDPLHACSIIFFVSLFSFAVLYVNLEHVLTTSPPMKQVFVHPPSAHCILLPDGRYMAYRERGVSADRARFSMIAPHAFLSSRLAGIPGVKDALLEEFGIHLLTYDLPGFGESDPHPKRNLESSATDMSFLADALGVNKFWVVGYSSGSMHAWAALRYIPDRLAGAAMFAPMVNPYDPIMTREERRRTWRKWTRKRQIMYFLARRCPRFLAFFYRRSFLSGKHGQIDRWLSLSLGKRDKALMEDPVYEEFWQRDVEESTRQGNVKPFVEEAALQVSNWGFGMSDLKLQKIKRSRNVLTWLKSMFIEASDQYTGFLGPIHIWQGMDDKVVPPSMTDFVHRILPGAAVHKLPYEGHFTYIYFCDECHRQIFTTLLGTPQGPLTISLEVDQTNVEANIEQQVV >OIV99200 pep chromosome:LupAngTanjil_v1.0:LG13:3894690:3897624:-1 gene:TanjilG_19696 transcript:OIV99200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGVLNSSTALRFIPSFVSSRFSVRSMSSSSSFNKIQIQRDHTTFDAYVVGKEDAPGIVVLQEWWGVDFEIKNHAVTISQLGSGFKALIPDLYRGKVGLDVAEAQHLMDGLDWQGAVQDIRASINWLKANGSKKAGVTGFCMGGALSIASSVLVPEVDAVVAFYGVPSSELADPAQAKAPIQAHFGEQDNFVGFSDVTAAKSLEEKLKASEVPNEVHLYPGNGHAFMNRSPEGINRRKSMGLADEDEAAVQLAWSRFQSWMTRYLSS >OIV99305 pep chromosome:LupAngTanjil_v1.0:LG13:2794185:2796820:1 gene:TanjilG_17115 transcript:OIV99305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPALAAMASHTVLSPFPNPFLNSSFSSLSLKLSPQSLTLSPTTSSSKPLTVAAAAKKAVAVLKGSSTVEGVVTLTQEDDGPTTVNVRVSGLTPGLHGFHLHEYGDTTNGCISTGPHFNPDKLTHGAPEDEVRHAGDLGNIVANADGVAEATIVDNQVPLTGPNSVVGRALVVHELEDDLGKGGHELSLTTGNAGGRLACDD >OIV98931 pep chromosome:LupAngTanjil_v1.0:LG13:8402369:8402710:1 gene:TanjilG_07366 transcript:OIV98931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKNMLADSASWYCAIVLLSLILLVSIRESSIPKDEDVSTSVRGRSRLHDRPCDEIYVVGEGETLHTISDKCGDPFIVDRNPHIHDPDDVFPGLVIKIISTNTNTKNNLMKT >OIV99663 pep chromosome:LupAngTanjil_v1.0:LG13:335002:340584:-1 gene:TanjilG_17473 transcript:OIV99663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESCNWVLKYASILLVLFYRSSSSTYATPISSFSPSPLELVIMNTTSLHQSYTALSDFRLINRRFLETCSAPSSSVQINISSSKSTLSNDEFVTVTVTGVKNPSEGDWVAMISPSNSNVGACPLNEAYYIQTGDIAKLPLLCHYPIKAQLLQNDPEYLSCKKKGCSGSLKFHVVNIRSDIEFAFFSGGYLNPCLVTRSNPIKFDNPKMPLYGHLSSIDSTATSMRLTWVSGDNQPQQVQYGDGKKVTSEVTTFSQNDMCSNPTIPSPAKDFGWHDPGYIHSAPGALSVIKAITDEVKSNNVNSIFHIGDISYATGFLAEWDYFLQLINPIASKVPYMTAIGNHERDYIASGSVYVTPDSGGECGVPYETYFPMPVQEKDKPWYSIEQASVHFTIISTEHNLLPNSEQYQWIQKDLASVNREKTPWLVFMGHRQMYHSGKILNEDKIFVSIIEPLLLQYKVKTWSLKRISEFGYLRGHATRTELKLELVNSGTRKVEDTFRITK >OIV99355 pep chromosome:LupAngTanjil_v1.0:LG13:2377829:2378029:-1 gene:TanjilG_17165 transcript:OIV99355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELFTETKSKNKKNGHTQQSLPPRRGQIKINILKTILKTTASFTHRHQPTSTPTTPPIPSGYTSDA >OIV98812 pep chromosome:LupAngTanjil_v1.0:LG13:12192093:12218525:-1 gene:TanjilG_25058 transcript:OIV98812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAEDLGVEAKEAAVREVAKLLPLPELLQSISSIKADYISRQQANDAQLSTMVVEQVEQAQAGLKSLSLSEKSVNQLRENFLSIEKLCQECQTLIDNHDQIKLLSNARNNLNTTLKDVEGMMSISVEAAEARDSLSDDKEIVNTYERLTALDGKRRFALAAAASHKEEVGRLREYFEDVDRTWETFEKTLWGHISNFYKLSKESPQTLVRALRVVEMQEILDEQVAEEAAEAEGDGAIASVANPHNSAIKSTSAMASQQKLKVHGKGFKDKCYEQIGKTVEGRFNKLLTVFVFEDLKAALEEARAIGDELGDIYDYVAPCFPPRYEIFQLMVNLYTERFIQFLRLLSDRANELTNIEILKVTGWVVEYQDNLIGLGVDDSLAQVCSESGAMDPLMNSYVERMQATTRKWYLNILEADKTQPPKKTEDGKLYTPAAVDLFRILGEQVQIVRDNSTDIMLYRIAMATIQVMIDFQAAEKKRLGEPASEIGLEPLCAMINNNLRCYDLAMELSNSTIEALAQNYAEQVNFEDPCKGFLEVAKKAVHQTVSVIFEDPGVQELLVKLYHKEWSEGQITEYLVATFSDYFSDVKMYIEERSFRRFVEACLEETVIVYVDHLLTQKNYIKEETIERMRLDEEVIMDFFREYISVSKVENRVSILSDLRELASAESLDTFTLIYTNILEHQPDCPPEVVEKLVGLREGIPRKDAKEVVQECKEIYENSLVDGKPPKTGFVFPRVKCLTAAKGGLWRKLT >OIV99386 pep chromosome:LupAngTanjil_v1.0:LG13:2172387:2172905:1 gene:TanjilG_17196 transcript:OIV99386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVSSNLEVFLSEKHVKEQKKDQDSTLLQEKLAKETQDKECQTQTPEKFEDRAKGQQQQQSVQERDQEQQSEVMRACLNLKLKISPYKEEDYQEDSKDGFKTPTREDHKILLILQCPPAPRKRKTLSFSKGIKAHHSGVLDFTLQEIESSLLPMDLDAAGNNKKIRNLFLEP >OIV98552 pep chromosome:LupAngTanjil_v1.0:LG13:17474801:17478992:-1 gene:TanjilG_12138 transcript:OIV98552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPRTSIGDGFRVSVSSAHSTSSAGSGLDDIESLGDVYVWGEVWSDGVSPESYGTQVSSTTDVLIPKPLESTVVLDVQQIASGVRHIALVTRQGEVFTWGKESGGRLGHGNDKDFGHPHLVEFLAVTNVDFVACGENHTCAVSTSDDVFSWGDGAYNVGLLGHGTEVSHWIPKRVCGPLEGLQVISIACGTWHSALATSNGKLFTFGDGKFGVLGHGDRESVTYPKEVQLLNGLKTIKVACGVWHTAAIVEVMFQSGSNVSSRKLFTWGDGEKYRLGHGNKETYLQPTCVPALIEYNFNQIACGHTMTLALTTSGHVFTMGGAENGQLGNPFSDGKMPLLVQDKLVGEFVEEVSCGANHVAVLTSRSELYTWGKGANGRLGHGDIEDRKTPTLVEAFKERLVKNISCGSNFTACICIHKWVSGVDQSVCSGCRQPFGFTRKRHNCYNCGLVHCHACSSRKAMKAALAPMPGKPHRVCDSCYSKLKAIEAAVAASVNKRVATSTHQLIDGRERLGHGEVKSSRLLSPMMMEPMKFLDTRNNKLGNRYDNSSSMSRASQVTSTLQLKDVTFPSSLGSIQNVLRPTITPSPPQPPPLISRPASPYVRRPSPSRSGNPGFSRSVIDTCRKTNELLNQEASKLQNQIRSLKQKSDVKDVDIQKLQKNIKESALLASEYSSKHIALKEYFEPMIKQLKDITQKLPPEILDNENMKAMVTKAEDFLKENSEDSSLPSNIELEQQNETNTTSSNNDSSKVEEQRIEENNEEHVARNDPSTQDEGNALKENNEEHVEASLENSKSSENHSKSLESSREDSEVEVQVIEQFERGVYVTLIVKSGGIKVFNRVKFSKRRFHEHEAEEWWSKNKERVLKKYSTSHPTDQVPSNTLPPPPPPTENRNIEAAS >OIV99315 pep chromosome:LupAngTanjil_v1.0:LG13:2717382:2717690:1 gene:TanjilG_17125 transcript:OIV99315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTHISLMSLYLILSLYSLDITMAARIIPRSAPSTVTRPLILSEAETFMKTNVDHKQKFIQGRQFKNCLPKGYRHNSAPSRFANYDTLGSSGCSGMRSQKP >OIV98667 pep chromosome:LupAngTanjil_v1.0:LG13:15836032:15836588:-1 gene:TanjilG_12790 transcript:OIV98667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDSSLVCSQGHENPFSNLFCIYFSAPISQSNSRIRFPTGRAPGYRSEGPRGRGGNYGNGRGHGRGGDFNVRGDHGYRNSNRGGFSNRGSYGGYQRNDHMGANGGRNDHMGANGGRNDHHIGVSGGRVNRTGGSAVNPAPARVPASA >OIV98608 pep chromosome:LupAngTanjil_v1.0:LG13:16888788:16894879:1 gene:TanjilG_23047 transcript:OIV98608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIEPSSDSPERFKLYDELELLEFQDKFVIKSHQSSNQGFWINRCDGNINLLDGDTNLESPSNTSTIYGVVGTIRLVVGTYAIVITSRKEVGSFLGFPVYHLTSMRVLACNEALRFATGQEKKDEAYFTTLLKAVESMPGLYYSYETDITLSLQIRSKLVEGWMRKPIWKQADPRFVWNRHLLEELIECKPVTFSLNSGLSFQKTELKVKDTLSTVTLISRRCTRRLGTRMWRRGANLDGDTANFLETEQLFETEDFRSSFLQVRGSIPILWEQIVDLSYKPHLRPNIVTRHFNDLKQRYGEIIAVDLTDKHGEEGQLSAAYAAEMQNQQNVRYMPFDFHCHCGSSNFDNLKILYDDISEDFEKQRYFLIDSKGNVLEEQNGIVRENCIDSLDRTNVTQCYLAEKSLNLQLQRIGLLTLSESISMFVEEFGKFRTLWAEQGDEISLEYAGTFALKGDLVRYGKQTLTGMIRDGMSAISRYYLNNFHDGDALDLISGHYNISRNSPSLQQNNFEPFSILPVASALIIGGLTATTLTLQQAGRNAHQYVSSVVYAGITAGVMAIVKANGRQLCSRPRLCGLL >OIV99109 pep chromosome:LupAngTanjil_v1.0:LG13:6242812:6249957:1 gene:TanjilG_32368 transcript:OIV99109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADIPTSSNSNSINHRPSSTSTSTTTRGGWHAAIFIIFVEFAERFAYQGIASNLVVYLIHVLNVPDTIAVKDVNTWVGVSALFPLIGAFIADSYLGRFKTILISSLIYLLGIILLTLSVSTLKHDKAIFFLALYVASVGDGGHKPCVQTFAADQFDDDIEKKQTKNSFFNWWYMGVVAGSTIPVFLIPYLQQNGWWALGFGLMVGVMALALAVFLLGIKRYKKEGPSGSPFTRLAQVFVAAARKWRVNYTTDNNKYCNEEPPNIRAPKLLHTDEYRLLDKAMIIDEVDATSKTRDPWRLCSVTQVEEVKLVLRLIPIWLSCVMFNVVQAQTHTYFVKQGDTMFRSIGPNFLVPPAVIQGLVGIITLCALPIYDRVFIPLARKFTGHPTGITVLQRIGVGNFLSILTMVIAALVESKRVSVARNHGLLNNPKAVIPMRIWWLLPQYIITGISDAFTVAGLQELFYDQMPEGMRSMGAALYISMIGVGNFVGIAVIDIMVKITSNSGGAWLGTNINRAHLDYYYWVLVVLSALSWCAYLWLAKVYEYKKVDLVDINKQISSAEDNHNHEV >OIV99043 pep chromosome:LupAngTanjil_v1.0:LG13:5258765:5262414:1 gene:TanjilG_32302 transcript:OIV99043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQQGTGRGLNNNNNNSNVDFHIPDEILSIIPTDPYEQLDLARKITSMAIASRVSSLESESSGLRNKLVEKERLIFDLKGRVSALTNACHEAEARLQNALDDNAKLSNERDQLAATAKKLSRDLAKLESLKKLMQSLTVDNPPQAETIDIGTCDQSVPKAYPNKDNDGSSYTLHHSYSGSSDVGKTIDEASRYSGQRFSLTPYLTPRLTPTGTPKVISTAGSPRGYSAATSPRKNSGATSPTKLSYDGRSSISSWYSSSQQSSAANSPPRGPALPGRTPKMDGKEFFRQARSRLSYEQFSAFLANIKELNAQKQTKEETLRKADDIFGSDNKDLYLSFQGLLNRNVKR >OIV99698 pep chromosome:LupAngTanjil_v1.0:LG13:107132:112310:1 gene:TanjilG_17508 transcript:OIV99698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSNGGVPPGFRFHPTDEELLHYYLKKKVSFQKFDMDVIREVDLNKMEPWDLQERCKIGSTPQNEWYFFSHKDKKYPTGSRTNRATNAGFWKATGRDKCIRNTYKKIGMRKTLVFYKGRAPHGQKSDWIMHEYRLEDSNDPQANNNEEGWVVCRVFKKKNLFKVGNEGGSTQQLNNNSSSDTNARSFMHRDNSHYLLHQQNLRNPSDLGFELDKPELALHYPQLQQNPHYPLFQSQQPLLQAHKPMAYDYSSYASGIPSEAPLMVKQLMTNPRDCESDNEGLRYQVSEGGMEVGSCEAATGGEEMGGGRSGEGMNEWGVLDRLVTSHLGAPVNEDSSKGVQLQLDPFLSELTSMFERSTEKGSVWVTLKRSSLKSKVQRNKLAADGEAIECRCLIRATDGKKTISASVGAKDHQRFQASYALILKAHMTALKKRERKDKKKSAEVDKREGTSKRTKKS >OIV99268 pep chromosome:LupAngTanjil_v1.0:LG13:3205007:3207478:1 gene:TanjilG_17078 transcript:OIV99268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKTRTTSPSMTTQQPLHSSVSGVPFKDKEVSVSARKLAATLWESNDLPPSRAKKDFELDQTRRCKETMIRSKEKVVSMSRSGLFRPHMSDPSHSPISERMKGFEGDICKRRVSALSHEHHSVDYHLNGFGAHSSACLIEEAEAQQRNKKNRLKEARGGLSTSKKLLKVLNQVCLREHQSSSMSLIFALGSELDQVCHQIDQLIKEQHSSQNDIEHVVKHFAVEKATWKRREREKIHDAIKNVAEELEVEKKLRRQTEWLNKKIAKEMANVKASHLKASKELEREKRAKEIMEQICDELARDIGEDKAHAKELKMESAKVREEVEKEREMLQLADVLHEERVQMKLSEAKYQFEEKNDVLEKVRNELEAYMIAKENRDVSPDFKRIKDLESYFDEINGRFQIAEEDDDMDAGDGMGHEGDDSDDASDLHSIELKPGDDSCGHKWSFAHQNVAQHDSKRVSVDKESIGRKSLSEIIQWRSICFNKGTTSCGKNGIGITIQENSDHFDPERSAEFFSRAQIQDADNDETESYSSIMGPQDYMSCANPVQRNDQALTLQYPGGESGENARVFECDNLK >OIV98710 pep chromosome:LupAngTanjil_v1.0:LG13:14924611:14928482:-1 gene:TanjilG_24881 transcript:OIV98710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPEYDYLFKLLLIGDSGVGKSCLLLRFADDSYIDSYISTIGVDFKIRTVEQDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIIVYDVTDEESFNNVKQWLSEIDRYASDNVNKLLVGNKCDLTENRAVPYETAKAFADEIGIPFLETSAKDATNVEQAFMAMTASIKGRMASQPANNARPPTVQIRGQPVSQNSGCCSS >OIV98594 pep chromosome:LupAngTanjil_v1.0:LG13:16722931:16725084:1 gene:TanjilG_23033 transcript:OIV98594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPTTQLPPPQQPPSLLQPHRPSTSCDKHPNENFTAFCPLCLCERLSFLDPSSSSSSSRKPPTSSTAAAALKAIFRPNSGRNNKPPPSSSSSFLPELRRTQSFSASKNEGFSGVFEPQRKSCDVRGRSTLYSLFNQHDERKISKNSEASSSSIEVETRNLASSSIPVIESSKEEESEENHEDSVVFEIEEEEEDENSDEIRVSDEPNNVAVISSNVIENSVHEIVEEEPELEPEREPVFISEDDFLKPMKEHIDLDSQAKKSSVSVRDFKEIAGSFWSAASVFSKKLQKWRQKQKMKKQRRNGVVVGSATLPVEKPIGRQFRETQSEIADYGFGRRSCDTDPRFSLDAGRMSFDAGRMSFEDSRYSFDEPRASWDGYLVGRGFSRMPTMLSVVEDAPVLPQVMRTDSLIPVEEPMMNAINENEDENLPGGSAQTREYYSDSSSRRRKSLDRSSSIRKTAAAVVAEMDELKPVSNGTGSSNVNGNVSVNANAKVSPASVDYIHGQKMVFPDRDLRDSNSNSLRDDYSETFEVGFRDSASVIGNGGGDRKGSSKKWSRWSKGWNIWSFINRRGGNKYEDEENRYSSRGNGNGVERSLSESWQEFRGERNGDAIRNVGFNPKVLRSNSSVSWRNAQSIGGSFGTARKSDVQANGYGRKGRDEFVLERNRSARYSPNHFDNGLLRLYLNPMQAMRGSRRNGSVKGRTNQAHSIARSVLRLY >OIV98570 pep chromosome:LupAngTanjil_v1.0:LG13:17198955:17208264:1 gene:TanjilG_12156 transcript:OIV98570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRSLKIMDGCKGTQVHAFPVKPNRFEPNRSNNQTVKPVSNFNAVVSSEGVILPTTELLEPEIEASLKPIHFVEILADLHRRIENCEGEFEKFGVFLEQSAVFRGLPDLKLFRQCLRLVRGHAVDVHEKVVVAAWLRYERREDELVGSVSAMECSGRNLECPKASLVQGYDPESVFDHCLCFRRKDDDEFKIVVNYDCDGDFVEECSNYDGSCENDDDDNNNNNDDVCFCIGDDEIICNRYLVASLSRPLQTMLYGGFIESRREKINFSKNEVSIETMVAVKVFSRTNRLSNFPPNVVLELLCFANRFCCEEMKNSCEAHLASLVCDMDDAVSLVEYGLEESAYLLVAACLQVFLRELPGSMQCSSFINLYCTPEGRDRLAKAGHASFVLYYFLSQVAIEEDVKSNTTVMLLERLVECAVKSWQKQLACHQLGVVMFERKEYKDAQHWFEAAVEAGHIYSSVGVARAKYKRGHVYSAFKIINSLISDYKPVGWMYQERSLYCTGKEKILDLLYATELDPTLSFPYKYRAVAFLEENEIGAAIMEINKIIGFKVSPDCLDLRAWFLIALGDYEGAFRDVRAIMTLDPNYMMFSGNMHGDRLVELLRPVAQKWSQADCWMHLYDRWSSVDDIGSLAVVHKMLENDPGKSILRFRQSLLLLRLNCQKAAMRSLRLARNHSSSVHERLVYEGWILYDTGHREEALAKAEESISIQRSFEAFFLKAYALADSCLDSDSSKYVIDLLEEALRCPSDGLRKGQALNNLGSIYVDCDNLDLAADCYKHALNIKHTRAHQGLARVYHLQNKHKAAYDEMTKLIEKARNNASAYEKRSEYCDRDTAKCDLSMATQLDPLRTYAYRYRAAVLMDNQKEAAAIAEVSRAISFKPDPQLLHLRAAFHDSMGDYVSSVRDCEAALCLDPSLQKEDENLENMDLKSRRTINFNVPLLSTRRLGCSVVADTSCTLQNTRVPFSWEQAPGKPKDIERSSSVRDGDTPRLRLPPCLWHQLKEAVGADIDNGIQARDECIASNAESDLEVFGHDLTHAVSNKFIDLEQQWASVQEQKKTSLKIEKDKLRTQMLLSMYASVTNIVPNLDDESKISDIVEKDKNVVDKFEYDSSKIPTQDVCNDIWNTISS >OIV98799 pep chromosome:LupAngTanjil_v1.0:LG13:11960100:11966001:1 gene:TanjilG_25045 transcript:OIV98799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRPSVHPIEAPPLTDNAAILPRQTLKDVQGMPGTIGGFILRFFQFSFSIASLSVMSSTNDFPSVTAFRYLVAAVSLQTLWSLSLAIADIYAILVRRSYRNSRIVRLFSIGDGITSTLTFSSACASAGITVLIGNDLNDCAQNHCSRFETATAMAFMSWFAASPSFFLNFWTLASK >OIV99442 pep chromosome:LupAngTanjil_v1.0:LG13:1737236:1743722:1 gene:TanjilG_17252 transcript:OIV99442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFPIKSMRPAYGGGGKDFDEVPPSNNLWVGNLAPNVTDSDLMNLFAQYGALDSVTSYSSRSYAFVFFKRVEDAKSAKNNLQGFALRGNYLKIEFARPAKPCKQLWVGGISTAVTKEELEAEFCKFGKIEEFKFFRDRNTACVEFFNLDDATQAMKIMNGKRMGGDHLRVDFLRSNTSKKDQMLDYGQFQGKSFGPTDSYTGQKRPLNSQLPMGRKGDSQPSNVLWIGYPPDVQIDEQMLHNAMILFGEIERIKSFPSRNSSFVEFRSVDEARRAKEGLQGRLFNDPRITILYSSNDQVPGKDYPGYYPGNDGLRPDVFLNEHAFRPLQMDVFGHTPMVPNNFPGQFPPSGIGSTAPMRPFVPQGSLESRISGPCFNEGSSLHKFQDGSSKSKMGPNWKRPSPPASGLLPSPASGTRLPARSASGAWDVLDINHISRDAKRSRIDGRGSVMEQTYGMDPIHLGPVSSRIAAGVHGPAEPDSDHIWRGIIAKGGTPVCHARCVPIGKGIGTELPDVVDCSARTGLDILTKHYADAIGFDIVFFLPDSEEDFASYTEFLRYLSAKDRAGVAKFADNTTLFLVPPSDFLTKVLKVPGPERLYGVVLKFPQVPISAPMQQSSHLPPSQSTQYVQQIPPSQAEYGFIPAKEEQVLPMDYNRLLLEDPKLPPKPVYPVTSGPPSVQPMRTDFAPSSNASLSQAGVALTPELIATLTNFLPATTQISATDGTSSSGGSLTVKPPFPPVAHNDGNQSQLWKPEHPIVDQSIHPPQPLGNMYNIHNAHYQPYPVAPTSVHPGQVVSSSSHIQETASSLQQQGAVSSRPMTNFMMPSQSGQLAVSPHVNHQYQVQHSPGTQKGFVGMQGTDTSVLYNSQAFLDANNSFTSSSHVQSANPSRQQAVMPYTVEQVNSDLSNQQVPLFGAGQGTSEVEADKNQRYQSTLQFAANLLHQIQQQQQQQQPTQGGSGPGIQQ >OIV99155 pep chromosome:LupAngTanjil_v1.0:LG13:4482557:4484536:-1 gene:TanjilG_01130 transcript:OIV99155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTMLHKSRNFISTFLLGRSYCSTPNRKNLYSRISPLGDPSISLVPVLDNWVQQGNTVYPFDLQRIIKTLRSRRRFSQALQVSEWMSSKGLCPISAGDRAVQLDLIGKVRGLDIAESYFQNLSENDKTDKLHGALLNCYVREGLVDKSLSVMQKMKDMGFLSALNYNSIMCLYTQTDQLDKVPGVMTLMKEDGVSPDIFSYRICINSYGARSDLVNMENLLEEMESQSYVVTDWDTYSMVANFYIKAGLKEKALICLKKCEDKADKRNTLAYNYLISQYASLGNKKTMMRLWEHQKANCKKQLNREYITMLSCLPKVGEFDQAEKVLEEWELSGNYYDFRVPNTLLIGYTQRGLVEKAEALLLRIVEKGKIPIPNSWSIITSGYVVEENMEKAFQCMKKALAVRDQNKGWRPKDNIISSILSWATDNKDVDEVEDFVNSLKTVISMNKNMYLFLIKVYVRCGKEVDRILESMKADKIELDEQIEEILSSRSQ >OIV98635 pep chromosome:LupAngTanjil_v1.0:LG13:16302783:16305161:-1 gene:TanjilG_12758 transcript:OIV98635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMFLLYIASLISLFVPTTARIPGVYTPGSWDNAHATFYGGSDASGTMGGACGYGNLYSQGYGVSTAALSTALFNNGLSCGACFEIKCDEDPSWCNPGKPSIMVTATNFCPPNYDLPSDNGGWCNPPRQHFDLAMPMFLKIAQYKAGIVPVAFRRVPCKKTGGIRFTINGHRYFNLVLITNVAGAGDIVGVSVKGTNTVWSSMSRNWGQNWQSNVVLVGQALSFRVTGSDRRTSTSVNVAPCNWQFGQTFTGKNFHV >OIV98648 pep chromosome:LupAngTanjil_v1.0:LG13:16109767:16114753:1 gene:TanjilG_12771 transcript:OIV98648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQQSLIYSFVARGTVILAEYTEFTGNFTGVAAQCLQKLPSSNNKFTYNCDGHTFNYLVDNGFTYCVVAVESAGRQIPTAFLERVKEDFTKKYAGGKAATAAAQSLNREFGPKLKEQMQYCVDHPEEISKLAKVKAQVSEVKGVMMENIEKVLDRGEKIELLVDKTENLRSQEDFTKKYAGGKAATAAAQSLNREFGPKLKEQMQYCVDHPEEISKLAKVKAQVSEVKGVMMENIEKVLDRGEKIELLVDKTENLRSQAQDFRQQGTKIRRKMWFQNMKIKLIVLGIIIALILIIVLSICNGFRCGK >OIV99497 pep chromosome:LupAngTanjil_v1.0:LG13:1403907:1404773:1 gene:TanjilG_17307 transcript:OIV99497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIIHTRCNSLPSAPHPFVSQFEDNLQRLKGSEATTLFSSSSASHKLDGLQDLHECTCKLLQLPTEQQALAQECDNKRVDDLLEGSLRLLDICSTAKDCLMQSKESLHELQSIIRRRKGGDTGLAVAAAKYSSSRKIIKKAIRKALENLKATKKEDIASSSNKDNNNFSMLSFLKEAEEVTQSSLESLLILISDRKRRTKRNTWSIISKLAQPKRVECDSPESDTSEFEMVDAALQSLTIHKASSIEYFQSQMENLDMFIQDLELRIEQLSRKLIRSRVSLLNIFSQ >OIV99331 pep chromosome:LupAngTanjil_v1.0:LG13:2572105:2574987:1 gene:TanjilG_17141 transcript:OIV99331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKNQLQELAQRSCFNLPSYTCIREGPDHAPRFKATVNFNGEIFESPHYCTTLRQAEHSAAEVALNSLSHRGPSHSLAARILDETGVYKNLLQEIAQRVGAPLPQYTTFRSGLGHLPVFTGTVELAGITFTGEPAKNKKQAEKNAAMAAWSSLKQLAKETSSSSTEPENNDELEQITIARALINYRLKEKISMSNPNALIPFPKRFQIQSCRPTSPQPRPAATSKILPLIFPKTVTRSRHSLTTSSESPQSRHPVAAAAASDNPMMSPFSCTHESRGSHRPKFPAVGAAPYVPIRQMRSPCQGIAPPVTIRTAVPVFSAPPLPPPAMMSHQLMRASPVRVAPSVNIRQAVPVYAAPPPAQKIETAPIRKDDPPAAITVPSQVDKLPATSPEDKLPAMSDELNLPTTSQDDKVPAKNQETDTKTENVPPESETVQSLEQLKI >OIV99430 pep chromosome:LupAngTanjil_v1.0:LG13:1830070:1837295:-1 gene:TanjilG_17240 transcript:OIV99430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSDVCPTEDAVQAFLEHLVDQLLPAKSSVRDKPTPSQQQLVAKQLLSVVLLYNYYHRKQHPELAYLPFNEFCKLAVVMRPVLLSYMSFVQKIKESDLTDWEKQLSLTEKMIIDSCDISLDASSRSSEVTPGTEKTYIKKRVNRKPTKDELNADDSGFLQVGYSAVKEATGINNTDIALLESYIVYSQSKEKAASRFYIMQCSELIHQKVIQVPIKDIIESLRGPLVKKSCGTWMITPVVDYFHVLPYSEVIFEWISREAFSNSLQHSCVTRKNIMDSPEVTESYENEDTFIALDSKPSSYNIDLLKQEENNGRFTLGLSDHVKDRRDIDLDKSSILKSRKKEKCRYIPHTEKPGEDQDKNTPIVQYCSNGSTTAVKNTSLVLSTKDACAGRNDAQQSHQPPSPKPFSVNYHQHQSTSLPLSAKDACAGRNEAHQSHQPPSLRPLSVNFHQGEKVDTTKMLVPVGRPNILAPYDMNCTKRHNTSSDVEKLQVLLDTTGNLLSQAALSALMRKRNELALQQREIEDEIVMCDKTIQRLLTGGVHADVTVKGLEFECSCRGNVCSGPREARESAAAQMLANLRNIAKPVQ >OIV98832 pep chromosome:LupAngTanjil_v1.0:LG13:11115255:11115518:1 gene:TanjilG_08488 transcript:OIV98832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGSNGEEEDDVNNSSKQKRPIFSFNWSTLLDPDPENILALGLTGLLTWASVQVLWQLLFISLAIILAALKYSFIAALLLFILITLL >OIV99038 pep chromosome:LupAngTanjil_v1.0:LG13:5207755:5208117:-1 gene:TanjilG_32297 transcript:OIV99038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHFIRKLSRATDSSRYTLLRSDLYTTRRRRRNSDVPEGHIPVYVGEEMERFVVSAELLNHPVFQKLLNQSAQEYGYEQKGVLRIPCHVIVFERVLEALRLGLDSRELHDLIGSSSEEFC >OIV99579 pep chromosome:LupAngTanjil_v1.0:LG13:897863:907526:1 gene:TanjilG_17389 transcript:OIV99579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSETPSPSPSTPNTSAPSAPFSYNNNMPQNVINTSHHSSTYSNMSDSVAQDVSKVSSASSIPNSVPPHSSNPTMRPTYDPNYRPPTSWMPSAPSFPMHHVIPGTPGNPAPPGLTPALVISSNLSAPSTSSDSSSAAVPRQNMPNAAIASDPTLQQKGTPYPSIPVMAASPQGLWLPPPQISGVLRPPFLPYPAAFPGPFPFPARGVTLPAVPVPDSQPPGVTPMTAAGVTSASPASSHQLRGTTGFQTEVIPGHADYKKILNVAQNEDPANDHLDAWTAHKTEAGIVYYYNASTGESTYDKPAGFKGEPHQVAVQPTPVSMVNLPGTDWVLVSTSDGKKYYYNNRTKTSCWQIPNEVAELKKKQDGDVTKDHLMSVPNTNVLSDKGPGMVTLNAPAINTGGRDAAALKPSSVQSSSSALDLIKKKLQDSGTPVTSSSIPNSSVGSESNGSKAAESTAKGLQIDNNKDKQKDTNGDANVSDTSSDSEDEDSGPSKEECILQFKEMLKERGVAPFSKWDKELPKIVFDPRFKAIPSYSARRSLFEHYVKTRAEEERKEKRAAQKAAIEGFKKLLDEALEDINHNTDYQTFREKWGDDPRFKALDRKEREHLLSERVLPLKKAAEEKAQAMREAATSSFKSLIKEKGDITFNSRWSRVKESLRDDPRYKSVRHEDREILFNEYISELKAAEHAAERETRAKREEQEKLRERERELRKRKEREEHEMERVRLKIRRKEAVASFQALLVETIKDPLASWTESKSKLEKDPQGRANNPELGPADMEKLFRDHIKMLQERRVNEFRVLLAEVLTIEAASRETEDGKTVLNSWSTAKRVLKSDPRYNKVPREERETLWHRYAEDVLRRQKSSHDPREEKHTDSKGRDAKARNPHESG >OIV98932 pep chromosome:LupAngTanjil_v1.0:LG13:8446075:8447478:1 gene:TanjilG_07367 transcript:OIV98932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPLQRGKELVIKFSNSPHQQQHQISEDLILDDYDSLGVNDSDKIITSNQPKNLIYGAEKSAPGDSNEHMKKIVHRDIERQRRQEMATLHASLRSLLPLEFIQGKRSISDHMNEAVNYIKYMQENIKELGAKRDELKKLSTSKLENHATNHAHGSFTIHENNGIVRIEITSCSIEERFTLSKLLQLLLEEGLEVVNCLSTEVNGRLFHSVQCEVNKSKSVNLSVLRRKVADVFPSYTCCE >OIV99570 pep chromosome:LupAngTanjil_v1.0:LG13:954916:956397:1 gene:TanjilG_17380 transcript:OIV99570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSKSNLQDSPFMVFAQIVTFGLDTDHFVSNALVSAFANSGFMLSAHQVFDQSSSKDVVAWTALINGYVKNDCPSKAIKCFLDMRSTGTRIDGVTIVSILRAAAMVGDAYFGKWVHGFYVLAGRVELDSYICSALVDMYFKCGHCDDAWEVFDEMPYRNVVSWTTVIAGYVQCNKFQDAQRVFSDMMLTNIMPNEFTLTSVLSACAHTGALDQGRLVHQYIECNKVKLNSALGTALVDMYAKCGCIGEAVRVFENLLIKDVYTWTAMINGLAVHGDALGALNIFSCMLRNCIQPNEVTFIGVLSACSHGGFVEEGKRLFESMSKVYHLKPNMDHYGCMVDLLGRAGHLEDAKKIIDNMPMKPSPGVLGALFGACMIHKAFEMGECIGNNLINLQPNHSGRYALLANLYSMCRNWEAASQVRKFMKGVQVEKTPGYSWIEVNGLIHEFKAFDHSHNESSGVYSILDNLILQLNLAGQVLDCEDLNILGSNTGEG >OIV98963 pep chromosome:LupAngTanjil_v1.0:LG13:6756017:6757414:1 gene:TanjilG_29366 transcript:OIV98963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKVSMQQCTLVHFFVPLLLVVSFCYASSALATTQVSGNEVNTDVKLINEEVAKTNPEVHNEEAKFKGFFHHKPIFKKPFFKKPIPTYKPIPKPYPIIKPIPVPVYKPIPKPIPVVKPIPIPVYKPIPKPVPIYKPIPVFKKPFHKSFPISNKNKPLFPPQKP >OIV98541 pep chromosome:LupAngTanjil_v1.0:LG13:17619082:17621382:1 gene:TanjilG_12127 transcript:OIV98541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPADSPYAGGVFLVTIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRTKYEATARSWTQKYAMG >OIV99688 pep chromosome:LupAngTanjil_v1.0:LG13:159791:163905:1 gene:TanjilG_17498 transcript:OIV99688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAIDHDVELTTHHTPLLDPPSLARDSGGWRPLRGTLMLFGSIVFILSLGGLIIKQRHEPSESEPKSIIALLGRGIGEGVSAKSNPSLNSYNVSYDWTNAMLSWQRTAFHFQPQNNWMNGPLFHKGWYHLFYQYNPDSAVWGNISWGHAVSTDLIHWLYLPIALLPDTWYDLNGVWSGSATLLPDGRIIMLYTGDTNQFVQVQNIAYPTNLSDPLLLHWAKDPHNPLLLPPPGIGPKNFRDPTTAWIGPDQKWRILLGSKVNKTGLSLVYKTKDFIHYELNDNYLHAVPGTGMWECVDFYPVSTNGFEGLDTSVNGPLVKHVLKASLDDTKMDHYAIGRYFVENDTWVPDNPNEDVGIGLRLDYGGIYYASKTFYDQYKKRRVLWGWIKETDSESADLKKGWASLQTIPRTVVFDNKTGTNLLQWPVEEVESLRLSSVEFEGVLLKPGSIVPLDIGSATQLDIFGEFEIELLASEGIGEDNNVGCGGGAVDRRPLGPFGIVVIADDQLSELTPIYLTLSNTTNGTSTTYFCVDETRSSKAPDVSKQVYESKVPVFSDEKLSTRVLVDHSIIESFAQGGRSVITSRVYPTEEVIYGAARLFLFNNATHINIKASLKIWQLNSAFIHPFPQHIQ >OIV99186 pep chromosome:LupAngTanjil_v1.0:LG13:4047287:4050199:1 gene:TanjilG_19682 transcript:OIV99186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQLPPKIPNMPPSWPEFSSHQKVPSLTSMQPNAANNNHQDHPQQQNNPSWVDEFLDFSSARRGAHRRSVSDSITFMEPPKGDDDHNEFERFDDAQFLSMFNDEVSGNHILMPPTILCTSNPSSPSDHNSINDDHKEKTKQHEKEKKEQHQKKQLKNELEDEDESQCKQEITEGFNDDNNNDNANATTCSNDRITDPKRVKRLLLNIDNSALKQRIAALAQDKIFKDAHQEALKREIDRLRQVYHQQNMKQMDNTAGSQSQSPKPICDTQTEKEHLINV >OIV99181 pep chromosome:LupAngTanjil_v1.0:LG13:4092448:4099929:1 gene:TanjilG_19677 transcript:OIV99181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGHIVGGDDGDRERKRFLEGKVYTRRRFKSTKKDPTIVNTVTPATATAENDSATIAATSITANNSDNNSPVKCRNPDEAKSDTKVISAAALPVAVPEDGNPSQPQGNSPEDRNSAQIQVNSGVDENLTQKQVCSREDENVTQKQVCSREDENLAQKQVNSREDENMAQLPVNSTSVDVGSAQPQADSMLEDVNSIQPEVETTLEDVNSAKLQVDSTLEDWNSSQPRVNSTLVNDSSAQPQVDSALEEVSLARPVESSTLNGGNLVELPVDSILQDGNLAQPQVDSTLKDVNLAQPELITSLEDQISAQPVVLLVSDDLCSRQQDDEPSSPDHRQDAVPMIHDLPSGNGAVELQQDDDRTSIPSLQQEMIPSTQDLPSGNGDVEPQQDDSRHSSHSHHLDMIPSTQVLPSGNGAVEPRMEDRIKINLTSKSKQEMQELRWKLEGELNIVRSLVKRIEMKQGQVDRYGNLIGKGRVAIRAHSEVASAGVPRESTRPLHHLNLSMLENSHAVNESGLCMASGHIVGGDDGDRERKRFLEGKVYTRRRFKSTKKDPTIVNTVTPATATAENDSATIAATSITANNSDNNSPVKCRNPDEAKSDTKVISAAALPVAVPEDGNPSQPQGNSPEDRNSAQIQVNSGVDENLTQKQVCSREDENVTQKQVCSREDENVTQKQVCSREDENVTQKQVCSREDENLAQKQVNSREDENMAQLPVNSTSVDVGSAQPQADSMLEDVNSIQPEVETTLEDVNSAKLQVDSTLEDWNSSQPRVNSTLVNDSSAQPQVDSALEEVSLARPVESSTLNGGNLVELPVDSILQDGNLAQPQVDSTLKDVNLAQPELITSLEDQISAQPVVLLVSDDLCSRQQDDEPSSPDHRQDAVPMIHDLPSGNGAVELQQDDDRTSIPSLQQEMIPSTQDLPSGNGDVEPQQDDSRHSSHSHHLDMIPSTQVLPSGNGAVEPRMEDRIKINLTSKSKQEMQELRWKLEGELNIVRSLVKRIEMKQGQVDRYGNLIGKGRVAIRAHSEVASAGVPRESTRPLHHLNLSMLENSHAVNESVEREKRMPKANQFYHNSEFLLAKDKFPPVESNKKSKLHWKKQSGGEMAHGHWMGSKFFKSCSSLLEKLMKHKHGWVFNAPVDVEGLGLHDYFTIVTHPMDLGTVKSRLSKNWYKSPKEFAEDVRLTFRNAMTYNPKGHDVHVMAEQLSKIFEDRWAIIESDYNREMRYGIDYGAALSAPSPLSRRVPAFPPAPLDMRILNRSESMTQTPRPMRITPSSRTPALKKPKAKDPDKRDMTFDEKQKLSTNLQSLPSDKLDAIVQIIKKRNSALHQHDDEIEVDIDSVDAETLWELDRFVTNYKKSLSKNKRKAELAQARANAVQNALRKSWDPVMVEVPRETQADERNIPPSLPVQEGNQAGNGNRPSSSSSSSSDSGSSSSDSDSDSSSASGSEEGSHWFRIDDVMIALLIHRGRIVKPIASNPADAAAAWDFCFPFVGTMAPLLEPLAIIPV >OIV99473 pep chromosome:LupAngTanjil_v1.0:LG13:1570297:1570596:-1 gene:TanjilG_17283 transcript:OIV99473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVVAIMSMIGSGSGSMLVNGQSFCHMSKAGLKSCLPSVSGENPVPPTPTCCLAIANADLPCLCQYKDSTLLKSIYGVDPNLAMALPVKCNVVDPSFHC >OIV98524 pep chromosome:LupAngTanjil_v1.0:LG13:17784351:17791328:1 gene:TanjilG_12110 transcript:OIV98524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSAVSSSSVVSSSSYGGKRRWKGLIIAVLGLVILSMLVPLLFLLGLHNGFHSPSGRSIEPPAGPDNKVRTDSSKGSLHNTLVDALKKYAREDKNGTINRGSNGDKLSGGSKVPPNAVQQPPPSSPNNSRVGHVDQVTRVKASSNDEGGKSCELTFGSYCLWQQEHKEDMKDVMIKKLKDQLFVARSYYPSIAKLPAQDKLSRQLKQNIQELEHVLSESTTDADLPPLIEKKSHKMEVAIARAKSVPVDCNNVDKKLRQIFDLTEDEADFHMKQSAFLYKLNVQTMPKSLHCLSLKLTVEYFKSSHYEEKAALEKFKDSSLHHYVIFSNNVLAASVVINSTVMHAKESQNQVFHVLTDGQNYYAMKLWFLRNKYKQAAIQVMDVEHLDLDSRKGVPLHLSLPEEFRVSFHDVDNPSMSQIRTEYISIFSHSHYLLPDIFKSLNKVVVLDEDVVIQQDLSALWNLQLGEKVNGAVQFCSVRLGSLKSYLGQKGFSPNSCSWMSGLNIIDLVRWRELGLTQTYQRLIKELSIQEGSMEGSAWRASLVTFESKIYPLNESWVVSGLGHDYVIDTQVINTAPVLHYNGKMKPWLDMGIPKYKSYWKKFLNKEDQLLSECNVYS >OIV99420 pep chromosome:LupAngTanjil_v1.0:LG13:1907752:1908972:-1 gene:TanjilG_17230 transcript:OIV99420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSPSPDLNLDNLKAVKVLGRGAMGTVFLIQDAASTFALKVVDKTSIHSKLDAERRARWEIQLLSQLSHPFLPSLISTFESHHFLAWALPYCPGGDLNRLRYRQNDRVFSPPVIRFYIAEIICALQHLHSLGIAYRDLKPENVLIQSSGHVTLTDFDLSRKLAPKTVQTVISDPPPLPLPETHRKHRRSFSRWIHFHPSDLSSQRNGLKKTKSARVSPVSRRKPSFSNGERSNSFVGTEEYVSPEVVRGDGHEFAVDWWAMGILAYEMLYGTTPFKGKNRKETFRNVLMKTPEFVGKRSELTDLIEKLLEKDPTKRLGYTRGAIEIKEHEFFKGVQWDMLTEMVRPPFIPVRDDGTGELTEHLSTINGGVDIKHYFESLKSPPSLPPSPVSSLSCKFKKTFSLSDF >OIV98595 pep chromosome:LupAngTanjil_v1.0:LG13:16735778:16737157:1 gene:TanjilG_23034 transcript:OIV98595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRINPDNFTLNILLNCYCHLDKMDYAFSVLAKILKLGYEPNTITFNALINGLCLSGDIKKALQFHDTVIGLGYRLNAITYGTLINGLCKIGQTEAATQLLRKIESKVMKPNVVMYNTIIDSLCKDKLITRALHLYSEMVVKGISPDIVTYSSLMYGLCIVGRLKEATDLLSDMAMKNIDPNIYTFNTLVDAFFKEGRVTEATSLLAVMIKAGVKPDVVTYNSLIDGYCLVNEVSKAKHVFSTMPQMGATPNVQSYSILINGYCKLKMVGEAMNLFKEMQRKSITPNTVTYNSLIDGLCKSGRLSHAWKLLDEMHDRGQPANVITYNSLLDTLCKNHQLDEATALLKKIEDQGIQPNVYTYTVLIHWLCNVGRVETAKQVFQHFLIKGYHPNVRICNVMIWGLCKEDSFDEALALKSKMEENHCLPDAVTFETIILALLEKNKNEDAEKLLSEMIARGLL >OIV99309 pep chromosome:LupAngTanjil_v1.0:LG13:2764668:2766966:-1 gene:TanjilG_17119 transcript:OIV99309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKILHKHGRPQQEKNSCCFYTYYKWLLWLSLSLYFFTSYLITNNNNNNNSRNTRILSQSESTNITITPIITNSKDQQQSNSLLKNMKVFVYDLPPKFNTVWLQNKRCKTHLFASEVAIHRALLSSEVRTFDPYDADFFFVPVYVSCNFSAVNGFPAIGHARSLIASAVKLISEEYIFWNRSRGSDHVFVASHDFGSCFHTLEDVAMEDGVPEIMKNSIVLQTFGVVHKHPCQEVENVVIPPYVSPESVRSTMKNAPMNRRRDIWVFFRGKMEVHPKNVSGRFYSKKVRTVIWQKFNGDRRFYLRRHRFSGYQSEIARSVFCLCPLGWAPWSPRLVESVALGCVPVVIADAIRLPFASAVKWADISVTVAEKDVGNLAEILEHVAATNLSEIQRNLWDPMTRQALLFNNQVIEGDATWQVLRALSEKLNRSYRSSRVSRQLEFDT >OIV98818 pep chromosome:LupAngTanjil_v1.0:LG13:12335076:12336853:-1 gene:TanjilG_25064 transcript:OIV98818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTEAEHREEEDVTAGEDEDTGAQVAPIVKLEEVAVSTGEENEDSILDLKAKLYRFDKEGNQWKERGAGTVKFLKHKVTGKVRLLVRQSKTLKICANHLILPSMTVQEHAGNEKSCVWHARDFADGELKDELFCIRFPSIENSKKFIETFQEVAESQNQEENKDASAAAGLLENLSVEGNKDAEKKDEEKSDNKTAEEEPSSGKESKADTEKKAEEPASSA >OIV99529 pep chromosome:LupAngTanjil_v1.0:LG13:1191767:1192036:1 gene:TanjilG_17339 transcript:OIV99529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFRIPGIRRASFQAAPKAVEVPKGYLAVYVGEKMKRFIISISYLSQPSFQELLSQVEEEFGYDHPMGGLTIPCNEDAFLDLVSRLNRL >OIV99418 pep chromosome:LupAngTanjil_v1.0:LG13:1924416:1927096:-1 gene:TanjilG_17228 transcript:OIV99418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSNNWLSFPHSPPHSTLPTQDLQATQYHQFSLGLVNENMENPFQTHDWNLINNHSSNEVPKVADFLGVSKSESQSDLGAMNEIQPNESEYLLPNNSLAPMQNTVVTTSNNYEYTENAKNNLQSLTLSMGSGKDSTCEISTDNNSTQIVEAAPSRRTSDTFGQKTSIYRGVTRHRWTGRYEAHLWDNSCRREGQSRKGRQGGYDKEEKAARSYDLAALKYWGTSTTTNFPHMTRQEFVASIRRKSSGFSRGASMYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTEEEAAEAYDIAAIKFRGLNAVTNFDMSRYDVKAILESNTLPIGGGAAKRLKEAQALESSRKRDQEMIALGSSFQFGTSSSSRLQPYPLMQHFEQPQPLLTLQNHDISHFSQDPSTLHQSYLQTQLQLHQQSSSSYLHQPAQNSQFYNSYLQNHHPSLLPGMMNMGSSSSSILENDNHNVRGYTGNVSAVGPGEELGMVKVDYDMSSGGYSGWSAEGAESMQASNGGSVFTMWNE >OIV98642 pep chromosome:LupAngTanjil_v1.0:LG13:16214889:16215557:1 gene:TanjilG_12765 transcript:OIV98642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNSPTTIPPPPPLLFPPHFTDQIPIFPISSPPSPFAASPSSIFQITVHPAPPQPPPPSPFAVFHTSVDLSPIQFLLAIIAIITIPALIYTFIFAFWCPSSRRRRQTSGELSVPSELSHHDVENSGEVTDVKYQKEAHVKEIGGECPVCLSVFADGEEIRQLSVCKHSFHASCIDMWLSNHSNCPICRANIAAVTKLSGSNSSAAPTRDGDLQHGGDASALI >OIV99411 pep chromosome:LupAngTanjil_v1.0:LG13:1994085:1997322:1 gene:TanjilG_17221 transcript:OIV99411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKPDPSSGNNIDNNNKKFRKGLWSPEEDDKLMSYMLKSGQGCWSDVARNAGLQRCGKSCRLRWINYLRPDLKRGAFSPQEEELIIHLHSLLGNRWSQIAARLPGRTDNEIKNFWNSTIKKRLKNLSSTTESSYDPNNKEIAGFTSTTQHYQHAEFMPMLNSPSPSSMQETVLNTIIDRLPMLEHGIMNMPVAGGFFNGAIDNNKEFYLENGVFGSVNFGPEGDMFVPPLESVGTTCDYNLKVENACNNIDTNNSYHNSCNNKIRGENIRDGVENLFQEELAMGEWDLEELMKDVSSYPFLDFSS >OIV99204 pep chromosome:LupAngTanjil_v1.0:LG13:3839377:3846462:1 gene:TanjilG_19700 transcript:OIV99204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELRSHRRRLSESSLSGTGKEKQPRDGIGEDETNSKCSNDTNEHVHDISSDSDFNCEDEEFGVNFIPDLNEEPISSISVSDGEDILSDSYDAIEPLLNSGKSQSRRKRKKSGFGEPSDGDRVVENGDDEEHLMVFQQSAPINLTKTKKKKKGKRTRKEDSKPVLLWHAWEEQQEKWVDENLLEDFDIDHQSEVMNETVEPSSDLIMPLLRYQKEWLAWALKQESSVTKGGILADEMGMGKTIQAIALVLAKREFHQMSCEADEPSSSPGSSTVLPAIKGTLVICPVVAVTQWVSEIDRFTLKGSTKVLVYHGAKREKSGEQFSEYDFVITTYSIVESEYRKHMMPPKEECQYCGKLFNPRSLTSHQRYFCGPGATRTDKQAKQIKKKKRDLTKKKLKELKSWDEELEKGFMKKKNLELRSRTKDSDTKDRDKSFLHAVKWQRIILDEAHYIKSRHSNTAKAVLALESSYRWALSGTPLQNRVGELYSLVRFLQIIPYSYYLCKDCDCKILDHSSSRQCSNCNHSTVRHFCWWNKYVATPIQSYGYGDCGRRAMILLKHKVLKNIVLRRTKIGRAADLALPPRIVSLRKDSLDIKEQDYYESLYNESQSQFNTYVEANTLMNNYAHIFDLLTRLRQAVDHPYLVVYSPTAALRGGNLAGNGTVEQECGLCHDQVEDPVVTSCEHVFCKSCLIDFSTSLGRVSCPSCSKLLTVDLTSNMVAGVHANKTTIKGFRSSSILNRIQLENFQTSTKIEALREEIRFMVERDGSAKGIVFSQYTSFLDLINYALNKSGVSCVQLNGQMSLPARDAAIKRFIDNPECRIFLMSLKAGGVALNLTVASHVFLMDPWWNPAVERQAQDRIHRIGQYKPIRIVRFVIENTIEERILKLQEKKELVFEGTIGGSSEALGKLTEADLKFLFVT >OIV98749 pep chromosome:LupAngTanjil_v1.0:LG13:14122749:14152191:1 gene:TanjilG_24920 transcript:OIV98749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLSFSNLQTLPPISSNHRPKPPSPAFVGPAGSRRRMLYICCAEGGSRVKRTSTDDYHGTLKALNSKGRFPRKSLGQHYMLNSDINEQLAGVACIEQGDVVFEIGPGTGSLTNVLLNSGAFVLAVEKILTEDVIKCHVRSHMSSLVESLKQMDQETRNAKVVANIPFNISTDVIKLLLPMGDIFSEVVLLLQEETALRLVVSSLRTSEYRPINIFVNFYSDPEYKFKVPRTHFFPQPNVNSAFNEKRKMLRKSLQHICTSLETEEALTSIGLLATFEILLVDHQDLVMQLETLLTCNRYLMKQIEIVSLDHRDTMKQFETLLVKHHYLMNNFETLLVDHRDLMKQINTLLVNHRDLMK >OIV98864 pep chromosome:LupAngTanjil_v1.0:LG13:10074142:10075446:1 gene:TanjilG_21199 transcript:OIV98864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASMKPRLRDAALHRLLSVVCVTLCGVLLLIYVFGSNSTSTTIENIEDLEIGGVGSTYHHDLKARVRVNLPKQNELSRRLDELNGLPPKNLDLYPNLGKDRIVIVLYVHNRPQYLRVVVDSLSRVEGINETLLIVSHDGYFKDMHKIIDRIRFCQVKQIYAPYSPHLFPDSFPGVSPRDCKDKDDASEKHCEGNPDQYGNHRSPKIVSLKHHWWWMMNTVWDGLRETREHSGHILFIEEDHFIFPNAYRNLQILISLKPKKCPNCYAANLAPSDVNSRGEEWASLIAERMGNVGYAFNQTVWRKIKSKAREFCFFDDYNWDITMWATVYPSFGRPVYTLRGPRASAVHFGKCGLHQGQEEKKACIDSGMMNIRVDDPDKASNIESYWEVQVFEGQPGYKAGFKGWGGWGDDRDRHLCLSFATMYHSVETMPPL >OIV99022 pep chromosome:LupAngTanjil_v1.0:LG13:5004594:5006684:-1 gene:TanjilG_32281 transcript:OIV99022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSYTPKNILITGAAGFIASHVANRLVRSYPDYKIVVLDKLDYCSNLKNLIPSKSSPNFKFVKGDIGSADLVNYLLITESIDTIMHFAAQTHVDNSFGNSFEFTKNNIYGTHVLLEACKVTGQIRRFIHVSTDEVYGETDEDAVVGNHEASQLLPTNPYSATKAGAEMLVMAYGRSYGLPVITTRGNNVYGPNQFPEKLIPKFILLAMQGKNLPIHGDGSNVRSYLYCEDVAEAFEVILHKGEVGHVYNIGTKKERRVIDVAKDICTLFSMDREASIKFVENRPFNDQRYFLDDQKLKNLGWAERTTWEEGLKKTMDWYTQNPDWWGDVTGALLPHPRMLMMPGGLERHFEGSEDEKPASLGSSNTRMVVPSTKNTGAQQKPPFKFLLYGRTGWIGGLLGKLCEKQGIPYEYGKGRLEDRASLIADLQNVKPTHVFNAAGVTGRPNVDWCESHKTETIRTNVAGTLTLADVCREQGILVINYATGCIFEYNAAHPEGSGIGYKEEDKPNFIGSFYSKTKAMVEELLREYDNVCTLRVRMPISSDLNNPRNFITKISRYNKVVNIPNSMTILDELLPISIEMAKRNLKGIWNFTNPGVVSHNEILEMYRDYIDPNFKWTNFTLEEQAKVIVAPRSNNEMDASKLKKEFPELLSIKESLIKHVFEPNKK >OIV98603 pep chromosome:LupAngTanjil_v1.0:LG13:16860227:16860514:1 gene:TanjilG_23042 transcript:OIV98603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRVTICEDWDNAESQKIIQEYQHSYSNEESKNDSNHAKDIGSSEEIMKNAKHRMTQRKLIQLKEKLAKGHGNDSNMHILTHNGKVAPINKHESS >OIV99600 pep chromosome:LupAngTanjil_v1.0:LG13:764560:765751:-1 gene:TanjilG_17410 transcript:OIV99600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDTAVRKTQKAGREKLRRDRLNEHFAELGNVLDPDRPKNDKATILSDTIQLLNDLTSQVSKLKDEYTALNEESRELAQEKNDLREEKASLKLDIENLNNQYQQRLRTVFPWTAMDHSVMMAPPSYPYPMPVPVPPGPIPMQFYPHFANQNPPVFLSPSSTYVPYIAPNSVVEQQSTQYILPPVHQGSQSQVSSKLDSKRKSSRESRAERSQASNEVTTDLELKTPGSSADHGLSPEQRKSSKVSRKENSCTEGSSLGRCSSSHSIQDSSSSSIVGSRKSNE >OIV99373 pep chromosome:LupAngTanjil_v1.0:LG13:2258727:2258976:-1 gene:TanjilG_17183 transcript:OIV99373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKFDPWPIFFKREWNRTWPFLVGFAVTGTIITKLSLGLTDEDAKNSKFVQEHKR >OIV98597 pep chromosome:LupAngTanjil_v1.0:LG13:16757406:16760582:-1 gene:TanjilG_23036 transcript:OIV98597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAFRHFEPISKCNTQQRSNQTVASDLDGTLLVSRSAFPYYMLIALEAGSFLRGLVLLIFVPFIYFTYLFVSETLAIKTLIFITFAGLKIKDVEMVARSVLPKFYAEDVHPETWRVFNSFGKRYIITASPRLMVEPFVKTFLGADKVIGTELEVTKMGKVTGFVKEPGVLVGEHKKVAIVKEFQSNLPDLGLGDSESDHDFMSICKEGYMVPRIKCEPLLRNNLLSPIIFQEGRFVQRPTPLATLLTFLWLPIGIIFSILRVYLNIPLPERIAWYNYKLLGIRVTVKGTPPPAPKKGQKGVLFVCNHRTVLDPVITAVALGRKISCVTYSISKFTEIISPIKAVALSREREKDAANIRRLLEEGDLVICPEGTTCREPFLLRFSALFAELTDRIVPVAINTKQSVFYGTTVRGHKLLDPYFMFMNPMPTYEITFLNQLPTELTCSGGKSAIEVANYIQRVLARTLGFECTNLTRKDKYAMLAGTDGTVPSKKDKA >OIV99507 pep chromosome:LupAngTanjil_v1.0:LG13:1316643:1317797:-1 gene:TanjilG_17317 transcript:OIV99507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIQCDVCHKEEATVFCSADEASLCHACDHTIHHANKLASKHKRFSLHNPTSKHTPLCDICQERRAYIFCREDRAILCTECDLPIHRTNENTQKHNRFLLTGVKVGAACSSNPTLLCSNSNTTEIELRNSCSKANMSSDTGSVSTSSISEYLTETIPGYCMEDLLDASFSTNGFFYKDYEYDLKFQHQDLFQENMCSFPFASCSPQSQVRSSQFSTSNVPQIDYSVGVKEVTKAKAVEGYYNGYIVPSVTPPLIKKSRRSR >OIV99609 pep chromosome:LupAngTanjil_v1.0:LG13:705249:706529:-1 gene:TanjilG_17419 transcript:OIV99609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFFLCFFIFFLASSASASNECVHQSKATYFSKESSFPHGACGYGSLALNLTNGQLAGAVPSLFKNGVGCGACFQVRCNNTSLCTKEGTRVVVTDLNHNSQTDFVLSSQAFKAMAKKDLDKEILKRSIVDIEYKRNHGAVWDTSRVPEGPLQLRMVVTGGLEGKEIRAKKEVLPNDWKNGVVYDTGVQINDTSLYSSCNEGEGTW >OIV98719 pep chromosome:LupAngTanjil_v1.0:LG13:14804391:14805322:-1 gene:TanjilG_24890 transcript:OIV98719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDLETVCCMCGDVGFPEKLFRCNKCSHRFQHSYCSNYYGELPEIELCDWCQSEEKSSSRHIGFNSKKPVTGNDAGTTNRSEYSGEKIKQHDREESGSEKGKSPTPSPRTTTRRYKLLKDVMC >OIV99103 pep chromosome:LupAngTanjil_v1.0:LG13:6151911:6160573:-1 gene:TanjilG_32362 transcript:OIV99103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLVGPLVLSTDSGYRVWEDPSFIKWRKRESHVTLHCRDSVEGSLKYWYKRNQVDHLVSKSAVWNDDAVDEALDCCAFWVKDLPFVKSLSGHWKFFLADSPNTVPDKFFESDFQDLEWKFLPVPSNWQMHGYDVPIYTNVVYPFPVDPPLVPIENPTGCYRTEFHIPKEWEDVLLFCNDSQDSRLPAEFEITDFCHPCGSDLKNVLAVQVFRWSDGSYLEDQDQWRLSGIHRDVILLAKPKVFITDYFFKSILAEDFSSAEILVEVKIDNSQETSKDNILTNYTVEAALYDSGSWYTCDGNTDLLSSNVADIKFQPSTATTPLGFHGYTLVGKLQSPKLWSAEQPFLYTLVVVLKDQSGHIIDCESCSVGFRNVTKAHKQLLVNGQAVVIRGVNRHEHHPRVGKANIESCMIKVSRHSNFQESRCMYVVLKNGKKEDFSYRKCLENLVRKKYPDVAESFNDKHFRKPRGRAGGDQAPTTPLRTSTETNQAPRTPWPTSTETNQTPKSSWPTSTETNQAATSSWPTSTETNQAPTSSWPTSTESNQAPTSSWPTSTESNQAPTSSWPTSTETNQAPTATWPTSSEGNE >OIV98709 pep chromosome:LupAngTanjil_v1.0:LG13:14945014:14952408:1 gene:TanjilG_24880 transcript:OIV98709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCYIAYLGAHPHGSTPSTIDLETATDSHYNLLASILGSHEKAKEAIIYSYNIHINGFAALLEEQEAQDLAKKANVISVFLSKEHKLHTTRSWEFLGLQGNAMNTAWQKGRYGVNTIIANIDTGVWPESKSFSDEGIGPIPSKWLGGQVCQIDKLSASTKPPCNRKLIGARFFSKAFEAANGKLNSSQLTARDFVGHGTHTLSTSGGNFVPNANIFGNGNGTAKGGSPKARVAAYKVCWSQTDETKCYGADLLSAIDQAISDGVDVISISAGGEISVSPEQIFTDEVSIGSFHALSNNIVVVASAGNDGPEPGTVLNVAPWLFTVAASTIDRDFTSTLTLGNNDEYMFKFGATIKLLLSDYEVSGSSRGNNLSAYWGASLFVNLPPQKSFTLISSTDAKLANATFQDAQFCKPGTLDPAKVNGSVVICVREGKIRSVAEGEEALSAGASGMVLSNNNQSGNTVLAEPHVLSTTNTVNQVFKPNINEYYNSTKNATLIMSEAKTVLGIKPAPVMASFSSRGPNLVVPSILKPDITAPGVNILAAFSEAASASNLPSDTRRGFPFNVLQGTSMSCPHIAGVAGLLKTLHPNWSPAAIKSAIMTTASTRDNTNRAIRDAFDETLATPFAYGSGHVQPDLAMDPGLVYDISVSDYLNFLCASGYDQTLILALNSNKSFTCSKSFSITDLNYPSITLPNMKLSAVNVTRTVTNVGPPSTYIASVKLSGFKIVVVPNSLTFKKLGEKKTFQVIVQATSVTQRENYTFGELRWTDNGKHIVRSPIVARLD >OIV99042 pep chromosome:LupAngTanjil_v1.0:LG13:5254905:5255837:1 gene:TanjilG_32301 transcript:OIV99042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLELYEQNRIAPSNDVEGTAGSGNQTTAKVPASNDETTTTKSNPQIEGISSTLETSKPAAPKNSFVSSSANHVGRPVSNHGKNGDYESTETKHRIGDDDAKGKLGNQHSEQEPLLYKENIQEAHESNVGRSQMKEDAEMNDKQNSRSLNHRDGTFSRPQHEAIKKIDKDKLKAAIEKRKKATGHITKKTEIMDDDDLIERELEDGIELAAQNDKSKHDRRQSWSGKYEDHGEDHVHRMKVPPSFETDTSAVEEGEVAAVDEVGPGYQSPKSSNHKRKAGSSPDRIVEGKRWHTNGSGPPHHGRYVQESHV >OIV99503 pep chromosome:LupAngTanjil_v1.0:LG13:1341558:1344826:-1 gene:TanjilG_17313 transcript:OIV99503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVLFETPAGFALFKVLNEGKLSKVEDLWNDFSSVDSARQVVKLKAFSKFENTSEALEAATLLIEGKASKGLRKFLRVHCENETLAVADSKLGNLIKEKLKIDCLHNNAVMELIRGVRNHLAELISGLAVQDMAPMSLGLSHSLSRYKLKFSADKVDTMIVQAIGLLDDLDKELNTYAMRVREWYGWHFPELTKIIQDNIHYAKSVKLMGDRINAAALDFSEILPEEVEAQLKEASVISMGTEIGELDLANIRELCDQVLSLSEYRAQLFDYLKSRMNTIAPNLTALVGELVGARLIAHGGSLMNLAKQPGSTVQILGAEKALFRALKTKHATPKYGLIYHASLIGQAAPKLKGKISRSLAAKAALAIRCDALGDPQDNSMGLENRLKLEARLRSLEGKELGRFAGSAKGKPLIESYDKDKKKGSGGLITPAKTYNPSADSVIGQLTNSAMDEDTPVPSITDKKKEKKEKKEKKKKGEETNEEDATVQADGEEPEPEVVKKEKKKKKKDSADNAEVQNGNNLDAGEKKKKRKKHAEPEESPELPSKKKGKKKKNES >OIV98715 pep chromosome:LupAngTanjil_v1.0:LG13:14881508:14883298:1 gene:TanjilG_24886 transcript:OIV98715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDNNLWSGAERKVLYLLQRKNNTTISSLLQIHAFMLKNSLHSNLNLFAKFITTCGSLALSLPNDAVSIVHHARCVFDHHNQHCDEFLCNSMINTHFAIRQFSEPFILFRDLRREKSETFIPGGYTFTALIKGCGSCLAKREGLEVHGVVVKNGFCLDLYVGTSLVDMYVKFGVMGSARKVFDEMHDRSVVSWTAVIVGYARSGDMSEARKLFDLMPDRDVAVFNAMIDGYVKLGCMELAKDLFDRMVDKNVISWTSMISGYCQNGDVDSARLMFDVMNEKNVFTWNAMIGGYCHNKRSHEALRLFRNMQLSASVEPNEITVLSILPAVADLGALDLGGWIQRFVHRKRFDRFVNVCTALVDMYAKCGEIRKARLVFEEMPEKEISSWNALLNGYAVNGHGNEALEVFEEMIREGFKPNEITMLTVLSACNHCGLVTEGRKCFESMEKFGLAPQIEHYGCMVDLLGRAGCLGEAEKLIQTMPYNANEIILSSFLFACGYFKDVARAEGVLKEAEKMEKGNAGNYVLLRNLYATEQRWRDVEDVKQMMKKRGSYKEVACSVIEVDGIFREFVAGDYLHSNLEVIQLTLGQLVKHMKP >OIV98651 pep chromosome:LupAngTanjil_v1.0:LG13:16057332:16058387:-1 gene:TanjilG_12774 transcript:OIV98651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDSGEWDADKMLDFYIHDYLVKNNLHASAQSFMTEANLPVHKPAMKDAPDGFLYEWWSVFWDLSLTKMQKQCSESASAYIEAQIAKAREHRLQMQQLLVMQKDSAQLQQRDSDHSVLGGSLNAMNSKVVMGPPEASVSATEMFEERMKQLNSMGSEASLTPMHTYKMAFPKSATTHYR >OIV98712 pep chromosome:LupAngTanjil_v1.0:LG13:14907041:14913808:-1 gene:TanjilG_24883 transcript:OIV98712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMEQNQSEIIDHFIKQASVAASNASALASIITEATSHPLLFAFSEILALPNVLQLDSSENSVYLDMLQLFAHGTWSDYKSNAGRLPQLVPEQILKLKQLTVLTMADTYKVLPYDQLMQELDVTNVRELEDFLINECMYAGIVRGKLDQLQRCFEVQVAAGRDLRPAQLGNMIHTLSNWLSTSENLLVTIQEKIKWADSMGEIDKKHRKDVEEKVEEVKKSLFKVG >OIV99701 pep chromosome:LupAngTanjil_v1.0:LG13:75578:94779:1 gene:TanjilG_17511 transcript:OIV99701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQQSSRLQRLLTLLDTGSTQATRLTAARQIGDITKSHPQDLTSLLKKVSQYLRSKKWDTRVAAAHAIGSIAENVKHINLTELLASVVSKMSEDGISCSVEDLCAWPYVQSKINGSSFRSFDINKVLEFGALLASGGQEYDIGSDNIKSPKERLVRQKQNLRRRLEDEIGVRESRKERKIGQWRHAVEKQMEKQIGLDVCEQFMDISDVIRDEDLMAHKSVSHLNGSHRAFASYSVHNNIQKMVANMVPTVKSKWPSARERNLLKRKAKINSKDQTKSWCEDGSTEASGTPNLTTKGTCPDSVNYSKAFIDVNHDEDGFEHDENGQWPFHTFVEQLIIDMFDPVWEVRHGSVMALREILTHQGASAGVFKHNSRLDGAFFGELEDKSITNMPKREREIDLNMQVSVDEFESSLKRPKLEDVSSSTSMDSVMTCSNECDIDLSISSEAYGCNLPLDYGNGQFNGNSVDVDLESFSDGLHDAFKEPANFAEQKGYSDDNKIPSGNLHVLKNLPQNCELMNLVKVARSSWLQNCGFLQDCVIRFLCVLSLDRFGDYVSDQVVAPVRETCAQALGAAFKYMHPALVNETLNILLIMQCRPEWEIRHGSLLGIKYLVAVRQEMLHDLLGRVLPACKSGLEDPDDDVRAVAADALIPSAAAIVSLQGQTLDSIVMLLWDILLDLDDLSPSTSSVMNLLAEIYSQEEMVPKMYEVFKLGDSEMADQNGVGVGCENGEENPFILSTLAPRLWPFMRHSITSVRYSAIRTLERLLEAGHKRSMSEQASASFWPSCIFGDTLRIVFQNLLLETNEGILQCSERVWSLLVLCSVEDLDTAAKSYMAPWIELTSTPFGSALDASKMFWPVAFPRKSQFRAAAKMRAARIENESGGDLGLDSTKGSIPQDRNGDVAISSAKIVVGADMDTSVTHTRVVTATALGIFASKLPEDSVKFVIDPLWRSLTALSGVQRQVASMVLISWFKEVKSRNSSENLNGIPGALKDWLLDLLGCTDPAFPTKDSLLPYAELSRTYSKMRSEAGQLLNAVKSSGLFNELLSTTKIDLNSLSVDDAISFASKVPALSNDSSVNEPLEKNTVDDIESSKQRLLTTSGYLKCVQSNLHITVSSAVAAAVVWMSEFPTRLTPIILPLMASIKREQEEILQMKSAEALAELMYHCVARRPCPNDKLIKNICSLTCMDPSETPQAKSISSMESIDDQGLLSFGTPMSKQKSKVHVLAGEDRTKVEGFISRRGSELSLRLLCEKFGPLLFDKLPKLWDCLTEVLKPCSSESQAVTNENQVSVTIESVSDPQTLINNIQVVRSIAPLLNEELKPKLLTLLQCIFKCVQHSHVAVRLAAARCITSMARSMTVKVMGAVIENAIPMLEDASSVHARQGAGMLISFLVQGLGVELVSYAPLLVVPLLRCMSDCDRSVRQSVTHSFAALVPLLPLARGLPQPIGLGEGISRNAEDLHFLEQLLDNSHIEDYKLCTELKVTLRRYQQEGINWLAFLKRFKLHGILCDDMGLGKTLQASAIVASDIAEHRTSIGNEDLLPSLIICPSTLVGHWAFEIEKYIDASVISSLQYVGSAQERVFLRDHFCKHNVIITSYDVIRKDIDYLGQLLWKYCILDEGHIIKNAKSKVTLAVKQLKAQHRLILSGTPIQNNIMDLWSLFDFLMPGFLGTERQFQATYGKPLLAARDPKCSAKDAEAGALAMEALHKQVMPFLLRRTKDEVLSDLPEKIIQDRFCDLSAVQLKLYEQYSGSHVKQEVSSIVTTNEPGAAEGSSSSTKASSHVFQAISFLQLSALQYLLKLCSHPLLVTGEKIPDQLSIVLSGLFPPGSDIVSELHKLHHSPKLVALQEILEECGIGVDASGSEGAVGQHRVLIFAQHKAFLDIIERDLFQTNMKNITYLRLDGSVEPEKRFDIVKAFNSDPTIDALLLTTHVGGLGLNLTSADTLVFVEHDWNPMRDHQAMDRAHRLGQKKVVNVHRLIMRGTLEEKVMSLQRFKVSVANAVINAENASMKTMNTDQLLDLFASAEIPKKGASVAKSSENNYDGETKLVGSGKGLKAILGGLEELWDQSQYTEEYNLTKVVKEEEEEEEDPIGIDNPIPPLHIMISGAPASGKGTQCQLITQKVDGNVSKEQVFAQIDSALTTLLEQRKAASGSVAA >OIV99076 pep chromosome:LupAngTanjil_v1.0:LG13:5632297:5634189:1 gene:TanjilG_32335 transcript:OIV99076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPSMKSKSKSKEKASARARKDQQKCSPKTSVTTNHGSGIPTTAYNPISGTFHTLETSLVAYSAPLHENSHFLKIDDTDYHSSSPQGTVSECDSFSNNGSCSGESEDHKEKVANSSMRPDSIPGCDNERRGKIRLKNEKKHQRQRERRAQELHDRCSGYLMSRKLEALSQQLVAMGFSSERATLALMLNDGKLEESVSWLFEESEDESYTKNTATNLLSEGNLKIDISEELSQISAMEVRYNFSKQEVERVVVACEGDIQRAENTLKSPKQESPVIQPKLEDSAQNNSLVRSQGLPTASVSMQQRGNEYDYYYSKVGNSGASMLPDPESKNLQSLHLNHPNEPTEKRWGVTGSSPSTMLMMAPSMQAPSSFAKIDAKPSAHWNEGRMIQQGFGREPVVMMQHPKFTNGKQNSISSRNVSPSGTEGCYVNNIPNAENARSNGRLLQYHDTGSAGTQHIEQFCQAPYNEYSDVFGPINNLSARVGGFYKPMHAPSPLPSPTIGPQHQGSWSASASSPALTFPPSLGLFSGHHNASDRTFSSHSHVDWNNGSLMPEIDYTNIDWTFDTYPKSGGLWLGISSFLRNSSPCKSRLQNGGMAKESSSYAGLREWTTPFAGKDMFSVPRQLVTPTPL >OIV99642 pep chromosome:LupAngTanjil_v1.0:LG13:481138:488103:1 gene:TanjilG_17452 transcript:OIV99642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKSRSNLSETPNKASLATPRVSRVSRGVSKTESDSPSPLQNSRLSVERSPRSANSKPILDRKSPRLTSTPPDKVPQKPVKGSELQNQLNLVQEDLKKAKEQLILSQKEKVKAVDELQEAKRVAEEANEKLREALVAQKRAEEDSEIEKFRAVELEQAGIETVKKKEEEWQKEIESVRNQHALDVAALLSTTQELQRVKQELAMTCDAKNQALNHADDATKIAEIHVEKTEILSAEVMQLKSLLDSKLETEASENEIILKLKKEIEALKQELEQAKDYDEKLIEKETFIEQLNVELEATKMAESYAHNLLGEWRKKVEEQEARVKEANKLEKSASESLESVMKQLEASNDLLHDADSEIASLKEKVGLLEMTIGRHRVDIEDSGRQTLMAKEESLEMSKKVESLKSELETVKEEKTQALNNEKLAASSVQTLLEEKNKLINELDNSSHEDEKSKKAMQSLHRNIFQLIINRSVMTQILGYNMCSYITILSNLSETPNKASLATPRVSRVSRGVSKTESDSPSPLQNSRLSVERSPRSANSKPILDRKSPRLTSTPPDKVPQKPVKGSELQNQLNLVQEDLKKAKEQLILSQKEKVKAVDELQEAKRVAEEANEKLREALVAQKRAEEDSEIEKFRAVELEQAGIETVKKKEEEWQKEIESVRNQHALDVAALLSTTQELQRVKQELAMTCDAKNQALNHADDATKIAEIHVEKTEILSAEVMQLKSLLDSKLETEASENEIILKLKKEIEALKQELEQAKDYDEKLIEKETFIEQLNVELEATKMAESYAHNLLGEWRKKVEEQEARVKEANKLEKSASESLESVMKQLEASNDLLHDADSEIASLKEKVGLLEMTIGRHRVDIEDSGRQTLMAKEESLEMSKKVESLKSELETVKEEKTQALNNEKLAASSVQTLLEEKNKLINELDNSRHEEEKSKKAMESLASALHEVSAEAREAKEKLLSSEVERESYENQIEDLKLVLNATGEKYKSMLDDARREIDVLTCNFENSKNDLENSKAEWEQREIHLVSCIKKTEEENSSFGKEINRLALLLKETEEEANASREEEAQLKENLKEVEAEAIHLQEALKEVTAESMKLKESLLDKENELQSLFHENDELQMREVESIKKVDELSKLLEEATARNHAEENGDLSDSEQDYDLLPKVVEFSEENGHGGEDISKLELPINESALLNDNAEKTESPKLENVNGKLKEDENEEKDDSAEVEFKMWENCKIEKKEFSPERELEPESFEEEVDHSKIERGNESFDKVNGTAVTSITENTDDGGSSPSKQQSKKKKKPLLGKFGSLLKKKGGSNQK >OIV99175 pep chromosome:LupAngTanjil_v1.0:LG13:4166567:4167571:-1 gene:TanjilG_19671 transcript:OIV99175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLIGAPSPTTPAPPRPPSSTPFPVREDCWTEDATFTLIDAWGEHYLDLNRGNLRQKHWQEVADAVNNRHAAAAGNGNFNKKKCPRRTDVQCKNRIDTLKKKYKIEKARVSDSAGGYESPWPFFSRLDALIGDNFPIKKFSPPATSRRNSSAASKPPPPLPTPAWITSVPVGPRSGTQKRPAALSPAIKDDFTFRRNFSAFAAAAAAAAEAESDDSDGLKSSTGTRGRVVREESEKEMEYGYRELANAIERFGEIYERVESVKQIQMVELEKQRMQFAKDLEYQRMQLFMETQLQLHKVKRTKRSSGASGNALLQMLNPLTYFESQSYLNLVI >OIV99009 pep chromosome:LupAngTanjil_v1.0:LG13:7481804:7488988:1 gene:TanjilG_29412 transcript:OIV99009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDAESCNIRVLNSGWNHVNHIIKVEAYNEVVSRLKELNVPEVFLPGFEDELWMHFNRLPIRYVRYALDMKVDRAKDILMHKRLLHMAGASPFAIAPAVEVNLVQVHSASGVHCSNSLHSISQREITSQDSDIPGMRHGFVKIYTFLTSLLSETGLDIQEAHAFSTLDGYSLDVFVVSGWALEETEKLKHVLTSKIHKLEHWLKESSVPIKKLEKTGINFKHENLSIGGNNAWEIDASCLRYVKKIASGSFSDVYKGTYCNQDVAIKVLKNGNLNENIQREFSREVYILRKIKHKNVIELIGACTIPSSLYIVTEYMSGGSMYDFLHIQKAVLTLSSLLKVAIDVSEGLHCLHNHGIIHRDLKSANLLMDGKGVVKIADFGVARLLDPTGIMTAETGTYRWMAPELPYEHLSPLQAAVGVVQKGLRPEIPRDTHPKLVELLHQCWQQDPSVRPDFSEILKFLQHFRNMVEGKRKVKAKIMHEKE >OIV98834 pep chromosome:LupAngTanjil_v1.0:LG13:11122848:11132582:1 gene:TanjilG_08490 transcript:OIV98834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALDVTFESICPSRFISFTIPSPTCSDSLLRVAVLDSPVQPTDSPQVGAMLVPEGRENDWIFSTELGQLQLLFSSPEISRLILIGNQFKEGDFSRNIYHRPLKCSLQEQGFEVWSKPLLLALSPRSLFRNGIPEIPILSYEDSLVSSIVIHSCVGYHVGEILVEDVEIESESEVHHGCHKREFRRRMRFKKMPNLIQTEIRIVPETDPSLNSVRIGDMGFIPDLQVLVHPYLAPMVASLSLISEYLEGQMQNGFKPKALCLGVGGGALLTFLTTQLGFEVMGVDNEMEVLRVAKDYFGLEDSECMHIVVGDAIKYIKKLAYHENPQTLSSIVDCDYNCLSHLVDGKVNHKFDVVMVDLDSSDIRSGISSPPLEFVRKNVLLAVKLALSESGILAINVIPSTKSFYDNLVTHFLGVFEELYKIDVGNGENFVLIATVSPQKKDNNIKKKMLTKFETKSNRVKGLSFHIKRPWILASLHSGVIQLWDYRMGWCNGSFCEQEYDMRCALHTFAGFISGHDQTPPLIVSGAYDGQVKLWKLWRMNAHPELNLLAAGHDNDMIVFKPERERPAFTISVDSLFYTKDRFLYDVLDKSTNQALLKILKNEIVKKSALPIAIDSIFYAGTVNLLCRSEDRVVIFDLQQRLVVGDLQTPFIKYVVWSNDMESVALLSKHAIIIASKKLVHQCTLHETIWVKSGGWNDNRVFIYTTLNHIKYCLPNGDSGIIKTLDATIYITKVFGNTIFCLDHDRKSRSIIIDATEYIFKLSLLKKRYDHVMNMIRNSQLCGQAMIAYLQQKGFPEVALHFVKDERIRFNLALESGNIQIAVASTTTIDEKDHWYRLGVEALRQGNIVKNDVMGQFHNALYIADVQERIKILENVSHLPFAYITASVHGLHDVAKRLAAELGDNVPSLSEGKVPSLLIPPPPVMCGSDWPLLRVMKGMFDGVFDNTSADAADEEYEAADGDWVEELDIVDVDGLQNGDVTAVLDDGEVAEENDEEGGWEMEDLELPPEVDTPKVSTSSHSSIFVPPTPDIPISQIWIQSVKEIT >OIV98822 pep chromosome:LupAngTanjil_v1.0:LG13:12481829:12485489:-1 gene:TanjilG_25068 transcript:OIV98822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKRKSVATSLDEVDRTMYASFCSAANSLSQLYTHAMNHQKLSFHAGECHALEKLNQWIWRQQEEGSRLSPVDVINYIQNELDYCGEEQQSMSPRAPLQQQQSQAVMHVPSSDNQPKNSVFSNALSSPVRRSLHQAGNHSSGLGNRNTEPDFPHQQSRDSVTFGSDDSAMDMHAD >OIV99451 pep chromosome:LupAngTanjil_v1.0:LG13:1687881:1688099:-1 gene:TanjilG_17261 transcript:OIV99451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGLVDIWTRELSKLKEKGQSPIQHETSQGIQEKVNISTKLAVTVDKLIKFNKSRLLYSEASLSMLVECFSP >OIV98617 pep chromosome:LupAngTanjil_v1.0:LG13:16614782:16617063:-1 gene:TanjilG_12740 transcript:OIV98617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKDRKVGVAIDFSKSSNNALNWALENLADKGDTFYIIHIITHSHDESINKLGLKFGSPLIPLSEFREPEILSKYGVKTDAEVLDTLDTAARQKEVNIVAKLYWGDAREKLLDSVADLKLDSLVMGSRGLSTIQRILLGSVSNFMVTHAPCPVTIVKDASNIK >OIV98771 pep chromosome:LupAngTanjil_v1.0:LG13:13652311:13654798:1 gene:TanjilG_20517 transcript:OIV98771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDVFNEEQILEIKEAFGFFDKDGDGCITVEELATIIRLLDQNPTEEKLEDMINEETDAEEDLKEAFKVFDKDQNGYISASELRHVMINLGEKLSEEEVEQMIKEADLDGDGQVNYDEFVKLMMNIG >OIV99511 pep chromosome:LupAngTanjil_v1.0:LG13:1286296:1288053:-1 gene:TanjilG_17321 transcript:OIV99511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSHLLHFLLRPQPSLSSSSSSFVSFLKPLLLSSPNVLSTRLPKPFFTSLSSLHAPPFSTSFSSSSLSVPNTLSPSAFFGENSVENSEEDNIEDDPVEELGELETERSNFEDTQLGLETERSNFEDTQLGLETERSNFEDTQLGLESDSTSSPLEKKREVMLKLEVPSLTVKERKELGSYANSLGDKLKTQLVGKSGVTSSVATSFIETLERNELLKIKIHRSCPGELDDVVKQLEESTGSVAVGQIGRTVIIYRPSLTRLKAEEKKKFVRNLILKKQKARLSKGPAPTFSKRGSTFRNMESKS >OIV98717 pep chromosome:LupAngTanjil_v1.0:LG13:14864397:14865119:-1 gene:TanjilG_24888 transcript:OIV98717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNDAHCTISTTITTSSSSSSSSSTTSSISNTKSHTYQNSKKGPSKDSQNGTKECKKRKRMSENESKNPTYRGVRMRSWGKWVCEIREPRKKSRIWLGTYPTPEMAARAHDVAALAIKGDSAFLNFPKLAQYFPTPETTCPKDIQAAAAKAASTTIFEDDTKYCEADAETEQDLLVEPSCLTISNDNSLDDDDDDTLFDLPDLFPDVNNGVFSYSSSSSWHLCTVDSGLRLEEQFLWDNY >OIV99371 pep chromosome:LupAngTanjil_v1.0:LG13:2270337:2271726:-1 gene:TanjilG_17181 transcript:OIV99371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAKVDSSKKGDPKAQALKTAKAVKSGPTFKKKAKKIRTKVTFHRPKTLTKDRNPKYPRISATPRNKLDHYQILKFPLTTESAMKKIEDNNTLVFIVDLRADKKKIKDAVKKMYDIQAKKVNTLIRPDGTKKAYVRLTPDYDALDVANKIGII >OIV99322 pep chromosome:LupAngTanjil_v1.0:LG13:2641364:2645073:-1 gene:TanjilG_17132 transcript:OIV99322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRYDNGNANGSVWVDPLMWGVAAESMKGSHVEEVKEMVREYRKGVVRVGGMTLTVGQVAAVAERRVKVELNEEVKERVKESSEWVMESVKKGTDSYGVTTGFGATSHRRTTQGVALQAELIRFLNAGIFGNGAEACHIMPNNATRAAMLVRINTLLQGYSGIRFEILEAIVKLLNHNITPLLPLRGSISASGDLVPFSYIAALLIGRPNSKAVGPNGESLSAAEAFHLANIGKDFFELQPKEGLALVNGTGVGAGLASLVLFEANILTVLSEVMSAIFAEVMQGKPEFTDHLTHKLKHHPGQIEAAAIMEHILNGSIYVKAAQNLQEIDPLQKPKQDRYALRTSPQWLGPQIEVIRSSTKSIEREINSVNDNPLIDVSRNKALHGGNFQGTPVGVSMDNTRLALAAIGKLLFAQMSELVNDYYNNGLSSNLSGGRFPSLDYGFKGGEIAMASYCSELQYLANPVTTHVQSAEQHNQDVNSLGLISARKTAEAVEILKLMSSTYLLALCQAIDLRHLEENLKNTVKIAVSHAAKKVLILGINGELHPSRFCEKDLLKVIDHEYVFDYIDDPCNATYPLMQKLRQVLLEHALLSNDALNLLKIGAFEEILKTVLPKEVESTRVAFEKGCSQSPNKIKECRSYPLYKFIREELGTEFLTGEKIKSPGEDFDKVFSAINQGKIIDPMFECLKDWDGAPLPICGSH >OIV98619 pep chromosome:LupAngTanjil_v1.0:LG13:16587169:16589263:1 gene:TanjilG_12742 transcript:OIV98619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLIANLIVYLHTQYNMDNTTSAQVFTLWAGSTNFLPLVGAYIADAYAGKFNTLFFSSIASFLGMGFVALGAGVPSLRPPSCSTHYESEYSDCIQATGFQLSILYVGLALFAIGSGGLRPCNISFGADQFDTSTEKGREQLESFFNWWYFLFTIALLLTLTVVVYIQTNVNWFLGFIIPTACFACSLTIFVLGRKAYIRSKPKGSVISDLVRVVIAANRKRHLDISKDSELSFYDPPLEDELDPRMSKLPHTNRFRFFDKAAIITDPSECGSDVKLVDNWKLCSVQQVEELKSVIGTLPIWLAGILCFLSMTQANSFGILQVIQTHKSIGKFNIPPAWMGLVPMIALSTWIFLYEKIYVPFRDTRNKRGSRLTVDQRILIGIVLSIVCMIVSGLVEERRRGEALKNGSFESPTRIWWLVPQFALSGLVEAFAAVAMMELLTSYWPNSMKTLGGAVFFLSLSICNYLNSLIIKIIELATGKNGKTPWLGGNDLNKNRLEYYYYTIAVLAGLNLLYFQFIARRFLYSEVLQNSSKVDPEDEERGNSNMLPLNEDEKVVG >OIV99094 pep chromosome:LupAngTanjil_v1.0:LG13:5919448:5920680:1 gene:TanjilG_32353 transcript:OIV99094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLTGKITTEFGILSPATKFFHFVAKQLHHVQNVSDKVHHTKVHEGDWHSVGSVRNWTYVVDGEVIQAKENFEVIDEENKTLVMNVFDGHVSEKYKLFKLTLQLNDDKDNDGAIVKWTIDYEKINRDIAAPYGHLGFLNKTTEDIDAHLLKA >OIV98754 pep chromosome:LupAngTanjil_v1.0:LG13:14046214:14054319:1 gene:TanjilG_24925 transcript:OIV98754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKKQHIAIFTTASLPWLTGTAVNPLFRAVYLAKDGERDVTLVIPWLTLEDQALVYPNNATFSSPSEHEKYIRQWLEERVGFKLGFSILFYPGKFSKDKRSILAVGDISEIIPDDEADIAVLEEPEHLTWYHHGKRWKTKFRLVIGIIHTNYLEYVKREKNGMLASFLLKYLNNWVVGIYCHKDYDGSIVCNVHGVNPKFLEIGKKKREQQQNGDQAFTKGAYFIGKMIWSKGYKELLQLLHDHQKELTELEADLFGSGEDSDEVQEAVKKLELAIRVHPARDHADPLFHDYKLFLNPSTTDVVCTTTAEALAMGKIIVCANHCSNDFFKQFTNCWTYDDSDEFVKLTLKALAEEPAQLTDAERHNLSWEAATERFLKAVDLDKPYDRTLSRSTSNYMSTSLNLQQTVEDASAFVHHVASGFEISRRIFGAIPDSLQPDEQLRKELGLTDAARKQEKN >OIV99419 pep chromosome:LupAngTanjil_v1.0:LG13:1917440:1920035:-1 gene:TanjilG_17229 transcript:OIV99419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSNDWLSFPLSPTHSPLQAHDDLQATQYHQFPLGLVNENMENPFQIHDWHLINTQSNEEVPKVADFLGVSRSENHSDLPAMNEIQPNESEYLLTNAPMQNTSLTTSSNYDYQESANSNLQSLTLSMGSGGYDKEEKAARAYDLASLKYWGISTTTNFPISNYEKEIDEMKHMTRQEFVASIRRHHQHGRWQARIGRVAGNKDLYLGTFSTEEEAAEAYDIAAIKFRGLKAVTNFDMNRYDAKAILESNTLPIGGGGAKRLKEAQALEISRKHDQEMIALGQSFQYGTSSSIRLQPYPLMQQFEQPPQPLLTLQNHDMSHFSQDHSSLHPSYLQTQLHLHQQSGSSYIHQSAQNAPFYNSYLQNHHPALLQGMMNMGSSSSSSSLMENDNNNVGGFTGNVNAVGSSEQLGLVKVDYDMSLGSYGGWSEEGTESMEASTAGGGGGVFNMWNEWQKQ >OIV98789 pep chromosome:LupAngTanjil_v1.0:LG13:13022092:13023642:1 gene:TanjilG_15761 transcript:OIV98789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCLFNLYDFSLVYMIYVLCCSFMQSWFKISSLALEIIHCCSDHLRDVFGKAMGLSDQDIVALSGGHTIVC >OIV98713 pep chromosome:LupAngTanjil_v1.0:LG13:14899968:14901881:1 gene:TanjilG_24884 transcript:OIV98713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVFSPQSFNLSIDPDSITHEQALTIVASLAADAGSMVSFSFFNWAIGYSKFQHFMRLYIVCATSLIGNGNMERALEVMQCMVQNFAMIGKVKEAVEIVIEMQNQGLVLNNQIFNWVIKVTSEMGLVDYAEYLFEEMCVRGVEPDSVSYRLMVIAYCKMGNVLEADRWLSAMLERGFVVDNATLTLIISKFCENGYATRAFWYFRKVSGIGLKPNLINFTSMIEGLCKRGSIKQAFEMLEEMVGKGWKPNVYTHTALIDGLCKKGWTERAFRLFLKLVRSENHKPNVLTYTAMISGYCREFKLNRAEMLLARMKEQGLVPNTNTYTTLIDGHCKVGNFGRAYDLMSLMSKEGFSPNVCTYNAIVDGLCKKGRVQEAYNLLKNGLHNGLEADKITYTILMSEHCKQADTMQALALFNKMVKSGIQPDIHSYTTLIAAFCRVRRMRETETLFEEAVRFGLIPTKKTYTTIIGGYSRDGNLSLALKFFHRMSDHGCVPDSITYGALISGLCKESKLDEAHALYDAMIEKGLTPCEVTRITLAYEYCKIDDSCSAMGVLERLEKKHWIRTANTLIRKLCSEKKVGMAALFFHKLLDMDNPVDRVTLAAFMTACYESNMYALVSDLSSRIHKENRLAIKVTK >OIV99474 pep chromosome:LupAngTanjil_v1.0:LG13:1559719:1564471:1 gene:TanjilG_17284 transcript:OIV99474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKLILCVFLTFTLIPLLCTSFSSDTPSDRRVLVLLDDFALKSSHSLYFNSLHSRGFDLEFKLADDPKIALQRYGQYLYDALILLCPTIERFGGSIDAAAILDFVDSGHDLIVAADSNASDLIREIATESGVDFDEDPAALVVDHSGYAVSASEGDHTLIASDDLIKSDVILGSKKIEASALLIYILIALCAAPVLFQGIGHSLNPSNSLVLKVLSASPSAYSANPKSKLASPPSLTGSTISLVSVIQARNNARILISGSLSLFSNRFFRSSVQKAGSPIKHDKSGNEQFLTEVSKWVFHERGHLKAVNVQHHKIGEADEPAMYRINDDLEYSVEIYEWSGTAWEPYVADDVQVQFYMMSPYVLKTLSTDGKGRYFTSFKVPDVYGVFQFKVEYHKLGYSTLSLSKQIPVRPFRHNEYERFIPAAYPYYGAAFSMMAGFFIFTAVHLYNK >OIV99224 pep chromosome:LupAngTanjil_v1.0:LG13:3620846:3622208:1 gene:TanjilG_06529 transcript:OIV99224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRVPCCEKNNGLKKGPWTPEEDVKLINHIQNHGPGKWRNLPKIAGLQRCGKSCRLRWANYLRPDIKRGRFSFEEEETIIQLHSVMGNKWSAIATRLPGRTDNEIKNYWNTHIKKRLLRSGIDPVTHAPRLDLLNMSSILRTMLGNSSLLNLQGLIGAQALMNPELLNLVATASLLASQNNPQQLQYNGNCQTQNQEFSQFQTPTQSNNVGGFMGNLRCSSSLQNSIPTYLDENIVLQQNQVEELLGNDQVLVHQSVNNANKIIGYDSVLSSPNHLNNSSSTYVSSSTNEEERDSYCSELFNFEIPENLDISDFL >OIV99037 pep chromosome:LupAngTanjil_v1.0:LG13:5189316:5197727:1 gene:TanjilG_32296 transcript:OIV99037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKQKQKIILPPELPPEIPDDEVEVSDEDVQFVKDNRGYASLLSTLDTQSITKHVTRVADAKEDTLEKLYEERSRKSALKKEKEETGLQVDRVDALPIKTLDGKLYYRTAKKTEPENGPGEEEAEEDGNADKGLMKLTKSERRAKLKKMKREEKKQGKEVAKAEVQETPQAAVLAEVKEDLTAEETFDSKKRKLAELGDSLLTDPDSNIKFLKDMLQLCKDNDPTIVKLGLLSLLAVFKDIVPGYRIRLPTEKEMEMKVSKTIRKMRYYESTLLSAYKGYLQRLMILEKKPLFQHVSVRCICSLLDANPHFNFRESLLDATVRNLNSTDEAIRKPCCSTIKSLFTNEGKHGGEATVEAVRLIADHVKAYNCQMQPDSVDVFLSLSFYEDLGKPEKAEEDQKNKYKKNKKRKNSEASNQLPENDRKKSRRELISKTREEVEADYKSASFTPDVMEQRQMQTETLSAVFETYFRILKHTMQSIVARPPEANSGALATAVEPHPLLASCLKGLGKFAHLIDLDFMGDLMKHLKILASGSINPGSTSENGSKCLTVSERLQCCIVAFKVMRNNLEALNVDLQDFFVHLYNLIVEYRPGRDQGEVLADALKIMLCDDKQHDMQKTAAFIKRLATLSLCFGSPESMAALVTVKHLLQKNVKCRNLLENDTGGGSVAGTIPKYLPYSTDPNLSGAFATVLWELNLLSKHYHPTISTIASGISTMSAANNQLLLSKSSPQQAFKDLSLEQELSFPQVGSMKLNNKRRKTNLSATSSSIGSTTVVSSFNEDELQKKLSSHFMILHDIKENERLRSELDKTTQSLLLYEQYKKQKKQRSKAKSKLSAVV >OIV98542 pep chromosome:LupAngTanjil_v1.0:LG13:17605705:17617587:1 gene:TanjilG_12128 transcript:OIV98542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFTVLKSKKKKSDKIVHVKRVSHNDNVPTALPEPHTRSLQSAPPSFRTRVKPIQPIKKVNNNRTRALSAPSTLDAAEQDVLASVEYEGHEEPKHRTTSMKEQHSSSPQPLPLPSPQGGTALKAMGSFKSVTASGPLSASGPLPLPPTGSLRNFQYDEIAAACLNFSSDRCMSECLSSTIYKASFGDDVSSSKKFEATVTRLHPSTQGLKEFMNEIYTLASLQHPNLCKLLGFHARDGSEQRMLVYERLYHGSLDRLLYGRSDGPSIDWNTRMKIAMCAAQGLTFLHEEGPFQAMYNEFSTTNIQIDKDFSAKLSGYGCVGHIPEEEISSSSSAVGNLSMETLEKGMLTPKSNVWSFGIFLLELLTGRKNLDSRHPKEERNLVKWSRPFLADDYRLSLIMDPQLKGRFPAKAARTIADIAQRCLQKEPSERPTMRTVVKHLKTIQAVKYSCRFPLQEPAAFSGKHMSRSPSLNGIICPAPRSSFSPSPPSGALVSISPPRWSGVPIVPPPRARIYALTSNDIKVGSNIEVDGAPWRVLEFLHVKPGKGAAFVRTKMKNYVTGNTVEKTFRAGSSIAEADIYKETKQFTYKDGVQFVFMDLSTYEEMRLGEKEVGNKTKWLKEGMDCTLLLWNGKVIDVDLPVTVKLKIVDVDPGLKGDTAQGGTKPATLDTGAVVNVPLFVNDSPPPSEKKVDPIPNPVSGETTNNKEGPNNGTVSPYPTPSVPKVENVKEKEKEDKDSALPANAPPPPVNAPPPPVVANSSEQEKEKKKKEEKSGEQEKDKKKNEGESGEQEKEKLKKEGENGSQPVTNENCNGSNKCKDDGDMVACISITDPRYLVVLIQNNGDGTIKVKPLVETYHGDIEVGKHQTEKINISLISSEITQLTLTAGKGDCVLHVDTVVPKANFFLRLPSFDNILTPVNGAYFLIFAVLVFGGTWGCCKLRKKRHGEVPYQELEMALPESVSATNVESAEGWDQDWDDDWDDNVAVKSPVAHHARSISANGLTSRSSNKDGWDNNWDD >OIV98777 pep chromosome:LupAngTanjil_v1.0:LG13:13216191:13217642:1 gene:TanjilG_20501 transcript:OIV98777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGILSLRRAALVRQHSEKLGNGFRLFSTQGASSASTPQPPPPPPPPEKTHFGGLKDEDRIFTNLYGLHDPFLKGAMKRGDWHRTKDLVLKGTDWIVSEMKKSGLRGRGGAGFPSGLKWSFMPKVSDGRPSYLVVNADESEPGTCKDREIMRHDPHKLLEGCLIAGVGMRASAAYIYIRGEYVNERLNLEKARQEAYAAGLLGKNACGSGYDFDVHIHFGAGAYICGEETALLESLEGKQGKPRLKPPFPANAGLYGCPTTVTNVETVAVSPTILRRGPEWFASFGRKNNAGTKLYCVSGHVNKPCTVEEEMSIPLKELIERHCGGVRGGWDNLLAIIPGGSSVPLIPKHVCDDVLMDYDALKAAQSGLGTAAVIVMDKSTDIVDAIARLSYFYKHESCGQCTPCREGTGWLWLIMERMKVGNAKLEEIDMLQEVTKQIEGHTICALGDAAAWPVQGLIRHFRPELERRIREHAERELREATA >OIV99059 pep chromosome:LupAngTanjil_v1.0:LG13:5434146:5438449:-1 gene:TanjilG_32318 transcript:OIV99059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCFFKLFFLFSLFFFNFSFSHDTSLLPRPLILEYSDLVGEELKLKCRSWRVAGEANNLSPWKTIPEQCEEYVKEYMVGKSYAFDLELVSKEAEDYAKTVQLNGDGKDAWIFDIDETLLSNIPYYADHRFGLELFDHEEFNKWVEKGMAPAIKPSLKLYEDVLNLGFKVILLTGRSERHRTVTTDNLISAGFRDWDQLILRASEDHAKLATVYKSEKRSEMEKDGYRIHGNSGDQWSDLLGFSLSVRSFKLPNPMYYIP >OIV99194 pep chromosome:LupAngTanjil_v1.0:LG13:3959555:3961560:-1 gene:TanjilG_19690 transcript:OIV99194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGRAPKKSDNTRYYEILGVSKNAAQDDLKKAYKKAAIKNHPDKGGDPEKFKELAQAYEVLSDPEKREIYDQYGEDALKEGMGGGGGGHDPFDIFSSFFGGGGGPFGGGSSRGRRQRRGEDVVHPLKVSLEDLYSGTSKKLSLSRNALCSKCSGKGSKSGASMKCAGCQGTGVKVSIRHLGPSMIQQMQHACNECKGTGETINDKDRCPQCKGEKVVQEKKVLEVIVEKGMQNGQKITFPGEADEAPDTVTGDIVFVLQQKEHPKFKRKAEDLFVEHTLSLTEALCGFQYVLTHLDGRQLLIKSNPGEVIKPDSYKAINDEGMPLYQRPFMKGNLYIHFTVDFPESLSLDQVKALEAVLPPKPSSQLTDMELDECEETTLNDVNMEEESRRKQQQAQQEAYDEDDDMPGGAQRVQCAQQ >OIV99571 pep chromosome:LupAngTanjil_v1.0:LG13:949809:952266:-1 gene:TanjilG_17381 transcript:OIV99571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKSCDLKININDHQLFLVNQKIISKYCGRVKKILKHEKRRKNLEIRINDFPGGPDGFEMVSRFCYNKGKFPITVANVPLLHCCAIYLGMSEEFFTNNLLQQTETFLKGIYYWKWNDIVVSLINCELLYTYADCYGLLDKIIGALLTKIAQNSDANLFTSSSSSSLSYSSPDSNSIKRSFSSTKTTPEKINSTLPSKAWWFDDLTTLPPSIICKLFQCVGAYKTGNNNLVLTRFLLHYLKTATQTRGVNDRNNTEYAALADTAVYGVILVGKKSFSCRGLFWVLRIVSGFGLSIECRSELEKMIGGMLEQATLDDLLVSGHDMGVYYDVNLVIRLVRLFVDISGFDGVSLQKVKRVGRLVDKYLREISPDHNLKISKFLGVAECLPDSARDCFDGVYRAIDIYLESHPRSTFEERSRLCRCLNYNKLSFEASKDMAKSPRIPPRISMQALISQKTKIPTTEFVPESSKMNPSQIVLYNETNNTESFTQEKKDMTVINLEKMQRRVVELEKLCKEMDGHLSKLVSHRVLFNPTRSRALLRFC >OIV99161 pep chromosome:LupAngTanjil_v1.0:LG13:4552042:4552284:-1 gene:TanjilG_01136 transcript:OIV99161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGAQLRSTSPALTLQGSQQAEGSVTVATSAESKPKKKICCSCPDTKKLRDECIVENGEDACTKWIEAHRQCLRVEGFNV >OIV98562 pep chromosome:LupAngTanjil_v1.0:LG13:17283763:17285352:-1 gene:TanjilG_12148 transcript:OIV98562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPATGKHSSLRNVLIRLLLLAVFIFGVRFAYVITVAGTSCAVADFCFFSLPETLNLVISGVVTGTGPVVVERSVSGGYVLPENQTRKKWINGVRFYSSVFRELVSDGYLSTASKSLCVETPTGHAVLALKEIGVVDAVGISKKALKALVKPGEGHWIPFAGETFDFVFSVESMLVELVRPLEFASEIARTLKPEGFAVFHLTNPRDTYSFNSFLNLFNYCFKLVKSIDIDGFDYSIPNIREIVLKKECGSVNDQFHSVVNFEKLDSDYSNGYSDGDYSEKCSIPGYKKDLVRNAEPLILEEPLKPWLTLKRNVKNIKYLPSMVDINFKNRYFYVDVGARSYGSSIGSWFRKQYPKQNKTFHVYAIEADKTFHQEYGLKKGVTLVPYAAWVKNETLAFEIHRDPGEHVVVKGRGMGRIQPLQSSAGVFDGEVEKIQGFDFADWLKSMVSKNDFVVMKMDVEGTEFDLIPRLFETGAICLVDEIFLECHYNRWQRCCPGKRSTKYEKTYQQCLELFNSLRQSGVLVHQWF >OIV99334 pep chromosome:LupAngTanjil_v1.0:LG13:2546328:2554089:1 gene:TanjilG_17144 transcript:OIV99334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPLLNTDLSSSKTLNPINVQDSTSEVHPTAPNETQVTEENDAVVVTGEKRKRDDGDDRSLHPMWKTSLCSFFRRQDKDCSHGDACRYAHTEEELRPRPDNTWDPTSERAKKALKTKAEEKNSVSEDVMVTEALVDDDDDGDSNNALSKCLGITFKLAKKKKGMALGFVTFEDEEQMKSASKDLDGKLVGGGGKRIKVADVIPRSFEKKSNMENTLLDAETNGDNSKTRNVRDVVTPLAHLDYAEQMEQKKTSLMQMLKKLTRNARKACPNGVPLPEWILKSREIGGLPCELEGIIASPLVNGYRNKCEFSVGYSLEGKVTVGFMLGNFREGVTAVEEAVDCPNVSAIACKYATIFQEFLQHSDLPVWNRFKNNGFWRQLTVREGRTNRNAVDAETFDGIAEAMLIVQVSTSGVDDAQVAAEFKKLAEAFVAGATSHSPKLPLTALVVQDHQGISNVAPADAPLHSLPISKAVSAPDIEGKNAMDVRIHDYISNLRFSISPTAFFQVNTLAAEKLYSLAGDWAQLGPDTLLFDICCGTGTIGLTLAHRVGMVIGIEMNASAVSDAHRNAEINGIKNCRFICSKAEDVMGSLLTEYLNVAKKEVDDPNIAGSNIDVSEGSSCQEPENGERVSPPTHSSENNNADNDVSEAFASEVPENGEKATHCSENDTAEIGSGLQKDSSVENGNSSSQQFKNVVAIVDPPRAGLHPTVIKALRTHPGLRRLVYISCNPESLVANAIELCTPSPEKFEKGNKGNRGWRNMSSAGLARHRSKSMPISEAFKPVKAMAVDLFPHTPHCELVMLLERITIECVVGSTSLGAPTLPIIHDWWVFGMVSGYVPPIRTEGVLAPLPIGFAVFMVHLATIPVTGTGINPARSFGSAVIYNKEKIWDDHWIFWVGPLIGAFVAAVYHQYILRAAAIKALGSFRSNN >OIV99274 pep chromosome:LupAngTanjil_v1.0:LG13:3142519:3148166:-1 gene:TanjilG_17084 transcript:OIV99274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMGKADSSPVPKPSDPEKPAESDERVNFNDANDSEVEMEEEVEYEEVEVEEEVEEEEEDPEEEEYEEEEVEEEEEEVEEVEEDDAKVEDENEKKKHAELLSLPPHGSEVYIGGIPLDASNEDLKAFCAHIGEVTEVRIMKGKDASENKGFCFVTFRSVELASKAIEELNNKEFKGKKIKCSTAQAKHRLFIGNVPRSWDEEDLRKVVTEIGPGVTSLELVKLGGNAPTVSWADPKNADSSASSQVKAVYVKNLPKNVTQEQLKKLFEHHGKITKVVLPSAKSGQEKNRIGFVHFAERSNAMKALKNTETYELEGQILECSLAKPQADQKSGGSNTQKPGLLPSYPPQVGGYGLLGGPYGALGAGYGAPVLQQVKAVYVKNLPKNVTQEQLKKLFEHHGKITKVVLPSAKSGQEKNRIGFVHFAERSNAMKALKNTETYELEGQILECSLAKPQADQKSGGSNTQKPGLLPSYPPQVGGYGLLGGPYGALGAGYGAPVLQQPMMYGTGPTPAGMGMMPMLLPDGRIAYVLQQHPGMQPHTHAQTPSSHQRGGRNGGGGSGRSGGGGSGSRNSGSSNKGRYNNDSGQGRRYRPY >OIV98549 pep chromosome:LupAngTanjil_v1.0:LG13:17532799:17535164:1 gene:TanjilG_12135 transcript:OIV98549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESNISAPFNSVKEAATRYMNFITPSVYIEEYDPKKLEEQAATLKKELILKERETVDVLQELDSTGRIVEDLKSRLKEEESKVKIVSDREENESQVTRLNVLKPLRDDFVFYPSSNPGKVLMELKQAKLNLIKLSYDVAGRRAFVESLKKKLKKERIELEKTRERLTLNCWKKSSLKEELNRIRLRLHVAKGSEIKYALDDPFDFTREMQRMIAKSEHFRKIGEAARSELFRTMSEIEETKMSIRTSEIWLDAARKMKEASNAAEAVALSEINALSNQNHEEITLSIEEYTALTRKARDAEEQSKKRVVDAMLEVDRAMLSKIRISKREEEATEDVKTNKKALEEALERVEAANREKVAVEEALRKLKFDGHKSRSSRHNRAKFKNSSSRRRDSRLLDVNGLDLVNEETKLVSKPSQSIGQILRGELLAPQEIEVGMPKERNSVQLGQIICKKDDDPSFDIKLDAENCKKQISSKRKNFGIAKTALFLIKRLKKKNQPTLKRQPTLKSWLQ >OIV98802 pep chromosome:LupAngTanjil_v1.0:LG13:12004842:12011204:1 gene:TanjilG_25048 transcript:OIV98802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQVSMGAIDFHTSSSFSMVKSNTNLQQLGFKPRPNIFSFDGNQRKNFVVMASTVPVEQVNKVPLQVKGDSFIREHLRKLAPYQPILPFEVLSSRLGRKPEDIVKLDANENPYGPPPEASYYCICLLVMEALGSIRFPYVYPDPESRRLRAALARDSGLESEYILVGCGADELIDLIMRCVLDPGDKIVDCPPTFTMYEFDAALNGALVIKVPRGPGFSLNVEHITEVVKQEKPKCIFLTSPNNPDGSIIEDEVLLKILELPILVVLDEAYIEFSGIESRMSWVKKHENLIVLRTFSKRAGLAGLRVGYGAFPLSIIEYLWRAKQPYNVSVAAEVSACAALENPVYLEDVKNALLKERERLFDLLKEVPYLRPFPSYSNFILCEVTSGVDAKKLKEDLAEMGVMIRHYNSKELKGYVRVSVGKPEHTNALMECLKKLP >OIV98666 pep chromosome:LupAngTanjil_v1.0:LG13:15842757:15844680:-1 gene:TanjilG_12789 transcript:OIV98666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSEQQVPPRTPAADIVGNAFVDQYYHMLHESPELVHKFYQDVSKLGRPEQNGLMGITTTLEDINKKILSLGYGELSAEIISVDAQESYGGGVLVLVTGFITGEDNIKQKFTQCFFLAPQEKGYFVLNDVFRYVNEDGIQGSARDIGSPVSHDNVVDPAVLETQVSEQIPVTAEDGEGEEVYNPENGQASIEEEEEAPVPEVVDEIPDDSQMVSGLTSEIDDVPKKSYASIVKVMKDSAAPSSATAVVSVKYAVKSPNQQGAAAPPPLNMSEANGSSTNTNETGNIQETEAEGYSIYVKGLSPNATPALLENEFKKFGPIKSGGVQVRTQKGFSFGFVEFEVASAVQSALEVFIICTFIQEFHMQSPFA >OIV99353 pep chromosome:LupAngTanjil_v1.0:LG13:2395765:2397695:1 gene:TanjilG_17163 transcript:OIV99353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSYPTVSADYQIAVEKAKKKLRGFIAEKGCAPLILRLAWHSAGTFDVKTKSGGPFGTIKHAAELAHGANSGLDIAVRLLEPIKEQFPILTYADFYQLGGVVAVEITGGPEVPFHPGREDKPEPPPEGRLPDATKGSDHLRDVFGKAMGLSDQDIVALSGGHTLGGAHKERSGFEGPWTSNPLIFDNSYFKELLSGEKEGLLKLPSDSALLSDPVFRPLVEKYAEDEDAFFADYSEAHLKLSELGFAEA >OIV98816 pep chromosome:LupAngTanjil_v1.0:LG13:12316897:12318414:-1 gene:TanjilG_25062 transcript:OIV98816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTYRSQYINSKELKICVGTWNVGGRLPHDDLDIHDWLGVNEPADIYVLGLQEIVPLNPGNIFGAEDTRPVPKWENIIRETLNRVRPSASKIKSFSNPPSPSKFKIPDDVSDIEEEMLLESDSDVGEEVHPLGEYVYEGTNGTITGDIMNTHLSAFDAVDIPNSGVPVEPGVQRQFSFPKKFDRLHSFHTQRSSENMDTSFSQQTTKLTRMLSGPELIGLSWPEPPLNLLSQRVSKRPTFKSVKSFESYKSLKTYNSFKSIKNDMLGMVLLPEIDLEALMKRKRRSSYVRIVSKQMVGIFITVWVRRSLRKHIQNLKVSTVGIGIMGYIGNKVFSLLIFSLMSHLISLLVSVSFLIII >OIV99674 pep chromosome:LupAngTanjil_v1.0:LG13:251016:257370:1 gene:TanjilG_17484 transcript:OIV99674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNMGLKTHLSFYAPCSHSQVSNHLTLLAESLPSELSEASLTLETSHGNRNRCSIPGILYNTNTVESFHALDKQKLLKEEAGKIWDDILTGKAVEDCSVLLRFLVISFADLKRWSFHYWFCFPALMLDPPTTVVNLRPASQWLNTAEAESLSAACNKWRSSKSTTDVPFFVVMIDPNSCATVKLLKDWEAYQNDAHKILFGFYDPCHLPNNPGWPLRNFLALITARWNLKSVQFFCYREKRGFADMDLSLIGEALITVPQGWKDVVPNAVGWELKKGRKVPRCVGLAQSMDPTRLAISAADLNLKLMRWRALPSLDLSALSSVRCLLLGAGTLGCQVARMLLAWGIRKITLVDNGRVAMSNPLRQSLYTFDDCLNGGAYKATAAVESLKRIFPAVEAEGVVMAIPMPGHPVNSQEHDSVLEDCKRLHGLIDAHDAVFLLTDTRESRWLPTLLCANTNKITITSALGFDSFLVMRHGAGPFSHASDFNTETANSSAADVPKFCENEKHRLGCYFCSDVVAPTDSTSNRTLDQQCTVTRPGLAPIASALAVELLIGILHHPQGIFAEGDINSSIGGATEQPLGILPHQIRGSISQFSQMTLLGHSSSNCTACCHTVVSEYRSRGMQFILEAINHPTYLEDLTGLTELMKSASSFSLDWDKDIDDDEDLESGFEVLSFSWIQKCFEVLPFMDKAFAKLVLDIDYPMSAWKVDSIERLSLSHGLLLLENKNSSSVASATRHLKPIQLGCFSFSFSTNFGKDLNIQGDNNNSKARFFSSKEIVINEALKEMKHIGFCVCGIMLSGLCNNGKPYLELRKMVGGFDGSLVLMLDTKINEQLLKKVPILKEVKEINDVVADLVVASDEVKNDVAKELKTKLQVFEKLCDVVKMKVDDMFAKVMSQRTELIDCIRMIKQPLKSVA >OIV98979 pep chromosome:LupAngTanjil_v1.0:LG13:7076007:7081016:1 gene:TanjilG_29382 transcript:OIV98979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKGTPPSLFVNDGSFLERFKQLQQEQEKGKNAKLEESKPIKVASGSLASNPSIRKANDTQKTPQAASGGKLAFSLKQKSKLVPPPVNLADDDEEETGATDASNDAPPKRQKLGQADGTEQSLRQLDVGNYFSHKLSADSSVVLYIKLSFIIEEVFSLYSFFAHWGGVQQRVENVLNICVMNAAYSTTPSSPSDPTVKKVADKLASFVAKNGRQFEDVTRQKNPGDTPFKFLFDEKCADYKYYEYRLAQEDKALAQSREPQAYGTGGTSISSFRPSNGPQKSSHQHSTYQIPASVLYDRAEEPWSAGSSVQASSAGNTNEPSGSSNADSLALMEFYMKRAAQEERSRRPKHSKDEMPPPASLQTASGKKGHHMGDYIPLEELEKFMANCNDAEAQKAAKEAAERAKIQADNVGHKLLSKMGWKEGEGLGSSRKGIADPIMAGSVKKDNLGVGAVQPGEVTPEDDIYEQYKKRMMLGYRHRPNPLNNPRKAYY >OIV98991 pep chromosome:LupAngTanjil_v1.0:LG13:7279897:7283261:1 gene:TanjilG_29394 transcript:OIV98991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLITLYAKTVGTVISLVGIKRKRWGGCLGAFSCFGSQKGGGKRIVPASRIPENNGSAAQPNGPQVVGLANQVTGVAPSLLAPPSSPASFTQSPLPSTAQSPNSYLSLSANSPGGPSSSMFATGPYAHETQLVSPPVFSNFTTEPSTAPLTPPPELAHLTTPSSPDVPYARFLSLSLENGKYGRTGSGRLSGHDTNGGTIASQDTNFFCPATYAQFYLEQNPFPHNGGRLSVSKDSDVQSTGGNGHQNWHARSPKQDVEEIEAYRASFGFSADEVFTTTQYVEISDVNEDSFTILPSTAGKTIMEENIEPSLMKEGFKAQEMQMVFPTLKSLRLDPGHAGGKEAHNQVSVCQGYEDHKSQGHYSNSSGLSTPTLTDEEDIFSKMGPSRSCKKYKTGLSCSDAEVEYRRGRSLRERKGNVIWHD >OIV99335 pep chromosome:LupAngTanjil_v1.0:LG13:2542422:2544080:-1 gene:TanjilG_17145 transcript:OIV99335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKDIISSMPNEIIAMILTLLTFKEAVRTSILSRRWKNLWTFFFGFLTFDSSMKTLHMYRDRKTMKLKKCIRFVNRWEKFMSNLEHVLRSITSPSLQGLRICIDMGNPQKLADLIKFAADKSVRVLDLDFSYHFISPFIGVSDRIRNVLSLTLVQMQSLRNLRVLRLAAVDVDDEVVHYFLATCRFLEILFIKESRILEHLRVWGHGLRLKHLELVECKISHIEIYAENLVTFRFNCFQKIETFNLEWVPSLTEASFGGQYSCYLQSNMHDIVLHQLLSQLLVLNLELRAFDLTFLQGLHKFTNVKHLELTIPHVCGMELDRPISLLTAFPSICLLKLKFYRSRLGSLPMQPWVANLECEFPNLRELEVSGYKRDPSQIEMLTSIFENAPNLKKIAVDPLSAIHVHRSNDVKAWIRERNHESTMWFVDGLKQDVPPHVELLVL >OIV99247 pep chromosome:LupAngTanjil_v1.0:LG13:3430654:3438437:-1 gene:TanjilG_06552 transcript:OIV99247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVERLKLWLVKWRIAFGCCVFLLFLSPVMGLRPLRDKTGSMGDEWLFSRRDESDSGPFSEWNITGTYRGNWKFLDTTNGSSKFPDIRKTNGNSVIELASTPTKITGVHYVQGVVLFHDVFDKEYNVGGSQIRIEGVYIWPFRQLRMITSSGKEGGLNQGDDYILSNPYHLLGVFSSQVLQESSRDRMRRRKSSPFYDMDKHCNVEIAAQVSRLPSSKHGGRRDSFHLEGLMESPSVDDDGECFSPLQLNASSVNVEAYYSKAVNYTLMVTFVSFLQVLLLIRQMEHSNTQSGAAKVSILMVGQQAIIDAYLCLLHLTAGIVVESLFNAFATAAFFKFVVFSIFEMRYILVVWKASRPLSNGEGWETMRRELSALYRRLSGILFAGILLMYEFHYYLKPILLLVYSFWIPQIITNVVRDSRKPLHPHYIIGMTVTRLAIPLYIFCCPDNFMRIEPDHRWCVYLTVFIGLQAAILLLQHYLGSRCFIPHQILPEKYSYYRRFAQDTSHVADCVICMTTIDLSHPSNDCMVTPCDHFFHSGCLQRWMDIKMECPTCRRPLPPA >OIV99206 pep chromosome:LupAngTanjil_v1.0:LG13:3829573:3830811:1 gene:TanjilG_19702 transcript:OIV99206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLNFHRKWLSVSVLVFCIVLHMSVITLGDDCRFGGGPRCRGWFGGRRGRGGGLGSGRGFGRGGLGGGSGGGGGFGGGGGGGLGGGSGHGGGFGAGGGVGGGARGGGLGGGSGGGGGLGGGSEHGGGFGAGGGVGGGSGGLGGGAGGGGGGGLSGGSGHGGGFGAGGGVGGGASGGGIGGGGGGGSGGGGGISGGSGQGGGFGAGGGVGGGAAGGGIGGGGGGGSGGGSGISGGSGQGGGFGAGGGVGGGAAGGGIGGGGGGGSGGGISGGSGQGSGFGAGVGVGGGASGGVGGGGGAGGGGGGGVGGGSGQGGGFGAGGGVGGGAAGGGGGGGGGGGGGGVAGGGSGHGGGFGAGGGIGSGGGAGLGSGHGGGGFGVGIGIGIGVGIGTGTGTGTGVGVGSGSGGGGGKH >OIV99209 pep chromosome:LupAngTanjil_v1.0:LG13:3745027:3747950:-1 gene:TanjilG_06514 transcript:OIV99209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQFCREVSHSTTLRWRFKHRVSVLRRSLLRFLHSIMICSGRKHTNSTYRMLQSHAALPSPPPQPPIDFSDEASLEALPVALPPPLFNTHDLDSDLVSLKITLLGDCQIGKTSFLVKYVGDVKDQQGRQGKGPNQMDKILDVRGARISYCIWEVQGDGKSEEQIPIACKDSVAILIMFDLTSRCTLNSVPGWYKEARKWNQTAIPVLIGTKFDDFIQLPIDLQWTIASQARAYAKALNATLFFSSATYNINVNKIFKFITAKLFDLPWTVERNLTVGEPIIDF >OIV99414 pep chromosome:LupAngTanjil_v1.0:LG13:1956439:1957123:-1 gene:TanjilG_17224 transcript:OIV99414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMKQQTVLVDETHEEEEKKGKKKRLTSVQVESLERGFQEGMKLEPDKKMKLSKELGLEPRQIAIWFQNRRARWKVNQLKHHFDVIMKENQKLHQEVMNLKAMMSDHASTRKQISASYAEICEEQTAESVSAMMHYQQNVIADQGNYSFTMQEYYNMIPMLQPYPAAFSNN >OIV99093 pep chromosome:LupAngTanjil_v1.0:LG13:5904684:5905625:1 gene:TanjilG_32352 transcript:OIV99093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLTGKITTEFGILSPATKFFHFFAKQIHDLQNVTDQVHHGKVHEGDWHTVGSVREWSYIIDGKVTKAKENFEVVDEENNKIVINAFDGDIAKEYKLFKATLQLNDDKDNGGAIVKWTVDYEKINRDIAPPYGYLDYFTKVTEDTDAHLLKP >OIV99232 pep chromosome:LupAngTanjil_v1.0:LG13:3548443:3551245:-1 gene:TanjilG_06537 transcript:OIV99232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFDKGSMQSKSNLDCFLRSTTPVIQSQFLQKHEIRNLNRLWHPWEREVVEYFTLSDLWNCFDELSAYGTGVPITLANGETVVQYYVPYLSAIQIFTSNTTFREEAESGDSETRDSYSDSFSEDSECDKAWRWDGTSSEEGGFEQDCLWHSSNRLGRLYFQYFERSTPYGRVPLMDKITGLAERYPGLMSLRSVDLSPASWMAVAWYPIYHIPMGRTIKDLSTCFLTYHTLSSSFQDMDLDDDTEGAHMKRKKGEGISLPPFGLATYKMQENVWVSGNYGRDHEKLVSLLSVADSWLKQLRVQHHDFNHFMGIRHG >OIV98762 pep chromosome:LupAngTanjil_v1.0:LG13:13939713:13946035:-1 gene:TanjilG_25028 transcript:OIV98762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNGVSSVSNFQKTKASMMINPLSLTDDQVLEKVYITHVHTAEKYDVESLFNVTSNIIKRSTALAENVVTKNGTPIGHIEDKVPLSSFNPPFRRMKEIAYQMMSTPHGEHNAHQTAISILEQLKSYTWDGKAVIVLAAFSLEYGNFWHLVQVQSEDPLGRSIALMNRVQNIEGKNRQAISDYNILVKTILVAVECITEMERLSTKGYDPKDVPALSEGLLEIPVIVYWTIITIIACANHFDFIMGDVDDRYELSNFGNKLGSIVSKLKAHQTRSRKEIGELEDYWKRKKVFQTPTEIVEVLKVLIFHDEIKEQQVYDGYTKRRVSIEVFRRKHVLLFISGLDSIRDEIRLLQSIYDGLQEDPREVKGYFKDDFKILWIPIVSEWNIIHRAEFENLKIDMPWYVVEYQNPLAGIRLIREDLNYKNKPIIPVLNPQGRVVNNNAMHMIFVWGIDAFPFRPTDDEVLTQKWNWFWAELKKVNPSIQNLTKGDHYIFIYGGTDPKWSQNFTSAVEKIKRHEITKKADAIIESYNFGRDEPINVVPRFWIGIESLFANKIQKKNRDSTIEEIKSLLCLKQHPQGWVLLSKGSNVKILGRGDLMYATAADFDLWKDRVLEKSGFDVAFIEHYNSKHRNSRPSCAHMQLANYPADVLEPINCPDKQCGRSMEIESISYKCCHGHSNKVHLPESGDVMIEKKLSP >OIV99488 pep chromosome:LupAngTanjil_v1.0:LG13:1457748:1459259:-1 gene:TanjilG_17298 transcript:OIV99488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDSNGVQIHNTRRLPDFLQSVNLKYVKLGYHYLITYLFTLTLIPIMVLITIHVTQMNLDDVHALWLQLKYNLVSILVCCVILVSGSVIFFMTRPRPIYLIDFSCYRPPSRLAVQSHQFMEHSRLTGYFDESSLEFQRKILERSGLGEETYVPDAMHHLPPTPSMAAAREEAEQVMYGALDNLFANTNVKPKDIGILVVNCSLFNPTPSLSAMIVNKYKLRGNIRSYNLGGMGCSAGVIAIDLAKDLLQVHRKTYAVVVSTENITQNWYFGNKKSMLIPNCLFRVGGAAILLSNIASDRRRSKYELFRAVRTHKGADDKAFECVYQEQDDAGKTGVSLSKDLMAIAGDSLKTNITTLGPLVLPISEQILFFSTLITKKLFNAYKKTYIPDFKLAFDHFCIHAGGRAVIDELEKNLELEPKHVEASRMTLHRFGNTSSSSIWYELAYIEAKGKMKKGNRVWQIAFGSGFKCNSAVWKALRNVKPSPNSPWEDCIMNYPVMIHA >OIV98538 pep chromosome:LupAngTanjil_v1.0:LG13:17635072:17637976:-1 gene:TanjilG_12124 transcript:OIV98538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSSFSGLTSARKYKPLQNQLLLPASLPNTIDTDNIELDFSDVFGPVTVPASVEVNNIDSFAAESVEESNELVYDDPEVIYTRSHSLVGPSTCVSQSFKLSKLTIHETKDSLELVEHVTGETIDELQESSFKNVIVEESLNGEDGNLMKIQRVSIEDFEILKVVGQGAFAKVYQVRKKGTSEIYAMKVMRKDKIMEKNHAEYMKAERDILTKIEHPYVVQLRYSFQTKYRLYLVLDFVNGGHLFFQLYHQGLFREDLARIYAAEIVSAVSHLHSNGIMHRDLKPENILMDADGHVMLTDFGLAKRFEESTRSNSMCGTLEYMAPEIILGKGHDKAADWWSVGILLFEMLTGKPPFCGGNREKIQQKIVKDRIKLPTFLSSEAHALLKGLLQKEACKRLGNGAKGIEEIKGHKWFKPINWNKLDAREIQPSFRPEVAGMHCVANFEKRWTDMPVVDSPATSPNGGNPFKDFSYVRPAPSFLQRNSPAC >OIV98615 pep chromosome:LupAngTanjil_v1.0:LG13:16658016:16661359:1 gene:TanjilG_12738 transcript:OIV98615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYIGREASKLWKRFCAEVTTEINLLAENWKYLLAGLICQYIHGLAAHGVHYLHKPGPTLQDLGFFLLPELGQDKAYLSETLFTAIFVSFFLWTFHPFILKSKKIYTVLIWCRVLSFLVASQALRIVTFYSTQLPGPNYHCREGSELATLPPPKSVIEVLLINFPHGVLYGCGDLIFSSHMIFTLVFVLTYQKYGTQRCIKQLGWFLAVIQSLLIIASRKHYTVDIVVAWYTVNLVVFFIEKKLPEIPDRNSTTLLLPLSVKDKDGWTKEENHKLLNGNSVDPAERRQRSQSNGMIMENGSAHYADSAMNGA >OIV99478 pep chromosome:LupAngTanjil_v1.0:LG13:1523590:1524103:-1 gene:TanjilG_17288 transcript:OIV99478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFIFALFLLSYLLLVTNLSYARKDEGEYWKNVMKDQAMPEAIKDLLLVQDQQVPDSGRNHFSRDFDIRPNVILYHTHVVSKKHHPFVKNFEPKFQEIGNHHV >OIV99482 pep chromosome:LupAngTanjil_v1.0:LG13:1498373:1504288:-1 gene:TanjilG_17292 transcript:OIV99482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQPLVKKDDDRDDEAEYSPFMGIEKGSVLQEARVFNDPQLDARRCSQVITKLLYLLNQGESFTKVEATEVFFSVTKLFQSRDLGLRRMVYLIIKELSPSADEVIIVTSSLMKDMNSKTDMYRANAIRVLCRITDGTLLTQIERYLKQAIVDKNPVVASAALVSGIHLLQTNPEIVKRWSNEVQEAVQSRAALVQFHALALLHQIRQNDRLAVSKLVTSLTRGTVRSPLAQCLLIRYTSQVIRESGNNTQSGDRPFYDYLESCLRHKSEMVIFEAAKAITELNGVTSRELTPAITVLQLFLSSSKPVLRFAAVRTLNKVAMAHPMAVTNCNIDMESLISDQNRSIATLAITTLLKTGNESSVDRLMKQITNFMSDIADEFKIVVVEAIRSLCLKFPLKYRSLMNFLSNILREEGGFDYKKAIVDSIVILIRDIPDAKESGLLHLCEFIEDCEFTYLSTQILHFLGVEGPKTSDPSKFIRYIYNRVHLENATVRASAVSTLAKFGAAVDELKPRIFVLLRRCLFDNDDEVRDRATLYLNTLGGDGSVVESDKDVKDFLFGSFEIPLVNLETSLKNYEPSEEAFDIISVPKEVKSQPLLEKKTQGKKPTGLGAPPSGPSSTADAYERLLLSIPEFTSFGKLFKSSAPVELTEAETEYAVNVVKHIFDRHVVFQYNCTNTIPEQLLEDVIVIVDASEAEEFSEVFAKPLRALPYDSPGQTFVAFEKSEGLPTAGKFSNTLKFIVKEVDPTTGEADDDGVEDEYQLEDLEVVAADYILKVGVSNFRNAWESMGPDSERVDEYGLGPRESLAEAVNTVINLLGLQPCEGTEVVPPNSRSHTCLMSGVFIGNVKVLVRLSFGLDGPKDVAMKLAVRSDDEAVSDAIHEIVASG >OIV98853 pep chromosome:LupAngTanjil_v1.0:LG13:10439009:10441153:-1 gene:TanjilG_21019 transcript:OIV98853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVQLVARPFMGVVFNVLLEKLAFYDIVNLFQKKKINDKLLKRLKIVLLSANVVLNDAEDKQMKNEAVKEWIEELKDVTFDVDDIIGEIYTDAKVTPKVHAGAYGSKIIVTTRSGNVTSIMQTVAPYNLGELSNEDCWNLFSKYGFNYGDSIVHQSLEKVGREIVRKCKGLPLVVKTLAGLLRSKTDRQEWYKVLNSEIWDLQDHESNILSALRFSFHYLHSHLKRCFAYCALFSKYCEFEKEKVILLWMVEVRMEGNKSDEVKERTRHLSHIITDGSSYLNVKDVAKANCLHTFSNKNDRLPNSIGILKHILYIEISNTENTKLPESICSLYNLQTLKLVGCYNIIELSEDIHKLVNLRYLDIRDTCLTRMPVKMSALTNLQKLRDFFVGEDCGSSIGELGEISNLHGTLSIHRIEYIVNLKDPEHIVFGLG >OIV98950 pep chromosome:LupAngTanjil_v1.0:LG13:8816564:8818882:1 gene:TanjilG_07385 transcript:OIV98950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECNKDEAVRAKEIAERKFIAKDTLGAKKFALKAQNLFPDLEGIPQMLATLDVHISAENKINGEVDRYSILGVNPLADEDTVRKHYRKLALMLHPDKNKSVGADGAFKLISEAWSLLSDKAKRAAYDEKRNAKARKVSTKFAGSSAQTGVNGSYNFTKTTSSSARTQTSNAKETQKSAAKESQKTNAKESQKNNAKEHTSSSTNKSKSNTFWTVCHRCKMQYEYLRIYLNLKLLCPNCHEPFYAVETAPPPSSFIRPPSTSWTFSQHQENSSRQVPNKMKSNAGKKATSNVGAGGYCKTDSNNPTNFQWTPFSKTSGVSNVAQAATVVQQAYNKVKRERVEAQAAMKGEEALRRKQHVPKKSYFNPAKRRKGCTDDASVGNHATEHVNGVGFPWDLLMTKARKEITKKLSEVQSNTVDKAVVKENGDELQKTNEEGEKSVRNSETCAQNNIGKAEDRKSGCEGIETFAVTTTAKIGTKVLETIPIDVPDSEFHDFNKVRTEKSFGENQVWAAYDDNDGMPRNYALIHSVISLNPFKVRIRWLNSKTNSELGPINWVVSGFLKTCGDFRIGKLEICDSINCFSHRVRWGKGNHGAICIYPRKGDVWALYRNWSLYWNELTAHEDIHKFDMVEVLEGFTEEQGVIVIPLIKVAGFKTVFHHHLDPSEIRIIPREEMFRFSHRVPSHSLTGQDAPNALKGCMVLDPAATPSELLQLIETVKEEDMMDIEDTVIKEISDDVKEAVDMEKDGEEKEGDNKDIQEIETLQEKKICENL >OIV99606 pep chromosome:LupAngTanjil_v1.0:LG13:724922:728280:1 gene:TanjilG_17416 transcript:OIV99606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLLSLCSILLFVFNIIVHVVAEDPYRFFDWNVTYGDIYPLGVKQQGIFINGQFPGPEIYSVTNDNLIINVHNSLPEPFLISWNGVQQRRNSYEDGVYGTTCPIPPGKNFTYTLQVKDQIGSFFYFPSLAFHKAAGGYGAIKVLSRPRIPVPFPDPANDYSLLIGDWYHIDHTKLKSILDFGHRLPFPQGVLINGRANGTSFTVEQGKTYRLRISNVGLQNTLNFRIEGHDLKLVEVEGTHTVQTTYSSIDVHVGQSYSVLITADKAPKDYYIVASTRFTDKVITSTASLHYSNSQQPISGPIPSGPTTEIDWSIKQARSIRTNLTASGPRPNPQGSYHYGLVNITKTFKLESSAAQVNGKQRYAINSVSFILSDETTPLKLADYFKIDGVFQVGSIPDNPSRKPMYLDTSVMGADFRAFVEIVFQNHEDIVQSYHIDGYSFWVVGMDGGAWNPNSRNQYNLRDAVSRCTTQVYPKSWTAIYVALDNVGMWNVRSEFWARQYLGQQFYLRVYSPVESIRDEYPIPKNALLCGKAAGRITRPLS >OIV99520 pep chromosome:LupAngTanjil_v1.0:LG13:1227312:1229741:1 gene:TanjilG_17330 transcript:OIV99520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFHDQVMDDVILVQLLRLTWIAATLPILFASIPIPIPIFTWLRRTLLGFARRGKIMHSSSQKFTIPQRFFLHFYAVASIWTTFLLVTTWVYAYKMVPLVTEPFAYSTLTSYLTGGSSLRTVSFKKRYAVWEAVFLLLLMEVQVLRRLYETIYVFNYSPSARMHIIGYLTGLFFYIAAPLSLCSDCALEVFHFQVNLVTEFIVKGKNQMPATELEFWQVVHPLFNLAWKHWIGAAIFLWGWIHQYRCHKILGSLRDSRQADEYVIPRGDWFELVSSPHYLSEIVIYASFVVATGGTNLTIWLTFVFVVVNLSFAAVETHSWYHQKFEDYPSSRSAIIPFIL >OIV99697 pep chromosome:LupAngTanjil_v1.0:LG13:113331:123793:1 gene:TanjilG_17507 transcript:OIV99697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNPPPPKASKPHSDPDPDPDPDPFREREREREMEEEGIESSSSSSSILLASSLGLNHIRTRFSYPLRYSSSLAPHDTIQPHIPRSKPPPEKLHWTQSKSLKPHSQLLPLLEGNHAAFAKEIQSPRFQAILRLTSGRKKRHNDIKSFSHELNSKGVRPFPIWKHRAFGHMEEVRAAVRAKFEKLKEEVDSDLGSFAGDLVGILEKISGTHPEWKESLEDLLVVARQCAKMSPTEFWIKCETIVQNLDDKRQELPVGILKQAHTRLLFILTRCTRLVQFQKESGYEQDHILGLHQLSDLGVYSEQNFHAASQQTASTPLPGGHDLMMAEKQSQKTRSNEKDKLVVKKTQVDQLVSEVNDNAVSSAKSNESTPSSYRMSSWRKLPSAAEKKRKDKDTIEFPPKGELDQLIVKDGNAENLDDSSCHPEHSEPSSRTRKVSWGFWGDQQNLTYEDSMICRICEVEIPIVHVEEHSRICTIADRCDLKGLTVSDRLERVSDTIERILESWTPKSADAPGGSFEGTRVSTSSLHEELNELSLERNNLSRRGSDEMIESTLDADNTFVMDDLNLSSECEARSSLKPDYSTKVSSAGSLTPRSPLVTPRTSQIEMLLSGRRTLSELESYDQIHKLVEIARAVANVNNCDYSALEYMLDRLEDLKYAIQDRKVDALIVETFGRRIEKLLQEKYVSFCGQIEDEKVDSSNSAADEESSVEDDTVRSLRASPINPCSKDRTSIEDFEIIKPISRGAFGRVFLARKRATGDLFAIKVLKKADMIRKNAVQSILAERDILISVQNPFVVRFFYSFTCRENLYLVMEYLNGGDLYSLLRNLGCLDEDMARVYIAEVVLALEYLHSSNVIHRDLKPDNLLIGQDGHIKLTDFGLSKVGLINSTDDLSAPSFSSNGFLGDDEPKSRHSSKSEERRKHSVVGTPDYLAPEILLGMGHGATADWWSVGVILYELLVGIPPFNAEHPQQIFDNIINRDIQWPKVPGEISFEAYDLMNKLLIESPVQRLGATGAVEVKRHAFFKDINWDTLARQKAMFIPSTEALDTSYFMSRYIWNPEDEHLAGGSDFDDITESTSGSGSDLLLDEDGDECGSLADFSAPALEVQYSFRGPGSGKGTQCAKIVETFGFKHLSAGDLLRREIVSDTKYGSMILTTIKEGRIVPSEVTVKLILREIQSSDNLKFLIDGFPRSEENRIAFEQIIGAEPNIVLFFDCPEEEMVKRVLSRNQGRVDDNIDTIKKRLKVFEALNRPVIDHYAKKGKVHKINAVGTVDQIFEQVRPVFAAFEHDTDLKAV >OIV98585 pep chromosome:LupAngTanjil_v1.0:LG13:17029916:17031650:1 gene:TanjilG_12171 transcript:OIV98585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGSVRPKFVLFGSSIVQHSFYDHGWAAILSHLYARQADIVLRGYSGWNSRRALQVLDKVFPKNASEQPSLVIVYFGGNDSVLPHPSGLGQHVPLQEYIENMRKIVIHLKSLSEKTRIIFLSAPPVNEAQIFGNSILLGKPLRTNESCRIYSEAGLELCHELNIKAIDLWSALQKRDDWRDVCFLDGIHLSAEGNKIVAKEILKVLKEAEWEPSLHFKLMPVEFEEDSPYDPLYPDGKRTFNVSRMPFPETVEWD >OIV99028 pep chromosome:LupAngTanjil_v1.0:LG13:5047142:5050247:-1 gene:TanjilG_32287 transcript:OIV99028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRQPDILFLEEWLKSRSGDPKKFSPKKSYPLSARAIIQAWAELRDSLQQPSFHQHHLQHLKSLINSQTSLHVADPQARLVLRILSSSNFSLPYESYPLLFRLLYIWVRKASKPTSDIIDSAIGVLSHLFSSQFDSGNNPVFFSEGILLLGAFSFVPSLSEKSKTFFLDLLSRQLIEKCQLLGSFTELVPDFLAGIGYALSSSVTVHYHRILNSLFEIWHKDDGPQCNIFLGLIVLHLFDWVMSNLINFQFLDKINVILQETFGSLKENYASFAVFMAAAGVLRVVNRSASSGVKLEILSTMRVSAVVWMEALTNDLVSGTLRFNNSGNDHKNRLLLQCVSLALARTGSFLGHSSLFVCLALALLTEIFPLPRLYKSVVEPSNAPHELKLNEVKEHLDNILFQEAGAITAIFCNQYVSADEENKNIVENLIWEYCRDIYFGHRQVALILKGKQDELLEGLEKIAESAFLMVVVFALAYMETIHKVVATVNSNEHTCTSFLNSMPSYVDLTNGPDQKTKYLWSEDEVQTARILFYLRVIPTFIECLPSNVFSNMIAPTMFLYLEHPDGKVAQASHSLFVAFMSMGKESEKNDRVSLKEQLVFHYIQTSLLRYPLITPFEGMASGVVGVAQHLPAGSPATFYFIHGLVEKASQLCSEAFTHEADAWKKRQGEPEPSKKLMDLLLRLIFLVDIQVLPDLMKQLAQLITALPRDAQNMVLNELYSLVADSEDVIRKPTLVSWLQSLSYLCTSATHQNAASKKSVGEDNLTLASIQDPLSSGRLTSQL >OIV99472 pep chromosome:LupAngTanjil_v1.0:LG13:1574804:1575925:1 gene:TanjilG_17282 transcript:OIV99472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNHMSKKVPETSSAMNLDSELHYSTELSSYEAACKVDADLQSFDTTLQARTNQVINTLAVGVEVRSLSFDSLKQITECLLEMNQEVVKVILDCKKDIWKSQELFELVEEYFENSLQTLDFCTALEKCLKRARDSQLLILVALQQFEEETGSRDNRFTKTLQELKNFKAAGDPFTEEFFEIFQSVYKHQILMLEKLHLKKNKLDKKLKYINSWRKVSSMIFVATFAAVLICSVVAAAIAAPPVAAAIAAATSIPIGSMGKWIDSLWKNYEDALKGQKEVISSMQVGTYIAIKDLDNIRILIDRLEIEIESLMHNVDFAIEEKAVKVAIEEIKKKLGVFMKNVEDLGVQADMCSRDIRRARTVVLQRIIKNPHN >OIV98942 pep chromosome:LupAngTanjil_v1.0:LG13:8688111:8688428:-1 gene:TanjilG_07377 transcript:OIV98942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEPDQDEASMQLNRAKVKIDQVKLKIHRTTTPYDRGKINLDRGIMACDRRNERPMPNSASSLTHQPEALHNTLTVAHRPWRIDSDRGAKGLMHQAWPNSPGLGA >OIV98609 pep chromosome:LupAngTanjil_v1.0:LG13:16897120:16898389:1 gene:TanjilG_23048 transcript:OIV98609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDSNIASSFEAFFHGWLMRQRCHLDELLSAQQQLPDGDSIRGLINRVLCHYGQYYEEKSKVAQNNIILAFSPPWFTSLERMFLWVGGFKPGMAFEVVSTALEGELSEEQKHRLSQIQQETKLKERELNDELAKFHESVAAPPLVEMARSHGKMCLGGATLDSQSNISVPSTLKVKLENLVIRADGLRRNTALKVVQVLKPSQAVTFFVAVAELQLRVRAWGLEKDGLSGG >OIV99302 pep chromosome:LupAngTanjil_v1.0:LG13:2819220:2820003:-1 gene:TanjilG_17112 transcript:OIV99302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQIQYSEKYFDDNYEYRHVVLPPEVAKLLPKNRLLSENEWRAIGVQQSRGWVHYAIHRPEPHIMLFRRPLNYQQQQEAQAQQSMLVK >OIV99045 pep chromosome:LupAngTanjil_v1.0:LG13:5279162:5279359:-1 gene:TanjilG_32304 transcript:OIV99045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVRSTRDLKKTCVRENLTRRTRANVAVLRRVYFFFSLILSATEQCMFQPFGLKAYELNDMGTGC >OIV99403 pep chromosome:LupAngTanjil_v1.0:LG13:2043515:2048863:-1 gene:TanjilG_17213 transcript:OIV99403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGFFRGTSADQDTRFSNKQAKLLKSQKFSPELEHLVDMSKVNMEVMKPWIAKRVTELLGFEDEVLINFIHGLLDKKEANGKEVQIQITGFMEKNTGKFMKELWTLLLSAQKNASGIPQQFLDAKEEELQKKKAENDRITSEIQKKKDIEGREIMEEKERLKKLAAGLDMKINDTASDPTVKPRDSGQYIHDGKESDKRNGVRARNRVSRSPHSPAVSTSPHRVSPSRSMSKSFSNSRSYSGGRHRSRSISRSPEARARSVSSERIRRSPRRHSISPRRRSPWRSHRGAYLLQRSRSRSNYRSPSPIRHRMHSPYYHDSPFRRRRTPSPTKRRRSPSPARRHRSPSPARRHRSPSPARRRRSPSPARRRRSPSPVRRRRSPSPARLRRSPSPARRRRSPSPARRHRSPPPMRRRRSPSPVQRRSPVMRGRSPSPVRRPPIRVWRRSDSPMQSPSPIRRRYGSRSPRRRSPSPLRRRSPVSGKKRSPSLSPRRSLSPDEWSSQSPTRRVSPSPVRRNSPIRQRNSPVRVQEKLSPEIHQHSRPLQSGQRDKDSKASHLNSEDSMSTPEKFPIRPVSPQAMSRTSSEDRSPRKSPRQRRERLTDERGSSPPKKPRSQRPSHDSPEKSKGAEETYYYRESRHLKTTSPQRKSKYSSPVSKQNDSPAKFHDEDEFSPERATGHLTPKYHHYDNIDHRKKIREIKRDRTPGEGGESPSQQKSPMNTQILSSKKPRETYAADIKKPDDKNLSHSNYAKNSDRGHKSEATQDLVRKVDRANHNASYDSVSEESDKNRKDRRKQKRSEKKFVSSDEDYSSDSELEDRKEAKRKKREGKKQRKEEKRQRREERRHRREERRAEKLRVKSRPAYISEDEEAERLDRSDNEETLSEQKKLEIELRNKALESLKAKRGMDN >OIV99546 pep chromosome:LupAngTanjil_v1.0:LG13:1128059:1128999:1 gene:TanjilG_17356 transcript:OIV99546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKRILKELKDLQRDPPTSCSAGPVSEDMFHWQATIIGPNDSPYAGGVFLVTIHFPPDYPFKPPKVAFRTKVFHPNINSNGNICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEVAHMCKTDKFKYESTARSWTQKYAMG >OIV99140 pep chromosome:LupAngTanjil_v1.0:LG13:4319891:4324659:1 gene:TanjilG_01115 transcript:OIV99140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRGYQESPSTSFGPPRSKPRHNPQGDGQFLEDESTKIFARKVADHYSARSNQTLEEREASPIIHLKKLNNWIKSVLIQLYTSRGDAVLDLACGKGGDLIKWDKAKIGYYVGIDIAEGSIKDCHTRYNGDADHHQRRKKFTFPARLICGDCYEVRLDKVLADDAPFDICSCQFALHYSWSTEARARQALANVSALLRPGGVFIGTMPDANVIIKKLREAEGLTFGNSVYWVRFDEEFSSKKFKSSSPFGIKYTFHLEDAVDCPEWVVPFHIFKSLAEEYDFELVFAKNSHEFVHEYMKKPEFVELMRRLGALGDGNQDQSTLSPEEWEVAYLYMTFVLRKRGQPDKTKTIGRRDRGLMHISEDDILYVSNE >OIV99490 pep chromosome:LupAngTanjil_v1.0:LG13:1436231:1439095:-1 gene:TanjilG_17300 transcript:OIV99490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHCLNTSGNVGGTCSDMKVFEIQRSRKKWLQQNHEEQQQQQQQHHQGLMMMMMGCGDSVLGDVVAQSMKSDPGLENGLPELGMFEMGFGSSLLPRASGFDVNSSVSRTCSRDMALPTQKKETFKKRKAEKIQNSKVVAESDSKEKKIKVSGDEEESNNKNTKAKANTNKNNKEACGESSKENSKGSEVQNPKPDYIHVRARRGQATDSHSLAERVRREKISERMKYLQDLVPGCNKITGKAGMLDEIINYVQSLQRQVEFLSMKLAAVNPRLDFNIDDLFAKEVFPACSSSFPNIGVQSDLTTTNPAYLHFNPAQLVSCCSGLMNNMGINLSDPGLRRTTSAPVSLPETFIDSSSCFTQILPSSTWEGDFQNLYNNVAFDQARTASFPSQPFTGLVDTSNLKMEM >OIV99477 pep chromosome:LupAngTanjil_v1.0:LG13:1527138:1532222:1 gene:TanjilG_17287 transcript:OIV99477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPSLSLLASPTFTITSRATNSSHLNFSHRNPCSISSSLPSPYGRTSKLGLSSKSPPFPLKLDEKNTHDASTSYGAIEAKSGNPPMAPAVMTPEGPLDISSVLFRNRIIFIGQPINSQVAQRVISQLVTLATIDANADILVYINCPGGSTYSVLAIYDCMSWIKPKVGTVCFGVAASQGALLLAGGEKGMRYAMPNARIMIHQPQSGCGGHVEDVRRQVNEAVQSRHKIDKMYSAFTGQSIEKVQQYTERDRFLSVSEALEFGLIDGVLETEY >OIV99358 pep chromosome:LupAngTanjil_v1.0:LG13:2349305:2352446:-1 gene:TanjilG_17168 transcript:OIV99358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCFCYTGKSTKKSVETKDYSHTHKLTNHTTTDKVKGDLKVNGNKEDGYKGDQLALDVKSLNMKHEVSEDGKDNVSRAQSFIFEELAAATGNFRSDCFLGEGGFGKVYKGQLGKIDQVVAIKQLDPHGVQGIREFVVEVLTLSLADHTNLVKLIGFCAEGEQRLLVYEYMPLGSLENHLLDLPPGRKPLDWNTRMKIAAGAARGLEYLHDKMKPPVIYRDLKCSNILLGEGYHPKLSDFGLAKVGPSGDKTHVSTRVMGTYGYCAPDYAMTGQLTFKSDIYSFGVVLLELITGRKAIDNTKSPKEQNLVAWARPLFRDRKKFSQMVDPLLEGKYPVRGLYQALAIAAMCVQEQPNMRPVIVDIVTALNYLASQKYDPQIHPVQRCRSPSSPRARRDCDYRHKHTVSDDRNETDRSVN >OIV99112 pep chromosome:LupAngTanjil_v1.0:LG13:6295201:6296034:1 gene:TanjilG_32371 transcript:OIV99112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFGNNTVKNKNSVSSSSPTTSSKFSFLNRSLTTVYANIEKPSLDRADSMKKLSVDSAKSIRGKVVKNLCNLFEPKPAEPVLNSKLKPAKSIDSSDEELLLNKLKSTKLQSNLESFDQVKLPGTEDRLVLYFTSLRGIRRTYEDCYAVRMIFRGFRVWVDERDISMDAAYRKELMSVLGEKNKRIVTLPQVFIRGKYFGGADKIKYLCEVGELGKILEGLPRTKPGFVCESCGDVRFVPCGNCSGSRKLFDEDEGLMKRCLECNENGLIRCPNCCS >OIV99652 pep chromosome:LupAngTanjil_v1.0:LG13:409234:415995:1 gene:TanjilG_17462 transcript:OIV99652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVETNDKTSLPHDFGDDDGPVTFKRYTSSKKKQLHSELTKSTSNSLDGQSNRQSSKVPSSNGQSSITPKGDVVPSAKASVMKSSVGNTKASNSLVNPASVKLPVANSRSHSLNDKPKSVPEQKASINIKGETKFIKHCTKDYAEDSEDEEDNKPLSARLKVNSNNDNKATPVVVKKSYQDSDDDDDIPLSAKLFRNSNVGTSSGNYDDPDEKKLISKVQKERQNGSSASIKQEKPSTVPAKRPLDNSNSVHSQAKKSKVSDPAASIKTKQVSMKCEPKVDDDDDDIPISQRIKKSATSADKSSSINKKAATSADKSSSIKKNLAKVTKVNKAGKTSFKKQPKKLKKSGKGSDYSKSMKFLPGSGDGQKKWTTLVHNGVIFPPLYQPHGIKMLYKGKPVDLTPEQEEVATMYAVMRDTDYMQKDKFKENFWNDWRKLLGRNHVIHNLKDCDFTPIYDWYQSEKEKKKQITTEEKKALKEEKMKQEEKFMWAIVDGVKEKVGNFRVEPPGLFRGRGEHPKMGKLKRRICPRDIVINIGKDAPVPECPIPGERWKEIRHDNTVTWLAYWSDPINPKLFKYVFLAASSSLKGQSDKEKYEKARMLKDYIENIRAAYTKDFTSKDITKQQIAVATYLIDKLALRAGNEKDDDEADTVGCCTLKVENVTREAPNKLKFNFLGKDSIKYENTVEVELPVYNAILKFQKEKGPGDDLFDMLDTSKLNAHLKELMPGLTAKVFRTFNASITLDDMLNMGTKGGDVGEKIVVYQHANKQVAIICNHQRTVSKSHSAQMSKLNEKIDELKAVLKELKTDLDRAKKGKSPLKSSDGKTKRNLNPEALEKKISQTNAKIEKMQRDMKTKEDLKTVALGTSKINYLDPRITVAWCKRHEIFNKSLLEKFSWAMDVDPDFRF >OIV99012 pep chromosome:LupAngTanjil_v1.0:LG13:4890439:4894910:-1 gene:TanjilG_32271 transcript:OIV99012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSKGGSCQISQWKYHVFLSFRGGDTRKSFTDHLYAALNRKGILVFRDGEQLLRGEVISQQLLHAIQQSLTSVVVISPDYASSTWCLEELHNILESTRVLGRKAFPVFYGVDPSHVRYQSGNLAESFRKLEQRFSADLNKVQKWRNALRGVANLSGWDSRDRHETELIEDIVGEGTESTEGIIVKSSDPHEEHWDPEAFSKMYNLKVLIILCDLHLPLGLKCLSSSLKILEWESCSLRELPLGLPLDELVHLKMHCSKFNQLWSGTKHFRKLKSIDLTDSRDLIRTPDIFEVPCLERLVLKGCKNIVEVHQSVAQHKHLLELNLECCINLKTLPRKLEMDALKELILSGCSQVKKLPEFGKSMVYLSILSLKDCKSLICLPQSIRNLKSLIKLDIQGCSKLFGLPNNLNENNVVEEVEVNETTRREAPLSLSDFKALVTLLSKGCDWLSSKSWSISLPADEVFGCKQYPLSMDLKFSPLLGCSMLKSLNLAYCNLSDGSIPNDIGQLSALEKLILNGNNFAHLPACIQKLHRLKWLELNDCPRLGTLPLLPPAVTCLTLQNCTQLAPISFDSRRIWNILDARRRELKYGLWFMVPGSEIPPWFENEDYVLAEANLLDPDYGEKYDFLASKLVDIHNHYSCPCAGIALCLSLESPMIFSHKCLESITVDWSLKDPPKFHPLQPGTGFRTYFKGRHLLIKILRRGGVFMPYAWGDKKVELKITGKNLCGCRKLKLRGFGSRVIYEDDQWWQRDEEADEDEINVSSVSVQYGINLKQSRIAEIIDNLECDELRRKHYMFKGVFAILCMLTLLRIPRALN >OIV98690 pep chromosome:LupAngTanjil_v1.0:LG13:15815631:15816853:-1 gene:TanjilG_23982 transcript:OIV98690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQLLFFVIFAEGVMAFFLLVKIGPLRELVIRNLDQVKMGKGPATVKTIAGTMFVILLSNLMNIVKIQNKGAKLGTMSPMDQVLWRSHLLEASLIGFTLFLGFLIDRVHHFLQKLINFRSNAGSSKDELANLKNEIISLKKKEEKSSEEIKQLSEGLKKMKLESEEKDKIIETAEAHVASLQKQAADLLLEYDRLLEDNQNLQAQTLRH >OIV98893 pep chromosome:LupAngTanjil_v1.0:LG13:7504064:7506393:-1 gene:TanjilG_07328 transcript:OIV98893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREILHIQGGQCGNQIGAKFWEVVCAEHGIDPTGRYSGDTELQLERVNVYYNEASCGRFVPRAVLMDLEPGTMDSVRSGQYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSCESIKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATADDEGYEDEEGEFQEEDD >OIV99199 pep chromosome:LupAngTanjil_v1.0:LG13:3900403:3905834:1 gene:TanjilG_19695 transcript:OIV99199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNGMMDPEMLRIAQEQMSRMSPAELARIQQQMMSNPELMRMASESMKNMSSEDFKLAAEQLKHTRPEEMAQIGEKMANATPDEVAAMRARADAQIKYQLDAAEILKKQGNELHSQGKFNNALQKYLIAKENIKEIPPFQSRKLLLACSLNLMSCYLKTRQYEECVKEGSEVLVYDAKNLKALYRRGQAYKELGLLNDAVTDLSMALEVAPDDDTIAELLQDTKEMLTNGGGEHAPRGLVIEEITEEVESVPSESNKNSSLKPTVVQPKKSGDSSKSNSTVNNGYPKAYAESLDTLKNDPEAIRSFQNFISNADPATLASLNTEQSKDLPPEMIRTASNMIGKMSPEELQKMLDMAASFQGDNPFARGSPDSPFNPGSIPPNVSPDMFKTASDMISKMPPDELQKMFEMASSLKGRESPSLSAVAKEERNVSQSNFPSSSTSGTNAFRESSSFLDAFSNMRNASQPNFPSSSTDLQEQMRNQMKDPAMRQMFTSMIKNMSPEMMANMGEQFGVKLSKEDAAKAQQAMSSFSPESLDKMMLWADRVQRVVEGVKKTKNWLLGKPGMALAIFMLILAVLLHRLGYIGG >OIV98780 pep chromosome:LupAngTanjil_v1.0:LG13:13305379:13305717:1 gene:TanjilG_20504 transcript:OIV98780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENNESNDSAKNKQTRRHRSSDPSLESSSNHDSSSGGSRHSRRNRRGSNHGVESPTHDGMPPTATKPRRKSKMASSEDGGSERKPTSTRTSRRSSKGDSLTDNSFTELGSEK >OIV99448 pep chromosome:LupAngTanjil_v1.0:LG13:1697345:1699259:-1 gene:TanjilG_17258 transcript:OIV99448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNQVDKYSFITVIKIGINTHQMMIPPDFVKYCDEDFSSTNVILIGPSGDPCRVTILEKDEIFMQDGWPRFLRNNLVEENELLLFTYEGDNCFRVQIFGLNACERLNTKIAPQRVPKKLIVDFSLNLPQNITLLCCEHDGRNDVPYLQTLPEETHVRKSRRTVTGELQKWKDGRIFYKGWARFCRVNKIDMKKDECICEFVMGEDEQIQMLQVHVVKNGLLMSAT >OIV98738 pep chromosome:LupAngTanjil_v1.0:LG13:14377908:14379138:-1 gene:TanjilG_24909 transcript:OIV98738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQHIAVYFTAFTLLLTAVSAATGYHNHTVGGTAGWFFNSTTNTPSTNYSSWAASQTFNLGDYLIFNTNSNQTVIQTYNETTYLNCTADNSDNGTFIYDSGSSRFGEALTVPVPLTIVGPNYFFSDAGVDSVQCSRGMAFEINVDRGLGLPPSLNQPPPPPYVEPPDPDTDTQSPPVTVAQPPNGGGAFGRRANVRLAVYGFAAAMLLLQ >OIV99673 pep chromosome:LupAngTanjil_v1.0:LG13:257938:261394:-1 gene:TanjilG_17483 transcript:OIV99673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKIEIKKIENANSRQVTFSKRRSGLLKKAHELAILCDAEIAVIIFSNTGKLFEFSSSVMKRTLSRYNECNISSDATVVEYRKEIVMSNNKFSLQKEESKMVEILKDEIEKLETEQFINVSTGDFRRLLGKDLTGLGIEELKHLEHQLNEGLLAVRERKEELLMQQIEQSRIQVEEIRCLFPLTERVVPSFLPYHRMERKNALVDNGVKRPNLASNCANEKGDSDITLHLGLPNDVHQKRKTPEKETISNDSETQMALV >OIV99295 pep chromosome:LupAngTanjil_v1.0:LG13:2920655:2922640:1 gene:TanjilG_17105 transcript:OIV99295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLEDFDCGPDTFLLVANFCYGFRVELTARNVVLVYCAADHLEMTDEFGEDNLLSKSDSLFHRSILRNWKDCILALQSSEPVLSMAEKLHLVGKCLSALSMMVCTDPSLFGWPMMMYGSLQSPGGSILWNGINTGARLRCSESDWWYEDISYLSVSLFERLIKTMQMRGIRSEKLAGAIMHYCRKYLPGLDRWQGGQGSKTRTVASFSLTPAAVDQSVLLESIEKLLPEKKGDSFCRFLLGLVRVALILNVNQTCRDSLEKRVGMQLELATLDSLLIPNFSDSDTLYNTECIERIVHHFMSPGSSMPAFSPSSIDPQTSPSSESLRKVAKLIDSYIAEIASDVNLKPGKIRSLAEALPDSSRSLHDGLYRALDTYFKAHPWLSDKEKEDLCSTIDYQKLSFHACAHASQNDRLPLRVILQVSFFEQLQLRTAIAGYFHSLDNEIAPAASLTAPGERVGEIIQRDGWMTIVRENQVLKVDMERMRSRVGELEEEFSKIKQEMKSMTKSNSSINSPRLIARKVGCKPQPSDAQPESLNHAGPPPPPPPRSSTKHTSRPHQSGRSRHFSLV >OIV98987 pep chromosome:LupAngTanjil_v1.0:LG13:7223110:7238134:-1 gene:TanjilG_29390 transcript:OIV98987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMDAAKQEFLKEFGEHYGYPNGPKSVDQIRATEFTRLQDSQSESSSATLDILSDARQQMINNSSLCCSLTSIRYALGQGATAIAVDIEEDVHPVTSGETISMKISPHGVQRRKVVGLTEGESTGDTYNLFAFPSECNFSGLRFDLDLVNIIKENSRRISGISSVCNNGQWMVLIDAAKGCATMPPDLSKYPADFVTISFYKLFGYPTGLGALIVRKGTVAASIADIDFVKRREHIEHMFEDGTASFLSIISIRHGFKILNSLTVSAISRHTKSLTLYTRKMLLALRHDNGSRVCVLYKHHNSMELCHEMGPIVSFNLKRPDGSWYGYREVEKLASLSGIQLRKFADFVASSFLSSSNHIDHGNHLKGLKHDREWILKSLSGETITQKKVPEMCFISTLIDLSQGMLFVESPRCKKRLQIRLELDVDDGDIEDIELYGQRYKVYSYSNETNVWFSQAIGKPCTFLRYSSCDQDFLLNKTNGAAACRGAKSMLSFANEGQLLLVSKESVSDLNKRLSSDVHKGICGTATEVNASRFRPNLTVSGGRPYDEDGWRDVRIGNTHFRSLGGCNRCQMINIAQNDGQVQKSKEPLATLASYRRVQV >OIV99611 pep chromosome:LupAngTanjil_v1.0:LG13:696416:697714:1 gene:TanjilG_17421 transcript:OIV99611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTKRANRDDGDSPGEGPSFKRQNSGRNFPADKTVESAEGNEEEQIFEPEPQSHGESTGLKLLGLLLQCAECVAMDNLDFANDLLPEIAELSSPYGTSPERVGAYFAQALQARVVSSCLGTYTPLLTKSVILTQSQRIFNAFQSYNSVSPLVKFSHFTANQAIFQALEGEDCVHIIDLDIMQGLQWPGLFHILASRSKKIRSMRITGFGSSSELLESTGRRLADFASSLGLPFEFQPVEGKIGSVTELSQLGVRTHEATVVHWMHHCLYDITGSDLGTLRLLTQLRPKLITTVEQDLSHAGSFLARFVEALHYYSALFDALGDGLGADSIERHTVEHQLLGCEIRNIVAVGGPKRSGEVKVGRWGEELRRVGFRPVSLRGNPASQASLLLGMFPWRGYTLVEENGSLKLGWKDLSLLTASAWQPSDLITYTD >OIV98844 pep chromosome:LupAngTanjil_v1.0:LG13:11522023:11522250:-1 gene:TanjilG_08500 transcript:OIV98844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLEDLEHFTASLETLKLNLVATLESKKLNSQRPTFSNLPLVNGCFSGDHQVWNLMNGCSSNRNPMVPNLGFGHY >OIV98971 pep chromosome:LupAngTanjil_v1.0:LG13:6928959:6929132:-1 gene:TanjilG_29374 transcript:OIV98971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYEEDIEEDTEERVYIENDIDKMKEKKVYVEDDTKKSDSSKPLKVYVRRNKKDIGTH >OIV99125 pep chromosome:LupAngTanjil_v1.0:LG13:4761312:4763751:-1 gene:TanjilG_22705 transcript:OIV99125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAVKYLESQVQNVGGSVQKIYSGVVQDVLPLTPLVNSAKYLETQVQSVGDNVHKVYSGVVQQLLPHPPFVNSAKHEACSVAPENEIDSPVKSFAAVEDDNEKGDELTPPNNFIESLADSNAFNLANDQHAGSPIEHDLVNQVSDETCSDSYITQEEVVGDDHSIETSVDKRENMDVTIKEIAVESASQPKNSISVKEKEALEFSICSESYSGCSGSGCEVLIEKKDNLDMNLEPNSCSIVEINATNSYSSPVLNFMSPSEKESIKASLSSDLSDVADEDTHGILAEVSTAASAVPCGRPITETEPLCFKSSACSETLYSKSLGSYSYEIESYKNNSGDVAWSISDGSMVHVYCEPYPLVAGQNKESQGGLASSGHTQSVESNDESLVKSMESILDDIQLNDDTKLEESCVFVDDSELHAVSCRTQKLRSYKKRIQDAFSLKKRLVKEYEQLAIWYGDTDLEHSQGLSRTLLPFRSRTYEDSKNLQGHDASETEWELL >OIV99019 pep chromosome:LupAngTanjil_v1.0:LG13:4965333:4968029:1 gene:TanjilG_32278 transcript:OIV99019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFYSSWLCAIIAKAFSVLWAVTLLLNQVQCLIIQSNQAEQWCDFSIGTWVLDSHYPFYDASRGCPFIGKGFNCIGNGRHDLKYLKYRWKPSHCNLPRFDGKKFLERYRGKKIMFVGDSLSNNMWQSLTCLLHIAVPNSDYTLTSQTKQLSVFFFREYQASIMWLKNGFLVDVVHDKEKGRIVKLDSIRSGDQWKGIDTLIFNTYHWWTHIGESQIHFELGNEIVNMNHMEAYRIGLTTWAKWIDSNIDPSKTSVLFQGIAATHSVGKGCRGQTTPLQGSQPPYPGVDIVKSTLKSMRNPVHLLDITLLTQLRIDGHPSIYNGRGASDVDCSHWCLAGVPDTWNEILYAALIGR >OIV98796 pep chromosome:LupAngTanjil_v1.0:LG13:11876445:11878142:1 gene:TanjilG_25042 transcript:OIV98796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNTLMSSAISAFASPISLSKSRSPTSVAASRNGTKSSGRLSTSADWFPGEPRPPYLDGSAPGDFGFDPLGLGRRPENLERFTESEVFHCRLAMAAVPGVLIPEALGLGNWVQAQEWSTVPGGQATYLGIPVPWGTLPTILAIEFLAIAFVEYQRIVEKDTEKKKYPGGFFDPLGYSNDTEKFEEYKVKEVKNGRLALLACLGFAVQQSVYPGTGPLDNLAAHVADPWHNNVGNILFPNF >OIV98672 pep chromosome:LupAngTanjil_v1.0:LG13:15341116:15360469:-1 gene:TanjilG_23964 transcript:OIV98672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAQVLPNLRKQEHLEAGKRRLEEFRKKKAAERAKKTASSTNIPNSDASLNQKLPSQIENVRVNESDGVTTSDGIGGANVDTLPSGMGNDKIPNMVSQSSNQGSLADRTSFVRNDLNTLSNSPVETDSDIDETKRYNASVATAYADVNQKNEANNVNNIYRIHTGGLGGISYGTTNNQGILLHSQGSQEFGCSTTQSSPHGMNEYHSNKSYSSLKDYGVSGLSDSFSFKFRDTITSSDSDLPNLGSATIPRFDSNGYEATNSSNHTPLNLLSTESSSRRSRPSFMDSLNVTRPSLGSPFWQPEQDSSMTNHSESSNKDISGSTYFHKPSEETKDVAPLSTTSFHSPFEDNAIPSVFNNNGQDILMTSAKESGRDKKHDYHSPSKNEDFTALEQHIEDLTQENFSLQHALEASRVLAESLATENSSLTDNYNQQRSVVNQLKLDMEKLHEDIKAQLVELESVRNLYTNAQLECNTADERGKLLASEVIGLEEKALKLRSSELKLEKQLENAQAEISSYRKKMSSLDKDHQDMKSTIDALQEEKKVLQSKLRKASGIGKSIESQINKRDVSTSTEDIASENDAANSSNQEIIDSAAVHDAEASSLSLVPEVGHSSLGVSPVNIPQDQMRMIENIKALISELALEKEQLSKALTSESSECSRMKAINKELSRKLEIQTPRLEL >OIV99518 pep chromosome:LupAngTanjil_v1.0:LG13:1249257:1251601:-1 gene:TanjilG_17328 transcript:OIV99518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASASATLLKSSLVLEKSEWVKGQNLRQVSAVSVARCNSTNPSTLTIRAGSYADELVKTAKTVASPGRGILAMDESNATAGKRLASIGLENTEANRQAYRTLLVSAPGLGQYISGAILFEETLYQSTVDGKKIVDVLVEQNIIPGIKVDKGLVPLVGSNDESWCQGLDGLASRTAAYYQQGARFAKWRTVVSIPNGPSALAVKEAAWGLARYAAISQDNGLVPIVEPEILLDGEHSIERTFEVAQKVWAEVFFYLAENNVLFEGILLKPSMVTPGAESKEKASPQKVAEYTLKLLHRRVPSAVPGIMFLSGGQSEVEATLNLNAINQSPNPWHVSFSYARALQNTTLKTWGGLPENVKAAQDALLFRAKSNSLAQLGKYTGEGESDEAKKELFVKGYSY >OIV99297 pep chromosome:LupAngTanjil_v1.0:LG13:2895600:2897366:-1 gene:TanjilG_17107 transcript:OIV99297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLYLKDKWAEKLGFTSYQPAYLNLEVKGKNLLNGANFASAASGFFDFIPQMYRLYAMGARKIGVTNAAPMGCLPVLITLFGSHSNECVDRLNNDSIDYNKKLNKTSQNLRKILPGIKLVVCDIYQPLYNLVTNPSQNGFSETRRGCCGTGLLETAIICNNMSTGTCANASNYVFWDGAHPSEATNKILADVMVDAGIPLLMS >OIV99530 pep chromosome:LupAngTanjil_v1.0:LG13:1190612:1190884:1 gene:TanjilG_17340 transcript:OIV99530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFHFPLIRRASFTSNQASTKVANVPKGYIAVYVGENTKRFVIPISYLNQRSFQDLLGQAEEEFGYEHQMGGLTIPCREDEFLDITSQLQ >OIV99351 pep chromosome:LupAngTanjil_v1.0:LG13:2403922:2411682:-1 gene:TanjilG_17161 transcript:OIV99351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERLIDKFPSMDLMRSEKMTFVQLIIPVESAHRAMSYLGELGLLQFRDLNDEKSPFQRTFVTQVKRCAEMSRKLRFFKDQINKASLMTFPPVSQPDIFFEDLEIQLDEHEHELIEMNSNSEKLRQSYNELLEFKIVLQKACVFLVSNHGHTVLEERELEENVYSNGGYVETPFLFEQEMRPGPSNQSGLRFICGIICKSKVVRFERMLFRATRGNMLFNQAPADELITDPISGEMIEKTVFVVFFSGEQARTKILKICEAFGANCYPVPEDISKQTQITREVSSRLADLEATLDAGIRHWNKALASLGDHLPKWMDMVRREKAVYDTLNMLNVDVTKKCLVGEGWCPIFAKTQIQETLQRATFDSNSQVGIIFHSMDAVESPPTYFRTNGFTYPYQEIVDAYGVARYQEANPAVYTTIIFPFLFAVMFGDWGHGICLLLGALFLVARQNKLSTQGNHNVQNFEYSVFYMIRLINISIRRDAHTTGLVKFREPYPFGVDPSWRGSRSELPFLNSLKMKMSILFGVVHMNLGIMLSYFNAHFFGNSVDIRYQFVPQMIFLNSLFGYLSLLIVVKWCTGSQADLYHVMIYMFLSPFDNLGENQLLWGQRPLQIVLLLLAVIAVPWMLFPKPFILRKLHNERFQGRNYGMLNISEMDLEAEPDSARQRHEEFNFSEVFVHQMIHAIEFVLGSVSNTASYLRLWALSLAHSELSTVFYEKVLLLAWGYDNFAIRLLGLVVFAFATAFILLMMETLSAFLHALRLHWVEFQNKFYHGDGYKFRPFSFALLAEDED >OIV99594 pep chromosome:LupAngTanjil_v1.0:LG13:808690:812846:-1 gene:TanjilG_17404 transcript:OIV99594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTFCTILSCCLLIITTMSVSTVASMREYFDMEARQQETDIVNNLPGQPPVKFRHYAGYVKLRPNEEKALFYWFFEAQQEPSHKPLVLWLNGGPGCSSIAYGAARELGPFLVKDKEGLTLNQFSWNKVANIIFLESPIGVGFSYTNISKDLHELGDRVSAIDNYAFLVGWFKRFPSFRSHDFYIAGESYAGHYVPQLAELIYEGNKHTKKSTQINFKGFMIGNAVINDLTDTNGMIDYAWSHAIISDEIYHGINNDCDFRAENQTKACDLHVTGLLQAYSDIDIYSIYSPICLNKYQRAVSPKLLVAPHLLTRHELWHTLPSGYDPCTEDYVAKYFNDKDVQKALHANITNLSYPYTLCSSVIQKWNDSPNTVLPIIQKLLHAGLRIWIYSGDTDGRVPVTSTRYSIKKMGLKVKKGWRAWFDKNEVAGWMEEYEGGLTFVTIRGAGHQVPVFAPHQSLSLFTHFLSAQTLPSSRF >OIV99508 pep chromosome:LupAngTanjil_v1.0:LG13:1304108:1314873:1 gene:TanjilG_17318 transcript:OIV99508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFSRARSSSPFSNYKSSTPYSSASSSSSFMNGRLLPRASSSSSSSFINSGGRSVTLSRGRARSESNYSDYGDSTSPLEFGMEEVIAEPLDSSISQDSISVTIRFRPLSDREYQRGDEIAWYADGDKIVRNEHNPVTAYAYDRVFGPHTNTEEVYEVAAKPVVKAAMEGVNGTVFAYGVTSSGKTHTMHMNCARHNWTTTGTSKGLERDQGVEHGKFEITRGDQDCPGVIQLAIKDVFSIIQDTPGREFLLRVSYLEIYNEVINDLLDPTGQNLRVREDAQGTYVEGMKEEVVLSPGHALSFIAAGEEHRHVGSNNFNLFSSRSHTIFTLMIESSAHGDDYDGVIFSQLNLIDLAGSESSKTETTGLRRKEGSYINKSLLTLGTVGKLSEGKSSHVPYRDSKLTRLLQTSLSGHGHVSLICTVTPASSNMEETHNTLKFASRAKRVEIYASCNKIIDEKSLIKKYQREISALKQELDHLKKGMLVGVSHEEIMSLKQKLEEGQVKMRSRLEEEEDAKVALMSRIQRLTKLILVSSKNAIPGYLTDAPSHQRSHSVGEDDKYDAFRDVSLFIESGSQKDVSTLSSDLSLDVRHRRSSSRRNDELSPTSSIITKSTQAGELISRTRLPVGGITMSDQMDLLVEQVKMLAEDIAFSTSTLKRLMEQSANDNGSSKTQIENLEQDIQEKRKQMRVLEQRISECGESSIANSSLVEMQQTVERLMSQCNEKAFELEIKSADNRVLQEQLNDKCSENSELHEKVMLLEHQLATVSSGTSLVLDDHRLYDEHVDELKRKIQSQEIENENLKLEQVQLSEENSGLHVQNQKLSEEASYAKELASAAAMELKNLAAEVTKLSLQNAKLEKELMAARDLVNTRSALIQTVNGVNRKYDIRSGRKGGTSCRANEISGAGLDEFESWSQADDLRIELQARKQREEALEAALAEKEFVEEEYRKKVEEAKKREAALENDLAGMWVLVAKLKKEVGTVPEVNIDKKNGGGEANTDGLKSKDIGGNTVPKEQILDVSKSDNEVPKEEPLVARLKARIQEMKEKELKHLGNGDANSHVCKCVNLVHLLVLNVQYAGQILQIDFLLLHLDPSNVGLYLEVKYIHAVTGAILLLVGW >OIV98696 pep chromosome:LupAngTanjil_v1.0:LG13:15124617:15125189:1 gene:TanjilG_24867 transcript:OIV98696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISFALLDDFFNDCNCDSNDIDIEDDTSNDYLAKPLCVPQDTLHDMELWDPSFSNDLDPNILSLLYADDINEESKTTAKNDHVSQSNVQNKLRVIRRPRTRKPRRKRIDYGTWYKFGFENSETVEEKRCSQCETKHTPVWRTGPMGKNTLCNACGIRYRSTKLFQEYRHLLNPTFGGKRHSHYNLRTLKT >OIV99410 pep chromosome:LupAngTanjil_v1.0:LG13:1999834:2003226:-1 gene:TanjilG_17220 transcript:OIV99410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGARCSKFSLCWWSSNIKSNLHDLSDNDDGSKNDKDPFAGFIEYTLDQLKVATSGFSPDNIVSEHGEKAPNVVYKGRLQDDRLVAVKRFNKSAWPDSRQFIEEARAVGLLRNERLANLVGFCCEGEDKLLVAEFMPNETLSKHLFHWESQPMKWAMRLRVALYLAQALEYCSSNGRALYHDLNAYRILFDQDGNPRLSCFGLMKNSRDGRSYSTNLAFTPPEYLRTGRITPESVVYSFGTLLLDLMSGKHIPPSHALDLIRAKNFQLLMDSGLEGHFSNDDGTELVRLVSRCLQFEPRERPNAKSLVTALTPLQKETSVSSYCLMGITEAGVSPKETVSLTPFGEACSRRDLTALHEMLDKVGYKDDEDVANELSFQMWTNQIQDTLNFKKHGDSAFHAMDFSRAIDCYTQFIESGTMVSPTVYARRCLCYMMSNMPQEALGDAMQAQSVFPTWPTAFYLQAAALFSLGMDNDAQESLKDGTMLETRKHRN >OIV98775 pep chromosome:LupAngTanjil_v1.0:LG13:13151632:13170778:-1 gene:TanjilG_20499 transcript:OIV98775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKDKTKSANDKETNGITKQGSKPPTNDEAPSNITKQKVEAAKQYIENHYKKQMQSLQERKERRPKHAILCRRNILEKKLADAEVSEEEQNNLLHYLERKETEYMRLMRHKMGPDDFEPLTMIGKGAFGEVRLCREKATGHVYAMKKLKKSEMLRRGQVEHVKAERNLLAEVDSNCIVKLYCSFQDEGYLYLIMEYLPGGDMMTLLMRKDILTEDEARFYVGETILAIESIHKHNYIHRDIKPDNLLLDRHGHMKLSDFGLCKPLDCSNLQEKDFSIRSNRSGALQSDGRPVAPTRTQQEQLQHWQKNRRMLAYSTVGTPDYIAPEVLLKKGYGLECDWWSLGAIMYEMLVGYPPFYSDEPMLTCRKIVNWKTYLKFPEEAKLSSEAKNLICRLLCNVDQRLGTKGANEIKAHPWFKGIEWDKLYQMKAAFIPEVNDELDTQNFEKFDEVDKKTESSSNAGPWKKMLPSKDVNFVGYTYKNFEILNDHEIPGIESRTPSCDGFSFTKFMSVEI >OIV99541 pep chromosome:LupAngTanjil_v1.0:LG13:1143874:1144769:1 gene:TanjilG_17351 transcript:OIV99541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIQAQFYNTNDGSYPFCDNGFYVSGLTDSCFNHQQIHHQQLQQLQQRLQQPCNESHNNLVDQNLLVHDSKPLNPPTSLFQFEDIDQYIRLQNEKLRFMLQEQGKQQITALLNRVESHSFKLLKQKDEEIANATKKRVELEDFTRRIEAENEGWQKMAEENEAMTLSLYKTLEEMKEKENMIMRDDAESCCTFVHAKIVMLFLKLALSAQCQRNLVLKL >OIV99398 pep chromosome:LupAngTanjil_v1.0:LG13:2084257:2095584:1 gene:TanjilG_17208 transcript:OIV99398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFSRIGRSLSRSSRARKLLQGGGASYSDGGERGLGFLRGYIAAANNGFNSNLSDFKSIGANPRLLRLFSSEVPKKKITVLITIRLFLADYENFYPKEKKEVPKGGDSKQESKDDSNTKTDDHANLKEFFMKQFQNILPLLMLGVFLTFSLGSDEQQQISFQEFKNKLLEPGIVDHIVVSNKSVAKVYVRRSPHNPADSEVVQGTLPGQRTGGQYMYYFNIGSVESFEEKLDEAQEALGIDPHDYVPITYSSEIVWFQELLRFAPTLLLLGTLLYMGRKMQGGLGIGGPGSGKGGRGIFDMGKAPITKVDKNAKNKVFFKDVAGCDEAKQEIMEFVHFLKSPKKYEELGAKIPKGALLVGPPGTGKTLLAKATAGESGVPFLSISGSEFMEMVVGVGPSRVRNLFQEARQCAPSIIFIDEIDAIGRARGRGGLSGGNDERESTLNQLLVEMDGFGTTAGVVVLAGTNRPDILDKALLRPGRFDRQVTIDEPDINGRDQIFQIYLKKIKLDHEPSYFSQRLAALTPGFAGADIANVCNEAALIAARGEQTQVTMEHFEAAIDRIIGGLEKRNRVISKLERRTVAYHESGHAVAGWFLEHAEPLLKVTIVPRGTAALGFAQYVPNENLLMTKEQLFDMTCMTLGGRAAEQVLIGRISTGAQNDLEKVTKMTYAQVAVYGFSDKVGLLSFPQREDSYEMSKPYSSKTAAIIDNEVREWVGKAYERTIQLMEEHKEQVAIIAELLLEKEVLHQEDMVRILGERPFKSAEPTNYDRFKQGFQEDEEKIIEKPVNEPEENGGSSPLDPLNLLHGDARFGTLVGAPRTNVEAAEKGLGFFRGYVVPARTGSNGFISNLSDFKSVAAKPRLFRLFCSEAPKKKNYENFYPKGKKEDPKGSKKKNESKDNSNKDRDDHGLHQIVFEIKFKDMISILLIGLFIAISLIPREQPQISFQEFKNKLLEPGLVDHIVVSNKSIAKVYIRSSPRDRADSEVVQGASPGQRTGGHYMYSINIGSVESFEEKLEEAQEALGIDPHDHIPVSYASETDWFKEILRFSLPLLFLGSILYTGRKVQGGFGIGGPGGGKGPGGIFNLGKAKVTKVDKNSEHKVFFKDVAGCDEAKQEIMEFVHFLKNPKKYEELGAKIPKGALLVGPPGTGKTLLAKATAGESGVPFLSISGSDFVEMFVGVGPSRVRNLFQEARQCAPSIILIDEIDAIGRARGRGGSSGANDERESTLNQLLVEMDGFGTTAGVVVLAGTNRPDILDKALLRPGRFDRQISIDKPDIKGRDQIFQIYLKKIKLDNEPSYFSQRLAALTPGFAGADIANVCNEAALIAARCEETQVTMKHFDAAIDRVIGGLEKKNLVISKLERRTVAYHESGHAVAGWFLEHAEPLLKVTIVPRGTAALGFAQYVPNENLLMTKEQLFDMTCMTLGGRAAEQVLIGRISTGAQNDLEKVTKMTYAQVAVYGFSDKVGLLSFPPREDSFEMSKPYSSKTAAIIDNEVREWVSKAYEHTLQLIEEHKEQVSQIAELLLEKEVLHHDDLVQVLGERPFKSTGPTNYDRFKQGFQKEVLSDASSLEPEVVPA >OIV98751 pep chromosome:LupAngTanjil_v1.0:LG13:14104395:14110034:-1 gene:TanjilG_24922 transcript:OIV98751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKNEEQGRSLFGISLTDRPRWHQFLICSSGFFFGYLVNGICEEYVYNRLHFSYGWYFTFIQGFVYLFLIYLQGFTSKQMVNPWKTYVKLSAVLMGSHGLTKGSLAFLNYPAQIMFKSTKVLPVMIMGAFIPGLRRKYPLHEYISAILLVVGLILFTLADAQTSPNFSVIGVAMISGALVMDSFLGNLQEAIFTMNPETTQMEMLFCSTIVGLPFLIPPMLFTGELFKAWTSCAQKNEEQGRSLFGISLTDRPRWHQFLICSSGFFFGYLVNGICEEYVYNRLHFSYGWYFTFIQGFVYLFLIYLQGFTSKQMVNPWKTYVKLSAVLMGSHGLTKGSLAFLNYPAQIMFKSTKVLPVMIMGAFIPGLRRKYPLHEYISAILLVVGLILFTLADAQTSPNFSVIGVAMISGALVMDSFLGNLQEAIFTMNPETTQMEMLFCSTIVGLPFLIPPMLFTGELFKAWTSCAQHPYVYGVLVFEAMATFIGQVSVLSLIALFGAATTAMITTARKAVTLLLSYLIFTKPLTEQHGSGLLLIAMGITLKMLPENKPISTKRVLTTSHSSTSEKYSGDEELGENEEKRPLV >OIV98698 pep chromosome:LupAngTanjil_v1.0:LG13:15105825:15108031:1 gene:TanjilG_24869 transcript:OIV98698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSVTGHLWSFVRKSGHTVEPINSVHPLLPQLQQYRGIRVKVLNGNLEQALALMQRKMQSSGIERMIKQEQRFHIKNSEKRVLARKNLERRLRSEDLARKLKAIQYAKTKSDVIAKADGSFVPRERRKRHDDKGKKRKDQHDANLAGLGINPAYAGAYGATPALSQIPYPGGAKSLVPEAPAPPNNILFIQNLPNETTPMMLQMLFLQYPGFKEVRMVETKPGIAFVEYGDEMQSTVAMQALQSFKITPQNPMLITYAKK >OIV98616 pep chromosome:LupAngTanjil_v1.0:LG13:16624188:16625729:-1 gene:TanjilG_12739 transcript:OIV98616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGVAWTEEEDHMLKKCIEQYGEGKWHRVPLLAGLNRCRKSCRLRWLNYLRPNIKRGSFAEEEVEMIIKLHRLLGNRWSLIAGRLPGRTANDVKNYWNCHLSKKLNALEAKNTKMNRNIESIRPNQPRIIIGSSSLKRRSLQSESSTEQGTQQQESAISSLTFDPERESHILEPQQENMYSCLDQQGIIDELPMDFKYEGVDAMNSGVGSSSSTNQWDWDDLLLDMDLYNGFSS >OIV98526 pep chromosome:LupAngTanjil_v1.0:LG13:17742590:17772208:1 gene:TanjilG_12112 transcript:OIV98526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEENHVGVPPISTTRGVGSDSLSPSNILRSPLSALLEYSGILRHNPIPIPIPIHVPNIRESAETTAGAGAGAGEVSIRIIVPSDSEPHHHHHNHHHHQPFSSLSSSSYQRYDIQHAARCLEQILPFSLLFLLLFIRQHFQDILVRQAAMVFKCILLMYFKNTTPRNYRKQVNAAGDLCAICQEKMHVPVLLRFQQSACATSSNSTKKQQQQHNRSVSDPIDSCSTPRDDVRNNNTLLYTHVIAFTLYELETITKSFRSDYILGEGGFGIVYKGYIDENLRVGLKSLPVAVKVLNKEGLQGHREWLTEVNFLGQLRHPNLVKLIGYCCEEDHRLLVYEFMFRGSLENHLFRKATVPLSWATRMMIALGAAKGLAFLHNAERPVIYRDFKTSNILLDSDYTAKLSDFGLAKAGPQGDETHVSTRVMGTYGYAAPEYVMTGHLTARSDVYSFGVVLLELLTGRKSVDKTRPGKEQSLVDWARPKLNDKRKLLQIIDPRLENQYSVRAAQKACSLAYYCLSQNPKARPLMSDVVETLEPLQSSSVGAGEVSLSGSNSGNGGGPFAMNKISDYRMRHKFSNNVGPGATCRSPNPNCSPGAPAACRVR >OIV99683 pep chromosome:LupAngTanjil_v1.0:LG13:189983:194295:-1 gene:TanjilG_17493 transcript:OIV99683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRLSNRNLCRPIFFSAHTQKQNSYRKSESGVLAKCYLPPLFSVAPMMDWTDNHYRTLARIISKHAWLYTEMVASETIVHQKDNLDRFLEFSPDQHPIVLQIGGSNIESLAKATELSNAYCYDEINLNCGCPSPKVAGHGGFGASLMLDPKFVAEAMSAIAANTNVPVSVKCRIGVDDHDSYDELCDFICMVSSISPTKHFIIHSRKALLNGISPAENRSIPPLKYEYFYGLLRDFPNLTFTINGGITSVGEINAALEAGAHGVMIGRAAYNNPWHILGHVDTAIYGEPSTGLTRRQVLEKYQYYGDSVLRKYGHRPTVRDIVKPLLNLFHSAPGNGLWKRKADAAFRNCTTIKSFFEETLDAIPDSVLDSPVAELPPGREDLFANIDNLLPAPYRTREEIVICA >OIV98905 pep chromosome:LupAngTanjil_v1.0:LG13:7741822:7743630:1 gene:TanjilG_07340 transcript:OIV98905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAESVDSIIEVREDVMVSPSSVGNPTCTRTAYFIKPCIKQCSPCHILPPTHYMLSGTLNSSKYNHSKLALDVRVSELVGMGCREQYLPHRVAMQFGMDQDIPGMVEPSHTGSLVNYYIWDRNLCKALCPCQCQPNVTFRYYNWWKQSNIDSSRMDDSRIAKNEWNETRRKSSFVNEMQSREVASPCIAQEKVFNLENRILKLEREVAILKLEREVAKLKAARLAPKFENIGAKTKPCISSRPAIQKVYIRRNKHGFELNLEAKFENSGAKAHNNTESLNSKWVQTRSRSFKE >OIV99023 pep chromosome:LupAngTanjil_v1.0:LG13:5011388:5012748:1 gene:TanjilG_32282 transcript:OIV99023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLFVACSICEVGKDAAGVAGNIFAFGLFLSPIPTFRRIVRNGSTEMFSGLPYIYSLMNCLICLWYGTPLISPDNLLITTVNSIGAAFQFVYIILFWMHAENAKKVRILGLLLVVLGIFTIILVGSLQVSDSNTRRAFVGFLSCASLISMFASPLFVINLVIQTQSVEFMPFYLSLSTFLMSVSFFLYGFLSGDAFVYVPNGIGTLLGMIQLCCQNEGKYTCYDQDLWSFTVSEVVL >OIV99214 pep chromosome:LupAngTanjil_v1.0:LG13:3703444:3709169:1 gene:TanjilG_06519 transcript:OIV99214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPRPPESTAPAAESGEEFIHVDDLKMENLSESMVRIDNENSNEGPSEAAPEDQDTDLPPVTLPEELSRNVLVLSCESSAEGGVCDVYLVGTAHVSEESSREVQAIVNHLKPQVVFLELCSSRVSVLTLQNLKVPTVGEMTAMLKKKHNLFEVLYGWFLAKIASKLEVFPGSEFRVAYEEAMKYGGRVILGDRPVQVTLRRTWSKMPLWHKTKLVYSLLFQAVFLPSSDDLNKMLKDMDDSDMLTLVIQEMSKEFPTLMETLVHERDQYMSSTLLKVASENSLVVAVVGKGHLQGIKKHWKQPIMMKDIMTVPSPKPGFSAIKVFTSVGVAVAGVAIISGIYLSCKK >OIV99105 pep chromosome:LupAngTanjil_v1.0:LG13:6191005:6194774:-1 gene:TanjilG_32364 transcript:OIV99105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREIKVQKLVLNISVGESGDRLTRAAKVLEQLSGQTPVFSKVEGFMASQNESGSSTKTTPSLPKNIYKDPDDGRQRLLLELEFIQCLANPTYIHYLAQNRYFEDEGFIEYLKYLQYWQRPEYIKFIMYPHSLYFLELLQNANFRNAMAHPNNKELAHRQQFYFWKNYRNNRLKHLLPRSLPETSATPAPPAPTSTSNQMPLPALPPAPTTNVTVTASSTRAPSPMPYGIPPGSTIPKNEMRNSAVEKRKRK >OIV98793 pep chromosome:LupAngTanjil_v1.0:LG13:11754850:11764602:-1 gene:TanjilG_25039 transcript:OIV98793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPEPIPWDRKEFFKERKHERSESLGSAARWHASSSYHGSRDFNRWGSSDFRRPAGHGKQGGWHLLPEESGPRYSHSRSCDKMLDDDNCRPVVSGGNGKYSRSSRENRGSFGQRDWRERLWETSNGSPNLSRRQLDATNAQRSDDDMLTYSSRLHVDFVNTWEHHHLKDQHDKMVGVNGTGSGQRCDRESSLGSVHWKPLKWTRAGSLSSRGSGFSHSRSSRSFRVTDSYEGKAELPHKNAAVIESHSREAAACVTSSAPSEDTDSRKKPRLKWGEGLAKFEKKKVEGPDESSSKDDPILSTSNLEPGNALCPSVVHNSPKVTGFSGCVSPATPSSVACCSSPGVDDKLFLQAAHVDNDVNNLSGSPGHGSHDHLQKFSFNLEKLDTDSLTSLGSSLDELLHFDDLISVDSSLVRSTAMNKLLIWKADISKVLEVTETEIDSLENELKALKSESGGRFPCPAAASSLLICYDAKPCDGHVGGSDKDAHPKPVQIVSCDDPNLEKMLLSNNLHSIHDNGKEEDIDSPGTATLKFMEPLSFNNAVSLYDVGRYDICALDLDGIQSTAMQFLVPCTQKQVASVSARGDGNASMGDGMDANAGSSLCSITEDILYNTIFSSNKECANRASEVFSKLLLKECGNTGNVEASSSSGSHNGSSIVERFAAKKRFARFKERVITLKFKALHHLWKEDIRILSIRKCRPKSHKKVELGVRTVSSGQKNRSSIRSRFPSPGNRQSVVPKSDINFASKLLSESQVKVHRKSLKMPALILDQKEKMISKFISSNGLVEDPLAIEKERAMINPWTSEESDTFLEKFLNYGKDFRKIATFLDHKTTADCVEFYYKNHKSDCFEKIKKQDGRKVGNSFSANASIMASDRRWNCEVNAASLDILSAASVMADSIASNQKMRSGSLLLRGLGRMKSSRGKDSNTERSSGFDILHDERVTVAADVLAGICGSLSCEAISSCTTSSLDPVEGNRKCQKLSSLCQQPEIPDFTQDIDDGTFSDESSEEMNPTDWTDEEKVVFLQAVSSFGKDFAMIAQCVGTRSQDQCKVFFSKARKCLGLDLVHRRAENSVSPLNDHASGGGSDTDDACVVEIGSDIGSGKLGTKTDEELNSSVMNTYREVSYHLEARNMLTDLNESKKVNGAKIHHDHVNMISDAFVITSKTKLNNDCNGVILNSSDASGSILGQKAIIMSDSTGVGKDKIEVESVVSELASATEIIKPCHSNSVPEVTSGGHEYELERQRVSSSQCLVDRYDKHEADTEALAFSRLSFDVESRPQLSLEKPHISGLSVGSPRAATNSLLQNAATAALCEKTATQDQLSSTCDFQGSGDMCCRNSISIGDHQLRNPGGLLDCVEAASIFQGYPLQVHVKKEVNVDTSCSGSSTELPLLTQKIEQADDHHKAKLQCLSDSDKISRNGDVKLFGKILTIPSSTQKPKLTTKKSEENGTHHPILSSKSSNLKFTGHHNADGNSTILKFDHTGGLENVPLMSYGYWDGNRIQTGFSSLPDSAILLAKYPAAFSNYPASSAKLEQQSLKALAKSNEHLNGASAFTTREVNGNNGVIDYQMFQNRDGPIVQPFMVDVKLHQDVFSEMQKRNSFEDISSLQQQGRGMVGINGVGKPGTVVGGGVSDPVAAIKMNYSNSGGQIGIITREDESWGGKGEAGSSRCSV >OIV99231 pep chromosome:LupAngTanjil_v1.0:LG13:3563056:3565209:1 gene:TanjilG_06536 transcript:OIV99231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCVSTSSRSTCSSRSNGEKVSPSCLDVGCCGQKRAARRTFSDHVISLDHLPSIPSRIFTNGKSQSSCIFTQQGRKGINQDAMVVWEDFMSEDTIFCGVFDGHGPYGHLVARKVRDALPLKLLSFVKSSESKQNGNRSRKAWFEGNIKPDTEDSEQDCSTEDKLTSTWREAFLKAYKAMDKELKSHPNLDCFCSGSTGVTLVKQGSNLFMGNIGDSRAIMGSRDSNDSMVAIQLTIDLKPDLPREAERIKRCKGRVFALQDEPEVHRVWLPFDDAPGLAMARAFGDFCLKEYGVISIPEFSHRLLTDKDQFIVLASDGVWDVLSNEEVVEIVSSAPSRSSAARIVVNSAAREWNLKYPTSKMDDCAVVCLFLDGKMDSESDYDEQGFSSATIQSNHSGGNPIESDDGQKSEPSLQRNFTVRSADENETNVASVEVEDATSTAEDQNWLGLEGVTRVNSLVQLPRFSEAKNS >OIV99421 pep chromosome:LupAngTanjil_v1.0:LG13:1901228:1906962:1 gene:TanjilG_17231 transcript:OIV99421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAFLYLLVFLVLCGYAMSKECTNIPTQSHTFRYELLASNNETWKQEVMSHYHLTPTDDSAWEDMLPRRFLKEDHEHEWSMMYRKIKNLGVFKPPQGFLKEVPLKDVRLQEGSIHAQAQKTNLEYLLMLDVDRLIWSFRKTAGLPYPSTPYGGWEGAEVELRGHFVGHYLSASALMWASTQNNSLMEKMSALVAGLSACQEKIGTGYLSAFPSEFFDRFEAIQPVWAPYYTIHKILAGLLDQHTIAGNPQALKMVTWMVDYFYNRVLNVVTHLSINRHYQSLNEETGGMNDVLYRLYSLTGDPKHLVLAHLFDKPCFLGLLAVQANDIADFHANTHIPVVVGSQMRYEVTGDPLYKEIGTFFMDLINSSHSYATGGTSVSEFWHDPMRIADNLKTTENEESCTTYNMLKVSRHLFRWTKEVSYADYYERALTNGVLSIQRGTDPGVMIYMLPLGRGVSKARTGHSWGTPFDSFWCCYGTGIESFSKLGDSIYFEEEGNDSTLYVIQYISSSFNWTSGKVILNQTVVPAASWDPYLRVTFTFSPTEETGTSSTLNFRIPSWTHTNGAKGILNGETLSLPAPGNFLSVTRQWSAGDKLTLQLPITLRTEAIKDDRSEYASLQAILYGPYLLAGHTTGDWDIKAGTNTAISEWITPIPASYNSQLISFSQDYSKSSFVLTNSNQSLTMQKLPAPGTDLAPHATFRLILKDSSTKLSTLAKAVGESVMLEPFDLPGTNVIHQGADQPLAISSRVKPSSIFIVVPGLDGRNETISLESQSNNGCYVYSGVISSDAEVKLSCKSDSDATFNQAASFVGWKGLREYNPISFVAKGTNRNFLLEPLLTFRDEYYTVYFNIQD >OIV98784 pep chromosome:LupAngTanjil_v1.0:LG13:13398874:13407901:-1 gene:TanjilG_20508 transcript:OIV98784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSIRRLSVEESKSLYSLLKSEQRPLQEIVSEFNSNISHTRHFTLCSYLLMLLEDKKIFSTTQRLIGFALILEAYSSQELASNPFLSFILNAACHTESENVERAFILQLLGVDGSNRGKEFLKQSASDYVNGFDLSLHDFPPLDQLQKQFPDKVHLEQYHCLFKDGSVRNVVPDPDVPSNCDVDSPEYPSYRFGLLPGIKPKIGSGDKDEAVVGLLSNLSLEGLSPQWIRPVPPRLPILDGELVWLNPDDNHELMWDYGMCIDTSRGAAVRDLIAKALKGALAPAQQEQVLVELANDPKLVYHCGLTPRKLPELVENNPLIAVDVLTKLINSPEIAEYFTVLVNMDMSLHSMEVVNRLTTTVELPSEFIHMYITNCISSCVNIKVFYLAYVLLAFYFLQNKKKSFFRKRLCFCINSLAGILFNSYTVIVYGHWVDFLYGIAFPDGSWPGKFLKQSASDYVNGFDLSLHDFPPLDQLQKQFPDKVHLEQYHCLFKDGSVRNVVPDPDVPSNCDVDSPEYPSYRFGLLPGIKPKIGSGDKDEAVVGLLSNLSLEGLSPQWIRPVPPRLPILDGELVWLNPDDNHELMWDYGMCIDTSRGAAVRDLIAKALKGALAPAQQEQVLVELANDPKLVYHCGLTPRKLPELVENNPLIAVDVLTKLINSPEIAEYFTVLVNMDMSLHSMEVVNRLTTTVELPSEFIHMYITNCISSCVNIKVFYLAYVLLAFYFLQNKKKSFFRKRLCFCINSLAGILFNSYTVIVYGHWVDFLYGIAFPDGSWPGKVSKIMGEFGKTEE >OIV99368 pep chromosome:LupAngTanjil_v1.0:LG13:2290749:2292125:-1 gene:TanjilG_17178 transcript:OIV99368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFQRAKSINRKPARAIPFLRHGNNKPPPRKQPQIQHHKTSKLRIRIPFITEIKETQNPEQALSLFNHYEQQGFTHHYPSYAALIYKFARSRNFQAVETILNHIQHTGMHCRETIFIALFQHYGKLLLHENAVELFHRMPHFNCVRTLQSLNTLLNVLVDNDRFDEASDSFKRCYEMGFRPNTVTFNIMIKGWLGKDQWEKACEVFDEMLQKKVQPSVVTYNSLIGFLCRRGDLDKAMSLLEDMNRKKKYANEVTYTLLMEGLCSLEKYEEAKKLLFDMAYRGCKPKLINFSVLMNDLGKRGKIEEANSLLQEMKKRRLKLDVVTYNILINYLCKEGKTEEAYKVLLEMQISGCEPNAATYRMMVDGLCRIGDFEVGLNILNAMLASGHFPRSETFNHLVVGLLNSGNISGSCFVLEEMEKRKVAFDLESWGSVVKYACSEENDASGLMDKLTSIHQM >OIV99117 pep chromosome:LupAngTanjil_v1.0:LG13:4853889:4858499:-1 gene:TanjilG_22697 transcript:OIV99117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMRQRRTQPSDLPAPPYSKEDKPVRSSKDEGLGWLFPFVGLGILRYMSATSNIIHDCDEVFNYWEPLHFLLFKSGFQTWEYSSQFALRSYLYLLFHEIVARPASWLFAEDKVRVFYAVRLFLGLLSVITETVLVVAISRKYGKRLASYALAMLCLTSGCFFASTSFLPSSFSMYAISLASGLFLLDKPAAAVAVSAIGVILGWPFSILAFLPVTLYSLSRNFIRAFIAGAVTSIILLASSIVIDFYYYGRWTSSVLNILIYNVLGGGESHLYGTEGPLYYLRNGFNNFNFCFVLALLFLAIFPIAKKKYAPDLLIVVSPIYIWLGFMSLQPHKEERFLYPIYPLICVAASAVMESFPDLFRDKYNSYSNSIAVKAAKVLRPVVLGLILCASHARTFSLINGYSAPMEVYKILEHHDDAGTGSVLCVGGEWHRFPSSFFVPDYVGQVKWIDDGFRGLLPLPFNSTLGGTASAPPYFNNKNKASNEQYLHDIDACTFLVELQLQRPYLTRGSDLSKWEAIAALPYLDRELSPALYRSFFIPHLWQEKNVFGMYKLLKRIAPK >OIV99041 pep chromosome:LupAngTanjil_v1.0:LG13:5251024:5251254:1 gene:TanjilG_32300 transcript:OIV99041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLLLGDISHNGTYQGGTQIYAEETPEDGPGWYFSKKEIEENSPSKLDGVDLKKEAYLRKSYCTFLQDLGMRLKV >OIV98978 pep chromosome:LupAngTanjil_v1.0:LG13:7021383:7021883:1 gene:TanjilG_29381 transcript:OIV98978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQKMEKEETEFKKVPETITLTPCVNNCGVTVNSSTNNSMCQKCFNATTASISAPSRFSDEKASATTGSATSSRSPKRSHPSVESDVKTDQRSVTASFEAKRVVNRCSGCRKRVGLTGFRCRCGDLFCSEHRYSDRHDCSYDYKTVGREAIARENPVVKAAKIVKI >OIV99220 pep chromosome:LupAngTanjil_v1.0:LG13:3649820:3650284:-1 gene:TanjilG_06525 transcript:OIV99220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKKCELCKGPARIFCESDQATLCWDCDTQVHAANFLVARHSRTLLCRACHSPTPWNASGARLGNTISFCHRCAAEEETAENDVGNDGAVMIPGDNDGDIQFVPSSSTPPPPPASSVEAVSDGDDEDKSEPVTTTTTLIQERENNNVPDRDFQV >OIV98744 pep chromosome:LupAngTanjil_v1.0:LG13:14269494:14270120:-1 gene:TanjilG_24915 transcript:OIV98744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFKVHQPIFSDNDGTSYNNDMVYITVVVASKANNQCNFARLPFPIYRNLFSYDDICHGIVTTFLESMKIPPTFFDGGGCNMVENLSRMLRTATLQHHRLHLCVVMMVFEGSCRYKILNSSQPFDAEQFPSSGFVELACEGGECSFPASWAEDEEDKCAICFEGYPSHRDAEMLPCSHVFHQSCIMKWFQRSPTCPKCRFIMPYQLLP >OIV99340 pep chromosome:LupAngTanjil_v1.0:LG13:2483481:2488014:-1 gene:TanjilG_17150 transcript:OIV99340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIDLNHAVTEVEKNAFCNGECDEKGACVCYLSSSNSTCSSSTSSSLHVSSSYLELWHACAGPLTSLPKKGNVVVYFPQGHLEQVSSFTPIEIPTCNLQPQIFCRVVNVQLLANKENDEVYTQVTLLPQAELEGMHLDGKELEELGADEEKIGGSPAKPTPHMFCKTLTASDTSTHGGFSVPRRAAEECFPPLDYKQLRPSQELVAKDLHDAEWKFRHIYRGQPRRHLLTTGWSIFVSRKNLVSGDAVLFLRGENGELRLGIRRAVRPRNGLPESIVGNQNCYSNFLSYVANAISTKSMFHVFYSPRASHSDFVVPYRKYIKSIKNPVTNGTRFKMRFEMDVSTERRCNSGMVIGTSDLDPYRWPKSKWRCLMVRWDENIESNHQDRLSPWEIVPSASLPPMNIHSSARLKKLRTCQQAASPSHLITGVAAGGRGLNDFEESMRSTKVLQGQEKSGFVSHYYGSDTVRKGNSAELMSVHPFSYAAYIETNRFRRVLQGQEIRPSKSVTGNVDFNLGAWGNPNISYTNMHQVTKPNFQSLGPQVLQSAYFPRGDINKDGRASMFCSKPKNLQRENSPYNTTSTQARIMRNENGQTDLSNEHKLQDNISTAAGLSAPNMRFPTEHNVKGKVNACKLFGFPLSGETTTTQNLQNSARSCTKVHKQGSLVGRAIDLSRLSCYNDLLSELEKLFGMEGLLRDPDKGWRILYTDSDNDIMVVGDDPWHEFCNVVSKIHIYTQEEVDNMTIGMTSDDTHSCLEQAPVIMEASKSSSVGQPDYSPTVVRV >OIV99253 pep chromosome:LupAngTanjil_v1.0:LG13:3383546:3392626:1 gene:TanjilG_06558 transcript:OIV99253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPDPNRAADAGASSSGTVKDDAVTKKKVESEDLSDEDLALKQQLELYVERVQDSDPGLQKVALESMRNLAGEIAQEYAKRQTDESPIEELMELVQQIVAFHMKHNAEPEAVDLLMEVEDLDMLIEHVDKTNFKRTCIYLTSSARYLPGPDDMLVLDIAYLIYLKFEQYPNALQIALFLDNMQYVRQVFTSCDDVLRKKQFCYMLGRHGITFELDEEMVANDEDREALQEILNNSKLSEGYLTLARDIEVMEPKSPEDIYKAHLLDGRASAGASVDSARQNLAATFVNAFVNAGFGQDKLMTVPSDSSSSASSGNWLFKNKEHGKTSAAASLGMILLWDVDSGLAQIDKYFHSNDNHVIAGALLGVGIVNSSIKNDCDPAMALLGEYTEKEDSSIRIGAIMGLGIAYAGSQNEQLRYKLAPILNDAKASLDVIAFTAISLGLIYVGSCNEEVAQAIIFALMDRSESELGEPLTRLLPLGLGLLYLGKQESVEATAEVSKTFNEKIRKYCDMTLLSCAYAGTGNVLKVQNLLGHCSQHLDKGETHQGPAVLGIAMVAMAEELGVEMAIRSLEHLLQYGEQNIRRAVPLALGLLCISNPKVNVMDTLSRLSHDTDSEVAMAAVISLGLLGAGTNNARIAGMLRNLSSYYYKDTSLLFCVRIAQGLVHLGKGLLTLNPYHSDRLLLSPTALAGLITMLHACLDMKAIVLGKYHYVLYFLVLAMQPRMLLTVDENLKPLSVPVRVGQAVDVVGQAGRPKTITGFQTHSTPVLLAAGDRAELATEKYIPISPILEGFVILKENPDYREE >OIV98756 pep chromosome:LupAngTanjil_v1.0:LG13:14030261:14031768:-1 gene:TanjilG_24927 transcript:OIV98756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGGGDHGGNGDFRSKVWSMTGGPYCRPKHWKRNTAIAMFGIVLVCIPIAIKSAELESDHFPAGSNSFWRVPTTETVPLLSSSL >OIV99521 pep chromosome:LupAngTanjil_v1.0:LG13:1219922:1220311:-1 gene:TanjilG_17331 transcript:OIV99521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSTGNSSKIRRIVRLRQMLFRWRNKARVTATRAPSEVPAGYIAVCVGPTMRRFIIRATYLNHPIFVKLLEEVEEEYGFCNNGPLAIPCDEEYFRELLRVMAQPKCFNTIEDFRNRESWPLLREELIY >OIV99127 pep chromosome:LupAngTanjil_v1.0:LG13:4721131:4722953:-1 gene:TanjilG_22707 transcript:OIV99127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELGKLNGRNNFWKKQQVSELWQWQKQQQELQAQKESESGKKQTRNQRQQQQQQHQQHHHQNPPLVQNSRHHVGEEREDEENRDSSHQNQDSTFQYLQQPHQQLQKQSHVALNTNSLSPPLKKRSFHLPPPHPLPLSSPPSTTKYATRGMRSTTSTGVGEIVEVQGGHIVRSTGRKDRHSKVCTAKGPRDRRVRLSAHTAIQFYDVQDRLGYDRPSKAVDWLIKKAKAAIDQLAELPAWNPTAIMEQQQQQQQQQQINEIFHRDTPAAEDGLITGSSCRNVSGRMSEEFQQQQFVDENNNNDNNSNKYNSAGSGFLPPSMDTDITDTIKSFFPMVAAAETTTSFNNYSTPPDLLSRTTSTAANHNHQQDLRLSLHSFQDPILLHHHQQQQQNQNQTQNQNQQVLFNGINTLGFDGGGSSGWLEQHHQHEQEHGRFHRMVAWNAAAADDSHSGGGFVFNSPPPSTVAPAAMFGHHGQYFSQRGPLQSSNTPSIRAWIDSNSLATAATVAAVSHPHYHHHYLSPSIHQASATGFASPYGGFSGFRIPARIQGEEEHDGVSDKPSSASSDSRH >OIV98753 pep chromosome:LupAngTanjil_v1.0:LG13:14065916:14069238:-1 gene:TanjilG_24924 transcript:OIV98753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTYLYLYSHGEVSLAASQPVLLYIVVAVILIFPFDIFFLSSRFFFLRTLWRIAFPLQPITFPDFFVADILTSMAKVFSDLERSVCRMVNRQVATIAWLEADSVCGSHSIAIPIVLVLPYLWRLLQCLRQYKDTKEKTCLFNALKYSTAFPVIFLSALKYHVIPEQWTSLYRPLWLLSSVVNSLYSFYWDITRDWDLSGFSRIFKFNKPSVVSNMFYGQQWIYFWAIGSNFILRCSWTYKLSAHLRHNYLTVFTMTLLEMFRRFQWVFFRVENEWNKMTRSGIQLTEISSEDEKLLSSNIHDV >OIV99283 pep chromosome:LupAngTanjil_v1.0:LG13:3062572:3068017:-1 gene:TanjilG_17093 transcript:OIV99283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITEKPSWVRHEGMQIFSIDVQPGGTRFVTGGGDHKVRIWNMRSVFRDMENDESSQRLLATLRDHFGSVNCVRWAKHGRHVASGSDDQVILVHERKPGSGTTEFGSGEPPDIENWKVAMTLRGHTADVVDLNWSPDDSTLASASLDNTIHIWNMSNGICTAVLRGHSSLVKGVTWDPIGSFIASQSDDKTVIIWRTSDWSLAHRTDGHWAKSLGSTFFRRLGWSPCGHFITTTHGFQKPRHSAPVLERGEWSATFDFLGHNAPIIVVKFNQSMFRRNSSNAQEVKPVSAGWANGASKTESKEPQPYNVIAIGSQDRTITVWTTASPRPLFVAKHFFTQSVVDLSWSPDGYSLFACSLDGSVATYHFEVKELGQRLSDTELDELKRSRYGDVRGRQANIAESPAQLLLEAASAKLTPNKKVSVLQQNQKMANAYIDAGITTKNAESQVDGSKKSGGPATDGLNKATTSGRISSPVKQREYRRPDGRKRIIPEAVGVPVQQENISCAVQSQALDFPIICSDIRKGANGVVSNSDGIRASTLGVAPARNSDLKERSGVTARAAISESLVIEKVLDSAGRDGKINVEQLGNSMASSSLAGSSGSLSIRVFGKKGGEDTAPICLEAQPKEHSVNDIMGVENASTMRETEISCTMGSQALWSDRISGKVNVLGGNANFWAVGCEDGCLQIYTKCGRRAMPTMMMGSAATFVDCDECWKLLLVTRNGSLYLWDLFNRTCLLQDSLASLIALSPNSSAKDAGTIKVISAKLSKSGSPLVVLATRHAFLFDMNLKCWLRVADDCFPGSNFASSWSLGSIQSGELAALQVDVRKYLARKPGWTRLTDDGVQTRAHLEAQLASSLALGSPSEYRQCLLSYVRFLAREADESRLREVCESFLGPPTGMAKEASSAWDPLVLGMRKHKLLMEDILPSMASNRKVQRLLNEFMDLLSEYEIPDANREWFSVTDKANTTPPKLDQKYPEHGATDSKDTAQVAEDQTNEVSADALVADQVVQDTEE >OIV98961 pep chromosome:LupAngTanjil_v1.0:LG13:6736038:6740280:-1 gene:TanjilG_29364 transcript:OIV98961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHNQQLEAVTNAFSVIEGHGVDEKALLTILGKWDPLEREAFRKKTPKLFSEDHERNFQRWDDHHVRLLKHEFVRYKNAIVLWAMHPWERDARLVKDALKKGLNAYGVLVEIACTRSSEELLGARKAYHSLFDHSIEEDVASHIHGTDRKLLVALLSAYRYEGPKVKDDTAKSEAKTISHAIKNAQNKSIVEDDEVIRIFATRSKLHLHAIYQHYKEISGKNLDEDLDDLRLKEAVQCLCTPLKHFSKVLDAALKIDVDKNTKKALTRVIVTRADIDIKEIKAEYQNLYGVSLQHKVEEIAKGNYKDFLLTLIARGG >OIV98544 pep chromosome:LupAngTanjil_v1.0:LG13:17589825:17593323:1 gene:TanjilG_12130 transcript:OIV98544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFREGNECEESKSVSQNESLTNSQLTVDESLLVDPKLLFIGDKIGEGAHGKVYEGRYQDQIVAIKVLHRGSTSEERAALENRFAREVIMMSRVHHENLVKFIGACKDPLMVIVTELLPGMSLRKYLMSIRPKQLELHVAINFALDIAKAMDWLHANGIIHRDLKPDNLLLTANQKSVKLADFGLAREESVTEMMTAETGTYRWMAPELYSTVTLRQGEKKHYNNKVDVYSFGIVLWELLTNRMPFEGMSNLQAAYAAAFKQERPSIPDDISPDLTFIIQSCWVEEPNMRPSFSQIIRMLNAFLFTLSPLSPPLPSEPDNDEPEAAATTATSNGAITEFSARNKGKFAFLRQLFSSKRTKN >OIV99505 pep chromosome:LupAngTanjil_v1.0:LG13:1328542:1329718:-1 gene:TanjilG_17315 transcript:OIV99505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSVRTGGKGTVRRKKKAVHKTTTTDDKRLQSTLKRIGVNAIPAIEEVNIFKDDVVIQFLNPKVQASIAANTWVVSGSPQTKKLQDILPSIIHQLGPDNLENLKKLAEQFQKQAPEAGAGGTTALEENDDDDVPELVPGETFETAAEETKASS >OIV98706 pep chromosome:LupAngTanjil_v1.0:LG13:14987615:14988415:-1 gene:TanjilG_24877 transcript:OIV98706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGIDLGSSSHFVHHLQHPDLEDDDNQDQDNNEGLDLVSPNQGSGDVIGRRPRGRPPGSKNKPKPPVIITRESANTLRAHILEVSNGCDVFDSVATYARKRQRGICVLSGSGTVTNVTLRQPAAAGTVVTLHGRFEILSLAGSFLPPPAPPGATSLTVFLGGGQGQVVGGNVVGPLIASGPVIVIASSFTNVAYERLPLEDDESLQMQQGQSSGGGGGGGGGSGGVNNSFPDPSSGLPFFNLPLNMPQLPVDAWAGNSGGRQSYNN >OIV99213 pep chromosome:LupAngTanjil_v1.0:LG13:3718324:3719218:-1 gene:TanjilG_06518 transcript:OIV99213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHHSLNSFGGKVVSSSNKNVISNCPEESGWTCYLEDFSQGIEPSYCSSLGGSSLVSDAASYAAWKFSHRNHGKGSSATSLLKKLNFKKTRTKQISVDDPLEDTASSPVNSPKVKGFTSSENYSELLTHDEHDQVNLNGKNIGLTDLKKRGLCLVPMSMLVNYLG >OIV98831 pep chromosome:LupAngTanjil_v1.0:LG13:11108422:11109963:-1 gene:TanjilG_08487 transcript:OIV98831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSSYYNLIFTFLAFFISCMILFLIQKTKSKRVNLPPGPPGWPIVGNLFQFANSGKPFFEYVNENKQKYGPIFSIKFGTRTMIIISDEKLIHEALIEKGATFASRPRENPTRLIFSENKFTVNAATYGPVWRSLRKNMVQNMLSSNRLKEFRAARYNAMNRLIKRLKNEAEVNNGVVWVLKDARFAVFCILVFMCFGLEMDEETLEKMDKMMKLVLATVDPRIDDYVPILSPFFSKQKKRALEVRKEQFEFIVPFIEQRRRAIQNPGSDHTATSFSYLDTLFDLKVEGRKSAPSNAELVTLCSEFLNGGTDTTATAIEWGIAQLITNPDIQSKLFDEIKETVGDRTVDEIDIEKMHYLHAVVKELLRKHPPTYLSLTHAVTESTTLSGYDIPTDANVEFFLPPISMDPNIWSKPEKFDPDRFLTGHENADMTGVTGVRMMPFGIGRRICPGLGMATLHVHLMLARMVQEFEWSAYPQGKKLDFTGKCEFTVVMKEPLRAMIKPRLVAQRVKL >OIV98974 pep chromosome:LupAngTanjil_v1.0:LG13:6983100:6987231:-1 gene:TanjilG_29377 transcript:OIV98974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDGREMGLHYVDNTGFPYAINESFTDFFPHDVPVNYAYAASMPVQESIYWSMNMNPYKFGLSCPGNTSYYDCYEVDGHFPRMEINRAGWEYPSVMINEEPATSDSPPRRDGVTNMQTIPEECSPNNHESNSSQSLTLSPTAADHMARHNLDPDNMSYEELLDLGEAIGTESGGLSKEIIDMLPTSKYKLSSLFNRKSYVKRCVICQMTYRRGDQQIKLPCNHVYHGECITKWLSINKKCPVCNIEVFGEESTH >OIV99341 pep chromosome:LupAngTanjil_v1.0:LG13:2469314:2473154:-1 gene:TanjilG_17151 transcript:OIV99341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSPSSNSKPSKFSVYQNPAFSSALTSNSLQPSNHTIFSLFSFFSASSLAFLAIIFRENVFIDILKLKNLSPLVAYWIAKMLQSIVGLIFVANMLALLKVIFLRRPTYNAGVATSSSVNKFEVSLTKHQLELLGVKPKVESVKPDSAKKPPRSKPHSASSELLVPLHQPIQSPNRSSRIDADSSNSNRGAMARSISTTPSRSPGSSSLYLASGVISSPSSVQNTAGMDSVVSSPWSNRRASFANKITSVEKLEQFLAEVDERITESAGKLSTPPPTIPGFGIASPVAVTGSASTSGATRLTPLRPVRMSPGSQKFKTPPKKGEGELPPPMSMEESVAAFEDLGVYPQIEQWRDHLRQWFSSVLLNPFLNKIEMSHIQVMQAAAKLGVSITISQLGNDTPPTGTPDTLPAIGKTQEWQPTLNLNEDGLLRQLHSTLVQAIEAAKFGLYSHTARSLVPNMQQSPQQTPSIPIMQDCLDAITEHQRLQALVKGEWVKGLLPQSSVRADYIVQRIRELAEGTCLKNYEYLGSGEVYDKKNKKWTLELPSDSHLLLYLFCAFLEHPKWMLHADATSYPGAQPSKNPLFLGVLPPKERFPEKYIAVVSSVPSVLHPGACVLVVGKQGPPIFALYWDKKLQISLQGRTALWDSILLLCYTIKVGYGSIIRGMHLGASALSILQVMETEAED >OIV99493 pep chromosome:LupAngTanjil_v1.0:LG13:1421138:1421491:1 gene:TanjilG_17303 transcript:OIV99493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGTGGSSASAAAPVNQWLLEFSRRFQYYLDKSTPHSTYRWIGTVVIASIYILRVFYLQGFYIVSYGLGIYLLNLLIGFLSPLVDPELEPSDGPMLPTKGSDEFKPFIRRLPEFKFW >OIV99709 pep chromosome:LupAngTanjil_v1.0:LG13:686:4033:-1 gene:TanjilG_17519 transcript:OIV99709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNASQLSSGLWIPPNFVPTNNTGRVSLAAIPTLSSQSPTIQIVGVKGSNWSENGYANVNHNNNMFDGPQRESDNWTDLETDLYYWTKTLRPVQWFPGHIAKAERELKEQFKLMDVVIEVRDGRIPIQNPMGLTASNSPYMDLWLGNRKRILVLNKEDMITTADRNAWATYYARQGTKVVFSNGQHGMGVMKLGRLAKELAADVNVKRRAKGLLPRAVRAGIVGYPNVGKSSLVNRLLKRRMCPSAPRPGVTRELRWVHFGKDLELLDSPGILPMRINDQSAAVKLAICDDIGERSYDVDDVAAILVQMLTKLPTVGGDVLRKRYKIDVDSQCGKMFTEKLALQLFNGDVNQAAFRILADFRKGKFGWTSLERPPR >OIV99422 pep chromosome:LupAngTanjil_v1.0:LG13:1893424:1898865:1 gene:TanjilG_17232 transcript:OIV99422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTTAPGGDSPSILETPTLDTESRELLHTISEHGGYAYVSMAAMAAAGDFRAAEAAREMAWEQLHSGPWHSVLPVWRDAYSMACLHVAKHHYGNGELKEALRVLDMGIIMGGTLLVKDLHSAVDKVSEKARNAKVCDGRCEDLENSDHRLVGQEFNMTKVLQLLPVKSLTSKLVVKRSALSLEQFLTDHYLSSSPVIISDCMAHWPAKMKWNNIDYLLRVAGDRTVPVEVGKNYLCAEWKQELITFSEFLQRIKSNDYSPIGPTYLAQHPLFDQISELRKDIFIPDYCFTGGGELRSLNAWFGPAGTVTPLHHDPHHNILAQVIGKKYIRLYSASLSEDLSPYPDTMLSNSSQVDLDDIDERKFPKVADLEFVDCILEEGEMLYIPPKWWHYVRSLTPSCSVSFWWSEGESSDAY >OIV98703 pep chromosome:LupAngTanjil_v1.0:LG13:15014709:15020463:-1 gene:TanjilG_24874 transcript:OIV98703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRQNDSDFGRFALLILFFIGAISCSMIYLFITMIFMPSISPVSFSTSMQEFDYENGVSVNDDEEEGTQCCRGVEHLELWGDAVKWGAEFKVNSSEDCCVACKKMCRGDGGPCLCNSWVFCGDRDACGPRFGECWLKRQKDALNPVRRDSGDRVMWTSGFVFEKGEGIVGLETDYGILRIKLLPDCAPQSVAYILELLALPHCIGCQIHRAESRGSFWNSEGNHIKKAPFGPPFALIQGTLASHGSIFKDIPKEHCPNIRRGSVAWVGSGPEFFISLANHKEWREAYTVFGYVISEDMEILEKISQLPTKSEVWNNIHVSVLENPILLRFRRMSTKS >OIV98885 pep chromosome:LupAngTanjil_v1.0:LG13:9474679:9474960:1 gene:TanjilG_20912 transcript:OIV98885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKISTRKDLASGTLNSCMLSYQKAITALNLSYINIQSNPNNAIQSLRKADYHVGFCTASLGQKNDIPPVLKANKAMQGMIQAIRSVAKKQAN >OIV98935 pep chromosome:LupAngTanjil_v1.0:LG13:8594793:8595203:1 gene:TanjilG_07370 transcript:OIV98935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMFYLELVLKNHILLLIYNDGVTSYNIGDGFVHFSIATQDVYKLVEHIRAKDGNITREPGPVEHGTTVTAFVKDHDGYTFALIQRSSITGPFAQVALHVGDLDRAIKLYENNLGSKVVRKDNRPDKKRKFNSQIV >OIV98959 pep chromosome:LupAngTanjil_v1.0:LG13:8879214:8885048:-1 gene:TanjilG_07394 transcript:OIV98959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGKQKKRSLPEQSEQPTGTRKMPKRAAASKNLKEKSFSIPEKSCLIETKNDRVVDEELLAIRLTSEQDDGRPNRRLTDFILHDENGTPQPLEVLEVNDLYITGVILPLEGSADKKKEKEKGIRCGGFGRIETWDISGYEEGSPVIWLSTDVADYDCLKPAPGYKKIYDHFFEKARACIEVYKKLAKSAGGDPDLSIDELLAGIARSMIGSKSFSGTTSVKDFVISQGQFIYKQLIGLDMTSKTNDKMFADIPALVALKDESRKQANYVHAKVKPSNGSLRIDSGVGDEDNKNQMKSITEEVAEEDEDAKLARLLQEEEHWRSIKPKKNPRTASSSKKYYIKIDEDEIANDYPLPLYYKTSLQETDEFIVFDNDYDVYDIEDLPRSMLHNWSLYNSDARLISLELLPMKSCSDIDVTIFGSGVMTADDGSGFNLDTDADQSSSNSSGALVADGIPIYLSAIKEWMIEFGSSMIFISIRTDMAWYRLGKPSKQYAPWYDTVLKTARLAIDIIALLKEQSRVSRLSFGDVIKKVSELTPKDKSYISSDPLSVERYIVVHGQIVLQLFAEYPDDTIRKSPFVTGLMSQMEARHHTKWLVKKKKVVPKSEPNLNPRAAVASIVSKRKAMQATTTKLINRIWGEYYSNHMPEDSKEGAASEVKDEDEVEEQEENEDEDIEETLCLEGTQKPHSASKQIKTFSADREIRWGGDPEGKTSSGYLLYKEAIIHGDVISVGGSVLVEVDESDELPDIYYVEYMFEAKGGKKMFHGRMMQHGCNTVLGNTANEREVFLTNECRDLRLEDVKQAAIVDLRKMPWGHQHRKDIIASDKTDRARAEDRKKKGLPTEYYCKSLYWPERGAFFSLPSNTLGLGSGICHSCNLKEAEREKETFKVNSSKSSFILNGTEYCLLDYVYVSPFDFDERIEQGTHKSGRNVGLKAYVVCQLMEIIVKTEIKQAEIKSTQVKVRKFFRPEDISNEKAYCSDVQEVYYSNETHVISVQSIEGKCEVRKKNDVPACRASGLFQNVFFCEHLYDPATGSLRKLPAHIKVKYSSAQTGDVAAIKRKGKIKEGESVPEPEKEMKTLDEKCLATLDIFAGCGGLSEGLQQSGIANTKWAIEYEEPAGDAFRANHPEALVFVNNCNVILRAVMEKCGDTDDCISTSEASELAAKLDEKDINNLPMPGQVDFINGGPPCQGFSGMNRFNQSSWSKVQCEMILAFLSFADYFRPRYFLLENVRNFVSFNKGQTFRLTLASLLEMGYQVRFGILEAGAFGVSQSRKRAFIWAASPEDVLPKWPEPMHVFSAPQLKISLSEGVQYAAVRSTANGAPLRAITVRDTIGDLPPVGNGASKSNMEYGHEPASWFQRNIRGDMAVLTDHISKEMNELNLIRCQRIPKRPGADWRDLPEEKITLSNGQVVDLIPWCLPNTAKRHNQWKGLFGRLDWEGNFPTSITDPQPMGKVGMCFHPDQDRILTVRECARSQGFPDSYQFSGNIIHKHRQIGNAVPPPLAFALGRKLKEAVESKSSN >OIV99517 pep chromosome:LupAngTanjil_v1.0:LG13:1252986:1256942:-1 gene:TanjilG_17327 transcript:OIV99517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLPKDEAESGGGDCHPQNPNTNPNSNKGGSKGKSCKGCAYYSSVHKAKSKNPTCVGFSRTLPQVPPYVVGETELEASKEGRTLTNFKYACIGYSVYLDNKDSSADSKDKTAKLPFCVGLEVVLEEKQSTSPVGHVPAHARKTEEHEHATPQPRRYKPPGTTPEEFLNRQANVFCIDDLTPVLETQMFQRNASLVASGVVRNLSRVGNYVKETLDDILYRRPNCYDGLSKAFLFFEGQRVGGTCSYDEPTMPVKHQIRFPMAFTTTMLAWSDPEFGDMMPPNELKRFGSSHYACF >OIV98745 pep chromosome:LupAngTanjil_v1.0:LG13:14262872:14263492:-1 gene:TanjilG_24916 transcript:OIV98745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFKVHQPYNGGTSYNHGNMVYINVVVASEANNQRNFARLPFPISRNLFSYDDICHGIVTTFLESMKIPPTFFNGGGCNMVENLSRMLRTETLQHHRLHLCVVMMVFEGSCRYKILNSSQPFDAEQFPSSGFVELACEGGECSFPASWVEDEEDKCAICFEGYPSHRDAEMLPCSHVYHQTCIMKWFQRSPTCPKCRFIMPYQLLP >OIV99657 pep chromosome:LupAngTanjil_v1.0:LG13:365768:368628:-1 gene:TanjilG_17467 transcript:OIV99657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEERKQSRYVKLTKDQDHSSLEDITPGELNQPIHVPQLVVRKCSECRQPLPESYAPPADEPWTTGIFGCAEDRESCLTGLFCPCVLFGRNVESLSEDTPWSKPCICHAICVEGGISLAIATVAANFIFPGIDPGTSFLITEGLFFTWWMCGIYTGQVRQNLQKKYHLKNSPCNACCVHCCLHWCALCQEHREMKGRLSDNAFSQMTVVNPPPIQEMKSIHEIETPETSSSSNNNEHITLEMQAI >OIV99396 pep chromosome:LupAngTanjil_v1.0:LG13:2103994:2106099:-1 gene:TanjilG_17206 transcript:OIV99396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTVTNTTTTTTTTDEDSPLDDEAEFSATMLRYINQMLMEEDMEEKPCMFHDSLALQAAEKSLYEVIGETYPSSSVRNYHNLDSPGDSFSSNFSGYSSFGTASTSNSVDPTYLSHVDLGDYTASIPQTSFDRDFVFKASSISTNRLSMNNNGFLGSSRDCFLDSKLFSKSESVLQFERGVEEASKFLPKANPLVIDLENNIFTPSLQNGPKQAVIKMERDEREHLSAESRGRKNHEREDESDLGDGRSSKQSAVYRDESEELSELFDKVLLGRVCGNNGTPLCILNEDHNNNGPETSIQKNEEPNRSGSGKNRTKKQGNKKGVVDLRTLLVMCAQAASSDDRVTANDLLKQIRQHSSLQGDGSQRLAHCFANALQARLAGTGTQIYTALSSKRTSAADVVKAYQMYISACPFKKLSLIFANHTILSLARPKEVETLHIVDFGIRYGFQWPALIVRLSKRPGGPPKLRMTGIELPQPGFRPAQSVEETGRRLAKYCDRFNVPFEFNAIAQKWETIKIEDLKIKENELLVVNCMVRFKNLLDETVVLNSPRDAVLNLIRKANPSIFIHTTVNGSYSVPFFVTRFREALFHYSTLFDVLDTNTAREDPMRLMFEKEFFGREVMNIIACEGTERVERPATYKQWQVRNMRAGFRQLPLDHHLINKLRCKMKDVYHSDFMLVEDGNCMLQGWKGRIIYASSCWVPA >OIV99238 pep chromosome:LupAngTanjil_v1.0:LG13:3522671:3525042:-1 gene:TanjilG_06543 transcript:OIV99238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNLQTSVEANDQEWKQRCNKRMEKLKITRIPIRDVPLQKGLEQEKLHDEKHIMNFELYEAVENGNVDMFVDVVEQVFTNTELTLFAIFDQVTPSGDSLLHVAADLGREQIAELIAYHFPGLLFGTNIKRDTALHAAARFKNSNVIKIILSQVEGLTKLTNANGDTPLHVAVCSRHLGGITELLLADSHVVHYLNNSGKSPLYLAAITGDVDILDLLLQTPFPSNKPLPQCHGNSPLHAAIFERNSAILNEILKRKPELIYLRDEDGGTSLHYAAYIGYVEGVRILLNISTLTALERNRKGDLPIHLACKRGHVQVVEELLQKEWPNPRVFLNHLGQNILHVAAKSGKINVIRYLLRNKKIDWFTLNEKDNNGDTPLHLASRNLFVGVLNEIARDKRIDVNLLNNEGLSAHDVVLMHSKFPSTIREYLALAILSSAGVPRNKKTTSTLTRQKNDGIKDGISTLMLVAILIATVTFVAGFTLPSSIYNLNNSDQHKSCMTGLVNKPMFQVFTICNTISMFSSTTGSFILLWGQLGDFNLAGSAFIFALQMVGVALMTMSVAFMAALRLVASNFSWLTNIVTIIGSLFLLLIVLLYILLIFPLKFRHPLILCLASLCIEILILFDGSSDKVMAKFQQNKNSQQVDKLKDQ >OIV98881 pep chromosome:LupAngTanjil_v1.0:LG13:9359894:9363359:1 gene:TanjilG_20908 transcript:OIV98881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGILARAVVQAYRQALANASKNGVAQETIQNTLRRASKVMTEQEARQILGVTEETPWEEIMRKYNTLFENNAKNGNFYLQSKVHRAKECLENQAKDQDGYPN >OIV99450 pep chromosome:LupAngTanjil_v1.0:LG13:1690649:1690894:1 gene:TanjilG_17260 transcript:OIV99450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYDDVEIEDMEWNQELQAYTYPCPCGDLFQITKEDLKLGEEIARCPSCSLYVTVIYNIEDFLQDSHNPMQPPKQQSLTVA >OIV99513 pep chromosome:LupAngTanjil_v1.0:LG13:1272832:1278836:-1 gene:TanjilG_17323 transcript:OIV99513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLGAILRYPDEFYPMLKLKMAARNIEKQIPSEPHWGFCYTMLHKVSRSFALVIRQLGPDLRNAVCIFYLVLRALDTVEDDTSIATDVKVPILIEFYRHIYDRDWHFPFLSVYFHPWSNQQQHSSYQEAIEDITKRMGAGMAKFICKEVETVDDYDEYCHYVAGLVGLGLSKLFHSSGLEDLASDSLSNSMGLFLQKTNIIRDYLEDINEVPKSRMFWPRQIWSKYVNKLEDMKYEENSIKAVKCLNDMVTNALIHAEDCLKYMSDLRDSAIFRFCAIPQIMAIGTLALCYNNIEVFRGVVKMRRGLTAKVIDRTKTMADVYGAFFDFASMLESKVGKNDPNATKTLTRLEAIQKTCRESGLLSKRKSYILNNENGSTIIIILVIIVSIIFAYLSANHQK >OIV98821 pep chromosome:LupAngTanjil_v1.0:LG13:12425506:12427305:-1 gene:TanjilG_25067 transcript:OIV98821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNFEALEERELDCPHRFRYTDLHIATKRVSYLELEALVLYAKISKLLQGDAEVIKRARQEVPCDYA >OIV98564 pep chromosome:LupAngTanjil_v1.0:LG13:17269983:17270834:1 gene:TanjilG_12150 transcript:OIV98564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIPVFMRRITNEPLLWTVMTFVSSIVGFTCFPMSTTFEDLFGRPNPMKTVIYFSVVTLILTVTIFTMIREPHMLELEFTKKWWFKAQMSFGVLIIPFFNMFGKDQREEGMHKDEEKLFGRLMDLFTTGAFSLTALSLSRELWLGIGATIFNSFLGVLLVLGMKLSLKIGLSLAIFLCYLLVMIRFYVDDHYNNDNPFTGALENAEMGNIRNDNLHNPRSDDVQVISTTTNVDGQNESLRWRGHGLNDYKSDDNDNDNDDEDEDELIYLYYRGILDKHPRDKS >OIV99525 pep chromosome:LupAngTanjil_v1.0:LG13:1198849:1199127:-1 gene:TanjilG_17335 transcript:OIV99525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFRLANIRRTSYTANQASSKVVDVPKGYIAVYIGEKVKRFVIPISYLNQPLFQVLLNQAEEEFGYDHPMGGLTIPCSEDIFLDITSHLHRS >OIV99221 pep chromosome:LupAngTanjil_v1.0:LG13:3644536:3645207:1 gene:TanjilG_06526 transcript:OIV99221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRNSPQKCTEPEPDRKPLPHFPLTGSQRKIAIAVDLSNESAYAVRWTVQNYLRLGDTVILLHVRPTTVLYGADWGPDGTVAVSETEESLKKLEDDFDSFTNNKASDLAEPLVEAEIPFRIHIVKDRDLKERLCLEVERLGLSAVIMGSRGFGVAKGRLGSFSDYCVHHCVCPVVVVRYRDEVEGRGVVGDAAELHPVPEEEHEEYVDASDEHKGLTINFDIP >OIV99285 pep chromosome:LupAngTanjil_v1.0:LG13:3035299:3038587:-1 gene:TanjilG_17095 transcript:OIV99285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELESITQFLHDKNVLVVGATGFLAKIFVEKVLRVQPNVKKLYLLLRAKDAESATRRLQNEIVRKDLFRLLKENHGAKFNSFISNKLTLVPGDISQEDLNLKDSVLQEEIYNEIDVIVNLAATTNFDERYDVALGINTFGTKHVFSFAKKCVKLKVLVHVSTAYVCGERGGLIVEDPTELGVSLNGVPGLDIDVEKKVVQEKLKQLQDEGATEDEIKMSMKDLGMQRASIYGWPNTYVFTKAMGEMLVGTMKENMSVVIVRPTIVTSTYREPFPGWVEGVRTIDSIIVAYGKGKLACFLADLNAVFDVIPADMVVNAMLAAMVAHANHPSDTIYQVGSSVSNPIRYLNLQDYTYRYFIAKPCLDKEGMPIKVRKVTVLGNMASFQRYMYIRYLLPLKGLELANAAFCQYFQGTYLDISRKINIVMRLVELYRPYIFFNGIFDTMNTEKLQIAARQGGTEMDLFYFDPKIIDWEDYFLNIHIPGIVKYVFK >OIV99494 pep chromosome:LupAngTanjil_v1.0:LG13:1413261:1415415:-1 gene:TanjilG_17304 transcript:OIV99494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNDTAHACHEGVDAQVMHGYQSVFMPHWTHMARNRLSNGCEVREIKEDSDAEKRDGGSEVAKDGSVHAGSTGEVDRDTRVTYSNEAHPGKSKKTSLYSKSFSIFRKKTNGISSLKREWVGVPHRKDPKIEIEAISGDDNVSLYRTRSHLLSTSAHAPPRTETLARKYQLLSRDTSPVPPLMNSWYTVEQNNLAVSTSLWNGFVKPASDIVRNGHDKGKTSVPPSTPGQHEVYQSSYKCSEDSENSSTSQVVITSVGEDSKRKLVYAALPDINQEPPEVLTLACPVALACTLADRETSTSITNSLYAEHLLSHADGHSRSKSGNSSLGSDPSSRWVKRLKLCPLSSAHGTRSATIEEITLHEKVNNIFSKMKGNKTNLEPKMAYHAEVQMVPDLCATVLTNGNSSSTKEKKTAASTLLHPWIRRWSRNHDVSPQKRHELAELRKPKSSNTPEEFQKKQFPSIAAMAMMGKAMNCLNPSELMKKGPVVVWNVKGF >OIV98665 pep chromosome:LupAngTanjil_v1.0:LG13:15849113:15857686:1 gene:TanjilG_12788 transcript:OIV98665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKHLLDQEDVDMVNPLDKEKGVTLEDFKLIKMHMANYILKLAQQVKVRQRVVATAVTYMRRVYTRKSMTEYDPRLVAPTCLYLASKAEESTVQARLLVFYIKKLYADDKYRCEIKDILEMEMKILEALNYYLVVFHPYRSLSQLLQDAGLNDISMTQLTWGIVNDTYKMDLILVHPPHLIGLACIYIASVLREKDTTVWFEELRVDMNLVKNISMEILDFYESNKMFTEDRVNAALQKLALRP >OIV98829 pep chromosome:LupAngTanjil_v1.0:LG13:11091459:11091873:1 gene:TanjilG_08485 transcript:OIV98829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSNGMAIEFLWTRLLFKRSVSKSARQRADEMAKKQSGYEVRGAAEGCDSSEKYG >OIV98639 pep chromosome:LupAngTanjil_v1.0:LG13:16237057:16241214:-1 gene:TanjilG_12762 transcript:OIV98639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATMERRKTLTMNWDGLGDDDDDDDHFFETYNRLSTASPNDFAARDDDEDYDDSRVSFSSAISSMQSSRFRTVSRMGRMSVESSAPPNYDIWMAAPESINERRRRLLGSMGLDENKELLKATSAALDRAITKKFEKNVDKGGKTTNSTTSSVSSVSPVSGEKKTEHSPVSYALVRSRSEGDIDIFSLAKVRKEEMIGNVSKQRLTRTSTEIVLSRARVLPYVDGSKVVVKESGEAGESRRHHGHKVSSGAACSGVGAFFLIKNLDTGKEFIVNEYGEDGNWNRLSDLQTGKQLTMEEFENSVGHSAVVKEMMRRAKVARGDGYGKKLSSNSYISRSLRLSKRRGASLLKNIKGVASGFVGEREREVPIQQQQQQQQQQQGLEPKPAGKNDWVRVRQSGKSQKELSALHLCQELQAHEGCIWTIKFSLDGHFLASAGEDKVIHVWEVQECEVLSLKQEEGNLTPIHPSLLSSMEREAPPLSSEKKKKGKFGSKRGNTIPDYVHVPETVFSLSERPYCSFVGHQDEVLDLSWSKSQLLLSSSMDKTVRLWDLETKTCLKFFAHNDYVTCIHFNPMDDDFFISGSLDAKIRIWNIPSRLVVDWTEIHEMVTAVSYTPDGHGVLVGTQKGSCRSYNIEDSKLTPTGTIEMNKKKSQLKKVTGFQFSPCNPSKVLVTSADSRIRIVDGSDVVHKFKGFKNTDSQIAATFSQDGRYIISASEDSQVYVWKHGEPGKGKSVITTQSHEHFQCKDVSTAIPWPCTIRGDPPQIPMNQSKRHSKNPSESDITNVANSKRMLPPLPKKGKNHSIESELASPIEEDNAAISNNETGNGDSFSNSKRNLPPLPKKSNHQASSSDLSIIEEDLEAITRTNSGLGDSFASGSASIRYGDSPSISAANTPSFDSSYGTSTTQTSAWGMIIVTASFGGEIKCYQNFGLPRRISRQPNIFGGPA >OIV99519 pep chromosome:LupAngTanjil_v1.0:LG13:1240419:1242548:1 gene:TanjilG_17329 transcript:OIV99519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPALPSCVDPATLLTPAGHISIPIPVTFSDDWSPSLSSTLYKVDAWGAPYFAVNSSSGNITVRPHGSDTMIHQEIDLLKIVKKASDSKSLGGLGLQLPLIVRFPDVLKNRLESLQSAFNYAIESRGYESHYQGVYPVKCNQDRFIVEDIVSFGSSFRFGLEAGSKPELLLAMNSLCKGSPEAFLVCNGFKDSEYITLALLARKLALNTVIVLEQEEELDLVIEISNKLCIRPVIGLRAKLRTKHGGHFGSTSGEKGKFGLTTTQILKVVKKLEQVGMLDCLQLLHFHIGSQIPSTTLLADGVGEAAQVYCELVRLGAQMGVIDIGGGLGIDYDGSKSVESDISVGYTLEEYAAAVVEAVQRVCHSKLVKHPVICSESGRAIVSHHSVLIFEAMAASVCAAPALSTLGLQYLVDGLSEEARADYHNLSAATMRGDYETCLLYIDQFKQRCVEQFKQGTLGMKQLAAVDGLCDLIGNAIGANDPVRTYDVNLSVFTSIPDFWGIEQMFPILPIHRLDEKPTVRGILSDLTCDSDGKIDKFIGGESSLPLHELEGGHGSGQYYLGMFLGGAYQEALGGVHNLFGGPSVVRVLQSDGPHGFAVTRAAPGPSCGDVLRVVQHEPELMFETLKHRAKKFGDDDGNSIAALATYLARSFNNMPYLVASPCDMNTMNNRSKNNYGFYYCSSDDDYNADIADSVCGVEEEERWSFCSA >OIV98871 pep chromosome:LupAngTanjil_v1.0:LG13:9724301:9733706:1 gene:TanjilG_21702 transcript:OIV98871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSNGIEYLSKELLEDFDSKQKKYLSKMNDDELVKVGNMSQDKKFDLASSKVQNSSEASSSPQRETAGTERNLLIADNEIMFSKSMREKLRSPSLERILDRLSEREKQKLIANLVKIQNDGTVEVDLEKSTLVASELLELHSFEVSTEYGHHIRLATHSNFGTFVKSAGVDFYPLGGDPRVLAEYMVRNKGLIPSGPTEISIQRKQLKGIIDSLLPACTAPDLETGVPFRAQAIIANATAYGHAHVAEALGVPLHIFFTMPWTPTYDFPHPLARVPPAGNWLSYVIVDLLIWWGIRGIINDFRKRKLKLAPIAYFSMYRGSISHLPTSYMWSPYVVPKPNDWGPLVDVVGYCFLNLGSKYQPREDFVQWIKNGPKPLYFGFGSMTIEDPKRTTDVIMEALKDTEQRGIIHRGWGNLGSLEEVDDNVFLLEECPHDWLFPQCSAVVHHGGAGTTATGLKAGCPTTIVPFFGDQFFWGDRIYQKGLGPAPIPISQLSVQHLSDAIKFMLQPEVKSRAMEIAKLIENEDGVTAAVNAFHRHLPPELPLPTPSLVEELHPNPLQWFFLQLGNCCSVLCGGV >OIV99377 pep chromosome:LupAngTanjil_v1.0:LG13:2235017:2235561:1 gene:TanjilG_17187 transcript:OIV99377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDATSGKSKKGAGGRKGGGPRKKSVTRSIRAGLQFPVGRIGRYLKKGRYAQRVGTGAPVYLAAVLEYLAAEVLELAGNAARDNKKNRIIPRHVLLAVRNDEELGKLLSGVTIAHGGVIPNINPVLLPKKNEKVVAAKEPKSPSKATKSPRKAA >OIV99376 pep chromosome:LupAngTanjil_v1.0:LG13:2237103:2237549:-1 gene:TanjilG_17186 transcript:OIV99376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKAEKKLAEKKPAEEKKSTVAEKSPPVEKKPKAVKKLPKEGGAAGEKKKKKSKKSVETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAQESSRLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSS >OIV99639 pep chromosome:LupAngTanjil_v1.0:LG13:513929:516492:-1 gene:TanjilG_17449 transcript:OIV99639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYSMALVIVVECIRPSDLDILEHIHVKLFPIRYESAFFQDVVNGRDIVSWGAVDASRPDDQSDELIGFVTARIVLAKESEIVDMLGYDSSKSDQTLVYILTLGVVESYRNHGIASSLIREVIKYASSIPTCRAVYLHVISYNNPAIFLYKKMSFKCIRRLQGFYLINGQQYDSYLFLYYVNGGRSPCSPLELLAAIVSYMRSGFKSVAAKLCKSEDRKISRWSMCKESHSLVSGSHNKRNLSVERVGYECV >OIV98933 pep chromosome:LupAngTanjil_v1.0:LG13:8525740:8527143:1 gene:TanjilG_07368 transcript:OIV98933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPLQRGKELVIKFSNSPHQQQHQISEDLILDDYDSLGVNDSDKIITSNQPKNLIYGAEKSAPGDSNEHMKKIVHRDIERQRRQEMATLHASLRSLLPLEFIQGKRSISDHMNEAVNYIKYMQENIKELGAKRDELKKLSTSKLENHATNHAHGSFTIHENNGIVRIEITSCSIEERFTLSKLLQLLLEEGLEVVNCLSTEVNGRLFHSVQCEVNKSKSVNLSVLRRKVADVFPSYTCCE >OIV99145 pep chromosome:LupAngTanjil_v1.0:LG13:4398206:4398970:-1 gene:TanjilG_01120 transcript:OIV99145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPHQNPTTLDSDPQLPQIKIHHTSSPRHHPSSAATPTAGARRKIGVAVDLSDESAFAVRWAVQNYIRPGDAVILLHVSPTNVLFGADWGSIDLSINTDPNTDEETVNSVNHSDLNKRKLEDDFDAFTVAKSADLAKPLKDAQIPYKIHIVKDHDMKERLCLEVERLGLSAVIMGSRGFGAVRRGSDDKLGSVSDYCVHHCVCPVVVVRYPDDKDGVEIGSGGGAGTPVVVVKESDEAEAEIKPVLVAEEHKKG >OIV98593 pep chromosome:LupAngTanjil_v1.0:LG13:16696622:16701408:1 gene:TanjilG_23032 transcript:OIV98593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASAAASLTGASASDLLRSSTTGFSGVPLRTLGRARLALKTRDFAVSCKLRKVKKHEYPWPDNPDPNVKGGVLSHLSPFKPLREKPKPVTLEFEKPLLDLQKKIIDVRKMANETGLDFSDQILLLESKYQQALKDLYTHLTPIQRVNIARHPNRPTFLDHIFNITEKFMELHGDREGYDDPAIVAGIGTIDGRRYMFIGHQKGRNTKENIQRNFGMPTPHGYRKALRLMEYADHHGFPIVTFIDTPGAYADLKSEELGQGEAIAHNLRSMFGLKVPVVSIVIGEGGSGGALAIGCANKLLMLENAVFYVASPEACAAILWKSAKAAPKAAEKLKITATELCKLEIADGVIPEPLGGAHADSAWTSQQIKNAINESMDELSKLSIEELLKHRHDKFRKIGGFQEGIPIDPKRKFSMKKKDIPIPKKISDAEIEAEIQNLKQQISEAKGSYAELPKLDLGDMIKKLNREIDHEYFEAAKAIGLADRFSKLREEVTKANSQNQSIDPLLKDKIEKLEAEFDQGLPGAPNYGKLQKKLDMLKELSKVKHLIETNREAVKLKQEAMTIFDDVLNNPRIKENYETLKGEIESIGASSPSDLDDELKKRIVEFRKEVGLQLANALKSAGLVISVREKPRENSDESSSSEYESKIEELNKDIEKEIESSVNSSDIKSKIEALNLEIAKAGDTPDADSKNRIAALVQQIKQSLVETVDSSSLKDKYENLVSKVSTENGSLKKAEPTGDNPTNDELKVEVSTNNSLS >OIV98587 pep chromosome:LupAngTanjil_v1.0:LG13:16995899:17000844:1 gene:TanjilG_12173 transcript:OIV98587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSILTSTLLPSSLLIPRRTKPINSPNNKVVFHKNDHYLNRIVPQKKKASFCIQAQAQQVEPSIPSSPIIYPTVRPKFVLFGSSIIQYSYYEGWGATLAHLYARQVDIVLRGYGGWNSRTALRVLDKVFPKNAPQQPSLVIVYFGGNDATHPQQDGNGPHVPLEEYNENMRTIFIHLQSLSENTRILFLSTPPINEEQLYGDSIPLDPPKTNESHRIYSEEGLKVCRELNIKAIDLWSAIQEEREDWKDVSFIDGIHFTKEGSNVVSKKILKVLREADWEPSLHWEDMPIEFKDYYTPIGPGGEPIDESNTRFPPGVEWE >OIV99218 pep chromosome:LupAngTanjil_v1.0:LG13:3662628:3663469:-1 gene:TanjilG_06523 transcript:OIV99218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARVVGIFLCLLVVIMDVAAGILGFEAEIAQNKVKHLRLWIFECREPSHQAFMLGLGATVLFALAHAIANFLGFCSCICSQQEFEKASSNMQISVVFLILTWVVSAIGMSALVIGIMSNNKSDGSCGFTHHHFLSIGGILCFVHGLLCVAYCFCHCLC >OIV99504 pep chromosome:LupAngTanjil_v1.0:LG13:1334394:1337642:-1 gene:TanjilG_17314 transcript:OIV99504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDALLKVKESMKGRESKGDALQDWKYSISISAHCSFSGVKCDQNFRVISLNISFIPLYGSIPSEIGLLDKLVNLTISQDNLTGELPMELANLTSLRLLNISHNVFFGHFPDEISLSMSKLEVLDAYDNNFTGPIPEEIVKLKKLKFLHLGGNYFSGKIPESYSEFQSLEYLSLTANSLTGKIPKSLGMLKTLKELYLGYNNAYEGGIPEELGFIQYLQLLDLASCNLSGEIPSSLGALTNLHTLFLQMNNLRGTIPLELSSMTSLMSLDLSINDLTGEIPEAFSQLKNLTLISFFQNKLSGSIPEFVGELPNLETFQIWDNNFSYVLPSNLGQNGKFKYFDVTRNQLTGLIPKDLCKGGKLKTFIFTDNFFHGPIPDEIGNCKSLFKIRVSNNFLNGPVPAGIFKLPYVNIMEFGNNRFNGELPSEIYGDSLWILTLSNNLFTGKIPPTLKNLKSLQTLSLDANQFVGEIPGEVFELPVLTKINVSGNNLTGPIPMAMTRCVSLTAVDLSRNMLVGEVPKGIKNLIDLSILNLSVNDISGPIPDEIRFMTSLTTLDLSNNNFIGRIPSGGQFLAFDNSSFYGNPNLCSSNQPSCTSLVYQNDNVHKSHSSKSTKNVIIVITLATTVLLVLVTLYMMRKRKIQNSMSWKLTAFQKLDFEAEEVVECLKEENIIGKGGAGIVYRGSIANGTDVAIKRLVSQGSGRNDYGFKAEIETLGKIRHRNILKLLGYVSNKDTNLLLYEYMANGSLEEWLHGSKGGHLTWEMRYKIAVEAAKGLCYLHHDCSPLIIHRDVKSNNILLDGDFEAHVADFGLAKFLHDHGASQSMSFIVGSYGYIAPEYGYTQHVDEKSDVYSFGIVLLELIIGRKPVGEFGDGIDIVGWIKKTTSKLSQPSDTASVLAVVDPRIIHYPLTSVIHMFNIAMMCVKEIGPTRPTMREVVHMLTNPPHSIAHNLINL >OIV99015 pep chromosome:LupAngTanjil_v1.0:LG13:4923011:4925942:-1 gene:TanjilG_32274 transcript:OIV99015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYRAEDDYDYLFKVVLIGDSGVGKSNLLSRFTKNEFNLESKSTIGVEFATRTLNVDDKIIKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTRRASFENVDRWLKELRNHTDSNIVVMLVGNKSDLRHLVAVSTEDGKSYAEKESLYFIETSALEATNVENAFAEVLTQTYRIVRKKAVEATENATASVPAQGEKIDLKNDVSALKRIGCCSN >OIV98550 pep chromosome:LupAngTanjil_v1.0:LG13:17511828:17527065:1 gene:TanjilG_12136 transcript:OIV98550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLFSFLLLVLFFYYAFSSDPSVGVGYKLMVAVPEENGMDFKGRGFLMETNQIAPSFRVALSIEPMNGKYSCSLEVFLGDVKVWDSGHYSRFYITEKCLLEFTKDGDLLLKGPNQQIGWKTGTYGQGVEGCDGSVLLDDDDTSNFHGEKNALGNANSLRGFDVIDSIKTQVENLCPGVVSCADILSVAARDSVVAGCDGSVLLDDDDTSNFHGEKNALGNANSLRGFDVIDSIKTQVENLCPGVVSCADILSVAARDSVVANLQSQKGLLHSDQELFNGGSTDSQVNAYSTDSSSFATDFANAMVKMGNLGPLTGSVGQIRTNCRKIN >OIV99191 pep chromosome:LupAngTanjil_v1.0:LG13:4011174:4011482:-1 gene:TanjilG_19687 transcript:OIV99191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPNMVSENAVIVFARRGCCMSHVVKSLLHGLGVNPAVHEVEENDEVSVVRELEIIANDKGKVQFPVMFVDGKLFGGLDRLMATHISGELVPILKDAGALWL >OIV99160 pep chromosome:LupAngTanjil_v1.0:LG13:4550333:4550805:1 gene:TanjilG_01135 transcript:OIV99160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTCGNCDCADKNQCGKGNNYGVVITETEKSYIETAVMDVLAAEDDGNCKCGSSCACVTCTCGH >OIV99069 pep chromosome:LupAngTanjil_v1.0:LG13:5553903:5556016:1 gene:TanjilG_32328 transcript:OIV99069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCYYGCDINDFRVPEDQDLLDRHPSPENWSEWGINAPEGYESPKKYFTADTNSTELEFDFMDEAFSHEIELKASVHDKDQSTSSSARGGLLEQSFQQTEISSESDQPNYKLQDLSCFEQTDDIFLDSVIDDLSCVEDQHKSFYFSSENTCSNTPRTSQEDVEASKLVPYYSNSNDYLDIECNRDETMHAHSSLEESILQNLEMAIAQFTGKTRICFRDALYRLARDTKEQHLVENLDGGLNMQESMPHEVLNDTMRSEDREPMETDTNNVDRAVANLMYNKMETNMQDLPLTNIQEVNGT >OIV98866 pep chromosome:LupAngTanjil_v1.0:LG13:10149237:10180138:-1 gene:TanjilG_21201 transcript:OIV98866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAQVLPNSRKQEHLEAGKRRLEEFRKKKAAERAKKALSSSNNANSDASLNQKQTSEIQNVRVNESDGVTTSGGIGGAIIDTLPSGMGNDKSPSMVSQSSNQEPLADRTSLVRNDLNTLPTIPMEAYSDIDETKRYNASVGTAYADVNQKNEANNVYDIYGVHTDGLGGIPYGTTNNQSILLHPQGSQEFGSNATQTSLHGMNDSQSNKSNSSLKDYPVSDHGSSPYFPSKISPQNSVDTLLQIKSTISSTSDSIYAHGSHSEGFSDFLSSKFRETITSSGSDLPNLPGATIPRFDSKDYEASNSFNHTPVNSLATESSSRRSRPSFLDSLNVSRPSLESSYRKPEQDSFMFSHSESSNKDISGSTHFHKPSEETKTVAPFSNFTAANFHSPLEHNAGPFNNNSQNMLMTSAKENSMGKKDDYYSPSKNEDFAALEQHIEDLTQEKFSLQRALETSRVLAESLATENSSLTDNYNQQRSVVNQLQSDMETLQEDIKAQLVEYESIRNLYTNAQLECNAADERAKLLASEVIGLEEKALKLRSSELKLEKQLENAQAEISSCRKKMSSLDKDRQDMKSTIDALQEEKKVLLSKLRKASGIGKSNESQINKRDVSTSTEDIENENAAANSSNQEINDSASVHDAGSSSLSLVPEIGHSSFGVPLVNVPHDQLRRIENINALISELALEKEELTKALTSESSECSRMKAINKELSRKLEIQTQRLELLTAQSMVNENIPSNQLDSRTIYENTPYADEGDEVQKPIGVSSGAPTCLVNATIHVCDCVLRMHLTCLDRVSMRASDAPIHALDRALVECLTCLDRACLMRMMHHDRVCLMRLICLALKLRSSELKLEKQLENAQAEISSCRKKMSSLDKDRQDMKSTIDALQEEKKVLLSKLRKASGIGKSNESQINKRDVSTSTEDIENENAAANSSNQEINDSASVHDAGSSSLSLVPEIGHSSFGVPLVNVPHDQLRRIENINALISELALEKEELTKALTSESSECSRMKAINKELSRKLEIQTQRLELLTAQSMVNENIPSNQLDSRTIYENTPYADEGDEVQKPIGVSSGAPTCLVNATIHVCDCVLRMHLTCLDRVSMRASDAPIHALDRALVECLTCLDRACLMRMMHHDRVCLMRLICLVRPDRVSDEPR >OIV99046 pep chromosome:LupAngTanjil_v1.0:LG13:5280270:5281479:-1 gene:TanjilG_32305 transcript:OIV99046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVEAHHLNLFSSQLIHNGEMMMNPMDATINLYHNNYSSLLPLSGTTTATASETLLHPAPPYNSIIADSFPPQKTITAMKSDVSTLTYNNNNNNNVPVSRKRSIDSINYSLPYHKNRATNLSFLGEDMSLQIHHQQLDLDNLVSQHMEKVRMELEEKRKRQARILMELVEVGMMKKLKSKEEEIEKIEKLNYALEEKVKSLCIENQIWRDLAQTNEATANTLRTNLVQVLSQARDYDGEHGGATMGAVVEEAESWCGSNDENEGWRMIGGGAQDKEVVEEGSGSRVMKNENGRLCRKCGKDESCVLILPCRHLCLCNACASTLNTCPICNSFKNATLLVNLT >OIV98824 pep chromosome:LupAngTanjil_v1.0:LG13:12513091:12523247:-1 gene:TanjilG_25070 transcript:OIV98824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSRSRERLIECLQFSSLFWPPPLDDQQRKDQVAAYVKYFGQFTPEQFDDDIAKLIHSHYPSRELLLFDHVLAVLVLHHPEHGHAVVHPIISCIIDGTLVYDKTSPPFASFISLVCPKSENDYSEQWALACGEILRILTHYNRPIYKMERQYCETETSIAESHATTSEFLERESGHNSLMQQDKKPIRPLTPWITDILLAAPLGIKSDYFRWCSGVMGKYAAGELKPPTTASSRGSGKHPQPMPSTPRWAIANGAGVILSVCDEEVASYETATLTAAAVPALLLPPPATALDEELVAGLPALEPYARLFHRYYALATPSATQKLLLGLLEAPPSWAPDALDAAVKLVELLQAAEYYDSGIRLPRNWIHFHFLHAIGTAMSMRAGLAADVAAALLFRILSQPALLFPPLRQVDGVEVQHEPLGGYISSYRKQIEAPAAEETIEATAQGIASMLCTYGPEVEWRICTIWEAAYGLIPLNSAAVDLPDIVVATPLQPPILSWNLYIPLLKVLEYLPHGSPSEACLMKIFVTTVESILQRTFPDESTSEQNRKTRYLSGTGSAFKNLAVAELRTMVHSLFVESCASVELASRLLFVVLTVCISHEAQFNGSKKPRGENSYPTEEMIEEVQAISESHKENKNRKTKKQGPVAAFDSYVLAAVCALACELQLFPLVSLGNNHSVSNNVQDGIDSARNHTHRILAILEALFSLKPSSVGTPWSCSSNEIVAAAMVAAHISELFIRSKACKHALSILMSCKWDSEIHSRASSLYKLIDIHSKAVASIVNKAEPLGATIIQMLVSKDSLDSRKEDRCESSSSFGPGKTSISPSKESAPSELHKSEKTLCSNEISGCTSRKGVTDFPLIASDIANFLTVDRHIGFNCTDQIYLRSMLADKQELCFSVVSLLWHKLIASPETQPCAESTSAQQGWRQVVDALCNVVSASPMKSAMAVVLQAERELQPWITKDDDQGQKMWRINKRIVKLMVELLRNRDSAESLVIVASASDLLLRATDGMLVDGVDCTLPQLELLEAAARAVRPVLELGESGLEVVNGLSNLLKCRLPVTIRCLSHPSAHARALSTSVLRAMLRISSIRSSLYPPRKNGIHDQCFNLNFIDWQADIEKCLTWEAHSRRANGLSIEFLDTTAKELGCTISM >OIV99235 pep chromosome:LupAngTanjil_v1.0:LG13:3531221:3533504:-1 gene:TanjilG_06540 transcript:OIV99235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSFESFGNEGEDDDSFMGYGGYNPHSQPYESSNFPISDDYAADDDRPNLTIDTNFGGENQHSPPVYGFGISTPDPSHVTTPFETEPAGNCYSAPAAVDDGFFSSGGGGGPLLPDPMQMQEEGFARREWRRQNAIHLEEKEKREKELRNQIMQEAEDFKQAFYEKRKLNCETNKANNREREKINLANQEKFHKEADKHYWKAIAEMIPREVPNIEKRRGKKEADNKPSVHVIQGPKPGKPTELARMRQMILKLKQNPPRHMMPPPPKEDKDAKEGKDGKDSKDAKEGKDDKSGKRSTPTAVEGGGGNKPASPAKEGAAESKPASPAKEGGANGAPEALAVVEGEQAPISEPSADQ >OIV98838 pep chromosome:LupAngTanjil_v1.0:LG13:11259899:11260234:1 gene:TanjilG_08494 transcript:OIV98838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNTNNNNNRPRYPPGIGYGRGGGGFTQNPNQNEPFQPRSSYQHQQQHYGQRNFVPQQQQQQQQQQHQQQQHQQQQQQWLRRAQLGGGESNLNDEVEKNVQTEADDSRLLV >OIV99048 pep chromosome:LupAngTanjil_v1.0:LG13:5297135:5303032:-1 gene:TanjilG_32307 transcript:OIV99048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRAACNHLHLFPSLVHPLKSTLSTKHTLFTSNSPLYSIHRNFSSSSSSSKISMSLKAGIVGLPNVGKSTLFNAVVENGKAQAANFPFCTIEPNVGIVAVPDHRLNVLSELTKSQRTVPASIEFVDIAGLVKGASQGEGLGNKFLQHIREVDSILQVVRCFDDNDIIHVNGKVDPKSDIDVINLELVFTDLDQIEKRIEKLKKSKVKDSQSKVKEEAEKSALEKIRGALLDGKPARSVTLTDYERDAVKHLYLLTMKPVTYVANVAESDLADPSSNHYVKEVADAASQLQSGIVTVSAQVEAELSELPIEERQEYLKSLGVSESGLGNLIRATYGLLGLRTYFTAGEKETRAWTILAGMTAPQAAGVIHSDFEKGFIRAETVAYDDFVAAGSLASAREKGILRSEGKEYIVQEGDVMLFRFNV >OIV99262 pep chromosome:LupAngTanjil_v1.0:LG13:3249444:3252321:1 gene:TanjilG_17072 transcript:OIV99262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKRENGNRVVILGGGVAGSLVAKSLQFDAHVTLIDPKEYFEIPWANLRAMVEPTFAERTLINHRDYLTNGDIVTSSVVNVTETEVLTADGCQIAYDYLVIATGHADPVPKTRSERLDQYKAENQKIKSANSILIVGGGPTGVELAGEIAVDFPEKKITLVHKGSRLLEFIGAKAADKTLNWLESRNVEVKLEQSVDLNSVTDGYYQTSHGETIDADCHFLCIGKPLASGWLRETVLKNDMDSQGRIKVDELLRVKGRSNIFAIGDITDIPEIKQGFLAQKHAEVVVKNLKVIMEGGRECRLVTYKPLSSVLAIVSLGRKDAVAQFPFLTISGRIPGFIKSGDLFVGKTRKQMGLTS >OIV99056 pep chromosome:LupAngTanjil_v1.0:LG13:5375966:5387953:-1 gene:TanjilG_32315 transcript:OIV99056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYVLVTGGVVSGLGKGVTASSVGVLLKACGFRVTSIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDIKLTRDNNITTGKIYQSVIEKERRGDYLGKTVQVVPHITDAIQAWIERVAQIPVDGKDGPADVCVIELGGTIGDIESMPFIEALGQFSYRVGSGNFCLIHVSLVPVLSVVGEPKTKPTQHSVRQLRALGMTPDLLACRSSKELDDNVKGKLAQFCHVALSNIVNLHDVPNIWHIPLLLRDQKAHEAILKALNLQGVATEPNFKEWISRTKVHDKFHESVRIAMVGKYTGLSDAYLSVLKALLHASVAHNHKLIVDWVPAEDLEDGTFKENPDAHEAAWGLLKGANGILVPGGFGDRGVQGKILAAKFARENNVPFLGICLGMQIAVIEFARSILGLLDANSTEFDPEAKTPCVLFMPEGSKTHMGGTMRLGSRRTYFQVADCKSAKLYGNASFVDERHRHRYEVNPDMISQFESAGLSFVGKDETGRRMEIIELPGHPFFVGAQFHPEFKSRPGKPSPLFSGLISAACKMSRTVLPTSNGHAKLTNGVVEDAMGNSSGTKRDTQSSRNVKDRTSSSSTINLSPPPVQTYVPSHHGSGQSSTKVSIKQKYGFIPDNFTTLQQVTTALREEGLESSNLVLGIDFTKSNEWTGKISFKNKSLHAIGNTPNPYEKAISIIGKTLAPFDDDNLIPCFGFGDATTHDHEVFSFHNDHSPCHGFEEVLDCYKKIVPNLSLSGPTSYAPVIEAAIDIVEKAGGQFHVLVIVADGQVTRSVNTDDGELSPQEDRTIKAIVDASSYALSIILVGVGDGPWEDMKKFDDKIPARDFDNFQFVNFSDIMSKNSSSSEKETAFALAALMEIPFQYKATIEFGLLGHATGRAKKIVPRPPPVPYSRQMAPAHIPSYMPASTEDERNQMVCAICLTNEKDLAFGCGHMACRDCGARLSNCHICRERITNRLRVFTG >OIV98819 pep chromosome:LupAngTanjil_v1.0:LG13:12363787:12365043:-1 gene:TanjilG_25065 transcript:OIV98819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTALVAGWAGSMALYELAVFDPSDPVLDPMWRQGMFVIPFMTRLGITNSWGGWSITGGTITNSGIWSYEGVVGAHIVFSGLCFLAAIWHWVYWDLEIFSDERTGKPSLDLPKIFGIHLFLAGVACFGFGAFHVTGLYGPGIWVSDPYGLTGRVQPVNPAWGVEGFDPFVPGGIASHHIAAGTLGILAGLFHLSVRPPQRLYKGLRMGNNETVLSSSITTVFFAAFVVAGTMWYGSAIIPIELFGPTRYQWDQGYFQQEIYRRVGAGLAENKSLSKAWSKIPEKLAFNDYIGNNPAKGGLFRAGSMDSGDGIAVGWLGHPIFKDKEGRELFVRRMPTFFETFPVVLVDGDGIVRVDVPFRRAKSKYSVEQVGVTVEFYGGELNGVSYNDPATVKKYVRRAQFSFFSQNIVDHSMVFLT >OIV98856 pep chromosome:LupAngTanjil_v1.0:LG13:10291767:10292531:1 gene:TanjilG_12024 transcript:OIV98856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMGSFNKILAPLAMLLAFANMAMSIAAVDPIAATGKEPIIELYMHDILGGSNPTARPVTGLLGNIYSGQVPFATPVGFKTPQGAIPIPNANGAIPTVNGVTGIALGTGLAGTTFAGNSGNNNQNNVQLQLGPDGLGLGFGTITVIDDILTSQPELGSQIVGKAQGVYVASSADGSRQMMAFTALFEGGEYGDSLNFYGLYKIGSTMSHLSVIGGTGKFKNAKGFAELRGLIPPGQIATDGAETLLRITVHLNY >OIV99099 pep chromosome:LupAngTanjil_v1.0:LG13:6127762:6129723:-1 gene:TanjilG_32358 transcript:OIV99099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREIKVQKLVLNISVGESGDRLTRAAKVLEQLSGQTPVFSKARYTVRSFGIRRNEKIACYVTVRGDKAMQLLESGLKVKEYELLRRNFSDTGCFGFGIQEHIDLGIKYDPSTGIYGMDFYVVLERPGYRVGRRRRCKARVGIQHRVTKDDAMKWFQVKYEGVILNKSQNIS >OIV98650 pep chromosome:LupAngTanjil_v1.0:LG13:16067301:16069502:1 gene:TanjilG_12773 transcript:OIV98650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVFELIVIFEVFFLKEGHRVTVNVYDLSQGLARQLSTSFLGKAIEGIWHTGIVVYGNEYYFGGGIQHSPAGSTPYGVPLRVVELGVTHVPKDVFEMYLQEISPRYTAEAYSLLTHNCNNFSNEVAQFLVGATIPEYILSLPNEVMSSPMGALILPMIQNLETTMRSGGVPQVPQFRPSTAAPSGTASAATAKTSSSTNSSTNPDNSKTEGEQQKSSPNVVAGDPLGNARGKVQDEISKEFAAIMATGTMRASEAAALATRRVMQRYGHTAVSQS >OIV98820 pep chromosome:LupAngTanjil_v1.0:LG13:12395823:12396578:-1 gene:TanjilG_25066 transcript:OIV98820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANNPCAVLGARRSSSTPNELIVRSISAQELMSSNILYDPSIWGPYFSNLNSNNNYRHHGTINTQAPNPHHDLHNFNKYQSPTSIYHPIPPFNEINNNNNNSSSSNNNNNSGIISTSYQVEKVKEYDGRIHSLPNDKIGHYTCPKCMQEFDKSQSFAAHVRATHYKNESAVEKNKRIMAKNKRKRLPSISSSRARGTKGKKSVPRFGTVENQPLAIKDEQVEEVGLQDNVTPLLPPPGNEAEDNFETNEEK >OIV98763 pep chromosome:LupAngTanjil_v1.0:LG13:13413533:13416730:1 gene:TanjilG_20509 transcript:OIV98763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEMEVGKGAKRVVAFFVLLVLLIVNGNVDGGSILYYYTQWTFISITIYFGLGSLLSIYGCYQHHKKATGDKVENVDGDAELGTYGSNANNQEKSLGATEDDLVHQYAGTWGYIFQIMFQMNAGAVMLTDCVFWFIMVPFLTIKDYNLNYLVVLMHTINAAFLIGDTVLNSLRFPWFRIGYFYLWTATYVTFQWIVHACINIWWPYPFLDLSSRFAPLWYLIVGCLHIPCYGIFTLVMKLKHYVLSTWYPDSYQCVR >OIV98836 pep chromosome:LupAngTanjil_v1.0:LG13:11182798:11193164:1 gene:TanjilG_08492 transcript:OIV98836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSGAINEILKAVELILSKLLSEVNSENDNDAEPKSKVRLVVPNGSCGRIIGKGGATIRSFIEDSQAGIKISPQDNNYFGINDRLVTLTGTLDEQMHAVDLIVSKLSEDSHYSQSINSPMSYPGVSSSGYHGAPSLLPSGNPSDYITNGPGVKFENSKEERSNSLTIGVLDGHVGFVVGRGGRNIMEISQTSGARVKISDRGDYISGTSERKVTITGSQRAIHTAESMILKKVAYATEKAIEVE >OIV98941 pep chromosome:LupAngTanjil_v1.0:LG13:8677764:8678228:-1 gene:TanjilG_07376 transcript:OIV98941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDSILEFITQAASSSAFIFCFCNLIIIIILVDLKPSLSIHQQSEIPLSIYTNIKQETNSKILVEKDVGSSPQEAKISHVKEREEAEVYEEAKASAMNKIEVKDNDDLSNEEEKKEEVEDGNEEEEDDELKRRVEEFIEKVNRGWKQELLSTPV >OIV98546 pep chromosome:LupAngTanjil_v1.0:LG13:17563380:17564558:1 gene:TanjilG_12132 transcript:OIV98546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNNYRFKLSDMIPNAWFYKLKDMGKLRKQTSTTTTTTTLTSQSRKKKQSSPTPTSSTKHSSKPKQPHQYYNPRKSYYFTRDLNLNTSPPNNQKVSDTNFHEPPRKSTKQRAKRRSSKSSNSPKQSCSSTPLDLEFRTDSVLLPNDESLVLFDEMVSLSNDDIIIDVDNNSVSRKDDKVEGGYDDSFSEHVLPPILTKPRTRFNDLVGHDAKNKNKKKTKQKSRMVADVDFELRLMTLDPSNDSSCVKSSNVVTMCKSDLGESESIKGSLKNKIVNEENASIKEMTNSPSVGGGRRLRLRINSPRVRSRKSVSSAASGRRSLSDSFAIVKSSLNPQRDFRESMMEMIEQNNIKSSKDLEDLLACYLSLNSDEYHDLIIKVFKQIWFDLFYNE >OIV99634 pep chromosome:LupAngTanjil_v1.0:LG13:541981:545490:-1 gene:TanjilG_17444 transcript:OIV99634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRSPKSCGEEEEEGFGTTVSGQSGSTSKSAGLPSSRSEQALVATAADSKFLRLNHIDLHGDDARSQEAVVSKKKKRGQRAVGGDKSGRGLRQFSMKVCEKVESKGRTTYNEVADELVAEFSDPSNSVLPPDQQQYDEKNIRRRVYDALNVLMAMDIISKDKKEIQWRGLPRTSLNDADELKTERLGLRNRIEKKAAYLQELEDQNVGLQNLLQRNGQLYSSGNPPSGGVSLPFILVQTRPHATVEVEISEDMQLVHLDFNSTPFELHDDNYVLKAMKLSERPQNDNMTPNDGGESSSMPSLYQSHVPPSVSNLPIRPPSSSPLPGILKARVKNEH >OIV98827 pep chromosome:LupAngTanjil_v1.0:LG13:11021174:11022662:1 gene:TanjilG_08483 transcript:OIV98827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSQTVVLKVGMSCQGCSGAVNRVLGKLEGVESYDIDLKEQKVTVKGNVEPETVLQAVAKTGKKTAFWEEEAPVASVESENKPAETAAAASAESENKSSETATVSSAEPENKSSETAAIASAVPENKPSESAPVVA >OIV99226 pep chromosome:LupAngTanjil_v1.0:LG13:3608019:3609285:-1 gene:TanjilG_06531 transcript:OIV99226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLARRAVSLTRLSISKSPIQSPNLVHRRNLAGAADHHGPPKVNFWTDPTSPSKWKEEHFVIVSLSGWGLLFYGGYKLFAGGNDKKEEVCSNFNFRPRI >OIV99633 pep chromosome:LupAngTanjil_v1.0:LG13:550639:552306:1 gene:TanjilG_17443 transcript:OIV99633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYMCADSGNLMAIAQQVINQKQQQEQQQHQQHQNQQNQTPPLSWNNNNNNNNNNTLPLSLSSLPLGYPLTPPSDFPDPFLQVPDDPSSFHFPPPPFKFSDFDDDWMDSLIPTSENNNNNININTNTNTHNHNSDFTLHPSPTLLNRAFSSSPPPPPLPPSVALHATQPHSASHPLLNSLIDCATLIESCSPDLALQSLTQLTKSVSQHGNPIQRVAFYFVEALTMKFDEKDRNLVTEVTHTHSISEELTLCYKALNDACPYSKFAHLTANQAILEATQGSKHIHIVDFGIVQGIQWAALLQAFATRSSGKPQSVTISGIPAMALGTSPVNSLAATGNRLSEFAKLLGLNFEFRPILTPIHELNEKSFCVKPNEALAVNFMLQLYNLLDDDHEGGDAPNAVQTALNLAKSLKPNIVTLGEYEASLTTRVGFLNRFKAALKYFSAVFESLDPNLPADLPERLQVESLLLGRRIAGVVGSEVSGSVRERMEDKEQWRVLMESAGFESVSLSHYAISQAKILLWNYSYSSLYSLVESEPGFLSLAWKDVPLLTVSSWR >OIV99643 pep chromosome:LupAngTanjil_v1.0:LG13:471620:471841:1 gene:TanjilG_17453 transcript:OIV99643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKNFRKKKRLGETEGSDIEGSMSSISYGSFRNKSHSFTPSTPPPTMVNYRSAKRRKGIPRRAPMGGGIIIQF >OIV99142 pep chromosome:LupAngTanjil_v1.0:LG13:4334968:4336235:-1 gene:TanjilG_01117 transcript:OIV99142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLKLFTTLFSLSLLLLVSPSLSLSSPSSSIHDLLRSKGLPAGLLPEEVKSYTFSEDGCLKVFLDAPCMTKYETRVFFERVVTANLTYGSLIGVEGLQQEELFVWLPVKDIIVNDPSSGLILFDIGLAYKQLSLSLFEDPPHCKPQGGLRNHVRKEKGFEALR >OIV98928 pep chromosome:LupAngTanjil_v1.0:LG13:8337084:8337308:-1 gene:TanjilG_07363 transcript:OIV98928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRLMNCGVGQVCLQYSSQPGLDQQDKYSKDQQDKHNKDQRDKHIMKDDLEDSMELGLDQIASLAGNASSRATS >OIV99071 pep chromosome:LupAngTanjil_v1.0:LG13:5559876:5561351:-1 gene:TanjilG_32330 transcript:OIV99071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATARTVKDVSPHEFVKAYSSHLKRSGKIELPEWTDIVKTARFKELAPYDPDWYYVRAASMARKIYLRGGLGVGAFQRIYGGSQSNGSRPSHFCKSSGSVARHILQQLQSMNIIEIDTKGGRRITSSGRRDLDQVAGRIVVAP >OIV99544 pep chromosome:LupAngTanjil_v1.0:LG13:1137843:1138744:1 gene:TanjilG_17354 transcript:OIV99544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDGELDFLNQEVFSSPNMANIPSGGSMDSFFDELFKDNMHACSHTHTCNPPGPDFLHTHTCYHVHTKIVPAPGEDQVATDDTAESAEKKSKKRPVGNREAVRKYREKKKARTASLEDEVLKLRALNQYLMKKLQGQAALEAEVARLKCLLVDMRGRIEGEIGSFPYQKPANSDPPILNIPGSYVMNPCNMQCDDQVYCLQPGADGKVTEGVSLNGEEFNGCELENLQCELGLKDLHAHGVGQTLPNVCSFASNKRKVDAISNAGAWYHLPMHLWSS >OIV98995 pep chromosome:LupAngTanjil_v1.0:LG13:7308795:7311897:-1 gene:TanjilG_29398 transcript:OIV98995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLSENEGIEGKSFVVTGGLGFVGSSLCLELIRRGARNVRAFDLRSSSPFSKLLIENGVHCIQGDVVRKRDVERAFRGADCVFHLASFGMSGKEMLQFGRVDDVNINGTCLVIDVCLELGVKRLVYCSTYNVVFGGQEIVNGNEALPYLSIDQHADPYGRSKSIAEQFVLKNNGCPFKNKSGGCLYTCAVRPAAIYGPGEDRHLPRIISTARLGLLLFRVGDKTVKSDWVYVDNLVLALILASMGLLDDIPGKEKHPVAAGQAYFISDGSPVNTFEFLQPLLKSLGYGIPKTSLAVNHALVLGKICWFFYTILYPWLNRWWLPQPFILPSEVHKVGVTHYFSYLKAKEEIGYVPMVTPKEGMASTISYWQERKEIVDGPSIYAWLFCILGMVSLFCAAYLPGDTGIVSILRAICLFQFRSMWMTRLVFLLGTAAHIFEGIYAWHLAKRVDPANARGWFWQTFALGYFSLRFLLKRARK >OIV98701 pep chromosome:LupAngTanjil_v1.0:LG13:15050048:15054657:-1 gene:TanjilG_24872 transcript:OIV98701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTRANNIQRASDIVVGVSTHVDPTKAKIIDLAYSAMDELIVLGLVGEPLWQPKKHDRHQTLNSIEYLRQFGMIDESLRGIIKLVEVGEPQSLPSLESYLLEPSMSNATQNVALQVEASRDVEYIKMSPMKLIELLMDMNQWGREFYNIVSRTTMVRTLLDGVEGSNNGKLLVMNTELHLPTPFVPTRECYFARYCKQLSQETWGVVDVSLEKFIASPSKYIRRRPSGSLIQGMPNGFSKVIWVEHVEADHGQVNKKLQPLFGAGYAFGATRWLASIVQHNEWSETLKEPTLAADDRVLISKAGRMSFLNMGDRMMRTFCSDINASTRNPWKQIPSFYGSTDVRYIVKNNNNSETGKPPGTSVIFTTSVWIHASPNRLFNFLRHESSRKKNSEDKTDIYYIQKSYTDSIASYVVYAPLDESALKSLSNGSNPEIVMMLPSGFTILPARFSDNNNGDGSLLTIAFHSIESTSIRSSIPSESVEILYKVITETISAIKDAMM >OIV98953 pep chromosome:LupAngTanjil_v1.0:LG13:8826072:8827540:-1 gene:TanjilG_07388 transcript:OIV98953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSTQLITLSFLLFAFTAKLQLAFSDEEVEQVVDVNGNPIFPGGKYYILPAIRGPQGGGLKLGQTGGSSCPVTVLQNFLEVENGVPIKFTIPGISPGEIYTGTQLDIESTKKPYCATSSKWIVFVDNDIAKACVGIGGPKDHPGKQTLVGKFHIQKYKRAYKLVFCITGASTCLDIGRYKNENDDEGGRRLNLTEHEPFELVFVDAGFSSGIKSVV >OIV99656 pep chromosome:LupAngTanjil_v1.0:LG13:375062:377884:1 gene:TanjilG_17466 transcript:OIV99656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAQRALLDELMGAARNLTDEERKGYKEVNWDDKEVCGSYMVRFCPHDLFVNTRSDLGPCPRIHDQKLKESFEKSPRHDAFVPKFEAELAQFCEKLVMDLDRRVKRGRERLAQEVEPAPPPPLTAEKSEQLSVLEEKIKNLLEQVESLGEAGKVDEAEALMRKVETLNAEKTALTQPQNEKLLMLAQEKKMALCEICGSFLVANDAAERTQSHITGKQHVGYGMVRDFIKEYMDAKEKATEEERLVRERDAEDRRKQKEKESERRRRSDSSDRERLRDRDRERDRYRDRHSDRERSRDRDGGRGADSRMRNGRNGGRDRYRDRSRSRSPVRHSHRRHS >OIV99052 pep chromosome:LupAngTanjil_v1.0:LG13:5325732:5338755:-1 gene:TanjilG_32311 transcript:OIV99052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLILQSRSNPLLVSSSPLTPTSQNPIFFTPHYRTTTTRKLKFRASASADPNGAPWLDISESLQRGSRRFWLKFGEMVKKEAGFDLEDFGNVSKVGEVVDGVKARGDEFGRFGSNWVSEFVDWNRWERWKNIKNWEPKRIGALVLYIFVVAFTCRGIYIAIQAPYLNRQKKELTEAYMEALIPEPSPSNIRRFKKGIWKKTTPKGLKMKKFIEGPDGTLVHDNSYVGEDAWEDDLEAPEEHVKKIIEDDERLKKEEKKELTKGLGISGEVQTESTWRERLHKWRETLRKERLVEQMDSSNSKYVVEFDMKEVENSLRKDVAEKVTGTEGTRALWIAKRWWHYRPKLPYNYFLDKLDSSEVEAIVFTEDLKRLYVTMKEGFPLEFVVDIPLDPYLFEMITSSGAEVDLLQKKQIHYFMKVVIALLPGILILWLIRESVMLLHITSSRFLYKKYNQLFDMAYAENFIMPVGDVGETKSMYKEVVLGGDVWDLLDELMIYMGNPMQFYEKGVQFVRGVLLSGPPGTGKTLFARTLAKEGGLPFVFASGAEFTDSEKSGAARINEMFSIARRNAPCFVFVDEIDAIAGRHARKDPRRRATFEALIAQLDGDKEKTGIDRLSLRQAVIFICATNRPDELDLEFVRPGRIDRRLYIGLPDAKQRVQIFGVHSSGKQLAEDVNFEKLVFRTVGFSGADLRNLVNEAAIMSVRKGHSKIFQEDIIDVLDKQLLEGMGVLLTEEEQQKCEESVSFEKRRLLAVHEAGHVVLAHLFPRFDWHAFSQLLPGGKETAISVFYPREDMVDQGYTTFGYMRMQMVVAHGGRCAERVVFGDDITDGGRDDLEKITKIAREMVISPQNPRLGLLGLTKRIGLDDRPDSSDGELIRYRWDDPQVIPANMTLEMSELFSRELTRYIEETEELAMNALRDNRHILDLVARELLERSRITGLEVGEKLKGLSPVMFEDFVKPFQLNVEQDGPFPHNDRVRYQPPDLYPAPLHRC >OIV98833 pep chromosome:LupAngTanjil_v1.0:LG13:11116161:11117465:-1 gene:TanjilG_08489 transcript:OIV98833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALDVTFESICPSRFISFTIPSPTCSDSLLRVAVLDSPVQPTDSPQVGAMLVPEGRENDWIFSTELGQLQLLFSSPEISRLILIGNQFKEGDFSRNIYHRPLKCSLQEQGFEVWSKPLLLALSPRSLFRNGIPEIPILSYEDSLVSSIVIHSCVGYHVGEILVEDVEIESESEVHHGCHKREFRRRMRFKKMPNLIQTEIRIVPETDPSLNSVRIGDMGFIPDLQVLVHPYLAPMVASLSLISEYLEGQMQNGFKPKALCLGVGGGALLTFLTTQLGFEVMGVDNEMEVLRVAKDYFGLEDSECMHIVVGDAIKYIKKLAYHENPQTLSSIVDCDYNCLSHLVDGKVNHKFDVVMVDLDSSDIRSGISSPPLEFVRKNVLLAVKLALSESGILAINVIPSTKSFYDNLVTHFLGVFEELYKIDVGNGENFVLCN >OIV98540 pep chromosome:LupAngTanjil_v1.0:LG13:17623270:17625990:-1 gene:TanjilG_12126 transcript:OIV98540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNNKNNNLNYCFIVTILLCVFLLYIEVVLASDFKPTDKILLSCGGPPSSTDADGRDWTTDVGSKFESSSSAGKTTTSSAATQDPAVPEVPYMTARVFHSKFTYSIPVASGWKFLRLYFYPASYSGLNASNALFGVTAQQSYTLLRNFSVEQTTLALNFAYIVKEYAIHVEGETLSVTFTPSANASNSYAFVNGIEVVSMPDIYTSSDGSSSTMIVATNSPFTIDNSTILENVYRLNVGGNDISPSHDTGLFRSWSDDMPYLFGAAFGVTEPADPSVKIEYPPGTPSYIAPNDVYTTARSMGPNPQINLNYNLTWLFTIDSGFSYLVRLHFCEGSANITKINQRVFDIFLNNQTAQPGGADVIAWAEGSGLSHNNGVPVHKDYVVFVPNGEPRQDLWLALNPDQSSKPQYYDAILNGVEIFKINDTTGSLAGTNPIAPPLQEKIDPSLARPHPHANSKNQTGVVAGGVSGVVVLLLLIIGLFTFARRRRLGKDSSAIDGPSGWLPLSLYGNSHSAGSAKTNTTGSYASSLPSNLCRHFSFAEIKAATNKFDDALILGVGGFGKVYKGEIDGGTTKVAIKRGNPLSDQGVHEFQTEIEMLSKLRHRHLVSLIGYCEENTEMILVYDHMAYGTLREHLYKTQNPPLPWRQRLEICIGAARGLHYLHTGAKHTIIHRDVKTTNILLDEKWVAKVSDFGLSKTGPTLNNTHVSTVVKGSFGYLDPEYFRRQQLTDKSDVYSFGVVLFEILCARPALNPTLAKEQVSLAEWAPHCYKKGILDQFMDPYLKGKIAPECFKKFAETAMKCVSDEGIERPSMGDVLWNLEFALQLQESAEESGKDFVGKKVLNEEEPLFIGNDCKEKNTDASNVSDLISSGMSMSIGGRSLASEDSDALTPSTVFSQIMNPKGR >OIV99390 pep chromosome:LupAngTanjil_v1.0:LG13:2144087:2146074:1 gene:TanjilG_17200 transcript:OIV99390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMAGVLLFIASIMISLTWMHVEARIPGVYSGGAWQSAHATFYGGSDASGTMGGACGYGNLYSQGYGVKTAALSTALFNNGLSCGACFEIKCANDREWCHSGSPSIFITATNFCPPNFALPNDNGGWCNPPRPHFDLAMPMFLKIAEYRAGIVPVAYRRVACRKEGGVRFTINGFRYFNLVLISNVAGAGDITRAYVKGSRTGWMPLSRNWGQNWQSNAVLVGQALSFRVTGSDRRTSTSWNIAPPNWQFGQTFTGKNFKV >OIV99115 pep chromosome:LupAngTanjil_v1.0:LG13:6360004:6360207:1 gene:TanjilG_32374 transcript:OIV99115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKHAAWMSPLSLHYDPFAREAPLEGGYSLQGSKSCLERPKEGVGPPYLSRNIISHGSTSTEAVYGL >OIV99407 pep chromosome:LupAngTanjil_v1.0:LG13:2016779:2018299:-1 gene:TanjilG_17217 transcript:OIV99407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSNQGSHERSCDTTNTVIGMFENMKLQERDNSKYQTGYGSTFFNVEPSTYQSFINDQIRAIQLSRLKQKQVLSLKQKLSAYRESGGQIPNQYESNDHMMRFQKKGEGVSVESGNGRRRTHSIRPGPLPQQTGSGMHALFLDGSASSAASRGTGVFMLPGGTGAPSKRPGKGCSTVLIPSRVVHALQLHFEQMASMSRPKHAGFPPLHG >OIV99249 pep chromosome:LupAngTanjil_v1.0:LG13:3403441:3407130:-1 gene:TanjilG_06554 transcript:OIV99249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGSKKKWNFNGNKDLNASAISVKGVFNKLTATLNKDDKRPVVRLCRADPTDFFKTTDDASHAVAESILSFKFNSYSPSGGLPDAKRAVADYLSSDLPYKLSPENIFLTSGCTEAINITLTVLARSGANILLPRPGYPQYQSRAAFCGLEVRYFDLLPEKGWEVDLDSFVSLADENTVAMVLINPSNPCGNVFSYQHLQKVAETARKLGIFVISDEVYGHITFGSKPFVPMGVFASIVPVITLGSLSKRWVAPGWRLGWIGTCDPNGYLQDTEIVTNIKNYLDISCSAATIVQAALPQILEKTTDDYYSKNLNIMRETANTFYERCKDIPCLTCPNKPEGTMMVMVQINFSQLEDIIDDVDFCIKLAKEESVILLPEGVKRIKAFGLRHSKKL >OIV99130 pep chromosome:LupAngTanjil_v1.0:LG13:4699152:4701709:1 gene:TanjilG_22710 transcript:OIV99130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQQRRDYFQSEPYIPSRSTNISTSNIRTVVTASGNTTNLDSHDLPDAHDNVMMNRLTQYTSIQNQHNRDRDVSVVPHLFYSGMNPLSSTGALPLPLNHTVSDQLHGSSTSAISGAYKRKNTERNRGNFQHFDASASSSTAPPNAIYSDGAGMMDIASFSMPQRRGNGIPSLVEVGPHGSSWSGSGESIMVPDHNHLIRGNYLGPHFQPAAAPWPEQPLNYNINDGHATAWNQSLPMPYLQVRDVNESLLEDASMGLQMYHNTSSNRSGFRFPHPPPVNPLHHNFHHPTLPMQGMRGHSISFHPPSASYRVPTNPLRSAVIPAQNDFEMGARHLRIAPPAGLRRHRPHRGVMPNATLGHQNLSPMHFFQVDDVALLVDHHRDMRLDIDEMSYEASRQIWILYISINELLALGERIGNVSTGLSEEMITAQMKTKTYSVPATVINLEEVASEEPETASCIICMDEYQNQEKIGILQCGHECHADCLRKWLLVKNVCPICKSKGLILE >OIV99092 pep chromosome:LupAngTanjil_v1.0:LG13:5854385:5856964:1 gene:TanjilG_32351 transcript:OIV99092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVPVSLWNVSKKVMSKLTSLTYQKYHLYLSFELDFNKLQSDLTSISAVLLDAEKQQEKSHSVQDWLGKLQDALYDAEDLLDDLNAEVLRQKVENEFRIVTLVRNFFFLTGMDERVKNIRDRIEHIEKQKRNLHLPQLVNGKGNGVSVNNMDSHRQREREHTRSYLHFTEVVGREKDKKEVIELLCGSCNSDEGVCVVPIVGMGGLGKTALAHLVFDNDEVKRGFDLRIWVDVSDDFNLERIWEKKITRGVNCSDHNVLDIDILSSLQDKVSGKKFLLVLDDVWRGNQIEWLDLKSALISGGAKGGSRVLVTTRYKSTMGRFSSYNLGVLPDGDCWSLFEKWAFGEGETAHHPNLATIGIEIVKKCGGVPLAIRTVGGLLSESKEESYWLYVKDSDAWGMDIGHMLEWEDNNNILSVLKLSYDQLPPHLKECFAYCSSLPKGLEFRKEDLIQLWMSQGFIHLSDRYQQSEDVGSWYFNELVSRSIFDVVHENHRTEIVKCRMHDLFHDLAKSVARPLVVNYDITNMSERTRHLSLWDLDLREDPNSFLKLLKLRTLILLPTGLGTSLGSGSYLDVLLSGSTYLRVLDLSRLGIKHLPNSIGYMKHLRYLNLNGNSELQSLPDSICRLHSLQILKLSGCRKISTLPRNFSYLVSLRHLVITSPHVLEQKVGTLTSLRSLTIEHCRNLESLSEVTQNLSVLRTLRIHNCGKLTSLPSNLENCTALENLEVVNCKRMRSLEVSMQRLAHFRSLTIKGLPGLVTLPDKLECYARSLQYLFITDCVILQKLPKCLEKLSSLMRVYIMYCPNLHKLPSGFCHLTALQVLRIEGCPYLSSRCQRKTGQDWHLIAHVREIYVDNDKI >OIV98916 pep chromosome:LupAngTanjil_v1.0:LG13:7936040:7939706:-1 gene:TanjilG_07351 transcript:OIV98916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSNDLSLLGENFDLGVVKDDDNESRSGSDNLDGVSGDDQDAGEESSGSQRRKKYHRHTPQQIQELETFFKECPHPDEKQRTELGNKLGLANKQVKFWFQNRRTQMKTQLERHENMMLRQENEKLQAENSLMKEAMVNPMCQTCGGPSLPGQVSFDEHQVGIENARLKDELTRICTLANKFIGRTLSPLVGPAALQSPTSGLDLAIGMNGFAGPSNHGMMNLPMGLDFGEGLLNTQPVMNGIIRSPPMGQTGNQIPNEKAMLIEVAMVAMEELIKMAQLDIPLWFKSLDGNKEVLNHEEYARIMVPPCIGPKPNGYVTEATRESGLVIGNCLGLVEILMNVDQWSESFPSAVARAVTLEVVSSGVSGNRNGAIHVIQAEVQLPSPLVPVRQLTFIRYCKQHGEGGSLLDAFCKTHPMAFSRQVTWVEHNQYDESAIHHLYRPLINYGIGYGAQRWVASLQRQFECLATLVPSPIPIEDPTGMTLAGKRSMVKLAQRITNKFFSGVCFSTAHQWEPLHFDVMDPNIKVMGRKNVVGEPSGIVLSAATSVWMPVSQQRLFDFLHDERLRGEWDVLSNNVPMQELLRISKGQVNGNCVSVLSNGPKGLESRMLVLQDAWTDSSSSVMVYVAVDMDSLNLVMSGGESAYLHLLPSGFIIHPDGRSNNGSSSNGVGLGGSESGGSLLTFGLQILSSNLPNDNNLSMESVVSVSNLITESIRKIKVALRVA >OIV98569 pep chromosome:LupAngTanjil_v1.0:LG13:17211787:17215482:-1 gene:TanjilG_12155 transcript:OIV98569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVLASSTFVTGHQLFDGVAVRKSDYEALRALKHDLIDFKGVLRSWNDSGFGACSGSWLGIKCVNGEVIVIQIPFKGLSGKISEKIGKLQSLRKISLHDNLLTGPIPYSLGFLPNLRGVYLFNNKLSGSIPLSIGNCPMLQSIDVSNNLLIGKIPPSLSNSTRIFRINLSFNLLSGSIPSSFTMSHSLTILALQYNNISGSIPDSWHGMRNRNKGFVSSKLQVLTLDHNLISGTIPVSISKLSLVENISLSHNQIIGSIPSEIGALSRLRFLDLSNNAINGSIPKTLSNLTSIVSLNLEGNQLENHVPDSFETLQNLSMLNLKNNKLDGQIPSTIGNITGITKIDLSKNNLVGEIPDSFAKLANLSFFNVSYNNLSGPIPSLLTKRFNSSSFTGNLQLCGFIASKPCHSPPPEILPAPSPKPFSKPHHLNKLSTKIILLIAAGVTLIILVFLCCFLLCYLVKKTGGSKGKNGKAKTTASARSIKKVGGGIESPGREVGGKLVHFDGPFVFTADDLLCATAEIMEKSPYGTSYKATLEDGNHVIVQRLREKTTKGQKEFEAEAASLGKIRHQNLLALRAYYLGPKGEKLLVFDYMPKGSLASFLHDRGPETVIEWPTRMNIAIEITLGLYHLHKEENIVHGNLNSSNILLDEQINAHITDFGLSRLVTTSARSNIIASAGNDGYNPPELSITKKPNQKSDVYSLGVIILELLTGKPPGMELGEWVTSIVKEEWTNEVFDLELMKDASAISDELLNTLKLALHCVDPSPSSRPEVQQVLHQLEEINN >OIV99365 pep chromosome:LupAngTanjil_v1.0:LG13:2307099:2309342:1 gene:TanjilG_17175 transcript:OIV99365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKQLTGKRDDTPLHSSARAGNLDVIKDTLSGADEGEVRKLLAKQNQAGETALYVAAEYGHVDMVRELIQYYDLADAGIKARNGFDALHIAAKQGHFDVLKILMNAHPELSMTVDPSNTTALHTAATQGHIEIVKFLLEAGSSLATIARSNGKTALHSAARNGHLEVVKAILEKEPGVATRTDKKGQTALHMAVKGQSLEVVEELIKADPSTINMVDNKGNTSLHIATRKGRSQIVKLLLGQKETDAKVVNRSGETALDTAEKSGSSDAKAILLEHGVQSAKAIKPQPTTATARELKQTVSDIKHEVHYQLEHTRQTRKRVQGIAKRLNKMHAEGLNNAINSTTVVAVLIATVAFAAIFTVPGQFVDDPKDIPPGMTLGEANIAPKAPFLIFFVFDSIALFISLAVVVVQTSVVVIESKAKKQMMAIINKLMWLACVLISVAFLALSFIVVGKDEKWLAIAVTIIGTTIMATTLGIMCYWVIRHRIEASNLRSIRKSSMGSRSRSFSVSVPMSDSEIPNNEFKKMYAI >OIV99703 pep chromosome:LupAngTanjil_v1.0:LG13:52171:53055:-1 gene:TanjilG_17513 transcript:OIV99703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAVTPKILLYNPILRNGSPRLKLLLLRPRNLTTSCINLKVYASQSQDPPSPSSGRAPPVPGVDTRIHWDNEDEGWIGGATKQPKEKKNPNNLLGHNFTDLLSTSSDSHYQFLGISADADLEEIKGAYRRLSKEYHPDTTSLPLKTASEKFMKLREAYNVLSNEESRRFYDWTLGQEAASHEAEKMKMRLEDPYQQDVENWESVPDMVDRLGGKNLKLSDQAVSALTIDIFIIIFSICCIIYVIFFKEPYY >OIV99461 pep chromosome:LupAngTanjil_v1.0:LG13:1641608:1642012:-1 gene:TanjilG_17271 transcript:OIV99461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGICVSSEHASKGENLSWKSKVNIVELDGKLQQLKEPTKAWLVLSQNPNSFICSSESMYVGSLMSPLTPNQELQLGHIYFLVPRSKSRIPLSLEDLCALTIKADAVLAPSKHTHKIFKFSSRVSHKKFQVHPVL >OIV98968 pep chromosome:LupAngTanjil_v1.0:LG13:6847610:6856394:-1 gene:TanjilG_29371 transcript:OIV98968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYIKEICLEGFKSYATRTIVPGFDRFFNAITGLNGSGKSNILDSICFVLGITNLQQVRASNLQELVYKQGQAGITKATVSIVFDNSDRNRSPLGYEDHSEITVTRQIVVGGRNKYLINGKLAQPSQVQNLFHSVQLNVNNPHFLIMQGRITKVLNMKPPEILSMLEEAAGTRMYETKKEAALKTLEKKQSKVEEINKLLDQEILPALEKLRKERTQYMQWANGNAELDRLRRFCIAYEYVQAERIKDNAISEVEQVKAKIAEIDDTANTTQVEVKEMENKVSQLTAAKEASMGEELKPLSEKVDKLSQNLVKETSILNNKEDTLKSEEANKKNIAKNIEELKQSVEEKASAVKMAEEGAADLKKKVDELSKSLEEHEKDYQGVLAGKSSGDEEKCLEDQLGDAKVDVGSAETELKQLKTKINHCEKELKEKTKQLRSKREEAISVENELNARQKDVENVKKELESLPYKEGEMEALQKDRSSELECVQKWKDEIRNVSAYLANVEFTYRDPVKNFDRSKVKGVVARLIKVKDPSTMTALEVTAGGKLFNVVVDTESTGKQLLQNGNLRRRVTIIPLNKIQSYAVPSRAQQAAVRLVGKENAEVALSLVGYEKELRNAMEYVFGSTFVCKTIDAAKEVAFNREVHTTSVTLEGDIFQPSGLLTGGSRKGSGDLLKHLHDLAEAESKLLVHQRRLSEIEAKITKLRPLQEKFKDLKAQLELKSYDLSLFQSRAEQNEHHKLGELVKKIEQELEEAKSAIKEKQVLYEDCVKTVKSLEKSIKDHDNNRETRLKDLDKKIKSIKSQMQSSSKDLKGHDNEKERLVMEMEAVVKEQGSLENQLASLGTQISDLASEVEEQRAKVVSARNELDQVQSQMNSVRQKMKDCDKEISGIIKEQQKLEHKLTEGNLERKRMENEVKRMEMEQKDCSTRVDKLVEKHAWIATEKQFFGKSGTDYDFSSRNPGKAMEELERLQAEQSGLEKRVNKKVMAMFEKAEDEYNDLMSKKNIIENDKSKIKKVIEELDEKKKETLNVTWTKVNSDFGSIFSTLLPGTMAKLEPPEGCSFLDGLEVRVAFGSVWKQSLSELSGGQRSLLALSLILALLLFKPAPLYILDEVDAALDLSHTQNIGRMIKAHFPHSQFIVVSLKEGMFNNANVLFRTKFVDGVSTVQRTVAKQSK >OIV99101 pep chromosome:LupAngTanjil_v1.0:LG13:6136777:6137544:1 gene:TanjilG_32360 transcript:OIV99101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFVLSLCPQVQNQMRTKEAEKKRAYLTMEELKQVPDDTNVYKSIGRTFVLESKATLMNEQENKFKDSETSITSLQSSKEYLEKQMSEVENNFRELLQQDPALARQIMSMNV >OIV99359 pep chromosome:LupAngTanjil_v1.0:LG13:2345376:2346611:-1 gene:TanjilG_17169 transcript:OIV99359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLRSASSNLRGSGEEQHNGAQRRRSGDDDFLEDLPLYHRRSAAVRRDTSRSRSPEKWIHVIPVLVLLCFFFLWWFSFPVHVDVKDGRITSIKPIGPPLPPNDTRIDFTILAAATASSPIPLFPQNLLGEEEDETYFPPASSPNN >OIV98859 pep chromosome:LupAngTanjil_v1.0:LG13:10323627:10331521:-1 gene:TanjilG_12027 transcript:OIV98859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFTVSENMKGLGSHTPLVSRGKLPLLGVVDILPCCPYFSRLTLLSTLRCRQKSRLSLAHGRIRPQASNVSVGPGDYGSDNEKNSQKAVEGTPVNDTSSKIVEPPNRIPYPISIALVVFGCALVFSLIAFLKGGPSTVLAAIAKSGFTAAFTLIFVSEIGDKTFFIAALLAMQYKKGLVLLGSMGALALMSVMSVVIGRIFQSVPAQFQTTLPIGEYAAVTLLLFFGLKSIKDAWDLPSDVANNGNDNSPELDELAEAEELVKEKASKRLTNPLEIIWKSFSLIFFAEWGDRSMLATIALGAAQSPWGVASGAIAGHFLATCIAILGGAFLANYISEKLVGYLGGGLFLIFAVATFFGVF >OIV99164 pep chromosome:LupAngTanjil_v1.0:LG13:4272149:4276933:-1 gene:TanjilG_19660 transcript:OIV99164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ERCENMMDYIRRLKVCIRWFQDLEMNYSLEQERLKNSLELTQQKCIEIELLLKIKEEELNSIITEMRRNCASLQEKLIKEESERVVAVESLEKEREARLNIERSQTTLSEDLSKAQREIQNANQKISSLNDMYKRLQDYITSLQQYNGKLHTELSSVEDELKRVEKEKFAALENITMLRSQLTLSISSQDEAIKQKDTLVSEVASLRGELQQVRDERDRQLSQAQTLTFELEKLKESRESSCTELDNLTLKANELEANCSLKDNQIRELQEQLAIAEKKLQVLDISAFETRTEFEGQQKFVNELQRRVADAEYKLIEGERLRKKLHNTILELKGNIRVFCRVRPLLPDESCSTEGKIFSYPTSMEASGRDIDLTQSGQKHSFTFDKVFTPEATQEDVFVEISQLVQSALDGYKVCIFAYGQTGSGKTYTMMGRPGHPEEKGLIPRSLEQIFQAKQSQQPQGWKYEMQVSMLEIYNETIRDLLSSNRLSSEATRLENGTPGKQYTIKHDASGNTHVSDLTVVDVNSIKEVGFLLNQAANSRSVGKTQMNEQSSRSHFVFTLRIYGVNESTDQQVQGILNLIDLAGSERLSKSGSTGDRLKETQAINKSLSSLSDVIFALAKKEDHVPFRNSKLTYLLQPCLGGDSKTLMFVNISPDPTSVGESLCSLRFASRVNACEIGTPRRQTHARSTDSRLSYF >OIV99313 pep chromosome:LupAngTanjil_v1.0:LG13:2726395:2727735:-1 gene:TanjilG_17123 transcript:OIV99313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHKNKTDGENNMTATATITVVLKVDLHCEGCASKITRNLRAFQGVETVKVENDTGKITVTGILDPVSVRDKLAMKTKKKVELLSPQPKKEKENEKEKEKEKEKEKDNKSKEKEPPVNTVVLKVPLHCQGCIDRITKIVYKTKGVHNMSIEKEKDTISVKGVMDVKALVGDLIIKLKRKVEVVPPKKDKGNDKEGESGGGEKEGGGGKKKNKGGGGGGGEMEQGKMEYLAPPAFAFAYPYPYGNAGGVNYAPFYPENYQLHAPAPQIFSDENPNACSVM >OIV99485 pep chromosome:LupAngTanjil_v1.0:LG13:1482527:1483867:1 gene:TanjilG_17295 transcript:OIV99485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLYKANGHGPTDNGYAVAGHSYQTSIKRSLPWLDIRVFYVRVCKCELDDSTPEVLTLNHVPLNPDTLLEVNGVRSGIYSDGMPALLKRDRLDRKSEEVTFVSTDSIRMSGSVRFEVFDKDLLLLSGVLELCNSNGVVRQSSYNGRSWTMNCESNIIPGTSFFKGKHLILPGAPLPTVEVYIAGSFSGNPIILTKTLQLCSQKKDARKGVLDSIPEQEASENRKYTSSSLTLQDPDYLGHRPEDEDYNSMYSRTAYADGEDGELSWFNAGVRVGVGIGLSVCLGVGIGVGLLVKTYQGTAGRFRRHLF >OIV98740 pep chromosome:LupAngTanjil_v1.0:LG13:14317859:14325573:-1 gene:TanjilG_24911 transcript:OIV98740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRGKTQMKRIENATSRQVTFSKRRNGLLKKAFELSVLCDAEVALIIFSPRGKLYEFSSSSMQETIERFRRHTRSSQTSHVSDEQNMQHLKHETENLMKKIELLEASKGKLLGEGLGSCSLEELQDIENQLEKSVCNVRARKTQVYKEQIEHLKEKEKALIAENVRLSEQYGNQPKAETKDQKENQGNAESSSPGTDVETELFIGLHRSS >OIV99017 pep chromosome:LupAngTanjil_v1.0:LG13:4943461:4944798:-1 gene:TanjilG_32276 transcript:OIV99017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESVKPSAVTPSKSKLARAFAKVLHVRSVSEIAEVDRLKNAKIDPNLNDEEGNMLSESSYKDDEGLQKKVAAEALLAKLFASISTVKSSYVQLQYAQSPYDPDEIEAADRLIISELKILSELKRCYLNKQFDPSPERAILASESKELLSVIKTYKIMGKKLESQLRHKESEIIFLRKKLDETNRQDRLIEKNFNQSGSLSVFDNLYISGLSPSHFTTILRHIVRSVRSFVKVIVNEMRSAGWDIDASVNAITEQNVVYWNVDHKCFAIESFLCREMFDSFNSPNFCLSNESLPDKSELQRSFFARFNELNSVKASEFLALKPRSAFAKFCRVKYLKLIHPKMESSFFGSMNQRNLLNTGEFPDTDFFKSFAEMAKGVWLLHCLAFSFEPQASIFQVEKGCRFFDVYMECVNDEMPRLESEPKVAFTVVPGIRIGKTVIQCQVYLS >OIV99044 pep chromosome:LupAngTanjil_v1.0:LG13:5265691:5269303:1 gene:TanjilG_32303 transcript:OIV99044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCNQMLGSYVVAFVLMLSWYVELIDASAGDADPRYRNCVGQCEESGCVGQICFPNCKFSSDGEPIDRPWYMQEPLYVQWKKWDCESDCRYYCMLDREKEKELLNQGPVKYHGKWPFKRIYGMQEPASVAFSALNLAVHFHGWVSFFVLLYYKLPLQAGKKIYYEYAGLWHIYGLLSLNSWFWSAIFHSRDVDLTEKLDYSSAVVLLGYSLLVAILRSFNIRDEATRVMVAAPLIAFVTTHIMFINLYKLDYGWNMKVCVVMAVTQLAIWAVWGGVSRHPSRWKLWLVVFVGGLAMLLEIYDFPPYEGFLDAHALWHATTVPLTYIWWSFIRDDAEFRAADLVKKAK >OIV99366 pep chromosome:LupAngTanjil_v1.0:LG13:2295703:2296802:-1 gene:TanjilG_17176 transcript:OIV99366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGMNLLCPSTTSTAIAYSMYHRSKTTKNYDHDRRKTQPHVPSSSELPINPKPYFEKHRKSSSDKHDKTELRRKSTGEVNDLYTRDLSSVGSSKRYLLCDTDTTFVDSVSESDKISAMGPSHDDAKSLVNRNNYHALRSCSSRSKDQVVVLRVSLNCQACEGKVRKHISKMEGVTSFSIERETKKVTIIGDVTPLGVLASVSKVKNAQLWPSPTFSSSSVPLLSSPWST >OIV99626 pep chromosome:LupAngTanjil_v1.0:LG13:599387:602336:1 gene:TanjilG_17436 transcript:OIV99626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTPYSYSSSSTRITRRPKWHYPPPPTPRFLHFTTTTTTNNPPSPRADTNLINNNLEQPLFHHQQQGAACHHPPPIVVLDHHHHHHDQRRRERVESGGMLDEEKWKFEGEMLRAECNLLRMEKEIAVNKLNKTRSIINTTLTSTLKTLLSARINICNGINIGMVLDQQIHHLTQKLHKFQNRSRDKDSQVSVLQRRLKKEFQEMAKISLKVERSNAFDDNIVANGKLNVEILRRKMEGLSKGMLLQKMEEEYNSLLSTATSSVTGSASSSKRIEFQDTSSSIPEKVTREGNLCSGHCKTIIRRIVEQVRVETEQWSQMQEMLGRVREEMEELQSSRDIWKDRAMQSEFQTQPLHNAVQEWRQRAVASESKTNELEEKISLLNGELESLRKEKNAVHGTKCSPTPPLDTQNVSEKRVVVCNSKENRKHNEVLRNGESRSHAAARGGFQATKRSPLQDIGNNSSLSMRQNGKVVSPLHCHLSSNVEKTR >OIV99491 pep chromosome:LupAngTanjil_v1.0:LG13:1431182:1433224:1 gene:TanjilG_17301 transcript:OIV99491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEKSRILVIGATGNLGYHLAEQSLKLSHPTFVLVRDSAFSDPIKSHKLHSLSHSGATVLKGSLEDEASIVEAVKLVDVVICAVSAKQTLHQKLLIQVIKQLGSIKRFIPSEFGSDPTRVQVSEIVDGYNFYAPKLEIRRLVEAEGIPYTFISCNFFMSILLPSLVQPGLKSPPRDKVTIFGAGNTKGVFVKESDVAAFTINAVDDPHTLNKVLYLRPPGNVCSLNELVEMWETKIGKKLEKLHVSEEVLLKKIKETSFPANFELLFIYSAFIKGDHTYFDTESSSGVDGTELYPQLKYTTVSEFLDTLV >OIV98811 pep chromosome:LupAngTanjil_v1.0:LG13:12180835:12185386:1 gene:TanjilG_25057 transcript:OIV98811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMFDSFFSKGFKAAKCKTLLKLSMPRIKLLRNRREIQLKNMRREIAKLLETGQEATARVKVEHIIREENMMAAQEIIELFCELIVVRLPIIESQRCSSVIVVIFEINALDSWLDAHKMKKECPLDLKEAISSVCFVAPRCADLPELLQVQLLFGAKYGNEFLSAATELRPDCGVNRQLIELLSVRAPSPEKKLKLLKEIAVEHELDWDPAPSETEFFKKHEDLLNGPTQFVSGPTLPLHEEKHNEELHCSHDSPTKEQADSDSDSDTLEFPEVPKVSVWPGPSVATAPDTVTPLVMLPLEVDLDSLNHSGDFSGVNQEQAEERSSVPKDEPHTSFDKMGSKQFIPFISPPPVSPGSHSARLSDTPASLSAKFSDPPASLSTTKSEAEVDLKDVLDAANAAAESAEQAAAAARSAANLAQARINELTKKKSEHVPDYSSENPFYATGDNESTTESGHFDEPNIAGNSDRSGRDDFELHQDNYTSPGSHSPSFPSFDTLKPDFGASLPTDHVVDDKSSTHQPKRFPSLDNDPYFTYPNLFSSQSSNVESHTPSDNGRFTHDL >OIV98723 pep chromosome:LupAngTanjil_v1.0:LG13:14697045:14701539:-1 gene:TanjilG_24894 transcript:OIV98723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYTIPFTVDTDIGTKALNRSRASNSRSGLEESVTFVTVFTIYNSSLNNVDDKSSKTVVGNASYNKVDRSMAVLNVFVNFIQVAMPQSKVVILTDPASDLSVHRNSVSLYPIQGEYSRDKLMLQRIRSYIIFLETKLQNLPQNPRNITHYIFTDSDIAVVDDLGQIFRDHPNFDLALTFRNNKAQPLNSGFIAVKGTPDSILRAKLFLQEVLKIYSSKYINASRMLGDQLALAWVVKSNPHFDDRRFAKPLAFAEDIGNTSVLFLPCALYNWTPPEGAGQFHGMPLDVKVVHFKGSRKRLMLESWDFYSSSTEIEDMLCLILGSGRTKYDF >OIV98682 pep chromosome:LupAngTanjil_v1.0:LG13:15672723:15672978:1 gene:TanjilG_23974 transcript:OIV98682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEDNNVNPLRLLDYAVVSMPLPRIRIGWFTTAQPPSDGLRRFRIGMMIRSH >OIV99031 pep chromosome:LupAngTanjil_v1.0:LG13:5073026:5074633:-1 gene:TanjilG_32290 transcript:OIV99031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGIEDKKEQGQMDVNATDESTKMPSSEHQEEAVKKKYGGLMPKKPPLISKDHERAYFDSADWALGKQGVQKPKGPLEALRPKLQPTQQQTRYRKSPHAPSDEEGGSVQSDEAPSNE >OIV99066 pep chromosome:LupAngTanjil_v1.0:LG13:5524869:5528953:1 gene:TanjilG_32325 transcript:OIV99066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADASPRTDISTDADTDDKNQQFDRSLSHAGMVSDSSDRSKDKTDQKSLRRLAQNREAARKSRLRKKAYVQQLESSRLKLTQLEQELQRARQQGVFISSSGDQAHSMSGNGAMQFDAEYARWLEEQNRQINELRAAVNSHASDTELRMIIDGILAHYDEIFRLKGIAAKADVFHLLSGMWKTPAERCFLWLGGFRSSEVLKLLVNQLEPLTEQQVVGITNLQQSSQQAEDALSQGMDALQQSLAETLSTGSQNSSGSSGNVANYMGQMAMAMGKLGTLEGFIRQADNLRQQTLQQMHRILTTRQSARALLAIHDYFSRLRALSSLWLARPRD >OIV99582 pep chromosome:LupAngTanjil_v1.0:LG13:879953:884817:-1 gene:TanjilG_17392 transcript:OIV99582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLHRNTDKILADSIDANTDHVTVVYFHHSISYKYRGRLKAENILSSLFPYISLSPEEVPLKSLNTHQDLSRFLDSTDKAIVLVDFCGWTPKLLRKTNINGTRSPFAMHGGFSGDNDRMSFSNSRMKINQKVSEGSMCKADLSINKGFFEAPWLGEFASVNDGEFTSCTSEEFERFHSFYLKFMTSVKEFFLPPERHRFGLVSDRSMLSSLGIGDSGLWFAVHYIAGCSSCSTILKEENDLNYVMKMDNYYVKELEGNGRDQEPILPVNKPSVLLFVDRSSDSSETRGKSKDALGAFRVLAQHYHVADQTVTKNNEKVYHIQDHRGLKSTSDHPRLRLPVTAQINKLKEKMSSIMIINGDKQVSLDSVASDLQASSLNEILGYLIQKKKDKKLSSLAKDLGFQLLSDDIDIRTANTQQSQSEVQLNQIPTETSQESHTNTVKLDDDPYTHAGELEENPNLTELPDQHNEVKTPSIVTSEEIKPVQSEESVADHELSTPKVIKSETDGSSDGNNSGREEAHLLGFNGSFFYSDGNYQLLKRLTGGCRLPSLVIVDPLWQQHYVYPEESFFDFSSLDDFISKFVNRVLLPYQRSDHIILSQREATHPPFVNLDFHEVDSIPRITAHTFSELVIGFNLSSKENISNAWNKDVLVLFSNSWCAFCQRMEMVVREVYRSFKGYVDMLKNGSRNVKESLDYVTMKLPLIYLLDCTLNECDLILKSVGQGEVYPALVLFPAGKKQPLLYEGDMAVVDVMKFVAEHGIAVLWRSERVVRNQNLDDTLQTDIHDESLHKRNKYHVAPGHERMPEQMVKPNLMNSPVVTNGLHETLPQIMIGSVLIATEKLVGSRPFDGSKIVIVAADHITGFQGLIINKNIEWSFLPKLEEGFEKLKKAPLSFGGPVAKSGMPLLSLTRTVSGNSLPEILPGIYFLDQVLTIQKIEELKTANEAIGDDYWFFAGYSSWGWKQLYDEIAEGAWNLSEDGARLLKWPRL >OIV99347 pep chromosome:LupAngTanjil_v1.0:LG13:2443531:2445000:1 gene:TanjilG_17157 transcript:OIV99347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSCDTSTPVSSTLLSQSPTNSSSSFPITPPQVVMIPCAACKILRRRCAEKCVLAPYFPPTQPAKFTIAHRVFGASNIIKLLQELPESQRADAVSSMVYEASARIRDPVYGCAGVICNLQNQVNELQAQLAKAQAEVVNMQVQQANLMALICMDVAQSPHELSPQQSMDNFISSPPHSSDYQSSANFYEENSSLNLLWDQPLWT >OIV99284 pep chromosome:LupAngTanjil_v1.0:LG13:3047430:3053596:-1 gene:TanjilG_17094 transcript:OIV99284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELGSIVHFLQDKSILITGATGFLAKILLEKILRVQPNVKKMYLLLRAPDAKSATHRLHNEIIGKDLFKVLKEKLGENFNSFISEKLTLVPGDISRENLGLEDSILREEIYNQTDVIINLAATTNFDERYDISLGLNTFGVKYTIDFAKKCTKLKVLMHVSTAYVCGEGEGLILEKPYHLGNSLNGVSGLDIDEEEKVVHDKLGELQQKGATENEIKKAMKDLGITRANKYGWPNTYVFTKAMGEMLVEELRGNLSIVIVRPSIVTSTFKEPFPGWAEGIRTIDSLAVAYGKGKLTCFLGDPKALIDAIPADMVVNAMLVAMVTHANHPSDTIYHVSSSVRKPLRYGDIQDYGYTYFTAKPWINKDGKPVKVGKCVVLKNMDSFRRYMFIRYVLLLKGLELANTAFCQYFKGTYLDLNRKIQIVMRLVELYKPYLFFKGAFDDMNTEKLRMAARQGGVETDLFYFDPKVINWDDYFLNTHLPGAAKYIYK >OIV98865 pep chromosome:LupAngTanjil_v1.0:LG13:10106157:10122255:-1 gene:TanjilG_21200 transcript:OIV98865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSVAKLPFSLTIRSSLSKDATLREDWRNRSRPIPPGGTYPAKDHCSRCGLCDTYYIAHVKNACAFLGDGMSRIERLEPVVHGRGRKTDTLDETYLGVHEELLYARKINPVEGAQWTGIVTTIAIEMLKSGMVEAVVCVQSDPDDRLAPRPVLARTPEEVLAAKGVKPTLSPNLDTLALVEHSGYQKTYKRIASFFFWEGMRTYIKTFIEECDTCQRSKYSTLAPVGLLQPLPIPQQVWMDISMDFIGGLPRARGKDTIFVVVDRLTKYAHFFALGHPYSAKDVATVFLKGVVKLHGFPTSIVSDRDLMFLSHFWRELFKMAGTQLKLSTSYHPQTDGQTEVTNRCLETYLRSLRSVERYLNLDKLYVLGTNCVDNGTRDGLDKFLNAASHSPETVLHYEFMQDYKVHLKHLDGHIEEVPYFCLPANELVDVIAPSCYSCFDYTNALADLVVGYMGVPKYSGISMTQHPQYVTVRNERGREMLSLVENLLEIRPTTSAGNRRPFVMETVKADDNAKLGKGPSQPAPTFVGNLLAFILNLIGPKGLEFARYSLDYHTIRNYLYVNRMWGKERADKHMPTYAKKLVDLYNQNGQIEEMLSNK >OIV98559 pep chromosome:LupAngTanjil_v1.0:LG13:17312655:17314890:1 gene:TanjilG_12145 transcript:OIV98559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFATEVIPDKHLQRVPLHSSESTFSSSPKRLEGKIAIVTGGARGIGEATVRVFVKNGAKVVIADINDEEGTKLVNSLSPSATYFHCNVSSEEDIENLISFTISHYGKLDIMFNNAGVLGNQSKNKSIVNFDPIEFDKVMSVNVKGMALGIKHAARVMIPREVGSIISTSSVAGVMGGLGPHAYTASKHAIVGITKNTACELGRYGIRVNCISPFGVATSMLVNAWRTKNEAQDDEECNNFRVPFQEEMEKMEEFVREMANLRGTTLRPKDIAEAALYLASDESKYVSGHNLVVDGGVTSSRNCVGL >OIV99250 pep chromosome:LupAngTanjil_v1.0:LG13:3399681:3400955:1 gene:TanjilG_06555 transcript:OIV99250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTLLYNALIRANHIAGLTHKSLLLFTQMLSNQVPPNSYTFPPILKSAATYPPHLGTALHSQVLKRGVLSDPFIQTTLVVTYSRNNRVDHAWKVFVEIPFFCVVACNAMLNAFCTNGDIEGALSLFERMPNRDVVSWTTIVNGFALNGSYEAAIRLFGMMMAHKDVVDCLVKPNEATFVSVLSSCANLDSRAALDCGKQVHGYIVMNEVNLGIFVGTSLINLYGKMGCLNYAVNVFDRMVSREVCTWNAMISSLASNGKEKESLDMFEKMKVEGLQPNSITLVAVLTACAHGNFVREGLELFRSMSRDFKVVPIMQHYGCVIDLLGRAGHLQEAADIIRNMPFQPDASVLGAFLGACRIHGAIELGEEIGRKMLKLQTEHCGQYVLLSSMNAEKERWDRAANLRKDITEAGIQKIPAYSMVHLT >OIV99440 pep chromosome:LupAngTanjil_v1.0:LG13:1760820:1764316:1 gene:TanjilG_17250 transcript:OIV99440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKDKSPGHGGGFPPPSARFSGYSPAAAPFNVRSEPSSSYPPLVDSASASFIHDISRMPDNPPRNRGHRRAHSEIITLPDDLSFDSDLGVVGGADGPSFSDETEEDLLSMYLDMDKFISSSATSPFQVGESSTAAAAPTSRAPASSADDIVVGTNQRPRVRHQHSQSMDGSTTIKPEMLVSGSEDISGVDAKKSLSAAKLAELALVDPKRAKRIWANRQSAARSKERKMRYISELERKVHTLQTEATSLSAQLTLLQRDTTGVSSENGELKLRLQTMEQQVHLQDALNDALKEEIQHLKVLTGQGIPNGGPMNFASYGGGQQFYPNNNQGMHTLLAAQQLQQLQIHSQKKQQQQQQILQHQFQQQHQFQQHQLQQQQPPQHPQQQQPPQHPQQQQPQHPQQQPQHQQQPQQEQ >OIV98854 pep chromosome:LupAngTanjil_v1.0:LG13:10233996:10237780:1 gene:TanjilG_12022 transcript:OIV98854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLGVVGFDGLVGSNTTTTTTTSDDGFVSLALDPETKYKGYGSGGFLKQERSSTITNEDEWRSSKVAKTHGDLFASSSCSKPMLLQERDSLLRSNSSNNNNATLFCDGQQMLSFSSPKSETSSNATLHFSYHPYSRDTGYSSGGMHGAITGSRGLFTPSQWMELEHQALIYKYITANVPVPSHLLFPIRKALDSAGFCNFSNGVFRSNALGWGAFHLGFSNSTDPEPGRCRRTDGKKWRCSRDAVVDQKYCERHMNRGRHRSRKPVEQGQLGHAGIATTTVTTTTPNATTNNNNGCSSSLSSLMPVNCASSTLTIVPHQEHKNTQHPLASNTSPANSINRIFMNNNEENNASERMQDSLAIPMLPPTLELRPKENIRFMIHKQQIQSYEESTRNDNEFGLVTSDSLLNPSQKSSTFLNTKTFSPSSQNQDTEPQHSLRHFIDDCPNDDMQSDQTQLSISIPMAASSDFMSFSSSTTNEKLTLSPLRLSRELDPIQMCLGVGSGINESNTRQANWVPITWESCSMGGPLGEVLNLSNNNNNASEHCSRNSSALNLMTEGWDNSPPIGSSSPTGILQKTAFGSLSNSSAGSSPRADNNNKI >OIV99163 pep chromosome:LupAngTanjil_v1.0:LG13:4569008:4569707:1 gene:TanjilG_01138 transcript:OIV99163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNDKSRRKNSISGDSFSFPSTPNQDSEFEFGSLTPDSPSSDPCRTSPADKLFFNGRLQPHSFPVIFHRPIGPAIATSHTSSISSKDSLVSSRSNSTNSSRSSARTSSSDNSERRLFHNKVSVSLTSSYSKGSYTVNRSVALGHNKQYRCSRRWQYITPVPALNRDASKRRSGDMKQRKKEEENAMPWNRPRSKIKRSGCKKM >OIV99641 pep chromosome:LupAngTanjil_v1.0:LG13:489415:506603:1 gene:TanjilG_17451 transcript:OIV99641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSYQFGDSGIIDKQFLTAPVKSAVDKFQLLPEFLKIRGLVKQHLDSFNYFVRTEIKKIVRANDIIKTTKYPHIYLRFLDVRIGNPSITIDGTSEKVTPQLCRLSDRTYSAPILVDVEYTQGSHDNPRKEKKIDVVIGQMPIMLRSCCCVLHGRDEDELAKLGECPLDPGGYFVIKGNEKVMLIQEQLSKNRIILDTDKKGNFTASVTCSTEQFKTKTVIVMEHEKIWLQLNKFSKKVPIMVVMKAMGMESDQEVVQMVGRDPRYSLLLLPSIEECSKSGVYTREQALEYLDSKVNSPMYANSSFEKVFNSLSLSREGRAFVALRDVFLANVPVHQNNFRPKCIYVAVMMRRMMDAILNKDAMDDKDYVGNKRLELSGQLVSLLFEDLFKTMISEVKSSADKRLDKPDKARMFDMCQLICNQQQITKGLEWTLSTGNFDIRRWNMNRKGMTQVVQRLSFISALGQMTRVQPQFEKSRKVSGPRALQPSQWGMLCPCDTPEGEACGLVKNLALMTHVTIDEEEAPLISLIPTSSTTHHWPTIVCRPLPTTGNHYPKTNQSTRSRNKKENGQHWPSAVQLSCRMQIQTLYWDNRFERWSKGVSRFQSMSYVRGERCRMMCVVDGSGRLCYSLGVSNMEHLSGEELHTPDSFLVFCNGLILGKHRRPQCFATAMRKLRRAGKIGEFVSVYVNEKQHCIYLASDGGRVCRPLVIADKGVSRIKEHHMKELIDGVRTFDDFLRDGLLEYLDVNEENNALIALYEGDATPETTHIEIEPFTILGVCAGLIPYPHHNQSPRNTYQCAMGKQAMGNIAYNQLRRMDTLLYLLVYPQRPLLTTKSIELVGYDKLGAGQNATVAVMSYSGYDIEDAIVMNKSSLDRGFGRCIVMKRYSAIKQKYANGTSDRILRPDKFGDNASREQILDDDGIAAPGEKITASDIYIKLQSPIDTRSQHTGSVANLPSSAYRSSFQTLKPAHAHAGQVVDRVLLCSDKDNNMCIKFLIRHTRRPELGDKFSSRHGQKGVCGTIVQQEDFPFSEKGICPDLIMNPHGFPSRMTVGKMIELLGGKAGVSCGKFHYGSAFGERSGHADKVETLSETLVKAGFNYSGKDFIYSGITGCPLQAYIFMGPIYYQKLKHMVLDKMHARGNGPRTMLTRQPTEGRARNGGLRVGEMERDCLIAYGASMLIFERLMLSSDPFEVQVCRSCGLLGYYNHKLRTAICSSCKKGDKISTMKLPYACKLLLQELQSMNIVPRLKLADA >OIV99097 pep chromosome:LupAngTanjil_v1.0:LG13:6083841:6084148:1 gene:TanjilG_32356 transcript:OIV99097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLQGFLGYDVLSKPPPRMVHYGSASLRWVTVVPNRSDDLGSLMVEPEPIKAYQRPTPCRLSRGISP >OIV98561 pep chromosome:LupAngTanjil_v1.0:LG13:17288567:17290289:-1 gene:TanjilG_12147 transcript:OIV98561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSSSSSKGKERNGRVPLSGVVADCRKRWFRDTLKEAKGGDINMQVLVAQMYYSGYGIPRDAQQGRFWLTKASRVRSSVWKVGEKCPGYNASDSDSDELEEDS >OIV99524 pep chromosome:LupAngTanjil_v1.0:LG13:1200729:1203344:-1 gene:TanjilG_17334 transcript:OIV99524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFRLPGLQRRTHVRKGYLAVYVGENQMKRFVIPVSYLNQPSIQELLSQAEQEFGFDHPMGGLTIPCREDVFLDITSRLHKSCLQTVSSGKSSQKVGASFTASQASSKGAAVSKGYLAVYVGEKQKRFVIPISYLNQPSFQDLLSQAEEEFGYDHPMGGLSIPCNEEVFQNITSCLNGL >OIV99469 pep chromosome:LupAngTanjil_v1.0:LG13:1603733:1606821:-1 gene:TanjilG_17279 transcript:OIV99469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVSAHICLLPLTRTNLAVPENLIRIQTRKPFSLRCSGDSTPAVADSDFDAKVFRKNLTRSKNYNRKGFGHKEETLQLLNREYQASDIIKTLKENGYEYTWGNVTVRLAEAYGFCWGVERAVQIAYEARKQFPTESLWLTNEIIHNPTVNKRLEEMEVQTIPVEGGKKQFEVVNKGDVVILPAFGAAVDEMLTLSDKNVQIVDTTCPWVSKVWNSVEKHKKGDYTSIIHGKYSHEETVATASFAGKYVIVKNMAEAEYVCDYVLGGELNGSSSTKEAFLEKFKFAVSEGFDPDNDLIKLGIANQTTMLKGETEEIGKLLERTMMRKFGVEKVNEHFISFNTICDATQERQDAMYKLVEKDLDLILVVGGWNSSNTSHLQEIAEERGIPSYWIDSEQRIGPGNRISHKLNHGELVEKENFLPAGPITIGVTSGASTPDKAVEDALTKVFDLKREEALQL >OIV99405 pep chromosome:LupAngTanjil_v1.0:LG13:2029211:2030847:1 gene:TanjilG_17215 transcript:OIV99405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHRVDHEYDYLFKIVLIGDSGVGKSNILSRFTRNEFCLESKSTIGVEFATRTLQVEGKTVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDITKRQTFDNCQRWLRELRDHADSNIVIMMAGNKSDLKHLRSVSEDVGQSLAEKESLSFLETSALEATNIEKAFQTVLGEIYHIVSKKALAAQEASGATSLPGQGTTINVADSSVNTKRACCST >OIV99370 pep chromosome:LupAngTanjil_v1.0:LG13:2273891:2282283:-1 gene:TanjilG_17180 transcript:OIV99370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRISTDEPSSRRAKVIDLRLAVEELNGINSQDLYRLLKDAENFTIHYLTKKESLLKIDMDKLVKHLPVHLTEVLMRSGRDEGVFRYLLCGIRLLHSLCNVAPRLPKFDQIFLDDVVILEQLMDLVFYMLVVLSGYRQEDNAFSHMYLLHSALVACDLCLLTGFISPQWRETVPVLLAHPKVDMFMEAAFGSVRMVVRFLEITLVACYLDFSMESNLTAEQVLYYLCQQCEASLQFLQSLCQQKLFTERLLKNKELCRKGSILFLAQSILKLDSQHSFPTRIVAAISRLKAKILSILLSLCEAESLSFLDEVASSSQSLDVAKSIALLVLDLLKTAFGRDSGHLTAADRSCPMGLLHLNAMRVAAILTDDSNFRSYIIDCFTDVLSAIISLSHGDFLSCWCSSNLLETEEDATLEYDTFTAVGWILDNTTSPDQQNPTILELSLIPKSMSRAYYAHHRTSLFVKVIANLYCFLPDICKEQERNHFILKFLHCLQMDLSNLLPGFSFPSDAPKAATASKNLRSLIIQAESLVPDFLNPEDVQLLRVFFGQLQSLITSTAFEGNRVQDAQITRGCSPSLRVNINHAELNKRSGNRKGGMSETPAFPGIFQHNTTAENTNQGRDLSRKERIEGKGISGKIVFGGTRDVDKDLQNAETSDSDAIKMVGESPENEKTETVQRRKRKRTIMNDEQLTLIERAILDEPDMQRNAASLQSWAEELSLHGSEITSSQLKNWLNNRKARLARTVKDVQPDVGNRVPEKQRGLILGSHDSPDSIRHASKARKDLNLTRIASGDNPDPSIAKFVDPGHPKHVRCVAGQYVRVLDPWRNDIGKGKIVQVHGTWYGKRLEKSQACVVDVYELNVDKGLPLPFPSEATGTSFAEAETKFGVMRVLWGFHNLHVLQSE >OIV99319 pep chromosome:LupAngTanjil_v1.0:LG13:2669941:2674147:1 gene:TanjilG_17129 transcript:OIV99319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLQLKFAFAFVISLHCIQHSFCDKPSNTSALSKWKCRCSSFQGNQSYSLANCSRSCDCHPDAEESASIWTCICDPDGFPEVAADGHSPKCFTACNCSWGTVSMPIGSKKQIPSKIVVVILLICVLCTTLAFLISVIFYVYRRDRCPIRSPSSSSGKETSSGSTTNLISHRTAASSVPETRVTTNSPICHIIGCFPKGSFMFGSRKETFHGNIIQFSFDVLENATENFSASNLIGLGGSSFVYRGRLKDGSDVAVKRLKDQGGPDADSAFFKEIELLSRLHHCHLVHLLGYCSELKGKLVQRLLVFEYVTRGNLRDCLDGVAGNNLDWATRVTIAIGAARGLEYLHEAADPRILHRDVKSSNILLGENWHAKISDLGMAKSLTSDDLPSCSNSPARMQGTFGYFAPEYAIVGRASLESDVFSFGVVLLELISGRNPIHKSTGKVESLVVWAVPRLQDRRRVITELIDPKLNGNFPEEEVQIMAYLARECLLLDPDTRPTMSEEQEDAAKQRHALSHSSLTLDIDHKNKEADGHEDNIMLLTSKTKRWQTSEEEMVDLTEPRFESFCIANVHSP >OIV99111 pep chromosome:LupAngTanjil_v1.0:LG13:6255975:6264479:-1 gene:TanjilG_32370 transcript:OIV99111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMYGDPHNHHHQQQQQQQQQQPPPPPHFQQQQQPGPEFHRGPPPPQMMRQPSASSTNIPPEFHHPAPGGPHPPHYDVHGDIHGAKRMRKLAQRKAVDYTSTVVRYMQIRMSQRDSRDRTVLQPTPAAAIDMLPAVGYSDNPSTSFAAKFVHTSINKNRCPINRVLWTPTGRRLITGSQTGEFTLWNGQSFNFEMILQAHDQAIRSMVWSHNDNWMVSGDDGGAIKYWQNNMNNVKANKSAHKESVRDLSFCRTDLKFCSCSDDTTVKVWDFARCQEESSLTGHGWDVKSVDWHPTKSLLVSGGKDNLVKLWDAKSGKELCSFHGHKNTVLCVKWNQNGNWVLTASKDQIVKLYDIRAMKELESFRGHQKDVTALAWHPFHEEYFVSGSYDGSIFHWLVGHETPQVEVTSAHDNNVWDLAWHPIGYLLCSGSSDHTTKFWCRNRPGDTVRDRFNNGMQGYAEQNPVPGRVGGNFAMPEGPTTPGPFPPGLTRNEGTIPGVGVAMPILDVPHGEQIQPHPGSMGAPPLPPGPHPSLLNANQQRLYQQNPQQIPQHQHQGLPQQMGPLPMPPNMPQLQHPSQSSMAPYPHLPRPPPQMPLGMPGPTSHPVPGPMGMQGGMNQMGPPPPMPQGHYGGMNQMHSGSLPPSGGPPVGGFPGNMPNMQGPPNTGYPQGSFNRPQSGQMPLMQGYNPYQKVQYLKRNLLILLAYLMQSGNQSGMPPNPQSGAPHSQMPK >OIV98989 pep chromosome:LupAngTanjil_v1.0:LG13:7249140:7252207:-1 gene:TanjilG_29392 transcript:OIV98989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIFLFFFLNIALVTSSHLEPGFYLNTCPEAESIVRDVMKKALNQEPRSLASVMRFQFHDCFVNGCDASMLLDDTPNMLGEKLALSNINSLRSYEVIDDVKEALEKVCPGVVSCADIIIMASRDAVALTGGPDWEVRLGRLDSLIASQEDSNDIMPSPRSNATSLINLFHKYNLSVKDLVALSGSHSLGQGRCFSIMFRLYNQSGTGLPDPEINQIYREQLNKVCPHEVDQNVTVDLDATPLIFDNQYFKDLVAGRGFLNSDQTLYTFPETRELVRLYSRDQDEFFNAFVEGMLKMGDLQSDQPGEVRRNCRVSNACPANNLLFGFE >OIV99314 pep chromosome:LupAngTanjil_v1.0:LG13:2720628:2721017:1 gene:TanjilG_17124 transcript:OIV99314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLTKRYVLRLFISLKYITANVVDRNNGRIVATSSSVEQSIKKSLECGRSCNAKAATIVGEVLAMRLKVDGLNEGQGRGIHVNVAKEIEKKGFKNQTKVWAIVNALKNHGVKLVLDDQDDSNNSWPSHS >OIV99555 pep chromosome:LupAngTanjil_v1.0:LG13:1043631:1046151:-1 gene:TanjilG_17365 transcript:OIV99555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIPKLPSSVPTATKWLGLVAAVWIQSISGNNYTFSNYSDALKSLMFLTQVELNNLSVAKDVGKAFGLLAGLASDRFPTWLMLLIGSVEGLIGYGVQWLVVNQTIKPLPYWQMCIFLCMGGNSTTWMNTAVLVTCIRNFRRNRGPVSGILKGFVGLSTAIFTNICSALFSDDPASFLLMLSIVPFAVSLSGIFFLREIPAVKYTTASEENEEARYFGVFNGLAVVIAIYLLIFGFLPNPTPLVSTAFAVVLLLMLALPLGIPVYSFFKDRFEPVLDMEEQGVNEPLLENEENVNESDDGEKTKTRVKVGEEHTIIEALSSVDFWIMFVSFLCGVGTGLAVQNNLGQIGLALGYTDVSLFVSLISIFGFFGRIISGTVSEHFIKKAGTPRPLWNAASQILMAVGYILLAMAMPGSLYIGSVIVGLCYGVRLAITVPTASELFGLKYYGLIYNILILNLPLGSFLFSGLLAGILYDREATAIPGGGNTCVGGHCYRLVFVVMTGACVVGFVLDILLYIRTKNAYNKIYLSRKPNKSSGAATRQLS >OIV98897 pep chromosome:LupAngTanjil_v1.0:LG13:7598576:7601365:-1 gene:TanjilG_07332 transcript:OIV98897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPYATQIVLLILSLVTILHFSACNATRTCNEKERNVLLTFKHGLSDPSNRLSSWSGEDCCKWEGIRCNNITGQVMEINLSTPLDSTYMELRGEISPSLLELKSLIRLDLSLNYFVRTRIPNFLGSMESLRYLDLSLSGFMGLIPHQLANLSNLQHLNLAYNYALKVDNLDWISTLSSLEYLDLSGVDLHEEFDWIQVLGTLPSLSELHLENCQINNLTPTNGKANFTYLQVLHLSNNNLNQQIPSWLFNLSKTLVKLDLRSNFLQGGIPQMISSLQNLRTLDLQGNQLSGALPDSLGKLKHLEVLDLSNNTITGPIPSSFLNLSSLRTLNLGHNQLNGSIPKSLGFLRNLQVLNLGANSLTGGMPVSLGILSNLVTLDVSSNMLEGPIKESNLLKFSKLKELRLSSTNLFLRIHSSWVPPFQLEYVLLSSCRVGPKFPAWIKTQSSLKVLTMSAAGISDLAPSWFWNWTLQIEYLDLSNNLISGDLSNVFLNSSIINLSYNLFTGRLPSVSVNVEVLNIANNSISGPISPFFCGKMSAITKLTVLDVSNNILSGDLGQCWVHWQALMHLNLGKNNLSGEIPSSIGYLSALESLLLDGNDFSGYIPSAMQNCSMLKFVDMGNNQLSDSIPAWMWELQYLMVLRLRSNKLEGSISQKMCQISSLIVLDLADNILSGTIPGCLDNLKAMAGEDDFYANPLKYYYGFDFNYDNYRESLVLVPKGDELEYRDNLILVRMIDLSSNMLSGTIPPEISKLYALRFLNLSRNHISGEIPKEMGSMKLLESLDLSLNNISGEIPLSLSDLSFLSFLNLSFNDLSGRIPTSTQLQSFEALSYTGNPELCGPPVTNNCTKGKDLLESASVGHGDGSFIETSSFYMGLGVGFAAGFSGVCSNIFFNRACRHAYFRYLDRFKDLIYVTTVLKVRKLRAKL >OIV99237 pep chromosome:LupAngTanjil_v1.0:LG13:3526105:3526811:-1 gene:TanjilG_06542 transcript:OIV99237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVAIVELHRNSGNCIKTVEEIVKLERKIFPKHESLATFFDDELRKKNTGLLCLHVDGELAGYAMYSWPSSLFASITKLAVKEQWRGKGHGEALLKAAIEKCRTRKVSRIMLHVDPMRTPALNLYKKHGFQVDCLIERYYSSDRNAYRMYLDFDESK >OIV98842 pep chromosome:LupAngTanjil_v1.0:LG13:11485532:11486473:-1 gene:TanjilG_08498 transcript:OIV98842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDAVNKNEEEEFNTGPLSVLMMSVKNNTQVLINCRNNKKLLGRVRAFDRHCNMVLENVREMWTEVPKTGKGKKKAQPVNKDRFISKMFLRGDSVIIVLRNPK >OIV98720 pep chromosome:LupAngTanjil_v1.0:LG13:14784112:14784360:1 gene:TanjilG_24891 transcript:OIV98720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGGAAPARGSAAATAGMRRRRTAGGGGASGGAAGTMLQFYTDDAPGLKISPNVVLVMSIGFIAFVAILHVMGKLYLHKTA >OIV99338 pep chromosome:LupAngTanjil_v1.0:LG13:2516124:2517553:1 gene:TanjilG_17148 transcript:OIV99338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNRFICEICNKGFQRDQNLQLHRRGHNLPWKLKQRTNKDPVRKKVYVCPEKSCLHHDPCRALGDLTGIKKHYSRKHGEKKWKCEKCSKKYAVQSDWKAHSKICGTREYKCDCGTLFSRKDSFVTHRSFCDTLTQECVKVPSAISNLRSINLTNNNTQVPRIPQSFPEFHSEFGGKLSAFSSNANTVPCTHMSDLVETMNMFGSTPQQTQWLNYQASFTNGNNNNLSMPLSALLPHGLKQEQEENKQDLSHTAANSVYFMSATSLFNTDNSSSTFNNNNNNHNVFGLMGSNILSNTSRINNNNIVEVQKFFKEGNLNHGQMNFEGNSTNYEGGFSMDDSSSNNNKDQLGLTRDFLGVGDESITISRPFDLAEFNAMGSAMEVNNSHYDGHYC >OIV98537 pep chromosome:LupAngTanjil_v1.0:LG13:17643354:17644617:1 gene:TanjilG_12123 transcript:OIV98537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTPISNVASKLRSRSGRTPLQPINSDNQHSSPLNPKLSISSHKTKPPIATTTLPDSTLAEELEAIKKRIERLRNDREITEKILNEREMILNEKMNDLEQRGEIQKDMEIQVDRLFRLKELKFRCMRVSPMRTLREKEQQKIVNQSPSPSKVKSEETVVASESESECREECEIQSPGSACSKTDTHTNIDKI >OIV99110 pep chromosome:LupAngTanjil_v1.0:LG13:6253679:6255009:1 gene:TanjilG_32369 transcript:OIV99110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIAAESQFHVLAVDDSRIDRMLIERLLKTSSFHVTTVDSATKALKILGLVEDDLRNFDTNVASKFHQDVEVNMIITDYCMPGMTGYDLLRKIKESKSLKDIPVVIMSSENVPSRINRCLEEGAEEFFLKPVQQSDVNKLKPHLLKSRVKEEQDQPINNKRKGMEESYSPNKNRQKM >OIV98934 pep chromosome:LupAngTanjil_v1.0:LG13:8574861:8576759:-1 gene:TanjilG_07369 transcript:OIV98934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSRQLLPSPPQHHNHHLLTPILAATLCFTSLLLLFIFFYLRAKKPTTPPPDSKPPHRYSYSLLRRATNSFSTHLGHGGFGTVYSGNFPIGKSIAVKLMDSSSYQGEREFHNELFFASNLHSRYIVTAFGFSSDSKRRRFLLVYDLMQKGNLQDALLHRKCPELMDWKKRFNIVLDIARGVQYLHSCDPPVIHGDIKPSNILLDGEFFAKIGDFGLARLKSEPNFEVFHGDGVVEEKKKGEEGEELACEGGIGVDDCGGSVIEETESVNCEEGSLGLEQSPEGFVRVPILETSPQTIASPETVLDKVSVKSEKNDVKKNGKWVKSNSMRDWKVKEHVMEWIGKEVNKEKPKSEWFGEPKLVKAEKKKRNDQLEWWESMEEETIDADLKKKRRPVREWWKEEHSEELARKKKKKKHQTRNGVNSDDWWVSDDALNGDKKKRNRRSRNNRGGADLGLDGLSGDPWRARMNSYDSAASGEIPKSGGVSSTPSMRGTVCYVAPEYGYGGDVSEKCDVYSFGVLVLVVISGRRPLQVNGSPMSEFQRANLLSWARYCARKGKLIELVDQSIQSLDKEQALLCIKVVLLCLLKSPARRPSMKEVLGMLSGELEPPQVPVEYSPSTPSRFPFKSRNKGR >OIV98998 pep chromosome:LupAngTanjil_v1.0:LG13:7342275:7343559:-1 gene:TanjilG_29401 transcript:OIV98998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSGINTYTLINKAWKTHYVKFHSKPTCGLKCLPEEEAFKVGGSNHNHATQHLYDSIAAGNYREWKLYIQTINPDHEDRYDFDPLDVTKTWPEDILPLQPVGRLVLNKNIDSFFNENEQLAFCPAIVVLPVNAPKCAHHNNHHEGFMNFMHRDVEVNYFPSRYDPVRHAETHPIPSAIFNGKHEKCIIEKENNFKQPGERY >OIV99244 pep chromosome:LupAngTanjil_v1.0:LG13:3458373:3464509:-1 gene:TanjilG_06549 transcript:OIV99244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCICSKSSAVEDSPEGIENKLSPSSTRPSELSSLRLNSTKKVEAVSVKDKSLDSHSSDVKVSLVNKKDNGSVKLYDDENRMNRIEKPELAVFDHPSFGRVPKAVEGEQVAAGWPYWLSSVASEAINGWVPRSANTFERLHKIGQGTYSTVYKAHDLTNQKIVALKRVRFHNHDPESVKFMAREIHVLRRLDHPNVIKLEGLITSSMSHSLYLVFEYMEHDLTGLASNPAIKFSEPQLKCYMHQLLSGLDHCHSHGVLHRDIKGSNLLIDNNGVLKIADFGLASHFDPDHNVPLTSRVVTLWYRPPELLLGANHYGVAVDLWSTGCILGELYTGRPILPGKTEVEQLHRIFKLCGSPSEDYWLKLRLPHLTIFKPANNYRRCVAEAFKEYPSPAVRLIATLLSVDPEHRGTAAAALKSEFFTSEPLACDPSSLPKYPPSKEIDTRLQDEANRREGAVGGKEQKVWSGVRQEKGPRAHVTAADPGMLSQPDLRYSISKNQGELRNPHREPVSGFLLFPPNKHSEDVKETGNNISGRPRKRPSRSGPLVPGYRWVKSGKEVDDGDPVSNRMNQSKLYGLTASRPMSSQDAEEKPVQLHHGKPIEVRKSVESTSGSDSRRQDQRRIDDLTQIESGRVPSEKLTPDKHVVRKNKIYMSGPLLAQSNNMDVMLKEHERKIQEFSRKAIIEKARARSDKLNAQPK >OIV98993 pep chromosome:LupAngTanjil_v1.0:LG13:7285395:7290395:-1 gene:TanjilG_29396 transcript:OIV98993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGGNSLPSGSDGVKRKVSYFYDPEVGNYYYGQGHPMKPHRIRMTHALLAHYGLLQHMQVLKPAPAKDRDLCKFHADDYVDFLRGITPEAQQDQLRQLKRFNVGEDCPVFDGLYSFCQTYAGGSVGGAVKLNHGVCDIAINWAGGLHHAKKCEASGFCYVNDIVLAILELLKVHERVLYVDIDIHHGDGVEEAFYATDRVMTVSFHKFGDYFPGTGDIRDIGYGKGKYYSLNVPLDDGIDDESYMSLFKPIMGKVMEVFRPGAVVLQCGADSLSGDRLGCFNLSIKGHAECVKYMRSFNVPLLMLGGGGYTIRNVARCWCYETGVALGMELEDKMPQHEYYEYFGPDYTLHVAPSNMENKNFRDLLDDIRSKLLDNLSKLQHAPSVPFQERPPDTELHQRDEDQDDKDERWGPDSDTELDDYSNPVPGRVKREYIEVDRNDSGNYHKHSDRGRDLDMPFKENASSKVSVVVPMAVDEPSIKEEQDISKEVSDQRLR >OIV99332 pep chromosome:LupAngTanjil_v1.0:LG13:2559793:2560293:-1 gene:TanjilG_17142 transcript:OIV99332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPKFDPSQVVDVYVRVTGGEVGAASSLAPKIGPLGLSPKKIGEDIAKETAKDWKGLRVTVKLTVQNRQAKVSVVPSAAALVIKALKEPERDRKKTKNIKHNGNISLDDVIEIARVMRPRSMAKELGGTVKEILGTCVSVGCTVDGKDPKDLQTEIDDGDVEVPQD >OIV99406 pep chromosome:LupAngTanjil_v1.0:LG13:2022863:2024499:1 gene:TanjilG_17216 transcript:OIV99406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHRVDHEYDYLFKIVLIGDSGVGKSNILSRFTRNEFCLESKSTIGVEFATRTLQVEGKTVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDITKRQTFDNCQRWLRELRDHADSNIVIMMAGNKSDLKHLRSVSEDVGQSLAEKESLSFLETSALEATNIEKAFQTVLGEIYHIVSKKALAAQEASGATSLPGQGTTINVADSSVNTKRACCST >OIV98899 pep chromosome:LupAngTanjil_v1.0:LG13:7617725:7624680:-1 gene:TanjilG_07334 transcript:OIV98899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEHENNGWGLPRHFRDGIWWQFIKTLSRSTVLVVFSVVLIVGALFYTRMLDTHLLSRDSSTKPLLTSKIYDTRPFLGHEIPKNPHNQIEIPLDCNTHNLTKSCPTNYPTTKDNDDLSPSATCPDYFRWIHEDLRPWAHIGITKEMVDRAKETANFKLVILNGKAYLETYEKAFQTRDTFTLWGILQLLRRYPGMVPDMELVFDCVDWPVVPMDRYSGPDAMDPPPLFRYCGNDVTMDLVFPDWSFWGWPEVNIKPWDILLRELKEGNTRKSWLNREPYGYWKGNPEVAETRQDLMKCNVSDTQEWNARLYAQDWGKESQQGYKQSDLASQCTHRYKVYIEGSAWSVSEKYILACDSVTLLVKPHYYDFFTRGLNPLHHYWPIKEDDKCKSIKYAVDWGNSHKEEAQNIGKAASNFIQEELKMDYVYDYMFHLLNSYGKLFTYTPSLSPEATELCVESMVCKAEGREKKFMMESLVKGPSNTKPCTMPPPYDPPSLHAQLKGKNSSIEQVESWEKSYWDKNMKS >OIV98694 pep chromosome:LupAngTanjil_v1.0:LG13:15150011:15160557:-1 gene:TanjilG_24865 transcript:OIV98694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTMESLIGLVNRIQRACTVLGDYGGDDNNNFSSLWEALPSVAVVGGQSSGKSSVLESIVGRDFLPRGSGIVTRRPLVLQLHKIESGAQEYAEFLHLPRRKFTDFSFVRTEIQDETDRLTGKTKQISPIPIHLSIYSPNVVNLTLIDLPGLTKVAVEGQPENIVQEIETMVRSYVEKPNCIILAISPANQDIATSDAIKISREVDPSGERTFGVLTKLDLMDKGTNALEVIEGRSYRLQHPWVGIVNRSQADINKNVDMIVARQKEREYFATSPDYGHLANKMGSEYLAKLLSQHLESVIRARIPSITSLINKSIEELESEMGHLGRPIALDAGAQLYTILELCRAFERIFKEHLDGGRPGGDRIYNVFDNQLPAALRKLPFDRHLSLQNVRKVVSEADGYQPHLIAPEQGYRRLIEGSLSYFRGPAEASVDAVHFVLKELVRKSIAETQELKRFPTFQAELAAASNEALERFREEGKKTTLRLVDMESSYLTVEFFRRLPQELEKTATPAPSDVDRYAEGHFRRIGSNVLSYIGLVSDTLRNTIPKAVVYCQGKQLSQMLDEDPALMERRQQCAKRLELYKAARDEIDSVSWVR >OIV99568 pep chromosome:LupAngTanjil_v1.0:LG13:969583:973874:-1 gene:TanjilG_17378 transcript:OIV99568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLGSGIRMASESNTGFHCEDIDSALNRHAISFQSGAMTMSNLSQMVPMGNYFGLNNINSGMIYSGNSTMINSNPVISQPVNPSGSSSLLLDSVPGLKHDAGLAVEWSVEEQYKLEEGLVQYADEPSIMRYIKIAALLPDKTVRDVALRCRWMTRKRRKPEEHNMGKKVNNRKDKPVESASKTNLLSAFPPSMGTYSHISHHMDQSQRILYDGVCDPVKQLMEQNAQAFSQITANLSTYKHERDVWHYEPNAATARYPK >OIV98742 pep chromosome:LupAngTanjil_v1.0:LG13:14286973:14290455:1 gene:TanjilG_24913 transcript:OIV98742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTVYFQGGDSITQKAKFEQENEGNVNEETNRVEGGNKDEEQPVDSSRETTIGTSNSVVSTVARTEKSGYDQLPKELNEMKIIDEKGKTNNEKDIEATVVNGNGTEPGQIITTAIGGRDGQPKKTISYMAERVVGTGSFGVVYQAKCLETGEAVAIKKVLQDKRYKNRELQVMRMLDHTNVLKLKHCFYSTTDKDEVYLNLVLEYVPETVYRVSKNYIRMHLNMPMLLVQLYTYQICRGLNYMHHVIGVCHRDIKPQNLLVNPRSHQLKICDFGSAKMLVPGEPNISYICSRYYRAPELIFGATEYTTAIDIWSAGCVLAELLLGQPMFPGETGVDQLVEIIKILGTPTREEIRCMNPNYTEFKFPQIKAHPWHKVFHKKMPREAVDLVSRMLQYSPNLRCTALEACAHPFFDNLRDPKVFLPNGRAMPPLFDFTAQGAPDELRRRLIPEHARS >OIV99620 pep chromosome:LupAngTanjil_v1.0:LG13:629315:630659:-1 gene:TanjilG_17430 transcript:OIV99620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHYRVSDYGLKESVNYQTISPKDLAKSVLSEAGWSNFLCKGKKFQEPLDLALLFVGRELQSADLSMNNHADSALLDLLKISFTRSNTSLAFPYVSASQDESLENLLVSGFTDACGDGLGIRNVAFIGSCSMDDQSHVQNAAFQSVQDYLTKRVEESHGGKTDLVVFCNGGSRPLSIVDKEQSEGEVLSELITSVEESGAKYAVLYVSDPSRSTHYPSYTDLQRFLQESTAGNVSSNSTVCDSVCQIKSSLLEGLIVVSFSIHF >OIV99392 pep chromosome:LupAngTanjil_v1.0:LG13:2128346:2130247:-1 gene:TanjilG_17202 transcript:OIV99392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSTTFKWHNKIWFVVLVLSFGWLFLHYAYFTVNADDNIFIFLGHRFATTSNFHGSSHYRLPFNKDIHFAREEPQYDRPPVTNVNTLLPEKKDENVRDHVQSLDTMVNEKRHENGRGSFENVNTIEYEKKRANGIKKHRHRHRKVLPKKNHEVENVGMFRNVSKENHFSKDNNKVDLVGAKSTAKKHEGMKNGDIVTSKHPCAGRYIYVHEIPSRFNEDMLKNCASLNKWTNMCDFTSNLGLGPVIEDSTSVFLKRGWFKTSQFLLEVIFHNRMTQYKCLTKDSTIASAIYVPYYAGLDVSRYLWFSNASMKDADSLDLVKWLREKPEWRKMWGRDHFMVAGRITWDFRRIKRDSEDWGNQLLVLPETKNMTVLVIEKSPWANNDFAIPYPTYFHPSRVDQVFSWLRKMRKQKRPYLFCFAGAPRPGREDSIRGHLFNQCRIAEKKCKLLECQVREESKCHQPAYVMEVFQSSEFCLQPPGDSYTRRSIFDSILAGCIPVFFHPGSAYIQYLWHLPKDYTTYSVFISEKDVQDGKVSIEKILSEIPKAKVRYMRAEIIKLIPSIIYTDPRFRLDAVEDAFDVTIKRVLERVDKLRKGVDSSLRVKEELTWKHSLSGIVGEQKWDSFFVKKAG >OIV99120 pep chromosome:LupAngTanjil_v1.0:LG13:4832932:4833910:-1 gene:TanjilG_22700 transcript:OIV99120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHFSHFPAIPDLKVHSFLQLGRICLDILKDKWSPALQIRTVLLSIQALLSAPNPDDPLSENVAKHWKSNEAEAVETAKEWTRLYASGA >OIV99106 pep chromosome:LupAngTanjil_v1.0:LG13:6195279:6198210:1 gene:TanjilG_32365 transcript:OIV99106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAMVKKLQAKFRKVREELNEWETLQSRLISQFMNASHIIDRLQMLQSSKSYGDLNCISGLREAVLAKQVQSLNNIFVSMKRTLEEFHSIVLSLEKAHRDGRQLVKGGSSQPKVKQLQQQVGVKPTLTECLDGLLFLHEIHHSDSSDLGALQQLLVDQPNLPSEEGMEELSVDQKSFN >OIV99428 pep chromosome:LupAngTanjil_v1.0:LG13:1848762:1852584:1 gene:TanjilG_17238 transcript:OIV99428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCISSQGKTYPRKRSKEPITKPSPTRNIQHDHYTYDPPTPTAARRSSVASMRLPITVIMSPSPGSIFDKYQLGKELGRGEFGVTHRCVDLKSGEAFACKKIAKTKLRTEIDIADVRREVQIMRHLPKHPNIVSFREAYEDRDAVYLVMELCEGGELFDRIVAKGHYTERAAANVTKTILEVCKLCHDEGVIHRDLKPENFLFADGSENSPLKAIDFGLSTFYEAGERFSEIVGSPYYMAPEVLRRNYGPEVDVWSTGVILYILLCGVPPFWAETEEGIAQAIIRSNLDFTRDPWPKVSEEAKDIVKRMLDPNPQTRVTVQEVLEHSWIQNKDRARNISLGDQVRMRIKTFSLMNRFKKKVLRVVADNLPDEQVQGIRKMFDMMDKDKNGHLTFEELKDGLAMLGHPLPDPDVQMLMEAADIDGNGTLSCEEFITMSVHLRKIESDEHLTEAFNYFDKNQSGYVEFEELKDALSDEDSGLNGDEVIRDILNDVDLDKDGKISFEEFKAMMKTGGDWKMASRQYSRAMLNALSIKMFKDNSISAAN >OIV99389 pep chromosome:LupAngTanjil_v1.0:LG13:2153899:2156608:1 gene:TanjilG_17199 transcript:OIV99389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNGVGKLTVCFTGDGGRRKQHEMSFCITEPLDEGLGHSFCYVRPDPTRLSSSKVHSEETTTFRTISGASVSANTSTPLSTAFVDLYSYGSIDRAAAFESSTSFASLPLQPIPKGLMNSGPFSGNLTGSGPIERGFLSGPIERGFMSGPIEKDVIVNGSDQLQRSLSHSGLGFKPKKQKGRWIRVLQRAISKTLSRGQNSIVAPIKGVMTVKEQQEWVIAAAEKNHNENLTVSSLVFSNEGSLEEDDSKESQNLQWAQGKAGEDRVHVVVSEEHGWVFVGIYDGFNGPDAPDYLLSNLYTFVYKELKGLLWDDSSEQVAVNENQNLELQDGDDFSQCCDNNNRPCTSDDAAEFDDGCKRKKVKSCKGNYKGSAKKWEENQRRWKCEWDRERLELDKRLKEQLTRSSSGGKNTSSINHLDVLEALSRALRKTEESYLDVADKMVMENPELALMGSCVLVMLMKGEDVYVMNVGDSRAVLAQKVEPDYWLGKLRQDLEQINEETMNDLESLDDTDRSNLVSTLSAVQLTKDHSTSVEEEVQRIRNEHPDDPYAVVNDRVKGSLKVTRAFGAGFLKQVVTIVGPKDKFLILCSDGLYQYMSNEQAVAEVELFITLQPEGDPAQHLVEEVLFRAANKAGLDFHELLEIPQGDRRRYHDDVSIIVISLEGMIWRSCV >OIV98937 pep chromosome:LupAngTanjil_v1.0:LG13:8619002:8622349:1 gene:TanjilG_07372 transcript:OIV98937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDADAIEEHVDMIRAVGIDDNDEQEDDGFDDYDDDEDEDPVTLGFVEKPKNQWSLLRQYFPSKAGGVPAWLDPLNIPSGKTSLCDICGDPLKFLLQVYAPIEKDSTFHRMLFVFMCPSMKCLLRDQHEQWKRQPEKPSRSVKVFRCQLPRINPFYSSERPEYDGSHKPASSGAALCDWCGTWKGDKLCSSCRQVHYCSEKHQAVSWRSGHKTACKQLKISSPGSGSTSLVSQKVGSSNMWPEFEIIIEDESEYNIETSEDNTLANSSILKSRVDDSMNSLMDSFQGDDDKRSWANFQERIAKAPEQVLRYYRNTNAKPIWPVSSGRPSKADIPNCCYCGGPLCCEFQILPQLLYYFGVDNEVDSLDWTTIVVYTCEASCEAGLAYKDEYAWVQLYSPPATLQ >OIV99596 pep chromosome:LupAngTanjil_v1.0:LG13:794497:802776:1 gene:TanjilG_17406 transcript:OIV99596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNPKVFFDMAIGGTPVGRIVMELHADTTPRTAENFRALCTGEKGVGRSGKPLHFKGSSFHRVIPNFMCQGGDFTAGNGTGGESIYGAKFEDENFIKKHTECPVYYPSEKEFQDSFAYLHKIVPEASTFVRRVCSVFSGICKIVSPIIASIPASVVLTKEKKDFKFETNVQPLRLSEWNEKDKITFMKGRKYTYKEFEALANKAFLSRFYSSRNLSSSYIEKEFWREMAHGRKGTVEYGVNVEGSAFSCDPNDKLGRSKWNLKNFSRLPQSTLRLVDKGIPGITDPMLYIGMLFSMFAWHVEDHYLYSINYHHSGANKIWYGIPAHEASQFEDIVLHNVYCKNILSKYREDKAFQLLAYKTTMFPPNIFLQHDVPVYKAVQKPGEFVITFPRAYHAGFSHGFNCGEAVNFAIGDWFPLGAEARRLVEALSVACEMIQRGINMDLVCHAVLIDGALKQLDRKVLFGLLKEMQDQGLRPDNVIYTSMIDAFSKEGSFQKAFECWDLMVTEECFPNVVTYTALMNGLCKAGEMDKAGLIFKKMLAANVPPNSITYGCFLDHLTKEGNMKAAIGLHNAMLEGLLANTVTYNILIRGFCKLGRFHEATEVLSEMTENGIFPDCITYSTLIYMYCRSGNVGAAVKLWDTMLNKGLEPDLVAYNLLIYGCCVNGELNKAFQLRDDMLRRGVKPRQNFQVLQKGQLGSSS >OIV99139 pep chromosome:LupAngTanjil_v1.0:LG13:4316543:4318836:-1 gene:TanjilG_01114 transcript:OIV99139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMKAAVAAAPRGLRRFFCTNPTSSSTFPFVPPPAAGATSARQMADPNTNLFVSGLSKRTTTERLREEFAKFGEVVHARVVTDRVSGYSKGFGFVKYATIDEAAKGIEGMDGKFLDGWVIFAEYARPRPPPGQPVDNPTPYYGGR >OIV99413 pep chromosome:LupAngTanjil_v1.0:LG13:1962456:1965651:-1 gene:TanjilG_17223 transcript:OIV99413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGRVQLKRIENPVHRQVTFCKRRAGLLKKAKELSVLCDADIGLVIFSAHGKLYELATKGTMQGLIERYMKFTRGSQPEGATEAHPPLDAKEETDVLKQEIETLQKGIGYLFGGGIGTMTMDELQVLEKNLENWIYHIRSMKMNIMLQEIQALRDKEGTLEAANKYLHNKIMENTAIADFAPFTTDTMYPLIIQDGVFQL >OIV98623 pep chromosome:LupAngTanjil_v1.0:LG13:16498516:16499370:-1 gene:TanjilG_12746 transcript:OIV98623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEQGQYKMCTKRKYSMTSNHTSSSPNNNDYPSSYDDSSWEEQAFAKDAAGLGCIWPPRSYSCSFCRREFRSAQALGGHMNVHRRDRARLNQQPSSPKQNEILNHQVQNPLTSSYLYPSSSLFGLANTNNTNPNYSDPPHDLASSSKVLTPSVNKNFREETLSIPLYNSSIFHKTYPTLSSNSLSNQAEPCVDRISKGWNNDNTDVAVSFNLNFVCRAYTPMQFETKEEDLLSFKKKRKDASNPFFPKSGSVETHQKQSHMFEFSPSSIEELDLELRLGYRSKV >OIV99325 pep chromosome:LupAngTanjil_v1.0:LG13:2623162:2623392:-1 gene:TanjilG_17135 transcript:OIV99325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKSTSLVLILLFGLWALETNGGTIKDASMYKRFKEYMVKYGKMYKDPVEKNIRYKIFKETVESVETLQEKHKPL >OIV99192 pep chromosome:LupAngTanjil_v1.0:LG13:3998909:4000009:1 gene:TanjilG_19688 transcript:OIV99192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSNQFVLSFSLFFSLSILILLAPNLLPPRHTSLPISPQDEIDDITLFNHALSFSSSPISNSNKPSRFFHLSSRQKPIPNKIAFLFLTNTALHFAPLWNRFFLSQPTNLYNVYVHADPSVSLTHQYGVFRGRFIISKQTSRASPTLISATRRLLATALLHDPNNAYFALISQHCIPLHSFPYVYHSLFHSKTFDKSSQTQLNVQTPSYKSYIEILSNTPKHWKRYAARGRFTMLPEVPYEEFRAGSQFLTLTRRHAHMVVKDRALWRKFKVPCYREDECYPEEHYFPTLLSMKDPNGCTKYTLTRVNWTGSVNGHPYMYKPEQVTPQLIHLLRESNHSESYLFARKFSPDCLEPLMHIAKHVIFRD >OIV98676 pep chromosome:LupAngTanjil_v1.0:LG13:15468847:15483476:-1 gene:TanjilG_23968 transcript:OIV98676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IVKPPNRIPYAISIALVLFGSALLFSLIAFLKRGPSTVLAAIAKSGFTAAFSLIFVSEIGDKTFFIAALLAMQYKKGLVLLGSMGALGLMSVLSVVIGRIFQSVPAQFQTTLPIGEYAAITLLLFFGLKSLKDAWDLPSAVVKNGNDSNLELDELAEAEELVKEKVSKQLTNPLEIIWKSFSLIFFAEWGDRSMLATIALGAAQSPWGVASGAIAGHFLATCIAIVGGALLANYISEKLVGYLGGGLFLIFAVATLFGVF >OIV99628 pep chromosome:LupAngTanjil_v1.0:LG13:586931:590290:-1 gene:TanjilG_17438 transcript:OIV99628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFHTLSRKQLQSLCKKNKIPANITNLAMADALTSLPHVEGLDEFLNPTEVNGGAPDIRHTVSQMKRESTNVNSRVRRGSRAKNVEAEHDNVIVLPDTPVPVPPTCNRRRKALPVSNHRKKEVDVVIVDDDDDDDGVQLKDVAKTPAAISRSRSRATGQTVPVGTTYNTRRSMRLLEKNMSNMTLTDTQDMACNNLKQEMDSVSDQTHDSAETEEGKTGELEVSSLENYTELEEVQLVSGTDNDVGIGLQADAKEDASGSEDSSELEIGTIQDALSVEAAKDANMPFAEQDDDALSVEVSNEADQYAAGSIPMSSESNDSVNLKELVECNTDTDNQGGNEYDDNKDKNNETSDGKYLQSFEHIFDADEKAADEKDLAILEEVLEHLEEDLEAKPEYLEESMADVDKIDDFSGVTGAALAALEVSTESSADKCSMDAENVFFESSLVDVAVHEAEYLMDNREKLEAEAAFDIPVASYATLDSEMDTTSENPMMVMDFCSESLGADKMDAENFTLGSSLVDVVVHEAEDLKVNAANIEVKAQLQDSEEHTFDDCGASSAILAAEMDATFKNSTADIEFCSDSYGDTRSIIDAEKATLESSLLNAGIPEARELKDDYEKLDFKAQAVESEKYSLAEELKDNLEKLDLKAQAEESEEHALAKESEEHALAHESEENPEAEKLRDNSEKVDFKAAAEESEEHALAKESEEHALAHESEENPEAEKLRDNSERLDFQAQAEVSEEIATTKQESSVLAETVSVGPTKEQKISDIQIQSVMADQLKGETLFPPRSETNEFTNEIQTVPKMINTEENMPNANMMKENLSTAELDKKSVRELKKMLKNLTLNGKPNCKSKTINVVNEVEKKRTALQTLSENLMTNTEKHRMMDE >OIV99427 pep chromosome:LupAngTanjil_v1.0:LG13:1853906:1859538:-1 gene:TanjilG_17237 transcript:OIV99427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSDDMHDANDIDSLDDDFYSDDAPVDYYSDYDDDAGDDYFDDADDADRVLSRRPEQNFTILKESDIQQRQDEDINRVATVLSISKVSASILLRHYNWSVSKVHDAWFADEDSVRKAVGLLEQPVVQYPNDEELACGICFEKYPRAKIQMASCGHPYCISCWAGYIGTSINDGPGCLMLRCPDPTCAAAVGQDMIDLIASDDDKHKYDHYLLRSYIEDNKKSKWCPAPGCEYAVTFDAGSGNYDVSCLCSYCFCWNCTEEAHRPVDCGTVAKWILKNSAESENMNWILANSKPCPKCKRPIEKNQGCMHMTCTPPCKFEFCWLCLGAWSDHGERTGGFYACNRYESAKQEGVYDDSEKRREMAKNSLERYTHYYERWASNQTSRQKALADLHQMQTVHIEKLSDTQCQPESQLKFITEAWLQIVECRRVLKWTYAYGYYLPDDEHAKKQFFEYLQGEAESGLERLHQCAEKELHPFINADAPSREFNDFRTKLAGLTSVTKNFFENLVRALENGLSDVGANRADSIEATSSKNAAGSSKGRGGRGKGTLQSSISDDNHWFCEQCTYANVKSATACQICNHQRQ >OIV99554 pep chromosome:LupAngTanjil_v1.0:LG13:1054221:1056406:1 gene:TanjilG_17364 transcript:OIV99554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIRGYALQRDVIESGEAEEKIEENPEITHRVFLDVDIDKQHLGRIVIGLYGQVVPKTVENFRALCTGEKGKSASGLKLHYKGTPLHRIISGFVIQGGDVVHHDGKGSESIYGGTFPDENFKIKHSHAGVVSMANSGPDSNGSQFFITTVKAYWLDGDHVVFGKVVQGMDIVFAIEGGAGTYSGKPRKKVVIVDSGEIPKSEWEEER >OIV99547 pep chromosome:LupAngTanjil_v1.0:LG13:1123694:1126431:1 gene:TanjilG_17357 transcript:OIV99547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASRFIKCVTVGDGAVGKTCMLISYTSNTFPTDYVPTVFDNFSANVVVDGSTVNLGLWDTAGQEDYNRLRPLSYRGADVFLLAFSLISRASYENIAKKWIPELRHYAPGVPIILVGTKLDLRDDKQFFQDHPGAVPITTAQGEELRKLIGAPVYIECSSKTQQNVKGVFDAAIKVVLQPPKQKKKKRKGQKACSIL >OIV98644 pep chromosome:LupAngTanjil_v1.0:LG13:16167768:16168337:1 gene:TanjilG_12767 transcript:OIV98644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYNENVTKVGDNQGEDATSRFFQCQFCSRKFHSSQALGGHQNAHKKERTVARNAKRAYEYSYVPFASPFSTPMVFSPTLHMGILNPPMFITSHAENHSYIPQEMAAKFGSNGAPRFENAVFLEGSSRSELNEEDETSFINWQRSIRSNNFSNRSDTFQHISLKSNNENIGIWNNVTEEGRKLDLSLHL >OIV99660 pep chromosome:LupAngTanjil_v1.0:LG13:354286:358113:1 gene:TanjilG_17470 transcript:OIV99660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNTGEGKVVCVSGGSGYIASWIVKFLLQRRYTVRATVRDPSNPKKVEHLLELDGANERLQLFKADLLEEGSFDSVVQGCDAVFHTASPVIFTVNDPQVELLNPAVKGTLNILKSCAKSPSVKRVVLTSSIVAVLYNKEPLIPEAVVDDTWFSDPDFFTEHKWQPYILSKTLAENAAWKFVKENNIDMVTIHPALVIGPLLQPELNATSELVLNLINGTKTFPNTSFGWINVEDVANAHIQAYEIPSASGRYCLSERVLHYSDIVKILKNQYPTLPIADRCEDDESYEPTYEISKEKTKSLGIEFIPFEVSLRDIVESLKEKKFVNF >OIV98528 pep chromosome:LupAngTanjil_v1.0:LG13:17731835:17735363:-1 gene:TanjilG_12114 transcript:OIV98528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGLYNKNFSAARTGSPQIRTHSDVESHYLSDLLAEHHKLAPFLQLFPICTRLLNQEILRVSGMLSNQGFGDFDRLQHRSPSPMASSNIMSNATGTGLGGWNSLQQERFSGPPGMTMDWQSAPASPSSYTVKRILRLEIPVDTYPNEEKLRGRPGYEHLNEPLHILIEADLPANVVDIRLRQAQEIIEELLKPVEESEDFIKRQQLRELAMLNSNMREESPGPSGSVSPFNSSGMKRAKTGR >OIV99464 pep chromosome:LupAngTanjil_v1.0:LG13:1631164:1632788:1 gene:TanjilG_17274 transcript:OIV99464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEGIGLVLARAIELRLKISNCIHTATTTPNGDDDDDDDETTQRLINICNALEALETQLSSLQVLQQQQRYEREVALTEIENSRKMLTDKLKEYQGKELEVIHEACSFASERVEPNNDLLLPPYPSRPPYSMRLDKEYQPHIPSVNKYDHNGLITHDPMIEAKKSTSEKEQNHVNDEGKNPRRGWGLFIARLSKTVVTVVGVVSILTLSGFGPNFGKVGIRFNVQGLRQQTENEKEIRASENEGVVPITQCPPGRVLVMENGEARCLVKERIEIPFSAVAAIPDIYYGSG >OIV99293 pep chromosome:LupAngTanjil_v1.0:LG13:2945463:2949448:1 gene:TanjilG_17103 transcript:OIV99293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSEPTQTFPAFSTNQSSFHGGEKRPFAYSVTELVATTDTDYSTPVVKTESRHHQFFWLSLLQALKCGEGFLPLFQSVEDRKRKQDPVHGPLGAYKKVCDMNRILLTQQAIQSFEANDTDNTALNHEDKIQQDYTKDTKKSRINEQQKPELTFDSKHCINQVSNIEESIPQSGGILAETAGGDFKIHEMTVDPEENVVSKILSFFNERVHENATILSASGQVSTFVIHQSGSLVRHEGHFEILSLSGQCTYTRGAGGVQRQNCTLAISVVKPDTSVLGGVIESLLVAATPIQLVITTFK >OIV99326 pep chromosome:LupAngTanjil_v1.0:LG13:2614211:2618022:-1 gene:TanjilG_17136 transcript:OIV99326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKESRFKRICVFCGSSPGNKTTYKDAAIELGKELVSRNIDLVYGGGSIGLMGLVSQAVYDGGRHVIGVIPKTLMPREASKKNVYWSPPPSLFYWLVYSLSTILGRLIIESREYFPQHERVASKLSWENGQPEYSSSD >OIV98924 pep chromosome:LupAngTanjil_v1.0:LG13:8246378:8247106:-1 gene:TanjilG_07359 transcript:OIV98924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILLCGYLINPTATVASMGILIQTTSLLYIFPSSLSFSVSTRVGNKLGAQKPSKARLTAIVGLSCSFISGLSALVFALMVRNIWASMFTSDKDIIKLTSLVLPIIGLCELGNCPQTTGCGILRGTARPKVGANINLGCFYLVGMSVSVWLAFFAGYDFQGLWLGLLAAQGSCSITMLVVLSQTDWEVEAQRSEKLTGIGGGGEVYENQEEVVDDEKKATKVESKEDFLPLLVNSHENDNYLI >OIV98791 pep chromosome:LupAngTanjil_v1.0:LG13:12663802:12668550:-1 gene:TanjilG_25669 transcript:OIV98791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKERPTLGGTRIKTRKRNIAAPLDPAAFSDAVVQIYLDNAGDLELIAKSIESSDLNFSRYGDTFFEVVFIGCRTQPGTTKPDEGERHPYSIIECEPKREFILPSVIYIQKILRRRPFLIKNLENVMRKFLQSLELFEENERKKLAIFTALAFSQKLSGLPPETVFQPLLKDNLVAKGLVLSFMTDFFKEYLIDNSLDDLISILKRGKVEDNLLDIFPPAKRSNESFSEHFSKEGLVALVEYNEKKIFEVKLKEMKSALTTQITEEADISEVIETVKLQVRDAKLPDIEVVRVLWDVLMDAVQWSGKNQQQNSNAALRQVKTWSELLNTFCTTGKLELELLYKVQMQCYEDARLVKLFPEIVRSLYEADVLAEDTILHWFRKGTNTKGRQNFTKALEPFVNWLEEAEEEE >OIV98917 pep chromosome:LupAngTanjil_v1.0:LG13:7984040:7989861:-1 gene:TanjilG_07352 transcript:OIV98917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFLRRLFGGKKHPPGASADVSRPKPSKDRKKKKTTKDNYNNHNHFDPSVSSLDANNHAIAVAAATAAVAEAALAAAHAAAKVVRLTNGSGPGSSRRDAAAARVQRQLVEETAAVKIQSAFRGYLARRALRALKALVKLQALVRGNIIRKQTSDMLRRMQTLVRLQTRARASRVHLSNNMHTYKSSLCHYPVHEDYEHSLRVNSTKFDGSSILKRCSSNANFRDTDLERARFGSNWLDSWMEESAWNQTRDSPLKNLHNDDEKSDKILEVDTWKPHLNSHHGSSSSFQTPNHYLHTHYNNENFTAYDSPSKHSSKTLNPSLSSRKEAAPRTSENSPQAFSASSRLGSGSRKGPFTPTKSECSWGFFGGYSSHPNYMAYTESSRAKVRSQSAPRQRLEFDRYGSLSSSVQGHADNARLNSDRDSDFKSKGYSTSSHLNRIGSTNLR >OIV99176 pep chromosome:LupAngTanjil_v1.0:LG13:4150050:4150577:1 gene:TanjilG_19672 transcript:OIV99176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSGSRLNDLVEGSSSIHHHQQNPVTLSRYVSQKRRDWNTFGQYLNNLRPSVPLSQSNCNHVLDFLHYLDQFGKTKVHIQGCMFYGHPDPPAPCACPLRQAWGSLDALIGRLRAAYEENGGLPETNPFANDAIRVYLREVRECQAKARGIPYKKKKKTTKGNEESSSSGSMHFS >OIV99178 pep chromosome:LupAngTanjil_v1.0:LG13:4117594:4118007:1 gene:TanjilG_19674 transcript:OIV99178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPPLKKIDFFRGDTVEVCSNEPGFLGSYYEANIVSRLDNGLYVVCYKNLLEDDESKPLSETIYPKELRPFPPKIHATQFFLYQKVDAFDNDGWWVGEITGKNGSYYFVYFASTHEEIAYHCSKIRVHQEWVNGEWV >OIV99257 pep chromosome:LupAngTanjil_v1.0:LG13:3310978:3324315:-1 gene:TanjilG_06562 transcript:OIV99257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVDSSMALESDHDPAVLNHAASAERESQGESPSGGDPPPTPHFQQQQQQQIAGGAQVHQSPVVGPRLAPNYSVVDVILEKKEDGPGPRCGHTLTAVAAVGEEGTPGYIGPRLILFGGATALEGNSAASGTPSSAGNAGILHKHFGLQHQIVSLSVVSIARFDVKSMANNADRKVNHFCILILRCIARIGMNCGNHESCDSELAVAAATTIVKSQVTMVPLVGASDSCMILDSKNRASDSCLAGATADVHCYDVLSNKWSRITPFGEAPTPRAAHVATAVGTMVVIQGGIGPAGLSAEDLHVLDLTQQRPRWHRVGVPGPGPGPRYGHVMALVGQRYLMAIGGNDGKRPLADVWALDTAAKPYEWRKLEPEGEGPPPCMYSSLSMGCTFLGYYFDFTMCIDAYEHHSLFLSAHNCCVFCEHKRVSEVPWYATASARSDGLLLLCGGRDANSVPMASAYGLAKHRDGRWEWAIAPGVSPSPRYQHAAVFVNARLHVSGGALGGGRMVEDSSSIAVLDTAAGVWCDTKSVVTSPRTGRYSADAAGGDAAVELTRRCRHAAAAVGDLIFIYGGLRGVAPPVNGDMHTDISTENAMLQGSRRTSKGVEYLVEASAAEAEAISATLAAAKARQENGEVELPDRDRGAEATPSGKQISSLIKTDSAGPNNITSGGVRLHHRAVVVAAESGGALGGMVRQLSIDQFENEGRRVSYGTPENATAARKLLDRQMSINSVPKKIIAHLLKPRGWKPPVRRQFFLDCNEIADLCDTTERIFSTEPSVIQLRAPIKIFGDLHGQFGDLMRLFDEYGAPSTAGDIAYIDYLFLGDYVDRGQHSLETISLLLALKVEYPNNVHLIRGNHEAADINALFGFRIECIERMGERDGIWAWHRINRLFNWLPLAALIEKKIICMHGGIGRSINHVEQIENIQRPITMDAGSIVLMDLLWSDPTENDSVEGLRPNARGPGLVTFGPDRVMEFCNNNDLQLIVRAHECVMDGFERFAQGHLITLFSATNYCGTANNAGAILVLGRDLVVVPKLIHPLPPAISSTETSPERHIEDTWMQELNANRPATPTRGRPQVTNDRGSLAWI >OIV99029 pep chromosome:LupAngTanjil_v1.0:LG13:5053564:5054575:1 gene:TanjilG_32288 transcript:OIV99029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDEVILLDFWPSAFGMRVRIALAEKGIEYEGREENLSNKSSLLLKMNPVNKQIPVLIHNGKPISESLIIVQYIDEVWSHESPLLPSDPYQRANARFWADYIDKKIYSQIYSAGKLVWGTSGEVQEKAKNELRDSFKLLEGELGDKIYFGGDKFGLVDVALIPFYSWFCTLETAGNFSIMEEFPRLVAWAKRCMQRESVSKSIPDQYKIYDFLLELKKKIQIQ >OIV99484 pep chromosome:LupAngTanjil_v1.0:LG13:1485540:1488294:-1 gene:TanjilG_17294 transcript:OIV99484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHTSTVPKKRPRTAASRHSSTNSDISLNKLSPIRNPPSPTAGGAAALIFNDASTADVILRLFIDAVSSVDPVSISDLHVYLHSNVLHRSKYFSALLSDRWIRHVNPPPPREHSSSSASDDGNKLFRLNLGVPSTPGSLQSHLTVLELLYTNDFATTIDSASTALDLLPVALELLFEDCVRSCVGFLEAVPWTEEEEKRVLNLIPFLSEDESKYLLARVSPVGEYSCEVMLEGLISSALNNYQNTAFVKAFVAKILRDCSSRESAKRVLEKAFRTSLKTVKESLEDYSSPVCRGDHNETEAIQRLNLHKASTNGKHLLWLIERMIELRVADEAVKEWSEQEAFTVDLQKAFHDDASRNIVPGLPAVVLRCTCKLANAVSVGTILASRQVRRKLVEDWLPVLVVCKDNVSPISPSNKSLYLELEETFLRIISTLPMSDAQELLPRCLSFSTRNVDDCPHLVTAFNTWFHRAAQPRFPFDQ >OIV99528 pep chromosome:LupAngTanjil_v1.0:LG13:1194123:1194401:1 gene:TanjilG_17338 transcript:OIV99528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFRLPAILRTSFNANQENSKCIEVQKGYLAVYVGEKYKRFVIPISYLNQLSFQNLLSQVEQEFGYDHPMGGLTIPCSEDVFQNIISRFSGL >OIV99375 pep chromosome:LupAngTanjil_v1.0:LG13:2241184:2244098:1 gene:TanjilG_17185 transcript:OIV99375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSRGVGKSCILLRFSDGSFTTSFITTIGIDFKIRTIELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDEASFNNIRNWIRNIEQHASDNVNKILVGNKADMDESKRAVPTSKGQALADEYGIKFFETSAKTNLNVEEVFFSIARDIKQRLADTDNKAEPTTIKINQDQGAGAGQAAQKSGCCG >OIV99291 pep chromosome:LupAngTanjil_v1.0:LG13:2974485:2976083:1 gene:TanjilG_17101 transcript:OIV99291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEHLASIFGTEKDRVNCPFYFKIGACRHGDRCSRLHTKPSISPTLLLSNMYQRPDMITPGVDVNGQPIDPRKIQEHFQDFYQDLFDELTKYGKIESLNVCDNLADHMVGNVYVQFREEEHAANALKNLTGRFYAGRPIIVDFSPVTDFREATCRQYEENTCNRGGYCNFMHLKRISRDLRRELFGRHHRSRHSRSRSRSRSPYRHRSHEDRSHRSHRRHDDRDYVESRSRKTKTMSPEHRRGRSRSRSPGGRRNRSPVRDPSEERRARIEQWNREREEQENPSKVNTERVDHGNDGYMHNGNEGQPRDGGYGY >OIV98767 pep chromosome:LupAngTanjil_v1.0:LG13:13486739:13487379:1 gene:TanjilG_20513 transcript:OIV98767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMLCLAWLVPCLAWAMLMFCLAWLVPCLACVAWLVPCLACVACVLPCLARALPCLVCARALPCLVYALLPCLPRVILLMHQALVFLMHQGLVSMANPMCHNVLVLVSNTKPQTLSYQESINNNGKRQGDDDEATTTRRE >OIV99516 pep chromosome:LupAngTanjil_v1.0:LG13:1257963:1260265:-1 gene:TanjilG_17326 transcript:OIV99516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPLRRKLPYPPGPRGLPIIGNMLMMDQLTHRGLAQLAQTYGGLCHLQMGSLHIVAVSTPEMAREVLQAQDNVFSNRPANIAITYLTYNRADMAFANYGPFWRQMRKICVIKLFSRKRAESWASVRDEVEKTVRTVALNAGSPVNLGKLVFSLTRNITFMAAFGSNLKEGQGEFMGILQEFSKLFGAFNIADFIPWLGWFHVGEFNQRMANARKALDVFIDKIIDEHVAKRNNKMNKEENELDKDMVDELMDFLQESEIGDINHSDNSQSTLKLTRDNIKALIMDVMFGGTETVASVIEWIMAELMKSPSDLLKAQQELKDVVGLDRRFNESDLNKLTYLKCVVKETLRLHPAIPLFLHETAEDTVVTGYSIPKGTRVWINAWAIGRDKSAWDDPDTFNPSRFLKNGMPDFKGNDFEFIPFGSGRRSCPGMQLGLYAVELSVAHLLHCFNWELPNGMKHSELDMNDMFGLTAPRAVQLVAVPSYRLNCPLYDS >OIV98755 pep chromosome:LupAngTanjil_v1.0:LG13:14033890:14037034:-1 gene:TanjilG_24926 transcript:OIV98755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLGHTDGLSELRSSKDQILADPLSELGDVEAEEYSEQILYSASFEEFASSCVKYDTVIWLSISFLLVLAWGVGLLMLLYLPIRRYVLQKDLSSRILYVTPTEIVYKVSRPSFIPFWGTMRVERRVPLSLVIDIIIEQGCLQSIYGIHTFRVESIAHGKAAPVDELQVQGISYPDLLRRVIITEASKISQDVSNSGKVIAPSIDAENMAPMPATTDGSVVMRSPSKNWKRLELIIEKSHASPTSSGSKVTLTSAARIEV >OIV99680 pep chromosome:LupAngTanjil_v1.0:LG13:221082:224600:1 gene:TanjilG_17490 transcript:OIV99680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEIHEPHTREDANENEVITESSSFVKGETSLELSNPPKVDSQVEILHDKVTKQIIKEGHGHNKPTKYSTCFFHYRAWSEGTQHKFEDTWQEQRPIEMVLGKEKKEMTGLSIGVASMKAGERALLHVGWELGYGKEGSFSFPNVPPMADLVYEVELIGFDPTKEGKARSDMTVEERIGAADQRKVDGNALFKEEKLEEAMQQYEMVANLCFLYFAIAYMGDDFMFQLFGKYRDMALAVKNPCHLNTAACLIKLDRYEEAIGQCTIVLSEDENNVKALFRRGKSRAALGQTDAAREDFLKARKHAPEDKAIARELRLLAEHDKVIHQKQKELYKGIFGPRPEPKPKPSNWLILIWHSLLSVFYRLIALFKRETHKSD >OIV99659 pep chromosome:LupAngTanjil_v1.0:LG13:360040:363266:1 gene:TanjilG_17469 transcript:OIV99659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNTGEGKVVCVSGGSGYIASWIVKFLLQRRYTVRATVRDPSNPKKVEHLLELDGANERLQLFKADLLEEGSFDSVVQGCDAVFHTASPVIFNVNDPQVELVEPAVKGTLNILKSCAKSPSLKRVVLTSSLAAVLFNKEILTAGAVVDETWFSDPDFFTEHVWQPYLLSKTLAENAAWKFVRENNIDMVTIHPGLVIGPLLQPELNATSELVLNLINGTKTFPNASYPWINVEDVANAHIHAYEIPSASGRYCLSETVLHYSDIVKILKNQYPTLPVADKCEDDEPYAPTYEISKEKTKSLGIEFIPFEVSLRDIVESLKEKRFINF >OIV99481 pep chromosome:LupAngTanjil_v1.0:LG13:1507308:1510168:1 gene:TanjilG_17291 transcript:OIV99481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSRRRTLLKVIILGDSGYVNKKFSNQYKATIGADFLTKEVQFEDRLFTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNSLKSFENLNNWREEFLIQASPSDPENFPFVVIGNKVDIDGGNSRVVSEKKARAWCASKGNIPYFETSAKEGVNVEEAFQCIAKNALKSGEEEELYLPDTIDVGSSSQQRATGCEC >OIV99678 pep chromosome:LupAngTanjil_v1.0:LG13:231279:233473:-1 gene:TanjilG_17488 transcript:OIV99678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDADNDFTFCQVSSPIDKDSLEQSNKLVSNVADISIKEESSNETSSSQDSSFLWKGGLPNDSNTKKEDTVGSLSFSVINTTSSQPIESITKSVPSDSQNSIQKSPGQKNSSTKPKARAKVPFEKGYSQMDWLKLTRTHPDLAGLKGQSNRRLISIHEVKKHQTEGEMWTVFKGHVYNISPYMKFHPGGVDMLMKAVGKDCTSLFNKYHAWVNAEFLIEKCLVGILDESR >OIV99506 pep chromosome:LupAngTanjil_v1.0:LG13:1322219:1323476:1 gene:TanjilG_17316 transcript:OIV99506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRTHGEEEIMKGPLKCEDCGNQAKKDCAYSRCRTCCKNKGFECQTHLRSTWIPVDRRRQRVPSPTPTTNPNHLHGGSGVPKRHKQNPYSVFSCVRVQSMDDTVNEIAYQTSINIEGHVFSGLLYDQGPDQQSFNDARGQRSSNSLHQQHNNLNLVSAEIQDGATMAQPSSAAATAYHHPFFPPSNVFPSSISFRPGMP >OIV99559 pep chromosome:LupAngTanjil_v1.0:LG13:1018218:1018832:1 gene:TanjilG_17369 transcript:OIV99559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSERLTGKVKWFNDTKGFGFITPDDGGEELFVHQSQIKSDGFRSLAEGESVEFQIEADSDGRSKAVDVTGPDGANVQGSRRGGGGGGGGGYGGGGGYGGGRGGGGYGGRGGGRGGRGGGGYGDGGYGGGGGGGGGCYSCGESGHIARECPQGGGGGGGRYGGGGGGGGRYGGGGGGGGGGGGGCYNCGESGHFARDCTTSGGR >OIV98958 pep chromosome:LupAngTanjil_v1.0:LG13:8876626:8878670:1 gene:TanjilG_07393 transcript:OIV98958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLMTVFLVMEVIVSGFRFGAYGLNMNYYLMSCPLMELVVKDILNKALQDDPTLAAGLVRMHFHDCFIEGCDGSILIDSTKDNTAEKDSPANLSLRGYEVIDEIKEKLEKQCPGVVSCADILAMAARDAVFFAGGPVYDIPKGRKDGTRSKIQDTINLPSPTFNASELIRMFEQHGFSAQEMVALSGAHTLGVARCSSFKNRLTQVDKSTTLDTEFAKTLAITCSFGDNAEHPFDATRNDFDNLYYNTLVTNNGLLTSDQTLYTNPKTRNIVNSYALNQALFFLDFQQAMVKMSMLDVKQGSRGEVRENCHKIN >OIV98695 pep chromosome:LupAngTanjil_v1.0:LG13:15126728:15128917:-1 gene:TanjilG_24866 transcript:OIV98695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTRPIQPAIRNFPHGCGPFSQRITPITKGYPQQDEDEHFSTKNLNFDPYDEVGYSSNATVSSKVFVRSYSTQPRVSAIRDFPPLCGLNVHKKVEKHDHEPGSVVKEKVKKHGEENFREKSFRKKVVVPSSRIVSHGCEVSSKFDYGYKSSNVPVLGHGSFDVGGSSNQNKGKNIKEVPHYVGNKFSKKEGKGCLQRKMQEFSNVNVAPFHRNEPNAKARIRVRATLRLFHAVCRRLMKEENKISRGGGRADVRAAKFLYDNGKYINEGKQIIGSVPGVEVGDEFQYWKELNILGLHNQLVAGIGYSKRNGRVLATSVVSSSGHYDDEMDSTDVLVYTGQGGNVIKNKNTDKPEDQKLERGNLALKNSIEAKNPVRVIMKSESKDGSCKVYAYYGLYEVVRYWQEIWSHGMLVFKFEMRRIPGQLDVPWKELKKSEKSKMRKGLCVADISYGKELIPVCAINTVDDEKPPKFKYLSSMIYPNWYSPGSPVGCDCTSGCTDSEKCACAVKNGGKIPFNHNKAIVEAKPKSVVYECGPHCRCPPTCHNRVSQHGIKFQFEIFKTKTKGWGVRSLNTIPSGSFICEYTGMLLEDAEAEKNIGQDEYLFDIGNNYIDSSLCEELSKIMPDAADSSSSEVFEDGGFTINAANYGNIGRFINHSCSPNLFTQNVLYDHDDKKIPHIMLFADMNIPRMQELAYHYNYKIDQVFDSDGNIKKKNCFCGALECTGRMY >OIV98539 pep chromosome:LupAngTanjil_v1.0:LG13:17628240:17632820:-1 gene:TanjilG_12125 transcript:OIV98539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERRKFVCEMLLTLMTLFHILLTCVDSASASGLGRRNLLANGLGRTPPMGWNSWNHFSCQIDEKMIRETADALVSTGLSKLGYTYVNIDDCWAELIRDDKGNLVAKNSTFPSGIKALADYVHSKGLKIGIYSDAGYFTCSKKMPGSLNHEFQDAKTFASWGIDYLKYDNCNNDGSKPTSRYPIMTRALMKAGRPIFFSLCEWGDMHPALWGAKVGNSWRTTGDINDSWESMITRADMNEVYADLARPGGWNDPDMLEVGNGGMTKDEYIVHFSLWAISKAPLLLGCDIRNMTKETKEIITNEEVIAVNQDPLGIQAKKVRMEGDIEIWAGPLSGYRVAVVLLNRSPFRNSITANWDDIDIPTKTVVEARDLWEHKTLKRKFVDKLTATIDSHACKMYVLKPIA >OIV99496 pep chromosome:LupAngTanjil_v1.0:LG13:1406185:1407078:1 gene:TanjilG_17306 transcript:OIV99496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASPLNTKSNFHARSNSLPSTPHPLFLQCNEHLERLKSSHETSSSSSLLTHKLVGLQDLHECVEKLVQLPLTQQALLNEPQQNWVDEILDGSLRLLDVCTTAKDSLLHTKECMRELQSIMRRRKGGDDGIIVEAKKFLTSRKVVKKAISKALVNLKAISKKGNFSSNIKDQQTMALVGLLKDVEVVTLSVFESMLNFICGSTQSKAGNWSLVSKLIHTKRIGCSQVVEESEFSHVDVALHSFVLHMTNKSSSDNISNLPNHLEKLESRIQDFEEGLEFMFRRMIKIRVALLNILNH >OIV98606 pep chromosome:LupAngTanjil_v1.0:LG13:16874333:16877086:-1 gene:TanjilG_23045 transcript:OIV98606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METKKENNEDMKFKAWKESTKSLLGTIDNYCFQPFSISVVPDELRKWNENAYIPKSISIGPRYKGVTRDLQQMEEIKWRYMRTLFSRTQQHDHDDDGEQISDICMKAILELDGAVRASYVDEIKLDRYELAAIMLYDGCFLLELLISGSKHLNEKLKSKSPSAIEGRSLGRQEDAFSDLTLLENQIPLFILNELAQLLFPQFFVHNKDGVYTVESLVLSLLGFYPIPSFITLKAGHFLELTYTSIFEKFILIQMEQERNNNHLEGDYFELENIIDVEQDSGPNQIKLHRCANRLRAAGITIKAPKTTDEVKNNPRISFNFDMKFDKVKGVLEIPPLHITKTSEARWRNFIAWEHHKMKAKSFDINNEKQSSEMDSYSNKYKCTNWVASVFKGWIWCKSNGNEDNKVHDRNQSDTMRLSSSYKCKCTWAALLFSGLICCSSDIELLKDRKIIVNYTNMKNRDLVKWFHSITDGVDTSIVDPELRKVFTELDTYGPKSYFQKMIIIFWHYFTQILDPIYNLHSFLSEGYNYAAAIGLILTLIQTVYSMVAYHHPLK >OIV98766 pep chromosome:LupAngTanjil_v1.0:LG13:13481517:13481813:-1 gene:TanjilG_20512 transcript:OIV98766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHGVGHRDKALMHQKDKGLMHQQDYSRQARQQGTNHARQGTGTDQARQGTGQARQDTGNTGKAGHEPRQNMSMAQARQGTSQARQSMSMAQARNKPD >OIV98586 pep chromosome:LupAngTanjil_v1.0:LG13:17014383:17017311:1 gene:TanjilG_12172 transcript:OIV98586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLTSTIFFSPSLLIPARTKPTNSPNNQVFFHKNDHYLNRIVPQKKRASFCIKAQEQKVEEGVPSATIACPTVRPKFVLFGSSIVEYGFHEGWAATLAHLYGRQVDVVLRGYAGWNSRTALRILDKIFPKHATQQPSLVIVYFGGNDATHPQPDGHGSHVPLKEYSENMRKIFNHLKSLSEKTRIIFLSTPPINEKQLFGDSPPEKLPKTNESHRIYSEEGLKLCKKMNIKAIDLWSKVQEREDWKEVSFIDGIHLTNEGSKVVTREILKVLKEADWKPSLYWKEMAIEFEEYSDTPIGPGGKPIDPHTTRFPLGVEWD >OIV99137 pep chromosome:LupAngTanjil_v1.0:LG13:4296517:4300713:1 gene:TanjilG_01112 transcript:OIV99137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKVPWTTCSEDLIHLKKEVENDDFPLASSDSTNKIISDIQLLARADIQNLINDHQPQLMKKYLHCLRKKYLPLCISGEEDDSKILHFAYMRSLFSRSSAPRKNVRHVFLQHISEAPSLGPAVQSPTPSLTPSSESSSDPAIQSPTPSFTPFPGPSLALSYAPSPVPLSGIYLAPPPDHTRHQYPWLQPPVSFPNLTPPAADISNPPFSGTNQHPKKHSPKKKVVLSVVLTLLATLIATAVFFFFWNRFKKTGQNRENDPRPHFSSSMNDHSDYCATGSSYHSIGIPVNGEKPQIQPSNNYLVGNKNTSVQNSQAIGGSPFELRPPPGNLGLGTIPSGMPPLKLPPGRLNSFPPEQSSFRPSGNAAADAGAGASAPTPPPAPQQPGLGSAPRPGPPPPPPPAPAGAKNGPPPPPPPPAGTRVGPPPPPAPTRPPPPPKGVIPPQPPFLSRRKAKENVESASENEADAPKTKLKPFFWDKVQANPDHSMVWDHVKSGSFQFSEEMIKTLFMYNAVDNNNHQRQKESTSQEDSPQYIQIIDKRKAQNLLILLRALNVPMEEVCDALYEGNELPYEFLQTLLKMAPTSDEELKLKLFDGELSQLAPADRFLKTLVDIPFAFKRMEALLFIVTLKEELNSTMESLAILEVACEELRSSRLFRKLLEAVLKTGNRMNDGTYRGGAQAFKLDTLLKLSDVKGTDGKTTLLHFVVQEIIRSEGKKTARETKESQSISNIKTDYETEDYYSEIGLQVVSNLSSELENVKKAAIIDAESIAGSAAKLGHGLVKTRDLVEKNLKNLEEHRGFREAVKSFIESAEDDIMTLLEEEKKITALVKKTGDYFHGNAGKDECLRLFVIVRDFLIILDRVCKEVRDTHKKLTKTVKQGTSRGTSTPGTSSPGTHTPGTSSPRTRTPLSDYRQRLFPAIAERRIGDTSSDEDSP >OIV98785 pep chromosome:LupAngTanjil_v1.0:LG13:13130168:13131536:1 gene:TanjilG_21807 transcript:OIV98785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLYIPSFVTTIIIFFFFLFSVAVASFSSNFTIYDHLRQQGLPVGLLPKGITKYSVNSGSGEFLVLMEEPCNAKFENEVHYETNITGTLKYGSIAGLSGVSAQELFLWFPVKEIRVDLPSSGLIHFDAHADGEDVLRAAS >OIV98630 pep chromosome:LupAngTanjil_v1.0:LG13:16420467:16421822:1 gene:TanjilG_12753 transcript:OIV98630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNYQCEDETKKILTLPLKSQVIPYGYLPRTPNKLNFQHNISLTVSITVGTPPQNMSFIIDTGSELSWLQCNTTNRVIPDPFYDPNHLPPYTTTTCSSPTCNIKNKTMNRVISDPFFDPTISSSYIPIKCSSPTCMTQTRDFPIPASCDSNKLCHATLSYADSSSSEGNLASDMFGLGSGSDNPRIVFGCMNSTYSSTNSNTTGLMGMNLGSLSLVSQLKIPKFSYCISGSDFSGVLILGASNFSWVGSLNYTSLVQISRPLPYFDRAAYTVRLEGIKVSNKLLIISDTIFIPDHSGAGQTMFDLGTQFTYLLGSAYIVLRNEFLNQTNNILRVLDDPNFVFEIAMDLCYRVPLNQSKLPELPSVSLVFDGAEMKVLGEQLLYRVPNEIRGNDSVYCFTFGNSDLLGVEAFIIGHHQQQNMLMEFDIVNSRVGLVDIDCDLVGQRLLGLDN >OIV98926 pep chromosome:LupAngTanjil_v1.0:LG13:8265516:8267896:-1 gene:TanjilG_07361 transcript:OIV98926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYANFSTPAIIIDCVEQCEETGCVGDRCFQHCKFSSDGKPIDGPWYMHEPLYLRWKQWDCRTDCRYHCMLSREEERTKHGAQPVKYHGKWPFKRVSEIQEPVAVALSALNLAIQFHGWVSFFSLLYYNFPLRPDKKTYYEYTGLWHIYGILSMNSWLWSAVFHSRHVELTEKLDCSSAVALLGYTLILSILRAFNVKGEATRVMIAAPLIAFVTTHILYLNFYEFSYGLNMKVCVTISVCQLLIWAIWASVSGHPSRWKIFVVGAGEGLAMVLQTFDFPPYKGYVDAHALWHATTIPLAFLWWSFIWDDAEFRTSFHLKKVK >OIV99470 pep chromosome:LupAngTanjil_v1.0:LG13:1584044:1601136:1 gene:TanjilG_17280 transcript:OIV99470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIDFNEYRLRCELHGHEDDVRGICVCGNDAIATSSRDRTIRFWSLDSSDKRKLVCSKILLGHSSFVGPLVWISPNQEFPQGGIVSGGMDTLVLVWDLKTGEKVHTLKGHQLQVTAIALDDNGDIISSSVDCTLRLWRNGQSVETWEAHKAPVQAVVKLPSGEIVTGSSDTTLKLWRGKTCLHTFAGHADTVRGLAAMSGLGILSASHDGSLRLWAVSGEVLMEMVGHTAIVYSVDSHASGLIVSGSEDRFAKIWKDGVCVQSIEHPGCVWDAKFLENGDIVTACSDGTVRIWTVHQDTVADQLELDLYTSQLSQYKASRKRVGGLKLEELPGLDSLKIPGTSDGQTKIVREGDNGVAYAWNLPEQKWDKIGEVVDGPEESSRPFHDGAQYDYVFDVDIGDGMPTRKLPYNRSDNPYDVADKWLLKESLPLSFREQIVQFILQNTGQKDITFNASFRDPYTGSNAYIPGQSSQVSGMLVFDAAQFDGILKKITEFNNALLSDQEKQNLSLTEPDVSRLGSIVKTLKDTSYYHSSKFADSDIALLLNLLQSWPLGVIFPVIDILRMIVLHPDGATKLHKHFETKNDILMEVIKKVTVHPAIPANLLTSIRTITNLFKNSCYFNWLQKHCSEIVENENVEGDSKFRALVAIGSLMLEGLVKKIALDFDVLNVAKAAKGSKEAKIAEIVENENVEGDSKFRALVAIGSLMLEGLVKKIALDFDVLNVAKAAKGSKEAKIAEVGSDIELAHALSFTINSKLKSSQHIQNGKKGVSQKIVLSEVSPPPLTESNDDINGGSGNGNKNVPVKSRNKRGFSGLVKMLSKRTLQILSNLPLAIGEMFTIAALMALGTFIDQGEAPDHYFQQFPEDHPVLGFFTWRWVLALGFDHMYSSPVFLGMLVLLGASLMACTYTTQIPLVKVSRRWSFLHSAEAIRKQEFSESLPRASIEDVGTILMGSGYEIFLKGPCLYAFKGLAGRLAPIGVHIAMLLIMAGGTLSALGSFKGSVTVPQGLNFVAGDVLGPTGFLSSPNEDFNTEIHVNRFYMDYYDSGEVSQFHTDLSLFNMDGKEVMRKTISVNDPLRYGGITIYQTDWSISALQILKNNEGPYNLAMAPLQINGDKKLYGTFMPVGDSNSSDVKGISMLARDLQSIVLYDADGKFAGVRRPNSKLPININGTEIVIVDAIGSSGLDLKTDPGVPIVYAGFGALMLTTCISYLSHSQVWALQDGTSVVIGGKSNRAKMEFPEEMNRLLDKVPEIVQSSRSEQADAVSL >OIV98551 pep chromosome:LupAngTanjil_v1.0:LG13:17480893:17488102:-1 gene:TanjilG_12137 transcript:OIV98551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASLAAKLSIRRSPLHRYLINRCISQPATAERRKEAVVVAPEMPPFDYVPPPYNGPSGDEIMAKRKEYLSPSVFHFYKTPLHVVEGKMQYLYDDKGRRYVDAFGGIATVGCGHCHPDVVAAIVEQTKKLQHSTVLYLNSVITDFAETLASKLPGDLKVVFFTNSGTEANELAMMIARLYTGCHDIISLRNGYHGNGAGTMAATAQSIWKFNIVQSGVHHAMNPDPYRGVFGSDGEKYARDVQDIINFGTSGNVAGFISEAIQGVGGIVELAPGYLSHAYNIVKNAGGLCIADEVQAGFARTGSHFWGFENQGVVPDIVTMAKSIGNGIPLGAVVTTPEIAQVLTRRNYFNTFGGNPVCTAAGLAVMRVIEKEKLQQNAFEVGNYLKERLNGLKDKYELIGDVRGRGMLLGVELVTDRKLKTPAKAEIVHVMDQLKELGVLVGKGGYYGNVFRILPPLCFTKEDADFLADAMDYSLSRM >OIV98904 pep chromosome:LupAngTanjil_v1.0:LG13:7720479:7727901:-1 gene:TanjilG_07339 transcript:OIV98904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVKHLWDILESCKKTVPLHHLQNKKVCVDLSCWMVQLQNVNKSYVASKDKVYLRGLFHRLRALIALNCKVVFVSDGSIPAIKLTTYRQRLNGRKEVSEKENDANSNNAPSLSQNDTNSQKVTSLRRNAGSEFSCMIKEAKVLGMVLGVSCLNGIEEAEAQCALLNNELLCDGCFSSDSDIFLFGARTVYRDICLGDGGYVVYYEMADIESKLGFGRNSLIALSLLLGSDYYPGVHGLGPETACQIVKSIGDKLILQKFASEGLGWVKRRKGGKNTLERDGVILQVINAYMKPKCHSADSDCVQRALAQYPFQQIKLQQICTEFFEWPSERTDGYILPNIAERDLRRFANLRLTSSEVGLNPPLHQIPVKCPVSEIIKTRKVQGKECYEVSWEEMDGLETSIVPAHLLQSACPEKISEFEEKKVQRKKDKKQNIQKRRPKKNETSELDLKLQNLLIDINFGDQHNHNASESDSSRMTLGVAATTMPMPEANLNTTELLHQSHDIEHNYWSQNMSNISSSSNAFSTLDKNEVIDLLSPSPPKQSLISSKFQQSSNQVIDVINLSDSEYDMSPEHNEKAKELRLFLASIRNHIH >OIV99452 pep chromosome:LupAngTanjil_v1.0:LG13:1685087:1685296:-1 gene:TanjilG_17262 transcript:OIV99452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAMVEVWVGELTKLTEKVLARKPLMKLPKEKQGSEVEIKEAQKKESVGDNSTMSESTVCLLMDRFVPW >OIV98669 pep chromosome:LupAngTanjil_v1.0:LG13:15825701:15826654:1 gene:TanjilG_12792 transcript:OIV98669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINPNDPEENLDSVIDNSSSADPPPSKPGIDSHSEDPSENGSMRSTDGLQKRREDADPKHFTDSPARQNNVGNRGAGDSALRYGVGSADTRRRPSRQSTGSDNSVERSPLHRQSRAPGKDSPSWERKSSYDSGHATPGRSRLRPVNRGDESPDRGAAVPKFGEWDESNPAAADGYTHIFNQVREERQVAAGNAPGTPNGRSYAVKNQPADNKGQVCLQKPV >OIV99027 pep chromosome:LupAngTanjil_v1.0:LG13:5035870:5039719:-1 gene:TanjilG_32286 transcript:OIV99027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVAEISADAVVPAPTKLDLKPKPDESEFNVQNLVDMLKKLNPLAEEFFPSSYSQHDHAYKSYNQFLPNNFVAPNMPLVNGHNPNNGRRRGNFIHGRGERRVSARSPKPEREGSVRRTVYVSEVEQDVTEESLASLFSTCGQVIDCRICGDPHSVLRFAFVEFTDEHDARAALSLNGTLLGSFPVKVLPSKTAILPVNPTFLPRSGDEREMCTRTVYCTNIDKKISQAEVKQFFESSCGEVTRLRLLGDHQHLNRIAFVEFAMAESAILALGCSGMMLGIQPVRVSPSKTPVRPRVTGPTGKN >OIV98530 pep chromosome:LupAngTanjil_v1.0:LG13:17723586:17727793:1 gene:TanjilG_12116 transcript:OIV98530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPNLKLRFFHPPFLFLLLTILLFVNSNAESEVFHKVRASPRKNVGTKVIDGTGVENSIKFEDTSSNVRSGGGSSHKVSISTVSLFTLAMAAATGLGALPFFFVELDPQWAGLCNGMAAGVMLAASFDLIQEGQEHGGAGNWVVLGILSGGVFIWLCKKFLEQYGDVSMLDLKGADATKVVLVIGIMTLHSFGEGSGVGVSFAGSNGFTKGLLVTLAIAVHNIPEGLAVSMVLASRGVSPQNAMLWSVITSLPQPIVAVPSFICADAFSKFLPFCTGFAAGCMIWMVIAEVLPDAFKEASPSQVASAATLSVAFMEALGTFFQNFSHDYNFEDASGFFVSLLFSLGPLLGGVILVAFALAFHLQHAFLMGTACGIAFVLAAWRPVQLILFSQLGIIPVLLLLTIGAIFIHFSTSGVLKVAASRKASVNDLPTLTSFPVSVHTLQSFISSGAIAFHALAEGLALGVAAPKAYGFGRHMVLPVSLHGLPRGAAVASCIFGATGSWHSSLASAAIIGLMGPISAIGAILTGIDYSGLDHIMVIACGGLIPSFGNVVRRALGLDKRKSIFGLIMGIALATLCLTFTRLVCLNTPYCNSAPEAVR >OIV98522 pep chromosome:LupAngTanjil_v1.0:LG13:17808648:17811633:1 gene:TanjilG_12108 transcript:OIV98522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVILRENDAADWVYRGEGAANLVLSYIGSSPLFIGKVMRIRKAPRSDVVTVRSPSALTAHERLLWKDVDELISSSDKEIAGRLFVQHVMKPLLGSKFVDAGMHVLVSTEFLESVEKDIICQRPPWRVDNAQVDTQCDSVLLMSDHSVFSHGLGGGAEDTNFGIAKAFEDVLKSFIQANDGLCTESLLTLVAEALTKSGVLNQLLEVQKLDNVDIEGAIHAYYDITSQQCMVCRELGEEQAKKYTSLHSASLNESLRVVRDYLIAATAKDCSLMMCFRPRKDGGSGSEHNTVYLESTKQTFDFKADNSSHEPFGSVSVGRLVPA >OIV99054 pep chromosome:LupAngTanjil_v1.0:LG13:5369302:5370837:1 gene:TanjilG_32313 transcript:OIV99054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSTPLLSHTETDKHHLPSLGSTIEKCIGDFNWNQFLQALLISLAWFFDAQQTFISVFTDSQQPWHCTKTNHNSSSPCTTNTTTMCNLPNDSWAWNGSTQGSIISEWNLECSSSILTGLPASVFFMGCLFGGLVFATLADSSLGRKNMLLFSCLLMSLSSLVSTMSPNIWFYSGFKFLCGCGRATIGTSALVLTSELVGKKWRGQISVIGFFFFTIGFLSLPAMAYINRTSSWRNLYLWTSVPTIFYCILVKCFVQESPRWLLVQGRKEEALASLKCITSTTHSNVNLAINSMFHKEETWNVDLYSALKILLQKKWSSRRVLAIMAMGFGIGLVYYGMPLGLGNLSFNLYLSVTLNALSELPSSLVTFILIDKINRRSTILIFTILSGVCSVMSIIEGKLWSKLQIVFELISFFSACTSFNIYLIYTTELFPTCVRNSALSMARQAVVFGGSFSPLLVSAGRVNKFLCYGVFGLVIGCSGVFGVLLPETKGRALCDTMDEEENKGCGILA >OIV99129 pep chromosome:LupAngTanjil_v1.0:LG13:4703659:4704567:1 gene:TanjilG_22709 transcript:OIV99129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARNEEKAQSMLNRFITMKAEEKKKPKERRPFLASECRDLSEADRWRQQIMREIGRKVAEIQNEGLGEHRLRDLNDEINKLIREKSHWERRIVELGGPNYSKHSAKMTDLDGNIVDVPNPSGRGPGYRYFGAAKKLPGVRELFDKPPELRKRRTRYDIYKRIDASYYGYRDEEDGVLEKLEGPAEEKMRRKAFEEWRRLEEVRKEARKSVKSGEVATTSAARELLHEEEEDVVEEERRREREMREGMEDKEREFVVHVPLPDEKEIERMVLEKKKTELLNRYVSEGLLEEQSEAKDMLNIHR >OIV98809 pep chromosome:LupAngTanjil_v1.0:LG13:12161071:12163590:-1 gene:TanjilG_25055 transcript:OIV98809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLKTHSLFLTFLFITAATTTTIAAAISPGSTLSASNINQTWSSQSATFSLGFVPANPPTTPPTFIVAIVYSGGSPVVWSAGKSAVDSSGSFQFLQSGSLRLVNGSGATVWDSGTTGATSATLEETGNLVLSNSTATVWSTFDQPTDTILPSQNFSVGNTLRSGSYSFTLLNNGNLTLRWNDSVLFYNQGLNSSVNVSLNKPMLSLQSIGILQLSDAKLSTPVVVAYSSDYAEGSNVLRVLKLDKDGNLRIYSTSKGSGSSTPGWAAVQDQCDVYAYCGNYGICSYNDSNPICGCPSQNFEMVDPNDSRRGCRRKVSLDSCQGSATMLTMDHAQFLTYPPESESQVFYIGISACRGNCLSGSGACFASTSLSDGTGQCYIKSEDFVSGYHNPALPSTSYVKVCPPVEPNPAPSLGETAKEKSTGVPAWVVVVVIFGTLVGLVALEGGLWIWCCKNSKRFGGLSTQYALLEYASGAPVQFSYKELQRSTKGFKEKLGAGGFGAVYRGVLVNKTVVAVKQLEGIEQGEKQFRMEVATISSTHHLNLVRLIGFCSEGRHRLLVYEFMKNSSLDNCLFLSEEHSGKLLNWEHRYSIALGTARGITYLHEECRDCIVHCDIKPENILLDGSYIAKVSDFGLAKLVSPKDHRHRTLTSVRGTRGYLAPEWIANLPITSKSDVYSYGMVLLEIVSGRRNFEVSDETNRKKYSIWAYEEFEKGNIDAIVDKRLADQEVDMEQVGRAIQASFWCIQEQPSQRPMMSRVVQMLEGVMEIEKPPAPKSVMEGPVSGTSTFISSNASAFSTVAASPHVPSSSSSFHISSVSTFASGKNTDKATSSLLQSVP >OIV98663 pep chromosome:LupAngTanjil_v1.0:LG13:15875633:15879332:1 gene:TanjilG_12786 transcript:OIV98663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYLRYGKHGLRQIFRFRNATDLRRNSAISQFSCTSTTISTNSSFLGKSHYSTGAQGRILQDLLNEVEKDRLREKDQRKRAGLDTADIDAEHEEDFMGVGPLIQRLEKQNMKDSLDMNRYEEPSDSESEDDDERFSREATEKNFKDFERKFKKHEELLKNFTDAETLDDAFKWMSRIDKFEHKHFRLRPEYRVIGELMNRLKVATDIKEKFILQHKLNRAMRLVQWKEAYDPENPANYGLIQHEQVGPTVDALQHSGFEKEKQIILGDDANNEDDSDSEEEFDDQKEKDNILLEKLDAIDKKLEEKLAELEYTFGKKGKLLEEEIRDLAEERNDLTEKKRRPLYRKNFDVKLIDVNRTCKVTKGGQVVKYTAMLACGNYNGVVGFAKAKGPAVPVALQKAYEKCFQNLHYVERYEEHTIAHAVQTSYKKTKVYLWPASTTTGMKAGRIVQTILHLAGYKNVKSKVVGSRNPHNTVKAVFKALNAIEMPEDMERKTGRTVVEKYLL >OIV99252 pep chromosome:LupAngTanjil_v1.0:LG13:3394226:3394951:1 gene:TanjilG_06557 transcript:OIV99252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSAARSIFRSSSAVRSAFRVASEAKPARSPFRMASNKPISQSQSTFRLPVELSFCVESMMPYHTATASALMTSMLSVSQRRGYSWLPDGS >OIV98733 pep chromosome:LupAngTanjil_v1.0:LG13:14506489:14506662:-1 gene:TanjilG_24904 transcript:OIV98733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDKKKKAQMFVKLVSAAGTGFFYVKRKPRQVTEKLEFRKYDPRVNRHVLFTEAKMK >OIV98588 pep chromosome:LupAngTanjil_v1.0:LG13:16969513:16973152:1 gene:TanjilG_12174 transcript:OIV98588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLTKTTLPTSLLIPRRTKPINSPNNKVVFHKNDHYLNKIVPQKKKASFCIQAQAQEGEASVPSSPIESPSLRPTFVLFGSSIVEYSYFEGWGATLAHLYARQVDLIMRGYAGWNSRNALQILDRVFPKNATQQPSLVIVYFGGNDATNPRPGGNGPHVPLEEYKENMKKIVIHLQSLSEKTRVIFLSTPPINEDQIFGDSYPPDQRPKTNESHRIYSEAGLEVSQELNIKAVDLWSAIQEREDWKDVSFIDGIHFSDEGSKVVSREILKVLREADWEPSLHWRDMPIEFEEYYTPPTGPGGEPTDEPSSRFPPGVEWD >OIV98781 pep chromosome:LupAngTanjil_v1.0:LG13:13311471:13312884:1 gene:TanjilG_20505 transcript:OIV98781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFKRFVEIGRVAQINYGKEYGRLVVIVDVIDQNRALVDAPDIVRSQVNFKRLSLTDLKVDIKRIPKKKDLISALEAADVKNKWEKSSWGRKLIVQKRRAALNDFDRFKIMLTKIKRAAVVRQELAKLKKTAS >OIV99020 pep chromosome:LupAngTanjil_v1.0:LG13:4968860:4971198:-1 gene:TanjilG_32279 transcript:OIV99020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLCGSTSLTFPSHSLSSQFKNATSKSSSFSSFQFSLPNLSLSSTSSSLSYSFQTHFPSTNFTLHSELQEQEPELELEEEEVEDDPRFMCVEPEPRFSGPDIWNTTWYPKAADHVNTEKTWYVVDATDKVLGRLASTIAIHIRGKNLATFTPSVDMGAFVIVVNAEKVAVSGKKRTQKVYRRHSGRPGGMTVETFAQLQNRIPERIIEHAVRGMLPKGRLGRRLFTHLKVYKGPEHPHAAQKPIDLPIKDKRIQLVR >OIV98965 pep chromosome:LupAngTanjil_v1.0:LG13:6776713:6779310:1 gene:TanjilG_29368 transcript:OIV98965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKIGKKHNFSVRFLSSLSSFKMVILLAIFLLTFSFPAYSSFTPKDSILIDCGAENAPTLPDGRQFKSDPQANSFLQANDDYKVSAEDDPSLPSPIYKTARIFIQEAKYSFHLAEPGYHWIRLHFYPIKNNVFDLQKATFSVNTNAYVLLHSFNVNNNDKPILKEYLINANESQFTLVFIPLKNSAAFINAIEVVSAPGNLIFDTAAALFPVGDFSSLTSYGIQPVYRLNNGGPLITSSNDTLGRIWESDEPFLTNKNLAKSVSIATNAIKFPIDTPTISPLIAPQSVYASATEMGDAGVNQPNFNVSWKFDVDTSFSYLVRLHFCDIVSKGLNELYFNVYVNGKMAISNLDLSAITGALSTPYYKDIVVNATLMTEGLSVQVGPAKAEGGNANAIVNGIEVMKLSNSVDSLDGEFGVDGRKIGGSNRGTVAAVGFAMMFGAFVGLGAMVIKWHKRPQDWQKRNSFSSWLLPLHAGDTSFSSSKGNMYSSTMGLGRYFSFAELQEATKNFDSKAIIGVGGFGNVYLGVIDEGTKVAVKRGNPQSEQGITEFQTEIQMLSKLRHRHLVSLIGYCDENEEMILVYEYMPNGHFRDHLYGKNLPVLSWKQRLEVCIGSARGLHYLHTGTAQGIIHRDVKTTNILIDENFTAKVSDFGLSKDAPMGQGHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLLEALCARPAINPQLPREQVNLADWAMQWKRKGLLDKIIDPLLIGNINPESMKKFAEAAEKCLADYGVDRPSMGDVLWNLEYALQLQEAFTQGKTEDENEANSSPASTPSINSEDHDTSPPPPLPPAATTTPQPEVNNNHSAQVPHTIDDHSGTAMFAQFTDLNGR >OIV99123 pep chromosome:LupAngTanjil_v1.0:LG13:4772924:4775340:-1 gene:TanjilG_22703 transcript:OIV99123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLATFISTLLLSALASKIIWHWFIGSYFSLHKNKNLPPGPPRWPIVGNLLQLGKLPHRDFASLCNKYGPLVYLKLGNIDAITTNDPEIIREILLRQDDVFASRPNTLAAIHLAYGCGDVALAPLGPHWKRMRRICMEHLLTTKRLESFSMQRQDEAQHLVKDVWAQARAKKPINLREVLGAFSMNNVTRMLLGKQYFGSKCSGPQEAMEFMHITHELFWLLGVIYLGDYLPIWRWVDPFGCEKKMREVEKRVDDFHSKIIEEHRKAKKDKKIGEEDDEEMDFVDVLLSLPGEDGKEHMDDREIKALIQDMIAAATDTSAVTNEWAMAEVIKHPRVLQKIQQELDQVVGPNRMVAESDLPHLNYLRCVVRETFRMHPAGPFLIPHESTRATTINGYHIPAKTRVFINTHGLGRNTKIWDTVEEFRPERHWLCDGSRVEISHGINFKILPFSAGKRKCPGAPLGVTLVLMALARLFHCFDWNPPNGLNHEDIDTREVYGMTMPKVEPLIALATPRLPKHMYN >OIV98976 pep chromosome:LupAngTanjil_v1.0:LG13:7007232:7007402:1 gene:TanjilG_29379 transcript:OIV98976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMKKVACAVLFAAASVSAVVATDVPAPAPGPSSGATTVGSFIGASVLSFVAYYLF >OIV99320 pep chromosome:LupAngTanjil_v1.0:LG13:2664829:2666739:1 gene:TanjilG_17130 transcript:OIV99320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPRKGYAIFVAECSHSFHFHCITSNLKHGNQNCPVCRAKWKEAPSHSQAFNVPHDMAQTNSLTSREDDWTTRLRRFPSQRTDAGWQSSLHNQFPEPVTFDDDEALDRQNSITHDKNENDHNIVSTLEMKTYPEVLAVPKLASRDNFGVLIHLKASPSGRKQNKGGNNAEQPPSDQNSHAAIDLVTVLDVSGSMSGTKLALLKRAMSFVIQNLGPSDRLSVIAFSSTAHRIFPLRLMTDTGRQQALQAVNSLVASGGTNIAEGLKKGTKVFVDRRWKNPVSSIILLSDGKDAHSVSSRSNVETNYQVLVPNSIHRNNSTGLQIPVHAFGFGSDHDATLMHSISEISGGTFSFIEDEDVIQDAFAQCIGGLLSVVVQELHVKVECVHPSLQLSSVNAGSYQTSLTENARMASINVGDLYAEEERDFLVTVDVPVDGSSSEMSLLIVRALYRDPVTKEMVDLEENNEVKIQRPDNVGRAELVVSIEVDRQRNRLLAAEAMAKARVAAERHDLSAAVSILENCHKALSETVSARAGDRLCVALSVELKEMQVRMASQRVYEQTGRAYVLSGLSSHSSQRATARGDSTDRTSLLQSYQTPSMVDMVTRSQTTILKTPQNRRTLDPSKSSPEGADDNNNNN >OIV98577 pep chromosome:LupAngTanjil_v1.0:LG13:17127389:17132158:1 gene:TanjilG_12163 transcript:OIV98577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLVNMISVSPTTFSTTRTTTTTTTTSSLQPRLLQLPSSVSFNNRWRFTVRAAETDANEAVKSQAPDKAPGKNGSSFNQLLGIKGAAQETNKWKIRLQLTKPVTWPPLVWGVVCGAAASGNFQWTFEDVAKSILCMMMSGPFLTGYTQTMNDWYDREIDAINEPYRPIPSGSIAENEVITQIWVLLLGGLTIAGILDTWVGHDFPIVFYLALGGSLLSYIYSAPPLKLKQNGWIGNFALGASYISLPWWAGQALFGTLTPDIIVLTILYSIAGLGIAIVNDFKSIEGDRALGLQSLPVAFGAETAKWICVGAINITQLSVAGYLLGADKPYYALALVGLIVPQVIFQFKYFLKDPVKYDVKYQASAQPFLVLGLLVTALATSH >OIV99687 pep chromosome:LupAngTanjil_v1.0:LG13:167826:169850:-1 gene:TanjilG_17497 transcript:OIV99687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTLSHVPPGFRFHPTDEELVDYYLRRKVTSRRIDLDIIKDVDLYKIEPWDLQEGWVVCRVFKKRVTTTSMRKVSEHDSPCWYDDQVCFMQDLDSPRQITSKPNNLLYQIPYNNNNNNNNPCKKELDLPYHLSRDQFLQLPLLESPKLVQSSSTISASNPMATLLMQEEQQVQQQNFHAMYGNNNINVEQGGVDQVTDWRVLDKFVASQLSHDNASSSRDNNNNFHGTDINSNVHLEKQEMVPQNNASTSNSTCPIHLWK >OIV99699 pep chromosome:LupAngTanjil_v1.0:LG13:103903:104780:-1 gene:TanjilG_17509 transcript:OIV99699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPSSSLLSSSSRKWCWRLWNDNNKSMGRRSGFGCNYYYDYSSSSSVGVGDSDSDSEVVESSGISRPLSEILKELNKKVPDHLLKTRLQNDGFPLRYVPWHTVNRILNLHAPEWSGEVRNITYSPDSNSVSVVYRVTLYGTDAEIFRESTGTASIHDTTYGDPVQKAEAMAFRRACARFGLALHLYHEDAS >OIV99108 pep chromosome:LupAngTanjil_v1.0:LG13:6214360:6215635:-1 gene:TanjilG_32367 transcript:OIV99108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQCSNSASSSLHLIYFILTEYGMHYLAQNRYFEDEGFIEYLKYLQYWQRPEYIKFIMYPHSLYFLELLQNANFCNAMAHPNNKEQSSKTPVAEVIPETSATPAPPAPTSTSNQMPLPALPPAPTTNVTMTTSSTRAPSPMPYGIAPGSTIPKNEMRNSAVEKRKRK >OIV98994 pep chromosome:LupAngTanjil_v1.0:LG13:7303061:7305118:1 gene:TanjilG_29397 transcript:OIV98994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSGGGVAVLSAKEAFEKLEKVGEGTYGKVYRARDKVTGKIVALKKTRLHEDDEGVPPTTLREVSILRMLSRDPHVVRLMDVKQGQNKEGKTVLYLVFEYMDTDLKKFIRSFRATGQNIPPATVKSLMFQLCKGVAFCHGHGILHRDLKPHNLLMDRTTMMLKIADLGLARAFTVPIKKYTHEILTLWYRAPEVLLGATHYSMAVDVWSVGCIFAELITKTALFPGDSELQQLLHIFRLLGTPNETMWPGVSKLMNWHEYPQWSPKSLSTAVPGLDELGLDLLAQMLKYEPASRISAKKAMEHAYFDDLDKTYL >OIV99225 pep chromosome:LupAngTanjil_v1.0:LG13:3612989:3616410:1 gene:TanjilG_06530 transcript:OIV99225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTVTSYLSLSTLSHSSQTKRTLSSTRFPFPNSDSSRSRFNFSSNSVQAFRPSNPLSKFVIRCSSSVTDIPTVSETKLNFLKAYKRPIPSIYNTVLQELIVQQHLMRYKKSYRYDPVFALGFVTVYDQLMEGYPSDEDRNAIFQAYIKSLNEDPDQYRVDAQKLEEWARVQNSTSLVDFSSKEGEVEGILKDIAQRAGGKGEFSYSRFFAIGLFRLLELANAMEPAILEKLCAALNINKRSVDRDLDVYRNLLSKLVQAKELLREYVDREKKKREERSEPQKANEAITKCLGEQFSGL >OIV98982 pep chromosome:LupAngTanjil_v1.0:LG13:7134409:7134957:-1 gene:TanjilG_29385 transcript:OIV98982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPEWSWVCRGDCWFYIGPCTAYFRPVNSGVDALSYNRDFEISVTFLGYVLNPLVVSQTTSVASHVKPRSVVHNKPPRVVPLSDDDMFVHPVLRRTSPCRIFTQAVNNGIRRGPDPVTVEADRLIAKFLARREARAQRSNKMIEKFSESGDEDEKEMTIPNALWYSTDVVVLVLGHVLTPFV >OIV99705 pep chromosome:LupAngTanjil_v1.0:LG13:35680:46265:1 gene:TanjilG_17515 transcript:OIV99705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVLVMSSGTGTGLSTTTQLRLPSQRVVNKSSLSFRSSFPTTLALTTLPPPSPTYNGGGRGCSFIQAAWTRRSRGEAAKKPNRKSWKQRTDMYMRPFLLNVFFSKRFVHAKVMHRGTSKVISVATTNAKDLRNSLPSLTDNNACRLIGKLIAERSKEADVYVLAYEPRKDERIEGVEVKPGKPFTHKYDNLKGRLHISMATLGFGSSTSKSILQCNIGNRSPIYLCSLYPGNTESLQLNLELEEEADQVIFSVIGPRSIHICGYYLSSSFSNPTNHHSEEESFGEDIANTESDNSADDDDYDDSFIDDQDHPQVFPPSPLSSQEETSIDNKPKRKKTGLRRLRKYQSVESDDDDDDNNCSEEKNTLHNDQTKEIIDDEDSLPISSLYKNKASEKVLHQEMDDDRAAGDATHKSAEDGATNIIETAVKTENVIQHSQTHREVEVLDPCTVLDVGSIKKEKKKKKKGKTKETKNSCNGHFIQPDNDVQEEPKMDKMTHDLLAGKEQYQEHADNKETETFDKMVASSEVIHGQGEKPKRKRKERSKEEGIAPIVSGADHSNIVNDASPPAETPEKRKKRKKKEHVKEDSHLEGDNAHHEDIMNKHKSRRDAAEFDNVIHKFPEGKGQHQKVTNENVVDIGAHDLPDGNQSEDRKVKKKKKKSKSRGDEEDAKSFEQSSDVVKEDGNKRADAKPSNVKTLSSGVVIEELEMGIKDGKIAESGKRISVHYTGMLKENGVVFESNAGQAPLKFRLGKGEVCEGWDVGLEGMRVGEKRRLVIPPSMMSKSEGQSATIPSNSWLVYELELVKVH >OIV98954 pep chromosome:LupAngTanjil_v1.0:LG13:8853784:8855133:1 gene:TanjilG_07389 transcript:OIV98954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTLNTVVNGLCVEGNVARAMWLADHMENLGFRWNIRTFGAIVNGLCKMGDTSAAIECLKKMGERNCEANVVVYNTIMDSLCKDRLLSEALSLFSEMTCKGIQPTLVTYNGLIQGLCNSSRWKDATALLNEMMHVGIMPDVKTFSILVDAFCKEGMISGAKSVLSFMIQMGEEPNVVTYNSLLDGYCLQNQMEEAMKVFNLMVRKNCSPTIVTYNLLINGWCKIKDIDTAISLLSEMVNEGLHPDVVTWTTLIGGFCKVGKPLAAKELFFTMNKFGQVPNLQTCAIILDGLFKCHFHSEAMSLFREMKIINLDLDIVIYNIMLDGMCKAGKLSDARRLLSCMPAKGLKIDAYTYNTMIRGLCKEGLLDDAEELIAEMEEKDCPPNKCSYNVFVQGLLRKCDISRLRKYLQIMKGKGFPLDATTMELIIDFFSTNKEDNALQDLLQLKFV >OIV98568 pep chromosome:LupAngTanjil_v1.0:LG13:17217313:17219800:-1 gene:TanjilG_12154 transcript:OIV98568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCLTISTNIRLDHIDISVILSALISTVAEIMGRPKSYVMVGLEGSIPICFGGSEEPAAYGELVSIGALNPDLNNKLSAALSFILETNLSIPKSRFFLKFYDIEGYNCGLNGSIMVIESK >OIV99495 pep chromosome:LupAngTanjil_v1.0:LG13:1409496:1410389:-1 gene:TanjilG_17305 transcript:OIV99495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASPLNTKSNFHARSNSLPSTPHPLFLQCNEHLERLKSSHETSSSSSLLTHKLVGLQDLHECVEKLVQLPLTQQALLNEPQQNWVDEILDGSLRLLDVCTTAKDSLLHTKECMRELQSIMRRRKGGDDGIIVEAKKFLTSRKVVKKAISKALVNLKAISKKGNFSSNIKDQQTMALVGLLKDVEVVTLSVFESMLNFICGSTQSKAGNWSLVSKLIHTKRIGCSQVVEESEFSHVDVALHSFVLHMTNKSSSDNISNLPNHLEKLESRIQDFEEGLEFLFRRMIKIRVSLLNILNH >OIV99205 pep chromosome:LupAngTanjil_v1.0:LG13:3832630:3833868:1 gene:TanjilG_19701 transcript:OIV99205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLNFHRKWLSVSVLVFCIVLHMSVITLGDDCRFGGGPRCRGWFGGRRGRGGGLGSGRGFGRGGLGGGSGGGGGFGGGGGGGLGGGSGHGGGFGAGGGVGGGARGGGLGGGSGGGGGLGGGSEHGGGFGAGGGVGGGSGGLGGGAGGGGGGGLSGGSGHGGGFGAGGGVGGGASGGGIGGGGGGGSGGGGGISGGSGQGGGFGAGGGVGGGAAGGGIGGGGGGGSGGGSGISGGSGQGGGFGAGGGVGGGAAGGGIGGGGGGGSGGGISGGSGQGSGFGAGVGVGGGASGGVGGGGGAGGGGGGGVGGGSGQGGGFGAGGGVGGGAAGGGGGGGGGGGGGGVAGGGSGHGGGFGAGGGIGSGGGAGLGSGHGGGGFGVGIGIGIGVGIGTGTGTGTGVGVGSGSGGGGGKH >OIV98655 pep chromosome:LupAngTanjil_v1.0:LG13:16007367:16013002:-1 gene:TanjilG_12778 transcript:OIV98655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLRDTWVFFFLFSNFWFVTFCGQDGFLSLSCGGTTSFSDSSNISWVPDIAYITTGKSMTINYSDGSLSKNVSARFFPKSRGRICYRIPVDNATTLILVRAKFVYKNYDGLRKPPKFYVSLGTAIAANINLAKDDPCIEEFLWIVNKDTLPFCLNAIPSCGSPVISSLEIRPLPKGSYTKGKEDFPNKLLRKSHRIDCGYTNESIRYPMDPYDRIWNADRSFTPFHATAEFKIHNSFNQSNVMEKPPISVLQTGRVLMRRNTLTYNLPLDVLGDYYIILYFAGILPVFPSFDILVNGDIVKSNYTIKGSEISALYVTLKGINSLNITLSSINFYPQINAFEVYNMVDIPSEVSSTTVSAMQVIQQSTGLDLGWQDDPCSPFPWNHIDCEGSLVTSLDLSNINLRSIGPTFGDLLDLKTLDLHNTSLAGEIQDLSSLQHLEKLNLSFNQLTSFGVDLDNLINLQILDLKNNMLQGTVPDSLGELENLHLLNLENNKLQGPLSQSLNKETLDIRTSGNLCLTFSTTTCVDASSNPPIQTPLVTIVRKKKHNEHNHLPIIVGAVGGATLALILICVLVVIYITNKRYEVCHTREETDMKNWGPEKVFSYKEIKAATSNFKEVVGRGSFGSVYLGKLPNGKPVAVKVRFDKSQLGVDSFMNEIHLLSKIRHQNLVSLEGFCHEPKHQILVYEYLPGGSLADHLYGANRRKTPLSWVRRLKIAVDAAKGLDYLHNGSEPRIIHRDIKCSNILLDMEMNAKVCDFGLSKQVTQTDATHVTTVVKGTAGYLDPEYYSTQQLTEKSDVYSFGVVLLELICGREPLTHSGTPDSFNLVLWAKPYLQAGAYEVVDESIQGTFDIESMRKATLIAIKSVEMNASQRPLIAEVLAELKEAYSTQLRFIESCQNQN >OIV98631 pep chromosome:LupAngTanjil_v1.0:LG13:16412317:16416142:1 gene:TanjilG_12754 transcript:OIV98631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRGELNLDNDLDPHHEDVFQTDDEENQAYRVFENLSDDSDSENNSPPSKNLSNEIAISTSWPQSYRTSMELLTSVTVPGGVSFLSRNASKGTSDSFFKGLETWQDDDSLFTKPLVSEDPSSKKQCSFAQSVINGINILCGVGILTIPYAVKEGGWLSLIILLVFSIICCYTGILLKRCLDNYPELETFPDIGQAAFGIAGRLCIAIILFMELYASCVEYITLMSDNLSSLFPNANVTFGGADIGTHQVFAITAAILVLPTVWIKNLSLLSYVSVGGIFATILVTLCLFWVGIADQVGYKPGAKVFDLANLSVAIGIYGFGFGGHAVFPSIYSSMKEPANFQSVLYVSFAFCLAMYTGVAAMGYLTFGDAVESQFTLNMPQELYASKIAAWTTIATPLAKYALTLLPIAMSIEELAPTPQLRCHAMSVVVRTVLVITSLIVALSVPYFGSLMALIGSFMSMLVALIFPCLCYLKLHSGRLSKIQIINCILIIIVGVVSGCAGTYSAMSKIINGEG >OIV99246 pep chromosome:LupAngTanjil_v1.0:LG13:3442032:3447348:-1 gene:TanjilG_06551 transcript:OIV99246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLHAFKESLKPCSTTQQPPSSNSFLLDSHFPTQIPRIPPKSSLSQQIRRLQDSPLSISPPPRPQRDAKCNNDEEEDEGNLVVKKANLGPPKLTPFQFDHTGPFQPLLLSSHGEIPVTQVPSSINCRLLEHQRVGVKFLYGLYKNNHGGILGDDMGLGKTIQTIAFLAAIFGKDGESMLSGNWENKRDPVLIICPTSVIHNWESEFSKWSNFSVSIYHGTNRDLIYDKLQADGVEILITSFDTFRIHGSSLLDIKWNVVIADEAHRLKNEKSKLYKACLEIKTPRRYGLTGTVMQNKIMELFNIFDWVAPGSLGSREHFRDFYDEPLKHGQRSSAPDRFVKIADERKQHLVAVLRKYMLRRTKEETIGHLMMGKEDNIVFCAMSDVQKRVYTRMLQLPEIQCLINKDMDCSCGSPLKQVECCKRIVPDGIIWAYLHKDNPDGCDSCPFCLVLPCLVKLQQISNHLELIKPNPKDDPDKQNKDAEFAAAVFGPDIDLVGGNTQNESFMGLSDVKHCGKMRALEKLLFSWISHGDKVLLFSYSVRMLDILEKFLIRKGYCFSRLDGSTPTNLRQSLVDDFNKSPSKQKRHVVVFRFLAAGSLEELVYTRQVYKQQLSNIAVSGNMEKRYFEGVQDCKEFQGELFGICNLFRDLSDKLFTSEIIEMHEVHKTEKQGSINLSEETCSLVSESETRLCTQPVRAATSNPELEDLGIVYAHRNEDVVNFGPGTQEKIDTGISSGDSLSMPNISSIPQRKKPDCVPKKQKVTLIDERKRTQYRYIAQFMGMGELAFSKWLLSATPLEREKVLLDYKKKKKILNG >OIV99171 pep chromosome:LupAngTanjil_v1.0:LG13:4232525:4241018:1 gene:TanjilG_19667 transcript:OIV99171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISSSKLKSVDFYRKIPRDLTEASLSGAGLSIVAAISMMFLFGMELSNYLSVSTSTSVIVDKSSDGDFLRIDFNISFPSLSCEFASVDVNDVLGTNRLNITKTVRKFSIDSNLRPTGTEFHSGTVANAVKHDDEVDEESVEGSLSLTTQNFDKYVHQFPITVVNFYAPWCSWCQRLKPSWEKADKVIKERHDPEIDGRILVAKVDCTQEGDLCRRHHIQGYPSIRIFRKGSDVRSEHGHEHDSYYGDRDTESLVKMMESLVTSLPTESQKPALEDKSIAANNTKRPAPSTGGCRVEGYVRVKKVPGSLIISARSDAHSFDASQTNMSHVINRLSFGRKITARTMSDVKIMIPHIGSSSDRLKGRSFINTRDLEGNITIEHYIQIVKTEVTTRTGYKLVEEHEYTAHSNVAHSVDIPVAKFHLEFSPMQVSVTENQKSFSHFITNVCAIIGGVFTVAGILDSVLHNTINILKKKVELGKNF >OIV99424 pep chromosome:LupAngTanjil_v1.0:LG13:1884056:1886383:1 gene:TanjilG_17234 transcript:OIV99424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKPPQSQDFALKETSPNIGAGSVTGNKNKLSSTYDLVEQMQYLYVRVVKAHDLPATDITGSLDPYVEVKLGNYKGRTRHFEKKSNPEWNQVFAFSKDRIQASVLEVVVKDKDVISDDFVGRVWFDLNEIPKRIPPDSPLAPQWYRLEDRKGLKVKGELMLAVWMGTQADETFPDSWNSDAATISYDEIANIRSKVYLSPKLWYVRVNVIEAQDLIPSDKTRYPEVYVKANLGNRILRTRVSQSKTLNPIWNEDLMFVTAEPFEEPLVLSVEDRFGPNKDEILGRCVIPLHIVQRRLDHKPVNTRWFNLEKHVVVEGEKKETKFSSRIHLRICLDGGYHVLDESTHYSSDLRPTAKQLWKSSIGILEVGIISAHGLMPMKTIDGRGTTDAYCVAKYGQKWIRTRTIVDSFAPKWNEQYTWEVFDPSTVITVGVFDNGHLHGGDKSGGLKDSRIGKVRIRLSTLEAGRVYTHSYPLIVLHNSGVKKTGEVQLAVRFTNSSFINMMFMYSQPLLPKMHYIHPLSVIQLDSLRHQAMQIVSMRLIRAEPPLRKEVVEYMLDVDSHMWSMRRSKANFFRIMKVLGGLIAFGRWFDQICNWKNPITTILIHTLFIILVLYPELILPTIFLYLFLIGIWNFRWRPRHPPHMDTRLSHADAAHPDELDEEFDTFPTSRPPDIVNMRYDRLRSIAGRVQSVVGDLATQGERFQSLLSWRDPRATSLFLTFCFIAAIVLYVTPFQVLCFLYGFYVLRHPRFRHKLPSVPLNFFRRLPARSDSML >OIV99077 pep chromosome:LupAngTanjil_v1.0:LG13:5637890:5640185:-1 gene:TanjilG_32336 transcript:OIV99077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPFPSSFDEFILTSSPDGPIVAYEASTGATYTRFSDSRSPRRGLTMVNQGLIAVSHVFSETGAGSVQIYNWHISNVFNNFPLPEPVAPLVATSDGAFLFVGGLSGTIHSLSIPSGNLINSLSLHSKPISTLHLNDDGSLVISGSNDGVIVMIPSFKLVEEGSYSLAEDDSRDFILHQWKAHSDSITALKSGVGVCRSTLISCSLDCTCKIWDMSNGVLIQTVAFPYAIFGVAVDSRESRFYAAGEDGLVYMGSMNRKMIDKGYELFTSSKCHNGSIVSLVLVNEGKDLVSASEDGSVWKWDVMNGEVTMVLGNNNDKRSMISDMIVAKRDRNYGVIMENDVVGGSEINGFNSSYSSCFSSSRLYDEKVVTNLMQITDFGQVLDVIVEDKKKAINMLESAIEMYERLLKLILKEAMRGIGGSNDDEDAEKQGDKEQEEEEKENDK >OIV98634 pep chromosome:LupAngTanjil_v1.0:LG13:16334040:16336280:1 gene:TanjilG_12757 transcript:OIV98634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMDPSLDRINASLNENRFGNQSVPILQNQRYENGFFDQTREFGYLQSNLMPADTPSSSILTHEEPSLEDCDFSDAVLRYISQILMEEDMEDKTDMLQDSLDLQVAEKSFYEVIGEKYPHSQLGNQSFINPNDGGGDHNFSENYGSFHGNDGDLSSIFSTNNSILGNLGQVPHRNFLGYSNISQSSYSSSNSVRSSVEVHLDSPSSIVQMPDLNSENQSICQFQKGVEEASKFLPSGNQLFANLDVANFSRLVPKVGIGTDKSSVKVEKDEGESFPDGSKGRKHPHMEEEDVEENRSSKQAAVYSEPTLRSTMMDIILLHSTGDGKNHYMARREALQNKNNKIVLPNGKSKASNSGKGRGGKKQNGKKEVVDLRTLLILCAQAVAADDNRSAHEFLKQVRQHSSPFGDGNQRLAHIFADGLEARLAGTGSQIYKGLVSKRTSAADFLKAYYLLIAACPYRKMSSFVSNVTIRKSSTNSMRVHVIDFGILYGFQWPTFIQRLSWRPGGPPKLRITGIDFPQPGFRPAERIIETGRRLAAYAESFNVPFEYKAIAKKWETIQLEELNIDRDEYLVVTCFYRGKNLLDESVVVDSPRNKFLSLIRKINPDIFIYGILNGAFNAPFFVTRFREALFHFSSLFDMLDTIVPREDWERMLIEKEILGREALNVVACEGYERVERPETYKQWQVRILRAGFSQQAFDREIVQTAMKKVRSSFHKDFVMDEDSHWLLQGWKGRIIYALSCWKPA >OIV99227 pep chromosome:LupAngTanjil_v1.0:LG13:3598356:3600802:-1 gene:TanjilG_06532 transcript:OIV99227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKVQERESGVPLFSEEEMSEVSGLKLVDEYVEVTCGCTSHRYGDAVGRLRVFSNGYLEISCECTPGCTEDKLSPSAFEKHSGRDTARKWKNNIWVIINGEKVPLYKTVLLKYYNQVSKAAKGSNRSKHGRACHRDEFVRCSRCDKERRFRLRTKEECRIHHDAMADANWKCSDLTYDKITCDDEEERASRRVYRGCSRSPTCKGCTSCVCFGCDICRFSDCSCQICTDFTSNAKA >OIV98986 pep chromosome:LupAngTanjil_v1.0:LG13:7207438:7221214:1 gene:TanjilG_29389 transcript:OIV98986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIDELSDLAASMRQAAALLADEDVDDANSSKRPSTFLNVVALGNVGAGKSAVLNSLIGHPVLPTGENGATRAPICIDLQRDDSLSSKSIILQIDNKTQQVSASALRHSLQDRLSKGSSGKIRDQILLKLRTSTAPSLKLVDLPGLDQRIVDESMVSEYAEHNDAILLVIVPAAQAPEIASSRALRTAKEYDGEGTRTIGVISKVDQAANDQKSLAAVQALLLNKGPARASDIPWVALIGQSVSIATAQSGSAGSENSLETAWRAESESLKSILTGAPQSKLGRIALVDALAHQIQNRMQLRLPNLLSGLQGKSQIIHDELARLGESMVSTAEGTRAVALELCREFEDKFLAHITSGEGAGWKIVACFEGRFPDRMKQLPIDRHFDINNVKRIVLEADGYQPYLISPEKGLRSLIKGVLELAKEPSSLCVDEVHRVLMDIVSAAANATPGLGRHPPFKREIVALATAALERFKNEAKKMVVALVDMERAFVPPQHFIRLVQRRMERQRREEELKGRSSKKGQDAEQSLLNRATSPQTGGSMKSVKEDKKEKEKDKSGQAEKEGQEGPALKTAGPEGEITAGFLLKKSAKTNGWSRRWFVLNEKTGKLGYTKKQEERHFRGVITLEECNIEEVPEEEDPPAKSSKDKKANGPDSGKVNLVFKITSRVPYKTVLKTHSAVVLKAESAADKAEWINKMSTVIQAKGGQIRLSSEGGSSVRQSLSDGSLDTMTRRPADPEEELRWMSQEVRGYVEAVLNSLAANVPKAVVLCQVEKAKEDMLNQLYSSVSAQSNAKIEELLLEDQNAKHRRERYQKQYSLLSKLTRQLSVHDNRAAAASGWSNDSAESSPRSSSGAGDDWRSAFDAAANGPVGRSGSMRSGSFGHSRHSSDPAQNGDSNSGSNSGSRRTPNRLPPAPPGSSGYKY >OIV98896 pep chromosome:LupAngTanjil_v1.0:LG13:7597128:7597505:1 gene:TanjilG_07331 transcript:OIV98896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRRMRTTRPVDSSSSSITGTRTTTITIENSDPSSSSSQLEQQQPEVLFLPLNRRKKVSWKEGTVDNEFMQKKSSKKCCIFHKDKPFDEDDSDEDDVPDHSDKHGHDHSENGCCSKNNDEAGSSN >OIV99344 pep chromosome:LupAngTanjil_v1.0:LG13:2456242:2459089:-1 gene:TanjilG_17154 transcript:OIV99344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALIEAAFSLASSLSVIRSSSPFSSPLLLHKNALPIKSFTVLSKRNLSNGSGSHYPDIEESSPNVHDSFLRRFRTKRGLSVTDLTSTEWCEKQMEFSLQLGGRKVNKVMRAGIVRHAKLEQEVITRVEVKIKSREDGWALKFLNFINGVYQLLFEGLTRELPIMGIAEGIWMVGVIDEIQMPLTGNDHNAFLIDTKTRSRDNLPSEPQRRNGRRVNSTVYFILLDAELQAKLADDFPSKKFFTFFGLNPQHNLCEDLKMMSADSGFSALTLDDVVRCYGNTCKMLAPAHDQLLLRYEYQKDYSLLGEDKFAYDSDWLKNQIRRSLEFWHGEREASYASAEERWKCGFCQYSTVCPLCTDNNKRTMAKFSSKDSNITNA >OIV98628 pep chromosome:LupAngTanjil_v1.0:LG13:16452430:16456076:1 gene:TanjilG_12751 transcript:OIV98628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLNHSEDDDMLYEQLWKACAGPHVDVPHVGQRVFYFPQGHMEQLEASTNQELNQRIPLFNLPTKILCRVINVNLLAESETDEVYAQITLVPESNQAEPTIPDPCPAEVPRPTIHSFSKVLTASDTSTHGGFSVLRKHATECLPALDMSQSTPTQELVARDLHGYEWRFKHIFRGQPRRHLLTTGWSTFVTSKRLVAGDTFVFLRADNGELRVGVKRLAPQQSSMPSSVISSQSMHLGVLATASHAVATQTLFLVYYKPRTSQFIISVNKYLEAVKHKFDVGLRFKMRFEGDDSPESDKRFSGTIVGVEDISPQWINSKWRSLKVQWDESASVPRPDRISPWEIDPLLASVPAPSAQLVVVKNKRPRPPSEIPDLVDTTGAASAFWNAGMTPPNLQFSAMGESKRSENNHMWHHKQIDNSKSGCNAISRNQADGIWQSSPHSSVPSNLFYDTTDDSKSVSAWPNSKTHSEKLNNDNLRDQVDKENKVEGATSCRLFGIELIGHTKNSPAIDGASVHAENVSGVSNEGCVSTLSKTDADHNSDLSKVSEERNQEQLQVSPKETQSKQICSRSCTKVQMQGIAVGRAVDLTMLDGYDQLIDELEKMFDIKGQLQLRNKWEIVFTDDEGDMMLVGDDPWPEFCNMVKRIFICSSQDVKKMSSGSKLPLSSSTEDEIVLSSDTTET >OIV99690 pep chromosome:LupAngTanjil_v1.0:LG13:153889:154479:1 gene:TanjilG_17500 transcript:OIV99690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSYSTLHAKVHELESIVDELFLLGPDTESHHSLSKDIIKQKLDFIWNLLSAEVASHPSKPHHLHHISQRLEELDKTFHQWDPSPTLSHDHDFDKDESCFNDDDKALVEFNGSDKEIDNVPSFVYEDAEEWFEEFDGDKEVVEFESDDELKREERRESALGSKCFAVVVTGMLFVAFIMVTFSDYVDQTSFPIPT >OIV99159 pep chromosome:LupAngTanjil_v1.0:LG13:4537990:4543189:-1 gene:TanjilG_01134 transcript:OIV99159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSGSTSHHLDPDVIEIPTPITHNPAKQKEAIFHDVIDVDNDDDSAGLVLNGEKVGISNKGKKIEGVHDGYGDHQVMDMFNEIFHPPVMEKSELGSGMESSNGYTSAAHNLNSVDDHGSDQSCDEDDYSDLFSDDYMDVDDYALLQAHFDNVDIPPGIEAPIPWLAEYDLVSKKTGGSSLYPLGHIQSDAKNACETNLPQIPWSLEPTYPVAQGPSVGSSSLQIKTDSIGHPSEIELSSAHFFSQTVPSRKKSDAYQCRRRKFKFSLGESSKPHLHLGPSEGKKKHSVLDALNSDELIYNSETMKVYGGVTPYWGQSASAKKAGGSSGSHNSNFVGPEIGSLYPPGIEVTNPWWFKSPPYVKPFTNSNFFNHAHPPPPEQLFDNTLVHNGSTTDNTVVTISDEARDEILRKFGNFKQFDTIEDTSDHYFVHVDSSVKQHSKNWAKRIQEEWKSLEKDLPDSIFVRVYESRIDILRAVIIGAEGTPYHDGLFFFDVFFPSAYPNIPPHVHYNSGGLRLNPNLYNCGKVCLSLLNTWSGSKNEKWLPGVSTILQVLVSIQGLILNAKPYFNEPGFARSNGSASGETKSLRYNEDIFILSLRTMVYMIRNPPKNFEEFVAGHFCSRAHDILIACKAYMDGAQVGCLVKGGVQDVDQGDRSCSKLFKNSLAMYVNMLVREFARTGAKDCDKFLSPLTTAGNKPLGQLPEAAATFS >OIV98969 pep chromosome:LupAngTanjil_v1.0:LG13:6860478:6867485:1 gene:TanjilG_29372 transcript:OIV98969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSKGEAGAKAITALGGQVCQICSDNVGKTVDGDEPFVACDVCAFPVCRPCYEYERKDGKQSCPQCKTRYKRHKGSPAILGDEEDYSADGGASDFNYDSEKQKISERMLSWHMTYRRTEEVGAPNYDKEVSHNHIPHLTSGQELSGELSAASPERLSMASPEVGDGKRDNLPYSSNVNQTSNIRVVDSGLGNVAWKERVDVWKVKQEKNVVPMSTVQAGSERGAGDIDACTDVLVDDSLLNDEARQPLSRKVSIPSSRINPYRLVIVLRLVVLCIFLHYRITNPVPNAYPVWLVSVICEIWFALSWILDQFPKWLPVNRETYLDRLLLRYDREGEPSQLAAVDIFVSTVDPLKEPPLVTANTVLSILAVDYPVDKVSCYVSDDGAAMLTFEALAETSEFARKWVPFCKKFNIEPRAPEWYFAQKIDYLKDKVQPSFVKDRRAMKREYEEFKIRINVLVAKATKVPEEGWVMQDGTPWPGNNTRDHPGMIQVFLGQSGGVDSDGNELPRLVYVSREKRPGFQHHKKAGAMNALVRVSAVLTNGPFLLNLDCDHYINNSKALREAMCFMMDPNLGKHVCFVQFPQRFDAIDKNDRYANRNTVFFDINLRGLDGIQGPVYVGTGCVFNRTALYGYEPPLKPKHKKPGLLSSFCGGNRKKSSKSSKKGSDKKKYSKHVDQTVPISSLEDIEEGIEGTGFDDEKSLLMSQMSLEKRFGQSVVFVASTLMENGGVPQSATPETLLKEAIHVISCGYEDRTEWGTEIGWIYGSVTEDILTGFKMHARGWRSIYCMPKRPAFKGSAPINLSDRLNQVLRWALGSVEILFSRHCPIWYGYGGRLKWLERFAYVNTTIYPVTAIPLLMYCTLPAVCLLTNKFIIPQISNLASIWFISLFLSIFATGILEMRWSGVGIEEWWRNEQFWVIGGVSAHLFAVFQGLLKVLAGIDTNFTVTSKASDEDGDFAELYMIKWTTLLVPPTTLLIINLVGVIAGISYAINSGYQSWGPLFGKLFFAFWVIIHLYPFLKGLMGRQNRTPTIVVVWSILLASIFSLLWVRVDPFITRVTGPNVEECGINC >OIV99404 pep chromosome:LupAngTanjil_v1.0:LG13:2032074:2033366:-1 gene:TanjilG_17214 transcript:OIV99404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQMEHDKVPILEGRSSQEIEIPIISERLEVVHKTMESYCNSVCAFSKQENSAAASEESAKLAKKLCGLIVFYTIVMLVEIVGGIKANSLAVISDAAHLLSDIAGFLISLFAVWASDWEATLDQSFGYNRLEVLGAFLSLQLIWFISGFLIYEAVGRILIRNSEVNGMLMFGIAAFGFVLNFIMVVWLGHDHHHHHGFGVSDHNHSHHHHHHGHGDSVNDHSHHHGHGDSNNDHGHHHDHGDLDNDHGHHHCSEHSNHDHEKGELPKINDEEKLDLLSSDHSNSNNVLNINLQGAYLHVMVDMIQSVGVMIAGAIIWAKPEWLMVDLMCTLIFSVISLSTTVPMLRNIYGILMERTPRGINIINLEDGLRSIKGVQDIHDLHVWSITAGKNVLSCHVVAESGISSIDLLGKIKYYCKNTHKIQHVTIQIE >OIV98787 pep chromosome:LupAngTanjil_v1.0:LG13:12889512:12897283:-1 gene:TanjilG_15759 transcript:OIV98787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVEASDKPHVSDGFDDDDDAPIIFKRSTTSKRNVQGSNAPTSNAQGSSLQKGKIIPSGMVLPKKSSEGISRASTSHVKAYLVNSPIANSKSPIGYKPKPSLERKIPSDVTKENSSIMHLTKDNCEDSEDELDNKPLSARLKVNSNNAIKANLAVVKKSSEDSDNVDDNDDIPLSARLKNRNFGTSSSKYDNSDQKKPISKVQKGPQDGSNASINQERPSTLSVKRPLDNTDSLYSSIKKPKLSVLDASISNKKVPIKPEPKVEDDDDDVPFSQRMKKLSESSDKSSSLKKSKNVIKVNKAGSSFKKQATKFNKFSNNSEYSKSTKLLPSSGDGQKKWTTLVHNGVIFPPPYQPHGVKMLYKGKPVVLTPEQEEVATMFAVMRDTDYMQKDKFKENFWTDWRKLLGKNHVIQNLDDCDFTPIYDWCQSEKEKKKQMSSEEKKALKEERAKLEEKYMWAIVDGVKEKVGNFRVEPPGLFRGRGEHPKMGKLKRRIRPNDIIINIGKDAPIPECPIPGESWKDIRHDNTVTWLAFWNDPINPKLFKYVFLAASSSLKGQSDKEKYEKARMLKEYIGNIRAAYTKDFMCRDITKQQIAVATYLIDKLALRAGNEKDDDEADTVGCCTLKIENVTAEAPNKLQFNFLGKDSIKYENTVEVELPVYNAILKFQKDKQPGDDLFDMLDTSKLNAHLKELMPGLTAKVFRTFNASITLDDKLNKDTKDGDVAEKIVVYNHANKQVAIICNHQRSVSKSHTAQMSRLTEKIDELQAVLKELKADLDRARKGKPLLKSSDGKTKKNLNPEALEKKIAQTTTKIEKMQRDMNAKEDLKTVALGTSKINYLDPRITVAWCKRHEVPIEKIFNKSLLAKFAWAMDVDPDFRF >OIV98589 pep chromosome:LupAngTanjil_v1.0:LG13:16961901:16965881:1 gene:TanjilG_12175 transcript:OIV98589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSILTSTLLPSSLLIPRRTKPINSPNNKVVFHKNDHYLNRIVTQKKKASFCIQAQAQQVEPSIPSSPIIYPTVRPKFVLFGSSIVQFSYHEGWGATLAHLYARQVDLILRGYAGWNSRNALRVLDSVFPKNATQQPSLVIVYFGGNDATNPRPGGNGPHVPLEEYKENMKKIVIHLQRPMTNESHRIYSEAGLEVSRELNIKAIDLWSAIQEREDWKDVSFSDGIHFTNEGSKVVSREILKVLREADWKPSLHWRDMPIEFEEYYTLPTGPGGEPTDEQTLVSLQV >OIV98884 pep chromosome:LupAngTanjil_v1.0:LG13:9430130:9430744:-1 gene:TanjilG_20911 transcript:OIV98884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLACCMVLISLCLCLVVEPAVAAKKGPKSHKVKSAKHTVPAGAPFPSAVPTEQALIQQLCKDTRKPKLCRQIVQGDNVALEPVTEAKIAIDIAISMASRASVYLSKQLKTNRVKLVTRGPLEVCKLNYENAVADLNLSYINFEANTKKASQSLKQAEVKVGSCVDALKLGGKDAEIPPVLEANKVIKSLIKAAQSGAKKQAH >OIV98843 pep chromosome:LupAngTanjil_v1.0:LG13:11506593:11509830:-1 gene:TanjilG_08499 transcript:OIV98843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPTNQGVEATPHTTTTPNWVRTVKVSNVSATTTENDIKEFFSFSGDIRYIEMQRGVENTQIAYVTFKESQGADTSIFLTGSNINNVPVTITLVENYQLPPEAPPSPDKKQTSVVVKKAEDVVSTMLAKGYILGKDAVNKAKSFDERHNLTSKASATVASIDDKMGLTGKISVGTTIVSEKVKVVDEKFQVSGKTKSAIAIAEQKASSAGSVIMNNHYVSSGATLVSNAINVVAKAVEDVGIMTKEKVELAEVEKKEIIYSEKKGNVDELAQIQYEKPSVVTPPQVLAKSSDDGKLGII >OIV98598 pep chromosome:LupAngTanjil_v1.0:LG13:16780015:16783191:1 gene:TanjilG_23037 transcript:OIV98598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRESEANALLNWKASLDNQSQVSLSSWYGNNPCSNWVGIHCDESKSVSNISLRDMGLRGMLHSLNFSSFPNIHTIDIRNNSFNETIPSQIGLLLSNLETLDFSLNQLSGSIPKSIGNLLKLSYLYISQNSLSGPIPSEIGMMSSMNVFDFSSNFLSGRIPPTLGNLSNLRELYLYNNTLSGPIPSEIGLISSMQDLDFSDNFLFGRIPATLGNLSNLQNLYIYNSTLSSSIPDEVGKLYSLTGMHLSHNNLSGSIPISVGPIPKSLKSCSSLRRLRLEQNQLTENMTQCTFECPNLNYIDLSDNNLYGNLSPNWGKCYKLTSLKISDNNLSGVIPQELGEATNLHELHLSSNHLIGQIPKELGKLTLLIKLLISSNLFSGNVPIEITSLKDLQFLGLAENDFSGFITKQLGSLPNLLLLNLSYNKFVGHIPLEFDKFKQLQSLDLSKNFFGGKIPFILGKLKYLETLNLSHNNLSGTIPSDFDDMLSLTNVDISYNQLEGPLPNIPAFQKASINALRNNKGLCGKISGLEPCPPTSSRKSHHHQNTKVIVLVLSISLSILLLMLLVFFISCRLHRSATTNENQVVEPQNQNLFAIWSYDGKMVYENIIDATEDFDNKYLIGVGGCGSVYRAELPYGEVVAVKKLHSIPNEESSILKAFQSEIRALTEIRHRNIVKLYGFCSHSLHSFLVYDLVERGSIDKILQNDAHANEFHWNRRVNVIKGVANALSYMHHDCSPPIIHRDISSKNVLLDLEYKAHVSDFGTSKFLNPDSSNLTSFAGTYGYIAPELAYTIEVNEKCDVYSFGVFALEVIFGKHPGEIISSTMLSSSFEVDNFLLNDNLDQRLPRPMNPIDKEIISILRIATSCLRENPHSRPTMECVVKELLILNAASTN >OIV99162 pep chromosome:LupAngTanjil_v1.0:LG13:4557093:4557701:1 gene:TanjilG_01137 transcript:OIV99162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSPRTSFNNSNNSIGLHVPNTPPMTPKTVPRSDSNNPYPTTFVQADSSTFKQVVQMLTGSQESTKPTTPQPPSSRNFNIPPKKKHQGFKLYERRNSNMKNRLMINTLLPNLAHNNKHEILSPSLLDFPSLSLLSPITPLNDHDPFDKSSSSSLGSSSEEDKAIAEKGFYLHPSPMSTPREFEPQLLPLFPLSSPRVSESPS >OIV98894 pep chromosome:LupAngTanjil_v1.0:LG13:7510024:7511053:1 gene:TanjilG_07329 transcript:OIV98894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSDECKLKFLELKAKRNYRFIVFKIENQEVVVDKVGSPQETYDDFTASLPHDECRYAVFDFDFTTIENVQKSKIFFIAWAPDISKVRHKMVYASSKDRFKRELDGIQVELQATDPSEMSIDIIKGRAI >OIV99016 pep chromosome:LupAngTanjil_v1.0:LG13:4938385:4939836:1 gene:TanjilG_32275 transcript:OIV99016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVTTKATSPFRLSSLLRSQKDPSLAFQLFLNPNPKTPNSNSNSNADSNADSNSNTKTQTRSFRYSLLSYDLIITKLGRAKMFPEMEQVLQLLRHETRFNIPEPLLCHVISFYAHARLPSRAIHFFHSIPSFRSTRTVKSFNSLLNALLISRQFHKFGEFVSRVHEFAHPDACTYNIMINACCLRGDLDNAYEVFDEMRKRNVQPNVVTYGTLINGLCKNSRLREALKLKEEMERVLKLKPNVLLYTTLIKGACDVGKFGLAFRLKDEMVRNNVKFDAAVYNTIIAALFKGGRKKEGVGVLKEMKKSGCEADIVTYNVLIMEFCREDNFEKAFKVLDKMEAVNVKADVVSYNVIIGGLCKVGKWSEANDLFQDMARRGCAPDVVTYRTLFDGLCDSMQVKEAAFVLDEMMFKGYVPLSTTLSRFVHGLCQHGDFNFELLVTVLSGLGRGNFLHEDIWEIVVSRVCKPEKLLEPFEILDTLVV >OIV98927 pep chromosome:LupAngTanjil_v1.0:LG13:8329111:8331918:-1 gene:TanjilG_07362 transcript:OIV98927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAKSNSSSEPSTSYKCYKVAGLTGTILDTNHTSNLKDRYILGEQLGLGQFGVIRACSDKLTGEVLACKSIAKDRLVTANDLRSVKHEIEIMATLSGHPNVVDLKAVYEEEGYVHLVMELCAGGELFYRLEKHGRFSESEARILFRHLMQVVLYCHENGVVHRDLKPENILLATKASSSPIKLADFGLATYIKPGQSLHGLVGSPFYIAPEVLAGAYNQAADVWSAGVILYILLSGMAPFWGKTKSRIFEAVKAADLRFPPDPWDRISVSAKELIRGMLCTEASKRLTAEEVLDHCWMQSNQSNPEQLSEHRIQSCEEWDVGGSSFSASFMSRNQDISFGAGSPACDAQSPTFTCRSSFFSFVEPVTPCLVSGGFSFQSASDSTSLEFSSPVPSMLSFSFLSPSPVVEQKSFKSKCSTNTSDVNTVAGEASLGKLLLLPDSTVCSGKDVKETDHKPIETKWAGGTNGYNRVLGIHSKRNRTIGLGEHEQLDLVVTESVIRWSSCTQLSTSLRLSLVC >OIV98772 pep chromosome:LupAngTanjil_v1.0:LG13:13749334:13751319:-1 gene:TanjilG_20518 transcript:OIV98772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDSDEDQRLPHHKEPKEFVSLDKLAELGVLSWRLDADNHETDPELKKIREERGYNYMDVCEVCPEKLPNYEQKIKNFFEEHLHTDEEIRFAAAGSGYFDVRDRNEAWIRVWVKKGGLIILPAGMYHRFTLDENNYIKALRFFVGEPVWTPYNRPHDHLPAREQYIKAFVEKDGANQAVDAAA >OIV98747 pep chromosome:LupAngTanjil_v1.0:LG13:14204744:14211022:-1 gene:TanjilG_24918 transcript:OIV98747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSMEYCIDFLSCLDADTCIKILKSLDDPADLVRLSCVSQSSRHYVISNGLCKQLCLRMFPQLSRVSHVVELNEHEAKEQTEVGSSYSMEWLGLVREHRIYSYLARASTSSVDMNCIAKTLGASSTDNFPQESIDNTLEPRDTIAGRFFYWSSSGQSNPNVPETLTYELISQICVISEINIQPFQADFQLGSPIYSAKSVRFKMGHPITSLDPSADEKFVWTYTSPEFPMAQFFPFLKLKKVIRNYADMKDLPKEMEKEFTNEIVPVQMLLLELLHNREKTCLKWLIQRKGHPGGEATLDDAMENRLQKFKLPEPVLCIGGILQIELLGRVQRQEMDDLFYICISYVQIVGCSLSPAYSVEILEPSGRFVLKSDQLARCQPLLPSEEESGAISTDHMPVGLRDFPHIVNILQEHVIGVVEHDWDEYEVDDFEEEYAV >OIV99188 pep chromosome:LupAngTanjil_v1.0:LG13:4027540:4037975:1 gene:TanjilG_19684 transcript:OIV99188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPILLRSLFSSSRKFSPTSPLTPSRFFSKLNHFTRAFSSASSAATAPTSSAASLDPSHLRNVAVIAHVDHGKTTLMDRLLRQCGADIPHERAMDSISLERERGITISSKVTSITWKENELNMVDTPGHADFGGEVERVVGMVEGAILVVDAGEGPLAQTKFVLAKALKYGLRPILLMNKVDRPSVTVETCNEVESLVFDLFANLGATEEQLDFPVLYASAKEGWASTTFTKDPPAEAKNMSQLLDAIVSHVLPPNASIDAPFQMLVSMMERDFYLGRILTGRVYSGVVRVGDRVHGLRNNDSVAEKIEDGKVVKVMKKKGTVMIPVDCAGAGDIISIAGLATPSIGHTVSTVEVMSVLPTVVLDPPTISMTFGVNDSPLAGRDGTHLTGGRIGDRLMAEAETNLAINVLPGISESFEVQGRGELQLGILIENMRREGFELSVSPPKVMYKTENGQKLEPVEEVTIEVNDEHVGLVMEALSHRRAEVTDMGPVSGTVGRTRLSLTCPSRGLVGYRSVFSTDTRGTGFMHCAFSAYEKYRGPLGNVRKGVLVSMGYGTITAHALMSLEARGTLFVSPGMETYDGMIVGEHSKDTDLDINPVRAKALTNVRAASKDENVKLTPPRLITLEEAIGYVASDELIEVTPKSIRLRKKYLDVNKRKTMSRRPRE >OIV99014 pep chromosome:LupAngTanjil_v1.0:LG13:4917661:4920029:1 gene:TanjilG_32273 transcript:OIV99014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSQEVASGKVTRDKYRSFLHDEADTTLWRHGGPPTYDDVNKLFEEGRTKEWPKGSLEEIVQNAIKSWEMELSHKTRLKDFKTINPEKFKLFVNGREGLSAEETLRLGSYNALLKSSLPEKLKYYKADEETFESSHEAFRSAFPRGFAWEVIKVYTGPPEIAFKFRHWGFSEGPFKGHAPTGKLVEFYGLGTLKVDESLKVEEAEVYYDPADLVGDLLSGTHLDDKSQTSQGCSFSK >OIV98578 pep chromosome:LupAngTanjil_v1.0:LG13:17110261:17119035:-1 gene:TanjilG_12164 transcript:OIV98578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAYALHLAMAALVGASAVAISAYFLHRKTLSQILEFARAVEGDETTLDGDVVDSSPKRHLRKHLIARKKGNGYYRRFSTSLPDVTVISGGGEVDSVEGIPAGLPRLHMLREAKSAHPGTPKRNILRAISPKSPALNASAFESVEESDDDIADNAELDATYLHTNGDVGPESKNIYETLPDHVNANEDKIQLTASTSMIRSHSISGDLHGVQPDPIAADILRKVPEHETFVRLEVTPLEGPSPDEVEAYVVLQECLEMRKQYLFREAVAPWEKEVISDPCTPKPNPDPFFFAPEGKSDHYFEMQDGVIHVYPNKDSKEELFPVADATTFFTDLHHILRVIAAGNIRTLCHYRLNLLEQKFNLHLMLNADREFLAQKSAPHRDFYNVRKVDTHVHHSACMNQKHLLRFIKSKLRKEPDEVVIFRDGTYLTLKEVFESLDLTGYDLNVDLLDVHADKSTFHRFDKFNLKYNPCGQSRLREIFLKQDNLIQGRFLGELTKQVFSDLEASKYQMAEYRISIYGRKQSEWDQLASWIVNNELYSENVVWLIQLPRLYNVYKEMGIVTSFQNILDNIFIPLFEATVDPDSHPQLHVFLKQVVGLDLVDDESKPERRPTKHMPTPAQWTNVFNPAFSYYVYYCYANLYTLNKLRESKGMTTIKFRPHSGEAGDIDHLAATFLTTHNIAHGINLRKSPVLQYLYYLAQIGLAMSPLSNNSLFLDYHRNPLPLFFSRGLNVSLSTDDPLQIHLTKEPLVEEYSIAASVWKLSACDLCEIARNSVYQSGFSHALKSHWIGKEYYKRGPNGNDIHRTNVPHIRLEFRDTIWREEMQQVYLGKAIIPEEVDK >OIV99300 pep chromosome:LupAngTanjil_v1.0:LG13:2850803:2854901:1 gene:TanjilG_17110 transcript:OIV99300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLFHSVTLLLLFLGLLFHGGMTTYMKSQDESEEWGYVQVRPKAHMFWWLYKSPYRVENPSKPWPIILWLQGGPGASGVGIGNFEEIGPLDTFLKPRNSTWLKKADLLFVDNPVGTGYSFVENDDLFVKTDEEAATDLTTLLIKIFNRDERLQKSPLFIVAESYGGKFAVTLGLSVFKAIQEKRLKLILGGVALGNSWISPEDFVLSWGPLLKDLSRIDENGLQESNKIALKIKQQLENGKFVDATNLWSELETVISSRSNNVNFYNLLLDSGSDSVALSTKETRLFREISKKRYSNYLSSKRLRFSPGGNGDLSSLLNGPIKKKLRIIPKNVTWGGQSDRVFDVLEGEFMKPRINEVDELLAKGVNVTVYNGQVDLICATKGAEAWIRKLKWEGLKTFLGKDRTPLYCETEEHTKGFYRSYKNLDFYWILGAGHFVPTDQPCVTLDMVAAITHSPATQK >OIV98725 pep chromosome:LupAngTanjil_v1.0:LG13:14669042:14671004:-1 gene:TanjilG_24896 transcript:OIV98725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLGLLKEAQELLTAFYYTDFVPFLGWIDKLTGLMGRLEKMFKVLDGFYQNVIDEHLDPKREKLEDEEDIIDALLQQKNDPSNAMDLTPGHIKPIIMNLIVGGADTSAAALVWAMTSLMKNPRVMHKVQSEVRNLFSGKDFIEENDIQKLPYLKAVIKETFRLYPPVPLLVTRETMKKCNIGGYEIPEKTLVYVNAWALHRDHETWKDPEEFYPERFLENNIDFRGQDFELIPFGSGRRICPGVHMAVATIEVTLANLICSFDWEMAQGMKSEDIDIDVLPGVVQHKKNHLCVVAKKCV >OIV98800 pep chromosome:LupAngTanjil_v1.0:LG13:11969397:11971024:-1 gene:TanjilG_25046 transcript:OIV98800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSGNDFTINDHGDREMATRWATAFLFGQTLSVVSPEMAYASNTVKMNEIYEVGELFDLGIQLLYLLLLLGLLGAGTYSVIRQVLVRRELDLSAKELQEQIRSGDADATGLYELGAVMLRRKFYPAATKYLLQAIEKWDGDDQDLAQVYNALGVSYVRDDKLEKGITQFETAVKLQPGYVTAWNNLGDAYEKKKEYKAALMAFEEVLLFDPDNKIAQPRRDAMKEQVEMYKGVPLKSKEKR >OIV99417 pep chromosome:LupAngTanjil_v1.0:LG13:1934129:1935244:1 gene:TanjilG_17227 transcript:OIV99417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTSFELRHWPPLIYALAFCLIGIIVIADDHVEQSYYNYPHPTTPKHAEHPPYYYKSFPYYHKSPPPPSPSPPPPPLYGDKSPPLPSPSSSYVKLPPPPPPPYVYKPPPPPSPFPHFPYIYKSHPPPSPSPQYPPYVYKLPPLSPPSPSPPPRYIYKSPPPPRYVYKSPPPPSPPLPYVYKSPPIPSPLPPSTHIYKSPPPPHVFKSPPPPSLSSLPPLYGYKSPPPPQSLYVYKSPPPPSPSLSSPPPPYIYKSLPSPPPSPSPSPLLHISNCPPPSSPFVYKFPPPSSPSPPLPYIYKSPPPPPPSPSPPPLYVYKSPPPPPYVNKSPPPLSPSPPPPYIYTTPLSLSPSSPPPHHPYLYNSAPPPVHY >OIV99287 pep chromosome:LupAngTanjil_v1.0:LG13:3017437:3022147:1 gene:TanjilG_17097 transcript:OIV99287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILLLRPSLSSSPCVSDHRNKTFFPLRTTRHVSIRCSSTVQEIDNSEKELRSSSLTFPPLRAAKRVVLVRHGQSTWNAEGRIQGSSDFSVLTNKGLSQAEISRQMLINDRFDACFASPLARSKRTAEIIWGAREEQIIPEYDLREIDLYSFQGLLKHEGQERFGSAFRQWQVDAVNFVIDGHYPVRELWERARSCWPKILAHDSRSVLVVAHNAVNQALIASAIGLGPEYFRSLLQSNCGVSVLDFIPRSEGGSPHICLNRLNQTPGSPIADEKSGGRETNKRIILVCHGSTLSNTEDGFSFAGDRPLDMLGVIQSQKSAELLLDLKVNSIISSPNKACVETAMAISKVQEAADCLGADCVPRHVELKQIGNLDIETIFQQSKMASNLQLKLLKCRMSVSLDTMDISSFPTIQSGWLNRIEDVLKTTLWDQSKKIWQSVLDEISDESSTDVAVVVGHPAIHIALLGHCLNLTKEWFGSFHLDAGSISVLDFPEGPKGGGVVRCINYTAHLGRWSIPITRSAEDGE >OIV98901 pep chromosome:LupAngTanjil_v1.0:LG13:7665592:7667777:-1 gene:TanjilG_07336 transcript:OIV98901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQKISYCLNISRILCNQPFHCDGDDNGDRNGFELVEEPLKKMCVDTNESDDSSEDVNYNRRKFSPRIRLKMDVERVVNVFGQDDPMFDFGLALDELHIKPSVILVREVLFVMLKRINCENKGRCAKLAYKFFVWCSQQEGYRHTANSYNLIMQIFAECEELKAMWRLVDEMIENGVPATARTFNILICTCSEAGFARRLVVKFVKSKSFNYRPFKHSYNAILQCLLVLNQYKLIEWVYQQMLLDGFSSDILTYNIVMFAKYRLGKLYQFHRLLDEMNRHGLSPDFHTYNILLHVLGKGDKPLAALNLLSHMRETGMEPTVLHFTTLIDGLSRAGNLDACDYFFDEMIKNGCMPDVVAYTVMITGYVVAGEFQKAQEMFNEMISRGQIPNVFTYNSMIRGLCLAGKFDEAFSMLKEMEAKVKIEKRIAMWRAECADSELLDVILDMYECNVHLVRDVLLQTPICCAY >OIV99292 pep chromosome:LupAngTanjil_v1.0:LG13:2966689:2971635:1 gene:TanjilG_17102 transcript:OIV99292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVESQPKFFIPESIHGVSTDLAAQVQMIWDVIKAPLVVPMLNVGVVICLVMALMLFCERLYMGIVIILVKLFWKKPEQRYKYEPIQDDVEVGSSNFPVVLIQIPMFNEKEVYKVSIGAACGLSWPSDRLVIQVLDDSTDPAIKQLVEMECQRWASKGLNITYQIRENRTGYKAGALKEGLKRSYVKHCEYVAIFDADFRPEPDFLRRAIPFLVGNPDIALVQARWRFVNSDECLLTRMQEMSLDYHFTVEQEVGSATHAFFGFNGTAGVWRIAAINEAGGWKDRTTVEDMDLAVRASLRGWKFIYLGDLQAKSELPSTLRAFRFQQHRWSCGPANLFRKMVMEIVKNKKVRFWKKVYVIYSFFFVRKIIAHMVTFFFYCVVLPLTILVPEVHVPIWGAVYIPSIITILNSVGTPRSIHLLFYWILFENVMSLHRTKATLIGLFEAGRVNEWVVTEKLGDSVNNNKKDAAKKTNVKAPKKRSKIIERLNLLELGFAVFLFICGCYDFVHGKNNYFIYLFLQTISFSIVGFGYVGTIV >OIV99053 pep chromosome:LupAngTanjil_v1.0:LG13:5340839:5361249:-1 gene:TanjilG_32312 transcript:OIV99053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHLRHRRDSIPATTRWQNKFDENLEQWPHLNELVHCYTNDWVKDDNKYGHYESIGTPSFRNQIYEGPDTDVETGLEVEVVMLYLGSSPKMRLASARRTKGEVVSEDDIPSTSGRHFLEAAADVALDVPKQHVGQSPLPAYEPAFDWENERSLIFGQRMLENPISHGMKISVKVQSLQFQAGLAEPFYGTICLYNRERREKLSEDFYFHVFPTEMQDAKITYEHRAVFYLDAPSASVCFLIKLEKHATEEGGVTPSVYSRKDPVHLTEREKQKLQVWSQIMPYKESFSWAIVSLFDSSTGAASVGPASPSSPLARSVSGSSSHEGVFETSGKICLDGKLSYSNGNSLVVEVSNLNKVKESYTEESLQDPKRKVHKPVKGVLRLEIEKHQISQADLETVSESGSITNDSVNPGDHIADSSSGKYHSNVSDDPQGSVSKWNFYGGKEVSVNGANQHVNPDFNADFHAFDFRTTTRNEPFLQPFHCLYVYPLTVSLSRKRNLFIRVELREDDGDVRRHPLEAMHPRDPGSDASFLKWAHTQVAVGARVACYHDEIKLSLPAMWTPMHHLLFTFYHVDLHTKLESPKPVVIGYAALPLSSHAQYFLLDRCCLAKLKLIAYDGNANYKFNDCIGDAMQCAAESRLRSEVTLPIMKELVPHYLQDVGRERLDYFDDGKSVFKMRLRLCSSLYPINERIRDFYLEYDRHTLRTSPPWGSELLEAINSLKNVDSTALLQFLHPVLNMLLHLIGNGGETLQVAAFRAMVNIVTRVQQESVDDGERNHFLLNYVDCAFDDFGGRQPPVYPGLSTVWGSLARSKAKGYRVGPVYDDVLAMAWFFLELIVKSMALEKTRLFYHSLPIGEDIPPMQLKDGVFRCIMQLYDCLLTEVHERCKKGLALAKRLNSSLAFFCYDLLSVIEPRQVFELVSLYLDKFSGVCQPVIHECKLTFLQILCDHDLFVEMPGRDPSDRNYLSSVLIQELFLSWDHEELSLRTKAARILVVLLCKHEFDMRYQKPEDKLYIAQLYFPLIGQILDEMPVFYNLSSVEKREVSIVILQIVRNLDDASLVKAWQQSIARTRLFFKLMEECLLLFEHKKPADGMLLGSSSRNPVGEAPTSPKYSERLSPAINNYMSEASRQEVRPQGMPDNGYLWERANSQLSSTNQPYSLREALAQAQSSRIGASAQALRESLHPILRQKLELWEENLSASVSLQVLEVTQKFSVMAASHSIATDYGKLDCITAVFMSLLSRNQPLSFWKAFFPVFNSVFDLHGATLMARENDRFLKQVTFHLLRLAVFANENIRRRAVVGLQILVRSSFHYFVQTARLRVMLIITLSELMSDVQVTQMRSDGSLEESGEARRFRKSLDEMKDETKSDCLLKECQLSESALVTLPDKITENMWSWSEVKYLSNGLVLALDASLEHALLSPVMTTDRYAAAESFYKLAMAFAPVPDLYIMWLLHLCDAHQEMQSWAEAAQCAVAVAGVVMQALVARNDGVWSKDHVASLRKICPMVNSEISSEASAAEVEGYGASKLTVDSAVKYLQLANQLFSQAELFHFCASILELVIPVYKSRRAYGQLAKCHTLLTNIYESILEQESSPIPFTDATYYRVGFYGDRFEKLDRKEYVYREPRDVRLGDIMEKLSHIYESRMDGNDTLHIIPDSRQVKADELQPGVCYLQITAVDPVMEDEDLGSRRERMFSISTGSVRSRVFDHFLFDTPFTKNGKTQGGLEDQWKRRTVLQTEGSFPALVNRLLVIKSESLEFSPVENAIGMIETRTAALRNELEEPRSSEGDQLPRLQSLQRILQGSVAVQVNSGVLSVCTAFLSGEPATRLRSQELQQLIAALLEFMAVCKRAIRLHFRLIGEEDQDFHTQLVNGFQSLTAELSHYIPAILSEL >OIV98572 pep chromosome:LupAngTanjil_v1.0:LG13:17180522:17182169:-1 gene:TanjilG_12158 transcript:OIV98572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMGESHGSECSKTSLCCNENGSECEANDNERSSSKSSIEENEKKKSVRPYVRSKLPRLRWTHDLHLRFIHAVQRLGGQEKATPKLVLQLMNIKGLSIAHVKSHLQMYRSKKVEDTNQVLADHRLMVESEDKNVYNLSQLPMLQGYNPSQNSAYRYGYGDASSLVVYENMINSPFIARIPLDESRAGLYNRMNERIYEPKDEEFISFGNHVRPIRAKQFMRDNNVPLNPLEVKKTLKRKGSDINLDLSLKLNSSKVTSEEQGSMVEDLEVDSNLSLSLLCQSSSSYLSRRLKETQDYCKDKRVSDLNLTI >OIV99198 pep chromosome:LupAngTanjil_v1.0:LG13:3911602:3912006:1 gene:TanjilG_19694 transcript:OIV99198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSNRTVPVKRKHHIGEVAGGTAAECAAVCCCCPCAVVHFVVMAVYTVPKGLLKKAVIKMRKRHRLLDHSANTNSEKNNNNDVVSSEQETSNGMLVGPMALEEKEWMEEVELENEMWARFTGTGFWRSDSQRKP >OIV98596 pep chromosome:LupAngTanjil_v1.0:LG13:16746183:16747608:1 gene:TanjilG_23035 transcript:OIV98596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRGIEVLSLASHLQNSNWLFQESKGGAQWTPQENKLFENALAFYDKDSPDRWLRVAAMIPGKTVADVIKQYKELEEDVSVIEAGLIPVPGYSTSSFTLEGIEVDNHGFDGFEQFEQFEQFYSVGGKRGASTRPSEQERKKGVPWTEEEHRLFLLGLKKYGKGDWRNISRKFVTTRTPTQVASHAQKYFIRQLTGGKDKRRSSIHDITMVNLSETKSPSSDSDRPHSPDHSANAANQQQNQKLSSMIKQEYDWNLPYKSMPMVFNSTNGNKFDAPMLGISSYGLKQQEHSLLRGTLHGGQFGPYNTIL >OIV98804 pep chromosome:LupAngTanjil_v1.0:LG13:12020507:12025104:-1 gene:TanjilG_25050 transcript:OIV98804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNVSEEESKIPVFTVLKNNAIFKNIFILNKSPQPSPSKKPNSSSVVVKDHENVLVVGRHPDCDIMLTHPSISRFHLQIRSKPSSQSISIIDLSSVHGTWVSEKKVEPGVSVEMKEGDTLRIGASSRVYRLHWIPVSRTYDLDEPFVSELDVVLEAQNEEQEQVQNLKCCPDEIEEIQLEDSILECIKLLFLDENLEVTVEKDIAAAPPMPEDTFSFCCPEDRKSPSKDGVFGFLSEPCGTGTSYLPTTSDWEKELCDSLNQELSVKMEIPMLEGVISFCCEEEMSQSKDKMFGIPNDPLGAKNGLRDSLNQVLALPYVESSVECIRTLTEYLSESICLPVVEAVQGTKMQQFHTTLDKFTSSLPPDPATFDGKCAAGAVIPEESECGYMLRDDEQVRDRTAAAEFFNSENTFLQVEEVVCNTTCQNKVVEEIAIDSLCDGKKQDTRGEEHKSELSHLNAKYCSLDESVQDIGNNCTDSICPISNQIESVNSSTPQKAVLKITKEDLLPQTDIEFLESSGESMEQKSTIGNIWSRRGKVASAPQIRTSKSTFKSKDSVDIKVTMSNVKDIPKTDSEDCFSVLDDGQEEEEIFTPDKENFSPNTLQLRFLKKKGKLEKTKRSKSKRSRNSKDTCSPNFYPNGSIISNPSKENQMDIISKTVSRDLFSHFDGEEEIFTPDKENFSPNTHQLWTLKKNGKLKETKFSEWSQKSKENFSPNIYPDERISSTSNKENQTLKVVQEQKSRRKPFGSHIKMAQEQGVMTSNRVERVPFQVLNPFGSHIKMAQEQGVMASNRVERVPFQVLNPFGSHIKMAQEQGVMASKRVEKVPFQVLNNPGGKSKSRTSCHVSATKSKSRTSCHVSATKSIGISDSGQILDKRVNFSDITGEQKKSWDMVVDTASLMNRESRKALQLLQGLKGTRLIIPRLVVGELNRMKQQFSFFRRISEASLALEWIEECMVKTNWWIHIQSSMEVESMVAPTPPAFPYTQFSLQSLSFRRSSGEVASPTVEDDILDFVKYRRKQNDGQLVQLSEDVTLKIKCMAEGLLCESVQVFRESLVNPFSERFLWANSSPRGQTWSCQDDVVLREKYCPFLSKKSSKGAASGLKLILLHNSQYNEH >OIV99126 pep chromosome:LupAngTanjil_v1.0:LG13:4749517:4752468:-1 gene:TanjilG_22706 transcript:OIV99126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKPSMLRSLHSAVSRGSSHLSRRGYATEPAPTRKVAILGAAGGIGQPLSLLLKLNPLVSSLSLYDIGGTPGVAADVSHINTRSEVVGYQGEEQLGKALEGADVVIIPAGVPRKPGMTRDDLFNINAGIVKSLAAAIAKYSPHALVNIISNPVNSTVPIAAEVFKKAGTYDEKRLFGVTTLDVVRAKTFYAGKANVSVADVNVPVVGGHAGITILPLFSQATPQANLDDDVIQALTKRTQDGGTEVVEAKAGKGSATLSMAYAGAYFADACLKGLNGVPDVIEPSFVQSNVTELPFFASKVRLGKNGAEEVFGLGTLSDYEKQGLENLKAELASSIEKGIKFANQ >OIV98830 pep chromosome:LupAngTanjil_v1.0:LG13:11093350:11097080:1 gene:TanjilG_08486 transcript:OIV98830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKARPLPLPTSQINLADHHLRPATDQSSPHVDQNRNKVMERRAHTLPLPPTEISVTDHSTGAANDQRSPYSDRERTELMERRAQPLPLPPTQINVVDHPLGPATDHCLGKPLPQQPQLAKQTRAPPRAPSCICSSAYRLLTGISRWSRKPRRTQARMRSNHPQTEVTPSTDHPTRFQGERVGSNVMHRSVSEESINSPVNLDCRGNQAVSSYQRFPISSKDAPNILRRGSKSQGPDDSVLKLANKRHDADGERENNKGRALKHQVQLNNHYETMKKAQRELDHKVRGNNSSKQEPWDMGYYYDVTNERDEGALAPWSARKVTSSRQEDRSKPRRVYLSEEMLKAKSGDNNQKGKTIGSSTYHGQESSLASNKNSLYELNSHEQSDDISDVLELLKQAKISLQQKINIGTSIQTSPLVGRSEGSGMPVRSSSLFQLPTDFSDDATARYNFRESNSQFGLNAYPGRDTSRNFYGNLGTNAYPGTMLTSADDRSIANRYMGTGSTFDPTRIPPHFSREAYTKFSSVDSTPESSPDISPGRGISGTSGNYPSLANGYIRPRPTFDPSTLPPRFIEETYARFNHVDSTPESSPNNSPGRGISGTSGGQGSTIPRSGSISKSHSDDGSLSTGHKETGLRLDAEGSPSVPLHLSSSKYMDPPTFPISPSYENATHSLPIVW >OIV98765 pep chromosome:LupAngTanjil_v1.0:LG13:13466554:13472909:-1 gene:TanjilG_20511 transcript:OIV98765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKREQGKSGGSAGGGSAPSAKRGRPFGSNSSAAAAAAASADSAAPSTLLGPSLHVHNSFADQNNKRIVLALQSGLKSEMTWALNTLTLLSFKEKDDVRKDATPLAKIPGLLDALLQVIDDWRDIALPKELVKKSRVRSLGANSAVTGFGNNEHQALGSTGTLHRPGLVSGTTGTESTQQNGVAKSRFSELWFDEDSLFNLDEEGRAEKQQCAVGASNIIRNFSFMPDNEVIMAQHRHCLETVFQCIEDHITEDEELVTNALETIVNLAPLLDLRIFSSSKPSFIKITEKSAVQAIMGMLESTVKAWHCAAAELLGRLIINPDNEPFLLPFFPQIHKRLVDLISFPALDAQAAAIGALYNLAEVNMDCRLKIASERWAIDRLLKVIKTPHPVPEVCRKAAMILESLVSEPQNRNLLLAYENAFAEILFTECRYSDTFARILYELTSKPNNKVATARGIWGM >OIV99240 pep chromosome:LupAngTanjil_v1.0:LG13:3507932:3508604:1 gene:TanjilG_06545 transcript:OIV99240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMDQYFEEAVPEIGSFEDKPYSGKWKSSSDVIADSDTNASGGFDCNICLERVEDPVVTLCGHLYCWPCIYKWLSSETEEQQKSQCPVCKSEVSRSSLVPLYCHGQTRRASEGKGHQVGTVIPPRPPAPTSYNTTSASHPTSQSYHRHHPHHPYHPHYPQQLNSIPTSYTSPMLSTSSLQDMPFGLFGVTQGSEVI >OIV99050 pep chromosome:LupAngTanjil_v1.0:LG13:5314443:5316299:1 gene:TanjilG_32309 transcript:OIV99050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSTYLNMDLDFHQPPNSKMSTIAPQLLAPEHHNYPIYHQDESFSLPKTNVDPYFAQTSFLYPEIYPHLLPYNDPVISLSDIFPTEEDHCNNLLQCPKRQKCFYEELEQSPNNNFIDGFVPNDPFPEEELVLPLSEQQLFFDAIPNFQVPQLPQDNIIRAYGAGYQYENEYERTSKEKNTISMQSLAARERRRKITEKTQELGKLVPGGSRMNTAEMLHAAGKYVQYMQAQVQMLQLMDTLQEYKASPPSENLHTLLGSLSVQEKMYSENKCIVSKDFITTLTNHANLQSKPSILKDLMQLIGSGID >OIV98825 pep chromosome:LupAngTanjil_v1.0:LG13:10634374:10637735:1 gene:TanjilG_08481 transcript:OIV98825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSHVFSLSGNTSLLRQALPVLVQPYKYLLSRTSPNPMLLLRSTAINSHILVSSNTGLPRHHSLLATISIRNFTTRATHVNDTGSIDLPLIQSMQNKIKEQLNAESVTVKDAYGDGRHVSIDVVSNAFEGKSAVNRQRMVYKAIWEELQTTVHAVDQMTTSTPAEAALRNDK >OIV98707 pep chromosome:LupAngTanjil_v1.0:LG13:14970077:14972502:-1 gene:TanjilG_24878 transcript:OIV98707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTRVHYLVLAYPSQGHINPMLQFSKLLENEGVKITLATTLYYLKNLQKVPSSITLETISDGYDDGKDEEAMKSFKVYIDRFWKVGPQTLGELIEKLGRLGYPIDCVIYDSFFPWALDVAKKYGIVGAVYLTQNMIVNSIYYHFHLGNIQVPLIEHEISLPMLPTLQHKDMPSFFFDKDPTILEFVVAQFCNIEKADWILCNSFYELEKEITDWTRNIWSKLRTIGPSIPSMFLDKRLKDDEEYGVSQFTSEECMKWLDDKPKGSVVYISFGSLAIFDEEQTEEIANGLKDSGTYFLWVVRASEETKLPKDFEKKTEKGFVVTWCSQLKVLAHESVGCFVTHCGWNSTLETLSIGVPTIAVPYWSDQSTNAKCFADVWKMGIRAPIDEKKIVRRKVLKDCIKEVMDSERGKEMKNNAMQWKIMAAKAVGEGGSSHENIKEFVKSFV >OIV99202 pep chromosome:LupAngTanjil_v1.0:LG13:3873965:3875587:1 gene:TanjilG_19698 transcript:OIV99202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSEEQSQPHIVLAIDVPVTNTETICKSQIYIQSSLSSFLALFHAGYFRISLSLSSQALLLKILIEPIQDAHALRPLFSVIPSTALILLWSLALFTLVTLSFLYVLKCVFHFKMVKDEFLDHVGVNYLFVPWICCLLLLESSPLLHPTTLYYQILWWVFVAPILVLDVKIYGQWFTKGKRFLSTVANPTSQLSVIGNLVGAQVAAQMGWKESAICMFSLGIAHYLVLFVTLYQRLPGNNNLPPMLRPVFFLFFAAPSMASLAWNSICGKFDTGSKMFFFLSLFLFICLVSRPMLFKKSMKKFSVAWWAYSFPLTALALASTQYALEVDGVMAHATMLLLSTLSVLVSLILIIFTSLNIRMPLPAQNFDLTKKSNCEAT >OIV99573 pep chromosome:LupAngTanjil_v1.0:LG13:940754:943377:-1 gene:TanjilG_17383 transcript:OIV99573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRTGRTPVRSYRRRKIVLDIDLNYVPSGENREQEGPSIQLAPQQVQVVQQPQAVQEPPIQLGTGQVQAVRQMQAVQPPTIDVEAFDDDVIESNPRAFAEAKNKNNSRRNRRRTIVDVDLEDQTRVTNNSCNKRNRVSPGQRIINCDHYDLCINLEVSNSDMMKHMPPPEPPKEPVFNCPICMGPLVEEVSTKCGHIFCKACIKAAISAQNKCPTCRKKITVRELIRVFLPSTS >OIV99486 pep chromosome:LupAngTanjil_v1.0:LG13:1472170:1477535:-1 gene:TanjilG_17296 transcript:OIV99486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEAILGYLEHNDEIKDSGEFAEERKIEHNEIVNVIKSLHGFRYVDAEDIKRESWVLTDEGKIYAEAGSPEMQLFLAIPEEGIPKDELQKKVGPSLFKIGCAQAAKNKWLDMGKQLISRKVQHVDDKVKVLLLQIQQGQVIGSDDIKALKARKLIVPQTWKGYSVKKGPNYAPKRKQVVTDLTRDNFQSGEWKELEFKEYNYSAKGQPLEGGHLHPLLKVRSQLKEIFLCMGFEEMPTNNFVESSFWNFDALFQPQQHPARDSHDTFFLETPSTTNILPEDYVQRVKQVHESGGYGSRGYAYDWKREEANKNLLRTHTTAVSSRMLYQLAKKPFSPKKFFSIDRVFRNEAVDRTHLAEFHQIEGLVCDRGLTLCDLIGVLHDFFSRMGMTKLKFKPAYNPYTEPSMEIFSYHEGFKKWVEVGNSGMFRPEMLQPMGLPEDVQVIAWGLSLERPTMILYGIDNIRDLFGHKVDLGLMKKNPICRLGIE >OIV99263 pep chromosome:LupAngTanjil_v1.0:LG13:3236859:3242814:-1 gene:TanjilG_17073 transcript:OIV99263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESRMDQYEIMEQIGRGAFGAAILVHHKAEKKKYVLKKIRLARQTEKCRRSAHQEMALIARIQHPYILEFKEAWVEKGCYVCIVTGYCEGGDMAELMKRSNGAYFPEEKLCKWFTQILLAVEYLHSNYVLHRDLKCSNIFLTKDQDVRLGDFGLAKTLKADDLASSVVGTPNYMCPELLADIPYGFKSDIWSLGCCIYEMAAHRHAFKAFDMAGLISKINRSSIGPLPPCYSPSLKILIKSMLRKSPEHRPTASDVLKHPYLQPYVQQYRPSFNPPPISRSPEKPISSVHRPRKHMAESQNSNSSSSDKDSLMSNERNIATPVPRCDNKGTDTDVTSIDDDGSEHLLPCEQESYSSNANTKTVEQDLKKPSHSEQRSNVEPKQPKTVRNIMMALKEGKVRETTSPMRGSRVKAGGVLTQKINTETLSRLPKPIFGSPGSKPNLEPPTAALARATPDSVKRIPGSHPPKHQLPMTESTPKTKPRHDSTPLSALIKQVEGDGVPSKARLRTPPSLLRRPSFPTIMRLAGFDVPNAATDTGKLGPNKVAQEPEISHCQLTNGNVPISRQSRNDFGRSSKGIQTDSSNSASSSVSMHGFELSDDATTFIDLREQVLPDHVSVGVESSPDSMPLATCSHDKMVEQVSGETLEVTLDYQNNIGKNEIVSPSLTLDHPVQDSMVEDGLHINQTTIFAASGNGNRSADPPAEATKEIEDLQDISTEMVLTNSLKHPLPNSGVCDVSPESKPDTVSQPKLARNSSGDDKFTVKERLSMVAETAPLITTTKIPSQEVLQEKGTLLQNPAQEKPDAGHLPPAFDDIIHVIRHSSYRVGSDQQQPVRETVEMGVQNVDVGKFINGLRDDLETRNMSSPKTLQSSSCAEAASIKSNISDHCEMRNTNTPATLKSSSISDALSSKSSISDHPNLKEQDMSNHVSLVSESDSTELRKNNTPVTEDKPPASKEILDVKSFRQRAEALEELLELSADLLKQNKLEELQVVLKPFGKDKVSPRETAIWLAKSLKGMSFEESGGRCS >OIV99024 pep chromosome:LupAngTanjil_v1.0:LG13:5017823:5018938:1 gene:TanjilG_32283 transcript:OIV99024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSSFNHLPPLKRLRFIHQQQQQQQHCASSNSTLPAKKRKESRDSTFFHNPPNPFPTTLYSLPAKKRVCAFHPCDTVSLPFDLNVEYSPSPKKPIKKIQTFTNPSPQKEKLDLDDVENEIDEDDGILCCVCQSTDGDPEDPIVFCDGCDLMVHASCYGNPLSKGIPEGDWFCERCRFDEKTGSSFSCCLCPIKEGAMKRTTDSRWAHIVCAVFVPEVFFSDPEGREGIDCSKVPKKRWSEKCYVCDTRDGCALMCSEIKCGLGFHVTCGVREDLCIEYKEGKKGDTIVAGFCKSHSQIWEKQQLSGKYKIVAIEDKK >OIV98734 pep chromosome:LupAngTanjil_v1.0:LG13:14480038:14481937:-1 gene:TanjilG_24905 transcript:OIV98734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQQIFHENNATNFLIHSNKRSFNGFVAMLTNQQKDKISQMEESDVIVGVLDTGVWPKSDSFKDEGFRPIPKNWKGACVGGNNFTCNNKIIGARYYIEDSARDADGHGSHTTSTVAGNYVHKARCDSSASLSAFDDAIANGVSIISISLGLYSQLNFEEDPIAIGSFHAMAGGILTVNSVGNGGPLPTSISSVSMWMLSVVASTLDRKFIDKSKTIKDLNAPMVADFSTRGPNTIVPEIMKPGISASGVDILASFSQVVSPSNNECDKRSLKYNIISGTSISCPHVVGIAAYMKTFHLGWSPSAIKSAIMTSAKPMKVSNDEVGEYAYGSGHVNHVHVVHPGLIYDISLDDYIQMLCNLGYDNKKIKLISGKNNSSSNATDRSLVRDLNYPAFAIDVKSRIPFTIKFERTVTNVGQPKSNYKASILPNPKIKITVVSETLAFESLYEK >OIV99233 pep chromosome:LupAngTanjil_v1.0:LG13:3539754:3541932:-1 gene:TanjilG_06538 transcript:OIV99233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIQENGNGELGLSGIPLGTKNKYKRMNSELHEDNDDILQAQEDKRNSTWKYVLACAIFASLNNVLLGYDVGVMSGAVIFIKEDLKINEVQVEFLIGILSIVSLLGSLGGGRTSDIIGRKWTMALAAVVFQAGALTMTLAPSYVVLMIGRIVAGVGIGFGVMISPIYIAEISPNVSRGSLTTFPEIFINVGILLGYVSNYAFSGFSAHISWRIMLAVGILPSAFIGFALFVIPESPRWLVMQNRIDEARAVLLKTNEEEKEAEERLAEIQQAVGLANYEEQPVWRELLYPSPALRRMLITGIGIQCFQQISGIDASVYYSPEIFIAAGIENKSKGLVATVAVGITKTIFILVALILIDKLGRKPLLLISTIGMTVCLFSIGVILTFFGQGPIVIALSILFVCGNVAFFSVGLGPVCWVLTSEIFPLRVRAQASALGAVGNRVCSGIVAMSFLSVTRTITFGGAFFLFSGVSALAIVFVYTLVPETKGKSLEQIEIMFQNEHQSQRRETELGDVEQLVHNKTGLTN >OIV99245 pep chromosome:LupAngTanjil_v1.0:LG13:3449181:3453691:1 gene:TanjilG_06550 transcript:OIV99245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMPLGPHHHQPPLPSAPPQHPQPEVPRRSSDMETDKDMSATVIVQNDAVTGHIISTTIGGKNGEPKQTISYMAERVVGTGSFGVVFQAKCLETGEAVAIKKVLQDRRYKNRELQLMRLMDHPNVISLTHCFFSTTSRDELFLNLVMEYVPETMYGVLKHYNNMNQRMPLIYIFRGLAYIHTVSGVCHRDVKPQNLLVHPLTHQVKLCDFGSAKVLVKGETNISYICSRYYRAPELIFGATEYTTSVDIWSAGCVLAELLLGQPLFPGENQVDQLVEIIKVLGTPTREEIRCMNPNYTDFRFPQVKAHPWHKVFHKRMPPEAIDLASRLLQYSPSLRCTALEACTHPFFDELREPNARPPNGRPLPPLFNFKLEIAGASPELINRLIPEHVRWQTGLNSQNSAGT >OIV98922 pep chromosome:LupAngTanjil_v1.0:LG13:8195955:8198738:1 gene:TanjilG_07357 transcript:OIV98922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNIICLKPCIPSHHMLCPNKTTFTKKYSSTTLRASLQDSQPPNSTQQQLNLSVLRFTLGIPGFDESYLPRWIGYGFGSLLLLNHFFGSDSSTVTSAQLSTEVLGLSLASFSIALPYLGKFLKGAQPVDQTTLPEGTEQIFVMSSGIVDGLKEDLAWSSYILLRNTNAVAALICIQGEICTRGYWNVPDNSSKEILLAWFDKKVADAALFDLKDTLYFPQDADSEFQDLVPKGTRSLLVQPMLQISTQSTTGLQKPAGFILLASTVRYAFSIKDRAWIAAVANKLRGNRCV >OIV98880 pep chromosome:LupAngTanjil_v1.0:LG13:9314879:9318501:1 gene:TanjilG_20907 transcript:OIV98880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRFANFTPDLVQKFVFGGRLIFGPDARSLGFTLLLIILPVIIFCVFVGRHLRHEFSSYNAGYAILVVAILFNIYVLVLLFLTSSRDPGIIPRNLHPPEEEFRYDSSVSMDVGGRQTPSLQFPRFKEVMVNGHPVKVKYCDTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGMRNYRYFFMFVSSATILCIYVFSFSAFYIKVLMDREGTVWKAMKESPASVILMAYCFISLWFVGGLTGFHLYLIGTNQTTYENFRYRSDDRINVYNRGCLNNFLEVFCTQVKPSRNNFRAFVQEEVPRTPAPVTARVPESDLGGDARSKVEDDLDIGEDLLKISQRRNIEEIDEDIRSRGSNGPPHNIISDIDSVLGSGRAPTIRSEARHSSWGRSGSWEIGQEILASSNVSERSYVASREARQ >OIV99391 pep chromosome:LupAngTanjil_v1.0:LG13:2134098:2135649:1 gene:TanjilG_17201 transcript:OIV99391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAGLLSQNHVICTNAEDQKNYFSSDSHAGTPPTDYSNSLCSHRSPPSHGSGGSSPPSHGTPSHAPSGGNCGSPLHDPSTPTTPSNPPSGGGGYYNSPPPYGGGNPPTPITVTPPTTPIDPDTPTLPSPPFLPSPSPLTGTCNYWSTHPTIIWGLLGWWGTLGSAFGVPGSSSSVTLPQALSNTRNDGVGALYREGTASYLNSLVNNNFPYTTNQVRERFVASLNSNKAATTQAKLFKMANEGRMKP >OIV99096 pep chromosome:LupAngTanjil_v1.0:LG13:5995946:5997056:1 gene:TanjilG_32355 transcript:OIV99096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLTGKITTEFGIVSPAEKFFHIIAKQLHHVQNITDQVHHGKVHEGDWHAVGSVREWTYVIDGEVTQAKEKFEVVDEDNNTIIVTLFDGDVGKKYKLLKVTLQLNDNKDNDGAGAVIKWTIDYEKIDKDIAAPYGYLGYLTKVTEDIDAHILKA >OIV99060 pep chromosome:LupAngTanjil_v1.0:LG13:5444634:5448542:-1 gene:TanjilG_32319 transcript:OIV99060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKELTTLVKDWETAVRKSAGPKKRLNIIFTPMSVAHVDDDNVVSQIVDKVLSNGEKYKGQWHENSPHGHGKCLWNDGCMYVGEWNNGDIIGKGIFSWSSGATYEGDFKSGGYMDGKGTYIGPIGDAYKGFWVMDLRHGQGTQSYPNGDFYDGGWKKGLQSGQGGYQWKNGNHYIGQWRHGLFHGNGTMIWSNGNRYDGCWEEGLPKGNGTFRWGNGSFYVGVWSNDPKEQNGTYYPSSGSFGDHLEWDFRELFSVDLNNCKVCPCEKVSIFPSHKILNMLGLENDDASVMRRRKMSVDERLSNCSSEDESYNYDGLEIPQVGNSIPKVPHLRLNAPKKQGETISKGHKHYALMLNLQLGIRHAVGRPAPSTSLDLKSSAFDPKEKVWTKFPPEGSKNTPPHPSCDFRWKDYCPVAFRALRKLFKVDPADYMMSLCGNDALRELSSPGKSGSFFYLTNDDRYMIKTMKKAEVKVFLRMLPGYYKHVRAFENTLVTKFYGLHCVRLTGASQKKVRFVIMENLFCSKYPIHRRFDLKGSTFGRSTDKPEAEIEPSTTLKDLDLNYIFRLRKSWFHEFCRQVDRDCDFLQQERIMDYSMLVGLHFREISCSDNITPDAGTPAGNFDDGAPRLSGVDVDDLIVDPSRWIKLGINMPARAELTRRRSVCDPPELVGEPTGELYDIIIFFGIIDVLQNYDISKKLEHAYKALQCDPSSISAVDPRLYSKRFRDFIFSIFVEDT >OIV99621 pep chromosome:LupAngTanjil_v1.0:LG13:621180:626292:-1 gene:TanjilG_17431 transcript:OIV99621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIEATSGSKKESDEVIYGDLNKGSYLVWKDLRLVIPNFGKGPTKRLLNGINGYAEPGRITAIMGPSGSGKSTLLDSLAGRLSKNVVMTGNVLLNGKNQSLGYGFVAYVTQEDVLLGTLTVKETISYSANLRLPTTMTKEEVDNIVDGTIIEMGLQDCADRLIGNWHLRGISGGEKKRVSIALEILTRPSLLFLDEPTSGLDSASAFFVVQTLRNVACDGRTVISSIHQPSSEVFALFDDLFLLSGGETVYFGEAKSAVEFFAEAGFPCPRKRNPSDHFLRCINSDFDIVTATLKGSQRIHDVPNSSDPFMNLATAEIKAMLVEKYRSSNYAKRAKSKIQELSTKEGLETEAQNGSQASWWKQLSTLTKRSMVNMCRDVGYYWLRIIIYIIVSICVGTIYFDIGYGYTSILARGACGAFISGFMTFMSIGGFPSFIEEMKVFYRERLNGYYGVAAYILANFLSSFPFLIAIALTSSTITYNMVKFRPGFIHFVFFTLNILSCISVIESLMMVVASLVPNFLMGIITGAGLIGIMMMTSGFFRLLSDLPKPVWRYPISYISYGAWAIQGSYKNDLLGLEFEPLIPGDPKLSGEYVITHMLGIELDHSKWWDLAALLIILIFYRILFFSILKFKERASPLFKSLYAKRTIQQLEKRPSFRMVPSFPSQRHQPLRSLSSQEGLDSPLN >OIV98633 pep chromosome:LupAngTanjil_v1.0:LG13:16342319:16344294:-1 gene:TanjilG_12756 transcript:OIV98633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYENRFRQVQRPKYDCLLFDLDDTLYPLSTGLHKVCTQNIRDYMIEKLGIEKNKIDELSNLLYKNYGTTMAGLRGIGYDFDYDDYHSFVHGKLPYENLKPDPVLRNLLLNLPYRKLIFTNADKVHAVKALSRLGLEDCFEGIICFETLNPINKSNVYDDDDIEFIGSTKTNDLTTTNGATRSKIFDIIGHFAQPNPSAVLPKTPIICKPSENAIEFALKIANLNPQRTLFFEDSVRNIQAGKRVGLHTVQVGTSQKVKGADYALESIHNLRQAVPELWEADIKSDVTYTTKHAVETFVTA >OIV99438 pep chromosome:LupAngTanjil_v1.0:LG13:1768696:1768974:-1 gene:TanjilG_17248 transcript:OIV99438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELDHGGCSRRGREFWYARRELLNSYHLSNLETKNDDYSLKEKLMKSVKEIKDSMQKRRVVIKTYRVTMSLPPLLLVTMTCFIPCFHKRNTV >OIV98770 pep chromosome:LupAngTanjil_v1.0:LG13:13570595:13575077:1 gene:TanjilG_20516 transcript:OIV98770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAMMSRFWFMLFPAKEYKIVVVGLDNAGKTTTLYKLHLGEVVTTNPTVGSNVEELVYKNIRFEVWDLGGQDRLRTSWATYYRGTHAVIAVIDSSDRERISIMKDELFRLLGHDDLQSSVILVFANKQDIKDAMTPAEITDALSLHSIKNHDWHIQACCALSGEGLYDGLGWIASRVSGKAST >OIV98660 pep chromosome:LupAngTanjil_v1.0:LG13:15948990:15952027:-1 gene:TanjilG_12783 transcript:OIV98660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQTSIPPPQRRHLPPITAVETTDKISQLPDVLLTKILSLLPTKQSVRTGILSKRWRPLWTSVPLLDFDDEIPFDRSESETGRKEDLIKTQNSTGFTDFVYSVFLFYEAEPVNRFRLRCANCSDSNITAWVGQAAQRKVKEIELTLSLSRYVALPRRLFNCDTVSVMKLNGVFLNALVSFSVKLPRLRVLHVGDRVLFGCHDCIVMLLNGCPVLEDLSIESSYSDACGGRVCAKGDFELNLKNLVKAKIGFSWKKICQKSMFLFFQSLSNVRFLSITHSTAACLKYALASDIPMFNNLIQLEISFGNYYWDLLAKLLQNSRRLEALIVHKETQKYTKGQDSKWNNPLHVPDCLLVHLKTFCLKEYQGWESEKEFVGYILQNARVLETMTIYIASSLDLDAHLQIRRNLSTLQRSFQSCHIVFH >OIV98944 pep chromosome:LupAngTanjil_v1.0:LG13:8711342:8713135:1 gene:TanjilG_07379 transcript:OIV98944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKAHSLSPDSCHDSFVVFRSLTQDLDLLPNQYSFVFAFGACGNGLGVREGEQVRVHALKVGLESNVFVVNALIGMYGKWGLVEEGQKVFELAIEYRDLYSWNTMIAAYVGSGNMSRAKEVFDEMQERDVVSWSTIIAGYVQVGRFMEALDFFHNMLQVGPKPNEYTLVSALSACSNLVALDQGKWIHVYIGRGEIKMNERLLASIVDMYAKCGEIESASRVFCEHNVKHRVWPWNAMIGGLAMHGKATEAMNIFEQMKAENVSPNKVTFVALLNACSHGYMVKEGKFYFRSMVSDYGINPEVEHYGCMVDLLSRAGLLKEAEEMIASMPMAPDVAIWGALLNACRIYKDMERGYRIGKIIKEMDPNHIGCHVLLGNIYSTSGRWNDARMLREKNDNSERKKVPGCSSIELKGVFHQFLVGGQSHPQSKEIYSFLAEMMIRLKNAGYFPELGELLLDIDDEEDKETALSIHSEKLAISFGLMNTAPGTPIRIVKNLRVCGDCHQATKFISKVYDRVIIVRDRTRYHHFKDGVCSCKDYW >OIV98845 pep chromosome:LupAngTanjil_v1.0:LG13:11602286:11605470:1 gene:TanjilG_08501 transcript:OIV98845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMSCSSSSEETTSWGERVSQKVLALYNSLPKKGKPQGREVTVFSAFLLSSPSNDLEVVALGTGTKCIGRSLLRPCGDVVHDSHAEVVARRALIRFLYTQIQHLNETCSKHIPTNGSKRFKVNDDDVPFQLDSECLDKRKYTMKRGGDASVCSLVSPLETVHLQESDSPSPSPLGELNDSVDNISNLYQMGVVQRKPGRGDTTLSVSCSDKIARWNVVGVQGALLSYFLQPIYISSISIGLPHKIPNPHNFHIEDNMKRALYDRILPFSNDLISPYRVNQPLFHAAPVPPKDFQQCESAANTLTCGYSICWNKCGLHEVILGTTGRKQGTSAKGALFPSSESSLCKKRLLEVFLSLRMECLTSSMADTITYRELKDESKEYHLASKNFKGKPPFNKWFLKPLDYEAFPTPK >OIV99465 pep chromosome:LupAngTanjil_v1.0:LG13:1628153:1630237:-1 gene:TanjilG_17275 transcript:OIV99465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPLRLIDPLVYLDHGKAIKSGFASSIFTCNQLIHLYSNHGLVKDAHKLFDEISHPNVFSWNAIIMAYIKARDLTKARALFDSAPHKDLVSYNSMLSAYVGADEYETDALDLFTTMQSARDTIGVDEFTLTTMLNLTAKLHKGCYGEQIHSYMMKTANNLSKFAVSSLIDMYSKCGSFREACNVFSGCVGRVDLVSKNAMVAACCRERKMDIALSVFWKYPELNDIVSWNTLIAGYAQNGFMEKSLALFSEMIENGIGCNEHTLASVLSACSGLKSMKLGECVHAWVLKIGYNSNQFINSAIVDLYCKCGNIRYAESVYAGIRIKSPFAAASLISGYSSQGNMAEAQRLFDSLTERNSVVWTALCSGYVKSQQSKALFKLFREFTTTEGLVPDAMIIISVLGACAIQATLTLGKQIHAYILRMIFNMDEKLLSALVDMYSKCGHIIYAGKTFQLVPVSDRDAILYNVMIAGYAHHGFEDDAIQLFQEMLKKSVKPDAVTFVALLSACRHRGLVELGEQFFISMQEHYNVVPEIYHYACMVDMYGKANQLEKAVEFMRKIPIQIDATIWGAFLNACQVSSNTELVKQAEEQLLKLEADNGSRYVQLANAYAAEGKWDEMGRIRKKMRGKEAKKLAGCSWIYVDNDTHVFTSGDASHSKADAIYSTLVFLNGKLYLSGTKLKQLNDMQGDVVEDIF >OIV99671 pep chromosome:LupAngTanjil_v1.0:LG13:270264:280237:1 gene:TanjilG_17481 transcript:OIV99671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIKGVNRSASVALAPDAPYLAAGTMAGAVDLSFSSSASLEIFKLDFQSDDPELPLVAEFPSSERFNRLSWGRSGSGSDEFSLGLVAGGLVDGNIDIWNPLTLIRPEANESALIGHLVRHKGPVRGLEFNTIAPNLLASGAEDGEICIWDLANPSEPIHFPPLKGSGSASQGEISFLSWNSKVQHILASTSYNGTTVVWDLKKQKPVISFADSARRRCSVLQWNPDIATQLVIASDEDDSPSLRLWDMRNTMTPVKEFVGHDRGVIAMSWCPNDSSYLVTCGKDSRTICWDTISGEIAYELPAGTNWNFDVHWYPKIPGVISASSFDGKIGIYNIKGFSQYGAGENNIGAVPLRAPKWYKRPAGVSFGFGGKLLSFHSKASVAGSQAVASEVYVHNMVTEDSLVSRSSEFEAAVQNGERSLLKLLCDKKSQESESEEERETWGFLKVMFEDDGTARTKLLTHLGFNVPSETKDTNNGDLSQESNALGLEDTSAENEGHVPANETAILSYDNGEDFFNNLPSPKADTPSSTSVGNFAVVDSANGSEKIQDDVEIEESSDPSFDDSVQRALVLGDYKGAVAQCISANKWADALVISHVGNSSLWESTRDQYLKMIRSPYLKIVSAMVSNDLLSLVNTRPLKFWKETLALLCSFAQRDEWTTLCDTLASKLIGAGNTLAATLCYICAGNIDKTVEIWSRSLSTENEGKSYVDRLQDLMEKTIVFALATGQKQFSASLFKLVEKYAEILASQGLLTTALEYLKLLGSDGLSPELVILKDRIALSTEPEKDLKTTAFENSQSQSSFYGATDNSNYNRNYYQEPVSTQVQHSVSANQYPENYQQQFDSRYGSGYGAPTPYQQPQQPNLFVPPQATQVPQAPQMNFSNTAVPPPPLRTFDPQTPPVLRNVEQYQQPTLGSQLYNTNTNPPYQPAPPAPSQVGLGHNQNLSQVVAPTPSPVGFMPVSNSGGVQRPGVGSVQPPSPPQVQPVQPPASPAAPPPTVQTADTSKVPVHQAPIVGTLRRLFNETSEALGGSRANPAKKREIEDNSKRLGGLFAKLNSGDISKNAADKLLQLCQALDNGDFGTALQIQVLLTTTEWDECQSWLGSLKRMIKTRQNVRLKKTAAIPGAWLWLYALLQYFAVSAAIASTVIKSCY >OIV99049 pep chromosome:LupAngTanjil_v1.0:LG13:5307644:5308747:1 gene:TanjilG_32308 transcript:OIV99049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLLSSKHSFTLLLFLPLLFIHISTPSTVFAADRHVINFRSPNLYPEGIAWDPKAHHFLVGSLRHRTISSVSDSGVVKTLISDTSLLENVTVLGLAVDSLNNRVLAVLYAMKPLPPFNALAAYDLRSGHRLFLSLLPSDTAAIANDVTVDFKGNAYVTNAAGNFIWKVNDKGSASILSNSPRFTELPVDPTLPYSFCGLNGIAYVSDGYLLVVQSNTGKMYKVDAEDGTATRVVLNKDLMGADGVAVRQDGVVLVVSPELGKMWFLKSNDSWGEGAVFDEIDLDLEGFPTTIALRERDRAYVLYGRIREGVLGNSERESFGIEEVRSEKEGESVVWLFVMIGFGLAYFLYWRFQMGQLVKNMDKKIN >OIV98807 pep chromosome:LupAngTanjil_v1.0:LG13:12104095:12105654:1 gene:TanjilG_25053 transcript:OIV98807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFTLLFSRHNTATSSTQTHSLNHLRTLFDATSFKLVRDRGLDHAVEREKNLQPLISLKNLIKLEPSKSLPISIIAENREALKLPFRPIEFVRKYPTVFEEVVPVSGTFQPHVRLTKDALNVDGDEKLMHESDSYKKQLADRILKLLMISKVHKIPLGIIEHLKWDLGLPQDYEKSLIPEFPDCFRVVRDKTCGFRGRDDKVLELVCWSDELAVSLIEKNSKGGELVFPVKFSSGFEMDKKYEKWLNEWKRLPYVSPYEDASYLSASSDESDRWAVGVLHEILHILVPKKTEKDNLLVLGDWLGVRSRFKKALLQHPGIFYVSNKIGTYTVVLRDGYKRGSLVQQHPLMHLRSQYVHLMNTVKEDVKGSKVVQGKKGTKESNDKDKEDIEGGGVENDKNAVEENEGEGHESSEAEAEDASESDFDDNEEKSRRRTRRIGANSGGREVRRLKLNTKKPSRDSRKEKSGGKFTQRTKEKYPGEISKKIRMLGGHNDAESSQPRSRSPSNWGKLLNSKSTSV >OIV99091 pep chromosome:LupAngTanjil_v1.0:LG13:5848401:5851903:-1 gene:TanjilG_32350 transcript:OIV99091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLLPVVSRVERPTLAATIMGVAANNVRCFFSGCNDGSCVIWDFETRGIAKELRDNECSSPITSICWSKCGNRILASAADKSLSLWDVVSGNRITRIVLQQTPLQVRLHPGSSPSLCLACPLSCAPIIVDLNTGSTTLLKVFVSEVSNGPTPASRNKCFDGTTSFTPTAACFSKYGKLVYLGNSKGEILVIDHKNGEVRAMVPISGGSVVKNIVFSRNGQYLLTNSNDRVIRIYENLLPLKDEVRALDDLNERLSDLSGVEKLKGVGSKCLTLFREFQDAITKVHWKVPCFSGDGEWVVGGSASKGEHKIYVWDRAGHLVKILEGPKEALIDLAWHPVRPIVVSVSLNGIVYIWAKDYTESWSAFAPDFKELEENEEYVEREDEFDLNPDIEKVKGSDANEDEEVDIVTVEKDPAFSDSDMSQEELCFLPATPIRDVAERQEDKFIESSSKIVDSNISGSPLSEGAGQNGHTSNNASSPVEDGAGGTLVKRKRKPSEKVLDLQDEKVKKPSKSSKASKSKSKSLVDQDNNGNGFHSDEISD >OIV98692 pep chromosome:LupAngTanjil_v1.0:LG13:15206019:15208043:1 gene:TanjilG_24863 transcript:OIV98692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQQVFNDNDVTNSLVHSYNRSFNGFAAMLTNQQKEKLSQMEGVVSVFPSRNIQLHTTRSWDFIGFPESVKRSPTIESDVVVGVIDTGIWPESDSFNDQGFGPVPKHWKGVCAGGMNFTCNKKIIGARYYTDKSARDMNGHGTHTTSTAAGNYVAGASLYGLAQGNARGGVPLARIATYKACTDIGCSAAAILSAFDDAIADGVNIISISIGSPSQQPFDEDAIAIGSFHAMARGILTVNGAGNSGPFPSSVSSIAPWILTVAASTTDRRFIDKVILGNGKTLIGTSINSFTLNGTQVLIAKKNYGSECPEGNSVVCSCLDSHVVDGKIIICNTIDGISVINYDEGHPIGIIIQNHHKGSLDDYVTPLPSLTLSEIELQLLSSYMNSTDNPTAEILKSEIVKDNNAPMVADFSSRGPNKIAPEILKPDISAPGVDILASFSQAVSPSGKNIDKRSAKYTIRSGTSMSCPHVSGIAAYLKTFHLDWSPAAIKSALMTSAKPMKGSKDDIGEYAYGSGHVNPIPAIDPGLVYDISLEDYIQMLCNLGYDNEKVKLISAKNNACSDAPNRSLVKNLNYPALAIDVKPMTSFTIKFSRTVTNVGLANSTYKATILPNPRIKVMVVPEILSFKSLHEKQSFIVTITGDKLPTTNVLTSSLVWSDGTHNVRSPIVINVSN >OIV99454 pep chromosome:LupAngTanjil_v1.0:LG13:1676813:1678297:1 gene:TanjilG_17264 transcript:OIV99454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVERNPYQRQKLGAILNSDPATLYTQIHHDNNDEISNKRHSNASAATPARSSNSISPFLMSPWNQDTSSPYNKSPWLLTSSTVNFFNNYNDDENNNNDDLENGLIGSLVREEGHIYSLAVSGDLLYTGSDSKNIRVWKHMKDYTGFKSRSGLVKTIVVSGEKIFTGHQDGKIRVWKGSNKNPSCYKRVGSLPKFKDYVKSSMNPNNYIEIRPHRNAVKVKHFDAVSSLSLDEEEGFLYSGSWDKTLKVWRVSDSKCVESIQAHDDAVNAVVSSLGGYVLTGSADGTVKMWKREMKGKKTKHVLDRILLKQENAVTSLAVNHLSTVVYCGSSDGIVNYWERDGKNNGLNHGGVLKGHKLAVLCLAAAGSLVFSGSADKKVCVWKREDSGAHLCLSVLTGHMGPVKCIAVEEEPHNGVQRWKVYTGSLDKSVKVWRVSEHVPELKIIDSSPTYDSSPRASNYSSLANYARMSEHAPSECFGSPVAASTNTIKNRN >OIV98925 pep chromosome:LupAngTanjil_v1.0:LG13:8249350:8250882:-1 gene:TanjilG_07360 transcript:OIV98925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTKPLISKQEQNKTHISDALKEMISINKIALPMILTGLLLYCRSMISMLFLGHLGELALAGGSLALGFANITGYSILSGLAVGMEPICGQAFGAKRFTLLGLCLQKTILLLLLTSIPITILWLYMKQILLFCGQDEGIATHAQSYLFYSIPDLIAQSLLHPLRIYLRTQSITLPLTLCATFSILLHIPINYLLVSHLNLGLKGVALSGVWTNFNLVASLILYIFFSGTHKKTWGGFTHECFTQWKPLLNLAIPSCISVCLEWWWYEIMILLCGYLINPTATVASMGILIQTTSLLYIFPSSLSFSVSTRVGNKLGAQKPSKARLTAIVGLSCSFISGLSALVFALMVRNIWASMFTSDKDIIKLTSLVLPIIGLCELGNCPQTTGCGILRGTARPKVGANINLGCFYLVGMSVSVWLAFFAGYDFQGLWLGLLAAQGSCSITMLVVLSQTDWEVEAQRSEKLTGIGGGGEVYENQEEVVDDEKKATKVESKEDFLPLLVNSHENDNYLI >OIV98580 pep chromosome:LupAngTanjil_v1.0:LG13:17085057:17095352:1 gene:TanjilG_12166 transcript:OIV98580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSCNKDDSSSHYQLCLCGSFNSTIDDGIEKQHKYASASVAVETDEPPGLESLHSQDFIHFKTRNLVYKQLLEAMEHNYMTGIHGIRGIGKTTLAKQVCLKLHKSIKFDLVIFITVSKKSSSDMKEIQDMIAEKVGLSLKGKNEWERTETITKRLMNGETILLILDDVREHLNSQELGIPSRPDNNMKCKVLVTSREERYCKLLGCHRSIQVDKLSMRDAWMSFEKHVSINDNSSEEVQDLGKQIAEAWEGLPIIAAIASILKGRPHQVWKKTSSYLRRKYYLKGYGFDEGWFKAYAFLNFSYEDLDIEKAQMLSILLSMFPLNREVSVEMLTRLGIGVGLFCEVEKYSEVRSEILRLKDILIHSHVWFEDEGGNIKMNDPIWHMIRAGELSLCKIESNRNKWVLSTIEKNIHYVYYDDLRVEEMELDLIPSWYGYDGTKVEMLVVNVEATNSVEVPDAFFEKMTRLQVLSLSSNDLHPMLALKLPSSLRSLTNICSLLLSHWKFSDISIIGELQSLDTLDFDDCSISEFPKEISALKLRLLSLKRCQIEMNNPFKVIERCLTLQELYYNDNEVSTLDSVNEAEEIYQSETFPTLNRYHLQQGEADASRAKCVRLRNIDALVSEATFKYLIKGAEILHLKEIQGGWRNLIPEIVPSMNDVVELCLESCSNVECLVNTQSHVVSAFSKLVELKLSCMDNLKQLCSGEDHPSDFLKSLNRLSIEHCVHLQGILFKSKVNLCNLKSINLFHCQDLTSLFMLSTAKSLVLLEELRIGECKLLIDIVKDENDHKSNGLIFPKLETLEIEGCERLECILPVAFPRDVMLLQKIKISKCPKLKHIFYEEGGDIRVSRLQKVELVEVSHLFNISLECYPLLASKVKRPSPRVDFKAKQQDPSKDHHHKLRGASRIKIPTEDGLTQGPKSSILSSEYPPKQSQGLNILVVCNIKQLVLKDLDKIKYLFTLSTASSMMLEILIIDSCHVLKHIIDIEDGSDGKNLKVVFEKLKELSVTGCSQLECMFGEYNAAKDDQNYSEIHIHLPALKKLILQKLPKFSLSSITWTSLKEFVLVRCPYFIVNPISDMMIHFDSRKLVTTTIKDIREIDKHFLTLETLNIEGYQAESIFCVSGVKIIGQVRLGLQFLKLSNLPRMLSICVGSINSFVFQNLKKIQIIRCLRIKVIFQASVSSYLPELESLEIQDCEELEQIVDSPQPYFPKLEVLEVKQCRNLKHLIHASHVPNVRTITIVECFKLEVIFPDSSLSPLSELEVLEIQYCLNLKKIIEEDKKLGNVDSPQPCFPKLAKLMVKECYNLKRLIHASHLPNVRTIRIVECFKLEVIFPDSFSFLSELEVLEIQVCLRLEKIIEEVKNSPRPCFPKLSLLVVNKCQSVKRLIPATYDVPNLKLLIIIEASELEELMGGGAQRRGDDDVSIRNIIVELPKLKLVIFGKLPEATFKEGIELQTVSDRFVYQCPKLSLKATTTFKEINKKVLELLNTDLKNFLYQQQYDDMAKVDIIVQSDVTQTVLRSDLPYSQINEESEKEFVGEVLDSKMIATKTTPTSLPEVQSIERPSSSLLDIPTNKHVELVDGQSISQPCLMNQQMPFDEIESTIEIPQSEFEHGKSETYTDKENEGHPTNIQDYKDDDLVTSSQTLEHNENSTTNNFAVLEETDKSIDEEAARQNGVAISPDSEISMAENFINEPQKKEEILKKQTIGLETERKESHELEDGRSMSEPCLVNQQKPLGETEIPESEIGIKQILADLEESLKMPLKDIASSEMNSLRFLMNLNFFLSRLPLEGVALSEGHKAMIDSLSQESQSILCSFKQVFATSNKFVVLEPHMNEVATMVVSKISKSETFTGKPQQKEATFKEKFFRLEKTATNHPIGLGAQTMPGNDSPSSQVSFKQSMHANLTGYNHNDNGIKLDDSCIASPNMAEINGEPEKDLVGKVPDLEKPVAATTRNSPTDLKQIESTSPSTLDSTQHKTHLHREIKHSQIEISGDEDSEALPTNIQGLRDKDLIKSSLPLVEEHGYNQIHTTCVSDAAADNLCKVLSDVNESLKMPLKDIACSEGNSLRLLAALNYLSNSTIQDVNLSDELKSIIVSMHIEPESILYSFKQVFATVDKFVMLEPYLNKIGKSIAGEIL >OIV99604 pep chromosome:LupAngTanjil_v1.0:LG13:736297:740189:1 gene:TanjilG_17414 transcript:OIV99604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METIIPPTSSGSSTCTPMKNGKVLEGSNIMKLMNSDHKDGKLYMKELQPAVALVLPSQGTNPDSEVLNEFTNGKQEKVIKIVFKEVLTHILLNMAAGLKRDPIVILRIDGEDLVEFTNSPSYEAEIASIFSHIASPENSFRHHVIEALGKLTVEQGIPPISDSWVINNIVEPALLSQGGSGWDKSIPDQEKFLEEFKKVALSIAHRLKEQPVIVAHSENIFDGSGVNRLLSNKFELEKTLNSALENLPKDRNGKLSMEYLRVALDTLSPCAGLPPLGAIEEMDKVIGEVFKVINVDDGKVVKEDEFKIILTDILGSIMLQLEGNPISVYSDSVVHEPLDSSSTETS >OIV99661 pep chromosome:LupAngTanjil_v1.0:LG13:348454:349576:-1 gene:TanjilG_17471 transcript:OIV99661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPDAILNPTSLVVGAIANASALSSVLYIAWDISGGHVNPAVTFAMAVGGHISVPTALFYWVAQLIASVMACLVLKVIIVGMHVPTYAIAEEMTGFGASVLEGALTFVLVYTVYAARDPRRGPLSSIGILVIGLTAGASALAAGPFSGGSMNPACAFGSAAIAGSFRNQAVYWVGPLIGATIAGLLYDNAMFPSHATDPIRGISEGIVV >OIV98972 pep chromosome:LupAngTanjil_v1.0:LG13:6937043:6939102:-1 gene:TanjilG_29375 transcript:OIV98972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKPDMMGKDNIKSKLRKGLWSPEEDEKLIRHMLTNGQGCWSDIARNAGLLRCGKSCRLRWINYLRPDLKRGAFSPQEEDLIIQLHSLLGNRWSKIAAHLSRRTDNEIKNFWNSTLKKKMKMNTSTTSPNNSNESYEPNDILGGIMPINEHDLITMCMDCSTSTSSSSMQSMVSADQFDPFSMLIKNNHYDMTNVSAGFHEIAPCMNQVGMVERHHGNYGILEPNNKMGLLEREFSLPTLESRNIEENSALIDVKSHNNHFTNSCFNNINRIQSSKVEDLFGFGNHGHRVENLTMGEWDLECLMQDIFSFPFLDFQVDQ >OIV99239 pep chromosome:LupAngTanjil_v1.0:LG13:3510096:3512581:-1 gene:TanjilG_06544 transcript:OIV99239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKLLRSKWNLYTTTLRVYTSSAASPQSDSLFLRISRAGDPNISTTLILNQWVQEGRDVIHSELQFFIKQLRSYRRFNHALQVSEWMSSERNLHLLSGDIAIRLDLIAKVHGQDEAEKYFDSISDASRDFKVYGALLNCYAQHKSVEKAEAIMQKIKENASKQATDLVVSYNVMLRLYARVGDHEKYDNLKQEMISKNIYDIFTLRNWLNSYVTAKDINGMEKLLMQMEADPVITLDWLTYSIAANCYIKAGQFYKSDAMLKKSEQLVNGKMRRNAYESLLTMYAVIGQKDDVYRVWNKCKNLNHSRNSSYVCMLSALAKLNDIDGAEKILEEWESGNTCFDIRIPNVMISAYCKNGLMEKAEAYIERLLKGGNELNGSTWDRLAHGYCNCNDIDNAVPTLKKAILAGPPGWKPYLSTLAACIDHVKEKGDLDLAMEILTIMERGHFSVATYDKLLSYVRGEIPETKAFDLMKEDYYLKADEVPDGEKQHEMLQGRGQQLKQ >OIV98952 pep chromosome:LupAngTanjil_v1.0:LG13:8824515:8825114:-1 gene:TanjilG_07387 transcript:OIV98952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQQQMKLVVVPLILLFLASFPCKSKGSLLPFIDSPGNLLSDLWSDSFPDPFRVLEEIPFGVDKHGPSSMTLSPARVDWKETPEGHVIMLDVPGLKKDEIKIEVEGNRILRVSGERKKEEEKKGDHWHRVERSYGKFWRQFKLPENVDLDSVEAKLENGVLTLTLNKLSADKIKGPRVVNILGDEKQDKLEGNETKQEL >OIV98919 pep chromosome:LupAngTanjil_v1.0:LG13:8110273:8116801:-1 gene:TanjilG_07354 transcript:OIV98919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGDSTPPYHPTAKTFKPSSFFNLAKKTNLYSLLALLCIISYLFGAYQTTTPTSTTTKTQSTKCPQTTVTSTTHLDFISHHNATTTTTTTSKQYPPCAIKYSEYTPCEDHDRSLRFSRKNMIYRERHCPEKNELFKCRIPAPHGYRNPFPWPESRDLAWYANVPHRELTVEKAVQNWIRFDGDRFRFPGGGTMFPLGADKYIDDIGKLINLRDGSIRTAVDTGCGVASWGAYLLSRGILTVSIAPRDTHEAQVQFALERGVPAIIGVLASKRLPFPSRAFDMAHCSRCLIPWGENDGLWLNEVDRILRPGGYWILSGPPIRWKKYWKGWERTKEDLNEEQTKIEKVAESLCWNKIEENGDIAIWQKPKNHLVCETNWNLSQKRPFCQTQNNPDKAWYTDMQTCLNPLPEVSNKDETAGGALENWPKRVKATPPRIYKGTIKGVTPETFTKDNKQWKKRVSYYKNVNNQLGQAGRYRNLLDMNAYLGGFAAALVDYPVWVMNVVPVQAKVNTLGAIYERGLIGTYHDWCEAMSTYPRTYDLIHADSLFSLYSHKCELENILIEMDRILRPEGSVIIRDDVDILIKVKNIINGMDWDSQIVDHEDGPLERVKLLFAVKKYWTAPASSNQNS >OIV99542 pep chromosome:LupAngTanjil_v1.0:LG13:1140792:1141139:-1 gene:TanjilG_17352 transcript:OIV99542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRWIGEKILKLDKDEDEKEEQRQREEVAAVNYYVPEYDEEAIIIQRQLFDKLVKANMAMPMSEDVVNIASGARYEPEYGEEVIIMERKLYDELLQANMTMPSQDHGANVAGPSS >OIV99299 pep chromosome:LupAngTanjil_v1.0:LG13:2857499:2859809:-1 gene:TanjilG_17109 transcript:OIV99299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLRGVRRCIWYVERIANTKWEVKELGMEHNGYVDLLLGEFKHYKTHLAHGGIRKEAQDLLSAYGLEIVAETLVEGLSRVKRCSDEGRALMSLDLQVLINGLRHFVSLNVKPKLQIVETFIKAYYLPETEYVHWARAHKEYSKSQIVGLVNLVATMKGWKRKTRLEVLEKIE >OIV99051 pep chromosome:LupAngTanjil_v1.0:LG13:5317409:5324478:1 gene:TanjilG_32310 transcript:OIV99051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVLIVTSLGDLVVDLHTDKCPLTCKNFLKLCKIKYYNGSLFHSVQKDFTAQTGDPTATGTGGDSIYKFLYGEQARFFSDEIHLDLKHSKTGTFAMASAGENLSASQFYITLRDDLDYLDGKHTVFGELAEGLETLARINEAYVDEKSRPYKNIRIKHTYILEDPYDDPPQLADMIPDASPEGKPKDEVDDGVRLEDDWVPMDEQLDPSELEEVIRDKEAHSRAVILESIGDIPDAEIKPPDNVLFVCKLNPVTEDEDLHTIFSRFGNVSSAEIIRDHKTGDSLCYAFIEFDDVQACEQAYFKMDNALIDDRRIHVDFSQSVAKLWSQYRRKDQKGKGGGCFKCGSTNHIAKDCTGDDTTKQQPAKYILKDDNTQRGGHNARYEMVFDEDNTGSPRQHIKHQRHDRDDQIEKKSRKEDFKVPVRGSSRDQEMVGLNNRDRHGDRSRGHQGNRDDKARSERGTRDLDSHTDKKDREKHMARHRGDEYKRKDEPDSRKRDLDDRYSERQGSGDYRKKTDSSHLVERRDDRDNRKRPEDSGQQDMKSDPRRRKRSPDDDHRHRREDEDRRHRREDDDHRQKREEHGHERGQRRRDTESDDYPYRRHHGDRR >OIV98684 pep chromosome:LupAngTanjil_v1.0:LG13:15716775:15719530:1 gene:TanjilG_23976 transcript:OIV98684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWQSSSSSNAIDVQAIMNNCPSTQDCFYKWDKSNDQNLQFDSALSSMVSSPAASNSNMSSDNFVIRELIGKLGNIGASAYNDEISPHSQSHPFAATSYANNNNNNTNNNNSTNTSCYSTPLSSPPKMNNNNNINNNNNHLVKPMMGLNSSTVAEFLADPGFAERAAKFSCFGSRSFNDRTTQLGLKNNDELAQRSSLLMENGKLSRVSSTPSLKVLGIGSQMGIQDNKNSSMEVATSQEESTISEQTMNGDNGFIKPCSYVNSRKRKGSSKGKAKESSTLINHAMGLSLHGGEASEDSNVKRYKANNGNENGTLKEEKESKEGASIGVDEKQNKSNSKPPEPPKDYIHVRARRGQATDSHSLAERVRREKISERMKLLQDLVPGCNKVTGKALMLDEIINYVQSLQRQVEFLSMKLATVNTRLDFNIESLISKDVYQSNDSFPLDSSAESFYGQQQPQNSAIYNNIPNGTLSHSSVDPLDTTTLCQNIAMHLPSLNGFSEGASQCPIAFSEEDLQTIVQMGFGQTSNRKSPQSQSFNGTKLKQ >OIV98861 pep chromosome:LupAngTanjil_v1.0:LG13:9890125:9890424:-1 gene:TanjilG_21196 transcript:OIV98861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPAKKSFSLINGTDEAGILSNFSNSTVVRHTKQAAFDAAFVTKKLLRSTGKSAWIAGTTFLVLVVPLIVAMDREQQINELESQQASILGTPPLSPPK >OIV99286 pep chromosome:LupAngTanjil_v1.0:LG13:3032888:3033850:1 gene:TanjilG_17096 transcript:OIV99286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDIVSCFSENSVNVYHSSCSSYSKNACISPNLAPSTQNSVSNVYKIVLSTLKQILITVTWCKSHSNQGLSISFGNHSNDPSASVSAPPFRLNTNSRFFRKKKGSKLIELCDESKVEVFWDLSNAKYDSGPEPVEGFYVVVMVNSEVGLVIGDNTNEEAFFKKIKTSTTHIAKVSLLSRREHCSGNTLYITKAQFCDTGTLHDVMIRCSVENEGGLINSSSTSTLPVLSVCIDKKTVIRVKRLQWNFRGNQTIFVDGLLVDLLWDVHDWFFNTVSSSGYAVFMFRTRSGLDSRLWLEEKTAHKDKDRVEFSLLIYACKSS >OIV98554 pep chromosome:LupAngTanjil_v1.0:LG13:17447767:17452707:1 gene:TanjilG_12140 transcript:OIV98554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSASVAVEKATSDLLMGPDWTMNIEICDSINSNHWQPKDVVKAVKKRLQHRSSKTQLLALTLLETMVKNCGDFVHHQIAERNILDEMIKIVRKKTDIQVRDKILVLLDSWQEAFGGPGGRHPQYYWAYDELRRSGVGFPKRSSDAVPIFTPPPTHPAARNMQSGYGMPGNSSKTLDETMATEIESLSLSSLDSMWDVMDLLSDMLQAVNPSDIAAVKDEVITDLVDRCRTNQKKLMQMLTTTGDEELLGRGLELNDCIQTLLARHDAIATPLPIQGASSSTMPIETRSSVNQTEAKTSSPGEEFASTPKASPPALVLSETRAESDEEEEDEFAQLARRHSKTKTTVSRDAATNNTTPHMPEPSTSVPSNALALTDPPAPVSTSSKDQDIIDLLSITLSLTPSTPQTTNAPPVSTEGYAAATQTSSYPGNLSYNNSYVAPWAQPQPKSESQPPTPPSPQEKIHTHYESEQFLHHQHSQPQKQQSQHVWQQQQPPPQSQFPSQNPHYSPPQQHLQPHLEPQQLQPHPQPQPNHYLQSQHQLQPHSQPRPQPQQELQYQPQLQQQLQTQQPQPQSQFQNQHVQYPSSYPPPPWAATPGYTNYQNHLSATNMSSTPQANTTASPYSPAQGVTPSQHNNSFPSRPINHPMGNSGSQANPASMAATGQQNQKPFVPSYRLFEDLNVFGNNTDGSSTSSSMGPGMVGGRK >OIV98697 pep chromosome:LupAngTanjil_v1.0:LG13:15111262:15111972:1 gene:TanjilG_24868 transcript:OIV98697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSVTGHLWSFVRKSGHTVEPINSVHPLLPQLQQYRGIRVKVLNGNLEQALALMQRKMQSSGIERMIKQEQRFHIKNSEKRVLARKNLERRLRSEDLARKLKAIMIKKVSFVTVEGEPRCTRSSCIVTPLSERSWVQILEITSLLVGKF >OIV99146 pep chromosome:LupAngTanjil_v1.0:LG13:4400660:4401172:-1 gene:TanjilG_01121 transcript:OIV99146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRLSTSNLEGEEESDKISNKDHKTTHQTNSNTSSSQCSFALSSATTVSSSPGTLACSSSSELYQPRQVLINGTELKKQKQKQDGGCYSSKMVVYLPLISLVALILSGKFCAILLCTLIGFFLVPPHRTRNRALYGDTKFDSVQYKKKIIMEGLHQRKRHSHIARCRELT >OIV99243 pep chromosome:LupAngTanjil_v1.0:LG13:3468921:3474335:-1 gene:TanjilG_06548 transcript:OIV99243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTGHRNTPPPVPTAPPQEEEPYNIIPVHNLLADHPSLRFPEVRAAAAALNTVGDLRRPPFAQWQPHYDLLDWLALFFGFQRDNVRNQREHIVLHLANAQMRLTPPPDNIDTLDDAVLRPFRKKLLKNYTDWCSYLGKKSNIWISNNSRRRDSDDQRRELLYVSLYLLIWGEAANLRFVPECICFIFHNMAMELNRILEGYIDDSTGQPVMPSISGENAFLNMVIKPIYDTIKREVDSSRNGTAPHSAWRNYDDINEYFWSRRCFDKLQWPINLGSNFFVTGSGGKHVGKTGFVEQRSFWNLFRSFDRLWVMLILFLQVAIIVAWEEKTYPWQALQDRTVQVRALTIMFTWSGLRFMQSLLDFGMQYRLVSRETMWLGVRMFLKIIVAAGWIVAFGVLYVRIWSQRNQDRRWSAAANSRVVNFLEAIAVFVIPEILALALFIIPWIRNFVENTNWRIFYLLSWWFQSRIFVGRGLREGFVDNIKYTLFWVAVLATKFCFSYFLQVKPMIAPTKAVLELKGVKYQWHEFFHNSNRFAAVLLWIPVVLVYLMDTQIWYSIYSSFVGAAVGLFAHLGEIRNMQQLKLRFQFFASAIQFNLMPEEQLLNARGTLASKFKNAIHRLKLRYGLGRPYKKIESNQVEANKFALIWNGIIMSFREEDIISDKEVELLELPQNSWNVRVIRWPCFLLCNELLLALSQAKELVNETDMKLFNKICKNEYRRCAIIEAYDSVKHLLLEIIKPNSEEHSIVTVLFQEIDHSLEIEKFTRTFKTTALPLLHNKLIKLVELLNKPKKDLNLVVNTLQALYEIATRDFFNEERKNDQLREDGLAPRNPASGLLFENAVQLPDTNNENFYRQVRRLHTILTSKDSMQNIPINLEARRRIAFFSNSLFMNMPRAPQVEKMMAFSVLTPYYSEEVVFSKEQLRTENEDGVSILYYLQTIYDDEWKNFVERMRREGMMKDSDIWTDKLRDLRLWASYRGQTLSRTVRGMMYYYRVLQMLAFLDSASEMDIREGARELVPMRHDSLDGLNSEKSPSFRSLSRAGSSASLLFKGHENGTALMKFTYVVACQIYGTQKAKKDLRADEILSLMEENEALRVAYVDEKTTGRDDKEYYSVLVKYDQQLQREVEIYRVKLPGPLKLGEGKPENQNHAFIFTRGDAVQTIDMNQDNYFEEALKMRNLLEEYKHNYGTRKPTILGVREHIFTGSVSSLARFMSAQETSFVTLGQRVLANPLKVRMHYGHPDVFDRFWFLTRGGVSKASRVINISEDIFAGFNCTLRGGNVTHHEYIQVGKGRDVGLNQVSMFEAKVASGNGEQVLSRDVYRLGHRLDFFRMLSFFYTTVGFFFNTMMVILTVYAFLWGRLYLALSGVEAAMKSNSNNNKALGTILNQQFLIQLGLFTALPMIVENSLEHGFLQAIWDFLTMQLQLSSVFYTFSMGTRSHFFGRTILHGGAKYRATGRGFVVEHKSFAENYRLFARSHFVKAIELGLILIIYATHSPVATDTFVYIAMTVTSWFLVASWFLAPFVFNPSGFDWLKTVYDFDDFMNWIWYRGSVFAKAEQSWERWWYEEQDHLKLGIAAKSTSIAVYLLSWLFMFVVIAIYVVIAYAQNRYAAKEHIIYRLVQFLVIVSGILLIVALLQFTSFKFVDIFTCLLAFIPTGWGLISIAQVFRPFLQSSIIWNGVVSVARLYDIMFGVIVMVPVALLSWLPGLQNMQTKILFNEAFSRGLRIFQIVTGKKSQA >OIV99018 pep chromosome:LupAngTanjil_v1.0:LG13:4950707:4953172:-1 gene:TanjilG_32277 transcript:OIV99018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGFRVLHLVRPFLSFLPEVQTADRKVPFREKVIYTVISLFIFLVCSQLPLYGIHSTTGADPFYWMRVILASNRGTVMELGITPIVTSGLVMQLLAGSKIIEVDNNVREDRALLNGAQKLLGILIAVGEAVAYVLSGMYGSVGQLGVGNAILIIIQLCFAGIIVICLDELLQKGYGLGSGISLFIATNICETIIWKAFSPTTINSGRGAEFEGAVIALFHLLITRTDKVRALREAFYRQNLPNVTNLLATVLIFLIVIYFQGFRVVLPVRSKNARGQQGSYPIKLFYTSNMPIILQSALVSNLYFISQLLHRKYSGNFLVNLLGKWKDSEYGGGHSIPVGGIAYYITAPSSLADMAANPFHALFYLVFMLSACALFSKTWIEVSGSSARDVAKQLKEQQMVMPGHRESNLQKELNRYIPTAAAFGGICIGALTVLADFMGAIGSGTGILLAVTIIYQYFETFEKERASELGFFGF >OIV98847 pep chromosome:LupAngTanjil_v1.0:LG13:10543677:10554028:1 gene:TanjilG_10825 transcript:OIV98847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAREQNPFKNGGGEAGFGKFRRRPLRRSQTTPYDRPATALRNPNRINGLLSRIVDPAQRFIAYSAHKLFSSVFRKRLPPPPTPPSSDYIDMNLEKVANDSSCKQQGAIVESDAQISISDGGGLTDLEKLLKQKTFTREEVNHLTALMHSRTLDSALREEGRRTEVVPSDPMLPPDQKNECLKTPALENGIQNRVGSNPYVTTSAPIGDVVSPFELAKAYMGNRPSKVSQSMLGLRSQPTEDSTLLKSQHCTLKSPIMSIVPRATSLARDHDNGFLTQNSRGRSAIYSMARTPYARVYPASTQGVGVAVEGRPSSLAQYALDHNILSGSKQMALKRRSSVLDNDIGSVGPIRRIRHKSNLLSSKGLALPHSGSSLSISRSRVGVDAAQQPSSSMQRPILLGEVKHSHMKLSAENIDDSKPGTSFPPLPSRSSETASKILQQLDKSVSLKEKSSELRLPTLNATSSMKLSSSMIRGQALRSMEIVDSSKFLDNIQYNELDGTIGNSYANAEKLTTQIDKVESGPLKLIAPTDGPVPIVTTADATVPRKQNINIAKSGDSSMARPVSYSQKKRAFHMSVHEDYVDLDDDDDDAYPNGDVSFFPLSRKETTGSTNVVDKITSSTEAIVQNPPGSSAVMLSNSFSVHGKPHVGTDNGEKVDVPTSRTSSVPDHTLKPVAVAVTAATQTVLGSYKSASPNGSVANPPLFSFGNKVVQSTELTAANSPSKESNTSGPAFGSEKVISSNYPGTDAPSVNFDINKNTDNVPQLPFTFSSSVDGEFNRVKFGASSDLKLNSSISSSTVAGAVDSIPKVLQSDNADAKTNTVTEFSTRASELAVSSAASTPLLTSTTNIFNFGNSSNQNGPATLSPSFSSSLPSMVTNISTSQNMFSNSSLAASSSSSSSSSYISNTAASTSTSMTTSTLAVIASSNSSSSTPTVTSSSSTPSLFKFGSSPLPSIGVPVSSSSDLEPLETKKQDAGASTLATTSFGSAPVAVGSTGSGIFGFSSSAMTTVNSQPQGSVFGTTSGSVSGAMAPPATSGFASSTQSQSVAFGSSASSPLFGFTGKSAFSSGSSSFPSSNPATNILNSGASFGQSTVASSSEANPVSSNSGTSSTSFGLSSWQPPPFGSSFSSSSSSSSGFSFGASTPSVASTSSPMMFGSTTGASSSPQFSFTSGAATTNMQPAFENPNPVFAFGSSVNNDQMSTEDTMAEDTVQASPPVTPVFGQQPAMLQSNFVFGAPTASGASPFQFASQQNTAPPNTSPFQASGSLEFNSGGSFSVGPGGSDKSGRKIVRVNKNRLRKK >OIV99236 pep chromosome:LupAngTanjil_v1.0:LG13:3529374:3530318:1 gene:TanjilG_06541 transcript:OIV99236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGALQFTLLLFLILLHSCNIAAYKDEEWKKATATYTKDTEGSLITEGACGYGDLHKASYGKYSVGLSTILFSRGSTCGACYEIRCVDHILWCMLGSPTVVVTATDFCPPNYGLSVDYGGWCNFPREHFEMSQVAFSEIAKRKADIVPVQYRRVKCQRSGGLKFTMSGSSHFFQVLITNVGMDGEVAALKVKGSRTGWIPMARNWGQNWHCNVNLQHQPLSFEVTISSGKTLTSYNVATAKWQFGQTFEGKQF >OIV99597 pep chromosome:LupAngTanjil_v1.0:LG13:776476:793804:1 gene:TanjilG_17407 transcript:OIV99597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLDASLWWDSFSLLLSDLENSSLSSDLPSNLVNKLKDNHSWFLHTLSLFKPPNQKSNEALNSKQIIIGQHQISVQQHLKDKALQISSYLLLDEVQSYILVERFVKHNNAAADPSVPEFLHMMLIQYYMERQCLLKCIRWILMRAIYVGPVSEDNTVKEVAKKLFHDGLESKLVLFFEDLLSCSYPEKMDVDLFTLWAEETLIEENLVLDILFLAYYDSICTCSGETWKKFCSLYKGILAGDYNLGKLAITTEAQQLSYHAKVQLLLILIETLNLENLLQMVHDEIPYRKGVSSFSLTDVQEMDALVATFITFEMNEASPLVLAWAVFLYLLLTLPEKDKKNELMEIDHISYVRQAFEAGSLQYCLEILQCDILKEYDGPLSGYRSVLRTLMSAFIASYEVNLQVEDSNATLILDILCKIYRGEESLCTQFWDKESFIDGPIRSLLCNLESEFPFRTVEFVQLLSSLCEGTWPAECAYNFLDRSVGISSLFEINSDSQIDDVSHKVEARHTVLVPGIEGLFIPAGTRGHILKVVGDNTALVRWEYAPSGVFVLLLRLAQDSYLKSKEDVAFTLDLLSRLVSFNTAVCFAVMDISNSLQFHAIGLMNEQVEKSVWVVEIICNLIKNLPQNSCGAALMSMAVKILEIMLICSPSNVTAVTLNANPFDITLQTSAFSVDSSGLSSGSWLLSGKLARMLLIDCEQNSNDFPLAISVLDFTLQLVESGVENDALLALIIFSLQYILVNHEYWKYKIKHIRWKITLKVLELMKKCIASFPYYGKLSEIIRNVLFSDSSIHNTLFQIACTPAHSLEKLHVSRLFDPMEIEGLQLAIGAVLDILSVMLSKLSKDSSSSFPVFLQAVFSSTARPVPVATSVISLISYSRDSAIQLGAVRFISTLFAIADCIQPFSYGTTCFVPDNEKIMDLSHSLSYILREQSVSNEDLFVATIDMFTSAAHYQPAFIVAIFAREFNEDQLSNGDAKLQKNETSLAPLVSKKSNLVDALVHYIERADDLIKSNPHILLSVLNFMVAIWQGASDYANILESLRSYENFWKHLANAISNIASSETPQLNNLKEKDALNVAYSFHCQSAILGIMAYELFLQKKLLHAESLVNDAAESKDKAQNAKKTSISKATDFHSLKGIWSSWFKDSVLGKLVKSYTSRGHNNEIYYRAKVATGLFSVHVMEKLAVSDSGSLSVSLLQKIHGILTKLSIHPAFSELLSQYSERGYSEGKELMKLILSDLYYHLQGEVEGRKIGSGPFKELCQYLVESSFLGTYQHQLNEESFAKNVYLFDLIRLREDLKFDVWDCSDWRASKEIAETMLHFLQDANSVMLLSSSKLSALKGLIAVLTVYHDDSLGTATTGERIPDELIFTCMDNTCLSFLATIETLSPALDASEDLLKFLACEVELLLKLTTAVCKILPMNVSLLVLKCASSGLKLLNELKVLPSEANVIMKLLLTLLLSVLQTNSFSSHSGEAINESSGENLSKISNTTLGLLPILCNCIVTSEHSMLSLSIMDLILRSFLTPRTWLPVLQNHLQLQLVMLKLQDKTSPSIPIILKFFLTLARVRGGAEMLYCSGFLSSLRLLFAESGESFSRFGSENLGSLYEKFETPQDIWGHGLAVVTSMVQSLRDSSYGTAIVDSMVPYFFSEKAHLIFYSLNAPDLPSNDHDKKRPRAQRSLISFATLKETEHTLMLMCELAKHWNSWIKATKNVDRQLREKCIHLLAFISRGTQRLSELSSKNAPLLCPPTVKEDFETCLKPSHVNSRNGWFALAPLGCVSKPKISSFSTALSIYGQAAESTEPVPQTCFSDKVAVQIYRIAFLLLKFLCLQAEGAAKRAQEVGFVDLTHFPELPMPEILHGLQDQAIPIIRELCEANKPRVSPEIQSVCSLLLQILEMALYLELCVLQICGIRPVLGRVEDFSKEVKSLFTALEGHAFLRASCKSLKQMISCVYPGLLQAESFI >OIV99463 pep chromosome:LupAngTanjil_v1.0:LG13:1634077:1634996:1 gene:TanjilG_17273 transcript:OIV99463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLEVRGFTEEQEALVVKSWNAMKKNSGELALKFFLKIFEIAPSAQKMFSFLKDSQVPLEKNPKLKTHALSVFVMTCESAVQLRKAGKVTVRESTLKKLGASHLKNGVVDEHFEVTKFALLETIKEAVPEIWSPAMKDAWGEAYDQLVGAIKSEMKPSS >OIV98999 pep chromosome:LupAngTanjil_v1.0:LG13:7364300:7370257:1 gene:TanjilG_29402 transcript:OIV98999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEKKEKLLTVAPFECAWREDLKFREAGRGCVAFEAFACNDVTLVFRENVGSRGYHYKRDSSPHYTVILGSHRNKRLRIEVNGKTVVDVAGVGLCCSSKFQSYWISIYDGLISIGNGKYPFQDVVFQWMDSNPICNVQYIGLSSWDKHVRYRNVNVLSLKHSLWRNMGFGDYDVDDEDEVDAGHMMDYDKWGLRNFLESWELSDMLFIVGVEEKPVPGHKAILTASGNFFMFSSSFLIKLPNFSYVTLHALLQYIYTGWTQVPHDQLDSLRDLSRQFEVMPLLKQCEETMERFKKDKKLFDSNKNVELTYPCIRPHCSILPSLPLSVERLRQLKLTGQYSDVNIYIENYGLVAQAHRIILSLWSIPFAKMFTNGMSESMSAEVTLRDVPPEAFKAMLDFLYDGELNNKIIDSGALLLQLLLLADQFGVTFLHQECCKMLLECISEDSVCPLLQVVSSISSCRVIKETLQRRTSMNFDYCISACTDFVLLDETIFVNIIKHPELTVTSEEKVLNAILMYGMKAKELVGWEVVNDMIQNSSPELLFGERLQLVNDLLPFVRFPLLQDSLLEKLQSSNIGRHIPVFQNLVNEALISVKCGLTRLENEENVRFQHRRSSYRELQYICDGDDNGVLYFAGTSYGKHSSWFNPLLAEKKTITITASSPHGRYTDPKVLASRTYQGTCFAGPRLENGNISSWWMVDLGQDHQLMCNYYTLRQDGSKAFPRCWNFQGSLEGKSWTNLRVHENEWTVCKPAQFASWPITGPKALLPFRYFRVVLTAPTTDATNPWNFSICYLELYGFFL >OIV98815 pep chromosome:LupAngTanjil_v1.0:LG13:12293882:12297805:-1 gene:TanjilG_25061 transcript:OIV98815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METQIAGKNCIVTGANSGIGYSIAEGLAQRGATVYLVCRNKERGEAALSEIQTRTGNENIYLEICDLSSVTEIKSLASRFSKKNVPVHVLVNSAGLIEQNHVTTSEGFELNFAVNVLGTYTMTELMVPLLEKASLDARVITVSSGVMYTTPLNTDLQYSAKFDGLEQYARNKRIQVALTETWADKYKNKGIGFYSMHPGWADTPGVAKSFPSFSKSFSGKLRTSEEGADTVIWLALQQKENLASGAFYFDRAEAPKHLAFAATSGSHALINSVVDSLNSMASLFV >OIV99242 pep chromosome:LupAngTanjil_v1.0:LG13:3484448:3488888:-1 gene:TanjilG_06547 transcript:OIV99242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGSPSRTNSGSKGFDFNSSDNILCAYEDFGNNKDSNSNGNLTDPSKDFHKSRMARTSMFPPAYNPPEDSLNQDVIATVEKSMKKYTENLMRFLEGISSRLSQLELYCYNLDKSIGEMRSDLNRDHGEQDSKLKSLEKHIEEVHRSVQILRDKQELAETQKELAKLQLAPKDSTSSSHSQSNEEKSLPSTADPKKTDNASDANNQQLALALPHQIAPQQQPAPPPPQAPEPNVNQATPQPSYYMPQRPLPNPQAVAQHPQNQYLSSDQQYRVAPQPTPPSQVTPSPPVHQFSQYQQPQQQQQWPQQVQPQQPQPPSMQQSQMRPPSSTVYPPYQPSQATNQSPTETLPNNMPYSGAPPQGTNRADAVLYGYGGGSGRTVPPQQPPPQQMKGSFPGQQGDAYGPPASAYMMYDSGEGGRTHHPPQQQLPHYAQAGYPPTSPSHQNPNLRVRNPDQSQFFRNHPHSELIEKLVSMGFRGDHVASVIQRMDESEQPIDFNSVLDRLNVHGSVGPQRGGWSG >OIV98939 pep chromosome:LupAngTanjil_v1.0:LG13:8647424:8663192:1 gene:TanjilG_07374 transcript:OIV98939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIMPPMKWSFFRFWCFILFITIFARVCVPARSDKETRERFYGTMLNSSAPESNDSTLAKMFDRVLEKEFSENDQPEAPDKSSFNSSVADQQAVLETVAKITHEKGKKNDTNEGNGTRSFQLQDVFSLENEDSDDVTTLIDKKVIVGYLLAGSLIGPGGLKFISEMVQVETVAQFGVVFLLFALGLEFSLAKLKVVGPVAVLGGLLQIVIFMFLCGILAMLFGAKLSEGVFVGSFLSMSSTAVVVKFLGVDRSSSNALHVQVTIGTLIFQDCAVGLLFALLPVLGGNSGLLQGIISMGKLLLVLSLYLTATSILSWLFVPRFLKLMMKLSSQTNELYQLAAVAFCLLSAWCSDKLGLSLELGSFMAGVMISTTDFAQHTLDQVEPIRNLFAALFLSSIGMLIHFHFLWNHVDILLAAVILVVVVKTAVVAMVTKAFGYSIRTSFVVGISLAQIGEFAFVLLSRASNLHLVEVSALSLSLCTIYFT >OIV99350 pep chromosome:LupAngTanjil_v1.0:LG13:2423374:2424255:1 gene:TanjilG_17160 transcript:OIV99350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNQSLENMIACSKSAQHDKKPKPQPEQAQKCPRCDSSNTKFCYYNNYSLSQPRYFCKSCRRYWTKGGTLRNVPVGGGCRKNKRSSSSSSSSKRYQDQTFTPNPNSLIGLTPMSYDSNDLNLALARLQKGSMGYDDHDLSIMGNHTNTTTPCDNILGNLGMNPSNPGFLDALRSGFLGTHNNNNSMQNLYYGYGHGENMSDVDNGNNGCGEMMLPYDNQQQMSIATTQAVSVTTMKQELCNARDQSENKVLWGFPWQHNGGDTNMGEAIDSGRASWNNGFTSSWHGLLNSPLM >OIV98702 pep chromosome:LupAngTanjil_v1.0:LG13:15027769:15031692:-1 gene:TanjilG_24873 transcript:OIV98702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLKNLCERIFAPKCSSKSSTDTVDNNQVPACSKAESSDSKFPKASLWSSFFTSGYSVCETYSESPASEKKVVNSTNSGWAASVRKVITVGSMRRFQERVLGPSKTEVSSSDGDVWLLGVCHKISQHESTGNVDTSNYFAALEEDFFSKILITYRKGFNAISDSKYTSDVNWGCMLRSGQMLVAQALLFHKLGRSWRKSVDKPQDKEYIDILQLFGDSEAYAFSIHNLLEAGKGYGLAVGSWVGPYAMCRTLEVLARNQRERNDLEEQPLPMAIYVVSGDEDGERGGAPVVCIEDASRRCSEFSRGLAAWTPLLLLVPLVLGLDKINPRYVPLLQSTFKFPQSLGILGGKPGASTYIIGVQNEKAFYLDPHDVHPVVNINMDNQEADTSSYHSKLMPLDSIDPSLAIGFYCRDKDDFDNFCSRASELVEESNGAPLFTVAQSRSLPMQVSSSNDASVANSGFQEDDALGLDLVNDSETNEDDWQLL >OIV99230 pep chromosome:LupAngTanjil_v1.0:LG13:3576806:3582989:1 gene:TanjilG_06535 transcript:OIV99230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWKRSDLEYNKRVKIYGRLLFGFFLICIIQLSSAATDAVDVAAINSLHAALGSPTLPGWVSSAGDPCGEAWQGVQCNGSSIQRIVLNGANLGGELGDSLGSFISISIISDLSNNHIGGSIPSALPVTLKNFFLASNQLTGSIPDTLSTLTGLTDMSLNENLLTGEIPDAFQSLPVLINLDLSNNNLSGELPPSVENLSSLTTLHLQNNHLSGTLDVLQDLPLKDLNVENNQFDGSIPPKLLSIPNFRPDGNSFTNVPGTGAPASPPGSPVTAPPGTPVSGAVPGTNVSGAPPGTPVAGATPSGHVPTTQADGPTAAKESNSGKSKKNTKKVVLISIGSVLGFVVLVLAILLFIPVCSKRDRVDGRSRRHQIAPPVGDVTRPKEDRQEETRRVVAIPKPKVEPEKDVQRTAAIPKPRDHEIDISPLEVYSMPPPPPPPPPPPPPPPPPPPPPLSSPTERVIVEPTTFRRGTNVSPARKSSVPLPTFAKYFTIASLQQYTNSFSQENLIGGGMLGSVYRAELPDGKLLAVKKLDKRTTDHQKDGDFLELVNNIDRIRHVNIVKLMGYCSEHGQRLLIYEYCENGSLHDAIHSDDAFKTKLSWNTRIRIALGAARALEYLHEQCQPPVVHRNLKSANILLDDDLSVRVSDCGLAPLIASGSVSQLSGQLLTAYGYGAPEFESGIYTYQSDVYSFGVVMLELLTGRQSHDRTRPRGEQFLVRWAVPQLHDIEALSSMVDPSLNGRYPAKSLSNFADIISRCLQPEPEFRPAMSEVVLYLLNMIRRESQQSE >OIV99426 pep chromosome:LupAngTanjil_v1.0:LG13:1863984:1866973:1 gene:TanjilG_17236 transcript:OIV99426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLNNILVLVALVLSMSTSKVTSTEALVGVDPILDVASLNRQSFPPGFIFGAGSSSYQFEGAAMEGGRGPSVWDTFTHKYPDKIQDKSNGDVAIDEYHNYKEDVAIMKDMNLDSYRFSISWSRILPNGKLSGGINQEGVNYYNNLINELLANGIQPLVTLFHWDLPQTLEDEYGGFLSPLIIKDFRDYAEICFKEFGDRVKYWVTLNEPWSYSSNGYVNGRMAPGRCSSWVNPNCTGGDSAIEPYLVTHHQLLAHAAAVRVYKTMFQESQKGSIGITLVANWYLPLSDTKLDQKAAERAIDFMYGWYMDPLTSGDYPKSMRSLVRTRLPKFTAEQSKSLIGSFDFVGLNYYSSTYASDAPHLSNAKPSYVTDALFNPAFERNGKPIGIKIASDWLYVYPRGIRDLLLYTKEKYNNPLIYITENGINEFNDPTLSLEESLMDTFRVDYHYRHLYYLRSAIRSGVNVKGYYVWSLFDNFEWCSGYTVRFGMTFVDYKNGMKRYKKLSALWFKSFLKKESRFYDSSK >OIV99223 pep chromosome:LupAngTanjil_v1.0:LG13:3626987:3629887:-1 gene:TanjilG_06528 transcript:OIV99223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDNEPSPRVKGKFAAIVVCWLLGNGCLFSWNSMLTIEDYYVQLFPKYHPSRVLTLVYQPFAVVTLAILAYKEAKINTRIRNLFGYTLFFIATLLVLVLDLATSGRGGIGTFIGICVISGAFGIADAHAQGGMVGDLSYMLPEFIQSFLAGAGASGALTSCLRLITKAAFENSKDGLRKGAILFFAISTFFELLCVILYAFVFPKLPIIKYYRSKAASEGSKTVTGDLAAGGIQSSPTRDNGEAKQERKGNKQLLYENIDYALDLFLIYALTLSIFPGFLSEDTGSHSLGTWYALVLITMYNVFDLIGRYVPLLKMVKLESRKLITIAILCRFLLVPAFYFTAKYGDQGWMILLTSFLGLTNGYLTVCVLTSAPKGYKGPEQNALGNLLVLFLLGGIFAGVTLDWLWLIGKGW >OIV99429 pep chromosome:LupAngTanjil_v1.0:LG13:1839039:1845848:1 gene:TanjilG_17239 transcript:OIV99429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHTSTPCFSEPLLPSNTNTPSSVVEASNSSMSQLRVFKVGLPDIEEFAYKGENQLTQSGKSAVGSDMALMESEVDSCRLSGESPAHWEKVLEGIRKMRGSADAPVDTMGCEKAGATLPPKERRFAVLVSSLLSSQTKDHVNHGAIQRLLQNGLLTPDALNDADEETIKKLIYPVGFYTRKATNLKKIANICLLKYDGDIPSSLEQLLLLPGIGPKMAHLVMNVGWNNVQGICVDTHVHRICNRLGWVSRLGTKQKTSTPEETREALQRWLPKEEWDPINPLLVGFGQTICTPLRPRCAECSVKTRMTVAAGIGYALIALGPSLSLFVSVISKKPFLILTLLSSTLLWLISLIVLSAIWRGFLPFTISLLWPYSILILTSVAFQEALRLFFWKLYLRLEDMLNAFADKVAKPHLFLTDKMLIALAGGLGHGVAHAVFFCISLLTPAFGPATYFTDRCSQVPFFLLSAIIALAFVTIHTFSMVIAFNGYAEGNKVDQYFVPVVHIVAGMVVKSHSLKHIYAP >OIV99587 pep chromosome:LupAngTanjil_v1.0:LG13:842587:851940:1 gene:TanjilG_17397 transcript:OIV99587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYFRRIQGRDRRWALVLQPTKYFFLPRFSSAACFRSLSPKSPVKECSSHGSIIRRHLLGSNSLRHVASASSTNSCTGLDKRPSLWSALVRAYSSESDGRNASEDKHVHVNVGSNLSKGQNQQEMSGKDVKCCNAHSHAQIGEQDQEEWLNNEKLSIESKKRESPFLTRKDKFKNEFLRRIVPWEKINISWDTFPYQINAYTKDLLVECAASHLRHNKFALSFGTRLKSSSGRILLQSIPGTELYRERVVRALAQDLQVPLLVLDSSTIAPYDMDDDLSDYESDDDNEDSEEEDSIESENEDDNDASSTEVKPDVSDNDDALAHAEAALKKVEAAVQKLVPYNIEEFDKIESVRPESSESSKSIDAKSSDKSECRLRKGDRVKYVGPSVTADDRPLTSGQRGEVYEVNEDQDRVAVLLDINEESANEGEVEKLNDEHRKPAIYWINVKDVENDLDALGQDCYIAMEALCEVLKSRQPLIVYFPDCSQWLHKSVPKSKQNEFFHKVEEMFDKLSGPVVLICGQNKVQSGSKEKEQFTMILPNLGRVAKLPLSLKRLTDGFKGTKSSEDDGIHKLFSNILCVHPPKDDNLLATFKKQLDDDRKFVISRSNFNELRKVLEKHQLSCTDLLHVNTDDVILTKQKAEKVVGWAKNHYLSSCLLPSVKGERLCLPRESLEIAISRMKDQETISQKPFENFKACGLFLLLLSLAKDEFERNFLSAVVPSDEIGVKFDDIGALEDVKKALNELVILPMRRPNLFSRGNLLRPCKGILLFGPPGTGKTLLAKALATEAGANFISITGSTLTSKWFGDAEKLTKALFSFASKLAPVIIFVDEVDSLLGARGGAFEHEATRRMRNEFMAAWDGLRSKENQRILILGATNRPFDLDDAVIRRLPRRIYVDLPDAENRMKILRIVLAQENLVPNFEYDKLAKLTEGYSGSDLKNLCIASAYRPVQELIEEEKKGGDNAMPSVLRPLNLDDFVESKGKVGPSVAYDATSMNELRKWNDMYGEGGSRTKSPFGFGS >OIV99653 pep chromosome:LupAngTanjil_v1.0:LG13:399769:403419:1 gene:TanjilG_17463 transcript:OIV99653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQILLNARNLMAGNNTALFAVPWLGMLTSLLGNLSLLSYFAKKKEKEAMVVQTLGVVSTYVVIVQLALAETMPLPYFLATSVVVVSGVVLNFLNYFGLLNAGIWRFWEDFITVGGLAVLPQIMWSTFVPYIPNSILPGATAFVIAVLAVTMARSGKLSEEGVKFIGGISGWTATLLFMWMPISQMWTNYLNPENMKGLSAFSILLAMIGNGLLLPRALLVRDFMWFTGSTWATLFYGYGNIACLRFLNIISNEFFLAATVGLVSWIGVTLWRDSVIHGYKSPLDSIHNLVSGSQR >OIV98997 pep chromosome:LupAngTanjil_v1.0:LG13:7334357:7337225:1 gene:TanjilG_29400 transcript:OIV98997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCISCLAFRQKKLDNSVEEKDNLHLKEKIGGENSSGASSFTFYELSLATKGFKCANLIGEGGFGKVYKGHLSKGQASQIIYIKVVAIKQLNLDGQQGSHEFVTEVLMLSLFHHSNLVKLIGYCSEGNQRLLVYEYMPKRSLEHHLFEPEKSKQLSWNVRMKIAVGAARGLEYLQCKAYPPVIYRDLKSANILLDHDFNPKLSDFGLAKLGPVGDNTHVSTRVMGTYGYCAPEYAMSGKLTLKSDIYSFGVVLLELITGHKALDLTRKSGHQNLVAWFRPYFNNKRMYAQVVDSRLEGNYPPRSLNHAIAITAMCLQEDANRRPLINDIVVALEYIASKSIRELNKRLESKPETNPN >OIV99468 pep chromosome:LupAngTanjil_v1.0:LG13:1608343:1611011:1 gene:TanjilG_17278 transcript:OIV99468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRTAAPGQSHTTPSTALAYLNPRYWDERFSEEEQYEWFKDYSHFRHLIHSHLTPNCSVLELGCGNSQMCEELHKDGATDLTCIDLSHVAVDNMQRRLLSRGLKDIKVLQADMLELPFGDECFDLVIEKGTMDVLFVDSGDPWNPKPETISKVMATLKGVHRVLKANGIFISITFGQPHFRRPIFNAPDFSWSVEWTTFGETFHYFVYVLKKGQRSSYEDIPVKRFEVPHVNLLHEELESEDFAFLINVDELNC >OIV99361 pep chromosome:LupAngTanjil_v1.0:LG13:2339602:2341259:-1 gene:TanjilG_17171 transcript:OIV99361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHHSFTFSPSSTSTFSSPQTLFQIMSHHDNRHKLHGRLTNLLQEPPFGGDVKLTVVSRDGFKVSMEVHKSVLGEKSRFFAEKLRGESRMLHSVEISDCDDVEVYVEAVVLMYCEDLKMRFRFMGENVSKVLSLLKVSEAIMFDIGVASCLEYLEAIPWTEDEQEEIIAQLGHLQLHDSASQVLLRVSSDPSTTARADDVFATLISGVLQAKDDKARREMKALVSRLLKEDVPNNSYRIDVSKDTLYHVCHRCISSLVLCLSEATSTEERPDRGGLMSEINREADNIQWIVDILVCKKMGDEFVKIWADQKELARLHSNVPTIYRHEISRITAQLCIGIGRGHIFVSKEIRFSLLSTWLEPLYEDFGWMRRASRTVDKKLVEDGLSQTILTLPLLQQQAVLMNWFDRFLNKGDDCPNIQKAFEIWWRRAFIRNYSPEHNNTQLQITICDYLS >OIV99363 pep chromosome:LupAngTanjil_v1.0:LG13:2315452:2315912:1 gene:TanjilG_17173 transcript:OIV99363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRAKPEGITFTKEPYIEDSGPRRIESMKFSTLSESEIGKMAEVQVWKGQYYDQFKKPIPSGLLDPRMGPANKNVTCTTCHAQFKDCPGHYGYVKLSLPVFNVGYLAAIVEILKCICKVYKS >OIV99308 pep chromosome:LupAngTanjil_v1.0:LG13:2771053:2777692:-1 gene:TanjilG_17118 transcript:OIV99308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKPPSAFDALMSAARAAAKKKPPHSTTSSPKKRKSPPPPTPAPASTLNPSSNPKTLDSQDSVKPEPPPKKLRNVSNSSSLEKNAELKKLAPLLKKKPSEFKPSSVATWEKGDPVPFLFLSLAFDMISKESGRIVITDIVCNLLRTVIYTTPEDLIPVVYLSANRIAPAHEGLELGIGDASIIKGLAEACGRTEAQIKKQYKEKGDLGIVAQASRSSQSMMRKPDPLTIRKVFKTFHLIAKESGKDSQEKKKNHIKALLVAATDCEPQYLIRLLQAKLRIGYAEQTLLAALGQAAVYTEEHSTAPPEVQSPFEEASKIVKHVYSVLPDYDKIASALLAHGVWELPKTCTFTPGVPVGPMLSKATKGVSEIHYMENGAVEVYSRNAEQNTGKFPDVVAAVSRLKKSNVSSFVLDCELVAYDRAKKKILPFQVLSTRARKNVTMNDIKVDVCIFAFDLLYLNGKALLQENLKIRREHLYASFEEESGFFQFATAIISNDVEEVQKFLDQAVDASCEGLIIKTLNDDATYEPSKRSLNWLKLKKDYMENIGDSLDLVPIAAFHGRGKRTGVYGAFLLACYDNNNEEFQSICKIGTGFSEEMLEERSTSLRSKVIPKPKAYYRYAETINPDVWFETSEVWEVKAADLTISPVYRAAVGIVDSNKGISLRFPRLIRVRPDKAPEEASSSEQVADMYNAQKHNHVNNQDEDEDD >OIV99533 pep chromosome:LupAngTanjil_v1.0:LG13:1185097:1185366:1 gene:TanjilG_17343 transcript:OIV99533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFRIPGIRRASFQAAPKAVEVPKGYLAVYVGEKMKRFIISISYLSQPSFQELLSQVEEEFGYDHPMGGLTIPCNEDAFLDLVSRLNRL >OIV98967 pep chromosome:LupAngTanjil_v1.0:LG13:6825957:6827384:-1 gene:TanjilG_29370 transcript:OIV98967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFKRFVEIGRVAQINYGKEYGRLVVIVDVIDQNRALVDAPDIVRSQVNFKRLSLTDLKVDIKRIPKKKDLISALEAADVKNKWEKSSWGRKLIVQKRRAALNDFDRFKIMLTKIKRAAVVRQELAKLKKTAA >OIV98730 pep chromosome:LupAngTanjil_v1.0:LG13:14571316:14571819:1 gene:TanjilG_24901 transcript:OIV98730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNRRRAQLKEARQRKRMIVVKAEIEMKNLKLYMENQNIIEENEKLRKQAMLLHKENQTLLSQLQKKLSEQNSNTKN >OIV99072 pep chromosome:LupAngTanjil_v1.0:LG13:5562884:5565675:-1 gene:TanjilG_32331 transcript:OIV99072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASALQTSVAACTCTFSTTRSFSMKHLRANTRPSSSFFRVRASSDNDDDCNDQECAPDKEVGKVSVEWLAGEKTKVVGTYPPRKPGWTGYVEKDTAGQTNIYSVEPAVYVAESAISSGTAGSSSDGAENTAAIAAGLALISVAAASSILLQVGKNPPPVQTLEYSGPSLSYYIDKFQPPEIIQASAPSPSEEQSSSVQPESSPPTEVSEVQVESEIQPEPSSVNTVS >OIV99374 pep chromosome:LupAngTanjil_v1.0:LG13:2252412:2254837:1 gene:TanjilG_17184 transcript:OIV99374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQRFIEGFLQCAAFLEVIHGAIGLVPSGALLPLMQWGGRTHFLLAVVAKLDEVQELPSVFITFLAWSISEVIRYSHYAFSCTGNCPSWITYLRYTAFIVLYPIGVGPGEMQLISQYHAVWLMYQALPIVKKKNTYSDFFSGLPFSYYDFLRVVLLVYPFLWLKLYLHMFKQRRTKLDKHHVKKRA >OIV99040 pep chromosome:LupAngTanjil_v1.0:LG13:5249928:5250260:-1 gene:TanjilG_32299 transcript:OIV99040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEDNMDDGCGSNKDRIQRQQLPLIFLFSGRYPVSPVTFVTPVPPPIGCVDAFTALSSTDLVMRRMDVLRSEKKKKKKKRGNFHLRFLVSLRSFRKKMVDLGHVAQADSE >OIV98890 pep chromosome:LupAngTanjil_v1.0:LG13:9012170:9018497:-1 gene:TanjilG_06381 transcript:OIV98890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPSQPHRRHRHDDDQNNHISTFLQSTASNFISLFNPPSLTNPQSKTLPQPHSILISLPLLSTSTTESTRPDSVPATKPVRLARLSSNGNGGGPAFVGQVFSMCDLSGTGLMAVSTHFDIPFISRRTPEWLKKMFSAITKSERNGPVFRFFIDLGDAVSYVKKLNIPSGVVGACRLDLAYEHFKEKPHLFQFVPNEKQVKAANKLLKTMPQDGVRKKVDGVPVFSAQNLDIAIATKDGIKWYTPYFFDKNMLDNILEESVDQHFHTLIQTRHVHRRRDVIDDNLAAEVIEEMADSFGDPPEVQQVLDEMGHSSIPLSVISKAAELQFHYTVDRVLLGNRWLRKATGIQPKFPYMVDSFERRSEASFLRLSESSSSVDNPTLEDNRKISECIGSSDYNLDTNTEANHDPHPNSRQSFGGWFHQLWPKHRHGKVGLSRKDAKEDMKPNPFIPKITMVGISTEKAGHMSKASLKKTMDDLTKELEKTELGNVSGGGNNEYKVEDRDPLFVANVGDYYSGVARTGSTRWIGGGTN >OIV99412 pep chromosome:LupAngTanjil_v1.0:LG13:1973001:1975136:-1 gene:TanjilG_17222 transcript:OIV99412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLKSNHTPVLTDSAAPINITKSRLGVHSSLLPYSPTGTTIPHGMLLTIPRKKAGFLEDVRSSGWLDAMKSSSPPPRKITKDVSHGFASSEADSSYFSWLLKYPSALTSFEQITNYAKGKRIALFLDYDGTLSPIVDNPDCAFMSDNMRAAVKRVAEYFPTAIISGRNRDMVYEFVGLTELYYAGSHGMDIMCPVKSTNKQKQDKEVNLFQPAAEFLPMIDEVFRSLVETTKDIKGAKVENNKFCASVHYRNVDEKNWDLVGQCVHDVLKGYPRLRLTHGRKVLEVRPVINWDKGKAVTFILESLGLANCDDVLPIYIGDDRTDEDAFKVLREGNKGYGILVSSAPKQSNANYSLRDPSEVMEFLKSLVLWRSNLAL >OIV99679 pep chromosome:LupAngTanjil_v1.0:LG13:225859:229832:1 gene:TanjilG_17489 transcript:OIV99679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRFRSNEDLHSYAAHNKDSNSNFNRTFSSSPHRTTFYYKSDNGHTFSSSSRRDRDRTVVEDREGSRIVRKRSEYDLDNFDRIRYRDGGGDRSMIHRSESFCGSRREVPKGFRSERDRSRTEGSVSSWRRGLKDFDERGVSSRTIMEDRVNAKSPTCSRDSESDYSKNRCSSSPLGLRNAKDSEGDQSEKNKKIEELQPKSGSSSEMEEGELQPEPVPVEVSPGMGSQEQHSVPLETDDTEVQNECHANVADTAMDQRHELLSSEEEVKPIGSFDSEMKDAEKEADKVVPDIQDDPTEKLIVTHKENDTVTECLKDNKKDELLKVVIECKEERKKGADMEVVLSNEEEEHHKQEKGVELETSSAIDKPQLKDEVSIENEAAKEENREIMMETVANNNARDKGKSISVTPTDVAHSAEDGLWIDRGPRDLTTCAADVMEFPSTRGFELFSRSSIRKVEKTEHSVLNKQMDESLGMEQLDLSLSLPNVLLPNGAHETTTIVQVPGSPRQARSVQSLSNTFCTNSDGFTASMSFSGSQSLYHNPSCSLTKNSLDFENSVGSRPLFQGIDWQALSQGDPKEKEVHFSQRASMNGNGSFHQSQPSWGILDGQAVKAQQPRVIEGSSKMINGLEKQFSFHKQLSGQPRCHDDVRSPSQSFGSHDTGSNYSFEKKRDVGDRNSGGLYRTTSQKEQEHVLSGGVDFVETIIAKIVSEPVHVMSRKFHEMTGQSAACLKEGIHELMANADKHGQMLAFQKVLQNRSDITLEVLLNCHRVQLEIFVALKTGLTHYLHIDNSISSSALAQTFLNQRCRNLSCRSQLPVDECDCKVCVWKNGFCRECMCLVCSKFDNASNTCSWVGCDVCLHWCHTDCGLRESYIRNGHSTTGTKGTTEMQFHCIACDHPSEMFGFVKEVFQNFAKGWSVETLHKELEYVKRIFSSSKDTRGRQLHEIAGQMLPMLANKSNLLEVLRHIMSFLSNGGDSSKLAMTASFSGKEQVKENNGVAGLKSRYQEKPPLLERPPLLERPQPPSILHSFHQSDKRSLVQEVQMSSVQKDFGFDELESLVKIKQAEAKMFQARADDARREAEGLKRISIAKNEKLEEEYANQITKLRLVETEELRKQKFEELQALERAHLEYFTMKMRMEADIKDLISKMEATKRSLAV >OIV99343 pep chromosome:LupAngTanjil_v1.0:LG13:2461348:2464084:1 gene:TanjilG_17153 transcript:OIV99343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANRNTLLLLLLVIIICNGVALTMGSVEYEERRTVKKERRTVRKERPSSSSESSLFLMHDSKSVVKTEAGEMIVKNYGGGILERRMHIGFITMEPKSLFIPQYLDSNLAIFIRRGEANLGVIYKNDLGERRLKAGDVYIIPAGSPFYLVNVWEGQRLHIICSIQSPQSFAADTFHSFYLGGRDSVLSGFRPEILEAAFNASRTEVRKIFSKEVEGPIVYVDDSHAPSLWTKFLELKKEDKVQHMKGMLQLEEEEEEEEEEEKQRSWSWRNVLETLLLGRERKHKGKGKGTGDSPDSVNLYDRKPDFRNNYGWSIALDGRDYAPLKTARIGIYHVNLTAGSMMAPHVNPLATEYGIVLSGSGRIQIVFPNGTSAMNTEIKQGDVFFVPRYFPFCQIASRNGPLEFFGFATSARRNRPQFLAGAASILRTMMGPELAAAFGVAEDTMRWVVDAQHEAVILPSPSAAPGDVDDKRMSMNVLDESV >OIV99216 pep chromosome:LupAngTanjil_v1.0:LG13:3683354:3691554:1 gene:TanjilG_06521 transcript:OIV99216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGGLASPNSSPHLRKSGSRPVIYDLGANEVENGADVGLLHSTEANDFKGSILPISTATIMPSPMLLWRFKVLLFLFWGFFCCKIGWDSVMRMSADKRDLFLYEAFLYYNPLLLATLMVWLWGVNLWVFAHGSVNYAKIFDLDQNHLTHREIWKVLLYAAVVMVLIFPFDIFHLSSRFYFLRTLWRIVFPLKVFSDLERSVCRMVHQQVATIAWLEADSVCGSHSIAIPLALVLPYLFRLNQCLRQYKDTGEKTALLNALKYSTAVPVIFLSALKYHVFPERWTNLYRPLWLMSAVVNSLYSFYWDLARDWDLSGFTRIFKFSKPHLLSHLLHGRRWVYFWVIGSNLVLRCTWTYKLSAHLRHNHLTVFTIASLEIFRRFQWIFFRIENEWNKMNSKAHVQLSAGDMPNEEEELLHSNNHSV >OIV98970 pep chromosome:LupAngTanjil_v1.0:LG13:6869727:6871199:1 gene:TanjilG_29373 transcript:OIV98970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVATEEPILSRIDRLDNMLRKLEEIRGCNLSPKSSCSTPTISESDGRFSSVDFSPKSFEKHCRPIENVIMETEVKGTLIDRLNQVEDRMLKVEEEWEADRKREEKKENSPKKKGFKQLVKQCVKARSVKHDK >OIV99384 pep chromosome:LupAngTanjil_v1.0:LG13:2191843:2192742:-1 gene:TanjilG_17194 transcript:OIV99384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFTVLGIALTFVFGCILLGLIVGLGYLLWWKKTRTCIGIKIGQENNVKGLLCGTCWKTQSTINPRSVNVATNKENISSSVEPDLELGVKDSNDMLLKTLEEGVDSELMRLHNLAGPPRFLFTIKEETIEDLESEDRSRKGSSTRSFNDIMVAINSTPFLFPVACSPSRCSLDNIDSYKHHGVNPPFESSLESDFNNFRTLPPSKFKFLRDADEKLNTRLVEDAQRKAQKNLDTVPETEIKDSSNAIRVTEERDKSLLRFMNKNNKEKEHRELQHLPKLPSSTAQVLPLASSFTTTFEI >OIV99222 pep chromosome:LupAngTanjil_v1.0:LG13:3635054:3637471:-1 gene:TanjilG_06527 transcript:OIV99222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANIHKPWFCFPFLTICLFSLHTYSSLAALITISANQSLSGDQTLVSKEGNFGLGFFKTGNSSNYYIGIWYIKVTEPTYVWVANRDNPVSDKNSAMLTISKGNLVLLDQSQNLVWSTNLSSPSSDSLVAVLLDSGNLMLSNRPDATESEALWQSFDHPADTWLPGGKIKLDNKTKKPQYLTAWKNNEDPATGLFSLELDPSGTQSYLILWNKSEEYWTSGTWNGQIFSLVPEMRLNYIYNFSFHSDENESYFTYSLYNTSIISRFVMDISGQIKQFTWLESSKQWNLFWAQPRQQCEVYAFCGAFGICNENSMPYCNCLSGYEPRSQSDWSLGDHSGGCVKKTKFQCETSSLSNGAKDRFQTMLNMKLPEHAQSMAAGNVEECELTCFNSCSCTAYAYGNSGCSIWNRDLLNMQQLSQGDSSGDTLFLRLAATEFHDSKSSKKIIIGLVAGIVGCIVVILVLLLIVILRRRKRHVGTETSMEGSMVAFAYRDLQNATKNFSEKLGGGGFGSVFKGTLTDSSVIAVKKLESISQGEKQFRSEVSTIGTVQHVNLVRLRGFCSDGARKLLVYDYMPNGSLDANLFHEKKSVLEWKIRYQIALGTARGLTYLHEKCRDCIIHCDVKPENILLDAEFYPKVADFGLAKLVGRDFSRVLTTMRGTRGYLAPEWISGVAITAKADVYSYGMMIFEIVSGRRNSEPSEDGQIRFFPTYAANTLNQGGSILSLLDPRLEGNADIEEVTRVIKIASWCVQDDETHRPTMGQVVQILDGILNVAMPPIPRSLQAFVDNQENIVFFIDSNSTQSSQ >OIV98910 pep chromosome:LupAngTanjil_v1.0:LG13:7843855:7844805:-1 gene:TanjilG_07345 transcript:OIV98910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQHNYFPFTHLTCFHLLFLLFLHVADAQISSQPLPTYIHHNWEPSFAITTGAVICVLLLLGLISVYIRNCTDSQYNTRTNNTLVGTSNTSQRPCSCSQGINKELLNTFPILFYSTIKDLKKGKGSLECAVCLSDFKDYDSLRLLPKCNHVFHPSCIHSWLCSHVTCPVCRANLNDSCEVAITVETHLSTPQRVPENPSFLAEHFGGEENNNNNPIEQNTNQVVSNLKECEGNNDFGSPKLKLLRSNTTGHSVLESEKNMERYTLMLPEDIRKCIIVNHNHYGRRVQRSASYNNDVMMLMLESSRKCWSYSEEYKT >OIV99569 pep chromosome:LupAngTanjil_v1.0:LG13:959282:967986:1 gene:TanjilG_17379 transcript:OIV99569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQLFSLEETTGELKDRCQKLFKGCKKFMTALGEEYNGEISFADSLEAFGGGQDDPVSVSIGGPVISKFITTLRELASFKELLRSQVEHVLIDRLTEFMTVDLQDAKDSRRRFDKAVNSYDQSREKFVSLKKNTPGDVVAELEEDLQNSKSAFEKSRFNLVHSLMNIEVKKKYEFLESVSAIMDAHLRYFKLGYELLSQMEPYIHQVLTYAQQSKEMANIEQDKLAKRIQEYRTQAELENIRASSNTEPLPNADSTHVVQTVKQGYLLKRSSSSRGDWKRRFFVLDNRGSLYYYRVKGGKPMGSQSYHYTRSGEQNSGMFGRFRSRHNRAASLNEDILGCCTVDLCTSTIKMDAENTDLRLCFRIISPSKSYTLQAENEADRMDWVNKITGAIASLFNSQFLQPHYGRLHFENKNSAGGASLTSQTEDSNRSLMDDIYSKEVVSVSKILRGIPGNDKCAECSAPEPEWASLNLGILLCIECSGVHRNLGVHISKVRSITLDVKVWEPAVLELFDNLGNAYCNSIWEGLLLLNDERVVESNAPMKPCSTDAFQYKEKYIQAKYVEKSLIIREEDIPRNPSLSIRIWQAVQAINVKEVYRLIVTSNSNLINMTYDDVVHHVDGEDLNLGSINEHQHDPEACLRVKDTNETERCFLGWSLLHLACHSGSPLMVELLLQFGADVNMCDYHGRTPLHHCISTGKNPLAKFLLRRGAKPSVKDAGGLTVLERAMEMGAISDEELFIKLAECQ >OIV99456 pep chromosome:LupAngTanjil_v1.0:LG13:1662829:1664857:-1 gene:TanjilG_17266 transcript:OIV99456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKMMDFEEEEEAAQLINSDMRELSVAANKLAQHAIKLGGLGSGVSFFGLIAALAAIYLLILDRTNWKTNILTALLIPYIFFSLPSFIFHVFRGDIGKWIAAVAVVLRLFFPRHFPNWLELPAALVLLIVVAPSLLASKFRDDIVGVIVCLIIACYLLQEHVRASGGFRNAFTKANGLSNTIGIVLLLVYPIWVLVGIVF >OIV99304 pep chromosome:LupAngTanjil_v1.0:LG13:2806652:2807584:1 gene:TanjilG_17114 transcript:OIV99304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKEQGLGREENLVVMAKGKRTKRLRLLSPDCGGATAATCTHSSVNSEGSSSTTTYESTDQEDQDMANCLILLARGGGGNSHHHHDHLKKSHDHDGSGNNNKIEKGRSSGTSAGKFEEMATAINITKTDFFIYECKTCNRTFPSFQALGGHRAGHKKPKFVFPEEKKTLSQLQPQPQSHVLTNNDNFEEAGKPHIKTTLPISFQLENQANRSIFNTNKAKIHECSICGSEFTSGQALGGHMRRHRAATNTTTTQALAAVKAEVQHQRNILELDLNLPAPEEDLRESKFQFPATQKSIVLSASPALVDCHY >OIV98563 pep chromosome:LupAngTanjil_v1.0:LG13:17272190:17274761:-1 gene:TanjilG_12149 transcript:OIV98563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGCCSHDATVRGKVKSEVDSGEYEHDHSSHSTHVTYEHDGSRVISKGSSIFVSMDCKQGKKGVNQDALTVWEDFTGEKDMIFCGVFDGHGPLGHKVSRYIRDKLPLKLSEAIKMAQQNACRFYDANESESGSYDDIYDGNNQGMSLAAWEGCFLKSFDEIDQNLAQQINTDSYCSGSTAVTVIKQGDQLIVGNLGDSRAILCTRGDRNQLIPVQLTVDLKPDIPSETSRIISREGRVFASEEEPNVYRIWMPDEDCPGLAMSRGFGDFCIKDYGLISTPDVFYRKLTNQDEFVVLATDGVWDVLTNSEVINIVASAPRKSIAAKVLVKRAVRAWRYKYPGFMVDDCAAICLFLDEQPVLSQSQSKSNRIISHEIHRNRSKHRLKSTRNEETETVDGKVGLELDEEWKALEGFVRSNSISKLPWISKNMSEGQALKYYKGG >OIV99234 pep chromosome:LupAngTanjil_v1.0:LG13:3535076:3537734:1 gene:TanjilG_06539 transcript:OIV99234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDRFFPNPMPEFVPETTPSTPQEEQEAVTVTHGDSLSKLLAMPHAPLSQRLKRAALDLKETIVIETWGFTGQQVEDFTLYCGLMGTAFLLFKSYQVTHNANDLTLCSQIVKACDAASVASRDVTFICGRAGVCALGAVVAKHGGDGDSLRYYLSQFEKIKLSKNLPDELLYGRVGFLWACLFLNKHLSEDTIPSTYTRAVVDEIIKNGRAMGRKGICPLMFEWYGEKYWGAAHGLAGIMHVLMDMELKPDELEDVRCTLKYMIRNRFPSGNYPASEEDRKRDALVHWCHGAPGVVLTLVKAAKVFGDKEFVDAAAEAAEVIWNRGLLKRVGICHGISGNAYVFLALYQITGNVKYLYRAKAFACFLLDRAHKLISEGEMHGGDRPYSLFEGKGGMAYLFLDMIDPSLSKFPAYEL >OIV99201 pep chromosome:LupAngTanjil_v1.0:LG13:3889548:3892292:-1 gene:TanjilG_19697 transcript:OIV99201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDPVGTFFLHKPPTVLNSFPSSEEEHNTKWTLSPNNNNMEATLRTSSPTHNTTTIPFQVNNHASSSHHDMIITTEMDFFSDKNNNNNNNNVASSSVPDNDHIHDHAIPSILEFKVNTGLNLLTTNTSSDQSMVEDEISPNSEDKRSKNEKAVLEAELERMKVENQRLKETFDEVNTNYKALQMHVVSLMRDQKGEDGEEREVFDGKVEENKKRKQIETNGVLVPRQFMDLGLATNISETKIEDPSSSSRGRSQDRSGSPGNNNLEVASKELGTSKNVNGSGSGSDEGLVFDQEKKDFGNGSRGIESPPKNVDQAEATMRKARVSVRARSEAPMITDGCQWRKYGQKMAKGNPCPRAYYRCTMASGCPVRKQVQRCAEDRAVLITTYEGNHNHPLPPAAMAMAQTTSSAARMLLSGSMSSADSIMNANFLSRTLLPCSSSMASISASAPFPTVTLDLTQSPNPFQFPRPPIQFQIPFPQNFANSSASLMPQMLGQALYNQSKFSGLQMSSNGVVDPSQLGGNQATPSHLADTVSAATAAIATDPNFTAALAAAITSIIGGGAQANNNSTNNNGSNNNGNITTSNNNSNNGKQ >OIV98938 pep chromosome:LupAngTanjil_v1.0:LG13:8623973:8634931:-1 gene:TanjilG_07373 transcript:OIV98938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLTLSNDIVSPENKHKKHKKNKNNNHNTEKSQNDAVSDTKKKKNGSKEIKKRKKHSSSEGDDDYDEKSDAVEGLKSKKKKNKKVKVEMEEEDVEEEEVEIEGENPNAVSMFRISEPLKVKLKEKGIEALFPIQAMTFDIILDGSDLVGRARTGQGKTLAFVLPILESLTNGPAKASRKMGYGRSPSVIVLLPTRELAKQVHADFEVYGGAMGLASCCLYGGAPYQTQEIKLKRGVDVVIGTPGRIKDHIERGNIDLSLLRFRVLDEADEMLRMGFVEDVELILGKVDDVNKVQTLLFSATLPDWVKQISTRFLKRNKKTADLVGNEKMKASNNVRHIVLPCNSSARSQLIPDIIRCYSSGGRTIIFTEKKESASELAGVLPGAKPLHGDIQQSQREVTLAGFRSGKFMTLVATNVAARGLDINDVQLIIQCEPPRDVEAYIHRSGRTGRAGNTGVAVMLYDPRKSSVSRIERESGVKFEHISAPQPDDIAKAVGGEAAEMILQVSDSVVPAFKPTAEGLLNNSGLSAVELLAKALAKAVGFTEIKKRSLLTSMENYVTLLLGIGKPIFTPSFAFGVLRRLVPEEMVNTVQGLTITADGNAAVFDVPAKDLDAFITGQQNAANVSLQVVKALPRLQERDQSKVGRYGGGGGGGRGFNDRSGGNRFGGRGGGRNGRFSNGFGGGRGGNRGGRRW >OIV98882 pep chromosome:LupAngTanjil_v1.0:LG13:9382324:9384159:-1 gene:TanjilG_20909 transcript:OIV98882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLLQTVAHQSSSGEFIRFTGGDDEHGEGDDGGSSRTSESLGFNQVMEQGEVAMQGSSVASGYSREREYTEMVSALTHVVSGSGQRRSKWVQIHGSGVSSSNPSTLSSGSWVGHKRGRGEESSASNQLMQQAVPRNFRTIGDFKIPLTHAESSAEEAATTIITTTSEATPSSETASNEEKRRYRGVRQRPWGKWAAEIRDPHKAARVWLGTFDTAEAAARAYDEAALRFRGNKAKLNFPENVRALQPPVHTFPATTTIATRPQLQPRLMQQQQQQPLVQGSSDLIRDYWEYSQLLQSSGDFQQQQLQLQHQPSSLLQQWYYNTSQLAALQSSSLLSSLPSLSSSSMSANASFSPSSQLSSASFPLSSSQQMGYFRPPGYQTQGGGRGTGLEYLSSTWSDISGYPPPPN >OIV99467 pep chromosome:LupAngTanjil_v1.0:LG13:1614236:1617142:1 gene:TanjilG_17277 transcript:OIV99467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDYVSSDDDYRYSDDQDYSGDAYESEEIDYKLVTAKASSTMLITKETLLAAQMEDLRSVMDMLSVTEQHARILLIYHRWDAEKLFAVYADNGVARLFAEAGVALDKDHHSNSPVHRLIMCEICIEDVPCDEATRMDCGHCFCNTCWTEHFVVKINEGQSKRIRCMAHKCNSICDEAVVRTLLSRKHPDMAEKYERFLLESYIEDNKRVKWCPSIPHCGNAIRVEGDELCEVECSCGLQFCFSCLSEAHSPCSCLMWELWAKKCQDESETVNWITCHTKPCPKCHKPVEKNGGCNLVSCICGQSFCWVCGGATGRDHTWSSISGHSCGRYKEQEESAARAKQHLHRYMHYHDRYKAHADSFKLESKLKETIQRRIDISEEKDSKLRDYSWVINGLSKLFRSRRILSYSYAFAFYMFGDELFKDEISEAKREIKQNLFEDQQQQLEANVEKISKILAEPFDNFTDDKVLEIRMQIINLSTVIDKLCQKMYDCIQNDLLGSLEKCHNIALYKSNGIERASEVLALSDKD >OIV98704 pep chromosome:LupAngTanjil_v1.0:LG13:15010438:15012102:-1 gene:TanjilG_24875 transcript:OIV98704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTELEIPSVMPKIISFLSSLLNRVAESNDLNKQLMIHQKISVFHGLTPPTIPIQSYLERIFKYANCSPSCLIVAYVYLDRFTQMQPSLPINSFNVHRLLITSVMVAAKFMDDIYYNNAYYAKVGGITTIEMNFLEVDFLFGLGFHLNVTPSTFQAYYAHLQREMLLMQPLNFVDSTLSLEKSLKAHLCFNEDESSTHQNQQQIAL >OIV98711 pep chromosome:LupAngTanjil_v1.0:LG13:14920057:14922883:1 gene:TanjilG_24882 transcript:OIV98711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTKNPFELLGDDAEDPSHQIAAEELKAAAVLAAAPKKGQEKGKVGSGAGQLPTKPLPPAQAVREARTESFRGGRGGGRGYGLRRGGFTRGSSNDENTFTATGAPANQGAFEGDADKPSERRGYGAPRVPYRAGGRRGGFSNGEGGEEGRPRRAFERLSGTGRGNGFKREGSGRGNWGTQTDEIAQVTDDATNETEKKLGDEKPAAEEDAAADANKESPANEAEEKEPEDKEMTLEEYEKVLEEKRKALQSLKTEQRKVDTKEFESLKPLSSKKNNHEIFAKLGSDKDKRKETLEKEEKSKKSVSINEFLKPAEGESYYNPGGRGRGRGRGGRGGSGFRGNANSNAPAPSIEDPGHFPTLGGK >OIV98975 pep chromosome:LupAngTanjil_v1.0:LG13:6988791:6990162:-1 gene:TanjilG_29378 transcript:OIV98975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDQVQHPTIMEKVSSQLHLRSGVSSDAGFRRPAVYQRRSFGNYSNAALQYPLMPSCGATTDLSSVATASPVFAAAPAEKGHFVIDFLMGGVSAAVSKTAAAPIERIKLLIQNQDEMIKSGRLSEPYKGIGDCFKRTTAEEGVVALWRGNTANVIRYFPTQALNFAFKDYFKRLFNFKKDRDGYWKWFAGNLASGGAAGASSLFFVYSLDYARTRLANDSKAAKKGGERQFNGLVDVYKKTLASDGIAGLYRGFNISCVGIIVYRGLYFGMYDSLKPDSFFASFGLGWLITNGAGLASYPIDTVRRRMMMTSGEAVKYKSSLDAFNQILKNEGAKSLFKGAGANILRAVAGAGVLAGYDKLQVIVFGKKYGSGGA >OIV99627 pep chromosome:LupAngTanjil_v1.0:LG13:592815:597020:1 gene:TanjilG_17437 transcript:OIV99627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTSFGASVSFLNDPKLQIPTFHGFKSASASTSLTLTRNVFSLPSPTRSLSLIRAVSTPAKPQTATEKRSKVEIFKEQSNFIRYPLNEELLTDAPNINEPATQLIKFHGSYQQYNRDERGSRTYSFMLRTKNPCGRVPNRLYLTMDDLADQFGIGTLRLTTRQTFQLHGVPKKDLKTVMSTIIQNMGSTLGACGDLNRNVLAPAAPFVRKDYLFAQQTADNIAALLTPQSGFYYDIWVDGERVLSAEPPEVVQARNDNSHGTNFTDSPEPIYGTQFLPRKFKIAVTVPTDNSVDLLTNDIGVVVVTDEAGEPQGFNIYVGGGMGRTHRLETTFPRLAEPLGYVPKEDILYAVKAIVVTQRENGRRDDRKYSRMKYLISSWGIEKFRSVVEQYYGKKFEPFRELPEWEFKSHLGWHQQGDGSLFCGLHVDSGRIGGKMKKTLREVIEKYNLNVRITPNQNIILTDIRASWKRPITTALAQAGLLQPRFVDPLNITAMACPAFPLCPLAITEAERGIPDILKRIRAVFEKVGLKYNESVVVRITGCPNGCARPYMAELGLVGDGPNSYQIWLGGNKNQTSLAQTFLDKVKILDLEKVLEPLFYHWKQKRHSKESFGDFTTRIGFEKLKEYIEKWDGPVAPARHNLRLFADKDTYEAVEKLAKLQNKSAHQLAMDVIRNFVAANQNGKSE >OIV99275 pep chromosome:LupAngTanjil_v1.0:LG13:3140796:3141263:1 gene:TanjilG_17085 transcript:OIV99275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATMSAVNVSPSCMFQPAIQNRSTVATIVKCPFSIGSVKSVSRSFRLKSSSSFRVTAMASYKVKLVGPDGQENEFEAPDDTYILDAAENAGVELPYSCRAGACSTCAGKIISGQVDQSDGSFLDDNQLKDGYLLTCVSYPTSDLVIQTHQEESLY >OIV98940 pep chromosome:LupAngTanjil_v1.0:LG13:8669379:8674495:1 gene:TanjilG_07375 transcript:OIV98940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKPQNDPLRQVETNCGSFLYELQIIWDEVGESETEKDRMLFELEQECLGVYRRKVDQANQSRAQLKQEIADSEADLAAICSAMGELPIHIRQSDQIARNLKEELARIRPELEDMRRRKSERRNQFIEVEEQIRSISNEIYGPREDIPAIIDESDLSLRNLDELHRQLLALQKEKSDRLKKVQDHLYALNSLCSVLALDFRQTVREVHPSLGNAGGSKSVSNDTIKQLTAAIEELRRVKLQRMQKLQDLATTMLELWNLMDTPIEEQQMFQNVTCNIAASEHEVTEPNSLSVDFINCVEAEVSRLEELKSSKMKELVIRKRAELEDICQKTHMALQVDSAVEYAVETIESGLLDPASVLEQLELHIAQVKEQAFSRKEILEKVEKWLSSCEEESWLEEYNKDENRYNAGRGTHLTLKRAEKARILVNKLPAMVDGLTSKTITWEKDKGIEFTYDGNPLLSMLEDYTMLRQEKEQERLRQREMKKLQGQLTAEQETKYGSKPSLSKTLSVKKEPRMSIGSAAANRRVSVGGGTIQTPKPYSKSISSSCSTKKTDKARQIEQQEYLDDSASYLSSARRGLDIAGVPFRKHSFGAGSVCGIESPSARKPFSPISSSSKVSSKANVAYGIDNLNIHNTEKFQKTIAVNILPSTTPPKTATRVDEDYKTPKTMRTIPDPPSPLTMSIPVPTTPTMNLTMTPAPSSVSFGGDLVQETEYSFEERRLSFVLA >OIV98839 pep chromosome:LupAngTanjil_v1.0:LG13:11262528:11265099:1 gene:TanjilG_08495 transcript:OIV98839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGLICFDSVPQDVTATKGNEFEDYFLKRELLMGIYEKGFERPSPIQEESIPIALTGSDILARAKNGTGKTAAFCIPALEKIDQDTNVIQAVILVPTRELALQTSQVCKELGKHLKIEVMVTTGGTSLKDDIMRLYQPVHLLVGTPGRILDLAKKGVCILKDCSMLVMDEADKLLSPEFQPSIQQLIQFLPSNRQILMFSATFPVTVKDFKDRYLQKPYVINLMDELTLKGITQYYAFVEERQKVHCLNTLFSKLQINQSIIFCNSVNRVELLAKKITELGYSCFYIHAKMLQDHRNRVFHDFRNGACRNLVCTDLFTRGIDIQAVNVVINFDFPKNSETYLHRVGRSGRFGHLGLAVNLITYEDRFNLYRIEQELGTEIKQIPPFIDQAVYCR >OIV99260 pep chromosome:LupAngTanjil_v1.0:LG13:3261046:3264105:-1 gene:TanjilG_06565 transcript:OIV99260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLNTNQEKGILGRVFEWLITLAKDFKEKVFEICRMSKKIAEDDPRKVIHSLKVGLAISLVSLFYYYQPLYENFGLSAMWAVMTVVVVFEYTVGATLGKGLNRTLATLMAGTLGVGAHYLASLAGEKAEPILIGFFVFLQAAIVSFIRFFPKVKARYDYGFLVFILTFSLISVSGFRDDEVLEMAHKRLSTIFIGGSACVMISIFVCPVWAGEELHYSIAINLEKLSDFLEAFVCGYFTTLDEGGFVNFPCLEAYKSILNSKSSEESLANFAKWEPGHGKFKFSHPWDQYLKIGTITHQCAYRMEALKEYLNSNTQGSSEIRSMIEEVSLEMTMESSKALKELGLSIKTMTRSSSADIHIANSKASSKRLKSLLQSRLREETDLLSVISVGTVASLLIDITDFTEKLSDCVNYLASLTNFDAVETNKYPQISRSPYCECADPDPKIETSHVAVILVEESSLAKPCGKSISVQHNNV >OIV99068 pep chromosome:LupAngTanjil_v1.0:LG13:5546395:5550317:1 gene:TanjilG_32327 transcript:OIV99068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIGDGIAMPSLDIHSLLLFSAIFRAILILYGEWQDAHMEVRYTDVDYLVFSDAASLMALGDSPYKRTTYRYSPLLALLLLPNSFIHSSWGKFLFSASDILVGYFIYYILKLRKVPENLCNYSVMTWLFNPFTFTIGTRGNCEPIVCAMILWIIICLMKGNVLQSAFWYGLVVHFRIYPIIYSIPIILVLDPNFFPSGQKPVLRNWSAIQGETSKDGNDWYTLHSNLLKNIFTRDRLIFGLISGLVFLFFTGLFFCLYGWEFLHEALLYHLTRTDPRHNFSIYFYHIYLHYGNDIAVAEKLISFLPQFSVQLALIFCFAQDLPFCLFVQTVAFVAFNKVITAQYFVWFFCLLPLILPWSKMNLKWEGLSCILLWIVAQTHWLWWGYLLEFKGQNVFLQLWVASLVFLAANIFILVMIIRHHRYASIFKGLEHAKSKNVAKLE >OIV98985 pep chromosome:LupAngTanjil_v1.0:LG13:7190133:7204512:-1 gene:TanjilG_29388 transcript:OIV98985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKENEEDDLSLKQTRSNAPGPSQTSQGQSGHDQGKAMSKGQPDHEQGQNGYACCRIINESTSKDHDQHGLNMKHKEVGLNGVIAMCHEPDEARNVPDQDRVHQLTLSRGADPKHLSLSAALGFTLGVFPICGVTIFLCGMAIALLGPLCDAPTVLLSNFIATPIELSLVVPFLRCGEVITAGPHFPLTSDALKKILSGQASQEVLLSVAHALLGWLVASPFVFGTLYVVLLPCFKFLLSKFNSPPLSETEPLQPHPEVVFKVRDV >OIV98735 pep chromosome:LupAngTanjil_v1.0:LG13:14466940:14474417:1 gene:TanjilG_24906 transcript:OIV98735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESPSPSLPHSISNERVAFKLKGYLDLATQQIDKAVRAEEWGLIDEAILHYKNAQRILVEANSTPVPSFITSSEQQKVQSHRQKISKWQGQVSERLQALSRRTGSSSANQSTSNSARAASIPIKSSNARKNVLPKNPQRTGQVNKVGSPKSSQVSGASYDSKLVEMINTAIVDRSPSVRWEDVAGLEKAKQALMEMVILPTKRRDLFTGLRRAARVASESEATFFNVSAASLTSKWVGEGEKLVRTLFMVAISRQPSVIFIDEFDGVTSNPDDIVILIGATNKPQELDDAVLRRLVKRIYIPLPGENVRKLLLKHKLKGQAFSLPSRDLERLVKETEGYSGSDLQALCEEAAMMPIRELGAGILTVQANQACLLELRGLRFEDFKKAMAVIRPSLNKSKWEELERWNEVFGSN >OIV98693 pep chromosome:LupAngTanjil_v1.0:LG13:15183245:15185749:1 gene:TanjilG_24864 transcript:OIV98693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFTHICIVLPSYSCEMDQPEMVTQQAFQTPMVQEVKVKQPHRVCVGQVVSLVKYSLRKLHNSGWWRTCKHGLKKEKKNSSCVFHDMDGVQVSLKIGRDNPRIFSYAELFIGSNGFSEDEVVGSGGFGKVYKAVLPSDGTVVAVKCCLAEKGKQIEKSFAAELTAVADLRHRNLVRLRGWCVHEDQLYLVYDYMPNRSLDRILFGKHKNFKAGPLDWGQRVKIVNGLAAALYYLHEQLETQIIHRDVKTSNVMLDSHYNARLGDFGMARWLEHEIEYKTRKTSARIDWFRLGETSRIGGTIGYLPPESLAKPSNATSKSDVFSFGIVVLEVVSGRRAIDLTYPDEQIILLDWIRRLSDEGKLMDAGDARIPDGSYKLNEMQHLIHLGLLCTFHDPQLRPSMKWILEALSDFSCKLPKLPSFQSHPLYISLSPSPTETSPSSKSGTSTCTENTSMITNYTSSNYVTAAGETVYVTVEHKNSEIISSKSMQRHRRPLHVFETPREISYKEIVSATDNFSDSKRVAELDFGSAYHGILDGNLYVLVKRLGMKTCPALRDRFSNELRNLGRLHHRNLVQLRGWCTEQGETLVVYDYSASRNLSQMLLHHKNGRTRNPVLQWHHRYSILKSLASAILYLHEEWEEQVIHRNITSSAVILEPDMNPRLGSFALAEFLSRNEHGHHAVTDTRKSVRGIFGYMSPEYVESGEATTSADVYSFGVVVLEVVSGQMAVDFRQPKVLLVKKVHEFEMKKRPLKELADIRLNGEYNNKEFMRLVRLGIACTHCNPQLRPSMRQIVSILDGNDNILIQRFKESREEWRQRNASALSLVKRIQGLGIQ >OIV98874 pep chromosome:LupAngTanjil_v1.0:LG13:9772117:9773016:-1 gene:TanjilG_21705 transcript:OIV98874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHTSHKKPLLTFSLIILTLTQTSLGGDIAIYWGQNGYEGTLIQTCATGLYSIINIAFLNRFGNGQVSKLNLAGHCNPEANGCRILSSSIKECQRLGIKVMLSIGGGIGRYSLSSSSDAKTFADYLWNNFLSGKSPSRPFGDAILDGIDFDIELGSTLHWDELASYLKQYSHSEKVVYLSAAPQCPFPDRYLGKAIGTGLFDFIWVQFYNNPPCQYNYGSTDKLISSWKKWSASIPEKKIYLGLPAAKAAAGSGYVPPNVLVSQILQKIKISPKYGGVMLWSRYFDKRSEYSVYIKNSV >OIV99457 pep chromosome:LupAngTanjil_v1.0:LG13:1655465:1661700:1 gene:TanjilG_17267 transcript:OIV99457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVYTVKVEEARPATNEKPSAGPVYRCIYAKKALLELPSHFQSPWDFFRDSATRYPTNPMLGRRQKTKIDSKVGPYEWVTYQEAYDAAIRMGAAIRSCGVNPGDRCGIYGSNCPQWIIAMEACNSYAVTYVPLYDTLGPNAVEFIINHAEVSIGFVHENKIPSILKCLDRCSSNLKTIVSFGNVSTTQKKEAEEFGASCFSWEEFLQLGNVDLDLPLKKKTDICTIMYTSGTTGEPKGVIIKNEAFMAEVLCIDQILHLTDKAATEDDVYFSFLPLAHVYDQIMETYFIYKGSSIGFWQGDVRFLMEDIQALKPTIFCGVPRVFDRIYAGINNKISSGGTLRSTLFQYAYNYKLGYLEKGLPQDKAAPLLDRIVFDKIKQALGGRVRLLLSGAAPLPRHVEEFLRVTLGCTLSQGYGLTESCSGSFTAIANVFSMMGTVGVPMTTIEARLESVPEMGYDALSSEARGEICLRGNTLFSGYHKRQDLTEEVVVDGWFHTGDIGEWQSNGAMKIIDRKKNIFKLSQGEYVAVENIENKYLQCPLIASIWVYGNSFESFLVAVVVPERQALEDWAVKHNLTDDFKSLCENLSARKYILDELNTTGQKHQLRGFELLKAVQLEPIPFDIERDLITPTFKLKRPQLLKHYKDCIDQLYKEAKGAMM >OIV99369 pep chromosome:LupAngTanjil_v1.0:LG13:2288419:2289318:-1 gene:TanjilG_17179 transcript:OIV99369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLQSLPMPLNAIIFIAFIFFAATSTSSTRILLELETPQPKPQEPDSVLSPVSSSVPPLVNTAPAAAAGAVITDADHDHHVLSFFMHDILGGSNPTARAVTGVVTNPALNAQVAFAKPNGANIPLNNGIPQNNNNDGILNNNNLPFLTGLSGNTGNVFNNGNGFSVGNTNQVPQGTTVQQLMFGTMTVFDDELTEGHDLGSGLVGKAQGFYIASAIDGTSQVIAFTAQFEENGYADSLSFFGVHRTQVSESQLAIIGGTGKYVNAEGYAIIKTFPVTDQQHNSNGLETLLQLTAYLSY >OIV99080 pep chromosome:LupAngTanjil_v1.0:LG13:5684071:5689679:-1 gene:TanjilG_32339 transcript:OIV99080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEKIREPLLKNKYYENCPGCKVDKAKELNQNLSILNLGIIWMVVLSASLPISSLFPFLYFMVRDFNIAKTEADISSYAGYVGSAFMLGRGLTSVLWGMLADRYGRKPVLIIGLVTIVIFNTLFGLSTSLWMAIIMRFLLGSLCGVFGPVKAYAAELFREEHQALGLSTVSAAWGIGLIIGPALGGYLAQPTEKYPHLFLKGSIWDKFPYFLPCLIISGFAFLVAIVCIWIPETLHNHNDRNKSIDDAEAVENGSIGADKDRIIQKSESLLRNWPLMSAILAYSVFALHDVTYQEVFSLWAVSPPRLGGLNFTTDDVGNVLSISGFALIIYQISLYPHVEKAFGPINIARISGVLSIPLLQSYPFMAMLSGLTLYIVISCASIMKNLLSMTIITGLFLIQNRVVEQHQRGEANGIAMTCMSLFKSIGPATGGAILTWSQKRIDASILPGPHTVFFVLNLVEAVGLLMIFTPFLREKKNTISIKEQC >OIV98533 pep chromosome:LupAngTanjil_v1.0:LG13:17695817:17706181:1 gene:TanjilG_12119 transcript:OIV98533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDRRKKNKKKKNKHSKNNVESGVATVKDRDLISNSGTIKDELVSSNVGLNGVGETEIRDQNLVGNVEDEPNHLSEIADEQGTIRVGETPDRYNLNLMKDEHVQPMEFVDGQSSTNTDSNGHLPNGKECDTSLEVIRNLGNENGVLKQNVAISEETIRKSKEENDMHIHEVIILEEKNRKLKEQNDTFIHTEAISEERIKNLKEENDMHIEKEAILEETIRKLREENDTHMRKEDASDEAIRKLKEELEIHIQKEIISEEKIRKLKELNDTFIHKEAVSEERIKNLKEENDMHIEKEARLEETIIKLREENDTHMRKEDASEETIRKLKEEHEMHIQKEAVSEDTIRKLKKENDMYKQKKAMSDETIRKLNKANDAHIQKVAMSEERVRKLNEENDQHVRKQATLEESINNLRAETELQTQKQSDLEMRIAQLLSENNSLLKKEASLAETNNQLLDEKAVLSQKRESLERKIHLLESDLNSLNEKQNSTKEIISNLNGDVSLLKGQVAELEESRNNLLLENQQLRENESGLQSTIQNLENIRDSFSQDASAKAMSDETIRKLNKANDAYIQKVAMSEETIRKLNEENDQHVRKQATLEESINNLRAETELQTQKQTDLEMRIAQLLSENSSLLKKEEGLERKIHLLESDLNSLNEKQDCASENEDLKSQIESAVVLVEKLMTENAELVEKVNELIIELEQKRWHAEVGHSGVTGSDGMTGFAKQAAVVTAVPESTEIISLEEGSLKDFTNAERDVGLVPNSSSVSDDAGEIVQIPLDDNEIVRDQELQDVANDVENGDVVPLADAPLIGAPFRLVSFVAKYVSGADLVNQTSSNSGR >OIV98626 pep chromosome:LupAngTanjil_v1.0:LG13:16463129:16464692:-1 gene:TanjilG_12749 transcript:OIV98626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGETMVVVFKPNLSHGYFTSSTILPSSLISERTIPIINHQVMFHKNHYLNNSVPQKKKRVSFSVQAQAAKPPSGVEFPKVQPQIKAPFVGFTNTAEIWNSRASMIGLIGTFIVELKMNKGILEMIGVEVGKGLNLPL >OIV98980 pep chromosome:LupAngTanjil_v1.0:LG13:7094787:7097136:1 gene:TanjilG_29383 transcript:OIV98980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYSQVDLDEDIDYMFKVVMIGDSGVGKSQLLNRFAKNEFNLNSKSTIGVEFLTKTVVMDHKVVKAQIWDTAGQERYKAITTAYYRGATGALLVYDITRRPSFDHVGKWLEELQIHADKNIIIMLVGNKSDLSSTREVPTDVAKDFAQQEGLFFFETSALDSNNVESAFFGLLSQIYANVSKKHIIADRLESNRHKVNLGLEGIKIKVQSQEPECQKTKRKFNCCNVF >OIV98675 pep chromosome:LupAngTanjil_v1.0:LG13:15464830:15465579:1 gene:TanjilG_23967 transcript:OIV98675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMGSLSKILCSLLAFAMTMSIAANAIDPIAATGKEPIIELYMHDIVGGTNPTARPVTGLLGNIYSGQVPFATPVGFNTPQGAIPIPNANGAMPSVNGVTGIPLGTGLAGTTFAGNNNQNNGQLQLGPDGLGLGFGTITVIDDVLTAQPELGSQIVGKAQGVYVASSADGSRQMMVFTALFEGGEYGDSLNFYGLYKIGSTMSHLSVIGGTGKFKNAKGFAELRGLIPPGQIATDGAETLLRITVHFSY >OIV99134 pep chromosome:LupAngTanjil_v1.0:LG13:4635993:4637454:-1 gene:TanjilG_22714 transcript:OIV99134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMRPPLAVTGVKLASVTAISRTFYSQRGKAYLFSNVVNYTIGELEERSMISGLHTVADIYCCRCGQIVGWKYEIAPEKYQKYKEGKFVLERGRIVDEIDFSTTEFHMESRANMSDAEDA >OIV99644 pep chromosome:LupAngTanjil_v1.0:LG13:460827:465197:-1 gene:TanjilG_17454 transcript:OIV99644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELEEGEILQQPHSQKQHNPPDERDLWALDLEELESIVEKENELKERRLELARKYAEECSIKSKVEEDELHSLETEIENRCKELRVKEKQLASVEGELQLICRDVEIKEREYEETERCLKECKREVREKEEHLKLIKTSICEYDRRLRLKQEECSWQLEMLQMLTTERDEVERKMKIGIDERQKEIEDNVARLAVIQDLIKDREIELKTFDMQFQQSVVSSEKLLRENEKLLRAQVAKRDECSKEIKMKEEELGTMEKLIDTMGKKNESIKAMREKIIQLLQEVESNKKDTELMKERKLELEKKEEEFEEVVKKLELSQRANELLKERKLELEKKEEEFQEVVKNLELSRRAIEMLKERNLEAQNREKRLVEEMKCIEASSKQCDRRLMEFEMKEKRFTEQLKEVELRKEECDVRMKSLCSKEKHFKVRFKELESNKKEFDKRTKELGLKEKELEGHTRELESKEKKHKEKVEELESKEKKHKEEIEELESKEKKHKEEFEELESKVKQFECTMKKFLSEQEHANERAKEQESEKDKLEAQVREHELKEKEYEVRVQELSSKEKKFEIQVKQLELKVKEYEEQLKELASKEKQHGAQHSQAPQALDNESTPTTDGGNFHLVLNERTNEPELYVNTILVDLQSTLDPAKLVLDVIQKPILRLDQNEDKGAIIEERHIFLLEQLMRISPDIKYHVRKGAMKLALDLKANINMGANTENSLVVLGFLLLLSAYGLVSSFDEDEIFKHFELVAQHRQTVELFRTLGFVDKISDFVQILINKQRIIEAVKFICAYDLVAKFRPVHLLSQHRQKQRQHYKKFCKGMPNQQKVEATEKEIGVLKNVLECILDNNIGSTNLVNDIRINIHKLERQKENLAHSLAASKPISKDEEVQQQQQHQQQQGQQKPKPKPKPKRKKRSNETTNSYPPQDSNHIKRPRVATPPPPPPPPPPQPANLHPQFQHPHVFVPRGMAPPRPRGVMPGQFSYHPKQGYYRHHAGPQYFYHPGPYPPHI >OIV99311 pep chromosome:LupAngTanjil_v1.0:LG13:2743605:2747929:-1 gene:TanjilG_17121 transcript:OIV99311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKVMNILKPKANPQQLLRDWQRKLRQECRNIERQIRDIQREEKSVQKAIREAAKRNDMGSAKSLAKELVRSRRTVNRLYENKAQLNSISMHLGESVVLFVVNNELAWAKSRSRAVWDKTMLRIVKRFCFGEDGCVFGSHTVFSAIARTVGHLSKSAEVMKLVNNLMKAPQMAVTMQEFSKEMTKAGVIEEMVNDAVDSALDSEDIEDEIEEEVDKVLTAIAGETAAQLPEAVRKEKVKQPAQRAGAADEEEAIAEGVDDEEEMEEIRARLAKVRS >OIV99649 pep chromosome:LupAngTanjil_v1.0:LG13:425715:434384:-1 gene:TanjilG_17459 transcript:OIV99649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSSPSVADTPSQSSTPLTSDNDLQPFFVLHKASSQRKDKASTRQGKVRKRNELSLSSPQSTKKLEGSMIEECDHLLFQQLKIEAFGNVWTKIESTIKEVLRDLNASVFNDIQQWVLECFNATASIGELGVAEATRSFPALNNTNLGKLFTALVITRNIEFVDDILTFEELGHFLKSQGCHVAVLSSIEFSVKNGIAGCLKALLREFIAGAIDVGTSELVYYKINFIYFCCLLYFFPFLNAVINQSADISILASWYREQDHYNKPLVLIINDLERCCGSVLTDFILMLSEWVVKVPIMLIIGVTTTVDNTRNILPSQTLECLCLSKFLLGTPAEKMDAIVEAVLVKQCTTFNIGHKVALFLRNYFINQDGTLTSFIRALKVACLLHFSVEPLSVIHGRLAEDQKEKSALSPETMLKYMDELPTDGRNQMVDQTGKSMAQSLSELLTVRKLWSTAVLCLHEAAKYSRVRLLDLFCEVLNPDLYLSRDSDCRVGNEKESGLSSTGDPCQQYSVMQSSTFICQIVRKVRDLPSGRLHQLIKTWEKLTADVSEIHEKLKMFQSSVRCEDEKSSRKSSKDIPKRNASRVSPYADKDSIMLTSQAVSFIDLLVRNYTRPIECMPFHEIFCFKDVEKLQSVLIGDPRSRIQVDLLESYKILRCSCCNKSGNAILPSKHDSSIMYSLAQEHGDLINLHDWFQSFRTIVLQPTTKRKQKTKQSSHGFGDQNEASIQAVTELQITGLVRMPSKRRPDFVQRIAFGL >OIV99479 pep chromosome:LupAngTanjil_v1.0:LG13:1514401:1515138:-1 gene:TanjilG_17289 transcript:OIV99479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDKKLQEETQGLLKLNADIQSENNPKSHEQHVEDLMQKCDEEHTIEKKVLTTDFEPRPNSVYNDENVDTKENKKFVKDFEPRLSVTRYNPVNTEFEPRPSATKYNPMNSDFEPRLSVTRYNPVNTEFEPRPSATRYNPVDSDFEPRLSVTRYNPVNTEFEPRPSATRYNPVNSDFESKPSATRYNPVSTEFEPRPSATKYNPLNNDFEPRPNISGYNPVNTEFEPRPNATRYNPLNNDLNLDRM >OIV98548 pep chromosome:LupAngTanjil_v1.0:LG13:17538076:17541833:-1 gene:TanjilG_12134 transcript:OIV98548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCILCVIQKWSRQVATMLPWLVIPLIGLWALSQLLPPAYRFEITSPRLACVFVLLVTLFWYEILMPQLSAWRVRRNALFRERKRFEAIEMQKLRKTATRRCRNCLNPYRDQNPGGGKFMCSYCGHISKRPVLDLPMLPGLGISNSGIVKDLVRKSGKVLNSKVWSENGCMCRQEWLENGNWVGGSISGSTSNWSNAGLFGRDEHCLTETSYFSTLFFVSKVFTSFLLSIRWLWRKAFRISSSEERLSDAEHRALLAKQGENGANLNESRGDRARRKAEEKRQARIEKELLEEEERKQREEVARLVEECRKLRDEKLEAQKDRSNSSHPNKGKERRKEAEKDHQEKSKDKDKGSSKSNSDVDELEKRAGKESERNRVLDKKSEIDRREHQKESGKGHSTNNTHSKNVASNSYSRGSAGTRYLDRMRGTILSSSKAFGFGRGNNNTATVIKENKFNSSVDHVHTSGSKKDICPPEHPTAKSNLNGYVRNINDSVLPDPQPWTAPKNSWHQLFTRSSSVPQPSNSNVICRPNSKTQAEAKSPQLSGHSPVSKSFDNPIHFGLPSPFNISTYSNGSNSSSLGFSPAIETFFSPVGNTPHEFRHDEQELFEDPCYVPDPVSLLGPVSESLDNFQLDLGTGIVKDKEVAKPHSLKNISAGFDVNRPFPIESPLSREKHSCSNPFPSTPKAQEMNAFPSNGAAANDKGTWQMWNTSPLGQDGLGLIGSPESWVLPLQRMVPNKDEFVLPSSQKTMTSLFNKDDNTLSSTHLPHNVFLPNGQSGATFSPVTSSNAYDPWSQSNLFPPLSGGLKHEGVTQNQMIYGSPTGSAGSHVLEVSPSNGWSKKEWPVHSSMENIGNLSGVARPHHNGGVHPLPSDVKSFWSFDHKMDSDRV >OIV98718 pep chromosome:LupAngTanjil_v1.0:LG13:14826578:14851357:-1 gene:TanjilG_24889 transcript:OIV98718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRFSRSSAPRLLYYTIRSSTTTTAAASPSSPSSSLLAATFHLRHFSAGGNLARANDSKEPWWKDSVERLRNIGISAHIDSGKTTLTERVLYYTGRIHEIHEVRGRDGVGAKMDSMDLEREKGITIQSAATYCTWKDYKINIIDTPGHVDFTIEVERALRVLDGAVLVLCSVGGVQSQSITVDRQMRRYEVPRLAFINKLDRMGADPWKVLNQARSKLRHHSAAMQVPIGLEDDFKGLVDLVHLKAYYFHGPMGENIVTEEVPADMEALVSEKRRELIETVSEIDDKLAEAFLNDEPISAADLEEAVRRATISQKFIPVFMGSAFKNKGVQTLLDGVLSYLPCPIEVNNYALDQAKNEEKVVLPGSPDGPLVALAFKLEEGRFGQLTYLRIYEGVIRKGDFIINVNTGKKIKVPRLVRLHSNEMEDIQEAHAGQIVAVFGVDCASGDTFTDGSVRYTMTSMNVPEPVMSLAVQPVSKDSGGQFSKALNRFQREDPTFRVGLDPESGQTIISGMGELHLDIYVERIKREYKVDASVGKPRVNFRETVTQRADFDYLHKKQSGGQGQYGRVIGYIEPLPAGSQTKFEFENLLVGQAIPSNFIPAIEKGFKEAANSGSLIGHPVENLRVVLIDGAAHAVDSSELAFKMASIYAFRQCYTAARPVILEPVMLVELKVPTEFQGAVAGDINKRKGIIVGNDQEGDDSIITAHVPLNNMFGYSTALRSMTQGKGEFTMEYKEHSPVSHDVQTQLVNTYKGNKAAE >OIV99423 pep chromosome:LupAngTanjil_v1.0:LG13:1890095:1890556:1 gene:TanjilG_17233 transcript:OIV99423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFNKALPFILQLFIFTLLVLLPFVQPSSSSGIYGTYNSGNRHIATSNIEVSRVVNEGGLVGTVEESQRLVPTGPDPLHHNNNPIRH >OIV98835 pep chromosome:LupAngTanjil_v1.0:LG13:11154137:11157214:-1 gene:TanjilG_08491 transcript:OIV98835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTTSSLIPSIIGIQNQCSSKSHRLSLLASATPSQKARFVARRKESISVSQLQRPLIEYMRLPASQYSVLDAERIERINDNTFRCYVYRIKFFSFEVCPVLLVKVEEQPDGCCIKLLSCKLEGSPMVAAQNDKFDALMVNRISCESNSDNSSVQKLTSDTIIEVSIEIPFAFKAIPKQAIESAGTQVLEQILKIMLPRFMSQGDNRLPDSLGNHISHP >OIV99379 pep chromosome:LupAngTanjil_v1.0:LG13:2219166:2222589:1 gene:TanjilG_17189 transcript:OIV99379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANSSNGDHVASKQPPLPSPLRFSKFFQSNMRILVTGGAGFIGSHLVDRLMENEKNEVIVADNYFTGSKDNLKKWIGHPRFELIRHDVTEPLLVEVDQIYHLACPASPIFYKYNPVKTIKTNVIGTLNMLGLAKRVGARILLTSTSEVYGDPLIHPQPESYWGNVNPIEIRIARIFNTYGPRMNIDDGRVVSNFIAQALRGESLTVQSPGTQTRSFCYVSDLVDGLIRLMGGSDTGPINLGNPGEFTMLELAETVKELINPEVEIKIVENTPDDPRQRKPDITKAKELLGWEPQIKLCDGLPLMQEDFRLRLGIDNKN >OIV99333 pep chromosome:LupAngTanjil_v1.0:LG13:2554400:2556060:-1 gene:TanjilG_17143 transcript:OIV99333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKDVEVQGEYSAKDYQDPPPAPLFDLEELTKWSLYRAIIAEFIATLLFLYITILTIIGYNSQTDKNKGGTECDGVGLLGIAWSFGGMIFILVYCTAGISGGHINPAVTFGLFVGRKVSLIRAFLYIVAQSAGAISGAGLAKGFQRSYFERYGGGANFVQPGYNKGTALGAEIIGTFVLVYTVFSATDPKRNARDSHVPVLAPLPIGFAVFMVHLATIPVTGTGINPARSFGSAVIYNKEKIWDDHWIFWVGPLIGAFVAAVYHQYILRAAAIKALGSFRSNN >OIV98575 pep chromosome:LupAngTanjil_v1.0:LG13:17152963:17156844:1 gene:TanjilG_12161 transcript:OIV98575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTTIFTPNDVVSCNGSKKSTNSDSNPIQCSIPTSVISSSEATLGRHLARRLVQVGITDVFSVPGDFNLTLLDHLIAEPKLNLIGCCNELNAGYAADGYARSRGVGACVVTFTVGGLSILNAIAGAYSENLPLICIVGGPNSNDYGTNRILHHTIGLPDFSQELRCFQTVTCFQAVVNNLEDAHELIDTAISTALKESKPVYISIGCNLPGIPHPTFSRDPVPFALNPRLSNQAGLEAAVEAAAEFLNKAVKPVLVGGPKLRVANANDAFVTAQDVSTMLRCEQKTIIFLINNGGYTIEVEIHDGPYNVIKNWNYTGFIDAIHNGEGKCWTTKVFCEEELIEAINIAKGEKKDSLCFIEVIVHKDDTSKELLEWGSRVSAANSRPPNPQ >OIV98883 pep chromosome:LupAngTanjil_v1.0:LG13:9415406:9417321:1 gene:TanjilG_20910 transcript:OIV98883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEGLRAGKVMGLQEAKCSPLTRLVITLEVTSNRWNKTLLLSSLPIFRFLTGRWRCGSSFLNGEDLSDVWIGLYKVIVNPPKFQRKSAKPLQPFNPIPVGREGLEGASKSFGDYCHPAGFNGNWKKVLLNEAQLVDKPLIDVLEFKVSNERLIEMKEMIVGELERVEDIFSIHALLVKEGFRSIKAIPAGGRWVLLKGRTSDDLIDVQRVGSWSSKIFKSFRPYSTSFSYQERIAWVKCIGVPAQAWSEEFFRLLANSLGSFVKLDNDTKEMNRLNVGGFFVLMKGGSVVDSSQKVKVDDHVVSVRLIEAVGDYVIGGLEGGSYHLSLNSKVNHGLLDSVNSDLHSWQDRDSCWGEHILLEDEEDDEVADSLVNETNPHLATVQNLAILDGLASVPVKDNREVFNPLLPYPPVLLPILEDSLPSKRCSQGVMPFLVQNDEALSDYARLEEVMAGNVSDVAVPFINVEGLDKNIPLVGSIDITTVNKISREDVGHSLVGSLKELEEGIGLDLNSHSKNKSSLGDFCALQLVNNKACKKGMKKKHFRNSIFKVGSGSKSGVIVQDSIEACLAIGNQARNSRGNLKKGKSKFFMPPCPATRSVNWRS >OIV99694 pep chromosome:LupAngTanjil_v1.0:LG13:132740:135105:1 gene:TanjilG_17504 transcript:OIV99694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGGGGGGGGGGGGGGGGRRMIRIVGRAVTSYSVGNLGRDKSNNKLDFVSVPGLVDQVSSDGSETDWKEPSLFPYNSQVLQADGSDRVHYAFHLLQTEPYVQRMVKSLSSDKAVWDAVLKNEVVRELRELRESISADVFQNPNGTYNSDSLTTTNVVMWIFDTAKAKIMEVIEIIIKVVIGLFQPIDHKTAEDAEGPDPINDNLKTAFMLSIIVMLIVLVSRAEARA >OIV99007 pep chromosome:LupAngTanjil_v1.0:LG13:7453322:7460875:1 gene:TanjilG_29410 transcript:OIV99007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNITAVFALFLCVTVSLFAITGAEDPYRFFNWNVTYGDIYPLGVRQQGILINGQFPGPDIHSVTNDNLIINVFNSLDEPFLLSWNGVQQRRNSYEDGVSGTTCPIPPGQNFTYILQVKDQIGSFYYFPSLAFHKAAGGFGGIRILSRPRIPVPFPDPAGDYTVLIGDWYTSNHTDLKAQLDNGRRLSFPDGILINGRGPSGASFNVEQGKTYRLRISNVGLQNSLNFRIQNHKLTLVEVEGTHTLQTTYSSLDVHVGQSYSVLVTADQPAQDYYIVVSSRFTSPVLTTTGTLRYSNSAGPVSGPPPGGPTIQIDWSLNQARSVRTNLTASGPRPNPQGSYHYGLINTTRTIILSSSPGIVNGKQRYAINSVSYVAPDTPLKVADYFKIDGVFRVGSIQDRPTGGGIYLDTSVLQTDYRTFVEIVFQNDEDIIQSYHLDGYSFFVVGLDGGQWTPASRNNYNLRDAVSRSTTQVYPKSWTAIYIPLDNVGMWNLRSEFWARQYLGQQFYLRVYTTSTSLRDEYPIPKNALLCGAFSNLCYALVV >OIV98813 pep chromosome:LupAngTanjil_v1.0:LG13:12220405:12223114:-1 gene:TanjilG_25059 transcript:OIV98813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPIHTLDTINNCNIAGVNTYENVKEANYTRLCSTKPILTVNGKFPGPIIRAYYGETVYVNVHNKGKYNITLHWHGVAQPRNPWSDGPEYITQCPIKPGGKFRQKLKFSIEEGTLWWHAHSDWLRATVHGPIFIYPRKNTSYPFQKPDAEVTVILGEWWKSEIMDIQEEFLRTGGGPNISDALTINGQPGDLYPCSKSETFKLNVDPGKTYLLRIINAAMNVVLFFSVSKHNLTVVAADASYTKPLTRDYICIAPGQTVDALLHANQEPNNYYMAARVYSSSPVLTFVNSTTTARLHYSGNYTPTSSPSLPKLPYYNDTRAAYNYFGSIKGLPKRYPYKVPTNITTHIVTTISLNTFPCSEGQICEGPNRTRSAASMNNVSFQTPSSSILQAYYNHVNGVYDRGFPSLPPFIFNFTAEYLPLDLEIPKRGTDVKVIKYGSTVEVVFQGTRLLQGIDHPMHLHGTSFYFVGQGLGNFDKHKDRKTYNLVDPPFINTVLVPINGWAAIRYRASNPGVWFLHCHLERHLSWGMETVFIVKNGKGHHEKVLPPPPDMPPC >OIV98743 pep chromosome:LupAngTanjil_v1.0:LG13:14283997:14284623:1 gene:TanjilG_24914 transcript:OIV98743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFKVHQPIFSDNGGTSYNNDMVYITVVVASKANNQCNFARLPFPIYRNLFSYDDICHGIVTTFLESMKIPPTFFDGGGCNMVENLSRMLRTATLQHHRLHLCVVMMVFEGSCRYKILNSSQPFDAEQFPSSGFVELACEGGECSFPASWVEDEEDKCAICFEGYPSHKGADMLPCSHVFHQSCIMKWFQRSPTCPKCRFIMPYQLLP >OIV99173 pep chromosome:LupAngTanjil_v1.0:LG13:4196091:4197887:1 gene:TanjilG_19669 transcript:OIV99173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLIKIKNILSKNVEFVQVDENGVNPAWNAIGYVVSTNEKLTNGSNKRPLEKGVIETMYESDSTLQQSLVNKGLNVTLDSKNNILKIKCDALVIGSGSGGGVAASVLSSAGFKVVVVEKGNYFVPQDYSSLEGPSLNQLYESGGVLASADSRILLLAGSTVGGGSAVNWSACIKTPQNVMKDWSEEHKLPLFQSLEYLSAMETVCEKIGVTEICTHEGFQNQVLRKGCQNLGLKVDYVPRNSSGNHYCGSCGYGCPTGEKQGTQVTWLVDAVDNGAVIITGCKAERLLFESNKNVSGKNKKCLGVMAKTLSSTVTMKLQIEAKVTVSAGGALLTPPLMISSGLRNKNIGRNLHLHPVLMTWGYFPDSNSDLKGKVFEGGIITSVHKVQSPSYTKSEAKAIIETPLLGPASFASLCPWESGLDFKKRMLKYPRTSHLITIIRDMACGEVTREGRISYKLSKVDKENMKAGVQQALRILIAAGAVEVGTHRSDGQRINCNEISENEVEELLENVNPLEGALSQGENWNIYTSAHQMGSCRMGVNEKEGAVNENGESWEAQGLFVCDASLLPSAVGVNPMITIQSIAFCISNRIVDHLRSL >OIV99669 pep chromosome:LupAngTanjil_v1.0:LG13:285109:288210:1 gene:TanjilG_17479 transcript:OIV99669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFTGEPEHDDVESSLLHTRSIPEDKYHFAYIIYFIIGLGYLLPWNVFITAVDYFSYLYPDVAVDRIFAAVYMLPGLVGVSLIIFYSHKSDAFVRINVGLGLFVVSLLVVPVLDAFYVMGRVGLYGGFYVTTFAVGLAAVADALVQGSIVGSAGVLPERYMQAVIAGTAGSGILVSALRIFTKAVYSQDASGLRKSANLYFAVSIVIEFICMILYNIVHKLPVIKYYNELKIQAVTEGEDTGPVTGSAWRSSIWDTVGSIKWYGFGIMLIYIVTLAIFPGYITEDVHSQLLKDWYPVLLIACYNVGDLVGKCLTAVYLLENAKIAIGSCIARLAFFPLFLGCLHGPSFFRTEIPVTILTCLLGITSGYLTSVLMILVPKIVKLKEAEIAGIVSVLFLAFGLAVGSVIAWFWVI >OIV98685 pep chromosome:LupAngTanjil_v1.0:LG13:15742665:15744682:-1 gene:TanjilG_23977 transcript:OIV98685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISRDSMHKRRATGGKKKAWRKKRKYELGRQPANTKLSSNKTVRRIRVRGGNVKWRALRLDTGNFSWGSEAVTRKTRLLDVVYNASNNELVRTQTLVKGAIVQVDAAPFKQWYLQHYGVEVGRKKKTASKKDTAEEGEAVAASEEAKKSNHVQRKIEKRQKDRQLDSHIEEQFGGGRLLASISSRPGQCGRADGYILEGKELEFYLKKLQKKKGKGAA >OIV98686 pep chromosome:LupAngTanjil_v1.0:LG13:15746417:15747189:-1 gene:TanjilG_23978 transcript:OIV98686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTGRVEVRRRVVVCDNKCGCTVPCSGDSRCRCTSGEGSTGGSDHSTCSCGEHCECNPCSCPKTTAAGTGCTCATGCTCASCRI >OIV98632 pep chromosome:LupAngTanjil_v1.0:LG13:16388205:16391168:-1 gene:TanjilG_12755 transcript:OIV98632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVESTMSKTMMEEVVMAATWSNGSEDHDDDDELVRELLDDVSPLLMMPQVSNEHNIICASSSSDEHAINKFLSNIYSGPTILDIENALSVTNHREHFQHISPPSVSLLERSLGKIENKYTLKVKCFGNGLGDDGYKWRKYGQKSIKNSPNPRSYYRCTNPRCSAKKQVERSNEDPDTLIITYEGLHLHFAYPYFHMGQPQQPYNPPIKKLKPNYLHQEAQAHEAHHTQEAHESQVNATFGLTSSTLLDSQQDIGQETLGSKGLLEDMVPFMVRNPTNEGHPKFSCSSFPSPPISPPSLWPSNFPNSSYTMGLNSST >OIV99623 pep chromosome:LupAngTanjil_v1.0:LG13:612657:616705:1 gene:TanjilG_17433 transcript:OIV99623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSIAFSFNSASSSSSSSISSSSSKSKLSLSSLPSSIRFRFTLTPSPISLTRTRDHPFVFHSGGGGGGGGDHGSFGGGGGGDNEGDDGEKNEAILVIGKAGRSLESLPADLAAAIKEGKIPAAVVNRFLEMEKSAIMRWLLQFGGFKERLLADDLFLAKLTMECGVGVIAKTAAEYDRRREKFFDELEIVFADVVMAILADFMLVYLPAPTVSLRPPLAVNAGHIAKFFHNCPDNAFQIAFSGTSYSLIQRIGAIVRNGSKLFAVGATASLVGTAVTNTLINAKKAVDKSSAGEIENVPVLSTSAAYGVYMAVSSNLRYQIVAGVIEQRLLEPMLHQHKLILSAMCFAVRTGNTYLGSLLWVDYARWIGIQ >OIV98590 pep chromosome:LupAngTanjil_v1.0:LG13:16675499:16676566:1 gene:TanjilG_23029 transcript:OIV98590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFSTSHHFTALTFLILFLKTQAFDPISSFSFTDFEKDPNFESSLALYGNAKVINGGSEVFLSSGYGSSGASGKIMSRKPIKLVEGKSMELNSFSTYFDFSISLDDMNGLAFVMVPSGFEGKVFDNCSSFGLRESEFKVIGVEFSASRDGKSEGYAYCSVSINVGNSVIDKISNGSSVNVSLSGERLHAWIDYEASSRRFEVRLSQYGHSRPYDPLLWHTINLSNVWDAEEFFVGFSPVNGDSSQACSLYSWSFIVKHFPHWMHSEPLDPMVLAKKDTVIPAAKSRSDCVLRVLAAMIYGAGCGALTAFILLYVWTIFGNRRPVAPEEYVMQPVEFEYKKVNIVVDKSVKDAQE >OIV99585 pep chromosome:LupAngTanjil_v1.0:LG13:857314:858192:-1 gene:TanjilG_17395 transcript:OIV99585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQSQQQRYLIDAIWLSRFGASFTEHANDMQQLERKRDDYFSELLKYNSKYGSFINSYESIAAPLPPPALPPPLQPPPLPQPPPLPQPPPLPLPPPPPCPVQSHKRTLWSKEEHNLFIHGLRQYGRGKWKSISTNVLPSKTPSQIASHAQKYFLRQTASEKKRKSIHDDNNNNMNNNVMMMEPNHNHNHHAIVPIEPQELSHAATMNNLAYTHATTTTNAEALNSLVAEQIDDAHAATTSANRVNSLGQEIEINDDIDWNSIDWNVEQEFQFQENWDMIEEEDHNQFMNFNA >OIV98739 pep chromosome:LupAngTanjil_v1.0:LG13:14336154:14342708:-1 gene:TanjilG_24910 transcript:OIV98739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLFSGIFLGMVFGIALMAGWQRMMMYRSAKRIAKAVDVKLLGSLNRDDLKKICGDNFPEWISFPVYEQVKWLNKLLSKIWPFVADAATMVIRESVEPLLEEYRPPGITSLKFSKLSLGNVAPKIEGIRVQSLKKGQITMDIDFRWGGDPNIILAVEAALVASIPIQFKDLQIFSIIRVIFQLSEEIPCISAVVVALLAEPKPRIDYTLKAVGGSLTALPGISDMIDDTVTSIITDMLQWPHRIVVPLGAVPVDTSELELKPQGRLTVTVVKADHLKNKEMIGKSDPYVVVHIRPLFKVKTMVIDNNLNPVWNEVFELIAEDKETQSLILEVYDEDIGQDKRLGIAKLPLIDLEVETEKEFELGLLSSLDTQKVKDKKDRGTLTIKVLYHHFSKEEQLGALEAEKRILQERKKLKEEGVIGSTMDALDGATSVVGSGVELIGSGIGAGAGRVGSGIGAGAGFVGSSLGAVGSGLSRAGKFMGRTITGQTATRRSAPSTPVNNLETGGGAKPR >OIV99655 pep chromosome:LupAngTanjil_v1.0:LG13:386523:388252:1 gene:TanjilG_17465 transcript:OIV99655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEHLSRRSCRKPLGIRIIENLKGSRLSFKTHQAIVLIVTFLAYASYHATRKTTSIVKSALDPKSPDLGMNFVPLRLSNFTEANGPRRFFGVSGGGWAPFNGSDGTSLLGQLDVAFLSVYSFGMYFSGHLGDRYDLRIFLTVGMLGTGVFTSLFGVGYWGNTHNFYYFLVVQMIAGLFQSTGWPSVVAVVGNWFGKSKRGLIMGVWNAHTSVGNIAGSLIASAMLRYGWGWSFVLPGLISAFVGLVIFLVLPVTPESVGADKEEDEYILPNKSGDEEVEEPLLTPPVGDKAVGFLEAWKIPGVAPFAFCLFFAKLVAYTFLYWLPFYVSHTAIDGQYLSSEAAGTLSTLFDVGGVLGGILAGHISDRLDARAITAASFMYCAIPALYFYRSYGHVSLIVNAALMFITGLFVNGPYALITTAVSADLGTHKSLNGNSRALATVTAIIDGTGSIGAAIGPLLTGYVSAKSWSAVFSMLMAAALTAGLFLTRLVVAEVTAKIEESRSNRGSQEPSHLV >OIV98613 pep chromosome:LupAngTanjil_v1.0:LG13:16669157:16672397:-1 gene:TanjilG_12736 transcript:OIV98613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGKGKVTPQQIAFIVDRYLCDNNFSSTRSIFRNEASSLISHSPIHQAPKTLLTLGQMLDEYICLKEQKVMLDQEKVVIEQEKTRVQMLLQGMHNVMSAYNASGNLPPAVNSAVAVVPQPKFSTTPHPGINRTTPMPNKLNANSLPQSNNSNTGAGNLTTTVMNVSGRKRKDTKPVDAPSAAKKSCGRSSSRKIPAQGQNTLQQSNNANNNQVVALPSSAVQSSPENCIPIGSQVQGSGVFKCLFNQSSVSVPSNSSVPKTPPRATSHSDTHISPSKTSSVVTHNRDATPTRCTVISTKRVMVSPAKQMAYIQMSHCISPVKTGSDKMIKRDHVRSRLDFDAPDMPKSLDKPFPNDISTSTSESEKELDILDIDFPNLDALGMDYFTDMLNDFDFTCDGIDFSCNTTSSPSEDNASGSSPECNGSDASPELSTMSTFLCENDMEMQGQDCLTEMKSVTKSITVISSDKNRQQSRD >OIV99434 pep chromosome:LupAngTanjil_v1.0:LG13:1801650:1802435:1 gene:TanjilG_17244 transcript:OIV99434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNSLHSIQQHSLIKPHHPSHTPPSTLSSSLFLKPNTTNTKLTLTSSFSYCSNSYVTFSLSQTASSTTTPSTSQTTISYDLLTQHLSSKNYQLADEETRRLLIVLAGEPAQKSGYVFSSEVQFISETDLKIIDELWREHSDNKFGYSVQKKIFEKVNGDFTKFFIKVGWMKKLDTEIEQYNYKSFPTEFIWELNDDTPEGHLPLTNALRGTQLLYNIFNHPAFDAVKEEQAEDAVENKDNTSSSSSSPLSKSIFKTDYSF >OIV98909 pep chromosome:LupAngTanjil_v1.0:LG13:7814355:7819195:1 gene:TanjilG_07344 transcript:OIV98909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSNSDEWLPPGWTVEVRVRKNGQRDKYYFAPLGGLKLKSRVEVFRHLDYSQNKVSIKKISDNVVVKEVIAEGLPPGWVKKTRITTKEDTIRKDSYYIDPVSGYAFRSLKDVDRYLESDEIGRNTFKPKDGSDLMSKPDKFSSAGVTSNPTSSVSMGLSSDMDLIANDHQIPKPAFSGEHMPTHVPISEHISSRCVADTKFISSVLSQAEGSDQKEGPFVLAESNVVPICTDDAQERQLEENAQTKHGTEKARSYQHKRRKKEINLPRRASKRLAGIKVDPIPEIYTRSRARQVAAKQSGEEKSITNKAKSPNNSPSDAAKQINTIKGGLEECLNDGATNTPKSGQGKHFYGKLSTPEKPDEGIAEEHGSNKGQGCVPFLPQEKHANVKEHVTILESGEKIDGKLDYSLDYPLGELLTDPCIAFAIQTLTGVTFETSKNTRIPSELTNSDHPKTSAAAEGYDTRNIAALNMLDVDDKEGCNVFSPAENFAIRQQHADAGGPEIIGKSNVNNTGSSSGKTLDISWMDPCIEFAIKTLTGTAPLDSDLNPKNCLQQQQHSDMALSSVSLDNLCQTDHYSIQYFGTQKPMFKQQQSFVDPTLQQTRNAGIGNSAGARLPHRGDERRNFRQR >OIV98553 pep chromosome:LupAngTanjil_v1.0:LG13:17454851:17468441:-1 gene:TanjilG_12139 transcript:OIV98553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLGDLMPSSRNYHSTVTASVPDDDRRDSELAPPPSTSSGGNASSTAADSAAAGDGGDGGGATSMAYLPQTVVLCELRHDAFEASVPTGPCHSGLVSRWRPKDRTKTGCGALVLCLNISVDPPDVIKISPCARMECWIDPFSMAPQKALESIGKTLKSQYERWQPKAPYKRQLDPTVDEVKKLCTNCRRYAKSERVLFHYNGHGVPKPTPNGEIWVFNKSYTQYIPLPISDLDSWLKTPSIYVFDCSAAGMIVNSFIELHERSASNSSGSTRDCILLAACEAHETLPQSAEFPADVFTSCLTTPIKMALRWFCTRSLLHESLDYALIDKVPGLPNDRKTLLGELNWIFTAVTDTIAWNVLPRELFQRLFRQDLLIVSLFRNFLLAERIMRSANCSPVSHPTLPPTHQHHMWDAWDMAAELCLSQLPSLVEDPNAEYQPSTFFTDQLTAFEVWLDHGSERKKPPEQLPIVLQVLLSQSHRFRALVLLGRFLDMGPWAVDLALSVGIFPYVLKLLLTTTPELRQILVFIWTKILALDKSCQVDLVKDGGHIYFIKFLDSMEAYPEQRAMAAFVLAVIVDGHRRGQEACIEAGLIHVCLKHLQSSSPIDSQTEPLFLQWLCLCLGKLCEDFSEAQTIGLQEGAPSIYARLLSEPQPEVRAAAVFSLGTLLDVGFHSCIVGGDEECDDDDDTFRAEVSIVQSLLSVALDGSPLVRAEVAVALARFAFGHNKPLESFAAAYWKPQTNSLLNSLPSLANINGSGGSYPGQNQHAPHGSILSSQIGPVLRVGNDSSSVVGDWSVTSSSPPMSSGIMHGSLLSDTSSHHSVSGTLHDGFSNGVVNHTGPRPLDNALYSQCVLAMRNLAKDPSPRIANLGRHVLSIIGIEQVAAKPLKSTSVRISEPAAPPNLAGLARSSSWFDMNGGHSSLTFRTPPVSPPRPSYITGMRRVSSLEFRPHLMSSPDSGLADPLLGSSGASGTSDRSFLPQSTLYNWSCGHFSKPLLTAADDSEDLLARREEREKIALEHIAKCQHSAISRVTNPIAKWDIKGTQTATFQPFSHIVIAADENEHIRIWNHKEATLLNSFDNHDFPDKGISKLCLVNELDDSDSLLLAASSDGNIRIWKDYSLKGKQKLVTAFSSIHGHKPGVRSLNAVVDWQQQSGYLYASGEISSIMLWDLDREQLLNSIPSSSDCSISALAASQVHGGQFAAGFVDGSVRLYDVRTPEMLVCGLWPHTQKVEKVVGIGFQPGLDPGKIVSASQVGDIQFLDIRNPSGAYLTIETRRGSLTALAAHKHAPIIASGSAKQQFIEVFNLEGDQLGTIRHYPTLMAQKIGAISCLAFHPYQLLLAAGAADTCVSIYADDHAQAR >OIV98828 pep chromosome:LupAngTanjil_v1.0:LG13:11037550:11039925:1 gene:TanjilG_08484 transcript:OIV98828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVKTKRRTVVENGFDAGEDSVVATLIANRDDIGPLVRHAFEMGRPEGLLHELNYVVKKKEAEIEEMCKTHYEEFIVAVDDLRGVLVDAEELKGELHSDNIKLQQVGGALLVKLEELLESYSIKKNVTEAIKMLKNCIQVLELCVKCNNHISEGRLYPALKSLELLERSYLQSVPAKTLKMIIERRIPVIKLHIEKKVLSEVNEWMVEVRSSAKDIGQTAIGRTATARQRDEEMLEQQRKTEERSISGVWDLAYTLDVEEVDEDSVFNFDLTPLYRANHIHNSLGIREKFREYYYTNRLLQLNSDLEISSAQPFVESYQTFFAQIAGFFIVEDRVLRTAGGLLGADQVETMWETAVAKMTSVLEEQFSCMDSATHLLLVKDYVTLLGSTLGQYGYEIGTLLDVIDSSLEKYHQLLLEECRQQIVDVLRNDSYEQMVIKKESDYENSVLSFNLQTSEIMPTFPYIAPFSSMVPDACRIVRSFIKGSVDYLSHGVHANFFDVVRKYLDKFLIDILNETLLDTINGGNINVPQAMQIIANIAVLERACDFFLHSAAQLCGIPVRSVERPQATLTANAVLKTSGDAAYTVLMSLVNSKIDGLMNLTKSINWIPEEINENGNDCILEIIVYLRSIMITSQQILPLDTIYKVGSGAFEHITNSIVAAFSSDSVKRFNANAVTNIDHDLRILENFAEERFYSAGFGEIYNEGSFKSCLVEARQLVNLLLSNEPENIMNPDIWEQNYYMLDYKKIAAICDKFKDSPDGGIFGSLANKNAKQSARKKSMDVLKKRLKNFS >OIV99317 pep chromosome:LupAngTanjil_v1.0:LG13:2690121:2693203:1 gene:TanjilG_17127 transcript:OIV99317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMASTNTLSSAYLIRSPKQQSLINRLNQSKSGRVNYRQNNTRFSVKASAKDIAFDQRSRAALQSGIDKLADAVGLTLGPRGRNVVLDEFGNPKVVNDGVTIARAIELPDPMENAGAALIREVASKTNDSAGDGTTTASVLAREIIKLGLLNVTSGANPVSIKRGIEKTVQGLVAELEKKARPVKGGDDIKAVASISAGNDDLIGQMIAEAVDKVGPDGVLSIESSSSFETTVEVEEGMEIDRGYISPQFVTNSEKSIVEFENARVLVTDQKISAIKDIIPLLEKTTQLRAPLLIIAEDITGEALATLVVNKLRGILNVAAIKAPGFGERRKALLQDIAILTGAEFQASDLGLLVENTSIEQLGLARKLTISKDSTTIIADVASKDELQSRVAQLKRELFETDSVYDSEKLAERIAKLSGGVAVIKVGAATESELEDRKLRIEDAKNATFAAIEEGIVPGGGAALVHLAAYVPAIKETIEDADERLGADIVQKALVAPASLIAQNAGIEGEVVVEKIRNSEWEVGYNALTDKYENLVEAGVIDPAKVTRCALQNAASVAGMVLTTQAIVVEKPKPRAPVPGAPQGLTV >OIV99617 pep chromosome:LupAngTanjil_v1.0:LG13:643262:645139:-1 gene:TanjilG_17427 transcript:OIV99617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAITLFWNLYKLWPFRTSDEDEDLKTSNKLVSKIPISQHTKQFVFAIRDPKTQSVIYILSALNLSQTSASDAHSLITALQPDVVLVQSGLSPFDQIQSEEQYTPIPTSSFEVVKRCFLYKIGRDMYENDAGNFVLREIFGTSFHGHLIAAKKAADDVGSEFIVIESSLGKNCLVNNNSSSDNVGNSGGGSNFQGLVSSLVPQQQVAASLAPMSLKRLCVNSDVRAQMVKALSVYTDPQLLGNNNTSSASEGETQVGTSYETPAFAKSIYPLLEDLHDIFSDLPSIGKALANAQKMLLSVNRGEVLDSETVSEVYTFRIAVEGLRIALTNSALRPINRKGVPKSDKVEFSELPVDDKAHALFAHAIRSQTDKFKTIVAVVDASAIAGLRTHWNTPLPGVVNELVGQLITDSEGKGVILNHNDKKRSLTDNAVVAVGAGATAVLGASSLTKVVPASTLIKLATFKVPASIKIIFSQAHRALAFALGPSKAVAPGVAASGVKTSSAMKAAVSAEKIRAVAHSIIASAEKTSFSVTRTAFYEIMRKRKIRSVGVLPYATLAASVGTCTGLLWWGDGIECAVESLPSAPSIASLGRGIQHLHEASQSAIQSEGTRIQKSIESLINRIKAS >OIV99150 pep chromosome:LupAngTanjil_v1.0:LG13:4442919:4447170:1 gene:TanjilG_01125 transcript:OIV99150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTWVKEKLLATTNDLIASKDHDSLVTVAVASLHWNGIEGCCQNPIFLLKLRVQNVEIVAEEDIQTISKDDSAGLLEAVVNTVNKSLASASKYGLQSPEATLGTSDVLKAISRCNSSGGPSGKHWVLDPVDGTLGFVRGDQYVVALALIKDGQVVLGCPNYPIKTEWLNYHYHGKAWLQSLSSGDKMLEWPNCARLIRVSSTDDPALATLCELVERANSNHSFTAGLAHSVGLRKQPLRVHSMVKYAAIARGDAEIFMKFVKSRYKEKIWDHAAGVVIVEEACGVVTDAGGERWTFQRECT >OIV98826 pep chromosome:LupAngTanjil_v1.0:LG13:10987523:10989169:1 gene:TanjilG_08482 transcript:OIV98826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVFPAHKQYTKVTGQLGDQPTGTGFSYSSDEEDIRHDELGVSNDLYDYLESYVGHYITALASRVHKGNIEKQGIHINLKGFAIGNGLTNPTIQYSAYSDFALQRGLITKKDRYNLNKLILIKLKEGGDSCSSAYETCTSIFRNLLSIIGTNINFYDIRKQCEGDLCYDFSNVDKFLNNDKVKDALGVKNLDCVSCSSTVYYAMLEDWMKNLEVDIPSLLEDGIKLLVYAGEEDLICNWLRNYRWVRAMKLSGQQKFKSAPKVKFVVDGAEAGSLTSHGPLSFLKVYQAGHMVPMDQPKVSLEMLRKWMGGKLSVSKKKD >OIV98921 pep chromosome:LupAngTanjil_v1.0:LG13:8191673:8194561:1 gene:TanjilG_07356 transcript:OIV98921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEVKNKLDFIQWLGPDMSIKILTHLDDPCDLAHVASVSSSWHQFVIENGLCKKLCLKMFPEISGAAHIIEVENMIEPVSNLPGNWECLKRNHKVYAFLAAGFTPSMVSCISEAVIASSTDNYPEESILNTLEPRDRTDFRASYWSSKGESDPSVPETLVYRLNSKLCLVTEIHIQPFEAYFQQGLPLYSSKSVRFRMGRPRYPMELESAIDYLVGDQFIWTYTSPEFPMFQENRLQKFKLPEPVLCIGGVILVELLGRVQKQEMDELFYICISHVQVVGRTLSPTFDVKLHHPSGKCTLNYCPQIDSCMSSTSSSSRGDSSNPSRLRALTSNIMQRGVRRWEQIILGALLGSGNGNAVVDDQ >OIV99601 pep chromosome:LupAngTanjil_v1.0:LG13:751208:760749:1 gene:TanjilG_17411 transcript:OIV99601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSEANSKMQTEKKAKKRNQKFDAKPQKPSKLQRIDSSSIKLQDLQSPWKNLQLIRNIQDKRFDPLSKVISAFSFVHSMLHEDNDQSCETVKLPRLLCFLNDWIQSLLFPPKNAKIPQLEGINAYMDLRCWEIFNFCLQESLKFGVTLSMSQNLLKPFQLIARNALSLLDDSSIGSGGFSLSDERYKLYDTALDCLSLVFSSHGGVSNEDLMSWIDTSKPGLELVLKVYSMKLDGSNLGTFALRFLWLVLQPLSNFLNLHPARKGNAFRDFVHELLEPLLHLSGELTLRVNESNSIWTGRLMKVVEEVLSHGLFHPVLIGEFLSLHGSEKYVDSCDHKPNDSKANIQSYPKHLFDVLNKIIAIKNATAMASMGLLFHLFVNSARTFKGTSVLYEENKTMEKMNGVRQPVPGENSSSNNISTDTQKSLLNIFVLMMEPLLLKINAYLQAKVDAKHLLLDLCGILKSIGGLLSSFMQEKVYMRTEDTSGGACLNFLKKVFNALISSSTSVLCLSNYDISNRMEMEIFVLSANEILVSMGHLLEIEYEVIGEDLIKLWLIILSYSAINCDSVNGLDQCSLSSSIPALGCQIINLYGQLRQVKIAILALCKAIRLIVSHEGNAEEGSSRLLTFLSNEVYSESVERLLSSQKFIHVIYRAIESIPEGQVSDCIRQITDDISESVRWMKDFSPLVDGKKIKKFNLQMELLGRGLCRLYCLVLDSVTITEGNSNLVGVAIKELVALMRPYLSTLVGQQADSLYMFLSSVMGETVDRDAGKRKVLKKFGRSSQWVVVFFFQLFMSCRSLIRQAISLMPPGLSKKVSAEVGDYTTYSAFDLMERIDDIDIVYFSWIVQPSDSLLVVMQFVSDLYLKFDSDDCCPLIYIFQSMALQRLVDLNKQIVCFKYLQKRRYRSRIKALKEEAAELTNFMMNNLSCLYESPIFVFDDVFCEDVISLAPQTNGWNQGVYVANKKSLPTAIWSNLCKNFDIWGNHASKKQLKKFFSQLLHTSLRRATRNSEQLGVQEIDECKLLKKVSLPQMLSQLLSDSVLYEQKFAHRNLASIFCGALEKSVLPLFSNIACNDLNIQSSPDWPTFFSVIDKSADICRKEMPHTLTDKSFTVAQCLLNLLCWTPDINTKSFSHLVTCIFNLERLLVSALLCFQSTGYQDSCCEYLRLFVSCRKALRYIIMGYCEKTDAIQSSPNSIISESMFPALWISKSVSVTVGIKEAFSAGNSIMVKSLMFALMDHTSYVLLGIGKYQLIHVFSIDKEGEEPCEESSNYGISHEENHLLPSSPQYVDSPKMEALKCLTFMVENLKEQMQGLLVSLKDAHSFVNVGFDLASENVNGLSSAVSCLSGFLWGLTSVKGQTDAEGSFHKEVLMWKNEQASEVNSSIFPFVELVDFFVNKLLVKSNQLSSDNASKSASGLERMSNLESKNSIASVLARADSPEHQDLNKPLLRSLLKDGVLSYLRELASYFPLTDPTSSLKVYAKLVHIHMSTIGKTILLQGKRATLTFHERQSSTKTLHKGSFEANSSSELYCFYLDEFKTRLRMSFKAYIERPSELHILSTIQAVERALVGVKEGCTMIYDIETSKDGGEISSLVAAGIDCFDMILEFVSGWKGLKLIKRHCQSSVSAVFNIIMHLQNPLIFYVNKTSGAVASNPDPGSTILMCVEVLVTVSRKHALFPMDVWHVGHLLHIPELIFQNFHQLKISEDSGLSSMLTISEEKISNQVEAANFCHVDHRFSIDLFVACCQLLCTTIRHRPSESKQCLAHLQASVAVLLNCLETLVDNELTGNKGGFSWEVKEGVKCACFLRRIYEEIKQQKDIFGRQCSLFLCNYIWVYSGHGPKRSGITREIDEALRPGVYALIDACSVDDLQYLHTVFGEGPCRNTLASLQHDYKLNFKYEGKV >OIV99675 pep chromosome:LupAngTanjil_v1.0:LG13:245573:248752:1 gene:TanjilG_17485 transcript:OIV99675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIASFSITTRSFSIHPQYHYHTNHFSLPLTTFTFIHSPSVLVSSLISTSTHSLHSPPTHILATGNGDGNSGGPPGGGGPGDDDESDDRDHNREEALLVLAEAGSTVENLPADLAAAIKAGRVPGSIVKRFLELEKSRVIRWLLNFGGFKERLLADDLFLAKVAMECGVGIFTKTAAELEKRKENFTKELDFVLADVVMAIVADFMLVWLPAPTVSLRPPLAVGAGTIAKFFYGCPENAFQVALAGTSYTLIQRIGAIVRNGAKLFAVGTSASLIGTGVTNALINARKVVDKSFAEEADEDLPMLATSAAYGVYMAVSSNLRYQVIAGVIEQRLLEPFLHQHKLMLSAICFAVRTGNTFLGSLLWVDYARWVGVQRSRE >OIV98949 pep chromosome:LupAngTanjil_v1.0:LG13:8812260:8813350:1 gene:TanjilG_07384 transcript:OIV98949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIEKPLEPPKNGLLVPHLVPVAYEVFDAWKLLIKGLAQLLHVIPVHGCSQCSEVHVGQNAHHILDCAGSTSSKRHSSHLWVKGSINDILVPIESYHLFDPFGRRIKHDTRFEYDRIPAVVELCIQAGVDIPEYPSRRRTNPVRMLGKKVLDRGGNVEEPKPWRFAESSSLIDFDTYRALERFPCPPLSDIPKIAQETIGAYEIVSKGVTRLMKKYTVKACGYCSEVHVGPWGHSVKLCGSFKHQWRDGKHGWQDATVAEVFPPNHVWHVRDTSGPPLRNKLKRFYGKAPAVIEVCMQAGAKIPDEYKPMMRLDIVIPDSEEARMIA >OIV99197 pep chromosome:LupAngTanjil_v1.0:LG13:3919966:3929103:-1 gene:TanjilG_19693 transcript:OIV99197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSHANVNDSVSKPSSDDKVQPQKTVPGGQESSGSGRRKTSKYFDKDKEKVKEEKETPKLPAKRKNMKDIEELQIPRPSKKLHEADEDESALPTSYKKLADSTPTKKLKSGSGRGTPQKSADLEENDEDDDKDKVTPAKSGGRGRGGRGASTPSTGGRGRGGGRGGFMNFGERKDPPHKGEKEVPEGAPTCLAGLTFVISGTLDSLEREEAEDLIKRHGGRVTGSSYLLCDEDIGGRKSEKAKELGTSFLTEDGLFGMIRASKPVKAPLKEEPKKPLTKAVALASQSKGPPKVEAKISLSSSSPSTQAKPKAATITQSSSMWTDKHRPKSPKDIIGNQSLVSQLRNWLKAWNEQFLDTGSNKKGGKKQTGSASQKAVLLSGTPGIGKTTSAKLVCQELGFQAVEVNASDSRGKADSKIGKGISGSTKNSVKELVTNEALGANMDRSKLSKTVLIMDEVDGMSAGDRGGVADLIASIKISKIPIICICNDRYSQKLKSLVNYCLLLSYRKPTKQQMAKRLMDVAKAEGLQVNEIALEELAERVNGDMRMAINQLQYMSLSMSVINFDDIRQRFLKNSKDEEISPFTAVDKLFGFNAGKLKMDERNNLSMSDPDLVPLLIQENYINYRPSGAGKDDSGITRMNLIARAAESIADGDIVNVQIRRYQQWQLSQTSSVVSCIIPASLLHGQREILEQGERNFNRFGGWLGKNSTRGKNMRLLDDLHGHILASRETSPGRDTIRLEYLTLLLQQLTEPLKTLPKAEAVEKVVEFMNTYSITQEDFDTIVELSKFKGHPNLLEGIPPAVKSALTKAYKEQSKYRMVRAADQVTLRMVRGSDRVTLPEIKKAPKKRIAAILEPADEGVEQGDGETLDESEDENTSDTEELGTTAGEKLQSELQSLNSKAVQVQLELKGTGNSSSKKPSAGKGKGASASAQKAGQTSKRKR >OIV99081 pep chromosome:LupAngTanjil_v1.0:LG13:5706504:5710027:1 gene:TanjilG_32340 transcript:OIV99081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLQFYGKVDESDQLVLLARRRTRKRITIIALSTIVLVSIVCAAVFGTVAHKNDNDNTNADANSLANAVKVVCDVTLYKDACYRSLGPKVQSGQVTPEDLFKLSIQVALAEVNNAAKKFSDQGNFDVVNTDKRTKEALKNCHDLLGLAIDHLNSSLSSGENSSLLDVLEDLKTWLSAAGTYQQTCIEGLEETKESLKNTAESYLQDSTEFTSNSLSIITWIDKAVTSVNLRRLLSLNNLNEEPEWLHSNDRKLLKKPDLKKIADIVVAKDGSGKYTTISEALNNVVAKSDNRTVIYVKKGIYVENVAVDKTKWNVMLIGDGKSASIISGSLNFVDGTPTFSTATIAIQGKNFIARDMGFANTAGPSKHQAVALLTSSDQSVYYRCQFDAYQDTLYVQANRQFFRECDIYGTVDFIFGNSAVVIQNSNILPRVPLSGQQNTITAQGKSDPNMNTGLSFQNCTISPFGNLGSVQTYLGRPWRDYSTTLFMQSNISNIIHPNGWLPWVGNSAPDTIFYAEYQNFGAGASTNNRVKWNGVITNITSKQATKFTVKTFISGNQWISASGAPYRSSL >OIV99397 pep chromosome:LupAngTanjil_v1.0:LG13:2098443:2100492:-1 gene:TanjilG_17207 transcript:OIV99397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSTDSFLENFPGSVNGFKFENGPSSVYTYQNSGNGFKVEDSSSPSNHSESPTDSGPSSISNRKSADSTIHSNPILRYISDILMDEEDDLERKPCMLQDCLKLQAAEKSFYDALGHSYPSSPNQIQGCFQQDSSDPDDNSCRTISYDSNSSYTTDHSSLYESDWVYGAADFESSFMYTRNSLLDDVALDPFREIQALGTWNNFIQSQIKPHTIEATIGSGEKRVHHMDDISIEEKERVSKVSAIYSDDLEPSDMFDEVLLCKEGKSPAIFCADHESSQQNVADSRGSNGKATRTKKGGKKGTSTSTTVDLWTLLTQCAQAVANYDQRNANEILNQIKQHSSAFGDGLQRLAHYFAIGLNSQDTISCWDTKSMSNFLANRTILKLAENESSLHVIDIGVGYGFQWPCLIQRLSERPGGPPKLRITGIDLPQPGFRPAERVEETGRRLENYCERFKVPFEYNCLAQKWETISLEDLKIDRNEVIVVNCLYRLKNLFDETVNVNCPRDAVLRLIRKINPNMFIHGVVNGTYNAPFFLTRFKEALFHFSALFDMFEANVSREDPHRLMLEKGLIGRDAINVIACEGTERVERPETYKQWQVRNRRAGFKQISLAPELLNRVKEMVKNEHHKDFVVSEDGKWVLQGWKGRILHALSSWVPA >OIV98966 pep chromosome:LupAngTanjil_v1.0:LG13:6780494:6787074:-1 gene:TanjilG_29369 transcript:OIV98966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTLPHFTADIKGAKVLMVGAGGIGCELLKTLALSGFSDIHIIDMDTIEVSNLNRQFLFRQSHVGQSKAKPSDEVLNQVARDAVLKFRPGISITPYHANVKDPDFNVDFFKEFNVVLNGLDNLDARRHVNRLCLAANVPLVESGTTGFLGQVTVHVKGKTECYECQPKPAPKTYPVCTITSTPSKFVHCIVWAKDLLFAKLLGDKNQENDLNVRSSDAASSSENVKDVFERRKDEDIEEYGRKIFDHVFGYNIELALSNEETWKSRTRPKPIYSKDVLSEELAPQNGNVANNHASDDGLSVSAMASLGMKNLQDIWSVKENSRIFLEALRLFFTKREKEIGNLSFDKDDQLAVEFVTAAANIRAASFGIPLHNLFEAKGIAGNIVHAVATTNAVIAGLIVIEAMKVLRNDIQSYRMTYCLEHPSRNMLLMPVEPFEPNKSCYTPLSLEVNTNHSKLKDLVEKIVKAKLGMDLPLIMNASSLLYEAGDVEDDMVPIYEANLEKVLSELPSPVTGGTMLTVEDYQQELKCNINIKHREEFDEEKEPDGMVLLGWTQPVSVPESKDKSVGNGASTSDATIAAAESEKDDEIGIVSPLKKRKLHDDSDVSKAVAETKNHKQLQVIDDEDDLVVLEDNLDGFKKRRL >OIV98732 pep chromosome:LupAngTanjil_v1.0:LG13:14539424:14540743:1 gene:TanjilG_24903 transcript:OIV98732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLSVHHLLLLLSVVVATVSAHNITDILSSNPDYSQYNSYLTQTKLADDINSHQTITVLVLTNAAFSTVAAHHPLSVIKKLLSLHVLLDYFDPTKLHQISDGTTLSTTLFQTTGTAEGNVGSVNITDLKGGKVAFGSAATNSKLDSTYTKSVKQIPYNISVLEISAPIIAPGILTAPPPSSDLNLTALLENHGCKTFSSLIVTTGVIKTFQSTVDKGLTIFAPSDEAFKSKDVPDLKKLTSAEVVSLLQYHAIANYLPVGSLKTSHDSISTLATNGAGKFDLTVSVAGDSITLHTGVDSSRIANTVLDSTPLTIYTIDSVLLPTELFATSPSPAPAPESDVPSPAPASAPAPVADAPSPDIASPPAPIGKSPEDSPAEAPSHAADSTEKGAGVHVKGSAVITGSG >OIV98868 pep chromosome:LupAngTanjil_v1.0:LG13:9607608:9608300:1 gene:TanjilG_21699 transcript:OIV98868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHLACCLVLISLCLCLVLEPALAGRKGLNKIKSTEHIIAPSEAPLQYTVLPPASTSQYVLLPPASASQYAVLPPASATFPIIVPSEYVMAKQLCQDSRQPELCRKIIQGGKIDPVTEAKITIEIASSMALRASAYMSKISTRKDLASGALNSCMLSYEKAIIALNLSYINFETNPKNAIQSLGKADYHVGFCTASLGQENDIPPVLKANKSMQGMIKAATSVVAEKQAH >OIV98727 pep chromosome:LupAngTanjil_v1.0:LG13:14596160:14600462:-1 gene:TanjilG_24898 transcript:OIV98727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAFDEYGRPFIIIKDQDQKFRLRGLDAQKSNIAAAKAVAQILRTSLGPKGMDKILSSPDGDVTISNDGATILEQMDVDNQIAKLMVELSRSQDYEIGDGTTGVVVLAGALLEQAERLLERGIHPIRVAEGYETASRISVEHLEHVANKFEFGVADLEPLIQTCMTTLSSKIVNRCKRSLAEIAVKAVVAVADLERKDVNLDLIKVEGKVGGKLEDTELVYGVIVDKDMSHPQMPKQIEDAKIAILTCPFEPPKPKTKHKVDIDTVEKFQTLRKQEQQYFDDMVEKCKDVGATLVICQWGFDDEANHLLMHRNLPAVRWVGGVELELIAIATGGRIVPRFQELTPEKLGKAGLVREKSFGTTKDRMLYIEHCANSKAVTIFIRGGNKMIVEETKRSIHDALCVARNLIRNNSIVYGGGSAEISCSIAVEAAADKYPGVEQYAIRAFGDALEYIPMALAENSGLQPIETLSSVKSQQIKDNYPHYGIDCNDVGTNDMREQNVFETLIGKQQQILLATQVVKMILKIDDVISPSEY >OIV98741 pep chromosome:LupAngTanjil_v1.0:LG13:14294438:14298023:1 gene:TanjilG_24912 transcript:OIV98741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLMWVPPELYSDSEIVPEAVTTILGIITTINAHIIETAPTQDITDSTESYSFPYSLFLSVVACLESLVEGAAQHYYGDNKKWNFLVVTEASKVLVRLSLFRKSGYKMLLSGMETSNDDTDSINLTSQDQTGSKPGSGHAFSYMKNNHSPNPYDVEERALSALSRFGENAKMVSEPVTQNGVGQNHQREIAAQCTMLSSSRVDLNHHQEAISVTERPTLLDILSKKGLCGVLCFIGEVLFITRPLVHVLFIRKYSIRSWTPWFLSLAFDCIGMSILSLATTSVAGVKEPRVYLSASEKDEVKRRKLLFVLYILKDPFFSNYTRQKLQSIEKVLEPVPVIGFLTAQAVELIIGYQTRYTYISGS >OIV98579 pep chromosome:LupAngTanjil_v1.0:LG13:17099840:17106295:1 gene:TanjilG_12165 transcript:OIV98579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPSGLMKYPRGKFSLPIIVITVCVLVFIAVLYTERLSFISSKSIFKFKTCTRKNFKPKSMLSEDEKRKKEENVVNASWIDDRFNPELALRKLKGKRLLFIGDSLQRNQWESFICLVEWIIPEKHKSMKQGRIHSIFKIKEYNATIEFYWAPYLVESNSDINIIGDPRKRIIKVDAIMDRATNWSGVDILVFNTYVWWMSGLRIKSVPSFQRFAVRTSKQIEDISVKAVRTKQEIAEQLKDMTKNIEV >OIV98867 pep chromosome:LupAngTanjil_v1.0:LG13:10206489:10208219:-1 gene:TanjilG_21202 transcript:OIV98867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTESLIRKKPGMASIKDMPVLQDGPPPGGFAPVRFARRIPNTGPSAVAIFLATFGAFSWGMYQVGQGNKIRRAIKEEKYAARRAILPVLQAEEDERFVREWHKYLEYEAEVMKDVPGWKVGESVYHSGRWMPPASGELRPEVW >OIV99166 pep chromosome:LupAngTanjil_v1.0:LG13:4263651:4266601:-1 gene:TanjilG_19662 transcript:OIV99166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSRENTNWILEFGLIEDIPVPDSTFNWAPQPFNPSSNVSVEIDGTLGDSGGLKESGSKKRVRSEACTASSSKACREKLRRDRLNDKFIELGSILEPGRPPKTDKAAILIDAVHMVTQLRGEAQKLKDSNMGLQEKIKDLKAEKNELRDEKQRLKAEKERLEQQLMSMNAQPSFLPPPAAIPAAFAPQGQAAFAAQGQAAFAAQGQAAFAAQGQAAFAAQGQATFAAQGQAPGNKLVPFISYPGVAMWQFMPPASVDTSQDHVLRPPVA >OIV98525 pep chromosome:LupAngTanjil_v1.0:LG13:17778091:17780961:1 gene:TanjilG_12111 transcript:OIV98525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDACAMLSGGSNNNNIEDGSNNNNGSSPLTSASNNSSNISSEEHFHSGQRKMVRKRMASEMEPTHTMLPHSAIGSRFPRRSSNNNNMSSVLICSSLAPAVEKATSFNNNSHYKTSSSSRVDNNVHVVPNPTTPNYSTMLLPSSTTINPNYNTIQRQQQDQNQLASPAVCVFSGLPLFPASQQIIHHHNSTSTSANVEVATSPSMEDNNNNSAATDWIDGILKDLIHSSNSISIPQLISNVREIIYPCNPNLAVVLEYRLRLLTSHDNTSTAPNNNSSNPAPVRKNNTIEVGEGLNQNQRPLPSGGNVIHDNCPPYSSSGAASVVMNQMLSNWGVLPITHDGTCSVSATDLPTHHDISHQEECCDIGGNEADTTRKKKDEEGLHLLSLLLQCAEAVSVENVEDAKKMLLEISQLSTPFGTSAQRVAAYFSEAISARLVSSCLGIYATFPSTVVSHKVASAYQVFNGISPLVKFSHFTANQAIQEAFEREERVHIIDLDIMQGLQWPGLFHILASRPGGPPYVRLTGLGTSMEALEATGNRLSDFANKLGLPFQFSPVPHKVGNLDLEILNVSKTEAVAVHWLQHSLYDVTGSDTNTLCLLQRLAPKVVTVVEQELRNAGSFLGRFVEAIHYYSAVFDSLGCSYGEESEERHVVEQQVLSREIRNVIAVGGPSRTGELKFHNWREKLQQCGFRGISLSGNAATQASLLLGMFPSEGYTLVEDNGILKLGWKDLCLLTASAWRPPFHIIN >OIV98914 pep chromosome:LupAngTanjil_v1.0:LG13:7892535:7896827:-1 gene:TanjilG_07349 transcript:OIV98914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNSFGCSASGERLVSAARDGDLVEAKVLLEWNPGLAKYSTFGGLNSPLHFAASKGHNEIVTLLLENGADVNLRNYCGQVARADYLSNRTALHFAAVNGHVRCLRLVVADFVPSAPFEALYARTDADTTDGSDVNSKDEQSELSKFVNKTAEGGITALHMAALNGHFDCVQLLLDLNANVSTTTIHHGTSIDLIGSGSTPLHYAACGGNLKCCQILLARGASRMTVNYNEWLPLDIARMWGRYWLEPLLAPISDATIPSFPSSKYLSLPLMSVLNIAREFGLQSSTNSSNEIEFCAVCLEMPCSVAAEGCGHELCVSCALHLCSTSNVSHDIVSPPGSIPCPLCRHGIVSFVKLPGSQAKENKSNVSLRLCTPCMLHPRDVHQPTLSHASEIRRNRVASVSSETICSISCSPFPSMAIPLCTCNGGSFPSVQPREVETGDEPSHRSQASALDQNKTEGPRVEKTSCTNMFWGRRSCSREHRCDSEINTGT >OIV99281 pep chromosome:LupAngTanjil_v1.0:LG13:3082889:3084193:1 gene:TanjilG_17091 transcript:OIV99281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGVSENKDGSETETPIPKFFTTIIYSFPYLASPTTNSILKNTFPMKRTVRPLFMLLFLIVFAATVTSRAMIRRGLISIDHETRVMIQDPQLLLNATLLKHAAIDIGEEKSKQEIQNLLDGNIRSQARHRTFVSWRRFIHHDVDKSSRNVNLPATMRSPLFYRYWLDFRKVLNEWARKKRFQPGIMLELIRSVKVPIDRYSGVFDSSEQRYASCAVVGNSGILLNKDYGSLIDAHDVVIRLNNARVDNFEHKVGKKTSISFVNSNILHLCARREGCFCHPYGANVPIVMYICQAMHFLDYTVCNSSHKAPLLVTDPRFDVLCARIVKYYSLKRFVEESGKGLEEWGSAHDGALFHYSSGMQAVMLGLGICDKVSIFGFGKSASAKHHYHTNQKAELHLHDYEAEYAFYRDLVDGRKPIPFLVDAFKVPPVVMYH >OIV99636 pep chromosome:LupAngTanjil_v1.0:LG13:527339:531193:1 gene:TanjilG_17446 transcript:OIV99636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKSFNPSRSNLSQASDASENQKPPLPPTVRFGRRTSSGRYISYSRDSLDSELENNDFLNYTVHMPQTPDNQPMDPSISQKVEEQYVSNSLFTGGFNSLTRAHLMDKVIESEANHPQMAGAKGSSCEVLGCDAKVMSDERGVDLLPCECDFKICRDCYIDAVKTGGGICPGCKESYKNTELDEVDADNGRHLPLPLPSGVSKRDRSLSVMKPTKSLMRSQTGDFDHNRWLFETNGTYGYGNAIWPKEGNFANGKDDDDYAEPTEFMNRPWRPLTRKLKIPAAILSPYRLIIFIRIIVLVLFLSWRVQNKNVDAVWLWGMSVVCEIWFAFSWLLDQLPKLCPVNRSTDLTVLKEKFETPSPENPTGKSDLPGIDVFVSTADPEKEPPLVTANTILSILAADYPVEKLACYVSDDGGALLTFEAMAEAASYANVWIPFCRKHDIEPRNPESYFSLKRDPYKGKVKPDFVKDRRRVKREYDEFKVRINGLPESIRRRSDAFHAREEIKAMKLERQNREDEPIEPVKIAKATWMADGTHWPGTWLNPSPDHSKGDHAGIIQVMLKPPSDETLVGGGDENNLIDTTDVDIRLPLLVYVSREKRTGYDHNKKAGAMNALVRASAVMSNGPFILNLDCDHYIYNSKAMKEGMCFMMDRGGDRLCYVQFPQRFEGIDPSDRYANHNTVFFDVNMRALDGLQGPVYVGTGCLFRRVALYGFDPPRSKENHSGFCTCCFGRSKKKNASMANSQEENRALRMGDSDDEEMNLSFFPKKFGNSTLLIDSIPVAEFQGRPLADHPAVKNGRPPGALTTPRELLDASTVAEAISVISCWYEDKTEWGQRVGWIYGSVTEDVVTGYRMHNRGWKSVYCVTKRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSRNNALLASPRMKFLQKIAYLNVGIYPFTSIFLIVYCFLPALSLISGQFIVQNLNVTFLVYLLTFTVTLCMLAVLEIKWSGIELEEWWRNEQFWLIGGTSAHLAAVLQGLLKVIAGIEISFTLTSKSVSDDVDDEFADLYVVKWTSLMIPPITIMMVNLIAIAIGLSRTIYSTIPQWSRLLGGVFFSFWVLTHLYPFAKGLMGRRGRTPTIVFVWSGLIAITISLLWVAINPPAGNNQIGGSFQFP >OIV98599 pep chromosome:LupAngTanjil_v1.0:LG13:16806857:16809822:1 gene:TanjilG_23038 transcript:OIV98599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRESEANALLNWKASLDNQSQVSLSSWYGNNPCSNWVGIHCDESKSVSNISLRDMGLRGMLHSLNFSSFPNIHTIDIRNNSFNETIPSQIGLLLSNLETLDFSLNQFTGSIPKSIGNLSKLLYLYISQNSLSGLIPSEIGMMSSMNVFDFSSNFLSGRIPPTIGNLSNLQELYLYNNTLSSSIPDEVGKLYSLTHMQLSHNNLSGSIPLSIGHLPHNICLGGMLTKFSATNNHFTGPIPKSLKNCSSLKRVRLEQNQLTENMTQGTFECPNLDYIDLSDNNLYGNLSPNWGKCYKLTSLKISDNNLSGVIPQELGEATNLRELHLSSNHLIGQIPKELGKLTLLIKLLISSNLLSGNVPIEITSLKDLQFLGLAENDFSGFITKQLGSLPNLLLLNLSYNKFVGHIPLEFDKFKQLQSLDLSKNFFGGKIPFILGKLKYLETLNLSHNNLSGTIPSDFDDMLSLTNVDISYNQLEGPLPNIPAFQKASINALRNNKGLCGKISGLEPCPPTSSRKSHHHQNTKVIVLVLSISLSILLLTLLVVFILCRLHRSATTNENQVVEPQNQNLFAIWSYDGKMVYENIIDATEDFDNKYLIGVGGCGSVYRAELPYGEVVAVKKLHSIPNEESYILKAFQSEIKTLTEIRHRNIVKLYGFCSHSQHSFLVYDLVERGSIDKVLQNDAHANEFHWKRRVNVVKGVANALSYMHHDCSPPIIHRDISSKNVLLDLEYKAHVSDFGTSKFLNPDSSNLTSFAGTYGYIAPELAYTMEVNEKCDVYSFGVFALEVIFGKHPGEIISSTMLSSSFEVDNFSLNDNLDQRLPHPMNPIDKEIISILRIATSCLRENPHSRPTMKYIVKELLISPYVT >OIV99487 pep chromosome:LupAngTanjil_v1.0:LG13:1468386:1469242:-1 gene:TanjilG_17297 transcript:OIV99487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGDVEYRCFVGGLAWTTDSEALEKAFSAYGEIVDSKVINDRETGRSRGFGFVTFANEQSMRDAIEALNGQDLDGRNITVNEAQSRGSGGGGGGGYGRGGGGGGYGGGGGYSRGGGGGGYGGGRRDGGGYSRGGGGGGYGGGGGGYGGGGGGYGGGGGDRGYGGGGGGSRYSRGGGDSEGGWRS >OIV98782 pep chromosome:LupAngTanjil_v1.0:LG13:13356387:13367034:1 gene:TanjilG_20506 transcript:OIV98782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKEERGSSATSLKDQGNEFFKSGKYLKAAALYTQAIKKDPSNPTLYSNRAAAFLQLDKLSKALDDAETTIKLKPEWEKGYFRKGSILEAMKRYDDALAAFQIALQYNPKSQEVSKKIKNINQLVRDSKRAQEVENMRSNVDMAKHLDSLKPELSRKYGSEESWKNMFLFLVETMETAVKTWHETSSVDARVYFLLDKDKTDTDKYAPIVNIDKAFESPDTHSNCFSYLRQYAEESLSGAACLVVRKSSIGYPQIWKGQGLRKWKNAQNDGFFVQFESPSLRKLWFIPSSNEKGRTFCRSRWPSLTLLAAATLPSPTLLPNITIVYASPRTRRPSHAIMRSHLEKYLKAVSKTKLQRDPEVLDIGVHEVLPRLFKEKLPNS >OIV99415 pep chromosome:LupAngTanjil_v1.0:LG13:1946353:1951148:-1 gene:TanjilG_17225 transcript:OIV99415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMGFMQRLVIIVIGSLLCVTGTSLGLKHNYGDALTKSILFFEGQRSGKLPPTQRMTWRKDSGLQDGFQVNHTLFPAFPQVDLVGGYYDAGDNIKFNFPMAFSTTMLAWSVIEFGKFMGPDLDHAVDAIGWATDYFLKATSVPGFVFAEVGDPIFDHNCWERPEDMDQPRIGFAVSKDHPGSEVSAEIAAALAASSIVFDFADKYRGSYSGSLGSMVCPFYCDFGGYQDELVWGAAWLLKATKLPYYENYIDQNIQNVKNIGEFGWDSKDAGINVLLSEVKHIKSSYSMSFMASADKFVCAVLPESPSVSVSYSKGGLLFKPGGSNMQHATATSFLLLVHASHLYKAKRRLHCGANFFAYPSRLRKLAKSQVDYILGSNPLNMSYMVGYGPKFPLRIHHRASSLPSLVNYRSQVHCKEGSTYFESQNPNPNLLVGAVVGGPDINDSYEDSRADFVHSEPTTYINAPLVGVLAHFNIHHHK >OIV99378 pep chromosome:LupAngTanjil_v1.0:LG13:2228074:2232507:1 gene:TanjilG_17188 transcript:OIV99378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEVQSITPRSSAYLDALSQEIHKKLQRVLANSSQRRKLLQELFADIALEVDDRAKDVIVSKEEDGISLAEDVNGGLLCFYDVLADYFVRVPESGKPILDLIVQQWSQSFASHIFALLFHKWLFEVHLDNPEVLLRYSSALVQGATNVFWIDIQTNSRHFQSLFHYLLEDVALDHTRLTKIPVQAQRDMYLLLSRFILFYNSADKMDSFLKQCPLFPTAFLIGGPADIFVTELTDQLQKLKVEPVLLHYLSGIKVLQGMELRMTTSTRLKTCLYSFTSPGGPMYPTRAVRHAAWEALDLLFPVGRYPRHLISLFFRLLYPWYWPSSCWNFVISCVQAVFYSVLRLIFSTWDKISKPKSQ >OIV98565 pep chromosome:LupAngTanjil_v1.0:LG13:17242560:17247230:-1 gene:TanjilG_12151 transcript:OIV98565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTGQKAQIYHSSRMQPFTPNSVGSSVCGRNLRKNELGGVIFGTKNTTIKECLSKQLFGLPAQHFSYVKNIDPGLPLFLFNYSDRKLHGIFDAASSGKMFIDPYGWTEGGSVRTQYPAQVQVHVRLKCQPLSEDKFRPIIQDNYYTQKHFWFELDHTQTHKLNALLASLAIVPGNSAPKNIPRWITISRPLPLHETPKEGEASKTVESEIEQSAHSSMRSDSSENDSSFDRDIQPLDTHAAMKEVKQDHKDIMFMRLKELTLNLETQKPSLPDDVSDIPDVNNKSFVEKGNSEAPAISEKTEESPATPSEFPYDISQLVQEVKELTAFSKIQIERNCYLEQKMMEAEMEIQYLKDRCTRVEYAYNVPSTYVEKTFIESSAELHLDPKDSLYLIGGFNGESWFKTMDMYSTSQKVVVMDMFGVKQRFSLAAMELNGALYATGGYNGSDYLNSQDMHQYPVEKYTEGEGWKETFTASTVRKCFLSAIACSDE >OIV99592 pep chromosome:LupAngTanjil_v1.0:LG13:818141:819879:1 gene:TanjilG_17402 transcript:OIV99592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGILQSSKLIATNLLFNHHHRRAYSVIPMVIEHSSRGERAYDIFSRLLKERIVCINGPISDDTAHVVVAQLLFLESENPSKPIHMYLNSPGGAVTAGLAIYDTMQYIRSPINTICLGQAASMASLLLAAGAKGQRRALPNATIMIHQPSGGYSGQAKDIAIHTKQIVRIWDSLNELYKKHTGQPIDIIQKNMDRDYFMTPEEAKEFGIIDEVIDQRPAALVSDAVSNEGKDKDST >OIV99509 pep chromosome:LupAngTanjil_v1.0:LG13:1296635:1300163:-1 gene:TanjilG_17319 transcript:OIV99509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLGNNKKEVMGVVGTSERFYLGLDFGTSGARFAIIDLDGKIQAEAKREYPLYSDIPLELRKHIVSISIDGTSATTLIVHSDTGEPLSRPFLYNESCPDALPMVKSIAPPNHTVCTGSSTLCKLVSWWNNAGSNQKSALLLHQADWLLWLLHGKLGVSDYNNALKVGYDPEVDSYPSWLVCQPYSHLLPSVVAPGTPIASLKEEIRNKFGFQKDCVVCTGTTDSIAAFLAARATQPGKAVTSLGSTLAIKLLSNTRIEDSRFGVYSHRLDDKWLVGGASNTGGAVLRQLFTDDQLDNLSEQINPSEASLLDYYPLPKVGERFPVADPNLAPRLVPRPESDVEYLHGILESIARIEAKAYGLLKDLGATEVDEVFTAGGGAKNEKWTKIRERVLGLPVIRANQTEAAYGAALLAIKGDQVNIL >OIV99689 pep chromosome:LupAngTanjil_v1.0:LG13:155598:157478:1 gene:TanjilG_17499 transcript:OIV99689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQLRRFHFIEEEPLYSSPPFFFTDQTLTFSPFVEETLHLFPFDLVPRVQKQDLRTELCLQSLSDRVSELESRFNRIVAGKSGGRGGGVDRKYTWTAEIKGEDGFDRKYKWIAELVEEEKKKKLKSKSIKWKSEIKGKEEESEKIRKYTLQVESHAEKKSKNKNDNKKKGNGALRIVEIQEPSAHRDVVLKQAFAKGFGAVQKNRGKKKELSPQDAALLIQISFKAYLIRRSKVLRALRELAVAKSKLKELRAQFNNFSYRRRVARDAEERQRFSEKVIVLLLTVDVIEGADLMVRSAKKSIVDELEAMLDVIDPKAEGRSLSFKRRQFDMPDGAISKEIEEGVAQVVQMLDEAENSSSTF >OIV99441 pep chromosome:LupAngTanjil_v1.0:LG13:1750510:1751826:1 gene:TanjilG_17251 transcript:OIV99441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPWPLVKRVKRCWDTIKTWLTNNFPEAEATLCKGASEAEIQELESALNVKLPLTTRILYRFHNGQEITKEDMEDSTFYSSLGLIGGYSFYSHFVNVYLLPISQVIQETRRITRHLGFFRRSKYVLVAASFTYIEKLFFLNCTNGQLYVGTRNFPDNGEMIPCVPHDLISLDHEVNSEQQQDAMLLWLEEHGRRLQHGFIKLLEEGNTRSINLFPEQPPICSMAVTNGVQVRASALLIPELADLQDDVEKYLFAYSIRMSLEPQGCVINGMPFSSCQLHWRHWVIRSNDIVVSDVNGEAVIGMV >OIV99149 pep chromosome:LupAngTanjil_v1.0:LG13:4438096:4440630:-1 gene:TanjilG_01124 transcript:OIV99149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKMAFIKWVPYVLVVFLVLVNGSYALYTPPDSYLIACGSSRNITFQGHTFVPDSQHSSLVLKTGNSFVASSNSSSAPFPIYQSARIFTDKASYRFEIQQEGRHWVRLYFSPLPNSGHNLTSASMTVVTDNFVLLNNVTFRNYNGSYMFKEYAVNVTSDTLTVTFIPSNGSVAFVNGIEVVSMPDELFVDQALALNPPAPFSGLSELAFETVYRLNMGGPLITAQNDTLGRTWVNDLNYLHVNNSVFNVSVSPSTIKYRAGVTPETAPNLVYATAETMGDPNVGNPIFNITWVFTVDPNFSYFVRVHFCDIISKSLNTLVFNLFINSDIALGSLDLSSSTNDLAMPYYKDFVSNASADSKTLTVSVGPDKMADITNATMNGLEIMKISNALKSLDGFSSVDNLLPRSPSEKNKIGIIVGSALGALAAIALVGFCCCCLVRHKSKSPQQGNSRLPLSLFGNSLTLTKMSTTSQKSGTPSCISLTSTNLGRFFSFQEILDGTNKFDEKLLLGVGGFGRVYKGTLEDGTDVAVKRGNPRSEQGLAEFRTEIEMLSKLRHRHLVSLIGYCDERSEMILVYEYMANGPLRSHLYGTDLPPLSWKQRLEICIGAARGLHYLHTGAAQSIIHRDVKTTNILLDENFVAKVADFGLSKTGPALDQTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLMEVLCTRPALNPVLPREQVNIAEWAMSWQKKGMLDQIMDQNLVGKVNLASLKKFGETAEKCLAEYGVDRPSMGDVLWNLEYALQLQETSSALTEPEDNSTNHITGIQLTPVDHFDNSVSMIERRNSCTDDDPEDAATSAVFSQLVNPRGR >OIV99455 pep chromosome:LupAngTanjil_v1.0:LG13:1666082:1669240:-1 gene:TanjilG_17265 transcript:OIV99455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFVPEEGKHLHEDCSTLILPALSIGNVGQLVADLLVSSMDAERVGYLDDPYVLPCVGNDAYGPFPHGDLALPLEAYDSISNALTIIQQRSPVVKGRMVEFAKNLADFVAASGKKHIVLLSSLDFGKWQKVDMSSGLQIYYLSSANNNGTDENCEQLGWKKLEDYDPSQKHWKYLSDLAEGNATRDDIISIDDELEEEDYYASLPFAALFSFLKAKGLKVTCLLCYCSEGDNISDAFQLAEAVCKLQRLSHPTAGIDGGKWRIPLSWMSVYGPPPDMSIF >OIV99008 pep chromosome:LupAngTanjil_v1.0:LG13:7462380:7468164:-1 gene:TanjilG_29411 transcript:OIV99008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLCVLDEPIQYPIARRDDSVIDDFHGVKIHDPYRWLENPDAEEVKEFVQKQVQLTDSVLQKCDTRTKLHEKITKLFDHPYYVTPFRRANKYFYFHNTGLQPQNVLYVQDSLEDEAEVLLDPNGLSEDGTVSLNTLAVSKDGKFLAYGLSSSGSDWVTIKLMSIEDKNVEPDTLSWVKFSSISWTNDSKGFFYSRYPAPKAGEVIDAGTETNTNLYHELYYHFLGTGQSEDILCWRDNDNPKYLFGTRVTEDGKYVLLLIDEGCDPVNKLYYCDMSKLPNGLEGFQNENALLPFVKLVDNFDAQYQIITNDDTVFTLLTNKDAPKYKLVRVDIREPNAWSDVIQESEKDVLESACAVNGNQLIVSYLSDVKYVLQVRDLETGSLQHQLPIDIGTVYDISARREDSVVFIGFTSFLNPGIIYQCDLRTQVPDMKIFREIVIPGFDHSEFHVNQIFVPSKDGTKIPMFIVARKDIILDGSHPCLLYGYGGFNISLTPSFSTSRIVLTRHLGSVFCIANIRGGGEYGEEWHKAGSLLKKQNCFDDFISAAEYLVSAGYTQPRKLCIEGGSNGGLLVGACINQRPDLFGCALANVGVMDMLRFHKFTIGHAWTSEFGCSDKEEEFHWLIKYSPLHNVRRPWEQYPNKSIQYPPTMLLTADHDDRVVPLHSLKLLAVISNYINYQTMQYVLSTSLDKSPQTNPIIGRIECKAGHGAGRPTQKVIDEAADRYSFMAKMLDAHWYE >OIV99168 pep chromosome:LupAngTanjil_v1.0:LG13:4252539:4252979:-1 gene:TanjilG_19664 transcript:OIV99168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNRNSTSSKSKVYYGPRSKIGSSELTQHVPRTKAEPVVVVGFPTDEGSLRLKGHSEHGKKSTSLDSDDTFTEFIRRAKYKIRTISNIGREQSNIAPASALDGANINVTNNSNDENQKDQFGDFIKVAKKKLKTTSRVGNNSSFRG >OIV99548 pep chromosome:LupAngTanjil_v1.0:LG13:1109784:1116281:-1 gene:TanjilG_17358 transcript:OIV99548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLRFFVIFIIVSLSNVIAQDILQQNNNNNIVKRIHKINKTVTYDGRSLIINGKRELLFSGSIHYTRSTPEMWPDLLQKAKQGGLNVIQTYVFWNAHEPVKGKFNFEGQYDLVKFIKLVQQNGMYVTLRVGPFIQAEWNHGGLPYWLREVPNIIFRSNNEPFKKHMKKYVSAIIGRVKEANLFAPQGGPIILAQIENEYNHIQLAYDEEGPNYVQWAAKLAVSTDTGVPWIMCKQTDAPDPVINACNGRHCGDTFVGPNKPYKPSIWTENWTVQYRVFGDPPSQRSVEDIAFSIARFFSKNGALANYYMYHGGTNFGRTSSAFSTTRYYDEAPLDEFGLQREPKWSHLRDVHKALSLCKKALLTGQSTTTKVSQFNEIIVYEKRESDLCAAFITNNHTNMSTTSKFRGVDYYLPPRSISILPDCKTMVFNTQNIVSQHNKRNYKKSKAANDNLKWVMFSETIATTKQLPAKDKTPAELYSLLKDTTDYAWYTTSVELGPEDLPKKNEPASVLRIMSLGHSMLVFVNEEYIGSDHGSHEEKTFEFVKTVNFKVGVNQISILAATVGLPDSGAYMEHRYAGPKSITILGQNSGIIDLTRNAWGYEVGLQGEKNKIFTEEGSKKVQWKNVKGKGHALSWYKTTFKTPEGRDPVAIKMHSMGKGMIWINGLSIGRHWMSYLSPLGEPTQSDYHIPRAFLKEKDNLLVILEEEEKNPENIVIQRVNRDTICSIIKETDPPNVKSWANKGMKLTPVVDKLIPEAILKCPNYKTIVAVEFASYGDPLGVCGAFTLGKCNAPYTKQIVEQLCLGKEACTVPLEKSMLLKNGEDGCPGVVKELAIQVLCGTGATKQ >OIV99349 pep chromosome:LupAngTanjil_v1.0:LG13:2431864:2434983:-1 gene:TanjilG_17159 transcript:OIV99349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTPKTRSGSSEVPQKVSPRAIRRLRTTTLEMGSVSSLRQANKISKERSPNAAGRKSPRSPVSEKKRPSRISELESQISQLQEDLKKVRDQLILSESCKKQAQQDAEESKKQLLALSAKLEDSQKQLLELSATEEDHVIVLQKLSEEHDEAWQSELEVSQKPLSAHSSVLASAMDETQQLKVQLELVANFESAQIQHVESANVGLLHLKQNLSETLSLVGSMKNQPRNYKASESQAQDLIYESLLQLEAAKKAVEFLHADAAKAVDTYNSIALELDQSRAQVNSLEATIRKLEADLISNEGIQSRNLAADHKFRKEPECLEEDEDRNQVGAEIYSLKSEVERLRSVIETAETKHQEEQIRNTVQISNAYELMEKIKSESSQRECEIEGELNRKKAHIEELKANLMDKETELQGIMEQNENLNLMIDESMSSRKEHKLDKELKRLDECMAYLKADMMDKETTLQSISEENEMLKLEMKKTFSDGEKVREEVGTELEAAKAAECDALAKLGIVMEEADKSNQKVARVTEQLEAAQVTNSEMEAELRRLKVQSDQWRKAAEVAAAMLSAGNNGKLTDRTMSLDGYKCSTYARDMDDDNFNRKKNSNMLKKIGVLWKKPQK >OIV99615 pep chromosome:LupAngTanjil_v1.0:LG13:657521:665091:1 gene:TanjilG_17425 transcript:OIV99615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPSSNSNANDPFTFNTSSSSSSASRNIARPKFVKLRKPNNAPSFNFNSLNGNSAFTLPDSVSSNPDFINIANVSDGFNAASKFPQDMMNTLKIETKGNIESENIHNQLKQKLQIGSGIDKSVISQMKNLNVNESLGNAHTRTISKDNNVYDAINFGKVTDSILLKKMDNLNIVKSVESNLCNPSSAASTEKLEEDVRMPQSAPSSFSSSSLLFGTVGSGVGVSSTKREEFVFTGKQDNPGGSSFIDFKTPAPTNTNNLFGGVHDKLIFTTSRKEHSSNKRMNKGRAKLKLHTTSDLPWHEQGFFVKESAPQEDPQGSLEACSPMGVSPYQEKLPELQIWRENSLTSNDSFSVDNNSIPNDSVGMTCDCEDLVLAKECLNVNVGDVPRGETKEETREGNRRENIRIEDSKYESFSGVETESFYSANDDVDITSNTADTSAESEDRLNDNMLRLGSGLSSSDVSGSAFTFAASSSAEAQSSSPRRHQKKKILVNVSHDTFNYTPNIKVPYSSSTVALSPFSGTSSMITPGQSLIARASSPQPRTRHSGVNKDQGIKEASGSISAANIAGQEACEKWRLRGNQAYKNGDLSMAESYYKQGISCVSKEDASQGCLRSVVLSYSNLAATHMSLGRMRDALEDCMMAAEIDPNFFRVQLRAANCYLALGEVGGASQYFKSCLQSGSDVCVDRKIVVEASDGLQKAQKVSDLINHSAEILRRRTSSDAERALEYISEALMISSYSEKLLEMKAQVLLMLCRYEEVIQMCGDTLGSAEKNSHPLNAGSQVTDPDNSEFSKSFHFRLWRCSMMLKAYFYQGKLEEGLSFLEQQEEKVSLINKNRSKVLDSLIPLAVTVRELVRHKTAGNEAFQAGRHAEAVEHYTSALSFNVESRPFAAICYCNRAAAYKASAQIIDAIADCSLAIALDGNYLKALSRRATLYEIIRDYDQAARDLRRLVSLLSKGEEDNSTQLGLSDRSINYTNDLKQNRIRLSEIEDEARKEVPLYMYLILGVEPSVSASEIKKAYRKAALRHHPDKAGQSLTRSDNGDDPMWKIIAEEVHRDADRLFKIIGEAYAVLSDPAKRARYDADEEIRNSQKRRHGPMARNNVDSQSGPFEPSGRRQGSQIWRSFSNTSSRGSEPGRSGWK >OIV98681 pep chromosome:LupAngTanjil_v1.0:LG13:15655243:15658132:-1 gene:TanjilG_23973 transcript:OIV98681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPGVLDSDPVMIVKKSIELKKKELKRLVKSILDDDDFSIEIIDQAKEALCVIKDLKMRKSSSSSSSSSSLSLKLHKNVTCPDEFKCPLSKELMRDPVIVASGQTYDRPFIQKWLSAGNRTCPSTQHVLSHTVLTPNHLIRQMIEQWSKKQGIELSKSFSYINEDGVTEADRDHFLCLLKKMSSGLSDQKAAAKELRLLTKKHPCFRLLFCDSVDAIPQLLKPICGHGSDSFSSIHPDLQEDVITILLNISIHDNNKKLVAETPMVIPILMKALRSGTTETRSNAAAALFTLSALDSNKELIGKSGALKPLIDLLEEGHPLAMKDVASAIFTLCVMQENKSRAVKDGAVRVIVRKIKDRLHIDELLAILALLSSHRRAIQDIGELGAVPSLLSIIRESSCERNKENCVAILQTLCLYDRSKLKDIKEEENNHRTISELAQNGTSRAKRKASGILERLNRVVNFTHTA >OIV99630 pep chromosome:LupAngTanjil_v1.0:LG13:562597:580721:1 gene:TanjilG_17440 transcript:OIV99630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEENGKVTKLPIEGKRNILITSALPYVNNVPHLGNIIGCVLSADVFARYCRLRGYNAIYICGTDEYGTATETKALEENCSPKEICDKYHAIHKEVYDWFNISFDEFGRTSSPNQTEVCQAIFKRLFDNNWLSENTMQQLYCDTCEKFLADRLVEGNCPTPGCEYDSARGDQCEKCGKLLNPTELKDPRCKVCQKSPRIRDTDHLFLELPLLKDRLEKYINEMSVVGLWSQNAIQTTNSWLREGLRQRCITRDLKWGVPVPHEKYSDKVFYVWFDAPIGYVSITSCYTPEWEKWWKNPENVELYQFMGKDNVPFHTVMFPSTLLGTGENWNLMKTISVTEYLNYEAGKFSKSKGIGVFGNDAKDTSIPVEVWRYYLLTNRPEVSDTLFTWPDLQAKLNSELLNNLGNFINRVLSFIVKPEGQGYGSIIPAIPDDVSADSHELTKKLANDVSAYLDQYIEAMEKVKLKQGLKIAMSISSEGNRYLQEAEFWRLYKDNKSLCSLVMKTAVGIVYLLACLLEPFMPSLTLEVFRQLNLSAETHLSLSDEKGDIDSAKRPWEIISAGHKIGIPQPLFRELKDEEVEFYREKFAGSQADRSVRAEAFKVAEQLKKTKVSVGSGKKKSSANSSNEAKNKAVAEPDISITRLDIRVGLIKKAQKHPDADALYVEEIDVGEEQPRTVVSGLVKYIPLDEMQNRKVCVLCNLKPATMRGIKSHAMVLAASNDDHSKVELVEPPTDAVVGERVTFPGHEGNPDEVLNPKKKVWETLQVDLHSNEELVACYKDVPLTTSAGICKRNAADQILRDLQNNPHMWLQVMHILQNTQNTNTKFFALQVLEGVIKYRWNTLPVEERDGMKNFISDIIIQLSTNEASFRSERLYVNKLNIILVQILKHEWPARWRSFIPDLVSAAKTCETICENCMAILKLLSEEVFDFSRGEMTQQKIKDLKQSLNSEFQLIHEFCLYVLSVSQRTELIRATLSTLHAFLSWIPLGYIFESPLLETLLKFFPVSAYRNLTLQCLTEVASLQFGNYYDVQYVKMFTIFMGQLQGIVPPTANIPEAYAHGSSEEQVHIRILESTQENISALLLGLEYLINISFVDDTEVFKVCLDYWNSLVLELFEPNGNLDNPAATAAMMGLQVPAFLPGMVDGHGSQLLQRRQLYAGPMSKLRTLMICRMAKPEEVLIVEDENGNIVRETLKDNDVLVQYKIMRETLIYLSHLDHDDTEKQMLRKLSKQLSGEDWSWNNLNTLCWAIGSISGSMMEEQENRFLVMVIRDLLNLCEITKGKDNKAVIASNIMYVVGQYPRFLRAHWKFLKTVVNKLFEFMHETHPGDMACDTFLKIVQKCKRKFVITQVGENEPFVSELLTGLPTTIVDLEPHQIHSFYESVGNMIQAESDVPKRDEFLQRLMVLPNQRWLEIIGQAHQNVEFLKGQDAIRTVLNILQTNTSVATSLGTYFLPQISLIFLDMLNVYRMYSELISKSITEGGPFASRTSYVKLLRSVKRETLKLIETFLDKAEDQPQIGKQFVPPMMDPVLGDYARNIPDARESEVLSLFATIINKYKAAMVEDVPRIFEAVFQCTLEMITKNFEDYPEHRLKFFSLIRAIATHCFPALICLSSQQLKLVMDSIIWAFRHTERNIAETGLNLLLEMLKKFQGSEFCNQFYRTYFLTTEQEIFAVLTDTFHKPGFKLHVLVLQHLFCLLETGAITEPLWDAATNPYLYSSNVEFVREFTIKLLSTSFPNMTAAEVTQFVNGLFESTKDLSTFKTHIRDFLIQSKEFSAQDNKDLYAEEAASQRERERQRMLSIPGLIAPNELQDEMVDS >OIV98680 pep chromosome:LupAngTanjil_v1.0:LG13:15636532:15638741:-1 gene:TanjilG_23972 transcript:OIV98680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPPSLLFFLLLTLFITITSSQTQKCTTQKPTIPKTNTFYSHCIDLPYLKSFIHYTYNPSNSSLSVAFIASVPPPSGWVSWGLNPTETGMAGTQAIAAYSIDGVVKIKTLDIKSYKVLVPGKLSYEVWDLSAEQSGGLIRIYATFKVPKGNGNEVNQVWQVGPSVTNGKLDVHGFASENLNAKGKLNLSGGQGFIGGGVDSRTKNKNIHGILNAVSWGVLFPLGVIIARYVKTFPSADPAWFYLHVGCQLSAYAIGVAGWATGLKLGSQSAGITYSLHRNIGIALFTLATIQIFALFLRPAKDHKFRFYWNIYHHSFGYAIVIMSLINIFKGFDILLPEKRWKTAYIGVIAALGVIAVLLEVITWIVVLKRKSKKTNNTYDEHNHNGDNREHPFNM >OIV99005 pep chromosome:LupAngTanjil_v1.0:LG13:7422351:7432259:1 gene:TanjilG_29408 transcript:OIV99005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQGSNEGSTSDNVSAESSDSTLQLNIKTLDSHIYSFEVDRNMSVSSFKEKIANETGVPTSRQRLIFRGKVLKDEHLLLEYHLENGHTLHLVERQPNQSQVSGTSSAEPTVTNVNQGNDAGSGVPRNRVGTISHSVVLGTINMEEQGDSMVPDLNRVIGAVLNSIGIGGQNRSSVSNATQTSASSGSETEGIHAGNWNLAGNQAQSGQTFHGQAFQSLPQVVQIPVPAGAIPIPSLNAPIPHSLNTLSEFINSMEHTLSQNGHRPNLSSTNPRDQQLELPTNAQGLPTIEALSTVVHHTEQLLSDHVVAALSHIAGRLDRDGTSSDLSIRGQIQSESVQTGLAMQHLGALLLELGRTMLTLRMGQSYAESAVNAGPAVYISPSGPNPIMVQPFPLQTSSLFSGPVPSTPPTSGAVGIGSVPRNVNIHIHAGTSLAPIISSIGSRPNNGEGIGGELHSEPGSGVGVASSTQTGFGLSTSQPPSDSTPLSSSVVAEITSHISDILGTMQRDNTELSSADPTPLSSSVLDEINSRLNNILGDMQGDITDLSGLESTGPHLPASSESRPAPGNEQLGTMDVNGFGVSSVGCTSESGVQKPQSEAVQTCCSDERDDSVDKFVSDQVSSRGETITKSEKVQGAPSVSEEQDATEPAKAAPLGLGMSGLERKKRSRPQPPAGKGAGGEPSSSSANQSQQTRTDGQQILQALASHGSALNLRNPNGPSQQPLPSGDNQIDMAGLMSQVLHSPAMNGLLSGVSQQTRVDSPDGLRNMLQQFTQNPQMMNTVNEIAEQVGGEDIGNMFAGMERGQGGGIDLSRMFQQMMPVVSRVLGGGSPPPLFSAAEPQPQASHRDENSYNRSLQLDLQPVVERIERLSPPMDVFRAVAENAIHVSGNGSASNDLLDELCSNESLVGEYVKMLRNDVKQRYKGHSELDKS >OIV99118 pep chromosome:LupAngTanjil_v1.0:LG13:4849943:4851709:-1 gene:TanjilG_22698 transcript:OIV99118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHSTKFLPSFLIFLLFFFISLSYAKSHTFSRTISPSTVGLNQEKLSHLHFYFHDVIGGRNQTAVRVAASPATSTSPTGFGSVVIMDDPLTEQPEWGSKEVGRAQGIYASASQTELGFLMVLNFAFTQGKYNGSTLSIVGRNTVASAVREMPVVGGSGLFRFARGYAQAKTYALAELEAVVERVDNGSLTYSTEDLSDDKGIYSLAVKGDREDEICQLETVKNTHPDCNEVMANCSHQEHGCLLFGSLC >OIV99085 pep chromosome:LupAngTanjil_v1.0:LG13:5740097:5741296:1 gene:TanjilG_32344 transcript:OIV99085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAKVLLHSKTVPFGFSIVSNNVSLRPFNKHFHADARTNNCQLHPRPNRISFQFNCSNGNSFSTLLKTQKKAHLLPPPRCSISSEAGKESRNPIINYFRNLSFDSIKANLSQLTPFDIVKWSGILTIITAATKWTMNMLVSPFFWMYFSWTWLFWPWVVAIVLAVYGLYCCRKHLLGEANIFEQLAIVTSVFTWLTLVPPGHFNGFIEGWPFVFFFVYHYFFFLNVSIRKRLYGDYYARPHDPKWDVNSPMWSRLLFSVGVMVGHWLAAFEGPELHRIPGGWNNLGIWALIIVTVLMQYNATLHLAKYSENVVVPNAVVQFGPYRWVRHPIYSSTMLLFATYCIALRAPLSMLFLVVVCCLYYKQKAEMEEALMVETFGQSYTEYANKVKSKLIPFIY >OIV99471 pep chromosome:LupAngTanjil_v1.0:LG13:1577607:1579582:-1 gene:TanjilG_17281 transcript:OIV99471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVHKPGNFTFGAKHQALTPLRVLRGLVCLLVMFSTAFVMLIFFGFISATVVRFFSINYSRKATSIFFGAWLALWPFLFEKINKTKVVFSGDTVPSRERILLIANHRTEVDWMYLWDFASRKGCLGCIRYILKSSLMKLPIFGWAFHILEFIPVERKWDADKSTLNHMLSTFKDPQDPLWLALFPEGTDFTEQKCLRSQKYAAEHGLPILTTVLLPKTKGFCTCIQELRGSLDAVYDVTIGYKYRCPSFLDNVFGLAPAEVHIHICRFLYDSIPVSEDEMSNWLVERFRYKDHLLSIFQAQGQFPDQATERDLSAGKSILNCVVVIMLTTTCMYYTFSSAWFKLYVSLVCAYLVPATYFNIRPQPILGVLKK >OIV98886 pep chromosome:LupAngTanjil_v1.0:LG13:9515212:9515814:1 gene:TanjilG_20913 transcript:OIV98886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLACCLVLISLCLCLVLEPALATNKGLNIIAPSQAPSYFSVFPPASAPFAITVPSQYVMAKQLCQDTRKPELCNKVILGGKIDPVTEAKLTIELTSSLALRASAYMSKISTRKDLASGTLNSCMLSYQKAITALNLSYINIQSNPNNAIQSLRKADYHVGFCTASLGQRNDIPPILKANKAMQGMIQAIRSVAKKQAN >OIV98761 pep chromosome:LupAngTanjil_v1.0:LG13:13926883:13930756:1 gene:TanjilG_25027 transcript:OIV98761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYAQLVIGPAGSGKSTYCSSLYEHAVATRRTIHIVNLDPAAENFDYPVAMDIRELISLDDVMEELGLGPNGGLVYCMEHLEDNLDDWFTDELDNYLDDDYLVFDCPGQIELFSHVPVLRNFVEHLKSKNFNVCAVYLLDSQFMTDVTKFISGCMACLSAMVQLELPHVNILSKMDLVTNKKDVEEFLDPEPTFLLSELNQRMPPQFAKLNKSLIELVNNYSMVSFIPLDLRKERSIQYVLAQIDNCIQYGEDADVKVKDFDQDDDDDE >OIV99591 pep chromosome:LupAngTanjil_v1.0:LG13:821244:822777:-1 gene:TanjilG_17401 transcript:OIV99591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIYDGNIVPNGDSTIIMSSSFSSSSSSSSSSPPSAYGYIEHTISKLDTLAGIAIKYGLEVADIKKMNSLITDHQIHGNSDHNLHDDTCRELLESFLSQKMKSSGQKLSTVTSSGCYETKPTMKKSMSVFEMAMCRKGASNSSEYGSHLPMSTKTSGHHKKSTTLASEIFKSILESSDVVEAKEDDESNEWNDTPEKLLAPQNNNTSSGRFSSSRTAKSLALRQKSGSRTILTTDSESSSINPLLIRLGSAFGFDGQSSVRKSISTSCLQEQYNSGNSSIWPAFSATGIAKPRTGRRNKAALD >OIV98582 pep chromosome:LupAngTanjil_v1.0:LG13:17071852:17076374:1 gene:TanjilG_12168 transcript:OIV98582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLLFFHFETATATTTATETDLHALLEFKSHIIEDPFHIMSSWNDSIHHCNWTGITCNKSNQRVMNLNLNTQGLVGTITPYIGNLTFLTQLNLSFNSFHGQLPQEFGHLLYLKRLSIYSNYFSGNIPSNLSHCTQLIVLAAGVNNLTGTIPTWVGNLSSLVGFSIRRNNLHGNIPHELAYLSRLRILGLGENYLSGTVPSSVYNISSISTFSVTQNHLHGNIPDDIGFTLPNLQVLFFGFNNFTGTIPASLVNSTKLESLEFSKNGLVGTIPKNIGILNGLTILNFESNRLGTRTSHDLGFLDSLVNCTDLEYLGLEGNNFGGKMPNSIANLSTQLHSLTLGSNQIYGSIPLGIGKLSNLATLELDNNLLSGSVPDALGMLQNLQELYLGGNKFSGGIPSSIGNLSSLTKLYMELNYFEGSIPSSLGNCHKLLLLSLSNNKLTGIIPKEVISISSLAIFFDVSNNSLSGTLPNEVDKLVNLGELDLSENNFSGVIPSSFGSCTSLEQLYLQGNNFEGNIPHTIEKLRGLEDIDLSHNNLSGMIPEFLGDSIVLQHLNLSYNNLEGEIPKNGIFKNATAISIYGNKKLCGGVLELNLPPCRNKNTSLVKKILAPKLAIPIVSAFVFLLFLSCFLAFLMVKRSRKKTSRREDLELQISYSVIAKCTGGFSQDNLIGSGSFGSVYKGTLPSDGATIAIKVLNLEQRGASRSFIDECNVLKNIRHRNLLKIITAIASVDYQGNDFKALVFDFMSNGSLECWLHPTNNMQHQTKTLTFIQRLNIAIDVACALEYLHHSCQTAIVHCDIKPSNVLLDNDMVAHVADFGLSTFLYEESSNFSIQSIMSSSLKGSIGYIPPEYGMGGKASVLGDIYSYGILLLEIFTGKRPTDEAFEGDIGIQQFIAMALPNHVMDIIDPSIFLEQELDENQQVEGEENALRRDFDIDVEARYKCLMKDGIVSVIQIGVSCSATSPSERMHITEVVNKLHAIKNSFMRIRHNTHATRRTFHLILFSSLVPSSILILRHPSLLNLSTLFLPCDEMSRHGNSSVGASSATEDQHTDTSLSEASKVLPRNAHGKWEHKK >OIV98869 pep chromosome:LupAngTanjil_v1.0:LG13:9690904:9691386:1 gene:TanjilG_21700 transcript:OIV98869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGALGIVFLELLAQNGVKFGEAVWFKADSQIFSKGGLDYLGSSSLIHAQSILAIWATQVILMGAVEGYHVTSGPLDEVKEIKNGRLAMLSVFGFFVQAIVIEKEPLENLDYHLSDPVNNNAWAFATNFAPGN >OIV98964 pep chromosome:LupAngTanjil_v1.0:LG13:6771053:6774654:1 gene:TanjilG_29367 transcript:OIV98964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAACCVAAKDKTVQNGSTREILHRNILCSPTWSFRWDHRGRIAGEDASITWFSDGISRNDGSENKNEIPYVSEDGSPLQSDQRNICQKYPISERSAALVINSTSDQSISTNVSMDVNVEQVKELEESSTISCPSPTIPSSSLPSTLLLASPLPSQSHIAPSSSTPARWPYHSPGHQLSRQVSDSRLPGFKSPSSFYVSEEKQVFPSWSNESDMRSRGGSSDGWSVPDFSELMGISNRERRSFDNETFGFNRERLLRSSSRFSTSPVDLQTCSVCSKLLTEKSSWSSQKIIAGNDLAVVSVLICGHVYHAECLESMTPEINKYDPACPVCTFGEKQTRKLSEKALKAEMDLKARNKKSKNRIIDSEIDDHFVIDHFKDGGRQGKVLRMYSSSSGKISFGKHFLRRHFSFRSKSSKSMLADSMIYSATSDMQLKKGQDVIHQA >OIV98850 pep chromosome:LupAngTanjil_v1.0:LG13:10484585:10489840:1 gene:TanjilG_10824 transcript:OIV98850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNTCVGPSIPKNGFLHSVSAAMRWSQSSEGSVSKSNRETANEETTKEPESPLPVQSKPPEQITMPKPEPKQETESEVEPEPEPEPEPEPEPEQEKEKKKTKKPDPVKRVSNAGLQVDSVLQTETGNFKEFFSLGRKLGQGQFGITFLCIEKATGHEYACKSIAKRKLVTDEDVEDVRREIQIMHHLAGHPNVISIKGAYEDAVAVHVVMELCAGGELFDRIIQHGHYTERQAADLIRTIVGVVEACHSLGVMHRDLKPENFLFVNKQEDSPLKTIDFGLSMFFKPGEVFVDVVGSPYYVAPEVLRKRYGPEADVWSAGVILYILLSGVPPFWSESEQGIFEQILRGDLDFDSDPWPQISESAKDLVRKMLVRDPRWRMTAHQVLCHPWIQVDGVAPDKPLDSAVLSRLKQFSAMNKLKKMALIVIAESLSVEEIAGLKEMFKMIDTDNSGQITFEELKAGLKRVGANLKESEIYDLMQAADVDNSGTIDYGEFIAATLHLNKIEREDHLFAAFSYFDKDGSGYITPDELQQACDEFGIGDVRLEEMIGEIDEDNDGRIDYNEFVAMMQKGNVPMIGRKGLEHSFSIGFKGAFKH >OIV98915 pep chromosome:LupAngTanjil_v1.0:LG13:7909357:7910763:-1 gene:TanjilG_07350 transcript:OIV98915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTFVLHSFNSFKRKIENFSLIQLQPMLNFNSTRAMSQSTSIPKKQQRVRDHGYDNYMEIEKKTRKVLKLQNLILTEPSQSLPVARLETLAKRVGFTRRHETGAFILKFPHVFEIFEHPVQRVLFCRLTRQAIHQIEQERHALAAQVPLAVTRLRKLLMMSSTQRLRLEHVRIARAALGLPDDFEFSVVLRYPQFFRLVDANETRNKYIELVERDPSLAICAIEKTRERVYREKGVDAEDVRFSFVINFPPGFKIGKYLKIAVWKWQRVPYWSPYDDVSGYDLRSIEAQKRMEKRAVATIHELLSMTVEKKITLERIAHFRMAMHLPKKLKEFLLQHQGIFYISTRGNQGKLHTVFLREAYRKGELVEPNDLYLARRRLAELVLISPRKAKVDRELVGYKRSRLDDEMGQVRRECVEDVYEDFKGEDDMGRDKDGEDDLSSDIGSDVDSDISDEDDEFDDSVSIQRTP >OIV98683 pep chromosome:LupAngTanjil_v1.0:LG13:15680647:15685828:1 gene:TanjilG_23975 transcript:OIV98683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLNHLFTAARRSHRRVSAAYRSLSTSPSLSPPPPSPPSPTVMLYDRLAESVKQKLQQLENPDPRFLKHGSPHPTLSDHTQILSAPETRITTLPNGLRVATESSLAARTATVGVWIDAGSRFETEESNGTAHFLEHMIFKGTERRNARELEEEIENMGGHLNAYTSREQTTYYAKVAHDDVHKALDILADILQNSKFDQNRISRERDVILREMEEVEGQTEEVIFDHLHATAFQYTPLGRTILGPAQNIKTITKDHLQNYIQTHYTAPRMVIAASGAVKHEDIVEQVKKLFTKLSSDPTTASQLVEKEPAIFTGSEVRILDVDVPLAQFAVAFEGASWKDPDSIALMVMQALLGSWNKTAGAGKHMGSELAQRVGINEVAESMMAFNTNYKDTGLFGVYAVAKPDCLDDLSYAIMYETTKLAYRVSEDDVTRARNQLKSSLLLHIDGTSPVAEDIGRQLLTYGRRIPFAELFARIDAVDASTIKRVANRFIYDKDIAIAAIGPIQRLPDYNWFRRRTYWNRY >OIV98624 pep chromosome:LupAngTanjil_v1.0:LG13:16475280:16477331:-1 gene:TanjilG_12747 transcript:OIV98624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKFLQLFSILLLLLLIPVSSQIDQVLYTGFKDIGANNLTLNGVAEIEKNGILRLTNDTSRLIGHAFFPTPFEFKNSTTGKVLSFSTSFALAIVPEYPKLGGHGLAFAIVPSKDLKSLPSQYLGLLNSSDIGNFSNHLFAVEFDTVQDFEFGDINDNHVGIDINSLQSNASVTAAYYIDDSTKQNLTLKSGKTILAWVDYDSSQSLISVTISPTSSKPKKPILSYVMDLSTIFHDTMYVGFSASTGLLASSHYIMGWSFKMNGPAPTLDLSSLPQLPGPKKKQTPMIIGVSVSVSVIALCVVFIGIYLFWKIKNADVIEPWELVIGPHRYSYQELKKATKGFKDKELLGQGGFGKVFKGTLPNSRTEVAVKRVSHESKQGLREFVSEIASIGRLRHRNLVQLLGWCRRRGDLLLVYDFMANGSLDKYLFDDPKIVLSWGQRFKIIKDVASALLYLHEGYEQVVIHRDVKASNVLLDFELNGRLGDFGLARLYEHGANPSTTRVVGTLGYLAPELPRTGRATTGSDVFAFGALLLEVACGRRPIEPKALQEELVLVDLIWERYKEGRILDVVDPKLHGNFDENEVLVVIKLGMICSNDDPNVRPSMRQVMRYLDGEVELPHEFRKPGDVTHHEGFDEFLHSLASSSFDNMSSGSYFGNNREMDKSFPSFVNSPHSLLHDRGETR >OIV99310 pep chromosome:LupAngTanjil_v1.0:LG13:2758424:2760417:1 gene:TanjilG_17120 transcript:OIV99310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSLFSFLYVAPLFSFLSLSSIISSSAQRPPSPGYYLTSKISTVNFDQGFRNLWGPQHQRLDQGSLTIWLDSTSGSGFKSLNSYRSGYFGAAIKLQPGYTAGVITSIYLSNNQDHPGNHDEIDIEFLGTTPGKPYVLQTNVYIRGSGDGNIIGREMKFHLWFDPTQDFHNYAILWKPSEIIFFVDDVPIRRYPRKSDATYPTRPMYVYGSVWDASSWATENGKYKADYRYQPFIGRYRNFRLQGCTIQSPNSCKPPSTSPYGYDSLSPQQFASMQWAQNNYLVYNYCHDPRRDHTLIPEC >OIV98810 pep chromosome:LupAngTanjil_v1.0:LG13:12167177:12167491:-1 gene:TanjilG_25056 transcript:OIV98810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLACLVCHSVDAPSPSHSFRSYSVSSAENEGRCNAITACLNKKLSLPPPTRHSFIAPSSSKVAPQPSVSNNNGIPGTPRLVRSRAVTRDRVRDWNFDQVPMES >OIV98823 pep chromosome:LupAngTanjil_v1.0:LG13:12490708:12492365:-1 gene:TanjilG_25069 transcript:OIV98823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLVANEDFQHILRVLNTNVDGKQKIMFALTSIKGIGRRLANIACKKADVDMNKRAGELTAAELDNIMTVIANPRQFKIPDWFLNRKKDYKDGKFSQVVSNALDMKLRDDLERLKKIRNHRGLRHYWGLRVRGQHTKTTGRRGKTVGVSKKR >OIV98557 pep chromosome:LupAngTanjil_v1.0:LG13:17328574:17336867:-1 gene:TanjilG_12143 transcript:OIV98557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTIHHPYIGTCASSVELLYGKSFKLLNLHNTNKKNKKKVRISCSYSVPDSTCEVVGDGVVYKEDEEGKRVLRVGIICGGPSSERGISLNSARSLLHHIQGDDLQVSCYYIDCNLNAYAISSAQVYSNTPADFDYKLESLAQSFQTLADLAEHLATAVDIVFPVIHGKFGEDGDIQELLERYNVPFVGTGSNECHQAFDKYKASLELSKLGFITVPNFLVQDYGTSKSELSEWFNRHQLDPDSGKVVIKPTIGGSSIGVRVAYGVADSIVKAEEIISEGIDNRVLIEMFLEGGSEFTAIVLDVGTGSDHRPVVLLPTEVELQFCGANDLKENDAIFNYRRKYLPTSQVAYHTPPRFPLDAIENIRKGASVLFQQLGLRDFARIDGWFLPNSSCTLSSSESDFGSTESGTILFTDINLISGMEQTSFLFQQASKVGFSHTNILRSIIHHACLRFPNIASVSGLPGLVPSRSKSSKLNKSFPHRKGARKVFVIFGGDTSERQVSLMSGTNVWLNLQAFDDLEVIPCLLSPTSEYASSVDAGKDPDDVRSRTVWSLPYSLVLRHTTEEVLDACVEAIEPDRAVLTSDLRKKVMNDLMEGLKDHNWFKGFDVVDEVPMRFSLEQWIKLAKEVQATVFLAVHGGIGEDGTLQSLLDAEGVPYTGPGSMASKLCMDKVATSVALKHLANSGVLTINKDVRRKDDLVNKLINDDIWHELTQKLQCETLCVKPSKDGCSTGVARLCCSKDLAIYVKALEDCLLRIPPNSLSKAHGMIEMPNPPPELVIFEPFIETDEIIVTSKFKNGNGHGLTWKGHNRWVEITVGVVGKLGSMQSLSPSVTVKETGDILSLEEKFQGGTGINLTPPPSSIMSAKALEICKQNIELIANTLQLEGFSRIDAFVNVDSGEVLIIEVNTVPGMTPSTVLIHQALAEKPPLYPHQFFRTLLDLAHERNM >OIV99616 pep chromosome:LupAngTanjil_v1.0:LG13:646153:649047:1 gene:TanjilG_17426 transcript:OIV99616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTLHEATNVVIIRLNNLLEPENASKIIGHILVNFQDIELIRLASSPDYVLHSLILRLKTLLGLSSPNPIPVPVPRPRVIAANPFSRFNGNHPSLPGFTNNPIRPKFGSFVSPDHGSVSKSCLSPRVVMGGECDLDEQEMRDYISFLRDGDLVDPKQLELGHGVGDSHFRRTYSTSDVCVGSEQIGFKPCLYFARGFCKNGRNCKFLHSDLTKSVGSFVGSPPWSEGLEQREEFMRLKGEQQQRLMAMAAEASPSSHDKYIHFLMQRQHDPSSNQIYLTFPSESTFKDQDVSEYFSTFGPVKEVRIPYQKKRMFGFVTFVHPETVRFILSKGNPHYICDSRVLVKPYKAKGDLLDKRQQHQQQQLEREEFSSCLNSRGLESHNSKEPNDFHLGARMFYDPHEISLRKLTNLHLSDLRNNPIRRGASMPLPHNHDHVGNLRLSSDNINGDITGFSGNLVSSISLEPQQEVDPAGIHDKDNGNGNESTDAEIEDIHYIVESVLPDSLSASPTKAAGDDLSDFSTFSTSLSSSLNKLKLHTTFGNMTSH >OIV99170 pep chromosome:LupAngTanjil_v1.0:LG13:4242713:4243816:-1 gene:TanjilG_19666 transcript:OIV99170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANFIILRSNKAVLGLGWLCVMMLVHQGAAGYEFIVGGQKGWSVPSDTNFNPFNQWAEKSRFQIGDSIVFNYQSGQDSVLYVKSEDYATCNTGSPYAKFSDGHTVIKLIHSGPHFFISGNKNNCLKNEKVTVIVLANRNNQNSSNTNQTNTASPPSPQSSSAPPPAGIVESNPTPAPVSVSYHHHNAATSILINSAASIGTFMASLLLILSL >OIV98808 pep chromosome:LupAngTanjil_v1.0:LG13:12119172:12122497:-1 gene:TanjilG_25054 transcript:OIV98808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDNKDQDFFSHKFPTTSQVVEELKELWSMALPITAMNMLVFVRAVVSVLFLGWLGSLELAGGALSLGFTNITGYSVLVGLASGLEPVCSQAYGSKNYGLLSLSLQRMVLILLVAIIPISLLWVNLEKIMFFMGQDIEITRMASIYCFYSLPDLLTNTLLQPLRVFLRSQKVTKPMMYCSLVAVAFHVPLNYLLVIVMGLGVPGVAMASVMTNLNMLVLMAGYVWVWRKNEVVFEWGCWGGGFGVVCDGLGKLMKLAVPSCMMICLEWWWYEIVTVMAGYLSNPTMAVAATGILIQTTSMMYTVPMALAACVSARVGNELGAGKPYKAKLAAMVALGCAFGLGFINVTWTAILGHRWAGLFTRDESVKALVSSVMPLMGLCELGNYPQTTGCGILRGTARPVIGANINLGSFYMVGTPVAMGLAFWFKIGFSGLWFGLLSAQLACVMSILYVVMASTDWEAEALKAEKLTMVEMGSCNEHKIMECEKDEESKMLLVNENKNKDDIC >OIV98671 pep chromosome:LupAngTanjil_v1.0:LG13:15340658:15341104:-1 gene:TanjilG_23963 transcript:OIV98671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNENIPANQPDSHTIYENSTYADEGDEVVETVLGWIMKLFPGGPSRRRTSKLL >OIV99328 pep chromosome:LupAngTanjil_v1.0:LG13:2600064:2601956:-1 gene:TanjilG_17138 transcript:OIV99328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEISSIQLGVIGALFLSVASSVSIVICNKALMSNLGFPFATTLTSWHLMVTFCTLYVAQRFNLFEAKPVDLKTIMLFGILNGVSIGFLNLSLGFNSIGFYQMTKLAIIPFTVMLETIFLKKQFSSKIKFSLFLLLVGVGIASITDLQLNFLGTILSLLAIITTCVGQILTNTIQKKLNVSSTQLLYQSSPFQAAILFVSAVSVNFSTFLVIGKTSPVTYQVLGHLKTCLVLAFGFTLLHDPFTERNLIGILVAIFGMGLYSYFCTNENKKKHSIDLTLASQVKDKDNSPFLAGKNMGNQEKESHEPKKLSKESLV >OIV98801 pep chromosome:LupAngTanjil_v1.0:LG13:11998914:11999372:1 gene:TanjilG_25047 transcript:OIV98801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESEDFTFPRINDTSSYNIDSPRLWNLSPTSSPNPCHAKQNDCLGEKLITYGQKKSFSCVEIGRKIIGLDHDQEDKMDLLWEDFNEQLSSKNGSDTEFRSWAQALTVAKTKSKTNALVPTKNKPSMVVIVKVLKKLFSINNNFHGRQRKRVR >OIV99203 pep chromosome:LupAngTanjil_v1.0:LG13:3848426:3851106:1 gene:TanjilG_19699 transcript:OIV99203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQLLYSAIFGEMLLILTLLFKTPLRKLVIVSLDRLKRGRGPIVVTTVGATLVVVLSSSLYSIAKIQQRTVEAGILNPTDQVLMSGHILEASLMGFVLFLALMIDRLHHYIRELRLLRKTMEAVKKQSRSFEDGKNSHSEEHKALTEEIATLKAKVIELESACEVKGSKAMALDSEVEALRKQSEGFLMEYDRLVADNQHLRSQLEAIDQSSTHLDNRKNI >OIV99132 pep chromosome:LupAngTanjil_v1.0:LG13:4660266:4671607:1 gene:TanjilG_22712 transcript:OIV99132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGAVVGVNYESKTTSTITIKGILSLLMASVGENYDDSKRVISLGMGDPTIYTCFHTTSVAEEAVADTLQSHKFHGYAPTAGLLQARNAIAEYLSRDLPYQLSSDDVFITCGCTQAIDVSIAMLARPGANILLPRPGFPLYELSAAFRHVEVRHYDLLPEKNWEADLDAIEALADKNTVALVIINPGNPCGNIAETAKRVGTIVIADEVYGHLAFGANPFVPMGVFGSIVPVLTLGSLSKRWIVPGWRLGWFVTNDPSGTYRKPKVVEVIKKYFDLLGGPATFIQAAVPRIISQTEDIFFQKTIDNLKHTLDICCQEIDDIPCISCPYKPEGSMAMMVKLNVSLLEDISDDIDFSFKLAKEESVIILPGTAVGLKDWLRITFAADQSALREVQSSAAPKSLDRVPQMALLKASLSKILLYSNRARFVPSFSPLTYFSLPPMHSFTISASFSTEHKPQSRWRPMCLYHTQGKCTMMDDLTHLEKFNHDCSRELQVNTSDLNKICSQNLDFFLVLDLEGKVEILEFPVLMISAKTMQVEDIFHRFVRPTKMSEQRINEYIEGKYGKFGVDRVWHDTAIPFQEVIQQFEAWLTQHQLWMGGELNRAAFVTCGNWDLKTKVPQQCEVSRMKLPPYFMEWINLKDAYLNFYSRRATGMTTMMRELKIPMYGSHHLGIDDTKNIARVLQHMLVDGALIQITARRNPKSLADVNFLFKNRIR >OIV99011 pep chromosome:LupAngTanjil_v1.0:LG13:7497029:7498956:1 gene:TanjilG_29414 transcript:OIV99011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASAPVKVYGPAMSTAVSRVLATLIEKDIQFQLVPLNMSKGEHKKPDFLKIQPFGQVPAFQDDDISLFESRAISRYVCEKHVDKGNKGLYGTNPLAKASIDQWLEAEGQSFSPPSSTLVFQLAFAPRMKIKQDEGLIKLNQEKLAKVLDVYDKRLGETRFLAGDEFSLADLSHLPNTQYLLGTETGELFTSDARKNVSRWWNEISTRDSWKKVVELQRGA >OIV99572 pep chromosome:LupAngTanjil_v1.0:LG13:945890:947885:-1 gene:TanjilG_17382 transcript:OIV99572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIQDHPDKASVNKVPFTGYSGPYSPSRGLDFLNVGYLIAHKCHHSARRCRPIFASTTSDGVDPYDSDDIDNNKSQKDEIGGVNSERLRDSLEKIVGIDDSKFNGFDLATIIRKKYGRSYDVQLIKKEFMGRNLLALNVMWKYMEQRSFPLTEEEYILRLDDVANTLKCWGAVSHIRNSLAKSKERPRIGKAVSIFIDMDESGARANEWIYK >OIV99607 pep chromosome:LupAngTanjil_v1.0:LG13:717876:720939:-1 gene:TanjilG_17417 transcript:OIV99607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGRMHRKSESEVTSMEQSPPRSPPRRPLYYVQSPSNHDVEKMSYGSSPAASPNHHYYLSSPIHHSRESSTSRFSASLKNPSSAPWNKLLHPQPHTPSNHHNHNDEDDDDDDVVQDSGHNIRLYFCFLLLFMLLFTLFSLILWGASKSFNPNVIVKNIVFEKVNVQSGNDGSGVPTDMMSLNSTVRILYRNRGTFFGVHVTSTPFLLSYYQLTLASGQMQKFYQSRKSQRKLEVMVLGYQVPLYGGVSVLGNANKENMDSVTLPLNLTFKLAFKPLIARVLAAAATKRAARSIPKQPSAKLNHHTKPFTLGLLMANHMKQGYAYVIGTRVIPPSNAERLGKNGNVTAIKNVNPPNNIRKHVLINLGHLLFLMLVYFISRLSKTSMA >OIV98746 pep chromosome:LupAngTanjil_v1.0:LG13:14251438:14258453:1 gene:TanjilG_24917 transcript:OIV98746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLFPKKPNKHISKLYLITLISILCTFSYLLGLWHNSPTNAISSKDFTAANGGGDCPHLNSTSKSTVQSSPTKPITNLDFSAHHHLSDPLPTTARAFNAPPCNVSLSEYTPCEDVQRSLKFPRENLIYRERHCPEANEVLKCRIPAPYGYRIPFRWPESRDSVWYANVPHKELTIEKKNQNWVRYEGERLKFPGGGTMFPRGASAYIDDIGALINLKDGSIRTALDTGCGVASWGAYLLSRDILAMSFAPRDTHEAQVQFALERGVPALIGVIASIRLPYPSRAFDIAHCSRCLIPWGQNEGIYLTEVDRVLRPGGYWILSGPPINWQRHWKGWERTTESLKVEQNAIESVAKSLCWKKLKQREDLAIWQKPKNHIHCKKSQRIFKNRPFCEKQEPDKAWYTKMDTCLTPLPKVKGIRDVSGGKLANWPQRLTSIPPRISSGSLEGITAEIFKENTDLWKKRVAYYKTVDYQLAERGRYRNLLDMNAYLGGFAAALVDDPVWVMNMVPVESEVNTLGAIYERGLIGTYQNWCEAMSTYPRTYDFIHGDSVFSLYQNRCKMEDILLEMDRILRPQGSVILRDDVDVLLKVKRIADGLQWDTRITDHENGPLQREKILLAVKQYWTAQPTDQD >OIV99193 pep chromosome:LupAngTanjil_v1.0:LG13:3992702:3997329:1 gene:TanjilG_19689 transcript:OIV99193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVSGKWIRALVGLKKSEKSDSSEKDRNKVSKFRHRRKNSIEIDNCKLQNEFGDGSMPPIGDRDAHANFDTHENLDAHYSPSTSQQEWAAANNQQITREEWAATCIQTAFRGFLARRALRALKGLVRLQALVRGHAVRKQAAITLRCMQALVRVQARFRARRVRLALESETSQQKLHQQLVNKAHVRETEDGWCDSVGSVEEIQAKILKRQEAAAKRERAMAYALAHQWQAGSRQQAIASGFEPDKSCWGWNWLERWMAERPWENRFLDIDTSDRVTRDENGGTDGKNGIRLQFRFANTKPIPSNNHANHANQRASPSLSDGCDSLPSISAGFLEPSSIQSVKPKYNANVQNSVEVANSRPEIRSRSHSNPKERTVQLDKQAKKRLSLPNNGGGPTRTNVKGATGTQKPIRDKPKLNGGENVKVTKSVTHSTIM >OIV99608 pep chromosome:LupAngTanjil_v1.0:LG13:710666:711064:1 gene:TanjilG_17418 transcript:OIV99608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKWQKMAARKRKTISYKVDHRMHSSEVNKGHFVVYSMDHKRFVVPLKFLSTIVFRELLKWSEEEFGLPSNGPIMLPCDSVFLEYVISLVQEHVPVDVEKALITSMVVCHNEASSCYGLSQSHEQTIIYGF >OIV98529 pep chromosome:LupAngTanjil_v1.0:LG13:17729295:17730341:-1 gene:TanjilG_12115 transcript:OIV98529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQLRFPPLSPHFYHSLSQQNNNNNNTLSLPFPSSSCSSQKFHLHNNNNPSRLSSQSFKLHSSSAAGGNNGGGTSGGNNSGGDHNNDENSNDPSSNLSSFGILGLFVEGWRSRVGADPQFPFKVLMEELVGVPACIVGDMASRPNFGFNELDLVLSTLVVGSIISFTVMYLLAPTPSISSTTLPSIFATSPKSHMFEPGLFSLMDRFGTLVYKGSILAVAGLAAGLVGTLLSNGLTKMRVKLEENVGPTKKSPPIVLNALTWAAHMGISTNLRYQSLNGIEFVLERGLSPSVFKSCVLVLRVLNNVLGGMSFVVLARLTGAQSVGEQDKKVVQVGGPEENHNNQSTSN >OIV99298 pep chromosome:LupAngTanjil_v1.0:LG13:2866320:2877771:-1 gene:TanjilG_17108 transcript:OIV99298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQPNLFPIGNFLGNPFIFNGDDLSDGIEGSRVFLLLPFFLLSQGGVMDFSKVGEKILSSVRSARSMGLLPPPSDRPEVPARAAAAAAVARALAGLPPHQRYSLSSSSEELSSIYGSRPHGEVVEELEDGFYEEEFDPIRHVLELLPADENELTYFEKQATLRLTQLDRVAERLSRHVMEHHEVMVKGMNLVRELEKDLRIANVICMNGRRHLTSSMNEVSRDLIVNSYSKKKQALLDVLPILTELRRALDMQSILESLVEEGNYCKAFQVLSEYLQILDSLSQLSLIQDMSRGVEVWLGRTLQKLDALLVGVCQEFKEDGYITVIDAYALIGDTTGLAEKIQSFFMQEVISETHSVLKAVVHEDKDGLSQNSRLTYSDLCHKIPDSKFRQCLLRTLAVIFDLMCSYHGIMDFQLERKDSAAQTTDKCNEVISCSTGQEVDSDVRACNNSMTTAGDVIHDLSSREESTTVSPLTETTGSSHSDSHNPVNVTRKEDSPASSIESPWYHLRKEATTFVSQTLQRGRRNLWHLSASRVSVLLSSAAACSVSIHQFLKNYEDLNAFILAGEAFCGFEAVEFRQKLKVVCENYFIAFHRQNVHALKMVLEKETWLTLPPDTVQIVSFVGLIGDGAPLISLSSGKSVNVSAIHSIKSMDMVHTSPGRSGFSHWIKSGNPFLQKATISKEGHGYSQPNGSIYGEFNGGSSNNFHGDKVSPRKNDSDHMNGANSVSEDENEDLLADFIDEDSQLPSRISKPSHSRSNSSRGDDEESTIQTGSSLCLLRSMDKYARLMQKLEVVNVEFFKGICQLFEIFFHHIYETFCQQKTSSSAKNSTNSLNYRLRTALSRINQDCEEWIKPQSSSPMSFGSSFVNADLTPASPPSTNFAHSFGLKERCVAVDTMSLVARILNRSKAHIQSMLLLSNSTVLEDFYSHMVCGT >OIV99177 pep chromosome:LupAngTanjil_v1.0:LG13:4122046:4126570:1 gene:TanjilG_19673 transcript:OIV99177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAILRYTCVTCAATPMSPESPTPPPTKIILPKKKPMKWSTGVAPGDYGGPPPTTSTTTKLRKYWGGDDEDPLASDDFMWNKDFMGRFKKLIEDPKPQIKPQKEKPSGFLSLNRAMSLDSMEVDLSKELSAPSTRNLHQKIETTIDPTDVTEAKRVRWKLAPTRREQDKWDRATKAATGGSEVMFRELRRSREDPKVLAAKAEEQYAKIKRKLQLVTLGIGGVGLVSAYVSYSPEIAASFGVGFLGSLAYIRMLSTSVDSLRTDGPRALVKGAIGQPRLLVPVVLVMVYNRWNGILVPEYGFMHLELIPMLVGFFTYKIATFSQAIENAITVPVEDE >OIV99078 pep chromosome:LupAngTanjil_v1.0:LG13:5649470:5655825:1 gene:TanjilG_32337 transcript:OIV99078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGAPRNRNPSSHSVSTSFSQSSRSNATHQSKVSTFAQIERRGRASSSSTSYSNINTQHYADADSDSNFVPLVGTCPYMCPEGERIQRENLRDLAVFERLNGNPRKSSPGLAVKKFSGGGCHILVSCNPLPSLMNFFFLSTKGKPDGDLQNTFPIRTISSKCASDVRPLPVLEDTLKYLLSFLESKEKPFEVVHDFVFDRTRSIRQDLTMQNIVNTKAIYMYEGMVKFHVVSHHKLRHSMSDPNIASMHHLNMEQLTKTLASLFSLYEANRNSNYVHENEAEFHSLNVLLHLGSYSKPMGEPLSLWFSRLSPLVLKSKEMRFARRILRSFRLGNYKDFFCTAAAQASYLQYCIMEPYINEVRALALSCINFGGYKLHPYPLFELSKQLMIKESDLETFCKSCGLETCTDESGNKLLPTKQDTFSHPKGGFQIYSFLGFQEYESQF >OIV98670 pep chromosome:LupAngTanjil_v1.0:LG13:15309952:15312089:1 gene:TanjilG_23962 transcript:OIV98670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHNICLNMPPMVQTIDPEDFFTRRCIWVNGPVIVGAGPSGLAVSACLKDQGVPFIVLERANCIASLWQNRTYNRLKLHLPKQFCQLPNFPFPEDFPEYPSKFQFIKYLESYANHFNINPQFNETVQSAKYDETFGLWRIKTIRKSHLGNCLNEYDYICRWLVVATGENAEKVVPEFEGLEEFGGNVMHACDYKSGQSYNGQKVLVVGCGNSGMEVSLDLCNHNARPTLVVRSSVHVLPREVFGKSTFELAVKLMKHFPLKVVDKILLILARLILGNMEKYGLKRPSKGPLELKNTTGKTPVLDIGALKKIRSGKIKVVSGIKRFLPGKVEFVDDRVLQIDSVVLATGYRSNVPSWLKENEFFSKDGFPRNPFPNGWKGSAGLYAVGFTRTGLSGASLDAISVSHDISKSWKEETKQKKKSVATRHRRCISHF >OIV99557 pep chromosome:LupAngTanjil_v1.0:LG13:1032743:1039714:1 gene:TanjilG_17367 transcript:OIV99557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRVLLFLIILIFVALSFLSEVQSTSFKIVNKCRHTIWPGLLSGATSPPLPTTGFALNSGKSKTIKIPKSWSGRLWARTLCGKGSDGKFSCVTADCGSGKVECAGGGAKPPATLAELTLNGADGLDFYDVSLVDGYNLPMLIVAKGGTRGGCSPTGCLVELNGACPKDLRVVRGNGSRESVACRSACEAFGDPRYCCSEAYSTPDTCGPSVYSQFFKFACPRAYSYAYDDKTSTYTCASANYLIIFCPLPYTSEKLLEVRKDGAPLPLVNKTMMYLSHSGGSSSTGVIAATFTFVNRCDYTVWPGILANAGSPPLDSTGFELPKLTSRTFQPPTAWSGRFWARTGCNFDGSGSGSCLTGDCGSGQQECNGAGAAPPVTLAEFTLGTIGQDFYDVSLVDGYNLPMIVEGSGGSGSCASTGCTSDLNLQCPAELKVEGGGACKSACEAFGSPEYCCSGAYGSPGTCRPSIYSQMFKAACPKSYSYAYDDATSTFTCSGADYTITFCPSSPSQKSSRDPNPMTQTTPQGSGIGVVGTGTETGTGGYTGSVGTDTGVGYAGIGTGSGTGSGDAMLADGSYLAGLAMGDSSRTIITLSSSFIYFSSLLIILIFI >OIV98795 pep chromosome:LupAngTanjil_v1.0:LG13:11800755:11804505:-1 gene:TanjilG_25041 transcript:OIV98795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTPTLSGVSGPFSGITKLCKGLAVVLISGHILVQLFPSVVTYLALIPARTIPFAWNLITAGYIEQSVYGVVVSTFGLLFIGKLLQPIWGSREFLKFIFVVNFLTSVCLFITAIALYYISRKEIYLYMPLSGFHGVISGFLVGIKQIVPDQELPLIKIKTKWLPSIAILLCVAISFWRLEAAAYLPTIISGTYISWIYLRYWQTKPETKLRGDPSEDFAFSTFFPEFLRPVIDPIASIFHRILCGRSDASNDAHGYALGSEALPGSDSIEASRRRERGARALEERLAAERLAAARSELQRDASENV >OIV99425 pep chromosome:LupAngTanjil_v1.0:LG13:1867616:1876231:-1 gene:TanjilG_17235 transcript:OIV99425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKPQVYHANQTLHHFPPSPFIHGILSNTRASNLPLWSKKQVYREKHKGFLFVPRNIQPNPTSASSDTSTTTTAADKSTKKKSTLKVKTIVKVKITESGFFSSLRLDRGIDDITDLLGKSLLLELVSSDLDPDTGLEKETVKAYAHKAGEGEKSVKYETDFEIPNDFGEIGAVLVENEHHKEMFLESIILNGLLQGPIHFDCASWVHSKFDSPSKRVFFTNKCFLPSDTPSGLTRLRAEELSNLRGNGKGERKSFERIYDYDIYNDIGDPDRGLDLQRPPLGSKGLPYPRRCRTGRPHSEADPLSEKRNGKFYVPRDECFSEVKELTFSTKSLHCVLLILLPSLGKIIRDGDLPFPFFHEIDSLFNTGLDLPPDELEKGFLEAIMPRLVRNISGDQGNMLRFETPETMNRDRFFWFRDEEFARQTIAGLNPCSIKLVKEWPLRSKLDPEKYGPAESAITSEIINKEIGGILPVEKAIKEKKLFMLDYHDLLLPYVSKIRKLKGKTLYGSRTLFFLTPDGTLRPLAIELTRPPMDGKGQWKQVFTPTWHSTSVWLWRIAKAHVLSHDSGYHQLVSHWLRTHCVTEPYVIATNRQLSVMHPIYRLLHPHFRYTIEINALAREALINAGGTIESAFSPGKYSMEICSAAYDLEWRFDMQALPADLIMRGMAEKDPFAPHGLRLTIEDYPYANDGLLLWDIIKQWVTDYVNHYYPEPSLVALDEELHAWWTEIRTVGHADKKDEPWWPVLQTPKDLIGILNIIIWVTSGYHAAVNFGQYAYGGYFPNRPTIARTKVPSEDPTEEEWKKFIAKPERTLLKCFPSQLQATTVMAVLDILSTHSPDEEYIGEKMEPSWSEDPVVKDAFEQFRERLKKLERLIDARNENANLKNRNGAGIMPYELLKPFSKPGVTGMGVPCSISI >OIV98848 pep chromosome:LupAngTanjil_v1.0:LG13:10571621:10573572:-1 gene:TanjilG_10826 transcript:OIV98848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRLNGPANLLIVSDLDFTMVDHDDPENVALLRFNALWEAYYRHNSLLVHSTGRSPTIYRDLRKQKPLLTPDITIMSVGTEITYGESMVPVPDERWKRYLDHNWNRETVMEETAKFPELTLQSEIEQGPHKVSFHLEKENASKVMQALSKYLEKRGLDVKIIYSFGIALDILPQAAGKGQALEYLLEKLKAGGLRPLNTLVCGDSENDAELFTVPEVYGVLVSNAQEKLVEWYAENVRGNAQIIHATERCADGIMQAIVKFSLGPNVSPRDISDSMFKRKNLSPGHEVVMFYLFYERWRRGEVENSEQYIQMLRSIFHPTGKFVQPSGADQPMHQTIDTLVNLFGDKRGIDFHVWVDRVSFVEVSLGSWLAKFDKWESSGEICLVI >OIV98783 pep chromosome:LupAngTanjil_v1.0:LG13:13371651:13373809:-1 gene:TanjilG_20507 transcript:OIV98783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRGKWLRNFFTGGKKKDKEKSLTNLSVSIITEKPTTPISTTTKEKRRWSFRRSSATATASKELSFAEENEQKKHAQPANVVICMNDDSNEIFTSLEEAAAIKIQSVFRSYLARKALCALRGLVKLQALVRGHLVRKQAMETLRCMQALVIAQTRARAQRARMVSESKPNQNQSTYRKSTENDLFKHIYNEMDIGLEENIKIVEMDVCETKANSRSRNSNANHELSEHRIATHYSQNVSYSNEENYKSSPAPSALTDLSPRGCNANFDECSFSTTQNSPYYYSDVSRAEDYNPYSAFPRPPYAESMAYDYPLYPNYMANTESSRAKLRSHSAPKQRPESYERQPSRRRISVEGRNVPRPVRMQRSSSHVGVTAQNYQYPWSIKLDKSTVSLKDSECGSTSTMLTNSNYCRSLFA >OIV99116 pep chromosome:LupAngTanjil_v1.0:LG13:4860275:4865335:-1 gene:TanjilG_22696 transcript:OIV99116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANRNLEKMASIDAQLRQLAPAKVSEDDKLVEYDALLLDRFLDILQDLHGEDMKETVQEIYELSAEYEGKHDPKKLEELGNLITSLDAGDSIVFAKAFSHMLNLANLAEEVQIAHRRRNKLKKGDFADENNATTESDIEETFKRLVGELKKSPQEVFDALKNQTVDLVLTAHPTQSIRRSLLQKHGRIRNNLTQLYAKDITPDDKQELDEALQREIQAAFRTDEIRRTPPTPQDEMRAGMSYFHETIWKGVPQFLRRVDTALKNIGINERVPYNAPLIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMASNLYYSMIDDLMFELSMWRCNDELRIRADEISRSSKKDAVAKHYIEFWKIIPSSEPYRVILGEVRDRLYRTRERSRHLLAQGYSDIPEEETFTNVEEFLEPLELCYRSLCVCGDRAIADGTLLDFLRQVSTFGLSLVRLDIRQESDRHTDVLDAITKHLGIGSYQEWSEEKRQQWLLSELSGKRPLFGPDLPQTEEIKDVLDTLHVIAELPPDNFGAYIISMATAPSDVLAVELLQRECRIKHPLRVVPLFEKLADLESAPAALARLFSIDWYRNRINGKQEVMIGYSDSGKDAGRFSAAWQLYKAQEELIEVAKKFGVKLTMFHGRGGTVGRGGGPTHLAILSQPPETIHGSLRVTVQGEVIEQSFGEQHLCFRTLQRYTAATLEHGMHPPISPKPEWRALMDQMAVIATEEYRSIVFQEPRFVEYFRLATPELEYGRMNIGSRPAKRRPTGGIETLRAIPWIFAWTQTRFHLPVWLGFGAAFRQVITKDVRNLNMLQEMYNQWPFFRVTIDLVEMVFAKGDPGIAALYDRLLVSEDLWSFGEQLRTKFEETKKLLLQVAAHKDLLEGDPYLKQRLRLRDSYITTLNVCQAYTLKRIRDPNYDVKLRPHISKECIEISKAADELVTLNPTSEYAPGLEDTLILTMKGIAAGLQNTG >OIV98611 pep chromosome:LupAngTanjil_v1.0:LG13:16915367:16919415:1 gene:TanjilG_23050 transcript:OIV98611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCQTWPEPVVRVQSLAESGLRLIPECYIKPKSQRPTKMNSTFVPNHDPINIPIIDLQGLYGEDQTLREEVLGRVAEACREWGFFQVVNHGVSHELMKSARELWREFFNQPLEEKEVYANSPSTYEGYGSRLGVKKGAILDWSDYFFLHYKPLSLRNQAKWPSIPQSLRKVIGEYSEEVIKLGGRILKIMSKNLGLKEDHLIKAFGGENEIGGCLRVNFYPKCPQPDLTLGLSSHSDPGGMTIILPDDFVSGLQVWRENEWVTVKPFPNAFIINIGDQIQVMSNAIYKSVEHRVIVNSNKDRVSLAFFYNPKSDLLIEPSKELVTKEKPSLYSPMTYDEYRLYIRTHGPCGKAQVESLISKK >OIV99436 pep chromosome:LupAngTanjil_v1.0:LG13:1778051:1792300:-1 gene:TanjilG_17246 transcript:OIV99436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHNSKKKKKKGGSGRSSGRTPSKGKDHVSPFTVDDDNDLSEEITALCAIFEDDCNIVSGSQIAIKLRPYFHDMGFYDSHVSALLLVRFLPGYPFKCPKLHITPENGLSQSHADQLLSLLLDQANLNAREGRVMIFNLVEAAQEFLSGIQPIAKSTDSKVLHSVNEGNEELLLKDIASSNRNRSFVYGFIDLFSGYGESWNWGFGIDESPGKSSPLPPSKLDASKRSLEAREKKSGSKEKPSILQELPAKLETVGEVSEDDNNSTSLTNSSRHLVEDFVENGNEDSIADDYATEDNENMYASESSESSSSASLTHHQASQTVEKDLMMVHLLRLACASKGTLAESLPQIATELYNLGILSDRAHDMASKPPSIFNKTFDRLFQKRLASSGVSQFWKPSSDLGGSNTVPNSSRYLNDFEEIRPLGRGGFGHVVLCKNKLDGRQYAVKKIRLKDKSMPDRILREVATLSRLQHQHVVRYYQAWFETGVVDSYGDAAWGSATPLSSTFSYKAASTNDALGHENQLESTYLYIQMEYCPRTLHQMFESYNHFDKELAWHLFRQIVEGLAHIHGQGIIHRDLTPNNIFFDARNDIKIGDFGLAKFLKLEQLDQDMGHPVDTTALSIDGTGQVGTYFYTAPEIEQGWPKIDEKADMYSSGVVFFELWHPFQTAMERHVVLSDLKQKGELPPAWVAEFPEQESLLRKLMSSGPSDRPSATELLHNAFPPRMESELLDDILRTMQKSEDRSIYDKVLNAIFDEEMLSTKHTRQAGGLGSVGDNSSSIHCTEFETEVRDYVVDVNKEIFRQHCAKRLEILTVHLLDDSPQFNRNAVKLLTHGGDMLELCHELRLPFVNWIISNQKSTFKRYEISCVYRRAVGHSSPNRYLQGDFDIIGGTSALTEAEVIKVTRDIVTCFFHADSCDIHLNHGALLDAIWSWIGVKVEHRLKVAELLSMMSSLRPQSSERKSKWVVIRRQLLQELNLAEAMVNRLQTVGLRFCGAADLALPRLRGALPSDKRTCKALDELSELLSFLRIWRIDKNVYVDALMPPTESYHRDLFFQVYLRKENNPGSLSEGALLAVGGRYDYLLNQLWSRDHKGNPPSGVGTSLALETVIQNCPVDFKPIRNEASTNILVCSRGGGGLLVQRMELVADLWDENFKADFVPTRDPSLTEQYEYAYEHDIKFLVIITDAGVSQTGSVKVRHLELKKEKNVERENLIKFLLDAMATQFRNPSIWV >OIV99211 pep chromosome:LupAngTanjil_v1.0:LG13:3734294:3738678:1 gene:TanjilG_06516 transcript:OIV99211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQPNLGQLHNALDNMAQNTSESDIPRMDEFERSGSENQDGASGEDQEPSAKKKKRFHRHTQHQIQEMEAFFKEYPHPDDKQRKELGRELGLEPLQVKFWFQNKRTQMKTQHERHENTQLRNENDKLRAENMRYREAFSNATCPNCGGQTSIGEMSFDEHHLRFENARLREEIERISAIAAKYVGNPVVNYSNLSSSLPSRPLELGTGGSFGGQLAGIGGGDMYGGGGDLLRSINGPSETDKPIIIELAVAAMEELIGMAQMGEPLWFTTHTQDGTSIVLNEDEYLRSFPRGIGPKPTGFKSEASRETTVVIMNHVNLVEILMDVNQWSTVFSGIVSKAMTLEVLSTGVAGNCNGALQVMTAELQVPSPLVPTREYYFVRYCKQHMDGTWAVVDVSLDNLRPSPSPRCRRRPSGCLIQEMPNGYSRVTWVEHVEVVDRGVHNLYKQLVSSGNAFGAKRWVATLDRQCERLASAMATNIPTVDIGVITNQEGRKSMLKLAERMVMSFCGGVSASTTHTWTTLQTGSGSDDVRVMTRKSVDDPGRPPGIVLSAATSFWLQVPPKRVFDFLRDENSRNEWDILSNGGVVQEMAHIANGRETGNCVSLLRVNSANSSQGNMSILQESCSDSTCSFVIYAPVDIVAMNVVLNGGDPDYVALLPSGFAILPDGSATHGNGIGETGSGGSLLTVAFQILVDSVPTARLSLGSVATVNNLIACTVERIKAALSPELQD >OIV99514 pep chromosome:LupAngTanjil_v1.0:LG13:1268208:1271570:1 gene:TanjilG_17324 transcript:OIV99514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAIRKQASKLREQVARQQQAVLKQFGGGVYGGSDNVVIDDVELHLYQKLEKLYTSTRAAKHYQRDIVRGVEGYIVTGSKQVEIGTKLSEDSRKYGAENTCTSGNTLSRAALSYARARAQMEKERGNLLKALGTQVAEPLRAMVVGAPLEDARHLAQRYDRMRQEAEALGTEVSKRQAKVRETPGNADNAMKLEAAEAKLQDMKKNMGVLGKEAAAALAAVEAQQQRLTLQRLIATVEVERAYHQVVLQILDQLEGEMTSERQRIEAPPTPSVDNSMPPPPPYEEVNGVYASQSHNGSTDNMGYFLGEVLFPYSAVSEVELNLSVGDYVVVRKVTNKGWAEGECKGKAGWFPLSYIERREHVLASKVIEAF >OIV99707 pep chromosome:LupAngTanjil_v1.0:LG13:14847:15901:-1 gene:TanjilG_17517 transcript:OIV99707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKNGKKQQLMSSAPWRGEESEEFKDAKVKVTSQPDGTSTMHVPRSNHSNLHHDDSLDIDPQLRYSFQRNFQFLQRVFTIDTLVKPLPPAMAYNVSRNLNFFTRIFTQFFDPEGIANAQKSLGIGQEDKVRKVR >OIV99064 pep chromosome:LupAngTanjil_v1.0:LG13:5506159:5510003:1 gene:TanjilG_32323 transcript:OIV99064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVLVARTGRHQQRYENGYRLVAGYKSSDDSVDSNSDKILEVLLISSPSGPGLLFPKGGWENDETVEEAAAREAIEEAGVRGDLMDFLGYYEFRSKTHQDEFSPEGLCKAAMFTLFVKEELELWPEQSSRDRSWLAVSEALGCLRHAWMRDALESFCKWHKEKLMSGTR >OIV98902 pep chromosome:LupAngTanjil_v1.0:LG13:7678958:7681143:-1 gene:TanjilG_07337 transcript:OIV98902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQKISYCLNISRILCNQPFHCDGDDNGDRNGFELVEEPLKKMCVDTNESDDSSEDVNYNRRKFSPRIRLKMDVERVVNVFGQDDPMFDFGLALDELHIKPSVILVREVLFVMLKRINCENKGRCAKLAYKFFVWCSQQEGYRHTANSYNLIMQIFAECEELKAMWRLVDEMIENGVPATARTFNILICTCSEAGFARRLVVKFVKSKSFNYRPFKHSYNAILQCLLVLNQYKLIEWVYQQMLLDGFSSDILTYNIVMFAKYRLGKLYQFHRLLDEMNRHGLSPDFHTYNILLHVLGKGDKPLAALNLLSHMRETGMEPTVLHFTTLIDGLSRAGNLDACDYFFDEMIKNGCMPDVVAYTVMITGYVVAGEFQKAQEMFNEMISRGQIPNVFTYNSMIRGLCLAGKFDEAFSMLKEMEAKVKIEKRIAMWRAECADSELLDVILDMYECNVHLVRDVLLQTPICCAY >OIV99362 pep chromosome:LupAngTanjil_v1.0:LG13:2317565:2338399:1 gene:TanjilG_17172 transcript:OIV99362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRAKPEGITFTKEPYIEDSGPRRIESMKFSTLSESEIGKMAEVQVWKGQYYDQFKKPIPSGLLDPRMGPANKNVTCTTCHAQFKDCPGHYGYVKLSLPVFNVGYLAAIVEILKCICKRCGRILLNEDTHKERLKKMRSPRIDADMKIALAKKVIEKCNKTTKCLRCGYINGTVKKAVSAIRIVHDRSKCNDSSVEEYRSALSHTREPKATINSDTQILDPFQVLSLLKRMHEEDCELIYLAERPEKLIITNIAVPPIAIRPSVIMDGSQSNENDITERLKLIIQANAVLRQELDESSGSFKSLDGWEMLQAEVARFINSDVRGVPFNMQMQKSNTLAGLMQRLKGKQGRFRGNLSGKRVEFTGRTVISPDPNLRITEVAIPIHMATILSYPERVSRHNIEKLRQCVRNGPDKYPGARMLRHADGSSWSLHHSARRKRFADELRYGDIVDRHLEDGDIVLFNRQPSLHRMSIMCHRARIMPWRTLRFNESVCNPYNADFDGDEMNLHVPQTEEARTEAILLMGVQNNLCTPKNGEILVASTQDFLTSSFLITRKDNFYDRSTFSLICSYMGDGMDVVDLPTPAIIKPVELWSGKQLFSILLRPHANVRVYVNLTVKEKIYNTKRDDRKREWKTMCPNDGFVYFRNSELISGQLGKVTLGNGNKDGLFSVLLRDYKAHAAASCMNRLAKFSARWIGNHGFSIGIDDVQPKVELVNKKDKTISDGYGKCYGFIEAFNKGKLSLKPGCDAAQTLEHEVTGVLNGLRDEAGKICMQTLHWRNSPLIMSQCGSKGSPINISQMVACVGQQSVGGQRAPNGFMDRSLPHFPRNTKTPGAKGFVANSFYTGLSATEFFFHTMGGREGLVDTAVKTADTGYMSRRLMKSLEDLFLHYDCTVRSASNSIVQFCYGDDGMDPAGMEGKDGKPLNFERLFLKSKAICPSDGDDGILSSSDVYKVVQEKLSEVGMSKLLGNGVSEDDEMSEVASSAGFINSLQSFIKDKTEFTKDTSTKDTSVDLRKFIKRISGITRRQLEVFLDVCLSRYSSKKVESGTPIGAIGAHSIGEPGTQMTLKTFHFAGVASMNVTLGVPRIKEIINAAKNISTPIITAILDKDDNAHTARIVKGRIEKTNLGQVAKSIKVVMTSRSASVVITLDMERIQDAHLNIDANIVKESILQTKKIKLKQEHIKVLDIKKLEVVPQDADRSKIHFQLNYLKNLLPSVVVKGIKTAERVVISKEVDEETKADKFSLLVEGTGLRDVMGIEGVDGRRTVSNHIDEVEKGKVLGITRFGIQQMGKSVLMLASFERTSDHLFNASLHGRDDMVEGVSECIIMGIPIRIGTGIIKIKQRTECIKCYEQVEKFNHGWLCVPLLIFPEICRPLPDSTSPQMHASDSLDV >OIV99380 pep chromosome:LupAngTanjil_v1.0:LG13:2216097:2216619:-1 gene:TanjilG_17190 transcript:OIV99380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRWGELESGGTTIAFTPLHQHETDELTGVVHTPRSNQERQPVEVCFVYPDVDAAYKWAVENGAVAVSEPELKEWGQKVGYVRDPDGIVVRMGSHVNPPK >OIV99327 pep chromosome:LupAngTanjil_v1.0:LG13:2606230:2610071:-1 gene:TanjilG_17137 transcript:OIV99327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFGRNSSVRSSGDSLDGMLNDYVVGKSKMKNQKSASTKFVAALTCLQFCFAVYATFLLYYMGPSIDLRTNPDFTWATRIAQQWKQLMITPHVVGHYQQASSSSSSSSSLVREGFQPINPSLVCENEKIDFMQKKSNDVQMIKLKRELYNEVLDFQSKNFGTETLSELMAMKSKWDLHGPKKPKITVLLNHFKRKTLCAQIDSLLQQTLPFYHVWVLSFGSPNELSLKRIVESYNDSRISFISSSYDFKYYGRFQMALQTEADLVYIVDDDMIPGKKMLQILAHVAGTEKYKNSVLGSIGRILPFRQKDFTFPSYRKFRSKEAGLYLPDPAYDITIDKIVKVDFLSSSWFLSAELVKTLFIETPFTFSTGEDLHLSYQLQKYRNAGSYVLPVDPKDKETWGDSEHRLAYVSETTVIFKDIVQVRDDQWWKALSTGYVTQWAAMHPQKVDALFYAHTVDEVKALAPLLEKFRFTVGKKAYIVVSGGNFCPCENAAAALKWPMLVCKERRFKIFDLALGALSGVSNSEVPVVQAVYSSMKGLIKIHNPSVVITVADIDPNVKKALKMASETNSNGTTLVLLPRASVSKVLWMADLRSTALPNWNKMHISINIITQNRVNSLARLLKSLTNAYYLGDEVPITFNMDSKVDEATIKLLGSLEWPHGPKTLRRRIIQGGLIRAVSESWYPSSDDDFGLLLEDDIEVSPYYYLWIKYALLAYHYDPQVSLPELSSISLYTPRIVEVVKERPKWNATEFFKPIHPNTPYLHQLPCSWGAVFFPKHWREFYVYMNMRFTENAKENPVQIPKSRTNGWQASWKKFLIDMMYLRGYVSLYPNFPNQASFSTNHMEPGAHISAKDNVVKHNKQDFEVPLLKEDFRNFLPRMKMPPASKLPSLNLFNQPLSLRGLKAAGAKLGQDVLRCNNVTELVTVDHHTGLPQSCTKF >OIV99254 pep chromosome:LupAngTanjil_v1.0:LG13:3375098:3379572:1 gene:TanjilG_06559 transcript:OIV99254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAVSPLRSIDENQREIEIFSMGTSSDVDFGDLSDGNLLENINFDDIFVGINVDGDILPDLEMDPCMFAEFSISGGEDSEITSSVENTKVDSYQNSTINITSKKLEDEEKTTSSASGQGEEIVSKRDESVVVNKSSNDGVKGKKSSSKSKNNPQGKRKVKVDWTPDLHRRFVQAVEQLGVDKAVPSRILEIMGIDCLTRHNIASHLQKYRSHRKHMLAREAEAASWSQRRHLYGATGACRGEGKSEVSPWVAPTMGFPPMNPMPHFRPLHVWGHPPMDQSFMHMWPKHLPQSPSPPLPPRPWTPPPPPPDPSFWHVQHQRAPNTVTQGTPYFPQPLTRTIFGSPRVPAIAPHLYKADPGIGVTGPSLLFDFHPSKESIDAAIGDVITKPWLPLPLGLKAPALDSVLGELQRQGVPNIPPPSS >OIV98545 pep chromosome:LupAngTanjil_v1.0:LG13:17575381:17580309:-1 gene:TanjilG_12131 transcript:OIV98545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKGRFEGCNGGGYVETDPSGRYGRFREVLGRGATKTVYKAFDEVLGIEVAWNQVHLGNVSHSPEQLQRLYSEVHLLKHLDHESMMIFYGSWIDIICRTFNFITELFTSGTLREYRQKYKRVDMRALKNWSRQILSGLEYLHSNDPLIIHRDLKCDNIFVNGHIGQVKIGDLGLAAILRSSPLALSVLGTPEFMAPELYEEKYNELVDIYSFGMCMIEMLTFEFPYSECSNAAQIYKKVTSGKLPDAFYRIKDIEAHNFVAKCLANVSMRPSAKELLMDPFLATDQLQLSLLTQPLFINQTIVLNSNEAVNISLDNQKMSNDMMTITGSINKEDNILFLKVQISDKNDDQSMNEDTSCLSSMNSFKCINFKYCNEDEHGLTLALDGGSEHNKCTRSLTKQFCNTRIMNLCSCKCGGLSKQLQQSLLCKRRMFNIVEAIENIGFNHPQKD >OIV99677 pep chromosome:LupAngTanjil_v1.0:LG13:235142:237639:-1 gene:TanjilG_17487 transcript:OIV99677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANALKGGGNVILSLSSLLKKSTTTSTHRSFLPIPTPFHSKFPSFSISSSPITNSPSGFSSFCTSTQQPTPITLENENSSSSTNTIKDTAGLLDIRVGMIVKAWKHDEADSLYVEEVDIGENQPRLICSGLVKYIPIEQLQGKRVIVLANLKPRNMRGVKSSGMLMAASDAKHENVELLFPPEEAIPGERIWFGSEDEKDNQPDAATPNQLQKKKVWELVQPHLKTDASCVAILGEHVMRTSAGSVACQSLQNANIS >OIV99266 pep chromosome:LupAngTanjil_v1.0:LG13:3216224:3217607:1 gene:TanjilG_17076 transcript:OIV99266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIASSLLPSPSFSSSLSKGTIRFYNYASFHQHKTHVKGFKVRALKEKTEEIKGPSSSQSSSADEVAKKYGLEAALWKIFNSKEEGNDGSEQQKSKGDQAKELLAKYGGAYLATSITLSLISFALCYALINAGVDVQALLQKVGITTNEASEKVGTFALAYAAHKAASPIRFPPTVALTPIVAGWIGKKSEKD >OIV98981 pep chromosome:LupAngTanjil_v1.0:LG13:7117715:7121435:-1 gene:TanjilG_29384 transcript:OIV98981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCVKFKSVLILATVSIVLFESAASLNRSSFPTDFLFGTASSAYQYEGAAHEGGRGPSIWDTFTHTHSDRIADHSSGDVAVDSYHRYKEDVAMMKDIGFNAYRFSISWSRLLPRGNLKGGINQEGISYYNNLINELVSNGQRPFITLFHSDLPQALEDEYGGFLSPKIEQDFADYAEVCFREFGDRVKHWITLNEPVLYSTGGYGNGGSPPNRCSKWLANCSTGDSSTEPYLVTHHLILAHAAAVKVYREKFKISQKGQIGVTLNSAWVVPISESKADEDAASRSLAFMYDWFMEPLYSGTYPAVMVNKVGERLPKFTRRQFLMVKGSFDFIGLNYYTSAYAVNTPCQHGKPTVFTDSCARLTSVRNGLLIGPKAASDWLYIYPRGIQDLLQYTKEKFNNPIIYITENGVDEVNDGKMSLDDKMRIDYFSHHLLYLQRAIRNGVRVKGYFAWSLLDNFEWNAGYSLRFGLVYVDYNNGLRRHRKRSALWFKVFLHQ >OIV99531 pep chromosome:LupAngTanjil_v1.0:LG13:1189457:1189726:-1 gene:TanjilG_17341 transcript:OIV99531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFRLPAIRRASFSAIQASSKCVEVPKGYLAVYVGEKHKRFVIPISYLNKPSFQDLLSQAEQEFGYDHPMGGLTIPCTEDVFQHKMNGL >OIV99367 pep chromosome:LupAngTanjil_v1.0:LG13:2293476:2294378:1 gene:TanjilG_17177 transcript:OIV99367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHLQSLSTSLNAIFYIAFIFFATTFTTSTPILVEQETPTTEPQEPDSAESPISSNVPPLIATAPTAFTNNDHDHYTLSFFMHDILGGSNPTARAVTGVVTNPALNAQVAFAKPNGANIPFNNGVPQNNNNDGILNNNNLPFLTGLSGNTGNVFRNNGNNGGNGFSMGNMNQIPEGTTSEELMFGTMTVFDDELTEGHELGSGLVGKAQGFYIASAVDGTSQVISFTAMFEENGYVDSISFFGVHRTQVSESQLAIIGGTGKYVNAEGYAIIKTFPVTGQQQNNNNGLETLLQLNAYLSY >OIV98556 pep chromosome:LupAngTanjil_v1.0:LG13:17354671:17358760:-1 gene:TanjilG_12142 transcript:OIV98556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNEDEVRGQQFLNKAQKKLICTCSCVPSYANSNYEDAAQFLHKSANSFKLAKSWDKAGSVFIKLSKCHMKFDSKYEAAKAYVDAAHCYKKVSTKGAISCLKQAVTIFMEIGRHYIAAKHCKEIGELYELNQDFENAKSYFEKAAEYFELGDGPTLAIQCKQKVAQFSAQLQQYQKAIKIYEDISKQSLEINLLKYGVRGYLLNSGICQLCIGDIVATFNALEHYQDLDPTFSRTHEYKFLADLAASIDEEDVAKFTRVVNEFNKITPLDSWKSTLLLKVKDALKAKEMEEDDLT >OIV99032 pep chromosome:LupAngTanjil_v1.0:LG13:5079219:5081780:-1 gene:TanjilG_32291 transcript:OIV99032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCFPLLCGKKVSSVARRDPDIDEGLQNVRIFTYKELRNACDNFSSANKIGEGGFGSVYKGLLRDGNAAAIKVLSAESRQGVREFLTEINVISEIEHENLVKLYGCCVEGDQRILVYNYLENNSLAQTLLGGGYSDIQFNWRTRSRICIGVARGLAFLHEEVRPHIVHRDIKASNILLDKDLIPKISDFGLAKLIPSHLTHVSTRVAGTIGYLAPEYAIRGQLTRKADIYSFGVLLVEIVSGRCNINARLPIGDQFILEKTWELYEQGELVGLVDISLNGFFDAEEACRILKIALLCTQDNPKLRPSMSSVVKMLIGEIDVGESKITKPSLISDIMDLKVKEQKGNDDMKISSSYSASSASDSQGNTMSFAANTTFTVQYD >OIV98877 pep chromosome:LupAngTanjil_v1.0:LG13:9825385:9843549:-1 gene:TanjilG_21708 transcript:OIV98877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADREDEDLEMAIRMSMHHAPPEPKRSKPRHSSVAVGVVSGTPEESLEAKSRRIKRELMAAAAEKRMAAVATSKSNLPAAGKGGGEIGRREEEERVAVAMRNSPAASKGFGEVGRRKEKEGEGLMSVEEANKLFVIVFGSEVSKEILAQWSNQGIRFSSDPETSMGLVQHEGGPCGVLAAIQAFVLKHLIFFHDEVKDVFAMALNQGMGTTIKSQSLASNDFSSPTEGVKVSALVRSIGEILFMCGSNERAVIATLSILGSDIQQSDEIPTDEVIAEALSGLSIESALDLQKVLRVETYASQATALKRLQAMISIFHSRMGALLFLISALLSRGLDLVQTDRDDPSLPLVTAPFGHASQEIVNLLLCGQAVPNVFDGKMDLGEEMFLKGISQHVEVGFLTLLESLNFCKVGQYLKCPRWPIWVVGSESHYTVLFALDTGVQNENELEERESQIRKAFDAQDQSGGGGFISVEGFYQVLSEMNIKLPQEKLEHLCSTGFIVWSEFWQVILDLDKSSGGLKDSSGLMGKKVFDLYHFNGIAKSDLTGSHVNSRGEAPLQRPRLTKLSVSVPPRWTPEKFMADATVSSASSANDSSSKDTEVSKPEPSQHAPLVDCIRTRWPRAVCSWSGDPPSIV >OIV99672 pep chromosome:LupAngTanjil_v1.0:LG13:265119:266927:-1 gene:TanjilG_17482 transcript:OIV99672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRDVSSCNTYNYGDALYWDARYIEDNGVSFDWYQRYSSLRPFIRSFITPLSSRILIVGCGNAAMSEDMVKDGYQDIMNVDISSVAIQMMTDKYHHIPQLKYMQMDVRDMSFFADDSFDAVIDKGTLDSLMCGTDAPISAAQMLGEVCRLLKPGGTYMLITYGDPTVRMPHLSRPVYNWKITLYNIPRPGFQKPESTTSKKSYLEPIPVTEKGSLPADFVLEDPDSHFIYVCRKINETEVDNIPP >OIV99650 pep chromosome:LupAngTanjil_v1.0:LG13:422089:424598:1 gene:TanjilG_17460 transcript:OIV99650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIYHTESTTSTPSHASSPGTGGVCLMKIAWKEDQHPSFINFVSTFLSTNSFRLNFAPIAADFIFNCGGLSVAFIFVTNWDCNNVSPIFTRVQKLKTQFARFYVVITLPSKEQIDSFTKSYFKFGMVIGKPTFVPVQDIEMGFEKMIKIAHSSGVYKQQRIGEKLKAERKQLVEGMNFYLKVVTSIPGIDNHDANALSQTIGSVQAIAKASKEQILKNSDLSMDKAEMISSFLGDPEFYLNPKID >OIV99394 pep chromosome:LupAngTanjil_v1.0:LG13:2116180:2121087:-1 gene:TanjilG_17204 transcript:OIV99394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSPKKNEESKGFFAAMSSGLSMFSNAMHRSVNGMLGYEGVEVVNPEGGKDDAEEEAQRGRWRQEERDSYWKMMQKYIGSDVTSMVTLPVIIFEPMTMLQKMAELMEYSYLLDRADESEDPYMRLVYASSWAISVYFAYQRTWKPFNPILGETYEMANHGAITFLAEQVSHHPPMSAGHAENEHFTYDVTSKLKTKFLGNSVDVYPVGRTRVTLKRDGVVLDLVPPPTKVHNLIFGRTWIDSPGEMIMTNLTTGDKAVLYFQPCGWFGTGRYEVDGYIYNSSEEPKILMTGKWNERMSYQPCDSDGEPLPETEMKEVWHVADVPPNDKFQYTYFAHKINSFDTVPGKLLASDSRLRPDRYALEMGDLSKAGNEKSSLEEKQRAEKKTREAKGHNFKPRWFDLTEEVTPTPWGDLEIYQYNGKYTEHRAAVDNSGSIEGDVKSIEFNPWQYGNLSTE >OIV99647 pep chromosome:LupAngTanjil_v1.0:LG13:450109:451773:1 gene:TanjilG_17457 transcript:OIV99647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVNLLRGYAHDFDLLRGRAIHATFIKASFPVTLFLHNHLLNFYLKIKDLPSALKLFDEMPHKNVVSWSALMAGFIHNASPSQSLSLFSRMHHEGLTIPNEFTLVSALHASSLTQNDTTHVPYQIYSLIVRLGLDSNIFLLNAFLSALVKHGKLDEALQVFETSVDKDIVSWNTMMAGYLQFSCEDVPGFWCCMNNNDIKPDNFTFATALTGLAALSHSHFRMGLQVHGCLVKTGYGDDMCVGNSLVDMYLKNKKLVEGFRAFDEMPNKDVCSWSQMAAGCLQCEEPRKALDVIARMRKIGVKPNKFTLATALNACATLASLEEGKQVHGLRTKLGSEIDLCVDNALLDMYAKCGCMDSAWGVFRSMSSRTVISWTSMIMACAQNGQSREALQIFDEMRKTSVEPNYITFICVLYACSQGGFVNEGWTYFSSMAKDYGIFPGEDHYACMVNILGRAGLIKEAEELILRMPFKPGVLVWQTLLSACQLHGDVETGKLAAQHAIRQDQKDPSTYLLLSNMFAELSNWDGVVILRELMETRDVKKVPGTSWIEIEKN >OIV99301 pep chromosome:LupAngTanjil_v1.0:LG13:2823847:2824413:-1 gene:TanjilG_17111 transcript:OIV99301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFYYNRRLLLLNHDAPYSISIISNTEPLIAITNNTSSLSSSTTTTVFGNPLQEVPPPSIFPSNVVYFFVIIIAFLVLLICFILYFTGRDSSSHSSRHQERVDPTVIAKSLPAFSYRRDEKHEMDDCAICLEEFREGEKVKMIVYCKHVFHPQCIDTWIAKHVTCPICRSHKLCQGNGDGRQCREVGF >OIV99323 pep chromosome:LupAngTanjil_v1.0:LG13:2630052:2640653:1 gene:TanjilG_17133 transcript:OIV99323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRSTLSKKMASSSRNRESERNLKRKEPPPSVEVRTKSTKKITTELATPLVLRRSERTRNLSSTVSQLKKSKQDESEDDEDEERDLEAEAVQIKRKMNARIYKNMFRNPKRDCNPESKKMSKSIQEGGNSSGGKIDEDFEGNPVDHKEVSEDNKAKEISKDNKSEEVSKDNKSEEVSKDNKSEEVSKDVIIPSEEGQGEEVSKGGKIPSEDKKTKEVSKDCILPSEDGKAEEVRTESRLSEPMKYQLENSVTLASKITSNATTHETSGETGRVPSDCREEDTLEMQESRNSILNKSLIKNCVELDKGENSISSKRKETMVDMHSDVSATLVNDDNGNLIADASPSTNTVGTSESCSKRIRPTSLSDLQRNQMKLINNVDQCSSMSEGEKLSPRYKEGKSGDPVERPQSSNDEVRKQQRSLHLLLKPGIAMLCEVLRFPDNVKRMVDNCLEYIMNNRQICTEPVSIFQAFQLSLCWTAAALLKHKLNREDSFMLAKGHLNFHCKIEEVYEIHRMMRKLKKDFLYHTGNCNVSGSPKASESSCRVFSNTGVAPKVESACTDISRSSEVKHLLMRQEEAKKKLKADIERKKADFEIRCRIELAAYLAFSRNDVMRTEKVKVFNSEYNKRIGELKKQHETQLKDLEARQLEERRKFQESSSPHESSSCGPDIATLVPFSSNGDTCNDEISDIPSGEVALAFHKSHSSNGPDEVSTSRQGKPDGTVLTKPVYDCCVETRLNGSKNMVSLNSQSTEERIPSATIISSSNCNNTAQIHEANDDSGSDNAYTLDSPLSIERIASLNSNPPQEHVDSVNAKCMPNCENSAQIYVVGDDHVSNTSNIAATLNLSLTDERTADGTISLLDMEVQAEMPGIVNFTDCPENVTAMNPLLSIEQMSGGLVEVSVSDRDLSRSCGTASPGNSNDANHITLLNQFSSEEQHTDAVPLSISAGQIHDEEPETSHEVVTVSVVDREAPVENPGSVNCTDHPENVTPLNSSAMRQISDGVLSSRPSRASSSCAGPATVSLLNPPSFEQQIPDKDSFKIPDGQTPVMVLETNHVNLVEPLEKMHPLSSVESSLDQDTDREMQNSLLSSPVDIVPANQFNHVSLVMEPPEEVQQQSPSAMFLSSNWDLSNMPFVTGTEHQPTNEDALSSPIPDISTEVPNQAIEQPASYLELNSPMPGGVRTQSSDTRNFSTPSEINQHPLQSATHPASMIVPPLCDDPLVNEIERICKVTEQNMKNHEDMQKWQLKSDFEKEYEELRRKYEIKIKEIDVGFQQTRKKLDTDHETVFLNKILAEAFSLKYMEVIAPGASGVQQDASSAQLSCQLSRLQIATHPALVFGPSSCEPPAASLLSSYITTSSQNAVPPAIQATPNTSGIFSSFSPRLPNINSISSPSIPHAGREMRATAPHLQPYRPATAVPSPSLSTVPLGMPIQPAPGNIPVTSSFSHWPATYQSTPHIRHQPVNLGVLPTSNIPAMDLCMGANSQSGINMQNVLQCMSNMASLNQSRFGTSSSSMPPNPAPHQATPSCVVCLSDDDD >OIV99089 pep chromosome:LupAngTanjil_v1.0:LG13:5776161:5777444:1 gene:TanjilG_32348 transcript:OIV99089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVPLKEVSGKHDDPPEYANGIPNTYESHSSELVCKVVHRSNEGKTTIRYVDEKEKVLKKPEKRYWTTGGTIRNVPVGAGKRKNKPSDLQYCHAPSAPDAVSSIQTDNNLASDVNLSPRPFHGPREDAPLSESFETVKSQMPEKYRSGLFYCQRRGSPTLGKHSRDGSVPKNTMKQNLWVPKTVRINDPNEAARSSIWSTLSTKSEQNKPIIKGSVFKSFEPKSNTTSHNSDNNQILKANPAVFSSSESFQESM >OIV98977 pep chromosome:LupAngTanjil_v1.0:LG13:7015923:7016093:1 gene:TanjilG_29380 transcript:OIV98977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMKKVACAVLFAAASVSAVVATDVPAPAPGPSSGATAVGSFVGASILSFVAYYLF >OIV99499 pep chromosome:LupAngTanjil_v1.0:LG13:1398582:1399475:1 gene:TanjilG_17309 transcript:OIV99499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METFPLNLKSHNNHARSNSLPSKPHPIILQCNEHLARLEAASSDATSSSLLSHKLNGLQDLHNCVEKLVQLSLTQEALIHESQEKWVEELLDGSLRLLDTCSATKDALIHTKECTRELQSIIRRRRGGERELIVEVKMFLNSRKVVRKAIFKALENLKCSYANKSSFSISNKDYQTVASVSLLKEVEVITFSIFESLLNFISGSAYSKRISWSLVSKIMQSKRVSYSQRVDENEFGNVDAALQFLAINMISKSNEIDDLQNKLVRLGSCIQDLEEGLESLFRSLIKIRVALLNILNH >OIV99154 pep chromosome:LupAngTanjil_v1.0:LG13:4474341:4479999:-1 gene:TanjilG_01129 transcript:OIV99154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGPLGAVIGRYPSSDGVNQMGGIIRHNRKCRDITVLLIFIAFWISMIVNSSFGFNQGNPLRLTNGLDYKGNVCGDKHAHPDLGELELRYWQNPNQVYQSGLKNSQFKLANARSICLLDCPIPSEDSLDWVCDYPEGDIRLSMTDWINRNYDYFEFLTPEMRNSSLQLQGPCYPVIFPSVNVYWSCQFIARASNTSLKHWQQMGGVNINEDIVIDKSIHKSINSHSAVLKRYMADVGKAWPVLIVCGGILPLFLSVVWLVMIRHFVAAMPWITVVFFNVLIVSVTIFYYLKAGWIANDAISPIIGEHDPYINVFGRELTHLRVATVVMTFIMGVAILTSIAIVRRILMATSVLKVAAKVIGEVQALIIFPLIPYGILAVFYMFWISAALYLFSSGQIVQNNCNSNCCTYDLLAKRVNCDLCCGYSIHYTPHIGVAILFHLFGCYWATQFFMACSSTVFAGSVASYYWNHGETSHEIPFLSVFSSMKRLMRYSLGSVALGSLIVSFVESIRFLLESIRRRLKVSSYEPDNCLGRAAYQSAQCFLRCIEWIIKSVNRNAYIMIAITGKSFFVASSTATELIMNNILKIGRLNVIGDVILFLGKLCVSLSSVVFAFLMLDTHKYKSSRYKISSPLLPVLVCWALGYIVATLFFAVVEMSIDTIVLSYCQDSEEHQGTAQYAPPLLVETLSDQNETQRLTQGTQ >OIV98688 pep chromosome:LupAngTanjil_v1.0:LG13:15808047:15808601:1 gene:TanjilG_23980 transcript:OIV98688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFSKVAYLLFTLSIILICYAPNASSDKDMINDVCNNANDPDGFGNGITIHDCFNLMSMDPRFSSASDYHVLSKFIMQFAIQKGTENMNKFHEFQKDFPDSKAIVQCGTTFYTSTISFFKGALRDWDGNTSNALHEISQSRDGSEQCMIAIKNEKIVNGTIINMNKMMQLLTDVTSSAMDLSTR >OIV98790 pep chromosome:LupAngTanjil_v1.0:LG13:13091552:13096287:1 gene:TanjilG_15762 transcript:OIV98790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVIEKILAAASDPERVVFSFEFFPPKTEDGVDNLFERMDRMVAHNPSFCDITWGAGGTTADLTLEISNRMQNMICVETMMHLTCTNMPVEKIDHALETIKSNGLQNVLALRGDPPHGHDKFVQTEGGFACARDLVKHIREKYGDYFGITVAGYPEAHPDVIASDGLAPPEGYQNDLAYLKSKVDAGADVIVTQLFYDTDIFLKFVNDCRQIGITCPIVPGIMPINNYKGFLRMTGFCKTKIPVDIMAALEPIKDNEEAVKAYGIHQGTEMCKKILAHGIRNLHLYTLNMEKSALAILTNLGLIEESKIYRSLPWRRPTNVFRVREDVRPIFWANRPKSYISRTIGWDQYPHGRWGDSGSPSYGALTDYQFMRPRARDKKLVEEWAVPLKSIEDIYERFKLYCLGKLRSNPWSELDGLQPETKVINEQLEKLNTKGFLTINSQPAVNGERSDSPTVGWGGPGGYVYQKAYVEFFCSKEKLDALIIKSKDRPSLTYMAVNKEGAWKSNVGPTYVNAVTWGIFPAKEIVQPTIVDPVSFKVWKDEAFEIWSRGWAALYPEGDISRKLVEEVGISYFLVSLVENDFVNGDLFGVFADF >OIV99549 pep chromosome:LupAngTanjil_v1.0:LG13:1098155:1108188:1 gene:TanjilG_17359 transcript:OIV99549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METRSRKRAEASSPAPSSSSSPRPTTRSTKRPRLSSSATATAATTTTSTTTTPSRSVNTRSRASRTKEPLPPKNPPPMDPTNESSGSRGRRGKNADRGNSDKGKEKEHDVRIREAEKGIALKMESSGVGDGDGDDNDNEGGVGHQNVTSASSALQGLLRKLGAGLDDLLPSSAMGSGSHQSARLKKILAGLRAQGEEGRQVEALTQLCEMLSIASEDSLSNFSVDSFVPVLVGLLNHESNPDIMLLAARALTHLCDVLPSSCAAVVHYGAVSIFCARLLTIEYMDLAEQSLQALKKISQEHPTACLRAGALMAVLSYLDFFSTGVQRVALSTAANMCKKLPSDAADFVMEAVPLLTNLLQYHDAKVLEHASVCLTRIAEAFASSPDKLDKLCNHGLVTQAASLISTSSSGGGQASLSASTYTGLIRLLSICASGSPLGAKSLLLLGISGILKDILSGSGVSSNASVSPALSRPPDQIFEIVNLANELLPPLPKETISLPVSLNLFVKVPIVNKSSAGSSGKEETNGNAPEISAREKLLNDQPELLQQFEMDLLPVLIQIYGSSVNGPVRHKCLSVIGKLMYFSTAEMIQALFSVTNISSFLAGVLAWKDPHVLVPALQIAEILMEKLPGTFSKMFIREGVVHAVDQLILAGNPTNVSTQASSAEKDNNSVSGPSSRARRYRRRSGNNNPDGNHLDNSKCPVSVNVGSPPSSVDIPTTNSSIRLSVSAAARAFKDKHFHSDLGAIEVGVTDDLLHLKNLCMKLNASVDDQRTNGKGKSKTSAFGLEENSANKEEYFVGVLSDILNELGKGDGVSTFEFIGSGVVAALLNYFSCGYFSEDRISETNLPKLRQQALTRFKSFIAVALPASIGNQVMTPMSVLVQKLQNALSSLERFPVVLSHSARSSSGSTRLSSGLSALSHPFKLRLCRAPGEKSLRDYSSNVVLIDPLASLAAIEEFLWPRIQRSESAQKSTVPIGNSEPGTTAGTGILSPSTSTPATTRRQSTRSRASVNIGDTPKKEITQDKSTSSSKGKGKAVLKPAQEEARGPQTRNAARRKAALDKDAQMKTVNSDSTSEMLRDVSLPVCLPDKVHDVKLSDSAEECTVAPATSDGQTNAASGSSSKVGTARGSDTAEFRSGHSFSSRGALSFAAAAMAGLGSVNSRGIRGGRDRHGHPLFGASNDPPKLIFIAGGKQLNRHLTIYQAIQRQLVVDEDDEERFAGSSFVSSDGTRLWGDIYTLTYQRAENQTDRASTGGSCLNVSKSGKSGSASNSSSEAKLHRSSVLDSILQGELPCELEKSNPTYNILALLRVLECLNQIAPRLRVQVVTDNFAMGKSLDLDELGVTSGVMVPPEEFISSKLTPKLARQIQDALALCCGSLPPWCYQLTKACPFLFPFETRRQYFYSTAFGLSRALHRLQQQEGADGHGSTNEREVRVGRLQRQKVRVTRNRILDSAAKVMEMYSSQKAVLEVEYFGEVGTGLGPTLEFYTLISHDLQKVGLQIWRSDSSENFQMEIDGNEKKSQSIESSFAGDAELVQSRFGLFPRPWPANSDASEGSQFYKVIEYFRLIGRVVAKALQDGRLLDLPLSASFYKLVLDQELDLHDILVIDAGLGKTLQELNALVCRKNYLESCGSYTDKIANLHFRGSPIEDLCLDFTLPGYPECILKPGDEIVNINNLEEYISMVVDATVKTGIMRQIEAFRAGFNQVFDISSLQIFTPQELDYLLCGRRELWKAETLADHLKFDHGYTAKSPAIVNLLEIMGEFTPEQQRAFCQFVTGAPRLPPGGLAVLNPKLTIVRKLSSTAANVSSNGNGPSESADDDLPSVMTCANYLKLPPYSTKEIMYKKLLYAITEGQGSFDLS >OIV98691 pep chromosome:LupAngTanjil_v1.0:LG13:15244699:15246714:-1 gene:TanjilG_24862 transcript:OIV98691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQVFNDNDATNSLIHSYNRSFNGFAAMLTNQQKEKLSHMEGVVSVFPSRKLKLHTTRSWDFIGLPESVKRSLAIESDIVVGVLDTGIWPESDSFNDQGFGPVPKHWKGACVGGENFTCNNKIIGARYYADYSARDKDGHGTHTASTVVGNYVHSASFYGLAEGTVRGGVPLARLAVYKTCNVFGCDSAAIMAAFDDAIADGVNIISVSLGGSSQSPFDEDPIAIGSFHAMARGILTVNAAGNEGPDPSSIASVAPWILTVAASTIDRKFIGNVVLGNGKTLTGISVNSFTFNGTKIPIAKINYNPGCPGKDANTCKCLESLLVDGKIVLCDASNGNIEIDYNEGRPNGTIIQYRKGVPALVNPLPSLMLSEVEFQLLKSYTNSTDNPKAEILKSETVKDNNAPMVTDFSSRGPNTIAPEILKPDISAPGVDILASFSPAGSPSGYEIDKRSAKYNIISGTSMACPHVSGIAAYLKTFHLDWSPAAIKSALMTSAKPMKGSEDDIGEYAYGSGHVNPIPAIDPGLVYDISLEDYIQMLCNLGYDNGKVKLISGKDNACSHAPNRSFVKNLNYPALAIDVKPMTSFTIQFNRTVTNVGLANSTYKATILPNPRIKVMVVPEILSFKSLHERQSFIVTVTGDKLPTSIVLTSSLVWSDGTHNVRSPIVINVSK >OIV98657 pep chromosome:LupAngTanjil_v1.0:LG13:15984709:15995221:1 gene:TanjilG_12780 transcript:OIV98657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGCNSGSALRVNAEFDSMGGVVDGGVGIGLKTSPCRVSIEKAQAELRQEYDVREERRKQLEFLEKGGNPLDFKFGTAASVSVQSTSLTDQHHEQFGTSEAKGSFALTASPHGDSVDSSARPGASFSEPNTADNLLLFDGENELLEGKKRSLHSNKRHNIALPEQCSQIGVSQNAKETEDCATVRPYARRNRSRLNHGPRGGSRDGKGLVSDTSKQKDHNVPPSFKPKPASVNGGILIKGPASDNPLDNDRVGVRAHQTASGSASVHEDKWDITFNRNFKADQRILPSEDDIAQKPVALASGEANAVEERDPGASADIDPLPFIATAQPGKEFSRGLPNGLDNLKLDKKGVPNEGQHSSAALGMKNFDSESSCAQTSLARDVNDDIDMCTNRKNVDENGNTMEQTLESEKKINLTGCEVVKERNKTNCGESGATVDCEHGSGYLDYSGNDNMFKIEEDIHINSSCMQNKVIDSSNEDSTVPKAAKEDSIVVNHSNSVKENKCERNQVPVDGSISEAPQTALAEKVTAAAPDCRPCSTHHLELADKAHEDSILEEAQIIKVKRKRIAELSVCTLLTQTHRSSHWGFVLEEMAWLANDFAQERLWKLTAAAQLCHRASITSRLRVEKQNKLLGRKILSHSMAKAVMQFWHSVELLLNNDDNDCSLIGGSVESGKDNSNDASGDKRRDSDMVLRRSKYLEGQNHRKNTTLKVHAYALRFLKDSRSRGIPYQAETPTTPNKISDSGTVDMSWEDHLTEENLFYTVPPTSMEAYRKSVESHFLKFEKAGSNIQKEVETTTYDTTAEFGSEEMAYDEDEGEETGAYYLPGVYEGRKSSKSVHKKHKNRMKSYTHNSSEVGTDMAYVHYTSGTHPSVIFGKRPASLNVGAIPTKRIRTASRQRVASPFAVATGTVQAQAKTYASSGDINSFQDDQITLHGSQNQKSVEGESVGDFEKQLLYDCGETSVKMKKPKTMGSAYDQGWQSDSVALNEQRDHPKKKLDNYHFESNGSSGLYGQHNPKKLKTVKQSLDNTSDNIAPITNSIPPPAASQMSNTSNPSKLIRIFSGRDRGRKVKGPKVSSGQPGSGTLWSLFEDQALVVLVHDMGPNWELVSDVINSTLQFKFIYRRPNECKERHKILMDRSVGDGADSAEDSGSSQSYPSTLPGIPKAQILSSIIVYCGSAMQLFQRLQGPMEEDTLNSHFDKIIKIGQKQHYHRNQNDNQDSKHLVPIHNSHVIALSQVFPNNLNGGVLTPLDLCDTNATSPDGITTGYQGSHAGGLGLSNHGSLPTVHPTSGPISSIPASSGMSLGINLSLPPAPTAAFIRDSKYGIPRTSSLTVDEQQRIQYNQMISARNMQQSSISVPGSLSGIDRGVHMLPGGSGTSMVGGNNRNIAASRPGFQGVASPSMHGSGGILSSSMVGVPSPVHLHSGVVAGQGNSSPRPREGVHMRTGHNQEHQRQMMVPESQMQATQGNCQGDPAFNNQTIAPHAQRPHQLPQQQSNLGNSRPLLQGLNHVTNSQQQAHAIRVAKERQLRQQQRYRQHQLQQQQLATSNAMVPHVQAQPHLPISSTLQSSSQVKPQNSSQQVSLPTVKSSSPSTSMSSQQQHHLPQHGFSRNPGASGLTTQAVKPQQRPYQQPGRQHLNQPLHAQSQQQANLHKGIGRGNMSVHQNPAVDPSHLNGLSVPPGSQSVETGDQIMHMMQGQNLHHGSGLNQTQPSKPLGPSHSSNHSQLQQKLHSVPANTSSKQLHPAPASHIISPPHPVATDNHHQLQQQSLPQSKQINQTQSNVQRMLQKNCHVHSESSSMPQSGSPQVDQQLVNNASHVSTSTAMSAGCIDSASVVTGVPTVSERPFDSNTPDPVTHVSSLGSAAVGKSAGNELPTISQGLGPQQLSASLHSHAHNSGVQQQPQPLQRQSSSQPILSQQQYQPPEQHKQQQLEQQEQHSPKDVDLQHLQPGRNCLLVRPPSSKAE >OIV98957 pep chromosome:LupAngTanjil_v1.0:LG13:8873022:8873228:1 gene:TanjilG_07392 transcript:OIV98957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIVVTILSLHVHNSCSCCSSGQQKMKQDKSTWLTDRRTVSCKRRRLLFKQSIGNNPFIFANPDCTVT >OIV98656 pep chromosome:LupAngTanjil_v1.0:LG13:15998342:16004207:-1 gene:TanjilG_12779 transcript:OIV98656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLYTVTHTSLTVTSLVIINCTYCFPDAEAILVRISSVLSRMPGEEVLIQEDERGGSFEPETSEDELRKSRSRSLRRKAMTASTRLTYSLKKRNSRVFDYQGASIFIEDVRDASEEEAVNSFREKLLTNDLLPASHDNYHTMLRFLKARKFDIDKTVQMWADMLHWRKDNGVDSILQDFIYEECDEVQRHYPHGFHGVDKDGRPVYIERLGKVEPSKLMNVTTVDRFLRYHVQGFEKMFKEKYPACSIAAKRHIDRTTTILDVHGVNWVSFSKVARDLVMRMQKIDGDNYPETLNQMFIVNAGNGFKLLWSTVKGFLDPKTTAKIHVLGNKFLGKLLEVIDSSQLPDFLGGCCSCPNDGGCLRSNKGPWNDPDILKLLHTREALKLTKSRRSSSVSDELDIMSYANKVTHKLATSTEISENGLVSDIGSGPSTFMQLVPSSEKRRVRYRAPIHNVVKQASAIREVGDVASTSDSTHNYPRRPLAKLIFNITTSICAQAIAKVLACIYAAFTALGEFFVVRSADNQPESHQQTQLVESNSQEQLITQEVQEPLWQRLQNLEAVITEMANKPRTIPPEKEDILQESLSRIKSIEYDLQKTKKALFATASKQVELAESMEILKEGRFDETNSCWPKTSRCYAPER >OIV99562 pep chromosome:LupAngTanjil_v1.0:LG13:1004052:1005008:1 gene:TanjilG_17372 transcript:OIV99562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSQASKQVERRKALHKEKKILFDLGLSSGEDYPGSDYHPTDRKNWMFGLDPEKIHINKIVWPGTHDSATDMIGIPFITRPFAQCQSLSIYNQLVMGTRVFDIRVQKDRLVCHGILLTYSIDIVIKDVKKFLSETESEIIILEVRTEFGHEDPPEFDKYLDEQLGEYLIPQDDKVFDKTIAEVLPKRVICVWKPRKSRQPKAGSNLWSEGYLKDNWINTDLPSTKFDSNLKHLSEQQPVASRKYFYRVENTVTPVPDNPILCVRSVTERIHGYNRLFIAECFSKGYADRLQVFSTDFIDKDFVDACVGLTHARIEGKA >OIV99073 pep chromosome:LupAngTanjil_v1.0:LG13:5569498:5570511:-1 gene:TanjilG_32332 transcript:OIV99073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQEKKLVEDVSGWLRLYDDGSVDRTWTGPPQVKFMVQPVPPHQHFKDGVATRDVIIGSQDNTDHRLRLYLPEIKSDEKEALPIVLHFHGGGFCISEADWFMYYQFYTRFTRSARVICVSPFLRHAPENRLPAGIDDGFSALLWLQKVATGDVEEPWLKEHGDFNRVFLIGDSSGGNIVHEVAARAGNIKLDPLRLAGGIPVHPGFLRSTRSRSELEKPQSPFLTLDMVDKFLGLALPLGSNKDHPITCPMGDNAPPLSELKLPPYLLCLAEMDLIIDTEMEFYEAMKKEHKDVELFVSKGMTHSFYLNKIAVDMDPDTGAETDALIAKFKKFIKEH >OIV99552 pep chromosome:LupAngTanjil_v1.0:LG13:1067421:1068260:1 gene:TanjilG_17362 transcript:OIV99552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAFKMATTGMWVTDECKNSFMEMKWKKVHKYVVYKIDERSRLVTVDKVGGPDEGYDDLAASLPNDDCRYAVFDFDFVTVDNCHKSKIFFIYWSPTASRIRAKILYATSKDGLRRALDGIHYEVQATDPTEMGFDIIQGRAK >OIV98805 pep chromosome:LupAngTanjil_v1.0:LG13:12037371:12054706:1 gene:TanjilG_25051 transcript:OIV98805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHPPFHPPSTIHPIDEDLNRNRSDQIYFGESILRASQRKDGIELKDRLKAEKDRRDKEAKEKRKIGIEERRPTGFFFGHGLKYLIEAHKGPFTGQGQKILDAWYAQFSLNPTLLGSLTIHLIYSIPLYPYLLTEQGSLIQTLTSEWLLRDSHHGRWICSTSLLPRYRGGAIHGKLHDASRTNPPIRISLPILPIWWRIRPVPVSASRATKQAMLPALPVMQEAANQVEDQFRIKVDIIRWMATLDSEPEGDWLGRGARALDNPRTATGEESLDKLYRLYADLALFWGVRSQSFWDLKGKFCDGRIWMPNRRHRPQLTSTFRSKHKANNAYPWDPSFAAGGQNHRLTSSFDILPGRETTKIHINPILIALALALVILNVLFSMLLNRSICYASPFFDWKGGDPAAVSDKDRISKPRRVPSSSSTIVRPYSQGISLLPTPDPIPSSSGTSIFNLSLYQAPFRVSYSMRCLSQRSGRRSAANPLLSVRSASKLFHFFRLALPPMPECYFRLPPNLNHRESIMSEMKSAMEEHIELMTDLLQKLSSELRAALRPAYENFMGFFHAIDWKINTLFSLCYLIIKWKITELRHRARAASSKQD >OIV99668 pep chromosome:LupAngTanjil_v1.0:LG13:288918:292265:1 gene:TanjilG_17478 transcript:OIV99668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALELVGGAFLNAVFEVLLEKISSTEVVNFFKKEKISNKLLKDLKLSLLSLTAVLNDAEEKHVANASVKEWLNELQDLAFDVDDMLDAIYTDARIAANQVHTIKAAPLKHNILAILERFEFIIKQKGFLNLEVGKNLMLPKKIPSSSLIEASDVYGRDNDKEALIKLVLSDDSNDSNIAVIPIVGMGGIGKTTLAQLIYNDSRVETEFDVRAWIYVSEEFDVCKITKTLLEVVTSRNLDIKDLNSLQLNLKMCLAKKKFLFVLDDVWNENYINWDSMRSPFQLGARGSKIIVTTRSMRVASIMQTASPYTLGELSNNECWNLFSRHAFVRGDLIIDSNLERIGKEIVQKCKGLPLAVKTLAGILRAKDDKQEWLKVLNSEIWDLQDHESNILPALRLSYHYLPANLKRCFAYCAIFPKNYEFEKEKLILMWMAEDLVQQSKRNDRIEDVGDEYFSEFVSRTFFQPSTRDKSCYVMHDLVNDLAKFVSGTFLVRLEGKSCSMVDERTRYLSHIIAHPPVYVDSVSKATRLRTLLQMRLVGGTSLHFYDGIPHQLLIELRCLRVLSLAGASIYTLPISIGKLKHLRYLDFSGTEIYELPESVAELYNLQTLKVAGCCNLDKLPSLMSCLVDLRYLDISGIFLRRMPSEMSKLSSLQMLSDYFVGVEYGCSVGQLGPLSGLRGSLFIHNLKLVANCNDSNEAKLKEKIFLRKLTLDWSGNGNTDNSQHEKDILESLQPHTNLKELVIYNYPGTGFPKWLGGYSSFNLVSLELKGCKYCYQLPPLGQLPSLRELSIIKFDGLEGVLAEFYGNGTYSSDVAIVYFPALETLRFEHMPMWEEWLPGPDNNGHTAFPRLRELHIESCPSLKGVLPATLPSLTILTIRECKNLLCSLPLSPLLHTLIIQNCGDLDLPATAPECYQSLTSFHLHNSCDSLEFLSLNLFPGLKSLDVSSCKNLEALTISVSEDTPSLLTSLRSLCISHCPKLLHFSMEGFESLNLTLLIINNCQKLTSLPADMNHCMPNLKALRLSNCPDIKWTPDMGWLHKLTSISIQNCNKFMQGKLEWNASNLTALSDLTRLKLPSLSPETAKPQNSRWIPVSMGNRRIAPQIYQWRPHTQN >OIV99433 pep chromosome:LupAngTanjil_v1.0:LG13:1803729:1807305:-1 gene:TanjilG_17243 transcript:OIV99433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METWLILFTLFHFSSTFTFAIRNNIGFQIHICNTTIQGRYFLSDHNGYVCNALSVNSQSRCCPQTSRRFSCHGCNVLSRCCNSYEYCVSCCLNPVHTSKEQVLKLKIAKPSTARTYASVFDYCAGRCRHSSESVVHENAYLSDLHHCFSLPSNSSRTDTILPETRLNGINVVVGRQGESCDLACKSRGQSCVPNKLSVLNHCDIIVKYMSCKGACLTSVGPDQPAEVVHDAPKHMNPGSCLYSQTQSILSCDGSHQHTRRLCPCA >OIV99270 pep chromosome:LupAngTanjil_v1.0:LG13:3171113:3186913:1 gene:TanjilG_17080 transcript:OIV99270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAILYALVTRSTVVLAEFSAVTGNTGAVARRLLEKLPAETDSRLCFSQDRYIFHILRFDCLTFLCMANDTFGRRIPFSYLEDIQMRFMKNYGRVANCAPAYAMNDEFSRVLHQQMEVFSRNHSTDTLNRVRGEVVCTFSINECHDNFHFARQIHTIMMDNIEKILERGDRIELLVDKTSTMQDSAFHFRKQSKRLRRALWIKNFKLLGSTGSSSSNPNPNRSGGSSSARGEPQVSVSQPVRDEVREDEVMEVVVSEEVENNGDFSKAKSDDLSTGNLHFEQNDGSCDDEVVVVEKDVKDVDVVKESTGLRIASENEGKSGGSSSLAAGSGSSCPPPPPVPPPKPSAANINSRRNLMGPSNSGSIGSSRRASVWPVVSARTSPAVSRPSSPRSHNESDGYNSADEQKPHYVSTYDDLVERERHFVIDIRRAKGYEVKKMMEDGNCLFRAIADQVYGDSELYDLVRQMCIDYMERERDHFSQFITEGFTSYCKRKRRDKVYGNNVEIQAMCEMYNRPIHIYFYTTEPINIFQGSYNTDTPPIRLSYHHGNHYNSLVDPRRTTIGAGLGFSSLRGALLAEGRFYSDLELTEKEIERMVMAVSRAEYLADGTFKQQLSHRESSTSNAEPSSSGATGSSGTDPKMEAGKEHGCDLSSSMQIVLSMGFSYLQAIEAYSIFGDDVDSMVCYLLETGNSSRRKGKATE >OIV98571 pep chromosome:LupAngTanjil_v1.0:LG13:17192094:17195923:-1 gene:TanjilG_12157 transcript:OIV98571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTASSSPFKKIQIQRDDTTFDAYVVGKEDAPGIVVLQEWWGVDFEVKNHAVKISQLGTGFKALIPDLYRGKVGLDAAEAQHLMDGLDWQGAVKDIRASVDWLKANGSKKVGVTGFCLGGALSIASSVLVPEVDAAVAFYGVPSSELADPAQTKAPVQAHFGELDNFVGFSDVTAAKALEKKLKASGVPHEVHIYPSSAHAFMNRSPEGLQRRKGMGLSDEDETAVELAWSRFQSWMTQYLSI >OIV99269 pep chromosome:LupAngTanjil_v1.0:LG13:3188265:3196025:-1 gene:TanjilG_17079 transcript:OIV99269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAPTKLRPHRDILMDSVIALVFVLVACVELCDGATVVDVYRLIQYDMSGVPFGSRLASLNHHAASLHFSPHSDLSRSVLLIPLRELNMSFVKEYIAESKPLGGLIFLLPQMFNFGKKGASNHPDGSKELLKNVLAELEQILIHANLPYPVYFAFEDDTIDAVLTDIKKNDAAGQPATATTGGYKFVVSAPEPKKVGSPPVSNIQGWLAGLKTDDGAHQLPTIAIVASYDTFGAAPALSVGSDSGGSGAVALLEVARLFSLLYSNPKTRGQYNLLFGLTSGGPYNYNGTRKWLRSLDQRLRESIDYAICLNSIGSWENELWVHVSKPPENAYIKQIMEDFSGVAEELGFKVNLKHKKINISNPRVAWEHEQFSRLRVTAATLSELSTAPELLESTGGLVDSRHFVNEMAITRSVKLIAESLARHIYGHQGKNIQIFADNSSLAVNPSYVRSWLDLLSQTSRVAPFFSKDDPFVTALKKELEDHTDEVNVQSEVLDGMFTFYDSTRAKLNIYQVASVTFDLLLLLALGSYLIVLFSFLVITTRGLDDLISIFRRPPSRKVKTA >OIV98872 pep chromosome:LupAngTanjil_v1.0:LG13:9736338:9741451:1 gene:TanjilG_21703 transcript:OIV98872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISNLAEAGMNVARLNMSHGDHASHQKVIDLVKEYNAQAKDNVIAIMLDTKGPEVRSGDLPQPITLNIGQEFTFTIQRGVGTSDCVSVNYDDFVNDVEAGDMLLVDELTMLQPTLTLDGMMSFLVRSKTQDSVKCEVIDGGELKSRRHLNVRGKSATLPSITEKDWDDIKFGVDNQVDFYAVSFVKDAEVVHELKNYLISCGADIHVIVKIESTDSIPNLHSIITASDGAMVARGDLGAELPIEEVPLLQENIISLCRSMEKAVIVATNILESMIVHQTPTRAEVSDVAIAVREGFDGIMLSGETAHGKTGFMAILLSHYRPSGTIFAFTDEKRVQQKLALYQGVCPIYMEFCDDATATFTRALDLLQKQGMVKEGEVALVQSGWQPIRRFQSIHNIQVRKV >OIV99539 pep chromosome:LupAngTanjil_v1.0:LG13:1151634:1152428:-1 gene:TanjilG_17349 transcript:OIV99539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLTQPAFAPAAFASFSTAAKTGGPAAAVSGKLIRTELLSSAWTGFFAGCLHTLSGPDHLAALAPLSIGRTRMESAAVGALWGCGHDAGQLIFGLIFLLLKDRLHIEIIRTWGTRVVGLTLLVIGAMGIKEASEVPTPCVALENGECDPSVYESLNNPTVGKKKIGFATFATGIVHGLQPDALMMVLPALALPSRLAGAAFLIMFLLGTVFAMGSYTVFIGSCSEALKDRVPRITEKLTWASSLVAIALGFAILISQFFGFSLY >OIV98911 pep chromosome:LupAngTanjil_v1.0:LG13:7867095:7868570:-1 gene:TanjilG_07346 transcript:OIV98911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALCIPFSVSNNRPVTSLGSCFHYGTAPFSFSINRKQKNHIYLNNVSVPISCSTLKLVRDRNLDRHVVMKNKIRFVQKLKILLLSKPKHYLPLHILSKCRSYLTLTEPRPLLSMIHRYPSIFEFFTLPWPPTQLNATKLYPQLCVRLTPAAAALAAEELNLQSSISTVLATKLQKLLMLSSHNRLLLSKLVHLAPDLGLPPNFRSRLCNDHPDKFKIVDTSYGRALELVSWDVNLAKQLPPPELHSLDLIVDRPLKFKQLRLRKGLNIKRRHQNFLLKFEELPEVSPYTSPAEDLPKESLEAEKRSCAIVREVIGMTIEKRTLVDHLTHFRKEFGLPNKLRGMIERHPELFYISLKGQRDSVFLVERFGEKGQLLEKDEILVLQNKWMGLARESKRMRRERRKGRIKDVGSLDDNNENNEDDSDVDYENFGFDNFEDGYDDGFEDIFADLDFGAEDDDHQSKLFAENKNGEFWTAAPFPIQNGNEEQIQPW >OIV99614 pep chromosome:LupAngTanjil_v1.0:LG13:666306:667664:-1 gene:TanjilG_17424 transcript:OIV99614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFSPSLGSPQPLLRDAKSEERGLCLIHLLLTCGNHVANGNLENANIIFEQISLLASPHGDTMQRIAAYFTEALADRILKSWPGLHKALNSTRMTMISEEILVQKLFYELFPFLKLAFVLTNQAIVEAMEGEKMIHIIDLNTAEPAQWIALFQVLSARPEGPPHLRITSVHQKKEILDQIAHKLIEEAEKLDIPFQFNPIISKLENLDFDKLRVKTGEALAISSILQLHSCLALDNEAMQRKSPLLLNGANKIHLQRVLPMNQSTLGNFLEKDMVNSYTPSPDSTSSPLSLTTSNSMNVEKFLNALRGLSPKVIVVTEQDSNHNGSTLMERLLESLYTYAALFDCLESTASRTSLERLRVEKMLFGEEIKNIIACEGSERKERHEKLDKWFKRFELAGFGNVPLSYFGKLQASRFIQRCGCEGYRMREENGCVLICWQDRSLFSISAWRSMK >OIV99153 pep chromosome:LupAngTanjil_v1.0:LG13:4467303:4472265:1 gene:TanjilG_01128 transcript:OIV99153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKAIVENNNDEEHHSFYDSHDRLISNTNSEKDSSTSTSNSEDDNYSFSNSPTPTHHDSNYHIWTSQPSSVSERRTNLLRNFGLAANPRRSAPSSAAGPDFSRSLSSRYLTGTEICHAAAILRSKSDGAAKSGTGSIVNNNREEGLEKVEEEAWTIIKDLDNGKEFVVNEVREDGMVNKVKEVGTGKNLTMEEFEMTVGISPIVQELMRRQNVEEANRNGVDSGPNNDDAGGECNDNDGFKLKKRGSWFKSVIKSAVSARLRERRGWSSERDTGSEKGGGRLSSATDDSQADGSFNGAERVRVRQYGKSCKELSGLYNIQEIQAHNGSIWTIKFSLDGKYLASAGEDCVINVWKIVESRSKRELLVDKQEDGSNVNTLLVVNGSPEPALLSHALDNHLEHKSIERRSSFSRKPLSLNQIVVPETVFALEENPACSFKGHLYDVLDLSWSKSQHLLSSSMDKTVRLWHLSSKSCLKIFSHSDYVTCIQFNPVDDKYFISGSLDAKVRIWSIPDRQVVDWADLHEMVTAACYTPDGQGALVGSYKGHCYLYNTSGIAKNKLQQKSQINLKNRKKKSNRKKITGFQFAPGSSTEVLITSADSRIRVVDGVDLVHKYKGFGNANSQISASLTGNGKYIVSASEDSYVYIWKNESHSRPNRSKSVTVTSSYEHFHCKYVSVAIPWPGIDDAWEIHDTFCGDEMEVDGNLDEVSSANHPPTPVEENFVTEGFQSAMGCKSSPFQGTIASATSNYFFDRISTTWSGGKFPRAATNRSPRVSVSQNMSAWGMVIVTAGLRGEIRTFQNFGLPLRT >OIV99183 pep chromosome:LupAngTanjil_v1.0:LG13:4065348:4065665:1 gene:TanjilG_19679 transcript:OIV99183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTEQNNKENVPPFCNTMKAKFKKSPISQKAKIPSFKSIGNGIQRKPKRIPLSDITDLFNNSSTFTISHHHRQINDLVSEIPSVSISRWRAQVASPSKSLRMGFR >OIV99364 pep chromosome:LupAngTanjil_v1.0:LG13:2311169:2313682:1 gene:TanjilG_17174 transcript:OIV99364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWDCKEIGLFICALSILPLLCFSANFVPIDNYLIDCGAPHNTSVGTRNFAADNFFKNFLSTQQNILASTSLTSISSAGDDSPLYQTARVFTESSKYTFQINHKGRHWIRLYFYSFSYEKYNLSTANFAVATQINILLSNFSVQKNPIMKEYLVNVTSDTLVITFTPSDNSIAFVNAIEVVSVPDDLIVDDAFTLNPLASYSGLLTQALETVFRVNMGGPTVSPGNDTLHRTWIPDQSFLIQPNLASNVSNIGAVKYVKGGPTENTAPPTIYGTLTEMNSNNDPRSNFNVTWQFDVEPGFQYLVRLHLCDIVSKGLNELYFNIYIDSWLAAKDLDLSIINNNVLATPYYKDLVSAPTTSNKILVSIGPSALNSDYPNAILNGLEIMKMNNSMSSLSAAAAVTLSSTSGSNSKKVGIIAGVSVGAFIAVVLVGFFFVLCRKRRRLARQGHSKTWVPLSINDGTSHTMGSKYSSGTTASAASNFGYRIPFAAVQEATNNFDESWVIGIGGFGKVYKGELSDGTKVAVKRGNPRSQQGLAEFRTEIEMLSQFRHRHLVSLIGYCDEKNEMILIYEYMEQGTLKSHLYGSGLPNLSWKERLDICIGAARGLHYLHTGYAKAVIHRDVKSANILLDENLMAKVADFGLSKTGPELDQTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLFEVLCARPVIDPSLPREMVNLAEWAMKWQKRGQLDQIIDATLAGKIRPDSLRKFGETAEKCLADYGVDRPSMGDVLWNLEYALQLQEALVQGDPEENSTNMIGELSPQINDFDHEASVTAAQFEASSLDDLSGVSMSKVFSQLVKSEGR >OIV99135 pep chromosome:LupAngTanjil_v1.0:LG13:4620591:4621290:1 gene:TanjilG_22715 transcript:OIV99135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNDKSRRKNSISGDSFSFPSTPNQDSEFEFGSLTPDSPSSDPCRTSPADKLFFNGRLQPHSFPVIFHRPIGPAIATSHTSSISSKDSLVSSRSNSTNSSRSSARTSSSDNSERRLFHNKVSVSLTSSYSKGSYTVNRSVALGHNKQYRCSRRWQYITPVPALNRDASKRRSGDMKQRKKEEENAMPWNRPRSKIKRSGCKKM >OIV99212 pep chromosome:LupAngTanjil_v1.0:LG13:3724478:3726013:-1 gene:TanjilG_06517 transcript:OIV99212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLDSDVPMVPAGEPSSIAGPSSKKPKRFEIKKWNAVALWAWDIVVDNCAICRNHIMDLCIECQANQASATSEECTVAWGVCNHAFHFHCISRWLKTRQVCPLDNSEWEFQKYGH >OIV99033 pep chromosome:LupAngTanjil_v1.0:LG13:5100862:5104294:-1 gene:TanjilG_32292 transcript:OIV99033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDINNSFGKGSVTRLGSAGGALVGCLTLDCALGGGLPKGRIIEIFGPESSGKTTLALHAIAEVQKLGGNAVLVDAEHAFDPTYSKALGVDVENLIVCQPDHGEMGLEIADRMCRSGAVDLICVDSVSALTPRAEIEGEIGMQQMGLQARLMSQALRKMSGNASKAGCTLIFLNQIRYKAKGDEEIGLKVRVRVQKSKGCILDCAEMMDVVLKKGSWYRYGDHRYYLRTSF >OIV98898 pep chromosome:LupAngTanjil_v1.0:LG13:7613817:7616758:1 gene:TanjilG_07333 transcript:OIV98898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYGTHFVVLTIVLLLISHVLGDSVHEKEKCAEQLTSIATCLPYVGGDVKAPTPDCCSGLKQAIINNKKCICLIIKDRDDPDLALTINITVALGLPSVCKAPDNFSQCPALLHLDPKSAEAQAFNQLAHNSNAGSPSPSPSPSPSPSDDEEEEEQEEGAQEEENNEILERRISSHPLYGLLVETHLECLKVGDISNLDSELKMNHQMQAMKKQNLGMFTQSQLDRFMEAYCLALSQLKEAMEELQKKSMTFINNMHSQLRELTMAAMPTPSELDDATSSTTTNMESKRNTSNEKNYQQQQEQQQQ >OIV98567 pep chromosome:LupAngTanjil_v1.0:LG13:17228815:17229527:1 gene:TanjilG_12153 transcript:OIV98567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTLSVMRSQIINPSTPKSNLISILQALTHALQSTNQTRNQTHHILKLLSDLAAHHSSLSQLVLDSLRSNSPDPSSITHLAFEGTVESLHAITSILDDGLVSLDDSLFVSLCFGPNVSARIWMLRNAGLRFQVRPALLLGVCLGLTKDPYPYVREASLEGIHSLCECGVFEDVSLVEACYGRGVELLSDMHDCVRLSAVRVAFKE >OIV98627 pep chromosome:LupAngTanjil_v1.0:LG13:16457025:16457871:-1 gene:TanjilG_12750 transcript:OIV98627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTVLSAGLEPYMSQDKQLYRCVETHLLCCYSDGAQLILVNNCGESVWPGILGSAGQETPKDGGIHLGSGEEIVLDVAEKWSGRIWGRQGCTFDNNGKGHCSTGDCNGQLHCRGLGGVPPATVVEMTLGTSSSPLHFYDVSLVDGFNLPVSMKPVGGGVGCGVASCDVDLNVCCPSALEVKINGKVIGCKSACLAMQSAKYCCTGNYSDPKTCKPTLFAHLFKAICPKAYSYAYDDTSSLNRCRASRYVITFCPPPQ >OIV99540 pep chromosome:LupAngTanjil_v1.0:LG13:1147277:1150474:1 gene:TanjilG_17350 transcript:OIV99540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKPGPRPSKLSVYLYIPNIIGYIRVLLNCTAFYLCFSNKILFSILYFFSFVCDAVDGWAARKFNQVSTFGAVLDMVTDRISTACLLVVLSQVYRPGLIFLSLLALDIASHWLQMYSTFLLGKASHKDVKDSTNWLFKAYYGNRMFMAYCCVSCEVLYLILFYLAGNQTEKLVDVLTINLQKISILSFLIATSVFGWAIKQVINIIQMKTAADVCVLHDIDKKYKQ >OIV98862 pep chromosome:LupAngTanjil_v1.0:LG13:9947959:9949300:1 gene:TanjilG_21197 transcript:OIV98862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFAVSNYLLGGDIQAREFVATNEVKENGVVDNYGFSENKCIKSLILSMLGRAMLPQSQMVHFNLQGIQYKTMYLLLLKNLLESPKSTLMPPLYMLSNYSIHFQIMYTFGIQLYNFGTDNVTDYCCKLRVAKGQSTASQPPQKNVSSSEWDSAPQTSSQPATVSANAFERSESDAVDEFSATEYEDEIKSIYVRNLSPIVSPSAIEEEFQNFGRVRPDGVVIRSQKDVGICYAFVEFEDMTGVQNAVKAGSVQIAGRQAYIEERRPNSNIPSRGGSMPSSTTISL >OIV98797 pep chromosome:LupAngTanjil_v1.0:LG13:11887233:11888891:1 gene:TanjilG_25043 transcript:OIV98797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNTLMSSAISAFASPLSFSKSRSPTSVAASRSGTKSSGRLSSSAEWFPGEPRPPYLDGSAPGDFGFDPLGLGREPENLERFKESEVFHCRLAMFAVPGVLIPEALGLGNWVQAQEWAAVPGGQATYLGNPVPWGTLPVILAIEFLAIAFAEVQRVMEKDTEKKKYPGGFFDPLGYSKDPEKFAEYKVKEVKNGRLALLACVGFAVQQSAYPGTGPLENLATHLADPWHNNIGNVLIPNF >OIV99556 pep chromosome:LupAngTanjil_v1.0:LG13:1043027:1043197:1 gene:TanjilG_17366 transcript:OIV99556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNKAQLDMDKTETWKSHLPLFYGHPANEYEFITQCSIMESQKDTYGCGVFISPIS >OIV99342 pep chromosome:LupAngTanjil_v1.0:LG13:2465178:2467440:-1 gene:TanjilG_17152 transcript:OIV99342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGSVAETQRTLYPYVTGSSVVAIKYKDGILMAADMGGSYGSTLRYKSIERLKPIGKHSLLGASGEISDFQEILRYLDELILYDNMWDDGNSLGPKEVHNYLTQVMYNRRNKFNPLWNSLVLGGVKNGQKYLGTVNMIGINYEDNHVSTGLGNHLARPILRDEWHENLTFEDGIKLLEKCMRVLLYRDRSAVNKIQISKITEEGATVFPAFSLKTNWEFSAFRNPTAGAEGSW >OIV99605 pep chromosome:LupAngTanjil_v1.0:LG13:730845:733572:1 gene:TanjilG_17415 transcript:OIV99605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVSSEDGSDQQSDRCGSYTLSADVSESESSTSFCGVRRFDTEGASSSANLSPLPAVAGKDVVLWDHKPKKRDLDLSEVEMMKERFAKLLLGEDMSGGGKGVCTALAISNAITNLSATVFGELWRLEPLAAQKKAMWRREMEWLLCVSDSIVELVPAVQQFPGGGTYEVMAMRPRSDLFINLPALKKLDGMLLGMLDGFEDTKFWYVDKGIILGDSKDCDDYNGRPSVRQEEKWWLPSAKVPPNGLSENDRKRLQQCRDCTNQILKAAMAINSSVLAEMEIPRAYIGSLPKNGKACLGDIIYRYITADQFSPECLLDCLDLSSEHHTLDVANRIEAAVHVWRLKDSKKHSNSVKARRSWGGKVKGLVANSGRDHFLSQRAETLLQSLKHRFPGLPQTALDMAKIQYNKDVGQSILESYSRVMESLAFNIIARIDDVLYVDDTVKRCSAAESISLFSRGGFGGMPIQKRMSPSPFSIQHTPYASPFATPSFCSSSPVTGSPCSPQITPTLKRNGRKEVTDSKPEKLASADFERVWSFTGKLSSRRASGNAPERD >OIV98929 pep chromosome:LupAngTanjil_v1.0:LG13:8369686:8375644:1 gene:TanjilG_07364 transcript:OIV98929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVDGGNKRVFNRLGGTAGDPRNQKVCYHWLAGKCNRHPCQFLHSELPPHNSNGAFSNRPYGSGENLSFNGPSRRTSNFNTWGRGGGGRGGGRGGGRSGEVVMKGDKICNYWVQGNCSFGERCKFLHSWSLGDGFSLLTQLEGHEKVVSGIVFPSGSNQLYTGSTDETVRVWDCQSGQCAAAINLGGEVGCMISEGPWVFVGIPNFVKAWNTQNLLELSLSGPVGQVYALSVINDMLFAGTQDGSILVWKFNLTANCFEPAASLKGHSRGVVSLVVGANRLYSGSMDNTIRVWNLETLQCLQTLSEHTSVVMSVLCWDQFLLSCSLDKTVKVWFATESGNLEVTYTHHEENGIVTLCGMHDSQGKPILVCSCNDNTVRLYDLPSFAERGKIFTKQEVRAIQSGPGGIFFTGDGTGQVKVWNWIPEPTTSIQ >OIV98912 pep chromosome:LupAngTanjil_v1.0:LG13:7875234:7876421:-1 gene:TanjilG_07347 transcript:OIV98912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRRQRKRQRPSGRKRKDGTDRLSDLPDGVLLHIMKFMNTKNAVRTCILSKRWKDLWKGLSEFTLHSKDFISLSRFKEFVYWALIRRDQPFNMHSLDFSRHGCIDRTFLYLLMRCAMQHKVQKLTLNINLVDHFELLHCVFKCQSLTFLKISVQSITRMMIRCPKSLGLMALETLHLSNVNFAETFDDCADPFSNCKKLNTLVIDHCVLRHGTRVLRISNATLSSLTILDTLEPTHKIDLDTPKLSSLTIRTDPIHQLSACNLPFLEELNITCRYTNMPNDPFIFTWLRLLGGNVRMMTLCSRTIEIINNLSTNVSTRIQPPSFGRLKILKVYRYQYTRLSSEGMTRAVEYLLQNSPQPKVDIIYDRK >OIV99588 pep chromosome:LupAngTanjil_v1.0:LG13:834971:839935:1 gene:TanjilG_17398 transcript:OIV99588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSSKKSASKADAAPAAAVPPSKSAKKSKRQPEDEIEKQVSAKKQKVEVVAQKQKKEAKLQKVKKESSSDDSSSESEDEKPAAKVVVPSKKQPAAEKPKVQKKESSSDDSSSESEDEKPAAKKSKPAPPSSSSDESSDEDEAPKSKVAAVKKGSAPAKKNVQPSESSESSESDSDDSSDEDNATKPSKKLPAAAAKKLPAAADKKLPAKKAESDSSSDSSSDEDDDAKPTVTAVSKAAALKKEVDSSDSDDSSSDEDDKNAGKSVPVSKQPTKKSKSSSDESDSDDEEDSSDSDADEKKKNAKASNGNKKPTPVVKVVKTESSDSSSDDEPVKDSKESSDDSSESSDDSEDEDDKNNSKTPQKSVKDVEMVDASSGKKTPNTPVTPKGEYGGSKTLYVGNLSYSVQRSDVENFFQDCGEVVDVRLAIDDDGRFKGFGHVEFATSEAAQKALELRDQELLNRPVRLDLARERGAYTPNSGPNSGNWNNSSQTGGRGQSQTLFVRGFDRSLGEDELRSSLEEHFGTCGQVTRISVPKDYDSGEIKGFAYLDFKDGEGFSKALELHESELGGYTLSVDEAKPRDSQSSGGRGAGRSGGGRFGGGRGGRFDGRGSGGRFGSGGGGRFGGGGRFGGGRGRGGPSRPSFAPEGKKTTFADED >OIV99065 pep chromosome:LupAngTanjil_v1.0:LG13:5518384:5519285:1 gene:TanjilG_32324 transcript:OIV99065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRNLGLFRSFIKEGWEKATFVAKFFCFLHVTDTYLVSPVQTFGPSMLPAIDLTANVFLIERISARSGKAACGDIVVLRSPQNPRKFITKRLVGIEGDTVTYVTSPENSDKCETVVVPKGHVWVQGDNIYKSTDSRNFGPVPYGLIQGRIFWRVSPLQDFGPFWKN >OIV99383 pep chromosome:LupAngTanjil_v1.0:LG13:2197681:2199551:-1 gene:TanjilG_17193 transcript:OIV99383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERHNTHNENEEVDMGMGMGIGGSSGSSRWNPTKEQISMLENLYKQGIRTPSAEEIQHITARLKVYGHIEGKNVFYWFQNHKARQRQKQKQETIAYFNRFLHRPQPIFTAPICPNVLCAPYCMPQTQGEISYYPQHPKVLVPVDIRRSPREKFLPMGMSSTCNDPLVYEGMQPRISDYNLSYSNQETLDLFPVHPTGILGRKTTHDQVSSLVSVSSDSSTDTPFDSSDHVNEDGDCSGDKPFFDFFTFGQGSQAKAISGGAKPKAKIVKSPVKKKMDAKPTKKASQKSVKKTKSVKSSVEKVAVKKAKKLGLM >OIV99665 pep chromosome:LupAngTanjil_v1.0:LG13:323978:326048:-1 gene:TanjilG_17475 transcript:OIV99665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKKSWFGLVKRLFIWDTHSTQDKEKRRKWMFGRVKNKRLPSITAPPSEELKETTLSEAEEEQNKHASKVAIADAEIVRLTSRNDVPQCHRKIQESAAIKVQTAYRGYLARKALRALKGIVKLQAIIRGSAVRRQAMSTLKCLQSIVNIQTKVCARRLQMAKGTCDCDENEEMQNSKDKIIRMDSNSERKWDDSTLLKEEVEAYCIIKKEATLKRERIREYSFNHRRSAESERNKVHGRWRYWLEQWVDTQLSKSKELEDLDSVFSSHSRGGEEYGVKQLKLRNLQRQNTFEGLDSPTLASRKAFSHRRQCSIGEDHSYSTSPVTPAYMAATESAKAKSRSTSSPKVRAWNLDMNYDNSYSPCKKKLSIVPSTNIDHQQRSPSLKGLSMPIKSSRTIMKDLSINSDFSLPYWNNQSSFK >OIV99613 pep chromosome:LupAngTanjil_v1.0:LG13:676490:683622:1 gene:TanjilG_17423 transcript:OIV99613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAAAVFRNSQENLLQVPLCTRSYNAESSLEKDTSTADLNASERFRSHGMLAPFTAGWQTTDLNPLVIDKSEGSYVYDINGKKYLDSLAGLWCTALEMMASFTHVLIFSMKVSLDARVGLPVSDQEITKFMETYSPLVGGGNEPRLVEAAITQLKKLPFYHSFWNRTTKPSLDLAKDLLETFTARKMAKAFFVNSGSEANDSQVKLVWYYNNALGRPNKKKFIARAKSYHGSTLIAASLSGLPALHQKFDLPAPFVLHTDCPHYWRYHLPGETEEEFSTRLANNLEELILKEGPDTIAAFIAEPVMGAGGVITPPSTYFEKIQAVVKKYDILFIADEYVLAIKYDIMIISDLHRERNILDKVRKISPKFQDGIKAFSDSPIIGEIRGTGLILGTEFTDNKSPNDPFPPEWGNLHVATNCIFPYFNNDISLHGIGAYFGAQCEKRGMLVRVAGDNIMMCPPFIISPEEIDELISIYGKALKATEERVNELKSQKK >OIV98527 pep chromosome:LupAngTanjil_v1.0:LG13:17740818:17741723:-1 gene:TanjilG_12113 transcript:OIV98527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVLLLLLCLLCFQPLPHVKAQPSSNSQQLDALLQQCAYRALVNPKTGITYNATQQLPSNLNGIRTSALRLRSGSLRRKGYQMYNEFSIPKGLIQTPYVERIVFVYQNLGNWSTRYYPLPNYTYLAPVLGLIAYNGSNLTATNLQVLHVNASSDPILVMFHDVKSPPHGTVAKCVWFDLNGSFNFSNVIKGNTCYASQQGHFSIVFKSNAPLTPKGEGKKSKSNKVWIVIVGSVVGGLALVVVLSFLVLWMRKFKQKKKMEQMENAADVGEPLHMTSVGDTKAPAATVTRTQPILEPEHAS >OIV98629 pep chromosome:LupAngTanjil_v1.0:LG13:16424513:16425574:-1 gene:TanjilG_12752 transcript:OIV98629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQKNKNKSSVVVSAVGDVSADGTIYLVGGAIAVALIGTAFPIFFSRKDTCPECDGAGFVRKAGVTLRANAARKDQAQIVCATCNGLGKLNQIDKKK >OIV99330 pep chromosome:LupAngTanjil_v1.0:LG13:2577094:2586068:1 gene:TanjilG_17140 transcript:OIV99330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGRVEAILDNENFTLEELLDEEEIIQECKALNSRLINFLRDRAQVEQLLRYIIEEPPVDAEHKRVFKFPFISCEIFICEIDVILKTLVDEEELMNLLFSFLEPDRSHSSLLAGYFSKVVVCLMMRKTVPLMNYVQAHQHVFRQLVDLIGVTSIMEVLVRLVGADDHVYPNFVDVMQWLAESNLLEMIVDKLSPSSSCQVHANVAETLCTITRVASSSLAIKLSSPSFVAKVLGYALEDSQSKSSLVNSLSVCISMLDPKRSAVSSSLFHSYRSQNMYEPPIPVNPDTIGAMLPKLGELIVLLDVSSDEKVLPTTYGELKPPLGRHRLKIVEFIAVLIKTGNEVAEKELVNSGTIQRVIDLFFEYPYNNALHHHVESILLSCFESKTDVIVDHLLPECDLIGRFLQADKNCLLSAESNQPTVAAAGKRAPRAGNIGHITQIVNKLVHLAHNRSHILACLQENSEWNEWQATVLQDRNVIENVHRWACGRPTALQGRMRDSDDDDLHDRDYDVTALANNLSQAFGYKIYGNEDNEETRDNMDRDEEDVYFDDDSAEVVISSLRLGDDQRSNLFTNSNWFAFQDDIIGDAPRDNTSSSEMMDDVNLNGTATGVSNIVDNEVVVREEEELTDSKSNVNGTSSQSTNLIGLTANNSMNGGADFESEKASASHDKGLFGFESPDNEALFGDRPSPDWVGCGEPSDMQASGSSLNPFLDHHESTSNPQVGSPNLSSSNGDSVPSNGLPTTSDSIEGDRDLSQRSVPVPSLFEEDVEFVGVELEGTEKAMEQALKEGIVGEAGALKRNIAPKVPEKENSEDGSPGMKDFNDTNYWRVDQEVAVQE >OIV98948 pep chromosome:LupAngTanjil_v1.0:LG13:8771168:8774711:-1 gene:TanjilG_07383 transcript:OIV98948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSAGEKGLLWKLPVIKSSDFGKLGPAFGFGAGCGLGFGVGILGGVGIGPGIPGLQVGFGFGAGCGVGLGFGYGMGKGIAQDEYRRYTNVGNPFRNAGNIVSEDDITALVDDFVINTKKLIRATSKEIEKWRR >OIV98646 pep chromosome:LupAngTanjil_v1.0:LG13:16130983:16135842:-1 gene:TanjilG_12769 transcript:OIV98646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRYQKVEKPKPESPINQNEIRITTQGAIRNYITYATSLLQEKNATEIVLKAMGQAISKTVAIAEIFKKRIPQLHQDTAISSVSITDVWEPIEEGLVPVEMTRHVSMISITLSTGELNKDAPGYQAPNNVEQAKPNFNYQRQPIKPARGPYNSVNEDSYGQGRGRGRGRGRNWGRGGYGYQGGYGNYQGGYEYSQGGYANYQDNGGYSNRGRGGGRGRGWGYHGYEGGRGGGTGYEGGRGGGGTGYERGRGRDTGYEGGRGGGGAGYERGRGGGTGYGGRGGVTRYERRGGDGGAGYERRGGDGGAGYERRGRDGGAGYERRGGDGGAGYERRGGDGGADYERGRGGGIGYDGGRGTGYDGGRAGGGNGYERGGRGGGRGYGRGRGRMGGRTTRGGGNQA >OIV99307 pep chromosome:LupAngTanjil_v1.0:LG13:2781090:2783992:1 gene:TanjilG_17117 transcript:OIV99307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEARMKKYPEVSPERAKVRTEKSPKYQQNRKVPVIYYLCRNRHLEHPHFMEVPLSSLDGLYLRDVIDRLNALRGRGMASLYSWSCKRSYKSGFVWHDLCEDDLILPAHGNEYVLKGSELFDESNSDRFSPISNVKTHSVKLLPGPSSSRSHEDASSSSSMNGKDTRHSQEDELSQEQHNGSSDVSPESSAGKSDPLSLALTEYKICKTDGLADASTQTEENVTREKTRKTCTRGVSTEDGSLESECHEICQPRIPQVKDNPEICRNTGSPLPSTSSPSSFGGKAETLESLIRADAGKMNSFRIVEEEGIHMPTNTRMKASNLLMQLISCGSISVKNHSFGLIPNYKPTFSHSKFPSPLFSTSFVLGEFDCLSENPKLTSLRLEDKEYFSGSLVESLETKLKEGNGHNVLKRSSSYNDEIERTNKELKPQEVKEESSSGHSKCIPRSIKASLTKHARSESMRSPVSDGTRNSLDRNDGTSLSPVTSNGSSKRITEPSSGKKQSKRMDSFREEEVIKIEES >OIV98814 pep chromosome:LupAngTanjil_v1.0:LG13:12280356:12281879:1 gene:TanjilG_25060 transcript:OIV98814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILFFLTQANPSNARTFPFILLGNKIDIDGGNSRVVSEKKAKDWCASKENIPYFETSAKEDYNVDAAFLSIAKTALANEHDQDIYFQAVPDPVPETEQRGGCAC >OIV99635 pep chromosome:LupAngTanjil_v1.0:LG13:532771:537197:1 gene:TanjilG_17445 transcript:OIV99635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKTYTTGFVSAIATASALSLTNTNNNAAYADGPFNFPSSSSSNVPEPSSDPNSAPPPPPKKVRNDHPRTTSAGFDPEALERGVKALKDIADSPHGKKVFDIIKKQEDTKITELSAKVAEFKQMQAQHETERQRIIYDEQKKLAQHQAQTKSQMAKYEDELARKRMQAENEYHRTRNQELVKLQEESSIRQEQARRATEEQIQAQRRQTEREKADIERETIRVRAMAEAEGRAHEAKLSEEVNRRMLVDRANAEREKWISAINTTFDHIGGGLKAILTDQNKLVVAVGGVTALAAGVYTTREGARVIWGYVDRILGQPSLIRESSRGKYPWSGIFSRAMSTLSQRANPVSASKNGNGFGDVILHPSLNKRIEQLASATANTKAHQAPFRNMLFYGPPGTGKTMAARELARKSGLDYALMTGGDVAPLGSQAVTKIHQLFDWSKKSKQGLLLFIDEADAFLCERNKTYMSEAQRSALNALLFRTGDQSKDIVLALATNRPGDLDSAVADRIDEVLEFPLPGEEERFKLLKLYLDKYIAQAGSRKSGFFQNLFKSKPQQIEINGLTDEIIKEAAAKTDGFSGREIAKLMASVQAAVYGSENCVLDPSLFREVVDYKVAEHQQRRKLAGSDKA >OIV99563 pep chromosome:LupAngTanjil_v1.0:LG13:997458:1001321:-1 gene:TanjilG_17373 transcript:OIV99563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYRVDDAFDGERKGVDDGDSDKLNDLAGEEVFEEAMEPQEHFHDLGSALDSDKHDDSDTGSALVSASVNETLDAAPEIDDFEEAISEVIAGESEKKGEGETEVIAGESEKQSEPERETGVSAGESEKQGEGETEVIADQELERDGPGLDIVHLNEVDSGDGLFSSDSNGVQVDNFNSSDLSGGNEESGLSTGEEVVAKEKGATIDGNSGLLSENAEIDDSDFMTPRENGDVVLENGNTDRVDYVVTETNLKSKSNEVVANQGAVAEDSKDGDSDPEFRDQKIEEVHDISADCNGGFEHQGEVISDMKDGTPVTDFSNEDRNDGDMTISDSQITECIDRGNDDAKNASESEQLEAIREIRTSSDLHETVEERDVILPAVNSSFSENSADEIPSIQTSAADLKVGSNKDNQSHISEEIHRDRDNSSVVEERDVILPAVNSSFSENSAYEIPSIQTSAADLKVGSNKDNQSHISEEIHRDPDNSSVVEEPEKIQEKHVVVKESTKVTKELKIQPATQLRSASEKSASAIPPPVRPAGLGHAAPLLEPASRVVQQSRANSAVSNSQSQQVEDSSNGEADEYDETREKLQMIRVKFLRLAHRLGQTPHNVVVAQVLYRLGLAEQLRGRNGGRVGAFSFDRASAMAEQLEAAGQDPLDFSCTIMVLGKTGVGKSSTINSIFDEVKFNTSAFHMGTNKVQDVVGTVQGIKVRVIDTPGLLPSWTDQRSNEKILHSVKRFIKKTPPDIVLYLDRLDMQSRDFSDMPLLHTITDIFGPSIWFNAIVVLTHAASAPPDGPNGTASSYDMFVTQRSHVVQQAIRQAAGDMRLMNPVSLVENHSACRTNRAGQRVLPNGQVWKPHLLLLSFASKVLSEANALLKLQDSLPGKPYTARSRPPPLPYLLSSLLQSRPQLKLPDEQFGDDDSPDDDLVESSDSDDETEVDDLPPFKPLTKAQVHKLSKAQKKAYFDELEYREKLLMMKQLKEEKKRRKMMKKMAEAAKTLPSDYSGNMDEESSGAASVPVPMPDLALPSSFDADNPTHRYRYLDSSNQWLVRPVLETHGWDHDVGYEGLNVERLFVVKDKIPLSFSGQVSKDKKDANVQMEIASSVKHGEGKATSLGFDMQTVGKDLAYTLRSETRFSNFRRNKTAAGLSFTLLGDALSAGLKIEDKLVASNRFKLVFSGGAMAGRGDVAYGGSLEAQLRDKDYPLGRSLSTLGLSVMDWHGDLAVGCNVQSQIPIGRHSNLVARANLNNRGAGQISIRLNSSEQLQIALIGLIPLIKKLVLYPQQVQFGQ >OIV98955 pep chromosome:LupAngTanjil_v1.0:LG13:8855571:8856265:-1 gene:TanjilG_07390 transcript:OIV98955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWLINQAGKLEPIMSKGLEFRRYDEKSIDMVFRTLPKCQVLIDKVLECTPSPDILYSDHNLAQAAMSNTLRESFQVYMTFYEGIAALVNMIFDLTASARGLACEILKKASPQSQKLHDLPQNQLAASHVSLSSRKSISEVELAVAAKEGKRNEDKIDINLSPSLFSQTLETKRSNVWVVFEDEAPNESLVLPAQQKLGDADE >OIV98607 pep chromosome:LupAngTanjil_v1.0:LG13:16883356:16884849:1 gene:TanjilG_23046 transcript:OIV98607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHMHNKPPIFQLCKILGSIVKMKHYPIAISLFKRMEPMRIIPDFIALNILLNCYCHLDQMHCAFSVLAKILKLGYEPNTITFNALINGLCLSGDIKKALQFHDTVIGLGYQLNAITYATLINGLCKMGQTEAATQLLRMIESKVMKPNVVMYNTIIDSLCKDKLITRALHLYSEMVVKGISPDIVTYSSLMYGLCIVGRLKEATDLLSDMAMKNIDPNIYTFNTLVDAFFKEGRVKEAMSLLAVMIKAGVKPDVFTYNSLMDGYCLVNEVSKGKHVLSTMPQMGVTPNVQSYSIIINGYCKLKIVDEAMNLFKEMQRKNITPDTVFYSSLIDGLCKSGRLSHACKLLDEMHDRGHPANVITYTSLLDALCKNQQLDEATAVLKKIEDQGIKPNVCTYTVLIHGLCNVGRVETAKEVFQHFFIKGYHPDVRICNVMIRGLCKEGSFDEALALKSKMEENHCLPDAVTFETIILALLEKNKNEDAEKLLSEMIARGLL >OIV99229 pep chromosome:LupAngTanjil_v1.0:LG13:3586963:3591382:1 gene:TanjilG_06534 transcript:OIV99229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRYDTNPFEEEQEVNPFSEETAKGKRSGPSSYGGGAFYTTKKPESVPSTTSSLSPLPHEPYDRGATIDIPLDSAKDVKAKEKELQAKEAELKRREQEIKRREDAIARAGIVVEEKNWPPFFPIIHHNIADEIPIHLQRVQYVAFTTWLGLVLCLLWNIVAVTTAWIKGEGPTIWFLAIIYFISGAPGSYVLWYRPLYRAMRLQELYVYLGLWVMWEECLSLEGSWEDKVKGEGQGRQVHIAFCIFAAVAPPIIFKGKSLAGILPAISVLGDNALVGIFYFIGFGLFCLESLISIWVIQQVYMYFRGSGKAEEMKHQAARRTVMAAV >OIV98638 pep chromosome:LupAngTanjil_v1.0:LG13:16250808:16251626:1 gene:TanjilG_12761 transcript:OIV98638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMTTSNTKLTQFFLPTFITFFLILLNKVNSSSNQISFTINKFISNETDLIFQGDASVSSTGALQLTKVENNQPTQNSVGRVLYSKPIHIWDSKSSKVASFSTSFSFIVSSPDTNQPADGLAFFLAPTDSQIPPNSIGNGGFLGIFNEQTLNTSNQIVAVEFDTYTGNNWDPSFQHVGIDVNTIASIQTVSWGWRNGEVANVIINYVASNKTLTATLTYPSDKTSIVVTASVDLKTILPEFARVGFSGATGALVETNNILQWSFSSTFKSKN >OIV99578 pep chromosome:LupAngTanjil_v1.0:LG13:908544:913790:-1 gene:TanjilG_17388 transcript:OIV99578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILQSPSLNFHFSHIFPSLCQRTNEVNNFVASPSRRRRTKRSIVHSGYRNFRRRLSLCFCAVTTGYSSNRNWNAEFENSTRRGVQSFVFEQFSNEIEEDNDHGVSQSQESQQVQSGSTSKFTSFQEDPIVDKLRTQLGVIHPIPSPPINRDVIGLFVFFFFVGIVFDKLWTSRKRNKVSGEDSLRDVLPQVPTSSFWFLEKDLQRKESVEWVNMVLGKLWKVYRGGIENWIIGQLQPAIDDLQKPDYVQRVEVTQYSLGDEPLSVRNVERKTSRSVNDLQYQIGLRYTGGARMLLILSLKFGVMPIEVPVVVHDFDIDCELWVKMRLIPTEPWVGSASWAFVSLPNIKFQLSPFRKFNLMTIPVLSMFLTKLLTEDLPRQFVYPEKIDLDFQMGKTVGPVANDVKSGEMQDGKRNFVGELSVTLVDARKLPYLFRAKTDPYVILSLGDQIISSKKNSQTTVIGPPGMPIWNQDFHMLVANPNKEKLLVQVKDSLGFADFTIGTGEVDLGSLEDTVPADRIVVLQGGLGILRKASRGVVLLRLTYKAYVEDDEDDETAEDAINIDASDDKLSDSEEAHVTDKKNEGDTMHQTDNKSFMDVLAALVVSEEFQGIVESETGSTNNLDNSSNTGSKVSKSPVANVESIPSTLDNSEAFVGSALIWFAVVTGISLLIALNIGASSYFNP >OIV99695 pep chromosome:LupAngTanjil_v1.0:LG13:131381:131995:-1 gene:TanjilG_17505 transcript:OIV99695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSSHNLLRISLSLIFISTLVHIASASNSTSTKSINNSYKRFIKVKCNSTTYPSYCYKSLSPYASTIKTNTLTLTKLSIHVALKAAKNVSLTLKKLSKAKGNLSHAETELIADCKENIGDSVDLLQQSADGLKDLNGTSTYEERFEWDTIKTWMSASITDVGTCSDEFDELKVRASIQKKIKPAIAHLAWFNSIALALVNRLSY >OIV99002 pep chromosome:LupAngTanjil_v1.0:LG13:7396514:7400094:1 gene:TanjilG_29405 transcript:OIV99002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENQKIDPRSGFNSSNSTYYSKRKPLPLPQNHFLDVTTFISSHSHHGNVAFIDSATNRHFTFRQLWLAVDSVSSSLSSTGIRKGDVVLLLSPNSIYFPVVCLSVMSLGAIITTTNPLNTANEIAKQINDSKPVLAFTTSQLINKITASSPNLPIILIEENEELQQGGVIDTLHNLMKKEPSVNRVKERINQDDTATLLYSSGTTGPSKGVVSSHRNLISMVQIVQSRFKSDDLQTFICTVPMFHIYGLIAFATGILALGSTVVVLSKFDMHEMLFSIEKFRATYLPLVPPILVAMLNNAEAIRAKYDLSSLHSVLSGGAPLSKEVIEGFVEKYPNVSILQGYGLTESTGVGASTDSLEESRRYGTAGLLSPATEGKIVDPESGEELPVNRTGELWLRGPTIMKGYFSNAEATASTLDSQGWLKTGDLCYVDNDGFIFVVDRLKELIKYKGYQVPPAELEALLLTHPAILDAAVIPYPDREAGQIPFAYVVRKDESSLSENEVMDFIAKQVAPYKRIRRVAFTSSVPKNPSGKILRKDLIKLATSKL >OIV98895 pep chromosome:LupAngTanjil_v1.0:LG13:7512598:7583336:-1 gene:TanjilG_07330 transcript:OIV98895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFEGLVHQLLLGYLGRYFKDIQKEQLKIRLEEVLLENVELILEAFDYLQLPFALKKGRVGRLSIKIPWKKPWDPIIIILEDVFVSASQRGDQEWSADAVEKREFAGKKAKLAAAELGKLSRRVCEESDSETSGLGDFPYQTGKRTFNFCNQILDSIQVDIRNFHILYSDVQNDLVYEQVDWISIWDQEYSVWSSNGRVRVGQEHKIVEIKGLEFYFSMFHSSMNLANRSQKLDDNTPQYSITAELTGLVISLDEAQLQQMFLVWDYVCTCRLREKYGRFRPWHCPLPRKSEGWQILWWHYAQESVLSDVRKKLKKTSWRYLGVRLSYRRKYINLYKMKLDFLQQEQPVDDDVLRELEQMEKESDLDDILNYRSAAEYEMREFLAKSSSPNNGRIHTDVPTEKSFNDEHTAKSRGWLNWLSRGMLGAGGTDDSSQFSGVVSYDVKDISEATEFHPIDSSWVDVAAKHDSCIFALKLEIHQLSASIYSKKYDKGIAEIILESGIFESKLYEEHGIIVSNFKSGKVVDPRSREVILHVRGPVVENNVLDTVGHSCSIELNFSSNRDTDMSVKGMLQQLEVTFDANVLFNLLEFYDVFKSFKFHNERVLLSLNGIKNDNARLLSKAEYVFADIKKVMWDITIFDTVINLPWRNSASEYCNLVMKSRSLCFKSTISLDSFSSEVDKQSYPVKKFLKSMSSSGVYLGIQLQDLYHYFDVKLNDFMITINSGQSQKFSILENFSASFFLAFCVIPDESILKQLEVYVLIESLKAHFSPSIYGAFLELITHLGTTLLRDESETLNCPYSSDMVSVVPNSTFGVSIISKFGSVDLDVDLENSGDNSSELMVSLQEIDIQYDSTEFQEFDLSMKSLTVCAYKMKDEKDSSLVLLSGNFSFPSTTVGEDCVPGPSIEFDQYSDGAILADACFAMHYESPRSDLVCHKFIVHLHNVDIHCYPHVVGLLVGFCNRLSAFSTQFEKSSACNTADISKILSSFGLQKFGFSNYFEFGSSDSACIPMDHFPFVTIYNTGSLGDLESSLIYPIPDWRNCFILRDRKIKSSKINMRKGSNFFQDSPSKSKSGFGYYLESGIASISNIFSAELHLYGLRAHFHDSSCIIGTMLVPTCKSSILFCEDSIDILSSSEGLVLTSSWWSRNFQDYLWGPSSSNLSPILNVRVKKGQNISSTADLEVSISVQHVYCMLPSEYLSIIIGYFSLSDWGGYSNDQFTIEEQSDINVKNDMNITYKFEILDSTLILPVESNEHQFLKVEMQQLYCSFIENCDFDDVLKNIPPESSVPMHKLGKRNKSLNVFGRDLFVSLLLYNNDMLGFATIERNTEFVVSPLIAPLNADIWVRVPHENESYCESSSPSICFMTSINSCHIIAEDGYFFDGCMAILDIIEEFSSVGDQSKCFKSDVLQFLHSKRSLKVNGAISLTLLDSAVILTELKCCTQSLLISFCHRKGDSVELITKGDLQFICSASLMNDSLEWLDLGFTTLVFYSPNDFVLAKCSSTSSSTSVLGISFSKSCDSENELNLCLSSVDIWLHLSEWAEIAKFLNHFSVHMEKTVPVDSVSRNLSSNAANSVKTAVVDASSFLDSEATSTYSTSHEMENVFLLVTRSENICIAFHIPVWVSEEPCVEFQLAEGLRVAPFCGSSDMVEEKGTKFLIVKFNLNGFELLINSRDILLTLNMEKMSSVIMTAENGRHASWPLFDIIQVHVEAVLFKKHANTVEHKVEIICDHSDVWLSHPALYLLDAVKFNIPKAGNSQYSSSDMAFKFQMRKVSILLTDGKWSYNGPQLEILLRNILFDANASGKHIECSVTGNLQINYNNIEKGVSRTTAMFLDALGLMASKNHEAHKLLHSPSAEYMCARRCAAPYVLQNLTSVPLIYHVYHGNINPDELCISDEKHGKYVQPGSEIPIYLDENAEEQLSRYMPSRSSDSLNDQRSNVFAHHYIIVQLEGTSMASNPMSMDLVGLTCFEVNFSKTYNENAEDGRMNKAPTFVVPVVFDVSVLRYSKLIRIYSTVVLLNATSIPLELRFDIPFGLSPTLLDPVHPGQQLPLPLHLAEAGYVRWRPMGNSYLWSEARNLSNLLSVNSKVGTFKSFVCYPSHPSSHPFRCCLSVKNISLTSSGWLNSTSSANDTKERQIHHLILSAPLMVNNYLPMEISLISESGGVNHTVKVSEVETSVYHIDPSHDLGLEICIDEFKSSDFKFPRLETFCKMAKFTDTKFSLSETLIFEPNNSNGPIYVTVEKVMDAYSGSRELILSVPFILYNCMGFPLCITETTGESNKRGFVLPSYYSVGEKETYSSGRDGLSLLSFSHDLHAEVPDNQSSYLKNYIISSREGRPNSPGFLRNSLFSGNYHEILGRQRSKSESSQSSSLGRLKRTMSSSIQSNLGSDNLEHENIQPCMFSPSPNSPVNDVVVKLSRYSSEDVKEQLQHSLWSSPFSLLPPSGSSTVLVPHLSSNSAFILAVMCNSLVEQYDGRTNAISLQPRYVISNACSKEISYKQKGTDVMLYLGIGEHAHLHWTDTTRELLVSVCYNESGWQWSGSFLPDHLGDTQLKMRNFVSGTSNMIRVEVQNADISMLDEKNVGRFKGNSGTNLILLSDDDTGYMPYRIDNFTKERMRIYQQKCEMFDTVIHSYSSYPYTWDEPYYPHRLTVEVPGERVLGLYTLDDVKEYMPVYLPPTSEKPERTFFISVHAEGATKVLSVLDSNYHIFNDVKKSSVPHTAEKRLYDNKQVRPSEYKEKISIFVPHIGISLINSYPQELLFACIKDIQIGLLQSLDRQSLFLQLSFLQIDNQLPSTPHPVMLSFDGGNRSCQVDNVKSRDDVAKTRIEKSNQMNSSSSTTPVFCLEISKWRKKDVSFISFEYIKLRMADFRLEIEQEVILSLFEFFTNVSLGLQYGIMPSSDYYDGVSLTDSSPFVQNSENPRLTAYLCPPIIAPMFNQKTKKIVSLPSIVPIGAPWQEIYLRARTQKKIYIEMLELAPIKLTLSFSSAPWMLRNRILTSKEFLIHRGLMALADVEGAHIYLKDLTIAHHMASWESVQEILIRHYNRQLLHETYKLFGSAGVIGNPLGFARSMGLGIRDFLSVPKKSIMQSPTGLIIGMAQGTTSLLSNTVYAISDATSQFSKAARKGIVAFTYDDQAVSRTQNHQATVSSDSKGVINEVLEGLTGLLQSPIRGAERHGLPGVLSGVALGITGLVAKPAASILEVTGKTALSIRNRSKPNQLRSHCYRIRLPRPLSRELPLRPYSWEEAVGASVLMETDDGLRFKDDKFIACKALKEAGKFVVVTERFVLSVFSLSLVKLGKPDFCGIPADLEWIIEWEIGLENIIHADTDQGVVHIIGTRPDSLLRQNQFSPKRSSGGRTRSMSWSHYPTHLPLPQTNLELAFEEDASNLLQILLSAIEQGQGKGWDCGRILHRANRVSIPRIFE >OIV99387 pep chromosome:LupAngTanjil_v1.0:LG13:2167452:2169012:-1 gene:TanjilG_17197 transcript:OIV99387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNTPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDALMKILTERGYSFTTTAEREIVRDVKEKLAYIALDYEQELETSKTSSAVEKSYELPDGQVITIGAERFRCPEVLFQPSMIGMEAVGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEISALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDEAGPSIVHRKCF >OIV99128 pep chromosome:LupAngTanjil_v1.0:LG13:4709392:4712116:1 gene:TanjilG_22708 transcript:OIV99128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTRPGRKDVDSYTIKGTNKIVRAGDCVLMRPLDTTKPPYVARVEKIDQDNRSNIKVRVRWYYRPEESIGGRRQFHGAKELFLSDHYDVQSAHTIEGKCVVHSFKNYTKLENVAAEDYYCRFEYKAATGAFTPDRVAVYCKCEMPYNPDDLMVQCEGCKDWYHPACMGMTIEEAKKLEHFVCSECSSDDDLKKPQATFSASPGADGKAGIIMG >OIV98923 pep chromosome:LupAngTanjil_v1.0:LG13:8225884:8227552:1 gene:TanjilG_07358 transcript:OIV98923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRMRNSNNTNGLSNLMMNNGSKAEPGSKEWEMRPGGMLVQSRTGDSDRNSLPPPPTIRVKVKYGSIYHEVNINSQATFGELKKMLSGPTGLHHEDQKLFYKDKERDSKAFLDIVGIKDKSKIVLKEDPISKEKRYLEMRKNAKKEKTAKSISEVSLEVDRLGGRVSAFESIISKGGKIAETDILSLIELLMNQLLKLDGTIADGDLKLQRKIQVKRVQKYVETLDMLKVKNSMPSSNDGNAPIQPQQKHSNVKRLAPIQEQPQIHSNIHHSLIPIVETQEQPSKDSTSGVVVTTKWETFDSMPPLISVPSTSTPMTNNNSSHPKFNWEFFD >OIV99256 pep chromosome:LupAngTanjil_v1.0:LG13:3341890:3344028:1 gene:TanjilG_06561 transcript:OIV99256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDGEVSNGFPLNPTTGSSNNSDPVLKRKRNLPGNPDPEAEVIALSPKTLMATNRFLCETCGKGFQRDQNLQLHRRGHNLPWKLKQRTSKEVRKRVYVCPEKTCVHHNPARALGDLTGIKKHFCRKHGEKKWKCDKCSKCYAVQSDWKAHSKTCGTKEYKCDCGTIFSRRDSFITHRAFCDALAEETARVNATSSISNSLGPNFSYNIMGTPQGPNMATHFSSIFKPVSSIDHETSNQTSRGLSLWMDQLSHQAHETKVNENIHQLGSAPNSGSAIYGENPEFSQCSNNHSPSNNYHLNWVFGTKPSSNSTLELITSTTTSLPLGNIIKDATCTSQLISVPSLYSSQHQSHQTSSATNMSATALLQKAAQIGATSTTDPSFLGSLGLKCSNNQGQDGNKIFQMYGSSSVPTSFGSEAENSACDLSQMHPAKRRHVQKEEGPGGGQTRDFLGVGVQTLCHPSSINGWM >OIV98996 pep chromosome:LupAngTanjil_v1.0:LG13:7316713:7318702:-1 gene:TanjilG_29399 transcript:OIV98996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGGKSKTETKRADPKLAVNKKGAAAAKPARKPAKGKAAKDPNKPKRPASAFFIFMEEFRKQFNKENPENKAVSAVGKAAGAKWKSISDAEKAPYVAKAEKRKVEYMKNMRAYNNKQAEGPAAADEEESEKSISEVNDEDDGDDDGSDEDDDDDDDDDE >OIV99267 pep chromosome:LupAngTanjil_v1.0:LG13:3214425:3214760:1 gene:TanjilG_17077 transcript:OIV99267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKRARSPRLELRLNLSPPRTPTSSSISADISLLDFSILSSPTLTVSSHSSEGSSCVSSEAEETRAMLLVGCPRCLMYVMLSEVDPKCPKCKSTVLLDFLNNEENNKKTNR >OIV98903 pep chromosome:LupAngTanjil_v1.0:LG13:7685613:7686103:-1 gene:TanjilG_07338 transcript:OIV98903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGSLMCISFIVSFETCLLTTSAESFFFWFLPDYHSLTPITISDYNWWQKENIWLEIGVGVTDSSSVITISVYFPRSSTLRTRIRLPTCK >OIV99638 pep chromosome:LupAngTanjil_v1.0:LG13:518440:520457:1 gene:TanjilG_17448 transcript:OIV99638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTRSVKVSNISSSATEQDLKEFLTFPGKIDYIELKSDNGSSQVAYVTFSNPEGAETAVLLSGAVVAGQPLTIAMAPEYSLPASVASTLPTATETKNAETGESGLRKAEDVVTSLLAKGFILGKDALTHAESFDERHHVTSTATAKVVSLDQKVGLTEKISAGTTLVNEKVKEMDEKFQVSEKTKIAISAAEQTVSNAGSAIMKNRYILTGATWVTGAYNKVAKAAEEVGQKTKEKVLAHDNNNNQGKTEEQGHVQTNIIEPQKTTTPDQPSKPESQQNTKPDKPSNPETTTQGLIL >OIV98729 pep chromosome:LupAngTanjil_v1.0:LG13:14573302:14578513:-1 gene:TanjilG_24900 transcript:OIV98729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATFKTYSSVCTTGIAFPRSSYRKPKPTQAAIVPSFHLPMRSSEVKNRTSTEDIKSLRLITAIKTPYLPDGRFDLEAYDDLMNTQIENGAEGVIVGGTTGEGQLMSWDEHIMLIGHTVNCFGGKIKVIGNTGSNSTREAIHATEQGFAVGMHAALHINPYYGKTSLNGLISHFDSVLSMGPTIIYNVPSRTGQDIPPHIIQTLAKSVSLAGVKECVGNDRIKQYTDNGIVVWSGNDDQCHDARWGYGATGVISVVSNLVPGLIRELMFAGNNPALNSKLLPLIDWLFHEPNPIGLNTALAQLGVVRPVFRLPYVPLRVEKRIEFVNLVKNIGRKHFVGEKDVEVLDDNDFIMNPPMVGAHWTSTEDIKSLRLITAIKTPYLPDGRFDLEAYDDLMNTQIENGAEGVIVGGTTGEGQLMSWDEHIMLIGHTVNCFGGKIKVIGNTGSNSTREAIHATEQGFAVGMHAALHINPYYGKTSLNGLISHFDSVLSMGPTIIYNVPSRTGQDIPPHIIQTLAKSVSLAGVKECVGNDRIKQYTDNGIVVWSGNDDQCHDARWGYGATGVISVVSNLVPGLIRELMFAGNNPALNSKLLPLIDWLFHEPNPIGLNTALAQLGVVRPVFRLPYVPLRVEKRIEFVNLVKNIGRKHFVGEKDVEVLDDNDFIMVRRY >OIV99543 pep chromosome:LupAngTanjil_v1.0:LG13:1139370:1139546:1 gene:TanjilG_17353 transcript:OIV99543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIFIVAMVIPPSNNSLAVAAAIHQDFFQIIFPFGPTAALLGVQMAVLLWKMSSRSMF >OIV98618 pep chromosome:LupAngTanjil_v1.0:LG13:16609164:16610322:1 gene:TanjilG_12741 transcript:OIV98618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGRESLRSELSGKIVIDGWNPKIATQDYIYKGKDGETLSTPRKDMSSIYQEQRVQPCHLSSSIYYGGQDIYSLPQSTQDSGLKSLYKKDGGEDDSGSASRGNWWQGSLYY >OIV99348 pep chromosome:LupAngTanjil_v1.0:LG13:2437488:2439468:-1 gene:TanjilG_17158 transcript:OIV99348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKYYDIDDIITEEETVSVIFQKAASGVGIDPSAETDFIEAGSKVEVPFWLAHELQLRQAVSVNVPPCFNQKTRLEIQADSASVDLRSRCPFFYEFGCKMAPIVADRTIGFLLLSAFKSRYKEVLTKAHTTSFEAGSKFWTILTKEEINLYETAQSAMVSFKKWRMGGARFQIASILRRKRKPTE >OIV99400 pep chromosome:LupAngTanjil_v1.0:LG13:2062547:2067490:-1 gene:TanjilG_17210 transcript:OIV99400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGNNDGYFGGELEKEIGTLLRDQRGQESDDLERELNIFRSGSAPPTVEGSLNAVGGLFNSGADAVTASLSEEELRSDPAYHSYYYSNVNLNPRLPPPLLSKEDWRFAQRLKGGGASVLGGIGDRRKVNRTDDNIGGRSTYSTPPGFNMRKQESQSEADNEKAGGSAEWSGDGLIGLPGLGLRTKQKSIAENFQDDMGCNTSVTGFPSRPASRNAFDENADVISFVEAELGHIGHGSPTTDAIKSSVAENISLSASYSYAAALGGSSLSRSTTPDPQIVARAPSPCLTPIGGGRTVVADKRGVASPDLFNGVSSGINEPSDLVAALSGMNLSREDTLDGQNHLPSQVQSDVGNHTRYLFGMQGSQDRGKQHAYLKKSESGNVQNSNKTGGSRPDLNNPFLDRRVEQQKSDAPSHNSYFKGSPSSNFNGGSSFPAQYLPSDGTNSPFTNYGLSGYAGNPALASWMTNQLGTGNMPPLYENVVAASAMANPGMDPRILGGGLPLGSDAQSLGKMGNQIAASGLQSPFVDPMYLHYLRTCQYTGQLGALNDLSSVDRNYLGNAYMLELQKAHLGSLPSPRKSQYNVPLGGKSGGSNHYGYYGNPAYGVGLSYPGSPMANSAVSTSPGGSGKRNLAGVMGHWHADAGTMDESFASSLLGEFKNNKTKCPDLSEIAGHVVEFSADQYGSRFIQQKLETATTDEKNMVYQEIMPYALSLMTDVFGNYVVQKFFEHGLPSQRRELAKELVGHVLGLSLQMYGCRVIQKAIEVVDLDQKIEMVQELEGNIIHCVRDQNGNHVIQKCIECVPEEAIQFIVSTFFDQVVTLSTHPYGCRVIQRVLEHCKDPTTQQKVMNEVLGAVSMLAQDQYGNYVVQHVLEHGKPHERSAIIKELAGKIVQMSQQKFASNVVEKCLSFGGPSERLLLVNEMLGSTDQNEPLQAMMKDQFANYVVQKMLETCEDQQRDLILSRIKVHLNALKKYTYGKHIFTRVEKLVAAGERSVAAHSPQTA >OIV98936 pep chromosome:LupAngTanjil_v1.0:LG13:8609156:8611495:-1 gene:TanjilG_07371 transcript:OIV98936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKFPMAKKGYPFILTTCLLFCMVFSSNAQNVKKTYLIQMDHSAMPKTFSNHLDWYSSKVNLELSKSLQPDMNNEERIIYTYHNVFHGVAAKLSKEEAEKLEAEEGVVNIFPDTKYQLHTTRSPTFLGLEPEHSSYNIWSEKLADYDVIVGVLDTGIWPESESFNDTGMRPVPSHWKGACEIGRGFRKDHCNKKIVGARVFYHGYEASLGKINEQKEYKSPRDQDGHGTHTAATVAGSPVHGANLLGYASGTARGMAPGARIAAYKVCWIGGCFSSDILSAVDQAVADGVNVLSISLGGGIASYYRDSLAVAAFGAMEKGVFVSCSAGNSGPDPASLANVSPWITTVGASTMDRDFPAYAKLGNGKNVTGVSLYKGKSMLSISKQYPLVYLGSNSSSNDARSLCLEGTLDPQVVSGKIVICDRGLSPRVQKGHVVKSAGGVGMILTNTAVNGEELVADCHLLPAVTIGEKEGKKLKNYVLTSKKATATLAFLGTRLGIRPSPVVAAFSSRGPNFLTLEILKPDLVAPGVNILAAWSELVAPSALVTDQRRVRFNILSGTSMSCPHVSGIAALLKARHPEWTPAAIKSALMTTAYVHDNTKNPLRDASSDEPSSPYDHGAGHINPVSALDPGLVYEIEPQDYFEFLCTQHLTQNQLRGFAQYSNRSCTHTLASPGDLNYPAISLVFQEKTSISVLTVHRTVTNVGPPISKYHVIVSPFKGVFVKVEPEILSFTREHKKLSYNVTFTTKSRQTTPEFGSLVWKDGLHRVRSPIVITWLSPI >OIV99261 pep chromosome:LupAngTanjil_v1.0:LG13:3254316:3256158:1 gene:TanjilG_17071 transcript:OIV99261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDKKVVIVGGGVAGAILAKNLQHQANVTLIDPKEYFEIPWANLRALVEPSVAERIVINHREYFTKGDLVTSSAVNISETEVFTEDGTQIAYDYLVIATGHKEPIPKTRTERIDQYKEGNEKIKSASSVLIVGGGPTGVELAAEIAVDFPDKKVTIVHKGSRLLEYIGPKASSKTLKWLKSKKVDVKLEQSVELDSHRDGNKTYLTSLGETIEADAHFLSIGKPLGSAWLRETFLKDDLDAGGRVKVDEYLRVKGRDNIFAIGDITDVQEIKQGVYAQAHALLVAKNLKLLTEGGGKEQKLGTYKAQAPISIVSLGRKIGVAQLPFITILGRFPGIIKSGDLFVGKTRKGLGLEANVKKA >OIV99207 pep chromosome:LupAngTanjil_v1.0:LG13:3764732:3778533:-1 gene:TanjilG_06512 transcript:OIV99207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYIATSSLLRATRSKIISSSISRTFSYHSSSTYRSFSSTVARSFCSSVPRWSHRIDWRYPFTLRSQIRAVAPVIERFHRKIATIANENPFKGNLTSLPKPGGGEFGKFYSLPSLNDPRIDRLPYSIRILLESAIRNCDNFQVTKEDVEKIIDWENSYTKQVEIPFKPARVLLQDFTGVPAVVDLACMRDAMNKLGGDSNKINPLVPVDLVVDHSVQVDVARSENAVQANMELEFQRNKERFAFLKWGSTAFRNMLVVPPGSGIVHQVNLEYLGRVVFNNEGLLYPDSVVGTDSHTTMIDGLGVAGWGVGGIEAEAAMLGQPMSMVLPGVVGFKLSGKLRDGVTATDLVLTVTQILRKHGVVGKFVEFYGDGMGKLSLADRATIANMSPEYGATMGFFPVDHVTLQYLKLTGRSDETVAMIETYLRANNMFVDYNEPQQDRVYSSYLELNLSDVEPCISGPKRPHDRVPLKEMKADWHSCLDSKVGFKGFAIPKEAQGKVAKFDFHGQPAELKHGSVVIAAITSCTNTSNPSVMLGAGLVAKKAHELGLQVKPWVKTSLAPGSGVVTKYLLQSGLQKYLNEQGFHIVGFGCTTCIGNSGDLNESVASAISENDIVAAAVLSGNRNFEGRVHALTRANYLASPPLVVAYALAGTVDIDFEKEPIGTGKDGKNVFLRDIWPSNEEIAEAVQSSVLPNMFRSTYEAITKGNPMWNQLQVPADKLYSWDTNSTYIHEPPYFKNMTMDPPGAHGVKDAYCLLNFGDSITTDHISPAGSIHKDSPAAKYLLERGVDRKDFNSYGSRRGNDEVMARGTFANIRLVNKLLNGEVGAKTVHIPTGEKLYVFEAAMRYRSAGEDTIVIAGAEYGSGSSRDWAAKGPMLLGVKAVIAKSFERIHRSNLVGMGIIPLCFKSGEDADTLGLTGHERYTIDLPSKISEIRPGQDVTVTTDNGKSFTCTVRFDTEVELAYFNHGGILPYVIRNLIKYALGFHKVFQDLRHTGSMSFDPRNKRKIKIESMNHISVKIPNENPSNGNLIGKFGNRNSLPSLNNPIAKLGGDSIDKINDSLSYKASGHDTNVLAGAGYVNGSSRVWASKNPMLLGVNAVMARSLDRSMDSNALGLTGHKRHMSDTTSTISETRAYGFRPLSPHLPVYQPQLSSTLSIFNRISGALLSTVILLFYMIYMKVGLISLSYDSFYQFLFYSSKLNLLAVEISGLALSYHLYAGIRHLVQKL >OIV99167 pep chromosome:LupAngTanjil_v1.0:LG13:4259424:4262224:1 gene:TanjilG_19663 transcript:OIV99167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLHISPSLRHVTLLPGKGLREFIKVKVVSRKLSYRMLFYALLFFTFLLRFVFVLTAVDSIDGENKCSTIGCLGKKLRPKILARSLESSVPEVMYGILDQPLGKDELEGRSDIPQTLKEFMTEMKEGGYDAKAFAIKLREMVSLMEQRTRIAKIQEYLYRHIASSSIPKELHCLHLRLANEHASNAAARLQLPPAELVPALVDNSYFHFVLASDNVIAAYVVATSLVRNSLRPQKVVLHIITDKKTYYPMQAWFSLHPLSPAVIEVKALHHFDWFSKGKVPVLEAMEKDQQVRSQFRGGSSSIVANTGEKPNVIAAKLQALSPKYNSVMNHIRIHLPELFPSLDKIVFLDDDIVVQTDLSPLWDIDMDGKVNGAVETCTGEDKFVMSKRLKSYLNFSHPLISKKFDPNECAWAYGMNIFDLEAWRNTNISLTYHYWVEQNIKSDLSLWQLGTLPPGLIAFHGHVHNIDPFWHMLGLGYQENTSLAEAKSAGVVHFNGRAKPWLHIALPQLRSLWTKYIDFSDNIIRSCHIRESS >OIV98846 pep chromosome:LupAngTanjil_v1.0:LG13:10599986:10600582:1 gene:TanjilG_02556 transcript:OIV98846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSSTQRHQDHKLVPKQCETFFKYLSSQRTPSSFSSCSSFSPSSSSFGSLYFADDTLLSPTTPHRFSGVPFSWEHLPGIPKKQSSKKKQESSFKLLPLPPPTTRIHSCKKLNHDDETRIRKKNSVQSNSQRDPFFDAMVKCSKDDHEEGKSINLWNDAKVSRSFSDRFGFINLNASCKRTSAVSESIVYISSSKRST >OIV99083 pep chromosome:LupAngTanjil_v1.0:LG13:5721238:5721915:-1 gene:TanjilG_32342 transcript:OIV99083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESFANIFFMFFITFTNIKLCLSDYDNIQDNCPAISPKMQTIFINGLPCKSSANITAQDFRTSELSNPGSTDIFGAAIKIVTAAEFPGLNTLGLAIGRIDIDEDGLVNFHYHPRATEIIFVTKGVLLAGFIDTKNQVFQEFLSVGDVFVFPKGLFHFILNHGFQDATFVSVFNSQNPGLVSITATTFGNTLESLEKVKKKLISLSAYEVHDNAILTMPRLESIFS >OIV98849 pep chromosome:LupAngTanjil_v1.0:LG13:10583574:10585562:-1 gene:TanjilG_10827 transcript:OIV98849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRLNGPADLMIVSDLDFTMVDHDDPESVALLRFNALWEAYYRHNSLLVYSTGRSPTIYRDLRKQKPLLTPDITIMSVGTEITYGDSMVPDERWKQSLDHNWNREIVMEETAKFPDLILQSETEQRPHKVSFYLEKGKASKVMQALSKYLETRGLDVKIIYSNGIALDVLPKAAGKGQALKFLLEKLKDGGLKPIHTLVCGDSGNDAELFTVSEVYGVLVSNAQEELVQWYSENARGNAQIIHATERCAAGIMQAIDNFSLGPNVSPRDISDSMFKRKNLSPGHEVVMFYLFYERWRRGEVENSEQYIQILRSIFHPTGNFVHPSGVDQSMHQCIDTIVNLFGDKRCIDFHVWVDCVSFAEVSFRSWLVKFDKWESSGEICLVI >OIV99670 pep chromosome:LupAngTanjil_v1.0:LG13:281604:283133:-1 gene:TanjilG_17480 transcript:OIV99670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYVYLSCKAESTVSTSNSLSQSSKQNKDKTINIQHFHYSDLEAATNGFSEQMLLGKGSHGYVYKAILSGKHVAVKRSSTHHSIHPPRPHTNYSTSHSITNELDNEIEILSKIQSPRLVNLVGFTNDSKDRLLVVEFMSNGTLYDVLHSSSNKSKIPCWGRRIRFALETAKAIDTLHSSTPPVIHRDIKSANVLIDQNYNARIPPPKNPIVRKQLAVIAAKCVRSCRERRPSMNEIVNWLCGLCKLMPLHSWNGFNINNPCMMVEPVGRPIEAGNNAAQAQWSSRLEGMVEGGNNLDAVDAKLSKSATRYSRRVYSDLGLSNNLMDLMAATEDNDFVRDVDGVEHGSKSGKKVSSSTRFGSGRYFTKGSTRHLYRPCRSDKDTFVLSKGQIIDESETLKHENGVCGLKLNSMEAEVIV >OIV98649 pep chromosome:LupAngTanjil_v1.0:LG13:16070267:16073657:-1 gene:TanjilG_12772 transcript:OIV98649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSKDVSSKAWKWGVGLFYIFLVATIWIAASYVVQSVVDAGVSPFLVTYICNSLFVVLIPIVEIGRYFEDSHRGLWFWKNEKKSDHHLRESEQAILLDAGNKVVVESSSVIMEEIDDNVDVSDRKRDGSELLPWEKVVEGLSDEVKLIGNVGDQLDEKGRWTRSRVAKVSLLICPFWFFAQLTFNLSLKYTTVTSNTILSSASSLFTFLVSLAFLGERFTWLKFFSVLLCMGGTIIVSLGDSKNGSSTAASNPLLGDIFSLVSAGLYAAYITLIRKKLPEDDGKSGEASMAQFLGYLGLFNILIFLPVALILNFTMVEPFHTLTLKQFSLIIGKGLLDNVLSDYLWAKAVILTSTTVATAGLTIQVPLAAIVDTVIGNAPRLMDYLGAVAVMIGFAGINIPSDIFSKSTEAEVELELEMENEKLSIRNEELTSPRTQDSAA >OIV98984 pep chromosome:LupAngTanjil_v1.0:LG13:7152800:7174577:1 gene:TanjilG_29387 transcript:OIV98984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVQFMWSCTQFNNNHGCIRITKPNMKRCLVRCEGNSDKVPNEEKHEKKKLRVLIAGGGIGGLVLALAAKKRGYEVKVFEKDLSAVRGEGRDRGAIQLLSGALSVLEAVDENVAKQIMEEGCVTGNRTNGLADGLSGEWFTKFDFFTPATRRGLPITLVICRIALQDVLVNAVGSNILKNKAKVVDFIQEPGKVRVILENGEHYDGDILVGADGIWSEVRSKLFGWQEANYSGFTCYTGLTHYVPPYNDTIGYRVFLGLNQYFVASDIGNGKMQWYAFQGQAHSSAPHLEGKNKKKRVLELFGKWCNDVATLISETPEHEILQRNIYDRDMINTWGIGRVTLLGDAAHPMQPNLGQGGCMAIEDSYQLILELDKVAKHGYGESEVISALRSARNSNQPKSHTSLDACQFDVQPKQSEEDTSDVLEKALEKGVASTDVFGVPVEVTVQRQDCSKPIPQILVNSADYLVVSGLNAPYLFKYEGDKKVIHQLISLYNQDSNASVPEGTNPVDVATLAKCYLACLPEPLTTFGLYNEIRGARTSIYSMRNILKRLPSVNYMTLEFVTALLLRVSQKSLLNKMDARNLAMEMAPIIMWQRDQRPEFYSQYLNHMSKSPSKRSLDPPPSSDSDFDMLADDGEAIVASSPIPLDDDMPVDFGAIEVVQLLIEHHNAVFTDANETVWR >OIV98887 pep chromosome:LupAngTanjil_v1.0:LG13:9127961:9131497:1 gene:TanjilG_06378 transcript:OIV98887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIEMEMRATEDIDISTDITPPLIPLSFSPFNSSLNIHPSPDLSTSLRLLLHHRPTLTGRIAGLLSNRHKLTSQNDQLSHRIRADAQALAAEIAKQCGDTEPNDCVLEEAIVALCAVLTNAVEVQDNEGRNIGVAVFGSTFSWINHSCSPNACYRFTFSSSHSSSNYSESKLRIAPFFRNSQQAQVDDGVWCDGSEFAKEGEQSYGPRLIVRSIKRIKKGEEVTVAYTDLLQPKAIRQSELWSKYRFNCCCKRCSALPFTYVDHALQEISVSSHDLSGSCLNSNFIRDMVDRRLNEDIDDAISEYLSVGDPETCCKKLEKVLIQGLNDQLEGFEGKSNSKFMLHPLNHLSLNAYTTLASAYKVRASNSLSVHSEVDQNQLEAFDLSRISAAYSLLLAGSTHHLFNYEPSLIASVANFWMGAGESLLSLTRSSRWSEFVKVGEVASDLFSVTKFKCSKCSLIDRFRTCILNGQIRSADFENMSNEFLRCVSDITQKVWSFLVNGYHFLKSCKDPIDFSLLMSTKNSGTMGVKAHVNKTDISYSHGSENCVQICKEQAYYADHARANIFQLGLHCLVYGGLLAIICYGHNSHLACHVQTILDHEESFV >OIV99625 pep chromosome:LupAngTanjil_v1.0:LG13:603050:605697:-1 gene:TanjilG_17435 transcript:OIV99625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIYEGKLVCVTGASGYIASWIVKFLLQRGYTVRATVRDPSNLKKIEHLVNLDGANERLHLFKADLLEQGSFDSAIEGCHGVFHTASPVIAAANVQDPQVELIDPAVKGTLNVLKSCVKSPSVKRVILTSSMAAVLHNGRSRTPDVIIDETWFSNLDICRELKSWYAFGKTSAEDVARKYLKENNIDMVAINPSMTVGPLLQHELNASAALIFNLINGSETFPNASYPWINVKDVADAHIQAYEIGSASGRYILAERVTHFSEVVKILRDIYPTLQIAEKCEDDKPFMPTYQVSKEKAKGLGIEFIPFDVTIQETVESFKEKKLLKQF >OIV99288 pep chromosome:LupAngTanjil_v1.0:LG13:3014335:3016401:1 gene:TanjilG_17098 transcript:OIV99288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLEKCWFCSSTVYPGHGIQFVRNDAKIFRFCRSKCHKNFKMKRNPRKVKWTKAYRRVHGKDMTQDSTFEFERKRNRPERYDRNLAENVLKAIPKIDKIRVRREEDHHKNRMKGKKQKLRMEAARELEQGISLVKAPSVLQQDQSLTLPKIVVNVSQQQSESRMEE >OIV99401 pep chromosome:LupAngTanjil_v1.0:LG13:2057737:2060162:-1 gene:TanjilG_17211 transcript:OIV99401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQMQRPQRSLSASKAPPQTIRTMEQLLRGEGSKLSPTHSPAYLASSSSANSYHFTRSKNHDVEEGQNHKKSVLTKVKEKAKKLRHSLSKKKHDYGNTSSPSWGGFEDDGVEENAEYYGAPMYESDKIHEGYIENANQHSRGSPVIPEGYRENARLQHPRGGPLISEKHVLSSYAKDELEQDRDKLIGRNMSKKTAQPSAAATKTAAAAATSLPGANKNLAEKLIPSNVEGSSEAAHSIASKIQGLSVSKTSEHHNPSSSSSTTAANPNKTSLSNIAAPKPLVRMHSTVSSSGLRTPAGPMTPVALPSSAPAGSKNTNPTSQLWDKAVSVKDYLMNKFEPGEDEKALSQVISEAVSPRRTPGDVGVMEKVREAVTSLLRTDEPKKHADTTITTRNSSQTPVQTNNTTRASSHIPGSTNNTTHAPLQIPLSSNNTTHASSQTPMSTNNTARASSKAPESTNISTARASSQGSVSTNNSAHAASQYPISTYLRTTRSSSQNPSQTRNTTRASSQLPVSTNAKEVAQEENHGRILQAN >OIV99182 pep chromosome:LupAngTanjil_v1.0:LG13:4067631:4067894:1 gene:TanjilG_19678 transcript:OIV99182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENTMLRVSKLGLIILMISTLLMSCVHSRKLEGHAYRQHLVREFNLDRMKHTRSIDSLEDNDVPPITGDRTAPAGPNPKHNKQGPSP >OIV99527 pep chromosome:LupAngTanjil_v1.0:LG13:1196127:1196396:-1 gene:TanjilG_17337 transcript:OIV99527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFRLPAIRRASFSAIQASSKCVEVPKGYLAVYVGEKHKRFVIPISYLNKPSFQDLLSQAEQEFGYDHPMGGLTIPCTEDVFQHKMNGL >OIV99640 pep chromosome:LupAngTanjil_v1.0:LG13:509340:512738:1 gene:TanjilG_17450 transcript:OIV99640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSHPSIIVQTCPPPMKATSNGAFQHENPLHYALPLLIIQIILVVTFTRFLALICKPLRQPRVIGEIIGGILLGPSAIGRSQKFLDTFFPKKSLTVLETLANIGLLFFLFLVGLELDMPSIRKTGPKALGIACVGITFPFALGIGTSFAFKATISKGVDTIPFLVFMGVALSITAFPVLARILAELKLLTTDVGRIAMSAAAVNDVAAWVLLALAISLSGSDTSPIISLWVLLCGAGFIIFAVIAIKPLLGQMAKHSPEGEPVKEIYICITLTLVLACSFVTDTIGIHALFGAFVIGIIMPKDGPFAEVLTEKIEDLVTGLFLPLYFAASGLKTNVATISGSTSWGLLVLVIFTACFGKIVGTIGVSLLCKVPVREALALGFLMNTKGLVELIVLNIGKDRKVLNDQSFAICVLMALFTTFITTPIVMAVYKPARRGAPYKHKTVQRKDPNTELRVLACFHSTRNIPTLVNLIESSRGTRKKGKLCIYAMHLMELSERPSAITMVHKAHKNGLPFWNKKPYGDQMVIAFQAYGQLSSVNVRPMTAISALNNIHEDICTSAHQKRAALILLPFPKHQCFDGTMESLGPAFHTMNGLVLSHAPCSVGILVDRGLGGISQVQASDVSYNVVVPFFGGRDDREALAYGMRMAEHPGVLLTVVKFLAPVGKTLAFGAKLVGVTVNKDRKVTKVADGNNHSEDEQEDDQFWHDFLSVSAKSEGSIVYEERLVESKEDIVTALVGKNKSNVILVGRMPHVAPLVERSDCPELGPVGSYLASSEFSTHASIIVFQQYVPTTDIFPLVMEASDFTNMLDTSMHDT >OIV99622 pep chromosome:LupAngTanjil_v1.0:LG13:618211:620623:1 gene:TanjilG_17432 transcript:OIV99622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDALLATYKDEEEEEEEEEEEEDELFDAPPTTTATDAATVADTESIDVPPEPQIDVKSEPYPSDEPSDEASEGSGEPNKSPKRSSGNEDDEDEEPLTKKQKNLSSLTAKDEYPSTPILLPDAVNDNNGFVVAAATAPLTVTNSKKSKKKNNNVWATKSKKGKKKSKIINNNKNNNNHANGEDTVLITPVPRFPDKNDDTAEMNICLSKVYKAEKVELSDDRMSAGSTKGYRMVRATRGVVEGAWYFEIKIVKLGETGHTRLGWSTERGDLQAPVGFDGNSFGYRDIDGSKVHKALREKYGEEGYKEGDVIGFYINLPDGEKYAPKTPHLVWYKGQRYACGQDSKEDPATIVPGSEISFFKNGVCQGVAFKDLYGGRFYPAASMYTLPNEPNCTVKFNFGPDFEFFPEDFNERPIPKPMIEVPYHGFDNQVENGESTDKKFSKE >OIV99290 pep chromosome:LupAngTanjil_v1.0:LG13:2980722:2981682:-1 gene:TanjilG_17100 transcript:OIV99290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPMNHRRGKLSEKSSSFHSNTFVAGTMSGGQLRRPKTVPDLVPDRKVAVAEVVLPRLPPKLLVKVTMMGSLGPVQVVLPPESTVGDLVAAAVRLYVKEGRRPILPSTEPSNFDLHYSQFSLESLNRREKLGELGSRNFFMCLKKSTATVDGGECSETAQFASCSKEADKGRKGSGGFSLMRLMDLLK >OIV99184 pep chromosome:LupAngTanjil_v1.0:LG13:4061051:4062421:1 gene:TanjilG_19680 transcript:OIV99184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPITSSFVFSIHFPIFPLLLILTLSPFCGGHSGGVPPHELWCVAKNNAEDAALQDALNWACGPGGVDCGPIQQGGPCYDANNVQNTASFAFNDYFRKHGLNNDGCNFNNNAAITALNPSHDNCKFPSSVAAVSNGSFSGSAVPIAGLGPTDNMNENGCSEISWSWWFWPMSIGHLLLMFSLSGVVYG >OIV99692 pep chromosome:LupAngTanjil_v1.0:LG13:142571:144853:-1 gene:TanjilG_17502 transcript:OIV99692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSNPNPDSHLDPSETPNTNGTSDNNNINNDDDSHLIPKPLLPDNAALAPDKDQSGGEEDATSKRRRRKSRWDPQPDSNDQNGSESGTKKRKSRWAEEPTPAASINLGDLMGLGGIELDSEIPVLNSRLLEISRMLQSGLPLDDRPEGARSPSPEPIYDNMGVRINTREYRARENLQKQRQEIISTIIKKNPAFKPPADYRPPKLYKKLYIPMKEYPGYNFIGLIIGPRGNTQKRMEKETGAKIVIRGKGSVKEGRLQQKRDYKPDLSENEDLHVLVEAETQESLEAAAGMVEKLLQPVDEVLNEHKRQQLKELAALNGTIRDEEFCRLCGEPGHRQYACPTRNSTFKSEVVCKHCGDGGHPSIDCPVKGATGKKMDDEYQNFLAELGGSVPESATTQTNVLAIGSGNSGSNPPWANNFGSSGGTQHAGLGFNPAKKEIDDTNLYIGYLPPTLEDDGLIQLFQQFGEIVMAKVIKDRMTGLSKGYGFVKYADVQMANTAIAAMNGHHLEGRTIAVRVAGKPPQPVVPPGPPASSAPSYPVPTQPHGAYPSQQYTAGGPLGSAPPGSYGGTPVPWGPPVPPPYTHYPPPPPGSTMYPPMQGHPMPPYGAQYPPPPGAPPQPSTTSSEMQQSYPPGVQSDNSTSSTQPVPPNIYGNSIHSMPPPAQLTYPASYGYPPYYSAIPPPPAVPVSTPDQSHNMANVPWASNPPVPPPPASSAENQSQGIGNVPWATNPPVPPPASSAEKTSYGADSEYEKFMAEMK >OIV98674 pep chromosome:LupAngTanjil_v1.0:LG13:15439478:15443961:-1 gene:TanjilG_23966 transcript:OIV98674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLERRIDGIDEPADSIFSSVLSFLLYPSSSPFSVLSLSSLSISVFYVLCSVAKWTMKETDQLLLDVQRQNEQRCEQEIEDIRRGIRSGNITPDTSVIRFSDEALDEQKHSIRIADEKVALAVQTYDLVDTHIQQLDQYLKKFDEELRRERENAATPRVPASGPDGHTKSGRGSEGGRGGRKKASQTTVVPAAAAATEAQTTANPTGVEIDLPVDPNEPTYCLCNQVSYGEMVACDNNDCQRKVTAAGGAESTMLRWWNGFGGGG >OIV98602 pep chromosome:LupAngTanjil_v1.0:LG13:16851150:16856889:-1 gene:TanjilG_23041 transcript:OIV98602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIDRIFKDEASEEKGERARMASFVGAMAIADLVKTTLGPKGMDKILQSTGRGQEVTVTNDGATILKSLHIDNPAAKVLIDISKVQDDEVGDGTTSVVVLAGELLREAEKLVAVKIHPMTIISGFRMAAECARNALLQKVVDNKEDAEKFRSDLMNIARTTLSSKILSQDKEHFAKLAVDAVMRLKGSTNLESIQIIKKPGGSLKDSFLDEGFILDKKIGIGQPKRIENAKILVANTAMDADKVKIYGARVRVDSMSKVAEIEGAEKEKMREKVQKIIGHGINCFVNRQLIYNFPEELFADAGILAIEHADFDGIERLALVTGGEIASTFDNPESVKLGHCNLIEEIMIGEDKLIHFSGVAMGQACTIVLRGASHHVLDEADRSLHDALCVLSQTINDSRVVLGGGWPEMVMAKEVDELARKTPGKKSLAIEAFSRALSAIPTIIADNAGLDSAELVSQLRAEHQKEGCTSGIDVISGSVGDMAERGISEAFKVKQAVLLSATEAAEMILRVDEIITCAPRRREDRM >OIV99664 pep chromosome:LupAngTanjil_v1.0:LG13:331208:332470:-1 gene:TanjilG_17474 transcript:OIV99664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSPVPKDSMSNSVSSSSNSSNISCSNSETDESPLSSKHFSIQVVKYQIPTKCISIPNSHSQQSLAVLSGHSGSVSCLAVCGEFILSASQGKDIIVWQQPDLRFFAKFGHGDGSVKALASVGNKVFTAHQDSKIRVWKVSRSSENVFKLADTLPTTKDYWGKFMKKSNYIQTRRHHKRLWIEHVDSISCLSVYNGLIYSGSWDKTLKVWRLSDLKCLESIKAHDDAINGLVSCKGILYSASADGKIKAWGKEGKKDSHCLKGVLEGHKDVSFNSVVVSDDGKWVYGGCSDGFVIGWEKNWSYESWKLVSETKAHDISVLCMCLMGEFLCSGSADKNIGIWKREDFGKLCKIGVLSGHAGPVKCLQASSSNRIGDGFMLYSGSLDRSVRVWWVPRYSDNNTQVEEDNSTTLSTMNQSIISC >OIV99618 pep chromosome:LupAngTanjil_v1.0:LG13:637633:640796:-1 gene:TanjilG_17428 transcript:OIV99618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSRLIPKPSHFRRFFSLPPKPTNPRFTISPTNPCFTTIPKFFSTTNNNNGNGNNNNDRFSPNIWRDFRETDEKFDAFFAEDNSETLADAAPAVTEKKEENLWLQEKRGIDNEDEDAIFKGIDNESEEKSDSLGGHIGIGAEKLTPWNIKDDKVDDVFNFQEEVVDEGFNVGDVEESGRKEEEVDKLEKEEKELTAILKGPNRAFGDLIASSGITDEMLDSLIALKDFEGVEGLPPLSVIEDLRYEKNTSKSNRAEMERLKQEEAAKARVRQVDDKGRAYGTGRRKCSVARVWVQPGDGKFVVNDKEFDVYFPMLEHRATLLRPFSETKTLGLWDVNCTVKGGGVSGELKNAYMYKILPMNVNILTGQVGAIRLGISKAMQSWEPDLRPALRNAGFLTRDARVVERKKPGKAKARKSYQWVKR >OIV98988 pep chromosome:LupAngTanjil_v1.0:LG13:7241079:7243082:-1 gene:TanjilG_29391 transcript:OIV98988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTTNHLMIMFLSLIFLLHTASVALSDLRPAFYSKTCPEAEFIVRDVMKKVLIREPRSVASVMRFQFHDCFVNGCDASMLLDDTPTMLGEKLALSNINSLRSFEVIDEVKEALEKACPGIVSCADIIIMASRDAVALTGGPNWNVRLGRLDSLTANQEDSNNIMPSPRANASALINLFQNYNLSVKDLVALSGSHSIGKARCFSIMFRLYNQSGTGKPDPAIDPDYRKELNKLCPIDVDQNVTGNLDATPLVFDNQYFKDLVGGRGFLNSDQTLFSFHQTREFVELYSRDQYEFFDAFVEGMLKMGDLQSGRPGEVRKNCRLVNVRPAFASSDS >OIV99248 pep chromosome:LupAngTanjil_v1.0:LG13:3424769:3425923:-1 gene:TanjilG_06553 transcript:OIV99248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIVCAEQHKFQSSHQLLSLKKSLRDIDIPPRKRLPRRATITHDGAADMFSDETLFQKYLPHNNMNMVDESDDDSDPYSSDHFRMYEFKVRKCSRSRSHDWTDCPFAHPGEKARRRDPRRYYYSSTVCPEYRRGCGSCSHGDTCEFSHGVFECWLHPARYRTEACKDGKNCKRKVCFFAHTPRQLRVLPINSHPTSNEIINSCNNNNKGEFLNTSDIKHSCLFCHCNSCGSTCSPTSTLFGMSHFYSPPMSPSSSPANGVSSMSRFVGSEKNHHDSVGVISYKDMLNELMCSLKGFSVADTNSNYNSHLSSSSSSKVHKKNLNWLDASFNCEDQQQRFVLSPSPTTESFSSNGRYSTSNFYKNVAKVVDDVNDPDLGWVNELLM >OIV98817 pep chromosome:LupAngTanjil_v1.0:LG13:12327145:12333540:1 gene:TanjilG_25063 transcript:OIV98817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQILCSYNYKSFSFPNCTLPLNHFTVFNNTLKNKLHKNQNSFIFINNDAILGGAHVAFSSRNDKFNIFSTNQLSEHEALELLNKPSPVPVENGLKEEGSSEENDLTPFVENFNGSNGSVEEVKENGGVLEVSEERDKKVNVEYYEPKPGDFVVGVVVSGNEDKLNVNVGAELLGTMLTKEVLPLYSKEMEYLLSDMNKVEENSMVQGRMEILKNVDALSGVPVVGSTVLEIGTILFAEVMGKTLAASIINMMLQEKLYLREGIILEGTVKKILPYGAQIRIKETNRSGLLHVSNITRVEITSVSDILSVDEKVKVLVVKSVFPDKISLRAWIEVAQT >OIV99336 pep chromosome:LupAngTanjil_v1.0:LG13:2537051:2541066:1 gene:TanjilG_17146 transcript:OIV99336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNKTSSFAKAMGCCNCFGFIRRRRRQRPNPTINNNNKNLSQELLLDDDIDDDDHSYNDSATNASSGDESELQARPKRSEDILNLRVENDTMCRQYPVKETRKLFRTEDENGNKMLNEYVRECKIGSGSYGKVALYRSSVDGNHYAIKAFHKSHLLKLRVAPSETAMTDVLREVLIMKMVEHPNIVNLIEVIDDPESDRFYMVLEYVEDKWVCLGTGPACGLGEETARRYLRDIVSGLSYLHSHRIVHGDIKPDNLLITRHGTVKIGDFSVSQAFEDDNDELRRSPGTPVFTAPECILGLTYHGKAADTWAVGITLYCMILGEYPFLGDTLQDTYDRIVNNPIVLPNDMNPQLKNLIEGLLFKDPRLRMTLSDVAEHSWVIGDDGPIPGYLCWCQRKCSEREDAE >OIV98664 pep chromosome:LupAngTanjil_v1.0:LG13:15859053:15860237:-1 gene:TanjilG_12787 transcript:OIV98664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDAVYFAMKRLGYPNIEIFIAETGWPNSGDSNQIGANIYNAATYNRNFIKKVTKNPTVGTPAQPRWVIQSFLFSLFNENQKPGPGTERHFGLFYPNGSRVYDIDLSGKKSEYKKFPVPKNDSNEKLWCVVASGANVTLVADALSYACSQGNGTCDPIQPGKPCFRPNSILWLASYAFSSYWAQFRKIGGTCDFNGLATQTSTDPSDGSCKFPAVTP >OIV99185 pep chromosome:LupAngTanjil_v1.0:LG13:4053216:4055648:-1 gene:TanjilG_19681 transcript:OIV99185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNPNPQIPYETQNPNPKSPNQEEQEEQAPPTPHVDSQFPKTLTLPDQDPQIDDLPDPDAGNPNPNQRPEDGDIIMQDLTQDQNAEEKGPEALANFATVTSTVSRRGPKRKKLVSRRRNAQEKQLREKLKVVVETLKPIPFVPSKALDFESHKTLLQRLGLWDFVHIEIGSVIHEDLVAQLIASYGPSTRCSYVNGCRINVNRADLARALKLPVKLPVKKATVSAAAVESVVLAESIVFIEQLVFTWMLLHDDMYVMTDDVLAYLKVIKEGHFEKVDWAGLIWNMLEKELKEEKLANCYYASHLQQLIKTQHRELLEEAPKMEEEGEAEVKGEGEEAEVKGEGEEVGVKDEEEEEEEEEDDVGEELDGSGDVNMGAVDESRVHELEENKIELSLGQDNVERLEVGKEQDNVERLEVGKEQDNVERLEVGKEQVGGDQIMDFEPAQEEHEMWFLHQKNSAREPYLRPCHTSDVNIMHSGEMKEDRGEEGQDQEEGEEQEDEEDAEEDQHEGRFHFSPRYIPAEGMPSGTGSLIQGMEAGQLNFGSGVDLHDNHVGNFLSSRDDGQMIAGSSLFGNGHKRDIGGDYHSPRYSLNGSNKRLRSDSPWNAEPVDMDMCLETMQNSVEKARMLYAAKEQAYIEAANNQQVLVNEIQRRDNMLENLHKVKMDDSHKIYRLEKELYMMTNLVEGYRKALKETQKAFAEYRARCPQADEPLYKDVPGSGGLVLSVKEFEKERLRKEEEERVKMRDYEKKFRDIEGAWISKLEGHLSRVQSMGNRLVAIGDQVKHLNEVVASKVADSPGLAPTSEGQTA >OIV99586 pep chromosome:LupAngTanjil_v1.0:LG13:853118:855514:-1 gene:TanjilG_17396 transcript:OIV99586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFAVRNLAPSKFKPLRYLSLLSECRNHTQSNLLPISIPYSKPHPQSFPKFSLQPSINLFRTFSSQPGGSLLKQKLPLSREGNYDEGTSLSLAVCPGCGVYMQDSSPKHPGYFIKPSEKDPNYRLHAHLEHGAQEPEFSNSVKMGLVIEPEKLNTGDLNLTRKPEKPVVCARCHSLRHYGQVKDPTVENLLPDFDFDHTVGRKLASTSGTRSVVLMVVDASDFDGSFPRKVAMLVSKTIEDNYAAWKQGKSGNVPRVVLVVTKIDLIPSSVSPTRFEHWIRQRAREDGINKITSLHMVSSLRDWGLKNLVDDIVELAGPRGNVWAVGAQNAGKSTLINSLGKYVGGKISHLTEAPVPGTTLGIVRVEGVLPSQAKLFDTPGLLHPHQITTRLAREEQKLVHISKELKPRTYRIKAGQSIRIAGLMRLDIEEMSSDTIYVTVWASPYLPLHMGKVENASKMFEDHFGRQLQPPIGEKRVQELGKWVRREFHVSGNSWESSSVDIAAAGLGWFAVGLKGEAQLGVWTYEGVDVVIRNSLIPYRSNTFEVAGFTVSKIVSQSDKSLNKSPLRNDKKAKTSKAPPSFVELSPLTSDGGIS >OIV99432 pep chromosome:LupAngTanjil_v1.0:LG13:1810834:1819193:1 gene:TanjilG_17242 transcript:OIV99432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVMSRRVVTPVWDKVCCICPSLQPSSRQPVKRYNKLISDIFPRNQEADFNDRKIGKLCDYASKNPLRIPKITDKLEQRCYKDLRNGIFGSVNVILYIYKKLLSSCKEQMPLFASSLLGIIQTLFEQTQTDEIRILGCSILSDFINCQTDGTYMFNLEGFIPKLCQLAQEVGQDERALRLRSAGLQALSYMVRFMGEHSHLSMAIDEIISVTLENFMNIQPNCNLVKEDKMNSESPDLSVEGFPKEEDCPRSLPDINEKDPFLLKIVTGTEMDSMLDTAKDPTYWSKVCLYNMFNLPRGATTLRRVLEPLFHNFDTENQWSLEKGVACRVLTYAQSLLVESGDNSHLMLSILVKHLDHKNVAKQPILQINIINTTTQLAQNVKQQASVAIVGAIFDLINHLRRCLQNSSEASHIGSDGYKLNAELQSALEVCILQLANKVGDVGPILDLMAVVLENISTTNITTRAIMSAVYQTAKLITSIPNAFPDALFHQLLLGMAHPDQETRTGAHCVFSTVLMPSQFSSVLDQKTKIAGKVPNKSFSIQHESILGSEDINGKPVEGKAVTGGGGKYAVHPYRDYSFSGALTDGKNELSSFRLSSHQVSLLLSSIWVQATSAENGPANFEAIAHTYSVAVLFTRSKTSSYMALARCLQLAFSLRSISLDQEGGLRPSHRRSLFTLASYMLIFCARAGNFPELIPKVQASLMKKMDELSSIKEQLVQGFSPDDAYPLGPPLFMETPRLCSPLAQIEFPDFAETEFPVALVDEESGLESSASQSEYKLPPSATVNDLDILSVNQLLESILETARQVASFPISSNPVPYDQMKNQCEALVTGKHQKMSVLHSFKHPQETRAIVLSSEIRVSPPPTKTLEYREDDLKLVSQEQYQIRHCSYDCGQQHSLRLPPSSPFDKFLKAARC >OIV99100 pep chromosome:LupAngTanjil_v1.0:LG13:6130222:6131290:1 gene:TanjilG_32359 transcript:OIV99100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAMVKKFQAKFRKVREELNEWETLQSRLISQFMNASHIIDRLQMLQSSKSYGDLNCISGLREAVLAKQVQSLNNIFVSMKRTLEEFHSIVLSLEKAHRDGRQLVKGGSSQPKVKQLQQQVGVKPTLTECLDGLLFLHEIHHSE >OIV98576 pep chromosome:LupAngTanjil_v1.0:LG13:17143690:17147287:1 gene:TanjilG_12162 transcript:OIV98576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDDERDEKELDLSSHEVVTKYKTAAEIVNKALKLVISECKPKAKIVDICEKGDSFIREQTGNVYKNVKRKIERGVAFPTCLSVNNTVCHFSPLASDEAVLEEGDILKIDLACHIDGFIAAVAHTHVLQNGPVTGRAADVLAAANTAAEVALRLVRPGKKNKDVTEAIQKVAAAYDCKIVEGVLSHQLKQFVIDGNKVVLSVSNPDTRVDEAEFEENEVYAIDIVTSTGDGKPKLLDEKQTTIYKRAVDKSYHLKMKASRFIFSEISQKFPIMPFSARALEEKRARLGLVECVNHELLQPYPVLHEKPGDFVAHIKFTVLLMPNGSDRLSSIPLQELQPTKTVDDPEIKAWLALGTKTKKKGGGKKKKGKKGDKADESVEAAEPVDSTN >OIV99631 pep chromosome:LupAngTanjil_v1.0:LG13:557814:561329:1 gene:TanjilG_17441 transcript:OIV99631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMDGQWEKKPVFTFYLELIRDLLHLSMYLCFFFVIFINYGVPLHLIRELYETFRNFKIRVADYIRYRKITSNMNDRFPDATPEELDVGDATCIICREEMTSAKKLICGHLFHVHCLRSWLERQHTCPTCRALVVPPENGTTAAGGQQNSQSDAHQHGAGTGSTTQAELGNGVATANFSRHQARLQAAAAAASIYEKSYVYPSATSLACSRGYTQYPPVQRSVAESSSAEACSSEQTQNQFVIPGGPMNASFPPMGNFNFLPSQTHTAPVNYGEGFGNVPNMPNSLVEAQRKVLQLQIEILQNQLQLLQKTNVGKSVHEGTSVSDSKGKGIASSSVVSTHDEIQDSKA >OIV99030 pep chromosome:LupAngTanjil_v1.0:LG13:5068363:5068572:-1 gene:TanjilG_32289 transcript:OIV99030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLQMARIMTEVAPPRFISVKRHRMKKMLDTIAEEENDLDAANKCLSSKKCGSSSIGLPERTVLVKNF >OIV98943 pep chromosome:LupAngTanjil_v1.0:LG13:8699260:8700627:1 gene:TanjilG_07378 transcript:OIV98943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNLPNGASVFQKAIFTGGVVSSRYAEEILWETVTSVSGSVVQRCVGIVADKFKAKALRNLEIQNHWMVNTFCQLQGFVSLIKDFNHELPLFMVVTENCLKVANFINTESQVRNSFLKYRMQELEYDGLLRVPSTKCDVLKDFASVFPMLEDILSCARVIQMVMLEDTFKVTCMEDPLAREVAGMVQNEGFWNELEAVYSLVKLIKGMVQDIEAERPLIGRCLPLWDELRTKVKEWCGKYNVMEGAVEKVIENRFRKNYHPAWSAAFILDPLYLIKDTSGKYLPPFKCLTHEQEKDVDKLLTRLASREEAHIVLMELMKWRSEGLDPLYAQAVQMKQRDPVTGKMKVANPLSSRLVWETCLSEFKSLGKIAVRLIFLHATSCGFKCNWSFMRKISANKHSRVALERAQKMVYIAAHAKLEKRDFANDDEKDGELFAMSGSEDGMLAEVYGDASIV >OIV98722 pep chromosome:LupAngTanjil_v1.0:LG13:14706828:14709597:-1 gene:TanjilG_24893 transcript:OIV98722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSMTISPRKLHSDLYSYSYQENSSTPLVINVLASLIERTMARTKRIVKNSSWSLSKAISTNIFDCREIPDMTIQSYLERIFRYTRAGPSVFVVAYVYIDRFCQNNPGFRINARNVHRLLITTIMVASKYVEDMNYKNSYFGRVGGLTTNEMNKLEVEFLFLMGFKLHVNLSVFDSYCCHLEREVSIGGGYHIERALRNYKNSYFGRVGGLTTNEMNKLEVEFLFLMGFKLHVNLSVFDSYCCHLEREVSIGGGYHIERALRCAQEIKAKHGEDIGYTQISRITL >OIV98592 pep chromosome:LupAngTanjil_v1.0:LG13:16688258:16691085:1 gene:TanjilG_23031 transcript:OIV98592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQIRLSKAPASEGSAGAKPSPIETVMVACPDHLVLADLPVAKGIGGATAASHVKTLGRRSRRQLGERVHFCVRCDFPIAIYGRLFVVRLEFWVKHMLSEVDMFSEMATMCDERIQKIQTIKMMEGILICAAPHCLKSFLKKADFESHVQDSHANLLRSNADKEDGNESEVQSVRQSTASDSTARGPQRPVFSPTSNPQLHDQEEKTRRQPPRDQPPSWPNMQSKLPYFGQQHHPSDAPSGSVGGFQQGFHQQSFDIHHPSKEPSQFSDRQQSVGPETQFPVYSPMHSGQPPNNPTLVTSNPMPNPPMPFGYPPYPLERGQPFYAAAPYDNIPRQDSASDISRDQSSLLGFPQGGVPNFPGNYSQSWHAGMGGVPFEQGQGGMAVDPRDAKGVLATQPVAVQPPPPAAPHMSHMKPNYYSSEGGHDHGQGYGWQQQHDNRDSFGGQG >OIV99489 pep chromosome:LupAngTanjil_v1.0:LG13:1453776:1454928:1 gene:TanjilG_17299 transcript:OIV99489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSLSKHKPSDSSWTPKQNKLFEKALAKYDKDTHDRWLNVAKAVGGKSPDEVKKHYEILLEDIRHIESGRIPIPNYKSTVTTTNVDEEERLLKYLKLN >OIV99087 pep chromosome:LupAngTanjil_v1.0:LG13:5766937:5767152:1 gene:TanjilG_32346 transcript:OIV99087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEGVDVVTHVVGKIEENVEGSYGHGLDLHMDMNVMEKQNWFTNLLSSGDETLPFGDVNPQNQFWPNQFFN >OIV99086 pep chromosome:LupAngTanjil_v1.0:LG13:5742484:5746531:-1 gene:TanjilG_32345 transcript:OIV99086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRSHASSCSSSSSVVFESPIEKRRPKHPRRNVKSKQTNKQNKTTVGRSSIYKGVTRHRWTGRFEAHLWDKSSWNNIQNKKGIQGAYDCEEAAARTYDLAALKYWGNDATLNFPIETYSKDLDEMKKVTKEEFLANLKRQSSGFSRGVSKYRGVARHHHNGRWEARIGRVCGNKYLYLGTYKTEEEAAIAYDMAAIKHRGLNAVTNFEISNYSDKIKMKNYPSEEAETQTETAPSSIDSEEAEVEQKNTPPSLENLHKEPQEPQIQNTNFFISEESSAVIPVEHVFEQDMPWNFMDTSLSQFQDIEMGLSKEENLSSMFNGGGFEDDIEFLFSTDPGGGEFNFNEVLDNIVECGDGGGGAEAMVDKNKQKILSSASSPSSSSTTTTVSCDYSL >OIV98645 pep chromosome:LupAngTanjil_v1.0:LG13:16147612:16149474:-1 gene:TanjilG_12768 transcript:OIV98645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QIFQWLFRGLHAQERESRTFHNPSPKSEDIRDQNAKGKDISLLKHHRAYQRSKRKEWSKFRSKNSNIFAFIYMKDIPKECFYSTINLKRLGSFNKRHFVHSMRMKREEARVINKADSFVHVGNKVLPITEAPFSSSVERNDKCDTKENKGEKKKPISRMKELLRWATSAKTQKGDKFNGRKVLMLGRRGTLKAVPNDDKVCSESPKMSFKWDLESGSTFSSFSAISIASSSQNVQTQTSPSTILIPPSETDQITLNHKQGNWITTDTECKSSCF >OIV99550 pep chromosome:LupAngTanjil_v1.0:LG13:1087118:1088085:-1 gene:TanjilG_17360 transcript:OIV99550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSPCCEKAHTNKGAWTKEEDDRLISYIRSHGEGSWRSLPKAAGLLRCGKSCRLRWINYLRPDLKRGNFTEEEDELVIKLHSLLGNKWSLIAARLPGRTDNEIKNYWNTHIRRKLMSRGIDPATHRPLNDAENRVQDHEVKASVAATNTISFASSASAAAVVVKQEQDPSITSKSSMFGVVDGKGTLLERCPDLNLELTISPPRLNEPEQPFKNMDRSIFFGYNLGMQNSNDSSDIGNSTSSGKRNSTSTGYDFLGLKTGVWDYRRLKMK >OIV99102 pep chromosome:LupAngTanjil_v1.0:LG13:6138704:6150921:-1 gene:TanjilG_32361 transcript:OIV99102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLVGPLVLSTDSGYRVWEDPSFIKWRKRESHVTLHCRDSVEGSLKYWYKRNQVDHLVSKSAVWNDDAVDEALDCCAFWVKDLPFVKSLSGHWKFFLADSPNTVPDKFFESDFQDLEWKFLPVPSNWQMHGYDVPIYTNVVYPFPVDPPLVPIENPTGCYRTEFHIPKEWEGRRILLHFEAVDSAFCAWINGHPIGYSQDSRLPAEFEITDFCHPCGSDLKNVLAVQVFRWSDGSYLEDQDQWRLSGIHRDVILLAKPKVFITDYFFKSILAEDFSSAEILVEVKIDNSQETSKDNILTNYTVEAALYDSGSWYTCDGNTDLLSSNVADIKFQPSTATTPLGFHGYTLVGKLQSPKLWSAEQPFLYTLVVVLKDQSGHIIDCESCSVGFRNVTKAHKQLLVNGQAVVIRGVNRHEHHPRVGKANIESCMIKDLVLMKQNNINAVRNSHYPQHPRWYELCDLFGMYMIDEANIETHGFDYSKHLKHPTLEPIWATSMLDRVIGMVERDKNHTCIISWSLGNESGFGHNHYALAGWIRGRDSSRVLHYEGGGSRTPCTDIVCPMYMRVWDMLKIANDPAETRPLILCEYSHAMGNSNGNLHTYWEAIDNTFGLQGGFIWDWVDQALLKMDENGVKRWAYGGEFGDIPNDLNFCLNGLTFPDRTAHPVLHEVKYLYQPIKVILHDGKLEIKNTHFFQTTEGLEFSWYASADGYNLGSGVLSLAPIKPQSSYTIDWQSGPWYSLWASSSSEEIFLTITAKLLNSTLWVEAGHVISTTQVQLPARKDIVPHAISISGGTLVAETLGDTIKVSQKDVWDITLNTKTGSVESWKVKGVNVMSKGILPSFWRASIDNDKGGESASYLSRWKAAGIDNLHFVTERCSIVNTAEDTVKILVVFLGITKGDQDKSNILFTTDVSYTIYASGDVIMECNVKPNLDLPPLPRVGIEFNVEKSLDQVTWYGRGPHESYPDRKASAQVAVYEKNVKDLHVPYVVPGECSGRADVRWVTLRNKNGFGIYASRYGNSPPMQMSASYYSTSELDQATHNHKLIEGDSIEVKGVNVMSKGILPSFWRASIDNDKGGESASYLSRWKAAGIDNLHFVTERCSIVNTAEDTVKILVVFLGITKGDQDKSNILFTTDVSYTIYASGDVIMECNVKPNLDLPPLPRVGIEFNVEKSLDQVTWYGRGPHESYPDRKASAQVAVYEKNVKDLHVPYVVPGECSGRADVRWVTLRNKNGFGIYASRYGNSPPMQMSASYYSTSELDQATHNHKLIEGDSIEVHLDHKHMGLGGDDSWSPCVHDQYLVPPVPYSFSLRLSPVTPAVSGYDIYKTQLQNS >OIV98750 pep chromosome:LupAngTanjil_v1.0:LG13:14114343:14118877:1 gene:TanjilG_24921 transcript:OIV98750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIGGNFWDLLKPYARNEGFDFLRNKRVAVDLSFWIVQHTNAIKTHVRKPHLRLTFFRTINLFSKFGAFPVFVVDGTPSPLKSQARIARFFRSSGIELNSLPVPEQGVSAERNRSFSSCVKECVELVELLGMPVLKAKGEAEALCAQLNSEGHVDACITADSDAFLFGAKCIIKSFRPNSKEPFECYNISDIEAGLGLKRKQLIAISLLVGNDHDMNGVRGVGLETALHFYRKHKEQKRLENWHTKVCHKIAEEPNFPNDEIIDMYLCSDNGHFSANDGPHITWERPNIGMLIDFLNFHQRWEPSYIQRLMFPMMSTMFLRDKASTTVESLFFGQYEFDSLHRVKSIYGFQLYVVKWKRAAGNFTYAIRSNESGTQQDVVEHDKLVDLLDDCDVPMIHVDDGCSFLLTDENMDLVGAAFPEEVKRFRQEQEQKDLKRKRNSTSRSQEYENENSASPNSKSIQLNITKFYPSTKIKHESKQEESSKKPDTEEGEASANKRNVSSSNLPKSVRRRLLFD >OIV99575 pep chromosome:LupAngTanjil_v1.0:LG13:931643:934136:-1 gene:TanjilG_17385 transcript:OIV99575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLKTLMDSSQQTLSPSTSNSDALEDLMVEETWLEALNGEFQKPYALALSKFVQTEICSSSDVYPPTHLIFNALNSTPFSTVKVVILGQDPYHGPGQAMGLSFSVPEGVKVPSSLANIFKEFQKDIGCSIPSHGNLDKWAVQGVLLLNAVLTVRKHQANSHAKKGWEQFTDTVIKTISQKKEGVVFLLWGNSAREKSRLVDATKHHILQAAHPSGLSANRGFFGCR >OIV99279 pep chromosome:LupAngTanjil_v1.0:LG13:3102478:3112044:1 gene:TanjilG_17089 transcript:OIV99279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPLPQCLQSDPITIGNQKYTRSGELRRVLGVSVGSTLEDHSFGVSHPKPIAPVASGEIQNFKESVQYASRKARDRSNMFRESISELERYTEALTSKKRQRADLSSERGGGVNLTKMGSQHQKTNIDNLTQRSDSKTSNSTLSKRIRTSLAETREDSRSAAFGRHQMVTEKDGNPIQTLGGGSVRNEEKTRRLLAGGDGLDQKIKKKRSVGTVGNRVITGERDVKRATLPKANADPKMRFFDTQGFRLKSLPGSSGSNKSEGSSEPTSTGALTMLANEQEGASLRMDHIAERRVVAKGNNRANTQEDCPLIIPNTLIKNKVSRAPRTSSVSALDLSNIQPSSGTFPGSSIHPMTQWVGQRPLKNSRSRRVKIVSPVSRTLEIQVSSEGCLTSDSTVKASAGNSGFQMASSVENSTPKYKKTPDDISSPFGLSESGESRAGENKIKEKGMNSNDFAMAPEKAGPSMLQMRRNKIPTDESGDYVQKQGRNGRGLSLIKPGLPSGREKTENLPITKPVQDMRPNDKSKIKYGRPPSKKQKGRKVLTRVGKQLNIDSSDFGGECDDDHEELYKAANAARNARSLFCSGQFWNKMEPVFASISLVDASYLKQQLNFSEELDKSLAHVLDIDHDMLGVDVSNITTQGSEERKTSRCDEESTKFDAFGGKSEMATPLFQRLLCALIVEDESEESCYQSEAKNISRQCASDGSHCGSCNQIDVEPKDLDRMDSEVESNTFRYPNTSSSLQSTGVWQGYEELFISDVTHTGEICSNDVDQLQPVELSVPCFPSSDGQYQMMSLDDRLLLELQSVGLCPEILPDLAEEDEVINQDILKLEKALYEQVCSEKQSLKCSFNLYEQNGRKTKNLDKIDKAIEKGRDMERWTIEQVAFDQLIEIAHRKRLACRGSKNSKGAIHKVSKHVSLAFVYRTLGRCRRYEEAGISCFSEPALQNVMFSCPSHENDAQPMGCIVSGTASNTFNKASHLAEAIKSVSSASEKYDRHRDDLDRGLLDSFQDSIHSSEQASSKNGPVFVKEKKREMLVNGSISGSSSRASNLDGAVHGGVKGKRSDRERNQSRDQIRESSDSRGGRLSLDNSWSENKTKAKPEQKSTAGGHQDRFISATEPVAGANNNGSKDGTALSGNQDTSKVKESVNFVNLPLDDLSSIDEFGVAGELGGPQDLSSWLSFDEDVLQDHDSIGLEIPMDDLSMIL >OIV99408 pep chromosome:LupAngTanjil_v1.0:LG13:2015042:2015467:1 gene:TanjilG_17218 transcript:OIV99408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHEHMHYHKGGIHGVKYLMHMTFFWGKNSEILFDKWPGDKTSMYILALVFVFLLSFLVEFLSSTRFTKPGSNHLAANSLVQTLLHLLKVGLCYLVMLALMSFNVGVFLVAVIGHALGFFFFGSNAFKKPTQCDNELTTNE >OIV99316 pep chromosome:LupAngTanjil_v1.0:LG13:2708061:2712926:1 gene:TanjilG_17126 transcript:OIV99316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGKGMGEVGKILYIIVVDDGEKKENNKGKDSFRYTRSVLQSTLQLIGCKARHAFKISKRVFELTRDESSTDTLQPEVTRDVISLGPDAPKGDFVKKDGHYAGVCRGKADLDNQLPTGKDCRSKSVPFELYKKRTTAFVPRETFLDIVCDALSEYKYVGPNQRADVALACRIRERKESVTVLLCGTSGCGKSTLSALLGSRLGITTVVSTDSIRHMMRSFVDEKENPLLWASTYHAGECLDPISVAEAKVRKKAKKLAGVSHSLPKDELTEGHNSNRSGARTPETSCGTTQLISPKQMAVEGYKAQSEMVIDSLDRLITAWEERKESVVVEGVHLSLNFVVTNSLSSKGMFQLIRRKDSSRNLMALVNNDGSVAKAWPVDSNGKPTFGNGLGNGIGCPMYGPLQIGKSEPVNLQFGYYGISAWPSDGGTSRAGSVDESRADWTDTGSRYLSSCCSSPRLSDGPAKEVKEDVSVHGSDEELDDPLEELGSDEDFSDDDDKHVHDEVGSVDEESTKSDEEYDDLAMEDVQENGYWSDEEFKSKLSAVAEELRSKMHDNMYSQNLDRFLRSRSEPMAALVQETQFCYSPMIVEKSERKLPPADKYKLRKRSLSIPALGRHSSAINDPILSGAPQR >OIV99532 pep chromosome:LupAngTanjil_v1.0:LG13:1187453:1187731:1 gene:TanjilG_17342 transcript:OIV99532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFRLPAILRTSFNANQENSKCIEVQKGYLAVYVGEKYKRFVIPISYLNQLSFQNLLSQVEQEFGYDHPMGGLTIPCSEDVFQNIISRFSGL >OIV99259 pep chromosome:LupAngTanjil_v1.0:LG13:3276285:3282533:-1 gene:TanjilG_06564 transcript:OIV99259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSRCRKLTVVNLDPVRKRSGGLRTKQAGRGSCRGTLKTLCARNQWSYAVFWKIGCNNPKLLIWEDCYYEPLPSNLPYQDGEGCWFSSEYQPGIQEEDKVCSLINKMVVNSSVNIIGEGIIGRTAFTGNHMWILLNNFTRDAFPQEVYTELHYQFSAGIQTLAVIPVLPHGVVQLGSFLPIMENMGFVNDTKSLILQLACIPGVLLSDDYSAKLSPERLAGPVTVCVPVTIDPPVVSSNCTPSVTNCSNEISNFSHASSRPIAQPINNYQGSVLTPQMQNQNKISSKYHDNPCHPMAHSINRTSVSTQQESRVVVARAEVIPSNLDSCMQQSSSAYNTRYAFNDLAGFGQSNFSDGSLKYMEQQILSSVGTQVHVNPNMNLSSTYNISQLKRDGSHILQQTQSCVSNSILGGIPIHNRMSNLLRTNMFNSSVSNSPKVSTTDFSGIQKVSVGLQNDNSTKAGTYSLPNLTNQTVPSHMHLEGSHQKNLPTDLSHAHDVLATTDQRIDDDLLQALKIPSLHLEEHVAMSDQIPGLVHDHLNEDSSSQHMMKMNAKQETSAQLPSDDDLFDVLGMDFKRNLLNGNWDELLADENIDKKATCMNMQAIDPDNSYSVSEAISDSGIFSGSGTDHLLDVVVSRAQSASKQNSDISCRTTLTRTNTASVPSPVSKQVMPDNVVKGKVINFPKMEGKIGAAQTSSLKSVCSKDDAGNCSQTTSIYGSQLSSWVEKVNNVKHESSVSTGYSKRPDEGCKSNRKRFKPGENPRPRPKDRQMIQDRVKELREIVPNGAKCSIDALLERTIKHMLFLQSVTKHADKLKQTGESKIISKEGGLLLKDNFDGGATWAYEVGSQSMVCPIIVEDLNPPGQMLVEMLCEERGFFLEIADLIRGLGLTILKGVMEARNDKIWAHFVVEANRDVTRMEIFMSLVRLLEQTVKGGASSSNAIDNNMMVHHSFPQTTHIATTGRPSSLQ >OIV98851 pep chromosome:LupAngTanjil_v1.0:LG13:10471073:10473667:-1 gene:TanjilG_08902 transcript:OIV98851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIYQHTNSPSTQWTVVHDKAFQRAIVMLPENIPNRWEKMTHHVPGNWSPEDLKQRYEKLEHDLLMIVSGEVEFPELLNKVEPAEVHQGTLAVPDDWKKGTLWTLEEHRINNHLKPFTMPIYQHINSSSTQWTVVHDKAFQRAIVMLPENIPNRWETMTHHVPGNWSPEDLKQRYEKLEHDLLMIVSGEVEFPELLNKVEPAEVHQGTLAVPDDWKKGTLWTLEEHRLFVRGLEKCGKGDWKGIATEFVVSRTPSEVASHAQKYFLRQNTTNDDRKRKSIHDITTGESDQTSVSLPRFNKKT >OIV99113 pep chromosome:LupAngTanjil_v1.0:LG13:6299973:6302120:-1 gene:TanjilG_32372 transcript:OIV99113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLSLKLDEWTDEQVDALAMLGGNRILRRSSSLAQSSSSCNNSLPDKNPYDKQPTKNCIGHAFRNSWGRKDSEHKSSSKKSTSLVMCMVEFVGLIKVNFVKGTNLAVQDVMTSDPYVILSLGHQSVKTRVIKNNLNPVWNESLMLSIPENIPPLKVLVYDKDTFSTDDYMGEAEIDIQPLVLAAKAYEKSTINEPMQLGKCIASKDNTLIRDGVISLDEGKIK >OIV99447 pep chromosome:LupAngTanjil_v1.0:LG13:1701157:1704011:-1 gene:TanjilG_17257 transcript:OIV99447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYPSFFKLLLPSTVQAKQLRIPDNFLRKYEGELSTTATLSVPDGSVWRVGLKKADNKLWFVDGWPEFVQRYSISVGYLLVFRYEGKSSFNVHIFNLATSEINYQSATRSSNEGPYFANRLKFFEEMEDEDSVEYLDSPSRPTPGALQNKVLPGSVDIKPGKSNTPPAVQNLFNGSKLNSVNWGEGGGVLSSRGSDTLDSQLTRDIGVQFNAVEFKRSTEELKLRASIEEKVKKTERKKRKSAHEGQESYAEHGEETEIRNRFYESASARKRTVTAEERERAINAAKTFEPDNPFCRVVLRPSYLYRGCIMYLPSCFAEKHLNGVSGFIKLQNSDGRQWPVRCLYKGGRAKFSQGWFEFALENNIGEGDVCVFELLRMKEVVLQVTVFRIIEDVGLLSPSSQQSQNVSPPKLMNTPLQHHISSVKLIRN >OIV99329 pep chromosome:LupAngTanjil_v1.0:LG13:2588371:2598783:-1 gene:TanjilG_17139 transcript:OIV99329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHNTLNFSTLLILVGLLVSASFAKNDFGHCERVVKVWADSSLDEEIREDKHTLRDLLFFLHVPRTGGRTYFHCFLKKLYPSYLECPRSYDKLRFDPSKPKCRLLVTHDDYSMTSKLPRQRTSVVTILRDPIDRVFSTYEFSIEVASRFLVHPNLTSATHMAKRLRSRTKGVSTLEIWPWKYLVPWMREDLFSRTLNGQRDARYSRGLHTMDSNDSYDMEDFAMPLIEYIKHPAAGDLVHNGATFQVAGLTNNSYIAEAHKVRHCVQKYKILGKYVLQVAKKRLDDMLYVGLTEEHKESATMFANVVGSQVISQLNGPNASLDIVDKTEQGSSSDSDPDSSEHQNSTTDKGASEVTSSESGEATELNMTVGELMNAYEGCISNLRKSQSRRRIASLKKISPVNFSREARLHVSEEVLQEIRSLNDLDIELYEYARTIFYKQHKTTLQTFTEERWDNDYGITMWKDIADMKDCWFLDNNFNCLSDGISDDVFEFFDFPIEDVETDFVEEDWAAQFKHIEEPSLGVFSVPHSAALCDKTQNETPKVGIENTSTDSLEKTTGPTYGKTTPIQNVSFNRKDVHKFQTYSPVSVFESSSSSSVENSNSDLPVIPLKRPRGKRQRLSSFNLLLSLPFISTSPTFETRTSGKLVTRVKKKQKKKDLLLLPDHSEMKRSSSQESGVLGKCTHCEVTETPQWREGPMGPKTLCNACGVRYRSGRLFPEYRPAASPTFVASLHSNSHKKVIEMRHRAAKETFRGSSVMS >OIV99372 pep chromosome:LupAngTanjil_v1.0:LG13:2266843:2268293:1 gene:TanjilG_17182 transcript:OIV99372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDESVIIYPQSEEELTHKLVNVTLELETMKNVKDELFDSLMLAYRERDEARAELQKLMNKPMPSITNHLQNIFGSVQHENHSMFPIMAKANSSITESNTLSHGSPTVDSFFDTVSSPEFSNITNNNLSYSYLSQNLVQDFNNISASHDAANAIIDSISKVRPLPQKGKLVQAVIDAGPLLQNVLLAGPIPTWINPPPLQHIKIPPLTIKEYDISSNNIPTYLKPKLPSNATFSTTSCSSSMLNFAGHHSSSFNNEWNLTSSSCVQLRKRQRHQ >OIV99480 pep chromosome:LupAngTanjil_v1.0:LG13:1512152:1512646:-1 gene:TanjilG_17290 transcript:OIV99480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKRRDATPHLPSAANSPVSEPATTRRRAGGRKRKSNALNASNSSLHPPPFHNGPLTRARQIPANLSSAAAASSSAASGGSASAPAVVKHSERAAQKQGPGGDSVVVAEECKESDLESVEAATEAEFEAIRSRGNNAHAVPTHCGEALCLLWNPNSSMFCFET >OIV98913 pep chromosome:LupAngTanjil_v1.0:LG13:7886797:7888957:1 gene:TanjilG_07348 transcript:OIV98913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPYPISGEDFYLLMGRVRWDETNIDEIEANKPVRQKITEPKTPYHPMIDDDSSLSPVRGSFDASIGDENHKTHVEAIWSALTDAASSSRRGNEQSGGWTSSEEDIEAMEQDDEDKSLSFKEQRKAHYDEFLKVKELRRNSSLNEDEDDEKNNAIAELSMEKECESSSPSDSVKEMDMDGKKSSTPPANGS >OIV98840 pep chromosome:LupAngTanjil_v1.0:LG13:11290583:11291056:1 gene:TanjilG_08496 transcript:OIV98840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYSCYQVGKAHAPSVSTHHMAQWESARLEADARQSRDSSLFNSDPNSFVDGNKNDADYFLRIWNSEVGELFRCVRKHDDLTRPISPRSSSNICGSMSAITIELADNVKDDLEWRNSDSESANDLENSSDAALQVLLDFPINNDMSFLQESSFTFPL >OIV99133 pep chromosome:LupAngTanjil_v1.0:LG13:4647728:4648395:-1 gene:TanjilG_22713 transcript:OIV99133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSYCHHYSDNNDSLLPPGFRFHPTDEELITYYLLKKVLDNTFTARAITEVDLNKCEPWQLPKKAKMGEKEWYFFSLRDRKYPTGLRTNRATEAGYWKATGKDREIYSSKTCALVGMKKTLVFYKGRAPKGEKSNWVMHEYRLEGKFAYHYLSRSSKVRNPSFTFHLLNMRFFFPSAFFPTIFHLVVK >OIV98640 pep chromosome:LupAngTanjil_v1.0:LG13:16226371:16227216:1 gene:TanjilG_12763 transcript:OIV98640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPNLSIKDIYLECDGGWEFRVLLRWKDNNVILGWGSAVPNHISNHVHDENSGEVTDVKYQKEAHVKEIGGECPVCLSVFADGEEIRQLSVCKHSFHASCIDMWLSNHSNCPICRANIAAVTKLSGSNSSAAPTRDGDLQHGGDASALI >OIV99303 pep chromosome:LupAngTanjil_v1.0:LG13:2812107:2815937:-1 gene:TanjilG_17113 transcript:OIV99303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPHSTSALATIVTLSSPITKSFNSLPVLSFTNEPFFTKQTIPRRKKRHFSLTPLRVAAPTTTTIESDQSYIEAQRGDIDDEFDDDTSSSKFSWRDHWYPVSLIEDLNPDYPTQFQLLGREIVLWYDKLNSRWVALDDKCPHRLAPLSEGRIDEDGNLQCSYHGWSFDGCGSCVKIPQAMSEGPEARAVRAPRACATRFPTLVSQGLLFVWPDENGWEKANASKPPLLPDDFEKPEFATVNIQRDLFYGYDTLMENVSDPSHIDFAHHKVTGRRDRAKPLTFKMDSRGPWGFAGANDGNPKISAKFIAPCYSMNKIEIDTKLPIVGDQKWVIWICSFNIPMAPGKTRSIVCSARNFFQFTVPGPAWWQVVPRWYEHWTSNKVYDGDMIVLQGQEKIFLSATKDGGDINKQYTNITFTPTQADRFVLAFRNWLRRHGNSQPEWFGTVDQQQLPSTVLSKRQMMDRFEQHTLKCSSCKKAYEAFQTWQKILIGATVLFCATSGIPSDIQLRVILAALALATAGLAFALNQLQNNFVFVDYVHADID >OIV98858 pep chromosome:LupAngTanjil_v1.0:LG13:10307820:10318400:1 gene:TanjilG_12026 transcript:OIV98858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSERSGYVSEICKKDWKFCWPFPVDESQEQPSHPPLDVPKYKCWCCQRCPQESAAKDIDKNDQTNFESDSNCSNAALKSATMQDPMLETLERRNIDLNSNLCCVTDLLPDSNEKEKKAGVVLRRTDLEIGLENNFNNQVTSFSLPNVCPGFIQEVHVTKRGCDGNIELVSNHQCMDKSSAEIYNRAAPSADNQCKKKLIKSCTTLWEGQTAMEPGNATDHTSGHPPLELVACNHTVPAGPEGTTDDMDEDDLQDHHLEKSDALYRRRPRKVRLMADLLSVKNGRKTEQITRQGSPSHGTSNASAAAQPHSIFPGMVDTQGYMTSTSMCQSRKRKFHLDEAQRHSDMCIQRVEIKIKKGDAKTIDTALDTRPKSKDVMTGIGLQDAAKGYWSNSGIERNHIMSKKNKKIQVNNKYLIPEPCQGQQRENEVNMDTADMAYASKTLSSRFSHCAFTGKGMDKFPFHTLRKENEFDLSKGKGKMLQTDGELDSLSCQRNDILGENSFSYSGGKVMSNMPVDFPIPFTQGALNGKGMEEGLPYQVYNQKCIHQIENRLPFPLPLQEPTSNVHQPKRKNSEINVFGGPIIPSNHITNALSGKGVCCEEITGVRYTARTVEALEQLGVMKRYSEQTAEVSEQGALDDIPMEIVELLARNQYERHLLDVENRSCTWDKSTINRKTQMTVGDTVYDKRELSLLKEGQKVKHHGAYTKSNIATSGENVKPSKSKPVRYLSPFDGNNLGINNPCPPQPHFGFEVSQSQNIQFSHMGSSHLDRDQNCKLNGYPDACKSSNATLRSHGGCSLHTAILQQDDEASRIWASLTTNHVSLGYDVPKKVVFQPTSANVDITSLQSGTQYKQNMKRDINPCSNLNVAGLEKPNRSTGPGTFNRVNGGYPFPGKHNGMERQQNLRASLDMYSNETMPAMHLLSLMDAGMQSRMPFNEGVNAQMLRRPSYHGDCNTKMEIGTSKTYGTPRRQLSDYYSRSYLSDKPHSCLLESPTFVASSSTQHHMNFIRATGGFDVGNSLEFGRKEKMKCSNSAMQNRVSKHFRCPSLITETPMQNKLEVPGTHETLLPVRVTLGNICMVNRNPADFTMPEAGNLYMLNGEDLKFEKRLPKKRHRFPTPHGCKQQRNLKGTKMKEHSKH >OIV99538 pep chromosome:LupAngTanjil_v1.0:LG13:1155032:1165421:1 gene:TanjilG_17348 transcript:OIV99538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVILCTNHLRLTSSFSFSFSSSFPSTHFLGSTHTLKPKPKPKPKLLRSSNNFHLLRFHSPRFLFKPSSFHSHPTIIFFIVVTISAVSFIHHTLTTKNKDKTTQLHPHPHFALSPQFQRDNSLTEQQDEIKDIPFLNSSSSSSSSSSAAVVAVNDDDDSNVFTVTDTFLPLPFNSTSLSEPFTLIQFEENAASSVTENDALDDEHSAEKIEFGSVSNGFLLGESVREDLYMFYEANKKPANGTISSPLSPNASFVNGNGFPSEIGNATLKGEEHVEGVVPISNHIGGYPAQGGSNNLRNGSRYLRNREKNYLDHNSSKVLPQNGHSIRVQAHQKNDRTKVYDDQKNDISEYLSKYNNLLKVGRLRESVELLKDTEKKGLLDMTKVYHAKFFNTCKRQKAVKEAFDYIRLIPNPTLSTFNMLLSVCTSSQDSERAFQVLQLLKEARLEPDCQLYTTLISTCAKSGKVDQMFEVFHKMVNSGVEPNVLTYGALIDGCARVGQVAKAFGVYGIMRSKNVKPDRVVFNALIAACAQSGAVDRAFDVLAEMAAETQPIDPDHITFGALMKACSKAGQVQRAQDVYKMIQKYNVKGSPELYTIAINSCSQTGDWELAQSVYNDMTQKGVLPDEKLLTHFTQMFLSALIDVAGHAKKLDAAFEVLQEARKGGIHIGIMSYSSLMGACSNTRNWQKALELYDYIKSLKLVPTVSTVNALLTALCDGDQFQRALEILSEMKGLGLRPNSITYSLLIVASEKNDDMEAAQMLLSQAKKDGASPNLVMCRCLIGMCRRRFEKACFVGEPVLSFDSGRPQVDSKWTSLALLVYRETIGAGEKPTSEILSQMLGCLQFPCEESIKNRLVENLGVSAETSENSNLCSLMDGFGVYDSRALSILEEAASYGVVPSVSFKVSPIVMDAKEMHPFIAEVYLLSVLKGLKHRLAAGARLPNTIILLPIEKTGVLLPKGKKIINLSGRVGQTIGALLRRLQIPYKGNESSGKLRINGLALKIWFQPKLASPFSGKPGDWSSSQSRLGKSISHQQRNIRTGNLSLD >OIV99006 pep chromosome:LupAngTanjil_v1.0:LG13:7439062:7439349:1 gene:TanjilG_29409 transcript:OIV99006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPKKSVGLMANVMKQKESFIQLLAMAGILCLSVKCLSHKHRIVELEEETRALDQKHVSLTRRMKRTKRELLREASLDSSGLFASRLRILFARRH >OIV98555 pep chromosome:LupAngTanjil_v1.0:LG13:17390458:17398857:1 gene:TanjilG_12141 transcript:OIV98555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSCMEDLSDVFEGGYNSGYTPIIMKIDMEDGAAWSNKHTFVMEEHFSHHRRRVLLDASSHEYICTMRKKKGTLHGRWKVYKGEYNGDKSAKLCFIVKRPSIIMSEKCFHLDIFLAKNTEERVCKVNVTDDICEIIAGPRSLAKTKMEGSIMEVMIEANVDLALIVSVLVILDEIKHNKLRKVVKGVTNTILGIGKIAMLITVGESF >OIV98992 pep chromosome:LupAngTanjil_v1.0:LG13:7284402:7284620:-1 gene:TanjilG_29395 transcript:OIV98992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLGDGEETMFGLDNWVNGQQPKRQFPSLFMVARNKLRRVVDEGLWEDDQWSWCINWPRDCFSNGKGNCDAS >OIV98636 pep chromosome:LupAngTanjil_v1.0:LG13:16269578:16283586:-1 gene:TanjilG_12759 transcript:OIV98636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDMEIDSPSGSQTLKPRDRIVKKLAHFGVPEEQLDQPGLVAFVKDKRELIPELVSVILPTDVEVGEALPDSKPGSKKLPMGVTMKKTFRHSMMWLQWLMFEGDPGAALKGLSEMSVGQRGVCGSVWGHNDIAYRCRTCEHDPTCAICVPCFENGDHKGHDYFVIYTGGGCCDCGDVTAWQRKGFCSKHKGAEQIQPLPEKLSNSVAPVLGSLFICWNGRLKFASDSAMSAERKTVGNELTFAVVDMLLEFCKHSESLLSFVARSLFSSTGLLNILVRAERFLTDVVVRKLHELLLKLLGEPIFKYEFAKVFLTYYPTVVNEAIEECSDLPLKKYPLLSTFSVQILTVPTLTPRLVKEINLLAMQLGCLEKIFNSCSENGRLQISKWANLYETTIRVVEDIRFVMSHVVVPRYVANDQQDISRTWMRLLSFVQGMNPSKRETGQHIEEETENVHFPFFLGHSIANIHSLLVGGAFSDSSKGGMEDEIVWISEKHESDDGDNVRNMKVGRLSQESSACCVTSSNSAFASPKVPEIKSDASSHFHLPHSVTWLIYECLRAIEHWLGVENTPGVLPNMFNSDSVYDGNFSAFKRTISNFRRGKYTFGKLASSSEDYGKRCSDFCLDGSEMGKNAVKDGKLKKNGEIDCENTSTYLGFDKNAMEEDFPAELDGLRFLSSPDWPHIVYDVSSQDISLHIPFHRLLSMLLQKALRIYFNKSQVQDVTDDCSTNSLSTICVDFFESALRGTHPHGFSSFIMEHPLRIRVFCAEVHSGMWRKNGDAALLSYEWYRSVRWSEQGLELDLFLLQCCAALAPEDQYVSRILDRFGLSNYLSLNLERSSEYEPVLAQEMLTLIIQIVKERRFCGLTTAESLKRELIYKLAIGDATHSQLVKSLPRDLSKFEELQDILDTVAVYSNPSGFNQGMYSLRWPFWKELDLYHPRWNSKDLQVAEERYLRFCGGSALTTQLPRWTNIHPPLKGIARIATCKVVLQIIRAVLFYAVFTFKSAESRAPDDVLLPALHLLSLSLDICFQQKESSENTCHDVAQIPIIAYSVEIIDADAFYGVGEQSLLSLLVVLMEMNRKETADNFVEAGGFSVSSLIESLLKKFAEIDHRCMTKLQKLAPKVVSHISECCPTRDSSVSFSASDSEKRKAKARERQAAIMEKMRAQQTKFLASIDSTVANSSQVGHQEGDLDTDAEESKQVVCSLCHDHSSEHPISFLVLLQKSRLVSSVDKGPPSWAQLCRSDKEHMPTTTKMADTSVINWNSSSSGSTSSSHLTQLVQIAANELASSGDPGEVNAFLQYVKNQFPTLGNFQLLDTSIDEKEKTAYTFDNLEDSMYFSIWEEMRDLVSSSNLMNEDEKVPRTGGDTNIIIDTESVLLGKYTGDLVREMSESSSASEIACSENTYVESTSQHPSYDGFGPTDCDGVHLSSCGHAVHEGCLDRYLSSLKERFVRRIVFEGGHIVDPDQGEFLCPVCRRLVNCVLPTLPGELQKSLKHSIGLGIGSIPTEAPLAESNEVTHSLRLQQALKLLRSAASTVGKDKFLKAIPLRQIDSTRPNLETFSRVLSKIYFPGKQDKLSKFARLNHSMLMWDTLKYSLMSMEIAARCGRTSFTPNFALSAMHEELKSSSGFILSLFLKLVQKTRTKNSLHVLQRFIGIQLFVESICSGVSLHFANNDMSGRGDMLSILKHIEMDLSNIDIRFWKQSSDPILAHDPFSTLMWILFCLPYPFLSCEESLLSLVHVFYIVAVTQAIILYCEKSQHKPSESGISNCLITDIYKIISESGCDQQYFMSNYFDPNVDIKDAIRRFTFPYLRRCALLWKILHSSIPAPFSDEEIVLDGSWNAPNDTMDRANIELFEVTKIQELEHMFKIPSLDVVLKDEVSRSSVTIWCHHFFKEFESHGIQHNMYVTPAVPFKLMRLPNVYQDLLRRCIKQRCPECESTLHEPALCLLCGRLCSPSWKSCCRESGCQTHAATCGAGTGVFLLIRRTTIILQRSARQAPWPSPYLDAFGEEIYAALSSQVRRLLPGFERMTFRSQGKQPYGCAKPTRECEEN >OIV99296 pep chromosome:LupAngTanjil_v1.0:LG13:2901897:2903418:-1 gene:TanjilG_17106 transcript:OIV99296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWYSISCFLLMVVVLNVANAQPLVPALFIFGDSVVDVGNNNDRYIVVKSNFPPYGRDIENHSPTGRFCNGKLATDFTAQTLGFTSYPPPYFSLKEKGSNLLNGANFASAGSGYYDSTPKLYNAIPLNQQVEYYKECQNKLVEIVGQSKASSIVSGAIYLISAENSDFIENCYINLLRFYSYFIQACFLNNLNILAKIYMHWEQGGLVSQPCLQWVACQLQSLSLALAMNV >OIV99034 pep chromosome:LupAngTanjil_v1.0:LG13:5112453:5113598:1 gene:TanjilG_32293 transcript:OIV99034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLCVNNNNNNNFSSFTWLTPQHSLSPDNLPDLDSSAGDFEFHLEDPVTMLPADQLFSDGKLVPLHFHLSTLNPFTPSSSTTTAQSHSPETCSPDLSPANITEKPPVETFLFSPKAPRCSSRWRELLGLKKKQISQNANSDTHKTASSCSSTKSIKQFLHHRSSKTTSSSSETTSITLPLLKDSDSESVSISSRLSLSSSSSSGHDHEDLPRLSLDSDKTTTIPNPTPIQISLHRNPNAHPRMSFVKHRSSSFDKTNNPNPNHGNRVARNPIRKVESNEVDSPRMNSSGKIVFQNLERSSSSPSTFNGGPRFKHRGMERSYSANVRVTPVLNVPVCSLIGSSKSGSVFGLGPFFSSSSTQKKDGASGSGGGSNRHRCNRN >OIV99057 pep chromosome:LupAngTanjil_v1.0:LG13:5392482:5393318:-1 gene:TanjilG_32316 transcript:OIV99057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSPAAVSFLTNVARAAIGLGASAAVLNSSLYTVDGGQRAVLFDRFRGILEETVGEGTHFLIPWVQKPYIFDIRTRPHTFSSISGTKDLQMVNLTLRVLSRPDTDHLSVIVQNLGLEYDEKVLPSIGNEVLKAVVAQFNADQLLTERPNVSNMVRESLVRRAKDFNIVLDDVAITHLSYGAEFSRAVEQKQVAQQEAERSKFVVMKAEQERRAAIIRAEGESDSAKLISDATAAAGMGLIELRRIEASREVAATLAKSPNVSYLPGGQNLLLGLNPAR >OIV99704 pep chromosome:LupAngTanjil_v1.0:LG13:47002:51527:1 gene:TanjilG_17514 transcript:OIV99704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMSESNGNKKIEDLKNEDLSLYFLQQQIESSFSNDDDDDNIDSSSSSSSVPTELNTINSSGGFAVVSRDKLSVKYTNVNLHGHDVGVIQSNNPAPTNTMIYYFEIYVKDAGAKGHISIGFTSNNFKMRRQPGWEPNSCGYHGDDGLLYCGHAKGEPFGPTYTSGDIVGAGINYAQRLFFFTKNAQLVGSVYKDNTMNPPLFPTIAVHSLNEEVHVNFGQKPFTFDLKEFEAQERMKQQVKIEEIPLPPNVSYGIVRSYLLHYGYEDTLDSFDVASRSTVPPICIAQENGNDEQEITYALNHRKTLRQLIRDGEIDTAFGKLREWYPQIVEDNTSATCFLLHSQKFIELVRVGALEEAVRYGRTELSNFFGLPVFQDLVKDCVALLAYERPLESSVGYLLKDSQREVVADTVNAMILSTNPNMKESKNCLHSYLERLLRQLTACCLERRSLNGDQGEVFELQRVLCAGKRA >OIV99658 pep chromosome:LupAngTanjil_v1.0:LG13:364051:364389:-1 gene:TanjilG_17468 transcript:OIV99658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVEKCSSSSVDYAKKGHRICYLHQSVTITEKSDPRIRGSHSHNNNKGMGDIFYGGDDCEEIKNYMYKEDVLFECMRKETELKAFKKRQKKRQGCFFQCFQFFRLFFRCTNL >OIV98566 pep chromosome:LupAngTanjil_v1.0:LG13:17234117:17241790:1 gene:TanjilG_12152 transcript:OIV98566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTLSVMRSQIINPSTPKSNLISILQALTHALQSTNQTRNQTHHILKLLSDLAAHHSSLSQLVLDSLRSNSPDPSSITHLAFEGTVESLHAITSILDDGLVSLDDSLFVSLCFGPNVSARIWMLRNAGLRFQVRPALLLGVCLGLTKDPYPYVREASLEGIHSLCECGVFEDVSLVEACYGRGVELLSDMHDCVRLSAVRVVASWGVMLAASNADMKNYLSNEVFAKLCSMARDMSMKVRAEAFNGIGKIEIVSEDFLLQSLSKRVLTFGKTKKTLYQSTSEQFVKLATSVAGALVHGLEDEFFEVRKSACQSLYTLTILSLEFAHEAINFLMDMLNDDSVVVQLQALETLHHMAINGFLKLQEIHLHMFLGVLVDNNREVRYAERKILKVVKLNDRVLFKSSIDSLLENLVHYPQDEDDVLSAFSHLGRNHKKYVGLIIKEIFEEVEAAFEGNFEFNSVRIAALLTLSISSPLLNGHIGSIPPVMFSYAVTFLGRIYCAFSDIMDRNALLAYLCEKSRSTGHSGGDAPNLATKEEIESQITRDPKEVANYKEEQQLANNEVIDFTNYILAKLPDMWAMIQSGFTNKVLGSLRCWKEELTTMMASDSSGYGDALAFTLQNLRIFKLLAEVWQHLLPEKTDSSQRMGVLESKLGKLDKRVKELMCRFLGFSAEEEITVLELILVTFSLRLCKVKICSANQTFKKLNAIYLQVESLLKESSTLPSNFIVELGKLLHESSTAINEASSRNPLPFDECLKLFSFKKFTSHGTIKHLKAELSIPNNDLEHPFPFVSRLPAGIPCNITAHNVSTEKRLWLRISMDDGFVHHVFLDLDLFEGSGKVKKFAFVAPFYRTAKAGSVTLKVSIGLESLFENACPVQRHGGPKRELVLLCAEKQVYLSNVNKE >OIV99576 pep chromosome:LupAngTanjil_v1.0:LG13:922545:924661:-1 gene:TanjilG_17386 transcript:OIV99576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDLEAPLRPKRKKIWVDYFVNFRWIIVIFVVLPISFTLYFLTYLGDVRSEWKSYKTRQKEHDENVKKVIKRLKQRNPSKDGLVCTARKPWIAVGMRNVDYKRARHFEVDLSAFRNVLEIDKERMIARCEPLVNMGQITRVTVPMNLALAVVAELDDLTVGGLINGYGIEGSSHKYGLFSDTVVAYEIILADGSLVRATRDNEYSDLFHAIPWSQGTLGLLVAAEIKLIPIKEYMKLTYEPVVGNLKELAQGYIDSFAPRDGDQDNDEKVPDFVETMIYNPTEAVCMTGRYASKEEAKKKGNKINSVGWWFKPWFYQHAQTALKKGKFVEYIPTREYYHRHTRCLYWEGKLILPFGDQCWFRFLFGWLMPPKVSLLKATQGEAIRNYYHEMHVIQDMLVPLYKVGDALEWVHREMEVYPIWLCPHKLFKLPVKSMIYPEPGFELQRRQGDTQTAQMYTDVGVYYAPGPVLRGEVFDGAEAVRKLESWMIENHGFQPQYAVSELSEKNFWRMFDASLYEQSRKKYGAVGTFMSVYYKSKKGRKTEKEVQEAEQAHLETAYAEVDQPVD >OIV99306 pep chromosome:LupAngTanjil_v1.0:LG13:2789823:2792055:-1 gene:TanjilG_17116 transcript:OIV99306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHYRRRCKIRAPCCDEVFDCRHCHNEVKNSMETNPVDRHEIPRHEVKKVICSLCDTEQNVQQYCINCGVCLGKYFCGTCKFFDDDISKEQYHCEECGICRTGGRDNFFHCEKCGCCYSKIMEKGHPCVERAMHHNCPICFEYLFETLRDITVLPCGHTIHVECVREMEQHQRYSCPVCSKSMCDMTNLWKKFDEVIASTPMPKMYKDKMVWILCNDCGENSHVQFHIVGHKCKSCKSYNTRRIQGNPGTSCSSRMSEMIR >OIV99654 pep chromosome:LupAngTanjil_v1.0:LG13:393564:397524:1 gene:TanjilG_17464 transcript:OIV99654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIAAVIGLNGGKRLLSSSYHYSDITEKLFYGSDFGSAHYQVAQTKPVIIAKKTSNYTPSFPTCNNEKQIQSIKALKEHVVDAPTIADQWFEGYNNSNELEVECSDIGYSVDALLLLQKSMLEKQWDLSFEKGVLSEKSRREKSRRKVKVTSSGVSARQRRMSTKRKTPGKTGSAMIQPCMQLRSIISPELLQNRLKGYVKGVVSEELLSHAEVVNLSEKIKVGLSLDEHKCRLKERLGCEPSDDQLATSLKISRSELRAKMIECSLARERLTMSNVRLVMSIAQRYVNLGAEMADLVQGGLIGLLRGIEKFDSSKGFKISTYVYWWIRQGVSRALVENSRTLRLPTHLHERISLIRNAKFRLEEKGITPTIDRIAKSLNMSQKKVKNATQAINKVISLDREPFPSLNGLPGETHHSYIADSHVENIPWNGVDEWALKDEVNKLINVTLVEREREIIRLYYGLEKECLTWEDISKRIGLSRERVRQVGLVALEKLKHAARKKDMEAMLLN >OIV98726 pep chromosome:LupAngTanjil_v1.0:LG13:14638247:14649094:-1 gene:TanjilG_24897 transcript:OIV98726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMKELKGVDFMGSLTRLENIFKELDVFFQEVIDEHLDPKREKVTIEEEDVVDVLLELKKQGHLSIHLTNNHIKAIIMDILVAATDTSAATSVWAMTGLMKNPRVMKKAQQEIRNLYGKKDFLNEEDIEKLVYLKAVIKEALRFFAPAPLVPRETNKTITIEGHKIPPKTLVYVNVWAIQRDPESWNDPEEFYPERFLNNDIDFKGQNFELIPFGAGRRICPGIPLGIATVEIIVANLVNSFDWEMPEGMKREDIDTEGYVYNDVIRLDDAAKLIDCTSVQVNHLIRTTGSLSGYLFECNYMPLFDSGFDDGLMTLDSVLEPSGSARTSSRSSGYGGVDCRTTLACTATTEIVRRKRTNSRPPCSPVTEISVGLINRRKGVPQRAPLY >OIV98758 pep chromosome:LupAngTanjil_v1.0:LG13:13842112:13858222:1 gene:TanjilG_25024 transcript:OIV98758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENPKATEHKEFPLGLDESTEEEYLNQSILLQEFNAISNIDKAWIFKSQDGVSQVMFSISQPNLLANKRRKFILSSTILKESNGSVRFQWAPFPIELPGVSIMVPSPSGSKLLVVRNPESEGPSRFEIWNSSHVEKEFHVAQSVHGSVYTDGWFEGISWNSDETRIAYVAEVPSSAKPAFNGLGYKKGGSTDKDTGSWKGQGDWEEDWGETYAGKRQPALFVINITSGEVQAVKGIDRSLSVGQVVWAPSTEGLEQYLVFVGWSFVTRKLGIKYCYNRPCALYAVKAPHHESKANETEIHSTEDVQALNLTQTISSAFFPRFSPDGKFLVFLSSRSSVDSGAHSATNSLHRIDWSSDIKLSQSRKVYDVIPVVACAEDGSFPGLYCFSILSGPWLSDGYTLIISSIWNSRQILLSVNVLSGEISRITPNDSNFSWGLLALDGNNILAVSSSPVDVPQVKYGVIVEKSNNTNEWSWSNVSDPIFKCSDKVRSLVSSLTFSVIKISVKDASVSLTKGASKPYEAIFVSSKAKKNDTCDPLIVILHGGPHTVSLTSFSKSYAFLSSLGYSLLIVNYRGSLGFGEEAVQSLLGKIGSQDVNDVLTAIDHVINLGLASPSKIAVLGGSHGGFLTTHLIGQAPEKFVAAAARNPVCNLALMTGTTDIPDWCYAETYGTIGSEKFTEAPSVDDLTQFYSKSPISHISKVKTPTLLLLGAQDLRVPVTNGLQYARALREKGVPHKVIVFPNDVHGIDRPQSDFESFLNIGVWFNKYCK >OIV98716 pep chromosome:LupAngTanjil_v1.0:LG13:14875240:14878335:1 gene:TanjilG_24887 transcript:OIV98716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPSPYTTKPHFPFSTIQPKPINKSKSFSHFIFKVLFFTLFIIAVSLFPSQAPHFVNQTLFTKFWELIHLLFIGIAVAYGLFSTRNVEHEVIEVETESSVVVGSASYVSKMFPVWTNFNENENTFGFDEKRVMHSLNPPNGGNVGVFDEQYKTQLPISEDNFDCSVGFGATNVVQAWNSENYQSEPVVVVAQPCYTIGECGEVVGYKPLGLPVRSLRSVSGGVDGVKHSNESDSSLGSRGSCMSSRRSREREFGDIDPSNSENFFNDASVVGLPASPIQWRSRSRKSREKGYGNVTRPMHIRPHSVDETKFEAISSMSLRSTTPFSSHIAVYSSLNSTSSENMNFLEVEMGKEETSYVPASEKMNFQEEDLRQMNTSFVPASEDINFDEEDSRQSKTWYMPDSENMNFQEVDFGKKILRGSSSRKGRMTAKGKHASGSYPSHFRPMSVDETQVGSLTSRSFQSMGSFSPHPSMYSSFDSSTSDNMNFQDEYIEQKKTPHVHASENVNFQEDDMGQKKTFYVHASENVNFQEENLRQRKSRYVHASENMNFQEEHMRPKKNFYVHDSENANFPEENLRQRKTSYVPASENMSFQEEDVGHKKTFYVHASENMNFQEEKMGQKNTSFVPASENMNFQEVDLRKISREPSSRNGSRETKQKSVAVSHPSHFRPMSVDATPFEEGSVNFQEEISGQKNSCYVPSSENRNFQEEDLGKKTSEGPSSRNGRMEGKSAAVSHPSHFRPMSVDEGQFDSLTSHSFRSTGSFSSRTSLCSSASSENMNLANDGFWEKKSSRGSSSSSSLSPARMNHARRYSNESLLQDDIQSNLSDDLKDLNDTQDEDPRGNKESGMHVFLSDSEKTASLPKTPSRGKSVRTRRASGLSSGQIIIGEVSTKQNEVKIEKKPNNVEAVSTRKDKTKSGEPDLLMKGTSKKTLDSFSPPKPDVTFANLRKTDKQEPSKNVSKEDSDIELENIQLSSDEDVVSGHVNDSGLDSEVDKKASEFIAKFKAQIRLQKMGSVDRSKVQKTTGNFVR >OIV99294 pep chromosome:LupAngTanjil_v1.0:LG13:2925466:2934049:-1 gene:TanjilG_17104 transcript:OIV99294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METPVARSRGRPRKRRRKDEEKPVAKSKRQVVETKPIALVGRFVQKKFRRKRNFLGKVVYYECGLYRVHYEGGDSEDLDSGEVRAILVNDNDFDAGLTRRKVKLEELVSQISVKVADEKHKGSANQNKEELKIDAPVSCELNKEQYDDESGDLSMGLGEKGPGIEAETLPLPPPLQLPPSSGTVGVPDQYVSHLFAVYGFLRSFSTCLFLTPFTLDDFVGALNCQVANTLFDAIHVSLMRTLKHHLETVSPEGSELVSKCFRCNDWSLLDTLTWPVFAIQYLIINGYTKGPEWDGFYDEVFTREYYLFPVSRKLMILQILCDDVLESEEIKAEMNMRKDSEVGVDYDAEDIPPVEIRPGRVHPRYAKTSSCEDKESMEFASVSNAVNQTGNSISYFRDTESTEDGEDHRNGDECRLCGMDGILLCCDGCPSAYHSRCIGVMKMYIPEGAWYCPECKINMIEPTTVKGTSLRGGEILGKDLYGQLFVGTCNHLLVLNTNDDRFGLQYYNQNDIPKVLQLLYESMQHRPMYYGICMAALQYWNIPGSVLPLSASTGKNVNLVIKKEETDFHTSLLPPSDEVNHKPINLVKGEDPLANSSLNQGDIAVSSLDISSVTTQSPSHESLCNARNKECPIVNTKLPLETSHQCAMNHHHSVDSLIAIDHAKYSLVNSHYNNLGHANDIGLPTNFSVQTKESTQVGFGKCEHNITNNFGHMGFSYKPLSYINYYMHGDFAASAAAKLTVLSSEEPRSEGHVSDNQRKTTAAIVYLQAKAFSLTASRFFWPSSEKKHVEVPRERCGWCFSCQSAVSSKKGCMLNHAALSAIKSVMKFVTGFSVLRSGEGNLPSIATYIIYTQECLRGLFVGPFQRASYRNQWRKQVEEITTYRALKPLLLEFEENIRTIAFCGDWVKRMDDCLVDSSIIQSAASSLGTTQKHAPSGRRYKKRLAIDGAKVDATKESFVWWRGSKFTKFVFQKAVLPLYMLRKAARQGGRRKISGIFYTDGSETPKRSRQLVWRVAVQMSRNASQLALQVRYLDSYLRWNDLIRQEQNTQDGKGQEPEASTFRNSNICDKKLVEGKCCYGVVFGSQKHLSSRVMKNVVEIEQDSGGKEKYWFSEAHIPLHLVKEYEECNTKVPSGEGCLNFVSHLHKRRLKAKCKDIFSYLACKRDNLDMFSCSACHMGVSFRIAFKCNACQGYCHEGCSISSKVCRNGKLEYLTTCNHCHPAKLLALKETSDGSPTTPLLLQGRETGSVMVLKGPRPKCYDQALKSARTKACSPDMNHVGPVSVLRGTRSKCDDRALTSTGTKDSPPDKKQVLSNSTSAAKSRHRNSSWGIIWKKRNNEIVENSAADIDFRLKNILLKGGSGMPQIEPVCHLCRKAYRSDLMYIFCQTCQHWYHAEAVELEESKILDVSGFKCCKCRRIKSPECPYSDAKPKTQEGKKSRSRPMVSKKGHFASDSDSGTFSDMTECEPATPVFRVDDDPLLFCISNVELITEPKLEVDVDRNTVSASGPRKLPVRRQVKREGDDNGSFWGKSLHAKCSTQTESGNLSNPVGRSSTPLEYDPGVRFDSNLLNDSESFNYASMDFEPNTIFSLTELLQPDGSSGSQFEGADASGDLSGYFENPGTLVPVECGGVRLVENPEPAISFQDNSLTCRQCNQTEPAPDLFCDICGMLIHSQCSIWAESPSRLGNWRCGNCREWE >OIV99121 pep chromosome:LupAngTanjil_v1.0:LG13:4826622:4829485:1 gene:TanjilG_22701 transcript:OIV99121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHSDKSVSELGLGSLSNRFRDSLSCEANKPDFRELHLASPVSPLRTRHHRSATAPATSSSSSGSSGSVSGRTGHNPITKRSDSGELSGSSENNSPTAAAGNGHRSFKSGSRSDSGSGSSQPLIYSGQIQRSQSSSSSAVNSPPLNVLPAGNICPSGRVLKSSMTAASAIRSSRPDVLGTGTGNYGHGSIMRGGGKSGSKGGDGVPNVRVSGSESVKRGVESVDPEEVKRAGNEQYKRGHFSEALSLYDRAIALSPSNAAYRSNRAAALTGLGRLGEAVRECEEAVRLDHNYGRAHHRLATLFLRLGQVENARKHLCYRGLQPDPFELQKLQIVEKHISKCVDMRRIREWKSVLREVDAAIANGADSSIQLFMCRAEAFLKLHQIDDADSSFLHIPKWESHTISLSQARFFGMLSEAYCYFVRAQIDMAFGRFENAVAAAEKASQIDPRNVEVAVLLNNVRMVARARVRGNDLFKSERFTEACSAYGEGLRLDPSNSVLYCNRAACWFKLGEWERSIEDSNQALRIQPNYKKALLRRAASNIKLERWAEAVKDYEDLRRELPDDNEVAEALFHAVVALKKSRGEEVHNLKFGGEVEAISSLEQFRAAISLSGVSVVHFRTPSNLQCKQISPFVDTLCGRHPSINFLKVDIEENPTVATAENVRIVPTFKIYKNGSLVKEIVCPGRDMLEHSIKPYSI >OIV98764 pep chromosome:LupAngTanjil_v1.0:LG13:13446290:13448809:1 gene:TanjilG_20510 transcript:OIV98764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAKLHLLDSNCFPLLGSTNTLPTTSSSTSTSSHENTLTIIPNKVPYTPNTPKNLNLEHNSHSEFLKRKGNYGSVIQQKKVHPRCSTKWACYGGRIPAILEALNCVHDIDEALGPWEDRLSNKEMSVILKEQVSWKRGLEIFEWFKKKGCYELNVIHYNIMIWILGRAREWRRIESLWNEMNVKGVAPVNSTYGTLIHVYSKGGLKEKALVWLQKMQSSGMEPDEVTMGIVVQLYKRAREFQKAEDFFRKWSRSESSVVVNDDNKVANGERGRNVSHVNNVCLSSRTYNTLIDTYGKAGQVQAASETFAKMLEEGVAPTTVTFNTMIHLYGNHGLMDEVSLVLQKMKELQCQFDTRTYNILISMYIKHNNINLASNYLSKMKEACLEPDLVSYRTLLYAYSIRKMVQEAEVLIQEMVKRGLEIDEFTQSALTRMYVESGMLEESWLWFRRFHLAGNITSECYSATIDAYGKQGHTLEAEKVFICCQERKKLSVVVFNVMIKAYGIGKCYDKACQLFDSMKKYGVVADKCSYSSLIHILASADKPHIAKHYLKIMQKEGLVSDCIPYCVVIASFAKLGQLKMAEGLYREMIEYAVQPDVIIYGVLINAFADAGSVNEAISYFDEMRRVGLPGNPAIYNSLIKLYTKVGYLKEAEETYKLLQLSDGGPSVFSSNCMIDLYTEQLMFEQAKEIFENLKNKEIANEFSYAMMLCMYKKIGRLDEAIQIAEEMRKLGLLTDLLSYNNVLGLYSMDKRPREAAETFVEMIRSGIQPDDFTFKALGYVLLNCGVSKQAIGRLEVMVKKDASRGMQAWMLALSCVLEGDEYSKLQMNRCGYSWMDLT >OIV98878 pep chromosome:LupAngTanjil_v1.0:LG13:9863420:9865591:-1 gene:TanjilG_21709 transcript:OIV98878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEESAVKEPLDLIRLSLDERIYVKLRSDRELRGKLHAYDQHLNMILGDVEEIVTTVEIDDETYEEIVRTTKRTVPFLFVRGDGVILVSPPLRTA >OIV99339 pep chromosome:LupAngTanjil_v1.0:LG13:2497457:2506937:1 gene:TanjilG_17149 transcript:OIV99339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGIGGRNLEQTPTWAVAVVCFVLISISITIEHTIHLIGKWLTKKNKRSLYESLEKIKSELMLLGFISLLLTIGQSLISKICISEKVARTWHPCSDKEDHTSSSKNETNQRRLIATTLFFGLESENPRRVLAGGGSDKCGKGKVPFVSTDGIHQLHRFIFVLAGFHVLYCILTLTLGRAKVCFFRQFVRSVPKVDYLTLRHGFITIILLVGTKLQVIITKMALSIQQRGEVLKGMPLVQLGDHLFWFNRPSLILHLINFVLFQMGSSMKASIFNERIAMALRNWHHRAKKHKKQNRNGSLSMTPISSRATTPTHSMSPTHLLSHYRSQIDSSYYASPRRSIEIEDSPSTSYSYHHKNKKAKVDYFFDPTPSTTTTTSTNHHEIEMDQHINEVNPTTTQHEIVIAHHSKEFSFDKGTTQSSAQNTSKLGR >OIV98852 pep chromosome:LupAngTanjil_v1.0:LG13:10435265:10436541:1 gene:TanjilG_21018 transcript:OIV98852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIYQHINSSSTQWTVVHDKAFQRAIVMLPENIPNRWEKMTHHVPGNWSPEDLKQRYEKLEHDVFMIKSCQVEFPELWNKVETAEVHRATQAVPVYRKKGVYGPIKTQGDWKGIATQFVVSRTSNQVASHAQKYFLCQNTSKRDRKITIQLLVWKITLFLHSIGFLLYTFAQISYMNCSEYRSNHRQKCYSLT >OIV99595 pep chromosome:LupAngTanjil_v1.0:LG13:804265:807354:-1 gene:TanjilG_17405 transcript:OIV99595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELTWLSFILVGAGYLAFGYFIGSHYPSRFLISSLRSHPKDNNFNKKNNGKSKFKDPLPIEQLAEILDDFKMILVVRNDLKMGKGKIAAQCSHATLGLYKKLHNRAPKALNRWEMCAQPKVVVKIESEEDMLALQERAKSLKLPTHITIDAGRTQIAPNSRTVMAIMGPVEVVNDVTGGLKLL >OIV99219 pep chromosome:LupAngTanjil_v1.0:LG13:3656460:3661415:1 gene:TanjilG_06524 transcript:OIV99219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYTRTMSYAVVYVILLCTLAQGGSEGKLGENDDFSKHDASDFSSSSLHLHENQVEVVWKHCRKELLERNNDIVKDFYGHRLEGSSDMRSILLIENNIQKETKSLPLNMKKNLLDCVGLTSSPPAHVSEVKTGSRYLLTKHSGPSFGHGRNLISISPSPSNVPSRGPALEPQNGGSTPSHGPSPGSSTTPSPTPTPGQSSDDFSLLPSMFAPFPPASRQPHPPIFGNFAPVVNAPHPPPPNNDDKNKKTIIIAAGVASGVILLIGLFFCYREIKVDKDNKSLLKLTSKDYSGGSQKVIRLGNTDKGSSSIKNGKDPSKFKSVSIKAKDNNNSLVDTSSSEVMGQTPVPLPSPSPPPPPPPKPPALAPRPPPPPRGGHPPPAPPKPMKGKNQLAPLRPKDGNSSEGGESDAPKPKLKPFFWDKVAAKPDQSMVWHEISGGSFVFNEEKMASLFGCTNQNRSERRKDSPTIDTSVQYIQIIDPKKAQNLSILLRAHNVTTAEVIDALKEGNEIPVELIQTLLKMAPTSEEELKLRLFTGELSQLGPAERFLKLLVDIPFAFKRLEALMFMFILLEESSSIKESFATLEVACSKLRKSRLFMKLLEAVLKTGNRMNDGTYRGGAQAFRLDTLLKLSDVKGTDGKTTLLHFVVQEIIRSEGIRAVRTERASRSESSVATEDCFDEGSEESAEHYRRLGLQVVSGLINELGDVKKAAVIDGDALTAAVSKLSYALTKTQDFLNNEFKDIEEEGEFKQCMERFVEKERDDVTWLVEEEKRIMALVKSTADYFHGNAGKDEGLRLFLIVRDFLIILDKVCKEVEDTTMKTAKASYKKLEAPSTPSSPDTIRQNSSPTDLHRRLFPAIAERRVDYSSSDDDD >OIV99172 pep chromosome:LupAngTanjil_v1.0:LG13:4212541:4213133:1 gene:TanjilG_19668 transcript:OIV99172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSITKASSSSNEESGWTTYFEDFFNNQNDNHNCSISLSCVLSSSSFLSDDASKKLAHKEQAEEFSLDKNTSQRPSFKKRKNIITDFVDDSLEDTATSPLNSPKVLYAIQFENPKQIRQKK >OIV99551 pep chromosome:LupAngTanjil_v1.0:LG13:1075165:1077510:1 gene:TanjilG_17361 transcript:OIV99551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLLFSSIFFFFFFIFFNSLSQICSLSSDQPSKTYIIRIDSESKPSIFPTHYNWYTSEFTEQTRILHLYDTVFHGFSALLTEQQLASIRDHPSILAVFEDRRRNLHTTRSPQFLGLRNQRGLWSESDYGSDVIIGVFDTGVWPERRSFSDVNLGPIPRRWKGACESGVKFSPRNCNKKLIGARFFSKGHEAGASSSGPMNPINETVEFRSPRDADGHGTHTASTAAGRHAFQASMSGYASGIAKGVAPKARLAVYKVCWKNSGCFDSDILAAFDAAVNDGVDVISISIGGGDGIASPYYLDPIAIGSYGAVSRGVFVSCSGGNDGPSGMSVTNLAPWLTTVGAGTIDRDFPSQVILGNGKKLSGVSLYSGAALKGKMYELVYPGKSGALADSLCMDNSLDPKMVRGKIVVCDRGSNPRVAKGLVVKKAGGVGMILANGISNGEGLVGDAHLLPACAVGADEGDLIKAYISYSSSHRNATATATIDFKGTILGIKPAPVLASFSARGPNGLNPEILKPDLIAPGVNILAAWSDAVGPTGLDSDSRRTEFNILSGTSMACPHVSGAAALLKSAHPDWSPAAVRSAMMTTANVFDNRNLVVVDEASGNGSTPYEFGAGHLNLGRAMDPGLVYDITSNGYVNFLCAIGYGPKVIQVITKTPVTCPARKPSPENLNYPSLVAIFPVTAKGLSSKTFIRTVTNVGPVNSVYRLKVDSQAKGVTVAVRPSRLVFSEAVKKRSFVVTVTADTRTLELGSNGAVFGSLSWTDGNHVVRSPIVVTQMEPL >OIV99545 pep chromosome:LupAngTanjil_v1.0:LG13:1133068:1136191:1 gene:TanjilG_17355 transcript:OIV99545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLDRRFVLVGIRIDSYSRHLLNWALFKVAEPGDYVIAVHVVKNQNYVPKNKALIAGYLEVYEGLCDVKKVGLIGQVFTGSSFRSILVREAKNHAAMALVVGGRTSTAKYCSKRLPPNTNVLAIQDSRIVFRRCTNNQLPGGLMLDPRPSLTSIGNLRDRVIQSESGDSEVESEKSTSQNTIELKEEASNRLERRKLRSSSMFSGDPSDQKLGWPLLRRANSEISSTHHHARDMSVVQWVMSLPDRSPKKSLHSCSSIEENPSERGISDMDDESFKTYAPTYFVEIPKGLEGKLTLNSLNCKWFNLEVLKSCTNHFSSENLIGKGGSNRVYKGVLSDGKLIAVKVLKSTKEALKDFAIEVEIISSLKHKNITSLLGICIEDNALISVYDYFPKGSLEKNLHGKKNKDESIMSWEVRFNIAVRIAEALDYLHMETLKPVIHRDIKSSNILLSHEFEPQLSDFGLALWGPTTSSFLTQEDVVGTFGYIAPEYFMYGKVSDKIDVYAFGVVLLELISGREPISSEPCKGQENLVMWAKPIMESGNVKGLLDPNLEVKIDEAQMQRMVLAASLCVTRAARLRPKLNQILRILKGDEKVEYLFNSLENDYGHSENQESIDDEVYPNSSAELHLSLALLGVEDDTTSYSSRDHSNSEHSKEQWSRSSSFLQL >OIV99598 pep chromosome:LupAngTanjil_v1.0:LG13:773101:775217:1 gene:TanjilG_17408 transcript:OIV99598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKILNQQQEALVCFWLCLFIVILGFCHGEHSTTVKVVGFGECTDCKENNIKTSQVFSGLHVTIECKAENGHFKTRGGGELDKNGNFKVSLPHDIVKDGEVKEECYAQLHSASDAPCPAHHGLDQSKIVIHSKDGDKHTLGTVGKLKFASVTCTSAFFWPFFKHPLLPKLPHLPHFPFPPKVFPPFPPKIFPPFPPKIFHKHPLFPPLPIYEKPLPPPVPVYEKPLPPPVPVYVKPLPPPVPVYEKPLPPPVPIYHKPLPPPVPVYHKPLPPPVPVYHKPLPPPVPVYHKPLPPPVPVYHKPLPPPVPVYHKPLPPPVPVYHKPLPPPVPVYHKPLPPPVPIYHKPLPPPVPIYHKPLPPPVPIYHKPLPPPVPIYHKPLPPPVPIYHKPLPPPVPVYHKPLPPPVPVYHNPLPPPVPIYHKPLPPPVPVYHKPLPPPVPVYHNPLPPPVPVYHKPLPPPVPIYEKPLPPPVPIYHKPLLPPVPVYVKPLPPPIPIFKKPFPPSIPIYKPLPPSFPIYKPPNYKHPLLPPKFKHPLLPPKFKHPLIPPKFKHPLIPHIPIYKPPFFKPFPPFPKFPPFKKLPFPPLPKFPPKSFFHHPKFGKWSPLPPFSPHS >OIV99476 pep chromosome:LupAngTanjil_v1.0:LG13:1534258:1536950:-1 gene:TanjilG_17286 transcript:OIV99476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIQLNQVKMSDGKALEGKADEKIVEDFNPQKKKPKRNKYAFACAILASMTSILLGYDIGVMSGAAIYIKRDLKVTDVQIEILLGIINLYSLIGSGLAGRTSDWIGRRYTIVLAGAIFFAGAILMGFSPNYSFLMFGRFVAGIGIGYALMIAPVYTAEVSPASSRGFLTSFPEVFINGGILLGYISNFAFSKLTLKVGWRLMLGIGAVPSVLLAVGVLAMPESPRWLVMRGRLGDAINVLNKTSDSKEEAQLRLAEIKQAAGIPESCNDDVVEVPKQNTGEGVWKQLFVYPTPAIRHIVIAALGIHFFQQASGVDAVVLYSPTIFEKAGITNDTDKLLATVAVGFTKMIFILVATFTLDRVGRRPLLLSSVGGMVLSLLTLATSLTIIDQSEKKLTWAVGLSIATVLSYVATFSIGAGPITWVYSSEIFPLRLRAQGCAMGVVVNRVTSGVISMTFLSLSKGITIGGAFFLYGGIAIAGWIFFYFMLPETQGKTLEEMEGSFGQFKDKFDKNKELDHVQLGTNVRT >OIV99637 pep chromosome:LupAngTanjil_v1.0:LG13:522241:523438:1 gene:TanjilG_17447 transcript:OIV99637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVPKLHMLPSGAVVAGQPLTIAMAPEYSLPASVASTLPTATETKNAETGESGLRKAEDVVTSLLAKGFILGKDALTHAESFDERHHVTSTATAKVVSLDQKVGLTEKISAGTTLVNEKVKEMDEKFQVSEKTKIAISAAEQTVSNAGSAIMKNRYILTGATWVTGAYNKVAKAAEEVGQKTKEKVLAHDNNNNQGKTEEQGHVQTNIIEPQKTTTPDQPSKPESQQNTKPDKPSNPETTTQGLIL >OIV99629 pep chromosome:LupAngTanjil_v1.0:LG13:582200:585684:1 gene:TanjilG_17439 transcript:OIV99629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQTFRKLFDTFFGNTEMRVVMLGLDAAGKTTILYKLHIGEVLSTVPTIGFNVEKVQYKNVIFTVWDVGGQEKLRTLWRHYFNNTDGLIYVVDSLDRERLGKAKQEFQNIISDPFMLNSVILVFANKQDLRGAMTPREVCEGLGLFDLKNRKWHIQATCALKGDGLYEGLDWLSTTLKERNAAGYSSIALGTSSF >OIV98621 pep chromosome:LupAngTanjil_v1.0:LG13:16566035:16566361:-1 gene:TanjilG_12744 transcript:OIV98621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFCFGRNKKHNRHGNTVVTYGDGGHKAHQHKPKKHNSTFLHVFSSDGGGGGGAHAEGGYVGGGCGGGGGGGGCGGGGGGGGGGGGGGGCGGGGGGGGGGGGGGGGC >OIV99702 pep chromosome:LupAngTanjil_v1.0:LG13:54950:72153:1 gene:TanjilG_17512 transcript:OIV99702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMIRPALFRSSMRSFATSTKSSHHNNHRENHKYLESNSFLGSWQAPKDPKEAEAKLALLRREYAKQMKEVRKEYVREVELMALEKQRKDEARREALRVANEERHKLKAEAAKVRAQERQIAQQQFRETLLKERAEKLEHWRMQTKKHGEKKTEKKELLRKQSSIWIDEVHPEKFRLYETRARLYLIGCDRKKRFYRLLKIDRSEPSDLNISEDPVLYSPQEINNLLQRISQGNKATGGLTFVANVFGIAGCIKFLESYYLILVTKRTQIGSICGHPIYSINDTQFITIPHLSIQSDLAHSKTELRYKKLLSSLDLTKDFFFSYTYPVMQSLQNNVSFGQQQQQQQQQGMPYDNIFVWNAYLTQPIRSRCKNTIWTIALVHGHFRQNRLSIFGRDFSVSLISRRSRHFAGTRYLKRGVNDRGRVANDVETEQIVLVDEAGSCKGKMSSVVQMRGSIPLFWSQEASRFSPKPDIILQRYDPTYQATKLHFEDLAKRYGNPIIVLNLIKTVEKRPREMMLRREFANAVGYLNQILPVENHLRFIHWDFHKFAKSKSANVLAVLGAVASEALDLTGFYYSGKPNIIKRADKSNRTSTGRDTSLRDLRASSGDLARIGSSNESLNSVVNRDRETEMSHQNNKDNFSSDAPRFQSGVLRTNCIDCLDRTNVAQYAYGLQALGRQLHAMGLTDVPKVDPDSSIAAALMDMYQSMGDALAQQYGGSAAHNTVFPERQGKWKATTQSREFLKSIKRYYSNAYTDGEKQDAINLFLGYFQPQDGKPALWELDSDYYLHVSGTGDDLIPEKCSESNPKPSTRAGMAFTPVPACREDFSRIKLTSFDKLIEKTCSTIKNVRLYSEPDQRPGGGSVNSGVAPDAAEIQLKSPNWLFGQRKYEEGTSAAKVASRESDVEGSQANGFCNLNWLSSDNDMNEEDIFQRYLTITSANEANGWYGGTLLGDQNESSEIYKHYAELCEGPALELFQSDTEREQHYADALSMSSFEFVNDSVIAAEMEAAIKEYDQVGADLGIIPKSCKFFADDPTKGGGGVSSPSNTIVKDDDDHVVVVLEYDQDGHHYTKISISPPPHHHHIIDNNHNIKEHASFVQGGAGAGAASVSSQNHDHDPTTFIHAPKELICDAYGVCKHKIADAIGRAKDKISEKANDAIHMEKDMIHAKKETAREAKETLTHAVGKAKDTVYNTAHDVHQQTKESFDKAKETGQTLKEHVVRNVSEAKDSLSYAVKHGATNYIIGSMDTLMRVANLVGFATAYGMNVWITFISSYVLSRVMPRATFGVVQSKIYPIYFRAMAYSIGIALLGHVLAHRKRLFFNMPEIFQAYNLLTSLFTVFINSLYLGPRTAKLISERMKIEKEEGRGRDDIMSSSRTQEHHHHHHTTKTATTTTTTTREREGVVENDAARIIKLKNEKLKRLNSYSSILNILILISLTFHLLYLATNKSSNTNTTSSCKPIASCGVIRTIPFTNACYLVRRESKSSSGLVLQCSSSNSSQLSSAAVRLDEFESGKHLVCEYGWRVRRLFNNSDEIKNAAQLQAQAFHVPLSLFNHLFFQFFQAEVLSGLLYKLKNSPPNRYACLVAEAATSNDDPDSPKQLVGITDVTVLRDQNVLQHLPTQAQEYLYISGIAVSKTFRRRKIASALLKACDTLSILWGFEFLALRAYEEDMGARKLYANAGYQVVSKDPPWSSNWIGRKCRVLMIKRTNFTK >OIV99686 pep chromosome:LupAngTanjil_v1.0:LG13:177211:179424:1 gene:TanjilG_17496 transcript:OIV99686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFPCENRKKRAARWSDIWLNHTKPLNQMFVSMNLDSLSSSPKSGKTLIPFFSIIDPTLLLSDELLLRILSKLPDSQTNSNSLVCKRWLNLQGRLIRSIRILDWNFLLSGRFIHRFPNLNHVDLISGSFISPPSSPIFLTHRVISMHLDSACLSNWCFCEDNMLPVEVIDNGLNVLASGCPNLRRLHVIGASEMGLLSVAEECSTLQELELQKCSDKVLSGIAACTNLQILKLVGNVQGFYNSVVSDIGLTILAQGCKRLVKLELCGCEGSFDGIKAIGKCCQMLEELTFCDHRMDDGWMAALSYCENLKTLRFQSCKTIDSNPGLDEYLGSCPALERLHLQKCQLRDKKSTAAMFSVCRAAREIIIHDCWGLDNSIFSFAIICRRVKRLYLEGCSLLTTEGLELVIHSWKELQSLRVVSCKNIKDSEISPELGTLFTTLQDLTWRPDTKSLLPSSLVEIGIGKKGGKFFKRT >OIV98601 pep chromosome:LupAngTanjil_v1.0:LG13:16842774:16849445:1 gene:TanjilG_23040 transcript:OIV98601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGHKQSEEAIVSSNMNETENEGSVGGGIEIENKEQDQSLFNVKSFLWHGGSVWDAWFSCASNQVAQVLLTLPYSFSQLGMVSGILLQIFYGLLGSWTAYLISVLYVEYRTRKEKENTNFKNHVIQWFEVLDGLLGSYWKAIGLAFNCTFLLFGSVIQLIACASNIYYINDKLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLGMTTYTAWYMAIAALVHGQVENVTHTGPNKLVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKYIYLMATLYVFTLTLPSSAAVYWAFGDELLNHSNAFSLLPKNGFRDAAVILMLIHQFITFGFACTPLYFVWEKVIGMHDTKSICLRALARLPVVIPIWFLAIIFPFFGPINSAVGALLVSFTVYIIPSLAHMLTYRKASARQNAAEKPPFFLPSWSAMYVINAFIVVWVFVVGFGFGGWASMTNFIRQIDTFGLFAKCYQCHPPTPPPLMAAPPPRALHH >OIV99534 pep chromosome:LupAngTanjil_v1.0:LG13:1182203:1182451:1 gene:TanjilG_17344 transcript:OIV99534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFRLPGLQRRTHVPKGYLAVYVGENQKKRFVIPISYLNEPPIQELLSRAEQEFGFDHPMGGLTIPCQEDVFLDITSRLHKP >OIV99512 pep chromosome:LupAngTanjil_v1.0:LG13:1280043:1285049:1 gene:TanjilG_17322 transcript:OIV99512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNLTLSPSSLSTFPNHHLRRRFLLQNATLTPNLRLTPSKHRLVISRVAGGHELTTDSDNNDRNHRINIDENTGNESEKKELTEQSIWNQMKEIVMFTGPATGLWICGPLMSLIDTAVIGQGSSIQLAALGPATDREEVQHHISVLLFVGLACGIVMLLFTRLYGAATLTAFAGAKNVHVVPAANTYVQVVAAYMMIQNLNNKGYNAYAFSVPSGEEFFTILALAAPVFLTMMSKVAFYSLLIYFATSMGTLPMAAHQVMVQIYTTCTVWGEPLSQTAQSFMPGLMYGVNRNLAKARLLLRSLVIIGGILGLLLGIVGTSVPWLFPYIFTSDQMVTQEMHRVLIPYFVALAVTPPTLSLEGTLLAGRELRFISLSTSACFCLGALILLIVSSRYGLQGCWFTLAGFQWARFSMALLRLLSPNGILYSEDLGRGGKLLPPGVGN >OIV99114 pep chromosome:LupAngTanjil_v1.0:LG13:6306225:6311748:-1 gene:TanjilG_32373 transcript:OIV99114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMASNSPLFDDIRIHSDIDPPQIEELTDVSELVNDPTQTAHKPNGIVSSSVQCSVMGDIPYLASHLKEDHKVDMHSGSTFNHRYVKSNPQEVENATWMLTVFSCYGQYFCLHFEAFQLGAAPVYIAFLRFMGDDNEAKNYSYSLEVGGNGRKMVWQGVPRSIRDSHCKVRDSYDGLIIQRNMALFFSGGDQKELKLRVTGRIWKE >OIV99189 pep chromosome:LupAngTanjil_v1.0:LG13:4023166:4025734:-1 gene:TanjilG_19685 transcript:OIV99189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSRPLHIAMYPWLALGHQTAFLHLCNKLAKRGHKITFFTPKRTQAKLEPFNLHPHLITFVPITVPHVDGLPPNAETTNDVSYPLQTLIMTAMDLTRDHIEAHLSKLKPDFVFYDFTHWMPSLTRRLGIKAVHYCTASSVMVGYTLSPARYHQGNNKTESDLMKPPPGYPDASIKLHAHEAKAFSSKRKDTFGSNVLFYDRQYIALNEADMLAVRTCREIEGPYLDYLEDQFKKSVVPTGPVILEPPNKSLEGKWVTWLGGYKPDSVVYCCFGSECKLQPNQFQELLLGLELTGMPFLAALKPPFGYESVEEAFSEGFQERLKGRGIVHGGWVIQQLILEHPSVGCFITHCGSGSLSEALVNKCQLVLLPNVGDQIFNARMMGNNLQVGVEVVKGEDGFYTKESVCKAVSIVMDDENEISIKVRGNHSKIRKMLLNNDLESYYVDNFCRKLQEIVQ >OIV99619 pep chromosome:LupAngTanjil_v1.0:LG13:632918:636869:1 gene:TanjilG_17429 transcript:OIV99619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLAKPIFRKHLIPSSTLSIFHHTFSFSSSAAAIQAQKTINEGPRNDWTQNEIKSIYDSPILDLLFHGAEVHRHAHNFREVQQCTLMSIKTGGCSEDCSYCPQSSRYDTGLKAQRLTNKDAVLQAATKAKEAGSTRFCMGAAWRDTVGRKTNFNQILEYVKEIRGMGMEVCCTLGMLEKQQAVDLKNAGLTAYNHNLDTSREYYPNIITTRTYDERLQTLEFVRDAGINVCSGGIIGLGEAEDDRVGLLHTLSTLPTHPESVPINALIAVKGTPLEDQKPVEIWEMIRMIATARIVMPKAMVRLSAGRVRFSMPEQALCFLAGANSIFTGEKLLTTANNDFDADQLMFKVLGLLPKAPSFNEDQTSEAEDFKEAASSS >OIV99058 pep chromosome:LupAngTanjil_v1.0:LG13:5399826:5401524:-1 gene:TanjilG_32317 transcript:OIV99058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METTHLLILVVGLTSATFFVILAFCVFLCRRRKSKHESGKDIEWSEQNIQEDDIIQKEDLIIFEGGEDLTICDILDAPGEVIGKSNYGTLYKALLQRSNKVRLLRFLRPICTTRAEDLDEIVQFIGRIRHPNLVPLLGFYTGPRFEKLLVHPFYRNGNLAQFIRADGNGECYEWSIIYRISYGIAKGLERLHTGQEKPIIHGNLKSKNILLDHSYRPYISDSGLHLLLNPTAGQEMLETSAAQGYKAPELIKMKDASEETDIYSLGVIFLELLSGKEPINEHPTPDGDFYLPNFMRNAVLGQRISDLYHPAILLGNGRDDRVPVSEECILKYFQLALACCSHSPSIRPNIKQVLRKLEEVAG >OIV99074 pep chromosome:LupAngTanjil_v1.0:LG13:5599542:5602784:1 gene:TanjilG_32333 transcript:OIV99074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEKLSCYAKFLETSKPYFAMISLQFGYAGMNIITKVSLNQGMSHYVLVVYRHAFATAVIAPFAFIFERKGQPKITFKIFMQIFILALLGPVIDQNFYYAGLKLTSPTFSCAMSNMLPAMTFVMAALCRMEKIDMKKVRCQAKVVGTIVTVGGAMLMTLYKGPIVEMVWAKHTHSHDKSNATTKTGPSDKEWFLGCTFLIIATLAWASLFVLQAKAIETYKNHQLSLTSLICFIGTIQAIAVTFAVEHNPSVWRIGWDMNLLAAAYAGIVTSSISYYVQGLVIKTKGPVFATAFSPLMMIIVAIMGSFILAEQIYLGGVIGSVLIVMGLYSVLWGKHKERIETKVDDIPMHVKDTQIDGNASLTSDATNYFIEAKSSDDGEANNHLSSVVINMKASQEGKN >OIV99443 pep chromosome:LupAngTanjil_v1.0:LG13:1729773:1734253:1 gene:TanjilG_17253 transcript:OIV99443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDRFTELNPKTCVVLGGRGFLGRSLVLRLLKLGNWIVRVADSAHSLQLDHSDSDSLLAQALSSSRASYFHLDLRDKHRILKVLEGSSVVFYMDVDALNAKDFYSCYKLIVQGAKNVISACRECRVKRLIYNSSADVVFDGLHDIRYGNESLAYPWKMDNVLSDLKAQAEALILSVNDIDGLLTCALRPSNVFGPGDSDFIPCFLKLARYGFTKFIIGTGDNISDFTFSENVTHAHICAEEALNFRTVSVAGKAFFITNLEPMNFWEFLSLLLEGLGYPRPFIKLPANLVQYFLKVLRTFNCTAAQKNIGYSPLVSLEEGITLTVGSFSNLAKDSSFSSCCDSTEQSKADKMLGGGKVANILLWRDEKTSFTYFIVLVLLFYWFFLSGRSFISSAAGLLLVATLLLYGYCLLPSKLFNFSIPRIPTSSFVISATTMKGLVATIVYQWNKGFQNIRNLGKGNDWSTFFKVAVVLYFLKLILTVSKLLTTLTGIGLVSAFMAFFVYEQYESEIDGLVDVMFTQLTEFVTYLMRTLPVSKSQHLHYHQE >OIV99381 pep chromosome:LupAngTanjil_v1.0:LG13:2210610:2214770:1 gene:TanjilG_17191 transcript:OIV99381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQASLLLQKQLKDLCKHPVDGFSAGLVDESNIFEWSVTIIGPPDTLYEGGFFNAIMSFPSNYPNSPPTVKFTSELWHPNVYPDGRVCISILHPPGDDPNGYELASERWMPVHTVESIVLSIISMLSSPNDESPANVEAAKEWRDRREDFKKKVSRCVRRSQEML >OIV99174 pep chromosome:LupAngTanjil_v1.0:LG13:4170796:4176298:-1 gene:TanjilG_19670 transcript:OIV99174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISNSFTGKLLRRGSADGVRLMSSWFRNVDQAPKDPILGVTEAFLADQSPNKVNVGVGAYRDDNGKPVVLECVREAEKRIAGSQFMEYLPMGGSIKLVEESLKLAYGENSDFIKDKRIAAVQALSGTGACRLFAAFQQRFHPGTQIYIPVPTWSNHHNIWKDAGVPLKTFRYYYPESRGLDFSGLMEDIKNAPNGSFFLLHACAHNPTGVDPTEEQWREISSLIKAKGHFPFFDMAYQGFASGSPERDAKAISIFLEDGHLIGLAQSYAKNMGLYGQRVGCLSVLCEDEKQAVAVKSQLQLISRPMYSNPPLHGALIASTVLGDPELKKLWLEEVKGMADRIIGMRATLRENLEKRGSPLPWQHITDQIGMFCYSGMTPEQVDRLTNEFHIYMTRNGRISMAGINSGNVGYIADAIHQVTKSA >OIV98705 pep chromosome:LupAngTanjil_v1.0:LG13:14999967:15000368:-1 gene:TanjilG_24876 transcript:OIV98705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSRFRELIKKYGKVAIGVHVSISAASITGLYVAIKNNVDVQAILEKFHIATSSDKDSINDLSADPAAAAVTAESPAPKDRTAQLAATAGGAFTLAVLCNKALFPVRVPITLALTPPIARFLARRKIIKTGV >OIV99187 pep chromosome:LupAngTanjil_v1.0:LG13:4040903:4043315:1 gene:TanjilG_19683 transcript:OIV99187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAYRADDDYDYLFKVVLIGDSGVGKSNLLSRFTKNEFSLESKSTIGVEFATRSIHVDDKIVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTRHVTFENVERWLKELRDHTDANVVIMFVGNKADLRHLRAVSTDDARAFAERENTFFVETSALESLNVDNAFTEVLTQIYHVVCRKTLEIGDDPAALPKGQTINIGGRDDVSAVKKAGCCSA >OIV99466 pep chromosome:LupAngTanjil_v1.0:LG13:1623340:1626658:1 gene:TanjilG_17276 transcript:OIV99466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQVEWHHSDFNQFMLMEAPLSDSTYKCSNSDLMERRIMEDKLENISEEYYQPKMNLQLRIRLQQQFVIRHALEKACYLPLSQDATVENSIPKAAKELIMEIGILELEVVYLEQYLLSLYRKRFDQQISPLTLGEGTSAAPGEGAISDAETSFQDCSNLISHRNSADFQLKECNNLLEQETVLDSSIVRCHSELSHRTVCSIEASSPVNIKSKAVDYYHSLPLSMLEQAQNAKSSSTSLAEHLGNGYVDNVPETPNWLSEEMIKCISAIYCDLTESPFLGHKNASPPLRFSSSGYELSSQSQGSKWGSQWKKHPSFNLNSSIPFHVKGSKEFSGPYCSMVRIQQLCTDNQKLKEIDYMLRRFRSLVSRLVDVNPRYMKHKEKLAFWINVHNALAMHALLVYGISANNVKRMSSVQKAAYNIGGHTISVDQIQNFILGCRLPRPGPGQWLRLWCSSKTKPKVRDGRKGYAIHRPEPLLLFALCSGSHSDPAVRLYTSKRVSEELELAKEEYIKSTITITKEHKLVLPKIVDSFAKNSGLEASDLMEIVKPYLPDSQRKSIRKFQSKASWKGIELAPHNFNFHYLISKDLAW >OIV98748 pep chromosome:LupAngTanjil_v1.0:LG13:14164207:14165762:-1 gene:TanjilG_24919 transcript:OIV98748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGPGTNLVTTVIGFGMSATFIVYVCTRIICARLRRGAESRIIYEFESRIDVEQPEHHVNESESEPVLLDAIPTLKFNQEAFSSIENIQLSFLPNLLIIENMLLIFSSTEYVNFLLLTDTIFSECIICLADYKEREILRMMPECGHTFHLSCIDVWLRKQPTCPVCRLSLKSSSETKRERDVTLSTNQSFDESHTPEGNEDNEDIQSHVESTTSNSLQATSGEPEARQ >OIV99276 pep chromosome:LupAngTanjil_v1.0:LG13:3133748:3134539:-1 gene:TanjilG_17086 transcript:OIV99276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQLPVEVIGNILSHLRAAGDVIVASATCRKWREACCKHLHTLSFSSNDWPIYRDLKTTRLEILITQTIFQTSGLQALSILMEDVDEFSASAVIAWLMYTRESLKQLFYNVKTMPNVNILEICGRHKLEILDLAHNSIIGVEPNYQRFPCLKYLSLSYVSISALDLHLLVSACPKIEVLKLVNPEIAMSDAQVTVELSSSTLKRVYVEAISLDKFILEADGIECLHLKDCALEVFELIGKGTLKHFKIDDVSVIHLDIGETAS >OIV98737 pep chromosome:LupAngTanjil_v1.0:LG13:14381247:14382174:-1 gene:TanjilG_24908 transcript:OIV98737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSASDPASAKGKAVCEFAAQRKPRILLAACGCVTAVKFGYICSCFSCWAEVKAVVTRASMRFMGRLSMPLGVPVYTDDHDWGTWKKLGDGMLHIELSRWADIMVIAPLSANTLGKIEAGLCDNLLTCIVRAWDYSKPFFVAPSMNHLMWENCFTEKQVMSIDELGISLIPPVITRSACGKQADCAMAEPSTIYSTVRLFYEIHVLKKAPGMV >OIV99025 pep chromosome:LupAngTanjil_v1.0:LG13:5022169:5022995:1 gene:TanjilG_32284 transcript:OIV99025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKVVVLLFLTLLSLSSAMNLYYQSHGRNHWNCDFKGSGIVVVSDPSYGGCIYP >OIV99612 pep chromosome:LupAngTanjil_v1.0:LG13:687905:693997:1 gene:TanjilG_17422 transcript:OIV99612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADETQVVSEVLVTKVVEEKDHKDGTIKETNGDLLQVTSEGKKEDEENALDGEFIKVEKEENVIDEKSNITERSSDPPSREFVEAQEKIQELEVELKRLTESLKTSEHENAQLKGEISVTKEKVDESGKNYEELELSHKKLQEQIIEAESKYNLQLKTFEEALQDHEANKKELLHVKEAFDGVSLELESSRKKTEELQQEVQHSADEARKYEELHKQSGSHAESEGKKALEYERLLEEVKFSGKGLEDEIESLKKELKGAYDNIAETEKLEETLKATAAELSTIQQELSLSKSQLSETETRLSSKDSLVDELTEELNLRKTSESQLKEDLSALQNLFVSTKEELQEKISELGSAKLKLQEEGQLRELVEAEFKTREARVLALQEELTQLKAENIGLNATLEDLASNVKQFKELSDDLKEKLKLSEESFQKTDSLLSQELSNKAELEQKVKSLEHLHNEFGAAADTASQRNLELEKDIQASNAAAEEAKAQLRELETRFVDAEQKNVELEQQINLVQLKTSDVEREVAEFSEKISHLNAKLTEAEEEKNILQSQLQEYTEKANQLESDLNQSYLRSSQLEEELKIVNDKCAEHEDRASMNHQRSRELEDLFQTSHSKLQDTDKKVSELELLLEAEKYRIQELEQQINILEKRCADSEADANTNLYKVSDLKSELEAFQARASSLEIALQEADEREKKLEYSLNAVTDDKKRLEDVLNSLNEQVSEAENLLEIVRDELNLTQDKLQSTENDLNASQLRESEALEKLKVSEENIIIRGRDIEETVARNSELQLLHESLSRDSEQKLKEAIEKFNNKESEIQSLLDKIKILEGLVAEAEEQSNSFKNEFERSLSKHASSETENEDLRRQIIEAENKSSQSFSENELLAGTNSQLKTKIDELQELLNSALSEKESTVQELVSHKNALAELNDLQSKHSEIHGANEARILEVESQLQEALHRYTEKESEAKELNEKLNALEGQIKFHEEQAQEAIAISETHKAELEERFLKLKHLETVIEEFHIKSLNHEKETAGLNEENSKLNQEIAAYESKLSDLQSKLSEAFVEKDGRAQEILNSKNAIEELVTKHNAEVQTLKSEISTILDEKNLLNETNQNLKKELQSVILDLEEKLKEKEKDEESLQSEVDKLKLEIADKSELQSHVKEIEGQLIKAETRLNEEVGSVQAAASQREVELSSKLDDYAQKVHDRNVLNEKVEELEKELQLAQAAIASQKGAESQKLELEASLKNSVEELETKNKEISLLQKQVIDLEQKSQVSGDKVSVKGDDQKDGLEVKSRDIGSNISTPSKRRSKKKLEATTTQASSSSGTHVQTEQVSPVINFKFIFGIALVSIIFGIILGKRY >OIV98689 pep chromosome:LupAngTanjil_v1.0:LG13:15813402:15814574:1 gene:TanjilG_23981 transcript:OIV98689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFKSHHYQNLLYLISQTTTPFSTTHLLQPFPFSLKLFTTTTTTTTTSESLHSFTVSYLINNLGFPSETATKVSKRLRFDTSQKPDKVVAFFKNHGFSVSQVNGVLRRSPQLLLCDAHKFILPKFEFLASKGASTSEIVLAVSNNPRFLNSSLENSIIPMYELLSSLFQSDEEALDCFFRWPNLLGNKKRLQENLKLLRDEGVRDSNIARLIRTRASVFDSYGLKKAVDEVKELGFDPSKGTFVTALMAKLGLSKLTWNAKVDVYKSWGWSEEDIVAAFRRNPTSMLVSKDKINATLSFWVNQLGWDSSVLAISPVLLGLSLEKRVIPRAYVVDYLLTKGLRKKNASLITPFLMSEKLFLEKYVENFKEETSQLLKLYLGEKKLNFQDKD >OIV99462 pep chromosome:LupAngTanjil_v1.0:LG13:1636081:1637338:1 gene:TanjilG_17272 transcript:OIV99462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVTFTEEQEALVMKSWNIMKKHPGDLGLKFFLKIFKAAPAAQNMFSFLRDSKVPLEENPKLKSHAVFVLVMTCESAAQLRKTGKINVEDSNLQKIGATHFKSGVTDEHFQVAKTALLETIKETVPEIWSSAMENAWGEAYDKLVGAIKCEMKPSSNTN >OIV98876 pep chromosome:LupAngTanjil_v1.0:LG13:9817243:9817905:1 gene:TanjilG_21707 transcript:OIV98876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNDTSLNQAKFVLPNNDLEQQETSTLEIETKDFDYTKRSQWLRAAVLGANDGLVSTASLMMGVGAVKQDIKAMILTGFAGLVAGACSMAIGEFVSVYSQLDIEVAQMKRNKESNEQENNEEVEIESLPNPLQAAAASALAFSVGAMVPLLAASFIRDYKVRLGVVVAAVTFALVIFGCLGSVLGKAPVLRSVMRILVGGWLAMAITFGLTRLIGYSGL >OIV99537 pep chromosome:LupAngTanjil_v1.0:LG13:1167581:1167910:-1 gene:TanjilG_17347 transcript:OIV99537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIRLLPEMVHHAKQILRLWYHQSSKQYHFSGQSESNIFHIPKGHFAVYVGDEDEYKKRFVVPISYLKQPLFQDLLSKAEEEFGFEYRMGNLMIPCPIDHFVNLTSHFS >OIV98573 pep chromosome:LupAngTanjil_v1.0:LG13:17170349:17175992:-1 gene:TanjilG_12159 transcript:OIV98573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPTAYLPSAASPEWLNKGDNSWQMIAATLVGLQSMPGLVILYASIVKKKWAVNSAFMALYAFAAVLICWVLVGYRMAFGEKLLPFWGKGAPSLGQKFLIKRAIVPETIHYYKNGTIESKTEEPFFPMASLVYFQFTFAAITVILLAGSVLGRMNIKAWMAFVPLWLIFSYTVGAFSLWGGGFLYHWGVIDYSGGYVIHLSSGIAGFTAAYWVGPRLKSDRERFPPNNVLLMLAGAGLLWMGWSGFNGGAPYAANMVSSIAVLNTNICAATSLLVWTCLDVAFFGKPSVIGAVQGMMTGLVCITPGAGIVQSWAAIVMGILSAYLPSAASPEWLNKGDNSWQMIAATLVGLQSMPGLVILYASIVKKKWAVNSAFMALYAFAAVLICWVLVGYRMAFGEKLLPFWGKGAPSLGQKFLIKRAIVPETIHYYKNGTIESKTEEPFFPMASLVYFQFTFAAITVILLAGSVLGRMNIKAWMAFVPLWLIFSYTVGAFSLWGGGFLYHWGVIDYSGGYVIHLSSGIAGFTAAYWVGPRLKSDRERFPPNNVLLMLAGAGLLWMGWSGFNGGAPYAANMVSSIAVLNTNICAATSLLVWTCLDVAFFGKPSVIGAVQGMMTGLVCITPGAGIVQSWAAIVMGILSGSIPWFSMMILHKKSSLLQKVDDTFGVFHTHAVAGLLGGLLTGLLAEPALCRLQLPVTNSRGAFYGGNGGMQFLKQLVAAFFVIAWNLVSTTIILLFIQLFIPLRMPDEQLEIGDDAVHGEEAYALWGDGEKYDPTRHGSMNIGHSSSPYVNGARGVTINL >OIV99599 pep chromosome:LupAngTanjil_v1.0:LG13:767996:770497:1 gene:TanjilG_17409 transcript:OIV99599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKCVSFYLLVARCQTESDETVQATEEASDIGIVDDDAQDFGDESFPTAPGIETVSVFPKNSARLVTAGEDTELLVGVKNDGESSLKVIAIKASIHLAYDHRLLVQNLTTQVFNTGKVPASAQATFPYLFAVSKFLQPGNFDLVGTIVYEIDHNPYQSTFYNGTIEVVEAGNFLSIESVFLVTLGIALLALLGIWIHGQIQNLSKKTKRAPKVEVGTRSTDASLDEWLQGTAYTQSQANKSKKKK >OIV99553 pep chromosome:LupAngTanjil_v1.0:LG13:1057794:1061369:1 gene:TanjilG_17363 transcript:OIV99553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNISEEPNKPNSLYDTSSSHPLLANLPYPSSSLSSPFDENASDYAPYLHISYNHGPRSFNDLHFLFLFLLFILSTFAFGIFAVFHHNPNYSTLSSFSFDPNTSSCVKPSISTSSFNWEVLVSLDFHFSYSPNIVKDLTWTVVATFIFSLPICCFILFSLKHYTKHLVYASIPFFIIIPIFLNVYWFVACTVSSNCSHAFPLGYRILVLVFIFLIIGIIVWVLVVNWYRIELTVSIIGVASNALSRNLGLLGVLPCLTIGLVVYYVPIVLFMVFARFNGKVVPKHLHGDEYDCVWKEDSWVPAYFALAILTMLWSATAMKEAQVYVISGTVAHWYFTKEDETRRRGIITSLRNAFGPSSGTVCLSGLLLSVVRMVRSAVDNARREDATGIVNLVLQCCVNALLAAFDFLNKFTINFAAITGEAYCLSAMMTYELLRRNLLSAVFVETISSRLLAGIVFVLSAIYTIVVCAILKGVTNLGSDSYSVAALAWVLLIIVLGFLVRVLDIVIDTIYVCYAIDRDRGEYVELAQPIVHTCNCISILFYNSLNEGNN >OIV98679 pep chromosome:LupAngTanjil_v1.0:LG13:15630202:15630771:1 gene:TanjilG_23971 transcript:OIV98679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHDFISENGPHPHKSSNDFHNFIKKSLHVEASSTQLKEKVPKLKLKFLKNGETLSFSNAHDSGIFELSKKIWGNTEGGHSHETLEKAESGETKEGKHVKIVDVGKDEEIENDEKDSKTTLYLMEVFQLNGFDKDAKKEGIELLGESDRVELKVLWKEFQNAELELSVRRAELVAKQARLMLEARKSSKN >OIV99271 pep chromosome:LupAngTanjil_v1.0:LG13:3167942:3168373:1 gene:TanjilG_17081 transcript:OIV99271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTVPSFNNNSHVVLDIKVSLKCEGMKKLAVATTEDDGSFKVNLPSDNTKSSSWNCLAKILGGKVQLYASTKNQVSQIVKDKEQNNYTISNPLSFFTSCPKNKKCKVSNQVGSSKTLNLPLPPEWGLAPSSYYIPFIPIIGIP >OIV98860 pep chromosome:LupAngTanjil_v1.0:LG13:10384071:10384841:-1 gene:TanjilG_12028 transcript:OIV98860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLKKFVGDPHRAREDIPSHFYDGDDPLVHAPSRAPQGKDEIRVSTVNTSQGYHHRHKENVVVTDQGGKVIPEHAFRVGSGRTTIESLESILENGEKGDEKETMGGLRGPSLGKVNDNILNNVSLKPSDSLVSSTPLDKGVTSPPLDALPRPDSAVLSPVPSHSPTRFQTTPQDVPRDVLDINGTSLSNSALSTVLDRHGPHMGLTSKNAEFPSHTALAGQGHTHSPIPINLKDKIDLAGIGNDTTDWATTKAGG >OIV99651 pep chromosome:LupAngTanjil_v1.0:LG13:417739:419885:-1 gene:TanjilG_17461 transcript:OIV99651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAQTHGGCRVHKASNTEVEGPNWVLIAAGALLSTLSIRFGYKLKQAHHSKLNESKPKQNASNVHKGNGKSSGTRKSAECYIQSHGCSSYISGTGNTVELKCAPNGQLVSESNRGLPLVTVPTAEFNKENGVIWACSPRRLELPSKPYHSNCSDSSCVSESGSDIFSKREVIQKLRQQLKRRDDMILEMQDQIAELQNSVNAQLGLSSHLQLQLDTANRDLFDSKREIHRLSKVIADHYVGHFPHDKSSAVTAWPAETRNGHANGHFDMETNLEPPEKIRDDDERIEMLRKQVGELKEVIEGKEYLLQSYKEQKAELSLKIREMQQRLDSQLPNIL >OIV99696 pep chromosome:LupAngTanjil_v1.0:LG13:125101:129507:1 gene:TanjilG_17506 transcript:OIV99696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVTVQFPPTYLCSLKKNNKKSKISRKLRKWLSQECNNNNINTLSFPKSKPTPLLIHHKPYTQTKFQALELVLKDIEASFDKGIQIDPELYASLLETCYNMQAIHCGIRLHRLIPPTLLHKNIGISSKLVRLYASYGYMDEAHQLFDKMSNRGDSAFPWNSLISGYAQMGLYHDAIALYFQMVEEGVEPDLFTFPRVLKACGGIGSLQVGEEVHRHVVRHGLSHDVFVLNALVDMYSRCGDIVKAQKVFNIMRRRDSVSWNSMLAAYIHHGLEVEAVNIFRQMILEAYEPDSVSISKVLTGVSSLHLGAQIHGWVIRRGVQWNLSIANSLIIVYASHGKLHKVRWIFNQMPERDVISWNSIIYAHRKHRKALAYFEQMEEAGVEPDKITFVSLLSACAYLGEIAANKLFDLEPDSEHNFVLLMKIYENAGRSEDMERVRMMLLMILVDEAGGLLPALKHSPWNGLTLADFVMPFFLFIVGVSLALAYKKLPSRVVASRKAILRALKLLALGLFLQGGYFHRVGDLTFGVDLKQLRWMGILQRIAVAYLLTALCEIWLKADDTVKSGSSLVRKYRYQWSVALVLSGLYLCLLYGLYVPDWEYQVPTEPSSAPMTFSVKCGVRGDTGPACNAVGMIDRTILGIQHLHRRPIYARMPECSINSPDNGPLPPDAPAWCQAAFDPEGLLSSVMAIVTCLIGLHYGHIIIHFKDHRVRMLYWIIPASCLLVCGLSLDLFGKHSKIVYLSRKGDRFCSIP >OIV98643 pep chromosome:LupAngTanjil_v1.0:LG13:16193653:16197657:-1 gene:TanjilG_12766 transcript:OIV98643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECRVELEGVDLHASGVVVNENNNIMNNHHHHLVVGFEETMAAAERDIWLNGNDDDDLLGVNDPSMFYADFPPLPDFPCMSSSSSSSSTPALPVKTTNCTTTTTRTTSSSSSSSAASWAVLRSDFEENLEQNNYNCCMQNEHQQQHDHNQHDVYDIGPAGLSSNASMEVSQQHHYLNHQQQNHDPCLDGTVGDCMDEVMDFGYMELFEGNEFFDPASIFQSEENPLVEFTQVQTVPQQEEHQHAMLTHHYQESTLLQHQGVTNEEDNDHHNQALMCAKTTNNNDVEIVQGEADATGAGVDDEMSSVFLEWLKSNKDSVSANDLRSVKLKKATIESAAKRLGGGKEAMKQLLKLILEWVQTSHLQNKRRKESNESDLLTQFQDPIQSQNRKNTSSNLHVAPDQSNTCFNQTPWVSVSQQNYGTATDQAPLMTVPQSFPQQMVGGYLGDPYTNGGTASNNHNPYQPSAEYQMLESAPTWPPSQFTTVANSQYNQSFGDSNLHPQMPAVAAAFGSGYGNQYPYQFFHHGPGGDRLMRLGPSATREARKKRMARQRKLLSHHRHNNQNQNQPLDPHARLGSDNCTTLLAPAHANQANWVYWQTMTGGAAPMVPVVPVEPPAVQQVVDRTTMQTQNTQNYHQGRVSSDKRQQGWKPEKNLKFLLQKVLKQSDVGSLGRIVLPKKEAETHLPELEARDGISITMEDIGTSRVWNMRYRYWPNNKSRMYLLENTGDFVRANGLQEGDFIVIYSDVKCGKFMIRGVKVRQQGMKAETKKGGKMHKNQQHGNNNAATTAGAVAAINNGSSSSPKHKNEKLAR >OIV98960 pep chromosome:LupAngTanjil_v1.0:LG13:6725635:6730010:-1 gene:TanjilG_29363 transcript:OIV98960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCFQLANIWGDPGESDDHIVPYPEASEELHKKKEWNQEASAIKLTDQKIREAKADFHGRKLGSSSKVDNSAELSSFEYGTNSWPGLSLSSSDKNDPDPLGLGTEASKNLEETIQHEKDVESFQGTHEGKEQVDFVDYGWANIGSFDDLDQIFSNDDSIFGHANLENSVEPWSSKDVSNCSNSPAPIPLEAPSPATDLRNRSEYLETKAEYVQQNHKSFPLSYKKIDDPASHDVQNAHSTTGNMGYARGRSKRTVKEQQGKREEKVLYNFYGNLSPSTTSSGQFGNQLAPSVVQSSSIIRQQKQLQGPGTLYSNIINPYVASSVHGNHTYPAMSMPLRAQSGDLGHQSLLSEYDFSPSIVNPLKKSMDSVKPLTMTPQEKIEKLRRRQQMQAMFAIQKQKQHLGHQVPSTSKPMTKKFSLEMQSDYSDGADPEVGVLRPLPALDPPTEQDDSNSISVAINDHSVEDTILYMLNDIISKLDVKIRLCIRDSLFRLAQSAMQRQHANDTSNTNRSIRGELEALSKEESHRQNRYAKIPDVETETNPIDRTVAHLLFHRPLELMVNYSDNPNLPISAKMQYESKGGKLEHFPVGYLPAVWMMTKASV >OIV99312 pep chromosome:LupAngTanjil_v1.0:LG13:2739613:2740378:-1 gene:TanjilG_17122 transcript:OIV99312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLVNNLMKAPQMAVTMQEFSKEMTKAGVIEEMVNDAVDSALDSEDIEDEIEEEVDKVLTAIAGETAAQLPEAVRKEKVKQPAQRAGAADEEEAIAEGVDDEEEMEEIRARLAKVRS >OIV99382 pep chromosome:LupAngTanjil_v1.0:LG13:2205946:2208565:-1 gene:TanjilG_17192 transcript:OIV99382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKGEGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVAFTDTERLIGDAAKNQVAMNPINTVFDAKRLIGRRFSDASVQSDMKLWPFKVIPGPADKPMIVVNYKGEEKQFSAEEISSMVLVKMKEIAEAYLGSTVKNAVVTVPAYFNDSQRQATKDAGVISGLNVMRIINEPTAAAIAYGLDKKSTSSGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKNKKDISGNARSLRRLRTACERAKRTLSSTAQTTIEIDSLYEGIDFYTTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSSVHDVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERTRTRDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEEIEKMVQEAEKYKAEDEEHKKKVEGKNALENYAYNMRNTIKDDKIASKLSADDKKKIEDAIDQAIQWLEGNQLAEADEFDDKMKELESICNPIIARMYQGVGGDVGGAMDEDGPSAGSGRGAGPKIEEVD >OIV98990 pep chromosome:LupAngTanjil_v1.0:LG13:7261654:7264401:1 gene:TanjilG_29393 transcript:OIV98990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENQKKVSAISDVGAWGMNIVSSVGIIMANKQLMSNGGYGFSFATTLTGFHFAVTALVGLVSNATGYSASKHVPIWELLWFSLVANTSITAMNLSLMLNSVGFYQISKLSMIPVVCVMEWILHSKHYSREVKMSVMVVVVGVGVCTVTDVKVNLKGFVCACIAVISTSLQQISIGSLQKKYSIGSFELLSKTAPIQAVSLLVFGPFVDYYLSGKLITNYKVSSGVIFFILLSCSLAVFCNVSQYLCIGRFSAVSFQVLGHMKTVCVLTLGWLLFDSELTVKNIMGMVIAVAGMVIYSWAVEREKHANVKTHSHANVKTHSHAKHSLTEEEIRLLQEGIEKNPLEDVELAEAKS >OIV99662 pep chromosome:LupAngTanjil_v1.0:LG13:347737:348057:1 gene:TanjilG_17472 transcript:OIV99662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDNALTEGQELSSKSVGRAQGLFGVASLQKDSAMVMLINFVFNEGSTLSMLGRNPVFDTVREMPIVGGTGVFRFATGYAIANSIWAISSHQHFVVEYNITVSVPN >OIV99148 pep chromosome:LupAngTanjil_v1.0:LG13:4429784:4434109:1 gene:TanjilG_01123 transcript:OIV99148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISNCANFSVGLQPRTVSNCNLHPHGRNIVPMEKPLESENCFLSKLKIINSNNIIKTSIGAMAVAHLVAPATAKAEMAASVYALADGDISDWFGGLLYSAGQQANEAVQDQLSSLSFTSLAVIYGAGLVTSLSPCTLSVLPLTLGYIGAFGSSKSKGEVVGDSIAFSLGLATTLALLGVAASFAGKAYGQIGQGLPLGASGLAVIMGLNLLEIIELRLPSFFDSFDPRAAAANLPSSVQAYLAGLTFALAASPCSTPVLATLLGYVAASKDPVIGGSLLLTYTTGYVSPLLLAASFAGALQSLLSFRKFSAWINPVSGAMLLGGGLYTFLDRLFPATMVM >OIV99036 pep chromosome:LupAngTanjil_v1.0:LG13:5160725:5162305:1 gene:TanjilG_32295 transcript:OIV99036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEVSSLVRIMGSGYKEDTRNQNRTVGIESKIEKSTALTTRDFLGGSSSIETKELDLDLQVPIGWEKCLDLKSGKVSIQKCNSSGSSPFVSENKLNMNQSGPKPDTLNILQSSSKPLNLFDETSLDLKLFSSSMQSINYQSVCTLDKVKSALERAEKEPKRKRPHWLKSSSSPSLIREIQDEESDEKVLSSPMAAGCPGCLSYVLIMKNNPKCPKCNSTVSLPMMKKPRIDLNISI >OIV98614 pep chromosome:LupAngTanjil_v1.0:LG13:16664118:16665336:-1 gene:TanjilG_12737 transcript:OIV98614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METEKAANGGVEYQCRTSEVVVERMAEYIETDSCVDQCGVDRKFVGISSDALLESQFTAKLCSPSCYQKCPNIVDLYFNLAAGEGVFLPDLCERQRSNPRRAMFELVSSGAAPGPVYDVSDNIEAIPAPVPQ >OIV98591 pep chromosome:LupAngTanjil_v1.0:LG13:16677410:16681051:-1 gene:TanjilG_23030 transcript:OIV98591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLTGSVIPTPSISEAEVSVDEIRSAAANSSSTYYPPSLHGALVGSPEPDPTVQALVYQAGYGGDYGGARPEFQRQILDEVEIRELLIDHVGHRCCWGSRPARTWKILAVEDCNVYVGTLDTFIEEREIIKETEPYGGGTIDGKDKGPELSIWELDLRSQFPLLFVPNKEVREKIPHSEIIEKCTACTGRGGVVCATCNGDQEHGHYKENQMTQCPSCYGRGLIAHKDGSDTICVKCNGKGKIPCATCGSRGLIKCGTCNGSGSLLTRSLAIIRWKTLSTRKVNATSGAASVPDEVFHRSKGVQLCNTQAYQCTPAFFADSFFLNKFSSEVIADRAVVPATARVICERHAISVVPVTRVTMAHRGRSFSFYIIGYSREVYLKDYYPSKYCWGLCPCLEWLKL >OIV99409 pep chromosome:LupAngTanjil_v1.0:LG13:2006406:2009031:-1 gene:TanjilG_17219 transcript:OIV99409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRKLYDQLLSEKPSLSYDDQHHGVTKSEEHSTLKSAGNHHKHINHGRESEEDELVKYMSNLPGYLERGKKNEKILNVGVLDWKRLEQWQYHHKHVPHTSSTSSVSTSNTPSSVSADRLFHQSLRSHFMDSPGHSDAIKSSRDNLRNSHNFRGSHINIGTHSKYLDDNISEKHPKTILKGCNRKYLDPHIDKEYDIFPDDRMHYEAASCASLGKPTQGCGMEKRVDTDIVLQHTLRKNKKFDLGLLRDSPQNNHCRVPDMPKFLVQKSGNSSRASFSEKHKELSHEDLNYDISHSCPLPDELRCDNFQLKGSGPCSTDLESVNFPASSFSSPLSTYSPPLSVKMGISPSRTRNADERKQTIAKTSSANGPPQGLDQKVTSDKSRSSSPFRRLSISIGYTSKGSACKEDEYVPHLSSVTARKCSSVNVRGDASSKMSGNDKHGDAGRSRSNPFRRLQDPLLKPKTTKSRHPMESSQEDSEFISENCRSADGNFSTLQPDKEVENDHRIDSSNGKKHVPSMTQALLRFAVRNGLPIFTFAVGDNTSNILAATVKNVSALEKDECNIVYTFFAFREVKKKNGNWMNQTGRSKGPDYIPHAVAQMKVSDSRYYNLTSQNCVDSSTIKEFVLFSVNLRQENAQATDYQPNDELAAIVVKIPKAISCINGWHHRSFKSDSQDPIRATVLIPSGVHSVPSKGGPSSLIERWKSGGSCDCGGWDLACKLKVIATENQPCRKPTSSKAYLANQLELFVQGNEQEHQRVFSFAPFKHGVYSIAFDSSLSLLQAFSICIALVDSKKPYDLFGSRNSIEDKNPRETLLVQAKELKAFGKLEDIPASYVSYPSPSPFGRV >OIV99567 pep chromosome:LupAngTanjil_v1.0:LG13:975726:979502:-1 gene:TanjilG_17377 transcript:OIV99567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCVLSETAISEDDSEALLALKSSIDVENTLPWQKGSDVCAWLGVKDCFNGRVRKLVLEYSNLTGTLDSKILNRLDQLRVLSFKGNSLSGQIPNLSGLINLKSLFLNNNNFSGEFPASVTDLHRVKVIVLSGNRISGEIPASLLKLRRLYVLYLQDNFFTGTIPGFNQTGLRYLNVSDNQLSGEIPVTPTLLRFNESSFYGNPRLCGEEIQRACKSSSSIVSPPSTSPSYPMRPEGHKSKTTWSRKKLIKVIGGSVGGVVLLLICLVLCWWVCKKSKGSSEGIGDVEEREERGGGGNGGGGGGGRDGNNESKEGVFAWENEGVGKLVFLGAGDREMRYSLEDLLKASAETLGRGIMGSTYKAVMESGFIVTVKRLKDATYPGLEEFKREIEIIGRLRHTNLVPLRAYFQAKEERLLVYDYFPNGSLFSLIHGSKTTGGGKPLHWTSCLKIAEDLATGMLYIHQTNPGLTHGNLKSSNVLLGSDFESCLTDYGITMFLNPDSMDEPSATSLFYRAPECRSFQRPHTQPADVYSFGVLLLELLTGKTPFQDLVQAHGSDIPRWVRSVREEETESGDDPASGNEASEEKLQALLNIAMTCVSLVPENRPTMREVLKMIRDARGEAHVSSNSSDHSPGRWSDTVQSIPREEHLSI >OIV98647 pep chromosome:LupAngTanjil_v1.0:LG13:16120028:16122597:-1 gene:TanjilG_12770 transcript:OIV98647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLIGFGTYSFPNDRKTTELAIHNALKMGYRHFDTAKIYGSEAAMGKALNEAILGRQIEREDIFLTSKLWGSDHHDPVSALKRTLENVGMEYLDMYLVHWPVKLKPWVNYAVPNEDDFENLDFESTWAGMEKCLEMGLCRCIGVSNFSSKKIEWLLEFGSTPPLVNQVEMHPMWRQGKLRETCGDHKIHVSAYSPLGGPGNAWGSTAVVDHPIIKSIALKHKTTPPQVALQWGLSKGSSVIVKSFNQERMKENIGSFDLKLDYEDIFEIEKLEEVKIMRGEFLVNETTSPYKTIEDLWDDEI >OIV99483 pep chromosome:LupAngTanjil_v1.0:LG13:1493422:1496406:1 gene:TanjilG_17293 transcript:OIV99483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTASSGGHLPEMANKTSFLGFKLSILILIVFSIAVIILLLLIFLCFRRMLLKKNHSSGTIPLVSVEINDVKHKPTDLIEQKQLVEIEDVEPKKNEISEIKVEMEKKSEGESSDVSCGGQSETSSLSVEDPNIGWGKWYSMKEVEFATRGFAQENVIGEGGYGIVYRGVLQDNHVVAVKNLLNNKGQAEKEFKVEVEAIGKVRHKNLVRLVGYCAEGVKRMLVYEYVDNGNLEQWLHGDVGSISPLTWDIRMRIAVSTAKGLAYLHEGLEPKVVHRDIKSSNILLDKKWNAKVSDFGLAKLLGSEKTHVTTRVMGTFGYVSPEYASTGMLNERSDVYSFGVLLMEIITGRNPIDYSKPPGEMNLVDWFKGMVASRRGDELVDPLIEVQPSPRSLKRALLVCLRCVDLDVIKRPKMGQIVHMLEADDFPFRSDLRTMREKDPVPSHANIYSKDPYPSKHAEPAEISKWR >OIV98659 pep chromosome:LupAngTanjil_v1.0:LG13:15956833:15958978:-1 gene:TanjilG_12782 transcript:OIV98659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLIFLGSSFSIVWYMRYHKIVRRTYNKDQDTFRHYILILPCLLLALVINERFTFREVMWAFSLYLEAVAILPQLVLLQRTRNIDNLTGQYVFLLGAYRALYILNWIYRYFTEPHFIHWITWISGLVQTLLYADFFYYYFQSWKNNQKLNLPA >OIV98786 pep chromosome:LupAngTanjil_v1.0:LG13:13134102:13141155:-1 gene:TanjilG_21808 transcript:OIV98786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGIFAYLNYNVNRERSYILQVLFNGLRRLEYRGYDSAGIAIDSASFLSVNGDPNSSSNSISAHTPPPLVFRQEGNIESLVKSVYQEVADVELNLEESFLTHAGIAHTRWATHGEPAPRNSHPQSSGPEDDFLVVHNGVITNYEVLKETLVRHGFIFESETDTEVIPKLAKFVYDKANEAAGDQIVTFSQVVLEVMRHLEGAYALIFKSRHYPNELIACKRGSPLLLGVKELRENQENGSAFEDNKFLSKDGHPKELFLSSDAHAVVEHTKKVLVVEDGEVVHLKDGGVSILKFENDKGEHVGPLSRVASVRRALSILEMEVEQINKGHYEHYMQKEIHEQPESLTTTMRGRLIRRGSSKSKSVLLGGLKDHLKTIRRSRRIVFIGCGTSYNAALAARPILEELSGIPVTMEIASDLLDRQGPIYREDTAVFVSQSGETADTLLALQYALDNGALCVGITNTVGSAIARKTHCGVHINAGAEIGVASTKAYTSQIVVMAMLALAIGGDTISSQARREAIVDGLYDLPKIGIFANVGWLNWLDRTASTKRSKYTNYKVKEVLKLDQEMKDLAQLLIAEQSLLVFGRSYNYATALEGALKVKEVALMHSEGILAGEMKHGPLALVDENLPILVIATRDACFSKQQSVIQQLHARKGRLIVMCSNGDAASVCPGESCKVIEVPQVEDCLQPVINVVPLQLLAYHLTVLRGFNVDQPRNLAKSVTTQ >OIV99666 pep chromosome:LupAngTanjil_v1.0:LG13:311900:321638:-1 gene:TanjilG_17476 transcript:OIV99666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTCLMGQHKITPPTSSKVFIAAPGSFSCNLIAGYAMVTSRHQGLYINTSPYFFDEVTLSHAATDFGNRYKYNPMAVLHPESVSDIAATIKHIWLMGHRSQLTVAARGHGHSLQGQAQAHGGVVINMESLKVPQMKVHVGNSPYVDVSGGELWINILHETLKYGLAPRSWTDYLHLTVGGTLSNAGVSGQAFRHGPQISNVQQLEIVTGTGDMVNCSKEQNGELFHSVLGGLGQFGIITRARILLEPAPDMVKWIRVLYSDFTAFTRDQEQLISEEKSFDYIEGFVIINRTGLLNNWRSSFKPQDPVQASQFNSDGKTLYCLELAKYFTFDQINVVNQHKRPSEAALLRWRSAVSVVKNPRRRFRMVANLAQRAEADKKRRKLQEKIRVALYVQKAALHFINARSRGVGGGGYMLSKEVQEAGFGIEPDELGSIVRSHDSKCLENHGGIDGLARHVCVSLQDGVNSCDVSHRQDIYGVNRYAEKPSRSFWMFVWDAMQDLTLMILMLCAVISAGVGISTEGFPKGVYDGFGIILCILLVVFVTSISDYKQSLQFMDLDKEKKNVSIQVTRDGRRQKVSIYDLVIGDTVHLSIGDVVPADGLFISGFSLLIDESSLSGESEAVNVDQQNPFLLCGTTVQDGSAKMLVTSVGMRTEWGRLMDTLNEGGDDETPLQVKLNGVATIIGKIGLGFALLTFLVLTGRFLLEKIAHHDITHWSLNDASKLLDFFATAVIIIVVAVPEGLPLAVTLSLAFAMKKLMNDKALVRHLSACETMGSAGCICTDKTGTLTTNHMVVDKIWICEQTKAIKSSDGENVLKPSVSEEIFDLLLQSIFQNTGSEIVKGQDGKNKVMGTPTESALLEFGMLLGGDSKSYNEKYKIVKVEPFNSIRKKMSVLVALPDGTDKFRGFCKGASEIIVEKCDKVVNAEGKVDELKEDQRKSIIEIINGFASEALRTLCIAFKDTEGSSEGNSIPEDKYTLIAIIGIKDPVRPGVKEAVRTCLAAGITVRMVTGDNINTAKAIARECGILTDGVAIEGPEFRNMNQQQMEEIIPKIQVMARSLPLDKHTLVKHLRNDFGEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKENADVIVMDDNFTTIVNVARWGRSVYINIQKFVQFQLTVNVVALMLNFISACVSGSAPLSAVQMLWVNMIMDTLGALALATEPPHEGLMKRPPIGRNTKFITAVMWRNIVGQSLYQIIVLLILKFRGRQILKLNGPDANSVQNTVIFNAFVFCQVFNEINSRDMEKINVFKGMFNSWVFLMVMIATIGFQAIIVEFFGAFAQTVPLSKELWLTSVLIGAASLIIAVILKCIPVPLTSYVATHHDGYEQLPTGPELA >OIV99498 pep chromosome:LupAngTanjil_v1.0:LG13:1401364:1402221:1 gene:TanjilG_17308 transcript:OIV99498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESNTKNSLHIRCNSLPSSPHPLVSQFQEHLQRFNDSEAATTSFSSSSISHKLNGLKDLHDYADKLIQLPTIQQDLALEFSDKLIDGLLDGSLRLIDICGTAQDCLLKSKENMYEIQSALRRKGANNGFTVEGGKYLASRKKMKKVIQKALGNLKGIKFESNKDKEAFSVFSILKETEAITIRSIESLLLFLSDPKGQSKQSKWSRISKLMQPARVACDSQETDSNEFVNVDAALQSLISQKTSENFDNHMEDLEICIEDLEVTVENLSRQLIRTRVSLLNILSH >OIV99124 pep chromosome:LupAngTanjil_v1.0:LG13:4768597:4771136:-1 gene:TanjilG_22704 transcript:OIV99124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATHILCRRFSSKSLTLPSFISRSFSTTTTTTSSPLRTLAAASSLRRSPFLPSPRTTRTLSTRATSSSLNDPNPNWSNRPPKETILLDGCDFEHWLVVVEKPEGDPTRDEIIDSYIKKLAKVVGSEDEARMKLYSVSTRHYYAFGALVSEELSYKIKELEGVRWVLPDSYLNVKEKDYGGEPFINGQAVPYDPKYHEEWVRNNARANERNRRNDRPRNADRSRNFDRRRENVVSRDVQDRPPIPNQGPPQDRPPIPNQGPPRAASNIGGYPQNAAGYPPQNTGAYPPQNGSRYPPQNTGGYPPQNAGPYPPQNVGGYPPQNAGPNPPQNTGGYPPQNAGPHPPQNSGGYPPQNAGPHPPQNSGGYPPQNAGPYPPQNSGGYPPQNANGYPPQNAPQNAGGVPPYQNAGGFQPNAGWSSTAPNRDQ >OIV99062 pep chromosome:LupAngTanjil_v1.0:LG13:5469131:5472642:1 gene:TanjilG_32321 transcript:OIV99062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQATLLVIILLVLGSSFVTYNLVTMIIHYGSSESVAIDVGTLLFDPITEMPSHVKNRKRSKAPFHVAVTASDTPYSKWQCRIMYYWYTRQKNLPGSEMGGFTRILHSGKPDNLMDEIPTVVVDPLPVGQDQGYVVLNRPWAFIQWLEKATIEEEYVLMAEPDHIFLRPLPNLAHLGLPAAYPFFYIQPEKHEKIVRKFYPEEYGPVTDVDPIGNSPVIIRKDLIEKIAPTWMNVSLKMKYDPETDKAFGWVLEMYAYAVASALHGVRHILRKDFMLQPPWDFETTNKYILHYTYACDYTLKGELTDDKIGEWRFDKRSHEQRPIPRNLSLPPPGVPESVVTLVKMVNEATANIPNWDTL >OIV98581 pep chromosome:LupAngTanjil_v1.0:LG13:17077075:17078385:-1 gene:TanjilG_12167 transcript:OIV98581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFAFSVLAKILKLGYQPDTIMLTMLMKGLCLSDNVNKALQFHDIVIAHGYRLNVVSYGTLINGLCKIKQTRAAIKLFRKIEWKVIKPNVVMYNVIIDSLCKYKLVNEALDLHSEMVVRGISPDIFTYNSLMYGFCFVGRLKEATDLLSDMVMKNIDPDVYTFNTLVDAFFKDGRVKEAKSLLAVMIKAAVKPDVVTYNSLMDGYCLVNEVSKGKHVFSTMPQMGVTPDVHSYSIIIKGLCELKMVDEAMNLLKEMHRKSMIPNTVTYNSLIDGLCKSGRISNAWKLLDEMHDRGQPADVITYTSLFDALCKNKQLDEATALLKKIEDQGIQPDVYTYSILIQGLCNNGRLESAKEVFRHFFIKGYHPNVWLCNAMIKGLCKEHLFDEALALKTKMDDSGCTPDAITFHILISALFENNEKKKSHRLLREMIARGL >OIV98574 pep chromosome:LupAngTanjil_v1.0:LG13:17158606:17158899:-1 gene:TanjilG_12160 transcript:OIV98574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPGGSKMDTVSMLEEAIHYVKFLKNQICFHQKMINFVDIVDDSSLIMLPSQKYFPHHGENIISIQQQNTSVEEQCFFQGEDNAIVTIDDNMKYWPA >OIV99684 pep chromosome:LupAngTanjil_v1.0:LG13:189000:189332:-1 gene:TanjilG_17494 transcript:OIV99684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMTMTASFLGNSSSALANNRSPATIKRRLVVVANAGRTEKQNDNNNNNNNGRRNIMFTAAAAAICSVAGIAVADEPKAGSAEAKKVYAPICVTMPTARVCRKAAPNRE >OIV98661 pep chromosome:LupAngTanjil_v1.0:LG13:15911584:15912138:-1 gene:TanjilG_12784 transcript:OIV98661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFSKVAYLLFTLSIILICYAPIASSDKDMINDVCNNANNPDGFGNGITLDDCFNLMSMDSRFSSASDYHVLSKFIMQFAIQKGTENMNKFHEFQKDFPDSKAIVQCGTTFYTSTISFFKGALRDWDGNTSNAMHEISQSRDGSEQCMIAIENEKIVNGTITNMNKMMQLLTDVTLSAMDLSTQ >OIV99075 pep chromosome:LupAngTanjil_v1.0:LG13:5624472:5629559:1 gene:TanjilG_32334 transcript:OIV99075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLIVQKGCPILGQNLSGLSKCIERFEEKVYASAKDEADYIAKISAKVKALYLIPQEAESKPLVPTSSASGAGKAANIDWQEQIYEKVESSQKPKANDLENCKHIKKIIENIFAILEVNKSQINTEFKEKLENAEKWIEYIMQRINVSSHNQGQDSDDLQSGQQFVPPHSITSQEEIFERNLSPQVLRPQNYHSKRQISQPKKMPLQEQQVANQCSNSQPKAKQMSITKGPGNAVQQQPHNALKATEGFGVSINTPVIPDSHKPTVASDEPSAAMQRLVKVLTSMSPEMLSASIGEIREIVYLNDAIPASILLDGSPKRVQKQSEPSLIPQGMKVSRSINAMTLDTSKIYASTLDSFNRLTDAKEPDLNSVTPQAKRPRIVENHTLLEEIKEINKQLIDIEVVIGGKDSIQSAVAGAAESGDGLVVKFLFSAVTVNLNLISHYTDKRSIIKPLWLLVPTSYPFSSPVILDKMPLEASTYSEDLEDLWMTAKAKLRFSLQNMKQPWSLGDIAISWEQCAREAILEYAQHNGGGTFSSKYGGWEIC >OIV99560 pep chromosome:LupAngTanjil_v1.0:LG13:1012095:1013897:1 gene:TanjilG_17370 transcript:OIV99560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLILESLIQMTDQVSKMVDEVQKFRQECLEIKAKTKKLVALLRQVIVNNNNLYERPTRRIIEDIKQVLDKALTLVSKCRINGHVKHLFTIIHATSFRKTSLQLENSIGNVQWLLRVSTSADKCDDDDEYLGFPPIAAGEPILCLIWEHVAILLSNASLEERSDVAASLVSLACDNDRYVKLILEEGGIPPLLKLLKEGNMDGQENAAKAIGLLGRDPESVEHIVNARVCPVFAKILKEGHMQVQLVVAWAISEMAAHCPKLQDYFSRYNTISLLFSHLAPETIQEHNKYDIESKYYMFSIQPVLMSSSDSNNKKVQRDDDNNVKGGNLNHASLKHDNNQRDPHVSLASTSKKGKEFDDLEIKAQMKTMAARALWQLCKGNVTICRHINESKALPCFAILLENGSRDVKSYSTMALMEITSVAEQHDEFRRYAFKPNSPTAKAIMDQLHKIIDKCDSNLLIPCVKSVGNLANIFRSKETRFIALLVRLLGEKEPKICVEATIALNKFACTDNHLHESHCNTVIKAGGVNHLIQMIYFGDQMMQKHALTLLCYIALHVPKSAILAQQEVLIILEWCTKNAHLIGEPPIEALLPKVKSRLGL >OIV99151 pep chromosome:LupAngTanjil_v1.0:LG13:4456970:4458494:-1 gene:TanjilG_01126 transcript:OIV99151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTESSIDSQQPNLPPGFRFHPTDEELVVHYLKKKVSSDPLPATIIADIDLYKFDPWELPAKASFGEQEWYFFSPRDRKYPNGARPNRAATSGYWKATGTDKPVLSSSGAQKVGVKKALVFYGGKPPRGIKTNWIMHEYRIADNKPTNNKPPGCDLGNKKNSLRLDDWVLCRIYKKSNTQRSSMEHDRDDSMDDMIGGLSTSINVGHMNVRFQLSKMSTSYSGSTSGALLENDQNLLEGLMLGNGGLSTTTFTSAISSHLETSNSKRTLPSLYWNDHAEDVDGTTFSNKRLNFMDNAENGNVMMRTEDNNGTSASSIATLLNHLPQQTPNSLHQQQKMLGSIGDGLLRTPYQIQGMNWYG >OIV99558 pep chromosome:LupAngTanjil_v1.0:LG13:1023469:1027120:-1 gene:TanjilG_17368 transcript:OIV99558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDDKPAETVMVGNYVEMEAEEGKFKDIKTRLSSFLWHGGSAYDAWFSCASNQVAQVLLTLPYSFSQLGMVSGILFQLFYGLLGSWTAYLISILYVEYRTRKEREKVNFRDHVIQWFEVLDGLLGKHWRNVGLAFNCTFLLFGSVIQLIACASNIYYINDHLDKRTWTYIFGACCATTVFIPSFHNYRIWSFVGLVMTTYTAWYLTIASLLHGQVEGVKHSGPAKLVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKAIYLLATLYVLTLTLPSAISVYWAFGDMLLNHSNAFALFPQSPFRDMAFITFGFACTPLYFVWEKAIGMHECKSLCKRALVRLPVVIPIWFLAIIFPFFGPINSTVGSLLVSFTVYIIPALAHIFTFKSASARQNAVEQPPKFVGRWVGSFIINIFIVVWVLIVGFGFGGWASMVNFIHQIDTFGLFTKCYQCPPPMPPPQMVNATAPSPHHHPLGH >OIV99360 pep chromosome:LupAngTanjil_v1.0:LG13:2342838:2344704:1 gene:TanjilG_17170 transcript:OIV99360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPSFKQRTIPWFILLMIILYIIYSSNLLLIANKKRLQCSTTSINLENADNDIQEQKQLEISNTNTSSDIDAVVQERKITEVEKEKEKEEEEEVEETPIDELSQRFDTELNHIVFGIAASSNLWNQRKEYIKVWWKPNKTRGVVWLDQKVSTKANEGLPQTRISQDTTKFKYTNHQGQRSALRISRVVSETLRLGLKDVRWFMMGDDDTVFVVDNLVRVLSKYDHTQFYYIGSSSESHVQNIHFSYTMAYGGGGFAISYPLAKELARMQDRCIQRYPALYGSDDRMHACMAELGVPLTRETGFHQYDVYGDLLGLLGAHPVTPLVTLHHLDVVQPLFPNMDRVESLQHLMKSVKQDSSSVMQQSICYDHDRYWSISVSWGYVVQVLRGVLSPRELEMPTRTFLNWYRRADYTAYAFNTRPVAKNPCQKAFLFYMNRTRYDPARKKIIGTYARYKSNPPYCNWKMESPEEIDSIIVTKRRDPLRWQRVRMLQNSYRYMHYSIPYYMMRYLPLIFLLLFIPPVI >OIV98907 pep chromosome:LupAngTanjil_v1.0:LG13:7746661:7752632:-1 gene:TanjilG_07342 transcript:OIV98907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRNSTSRTRIFYSFVNNSNFPFQVVAQKVKEAEITEQDSLLLTRNLLRIAIFNISYIRGLFPEKYFNDKSVPALEMKIKKLMPLDAESRRLIDWMEKGTFSVYDALQKKYLKTILFCVCEAVDGPMIEEYAFSFCYSDSDKQEVSMNINRTGHKTGGTFKCNSTTEITPQQMRSSACKMIRTLVQLMRTLEKMPEERTILMKLLYYDDVTPADYEPPFFRGCTEEEAYHPWSKNPLKMEVGNVNSKHFVLALKVKSVLDPCEDDNEGIEDDMNTCNDSMQDEYDDTDSEVDHSQGDQYVVAPIGKQLAPEDNSLTDEDNTQDPVEDEQQMARVKEWINCCHLGTIELTGILSNFPDISVALIEEIMDKLVMEGVLSKTGKETYTINKDKKSEYEFTIMKEAIHGQAPQVFDRPLQTEDVIYMKALYHALPMTYVSVSKLQSLLEGEVNLTAARRIIDKMTRDGFLEPKGNKRLGKRVIHSELTERKFIEVQKALSTTEAMDVDHCEPNSKPKQAGFRINGSNYDVSTYGALHSIGSDLTRMKVTSETNYTDSMKSGQKVLKAKKETGNTPTSKPVDSRESFAQGKENNRANGNSTPNQKGEADTKIFSYSSQDKRPRKISAVKDPIHQNVKRQRALTPQL >OIV98736 pep chromosome:LupAngTanjil_v1.0:LG13:14420323:14456113:1 gene:TanjilG_24907 transcript:OIV98736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDEEESKELKISGNDIDTPEVVDSGVKQFVQSPHQENVNARSNIGVEPIDERVHLQELSIDSATTSMHEDQFEHVSLIDQDKNNINEYVDSNRSSGSGNIQHSFSGNAEDSQCSPGSYSIEHDSSQGSDMQHDHLSYSPGSEGSLGHTRKQSASSFSFDSAGYSKVDSPPKPRQKHAKQNVSPELLHLVDSAIMGKLEGMEKLKNIASGIEIFQNDDETDSVSFVIVDSLLATMGGVESFEENEDDNPPSVMLNSRAAIVAGELIPWLPYIGDTDNVMSPRTRMVRGLLAILRACTRNRAMCSTAGLLDILLRTAEKIFTMDVDLNGQMRWDGTPLCHCIQYLAGHSLSVSDLHTWFQVITKTLTTIWSQRLMLALEQAISGKESRGPACTFEFDGESSGLLGPGESRWPFINGYAFATWIYIESFADTLNTATVAAAIAAAAAARSGKSSAMSAAAAASALAGEGTAHMPRLFSFLSGDNQGLEAYFHAQFLVLETSSGKGKKSSLHFTYPFKPQCWYFIALEHISKHGILGKSESEVRLYVDGSLYESRPFEFPRISKPLAFCCIGTNPPPTMAGLQRRRRQCPLFAEMGPVYIFKEPIGPERMARLAFRGGDIVPSFGTAAGQPWRSTNSHVQSMAEESVLLDAEIGGCIHLLYHPSLLSGRFCPDASPSGAAGMLRRPAEVLGQVHVAIRMRPVDALWALAYGGPLSLLPLTISNVLEDTLEPIQGDLLVASATTSLAAPIFRIISMAIQNPKNNEELSRGRGPEVLSKILNYLLQTLSGLSLHDVRKHDGGRDEELIAAVVSLCQSQKMNHTLNAQLFTTLLLDLKIWSLCSYGIQKKLISSLADMVFTASRVMRDANAIQMLLDGCRRCYWTVPEIDSLNTFSLKRAARPVGEINALVDELLVVIELLIVSGSPSLASDDVRCLLGFIVDCPQPNQDPPRSEFPISYGSYGGFGSHTAIFSLWRRLFVQPNTSRAQTFAEAFLACGGVETLLVLLQRESKAGDGSVLESMSKNSELKKTEINQNGDESEERIESILLENDQMSQSVDSDNFPDPSSPDVNNDRMTFTSEIPSIKNLGGISLSISAENARKNVYNADKNDGIVVGIINLLGALVASGQLRFGSHAGPGTTSNILSVGIQDGGSSMFEDKVSLLLYALQKAFQAAPNRLITNNVYTALLAASINASSSEDGLNFYDSGHRFEHSQLLLVLLRSLPFAPRSLQIRALQDILFLACSHQENRSSLINMEEWPEWILEVLISNYEDIEATIHCAEWLSIVGGSSTGEQRIRREEALPIFKRRLLGDLLDFAARELQVQTQIIAAAAAGVAADCLSPKDAKAEAESAAQLSVSLVENAIVILMLVEDHLRLQSKRFSSISADGSPSPLSHVYSVDNHSNSLSTIDELEVMGNNRSLSSDSGGVPIDVLSSLADGSGQIPNSVKERLAEAAAAEPYESVSCAFVSYGSYAKDLADGWKYRSRLWYGVGLPPNTAPFGGGGSGWDVWKSSLEKDADGNWLELPLVKKSVAMLQALLLDESGLGGGLGIGGGSGTGMGGMAALYQLLDSDQPFLCMLRMVLLSMREDDDGEDHMLMRNASIVDAVSEGRKPRSALLWSVLSPVLNMPISDSKRQRVLVASCVLYSEVYHTVSGDQMPLRKRYLEAILPPFVAVLRRWRPLLSGIHELATADGLNPLIADDRALAADSLPIEAALAMISPAWAAAFASPPAAMALAMVAAGTSGSESQASATTSQLRRDNSLLERKKTKLQTFSSFQKPSEVYHKTLPLPKDKAAAKAAALAAARDFERFAKIGSGRGLSAVAMATAVQRRSAGDMERVKRWNISEAMGVAWMECLQPVDTKLAYGQDINSLSYKYIAVLVPSFALARNMQRSEIDRRSHGDVIDRHRISNGVRAWRKLIHQLIEMRSLFGPFADRLYSPPRVFWKLDFMESSSRMRRCLRRNYRGSDHLGSASNYEDYSGLKNDQGTPILSAAAISLEAVKEDEEQVEIVDLDSRVDDIEDKVDSLHRFSEASEQTVRESLESCATQLASDERLVQSTSAIAPGYVPSEFDERIVFELPSSMVRPLKIIRGTFQIDRRSHGDVIDRHRISNGVRAWRKLIHQLIEMRSLFGPFADRLYSPPRVFWKLDFMESSSRMRRCLRRNYRGSDHLGSASNYEDYSGLKNDQGTPILSAAAISLEAVKEDEEQVEIVDLDSRVDDIEDKVDSLHRFSEASEQTVRESLESCATQLASDERLVQSTSAIAPGYVPSEFDERIVFELPSSMVRPLKIIRGTFQITSRRINFLVDSSETSTKMNGLDTSSEVGDQEKDRSWLMSSLHQIYSRSLLFYVMVREPKENTLFLQRPEQLLKRIQLMERWARWEISNFEYLMQLNTLAGRSYNDITQYPVFPWILSDYSSKSLDISNPSSFRDLSKPVGALNPDRLKRFQERYDSFEDPVIPRFHYGSHYSSAGTVLYYLVRVEPFTTLAIQLQDGKFDHADRMFSDISATWNGVLEDMSDVKELVPELFYLPEVLTNENSIDFGTTQLGGKLDTVRLPAWADSPIDFIHKHRMALESEYVSAHLHEWIDLIFGYKQRGNDAVAANNVFFYITYEGTVDIDKISDPVQQRATQDQIAYFGQTPSQLLSVPHVKRMPLAEVLHLQTIFRNPKEVKPYAVPFPERCNLPAAAIHASDTVVIVDTNAPAAHVAQHKWQPNTPDGQGTPFLFQHGKATSGSSGGSLMRMFKAQVASGEEWQFPQAVAFSVSGIRSQAIVSITCDKEIITGGHADNSIRLISSDGAKTLETAYAHCATVTCTGLSPDSKYLVTGSRDTTVLLWKIHRALVSQSSVISEYSTGTGTRSSTSSSSSHPNEKDRRYRIEGPIQALRGHHSEVLSCCVSSDLGVVVSCSHTSDVLLHSIRRGRLIRRLDGVKADIVCLSSEGVVMTWNESQHILSTYTLNGALIVKTQLSFFCSISCMEISVDGRSALLGINSLENGGASNNNWNSQLNKSGVVDFDSESEDTYESNRVDVPSPAICFLDMHTLEVFHVLRLGEGQDITALALNKDNTNLLVSTSDKQLIIFTDPALSLKVVDQMLKLGWEGDGLQPLIKS >OIV99500 pep chromosome:LupAngTanjil_v1.0:LG13:1374841:1382193:-1 gene:TanjilG_17310 transcript:OIV99500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRLYCNMLPKCLKVADLGCASGPNALMVVNDIIDIVESTSFNFNISAPIFQFYMNDLPSNDFNTIFKSLAQFYETLEEKKGPGFGPCFINATPGTFYKRLFPNNSIHFVHSSYSVHWLSQPPKELTNGAETLNKDNIYVTSTIPPETHKAYLRQFQHDFKLFLKSRSEELVPGGVMFLTFVGREDIPQIRTVIGLLGMVLNDMVVENLIEEVKLESFNMPMYGPTEDEAKAVIEEEGSFTIQRLESIIVGWDANINEDELDENMRCEFIAKNFRAITETLLKARFGEDIMDELYLRLKDKLVQMLVKGLEIEYTEDEKKTRRRSLKKVAFSASSKFKSSFTKKGRRHNRVMCIAIEDDLDAEELQAVDAFRQGLILEELLPSKHDDHHMMLRFLRARKFDTEKSKQMWADMLQWRKEFGADTIMEDFEFEEMDEVLKYYPQGNHGVDKDGRPIYIEKLGQVDPNKLLQVTTMDRYLKYHVREFERTFNVKLPACSIAAKKHIDQSTTILDVQGVGLKSMNKAARDLLQRLQRIDGDNYPESLNRMFIINAGSGFRLLWNTIKSFLDPKTTAKIHVLGNKYESKLFEIIDARKNLSAIEEKTILEDEERTILEDETVYYKESKEACHVEHPEPSGFPEVTKEGNAYHFVPVVDKPVNTTSNKAVQNDQLARSKATDYFPESPRKASIGFRNPFRGGIMAVITGIVNMPKKIAAVKLYGELAVYYGGIMTKAAPTISIDHHTAMMRRMAELEEKVNVLNMRPVMPPEKEELLNNALSRVTTLEQELASSKKALDDALAKQVEFQAYIDKKKKKLLGFHW >OIV98855 pep chromosome:LupAngTanjil_v1.0:LG13:10250642:10282616:1 gene:TanjilG_12023 transcript:OIV98855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLQYGLSKFDTLFSLLKLIYEELLDRDKEKEEKEAKKHQRLADDFTNLLYTFKDITTSSQWEDCKQLFDKTQEYRSTDDESYSREIFEEYITHLKEKTKEKEHKREEEKEEVHISLELNKVDYEVERKKSLQARYYFGIIFLIMNFVAWFFRDYGQRFIPWLHCEIARIGAGCFLGLFMSTLFYVASICGVVYMYTTYASRSSCSLNIFFITWTAILLAVMLVVSLHSKGFLIAIFAIVMAAFSTGIDSKCFQFSKDKVKDEDSIPYNYGFFHIVFSLGAMYFAMLFISWDLNNSARKWSIDVGWASTWVKIINEWFAATIYIWMLISPVVRQNKVMDSDGTMQQTPDSSMA >OIV99458 pep chromosome:LupAngTanjil_v1.0:LG13:1651179:1652372:1 gene:TanjilG_17268 transcript:OIV99458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINHHPSPPPLHHHHWAPSPTPTPTPFINNLSPPSKLPVDFSPPLIAMVVIIVTAFLFITYSRLIARHLRPPIHNLINRYRRRRSRSFLPSSSGDLDSLPFDSPFDSGPHPFSPYGLDDSIIKTIPFSLYTFTVNANESRRDCAVCLFEFQDDDYVRTLPICSHTFHVDCIDAWLRSHANCPLCRAGVLCTDSPPFFTPMMAARIRPSLDDETILHRISVDRLMESRSPVPMFNSTVPEITPCEEDSQRINSEDRFGRGDFMLKRSYSFGFERSLPSDRMMMEPATASPWRYRRGSTSFWSKRPSPFGSLGKPMVFSFRYYRGMKSPFFRRRGFFPLSESSVRYAGGGSSSRRSKSIASPMFLRSSGLTAGVSAFSSSRLRCGDPEALLSPERFNRR >OIV99492 pep chromosome:LupAngTanjil_v1.0:LG13:1424980:1430239:1 gene:TanjilG_17302 transcript:OIV99492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKAKGKHRLDKYYHLAKEHGYRSRASWKLVQLNSKFRFLESSHAVLDLCAAPGGWMQVAVQRVPVDHLVIGVDLAPIAPIRGAVAIQEDITRTECKAKIKKLMNQHGCNAFDVILHDGSPNIGGAWAQEAMSQNALVIDAVKLATQFLAPKGIFVTKVFRSQDYSSVVYCLKQLFEKVEVDKPAASRSESAEIYVLGLKYKAPAKIDPRLLDFKHLFQGSAEPQSKVVDVLRDNKQKRHRDGYEDGNTTLRKMSSAANFIWSDSPLEILGSVTSITFTDPADLQIKDNMLTTEEVKSLCDDLRVLGKQDFKHLLKWRINIRKALSPTQKPDPTAKVETEDEPKVDEDDRILNEMEELTNAMDRNKKRAKKLLAKRRAKDKARKATGMQMDVVEDGYVDHELFSLSAIKGKNDLAAVDTTEYEGDEREVYDSDNENIHEGSEHSSSGLEDSDQERKRYDEQMDEFLEGAYERFVVKKEGTAKQRKRVKKSYDAGKELLEGGEDDGIVQPKYDSDEDQPVQEANPLVVPLNDGTGPTQEEITNRWFSQDVFAEAAEEGDFEKHESEDEMDIDGHKDKKSTTVIKEKKSILKKAKENKTEAPAAVVIDKPQSQASKADDDFEIVPAPGTDSSDSSSESEDDDIETKAEILACARKMLRKKQRELVLDDAYNKYMFDDEGLPKWFIDEEKKHRVPVKPVTKEEIAAMRAQFKEIDARPAKKVAEAKARKKRVAMRKLEKARKKATAISDQTEISDRSKSKQIEKLYKKATAQQRPKKEYVVAKKGVQVKTGKGKVLVDRRMKKDARTRGMGKSGKGGPKTKGKGRGGKASKGKVPSKGSAKKGGRKGNK >OIV99388 pep chromosome:LupAngTanjil_v1.0:LG13:2159859:2164846:-1 gene:TanjilG_17198 transcript:OIV99388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMELLLNPSQSHPHLHHDEHNSMEGKCAEKVHVAVGKSVQKATTLLQWCLTHFKNTHICLIHVYQPSPLIPTLLGKFPASKANPGVVSAFRKEEKEQIMKLLDKYLSICLEAKVKASIAVVEADQVQKGIVDLVNGHNIRKLVIGAIPENFMNVKRNSSKATYAVKNTPSFCEIWFIYKGKHVWTREACESPHSLSSCAQPEVTTAESLSSRSVQYGMNELSHSECLQSHSERSTICNGIGSLIQSEIIETEATFPSKSSSSSRHCSSQSSVARSVDSLSEVEEERVNCKVVEAKREADTATEKAFVELLKRKRLEIIAIEAIRKVNLFESAHAREAMLKKETEDALRATIQDQQKCLGENKEIASELQRAMRSIALLDSCAQETNRRRDEAADELSLIQASILTLWHEKQQIRRQKMEASRWLERWKSRDQVEVTHCNHVIGFAEELPELAEFSLSDLQNATCNFSESFKMREGGYGCIYKGEMLGRTVAIRKLHRHNLQGPAQFHQEVQVLGSLQHPHLLTLLGFCPEACSLVYEYLPNGNLQDHLFRKNSVSPLTWNTRARWIAEIARAFCFLHSSKPETIIHGDLKLETVLLDSALSCKICEFGFSRLVTQEAIYPSSFRLNTETKGSFTYTDPEFCRTGVLTPKSDIYSFGLIILQLLTGRTPVGLASEVRRATSCGKLSSVLDSSAGEWPLAVVSRLVELGLECCQQNRKDRPEITPTLVRELEQLHVSEERPVPPFFLCPILQEIMHDPQVAADGSTYEGKAISEWLKNGHETSPMTNLKLSHLHLTPNHALRLAIQGWLCKS >OIV98803 pep chromosome:LupAngTanjil_v1.0:LG13:12013150:12019103:-1 gene:TanjilG_25049 transcript:OIV98803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNHHRSSSASSKNGLPPQELLDDLCSRFVLNVPKEDLQSFERILFLVEYAHWFYEDNSVENNPSLKSLNLKEFTSLSVFNSCDVLKPYVAHIDDIFKDFTSYKVRVPVTGAIILDETYERCILVKGWKGSSWSFPRGKKSKDEEDHACAIREVMEETGFDVSKLLNKDEYLEIIFGQQRVRLYIIAGVKDDTVFAPLTKKEISEIAWHRLDELQPASDDVISRGITGLKLYMVSPFLASLKSWISSHQPPMAPRPDLPLKGICVWKAKHSSTGSSSTIIDIQPTKPEPDSHSLDVGPGRSFRNFKFDTASILQAMEAAFSS >OIV98560 pep chromosome:LupAngTanjil_v1.0:LG13:17292119:17299657:-1 gene:TanjilG_12146 transcript:OIV98560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPISRYQIRSEYGLADPELYRGTEYDVPETLLEGVSMAALVGFLRQLGDLAQFAAEIFHDLHEEVLATMERGHSLVNRVQQLEAEVPVIEKAFLSQTHHSPFFTNGGIDWHPNLHYEQNLVTQGDLPRFIRDSYEECCSPPRLFLLDKFDVAGAGACLKRYTDPSFFKVDPVPSETATVEVHREERIRKVKKKGARPRNRETPEAVQAHAKLHQLFLEEPIGNAHSDPACLVKLRKKELSGSAVDEKTGRSYMEKILETPSPDHKMVRLTPTTPLPTKLISKDASETGIKILEISCISPVKRSLRNESLSLQSRPRPGLWCTTSPNEQEFELEPYSGMDEAEIAFDEQKKMECSLDGYRSDDVTSEVDYMDALATIESDLEADNDGISKKYEEYQLQAQLSDSQSFGSSSTADEVRSPKQDINGEHTEVLARFSDSKSTGASCTSDNVSSLRRDSDDKHAQLHIQFPDFQSTVNSFALEVEEMIPNQLPQTVELRNTHCGEFVTCDDAHVQGEEISDSRQVFSGSCVMGSGHLLLPSDLGPTSPPMVPLPTETESDETPSGHVEHCLRLEAEEDKECLVESLVSVPDALSLIKDGACPVVSTDDSSFNNMDVFPYAHSYALLQVSNDLNSAHKDDCGYHSEIKMLQEECPNEYSSDISVAGDVSLQREGPSCLSMEGELNSGTKLLLNGEDLTSDDGILLTQLNSDDLCSVVETPPVSSFTEDLCHDLTLRSSLDEPDSAENEFLNSDPHSNSEGVPTMIHGKSGSTCIVDGVDDDGHIEHPSSPDYTSQDNHDVVNDTFTKNVQSEGLIVTALPSVDSAETGTSPSWNLSNLNEPFPGSFHQMEVESNEVDLNAEKRGKLKPSLDIICSPTSYLTELEESLSTIADSREKEMDVDETVARESLTELVGQKLVDQSEISSADVQPNSNTSVSCDTSDSEICSNIQESQSIFSFQNDLQNCNDNLSSPSYYQLEPETHLELFSQSHLGQQNAGFLLGDEVNYTLEKFQPQQMQISNQLEQERLSHTASEFAPTIHPDEPSSCDSSSKSPGLESNPTKLVMDPLKPLIPELFPKAAKINFQEAPPMPPLPPMQWRMRKAQHASPVSQREEIEVNQAMFPPMKTVKPDDKSQFGSSTSKRETLQYQNPSSPVMNVESNKHQHSPRFSMGISEHPVAIPLQFPTTVNEANGQSNYLVLERSQIQNHFLSLPVISTGWPAHGYILSSEREMVQNSNPFPPIPPSDRAISGDDPVSVQEKPTQFPSQPMEETSLEVKKDRLGEHAECTVLGVDPISPQEKLVQSPSQSTEETSLEVIKATPGELHLVLPAECAACGDCPISPNQPPTQSPSQMMEVTSTLEPSSINLEGEREDPKTSPVSPPSIEIAQTNHSLPPSAGQMALSLETPAETPEFDSEMANGKPKNKLPPPQSPLIEAFDKSRLRKVTDRVRPQLAPKEDERDSLLQQIRTKSFNLKPAVATPTRPSIQGPRTNLRVAAMLEKANAIRQALAGSDEDDDADSWSDC >OIV98558 pep chromosome:LupAngTanjil_v1.0:LG13:17326290:17326757:1 gene:TanjilG_12144 transcript:OIV98558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESIMVMEMKNKTIVEMLYKALLGQFGTTMDMVSKLLASDLEWWFHGPPHCQHMMRVLTGQTSLNKGFRFESRRVTAIGDRVISEGWEGQAYWVHVWTLKNGMITQFREYFNTWLVVRDLSPPRWEDDRQHSLPLWQSQPHDLNHRSLPGLVLAI >OIV99084 pep chromosome:LupAngTanjil_v1.0:LG13:5735776:5737638:1 gene:TanjilG_32343 transcript:OIV99084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIQTMGSQAGGGGDSNGKRSQVQPLVQQNSMYNLTLDEVQNQLGDLGKPLSSVNLDELLKNVWAVEANQSVALGIEGTPQVNQVELQHQGNPSLTDALSKKTVDEVWRNIQQSKNNNEKKSQERQPTLGEMTLEDFLVKAGIVAEGSSNEKNTSTIAAVDSNVAIQQFPPQGQWMPYPQPQYQHPQQSLMGIYMPGQGIAQPLHMRVGASVDAVPFADNQVALPPPLMGTMSDTQTPGRKRNTPEDIVEKSVERRQKRMIKNRESAARSRARKQAYTNELENKVSRLEEENERLRKQQQLEKMLPCAPRPEPKCQLRRIASAPF >OIV99580 pep chromosome:LupAngTanjil_v1.0:LG13:894646:895521:-1 gene:TanjilG_17390 transcript:OIV99580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQSQQQQYLIDDMWLSKFGVSFTEHANDVQQLERKRDDYFSELLKYNSKYGSFINSYESIAAPLPPPALPPPLQPPPLPQPPPLPLPPPPPCPVQSHKRTLWSKEEHNLFIHGLRQYGRGKWKSISTNVLPSKTPSQIASHAQKYFLRQTASEKKRKSIHDDNNNNINNNMMMMEPNHNHNHHAIVPIEPQELSHAATMNNLAYTHATTTTNAEALNSLVAEQIDDAHAATTSANRVNSLGQEIEINDDIDWNSIDWNSIDWNVEQEFQFQENWDMIEEEDHNQFMNFNA >OIV98652 pep chromosome:LupAngTanjil_v1.0:LG13:16042404:16048732:-1 gene:TanjilG_12775 transcript:OIV98652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDSGEWDADKMLDFYIHDYLVKNNLHASAQSFMTEANLPVHKPAMKDAPDGFLYEWWSVFWDLSLTKMQKQCSESASAYIEAQIAKAREHRLQMQQLLVMQKDSAQLQQRDSDHSVLGGSLNAMNSKVVMGPPEASVSATEMFEERMKQLNSMGSEASLTPMHTYKMAFPKSATTHYSRLIGDHSRHVSSSPQRIQTPTLWTDDIERELNSGVTPMAMPMEPSVFEQTMLQVNSGLSNAGFYQGNTSVPVMGMPLTNDRKRQHPSCPEAANSTIGWNNVGKSMMMDGTYGTGGVASSSNLMENMEQYEQVGAKGDNIRSLQPDDGGGDDGKDYGTINQTLPKQKEEASKGFTFTEFKCIRMTNSKVTCCDFSSDEKFLASAGHDKKVVLWNMDTLKTESTPEEHKWVISDVRFRPNSTVFVTSCMDNCVRLWDAANPRYCLEQYNVHSSAVMSVDFHPKQTDILCVSDSKNEIQYWNITTSSFINTFKGGNAMVRFQPGVGQVLAAAYDNGVSIFDAETGTYIYSLQGHPEAVSYICWDANGNTLASMSPNLVKIWSLASGECVREYSSTSENQFHSCAFHPRNSTILVIGGNSHLELWNIDKNRTLPILAHKDIISSLVHSSVTGIVASASHDGFVKLWK >OIV99581 pep chromosome:LupAngTanjil_v1.0:LG13:887629:888456:-1 gene:TanjilG_17391 transcript:OIV99581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQSQQQQYLIDDMWLSKFGVSFTEHANDVQQLERKRDDYFSELIKYNSKYGSFIDPYESIAAPLPPPALPPPLQPPPLPQPPPLPLPPPPPRPVQSHKRTLWSKEEHNLFIDGLSQYGRGKWKNISTNVLPSKTPSQIASHAQKYFLRQTASEKKRKSIHDDNNNNLNNNVMMMEPNHNHNHHAIVPIEPQELPHAATMNNLAYTHATTTTNAEALNSLVAQQIDDTHAATTSANPVNSLDQLIEINDDIDWDSIDWNVQQEFQIQEDWDMMDI >OIV99700 pep chromosome:LupAngTanjil_v1.0:LG13:97784:101862:-1 gene:TanjilG_17510 transcript:OIV99700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPTNMAGQFGDTTYTKVFVGGLAWETQKETMNNYFQQFGHILEAVVITDKATGRSKGYGFVTFREADAAMRACVDAAPVIDGRRANCNLASLGVQRSKPSTPKHGGGVRNFSVMGSFQTGFGGGGLGSAFASAATFPPYAIQQGIPYNLFGYSSYLPDYAYPASYYSVYGAGAAAQYPVYGSGGMMSGGGGGGGGSGGGAAFYPYVQYGGGEGTGYSSVGQGGYGAVNYPPHIFQYSPIAAASTAGHSSYAQHCATPISLAPSPAAIQSGVTMALQSPIHLR >OIV99289 pep chromosome:LupAngTanjil_v1.0:LG13:2998656:2999291:-1 gene:TanjilG_17099 transcript:OIV99289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSSSSRPLNCSKAQMSFFKILDTISSVPPSTEENPLIHGDDDRSASFPKKSRGIDIDLNVSIYPTTEFEESESFVVNQENKESFEGKESKVGAVEEKSVGTQVQSFEVENKEIGNVESEAKGEEGGKEKTAYTNANSLDFRVEAAKVESERNEPKSEEEKKETSSELRSARARNSVLPVRYKDSVVGTSTKRKQTPRMVTKNTHTRPRR >OIV99445 pep chromosome:LupAngTanjil_v1.0:LG13:1717095:1724713:1 gene:TanjilG_17255 transcript:OIV99445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVNDADQSNKSHRIRQSGAKKDKKKLKKKKQQDSDDDDQKQLNPKAFAFSSSNKAKRLQSRAVEKEQRRLHVPIIDRSYGEPAPFVIVVQGPPQVGKSLLIKSLVKHYTKQNLPEVRGPITIVSGKQRRLQFVECPNDVNGMIDAAKFADLALLLVDGSYGFEMETFEFLNILQVHGFPKVMGVLTHLDQFKDAKKLRKTKQRLKHRFWTEIYDGAKLFYLSGLINGKYVKREVHNLARFISVMKFQPISWRTSHPYVLVDRLEDATPPEKVHENKKCDRKVILYGYLRGCNLKKGHKVHIAGVGDYGLPSITALPDPCPLPSAAKKKGLRDKEKLFYAPMSGLGDLMYDKDAVYININDHLVQFSKVDDENSAMTSKGKDRDVGEVLVKSLQNTKYSINEKLENSSINLFGQKPKVSSEDLADTQDDIAGKDGEDNNEVDSDGSESSDQDEADAMTKSEASGSDDEDGDASDGKTTSGDHLKEHIEFIDGRRRRGVIFGSGVNQNELTDLEEEDGAASDDVASSDSESSEEAEEDDNSDDDKDEDYDMGNVSKWKESLAERTLSRKTPSLMQLVYGESTVNSTSLDNANDNSEDDENEEDFFKPIEEVKKNTRDGLNDGMTNVDDCSKCAHFVDQRWDEKNNEDIRNRFVTGNLAKAALRNPLPKSNTEEENGDLYGDFEDLETGEKFENIQTDDAFAAKIPKGDDLEAEQPEEDADNENEVKFRRGQTNESSYFDKLKEEIELRKQMNIAELNELDEAARIETEGFRTGTYLRLEIHDVPCEMVEYFDPCHPVLVGGVGLGEENVGYMQARLKRHRWHKKVLKTRDPIIVSVGWRRYQTTPVYAIEDINGRHRMLKYTPEHMHCLAMFWGPLAPPNTGIVAVQNLSNNQATFRITATAVVVEFKHETRIVKKIKLVGYPCKVFKKTALIKDMFTSDLEVARFEGAAIRTVSGIRGQVKKAAKEELGNQPKRKGGQIKEGIARCTFEDKILMSDIVFLRAWTQVEVPQFYNPLTTALQPRHKTWQGMRTVAELRRENNLSIPVNKDSLYKKIERKQRKFNPVVIPKSLQASLPFESKPKDIPKRKRPLLEERRKKGVVMDPRERKVHALVQQYQLIRNDKMKKRKVSEDKKRKALEAERAKEEELSKKRRREERRVKYRAEDKKMKKIRRA >OIV99258 pep chromosome:LupAngTanjil_v1.0:LG13:3307337:3308878:1 gene:TanjilG_06563 transcript:OIV99258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIEEVSNMAMIQKKQGIVTILESSFGDTNIPSEKSSLRRTLSADISSQKWVSNETIKNVPSSEELMQQNSSSSSKTIAEALSSDEVEAERDRLEIWSSIQRNKKEEKEKAGPCAFDMWNSLVSLKDNNEISKSLPATPYIHPLVKRTKSCLSEKSLEICTESLGSETGSDGLVSSYPSSETEEDKEEEHQQHQEVEVEEKEEKVIIEEEPKYNYSGGAATKKYPSSRSFPPPLPSLGPSLHMRTHRDNGRLVLEAVSVPTNNNFCVQRQDGRLVLTFANQEETKDVSDAAADEVSEENYDYGVAMEKLEEVHDEESEEEDEETEEVDGTMSDVKVVESVVIKKAPLLSNEIATNGVHRLAMMMNKPIGLVNKNPEWSEKFNEVEKSEVAKSLPPKPRVARLIPSTNFNAYEYYWKTKPSPTSAQVVSTTLSNSLSYHQKHNNNSTSTLVNNNSTNKVIFSGNIKQMSNDHRQQLMVVREKNGDYKLVHNLDQSCKDSRRTFLFWEPYCIATS >OIV99602 pep chromosome:LupAngTanjil_v1.0:LG13:747506:749731:-1 gene:TanjilG_17412 transcript:OIV99602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPTRTPIHTITTWVKKQPPKVKAFLAVVSAMAALVLLRFIVHDHDNLFVAAEAVHSIGISVLIYKLIKEKTCAGLSLKSQELTAIFLAVRLYCSFVMEYDIHTLLDFATFVTTLWVIYMIRFKLKASYMEEKDNFAIYYVMVAMSYEASQLQYFRCCEMVVIPCAVLALLIHPSTSHLLFNRISWAFCVYLEAVSVLPQLRVMQNTKIVEPFTAHYVFALGVARFLSCAHWVLQVLDTRGHLLVALGYGLWPSMVLISEIVQTFILADFCYYYVKSVFGGQLVLRLPSGVV >OIV99079 pep chromosome:LupAngTanjil_v1.0:LG13:5659808:5663265:-1 gene:TanjilG_32338 transcript:OIV99079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRMNTNEIEDEIPPNNLTESQMNDEGDGGSASGVILKKGPWTSVEDAILVDYVTRHGEGNWNAVQKHSGLSRCGKSCRLRWANHLRPNLKKGAFTAEEEQLVTELHAKMGNKWARMAVHLPGRTDNEIKNYWNTRIKRCQRAGLPLYPPEVCLKAFQESQQSQSTGGFNAGRKVHHNFLHKNSYEIHDSIFDRLKDNQRISPNVPELPNIYDYSNMLKSLDSSQYCSFAPSTSPIHKRLRDSTMPFLGSSDMIRNVFNPFDHVQANFSDKIAQSFGMQSPLDHGPSSHSSICYSHSLSNGNSSTSKPTYEAVKLELPSLQYPDIDLGSWGTSPPPPLLDSVDDFIQSPTPISAMESDSSSPQNSGLLDALLYQAKTMSSSKNHYSDKSSNSSTATPGHRADSSMLNMCETEWEDHTDPVSPFGATSILNECPAVANANSLDETPPDQTFNGNIVKLESADQIGQDSGHVKNQTITTDAISILLGDDLATGYNKHMNAGTSKSCQVWGFGSCP >OIV99122 pep chromosome:LupAngTanjil_v1.0:LG13:4809839:4813931:1 gene:TanjilG_22702 transcript:OIV99122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSPKSSTHYARQSATSRRSTVIIAVIIITIVGIVALLRPIGGSRCLSPHPRSVRVVWEHGRSDGNLGVETNRHKVMGFVGIQTGFGSVGRRKALRKTWFPHDHEGLQRLEESTGLAFRFIIGKTSDKAKMSALQKEIAEYDDFLQLDIEEEYSKLPYKTLAFFKAAYALFDAEYYVKADDDIYLRPDRLSLLLAKERSDPQTYIGCMKKGSVFTDPKFKWYEPLSYLLGQEYFLHAYGPLYVLSADVVSSLVALRNDRQVFFSRTCMHLLGTLASDACFRMFSNEDVTIGAWMLAMNVEHEDNRELCSPECSGTSIAVWDIPKCSGLCNPEKKMLELHKKDTCSKTPTLESDDD >OIV99265 pep chromosome:LupAngTanjil_v1.0:LG13:3218640:3222786:-1 gene:TanjilG_17075 transcript:OIV99265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDTTPPPYAAANPPEKIMHAMKRKLPFSSLKPPFVAGGYYHRFAPDRRRDFAQPDEVIVVKSRKLKRKNEVADFAGDCGDRMNPGSIDAANSPLQTPVSGKMGKGGKSSRPTKCNRFGPQTPGSNIGSPSGNNLTPAGPNRYDSSLGTLTKKFINLIKRAEDGILDLNNAADTLEVQKRRIYDITNVLEGIGLIEKKLKNRIQWKGLDGSRPGEAEECFSSLQAEVENLTMEERRLDEQIREMQERLRELTEDKHNEKYRLLFVTEEDIKNLPCFHNETLIAIKAPQGTTLEVPDPHEAADYPQRRYRIVLRSTMGPIDVYLVSQFEEKFEEVNVDIAPKFPSTLELNNQQSTVAAEDRGKGTEVQGQDGHDPSSDFTTAQDFVSGIMKIVPSDVDNDADYWLLSDADVSITDMWRTESGVEWNELDNTLQEYHATPELAAAAIHPPNASEAPSTSNPTGD >OIV99645 pep chromosome:LupAngTanjil_v1.0:LG13:457609:459766:1 gene:TanjilG_17455 transcript:OIV99645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAADDTNPNPSKDYYKVLEVDYDATDENIKLNYRRLALKWHPDKHKGDSAATTKFQEINEAYTVLSDPAKRLDYDLTGTCEIDKYSLQEYLARFKGMILTCNGLGINHADSWSQHFIENNDYSYFEPEGRSRNYAP >OIV98600 pep chromosome:LupAngTanjil_v1.0:LG13:16817284:16820891:1 gene:TanjilG_23039 transcript:OIV98600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRESEANALLNWKASLDNQSQVSLSSWYGNNPCSNWVGIHCDESKSVSNISLRDMGLRGRIPPTIGNLSNLQELYLYNNTLSSSIPDEVGKLYSLTHMQLSHNNLSGSIPLSIGNLSNLQELYLYNNTLSSSIPDEVGKVYSLIHMQLEHNNLSGSIPLSIGHLPHNICLGGKLTNFSADNNNFTGPIPKSLKNCSSLIRVRLDQNQLTENMTQCTFECPNLDYIDLSDNNLYGNLSPNWGKCYKLTSLKICDNNLSGVIPQELGEATNLRELHLSSNHLIGQIPKELGKLTLLIKLLISSNFLSGNVPIEIASLRDLRNLALAENDFSGFITKQLGSLPNLLELNLSYNKFVGHIPVEFGKFKQLQSLDLSKNFFAGKIPFILGKLKYLETLNLSHNNLSGTIPSDFDDMLSLTNVDISYNQLEGPLPNIPAFQKASINALRNNKGLCGKISGLEPCPPTSSRKYHHHQNTKVIVLVLSISLSILLLMLLVFFISSRLHRSATTNENQVVEPQNQNLFAIWSYDGKMVYENIIDATEDFDNKYLIGVGGCGSVYRAELPYGEVVAVKKLHSIPNEESCVLKAFQSEIKALTKIRHRNIVKLYGFCSHSLHSILVYDLVERGSIDKILQNDAHANEFHWKRRVNVVKGVANALSYMHHDCSPPIIHRDISSKNVLLDLDYEAHVSDFGTAKFLNPDSSNLTSFAGTYGYVAPELAYTMEVNEKCDVYSFGVFALEVIFGKHPGEIISSTMLSSSFGVNNFPVNDNLDQRLPHPMKPTDKEIISILRIATSCLRENPHSRPTMECVVKELLIPNAA >OIV98721 pep chromosome:LupAngTanjil_v1.0:LG13:14751055:14756888:-1 gene:TanjilG_24892 transcript:OIV98721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQCVPSWDVDENNPPPPRLSLRSNSNSTATDVPMLDYEVAELTWENGQPSMHGLGLPRHPGKPSTASAATTTTASRYTWEKPRAGGTLESIVNQATNLPHHGKLLFDGVGGGGGGDGSNVYGNMLAPWLAPHGASAVATASNTVTMDALVPCSKLTEEHGTQVMDSNINPGIGGCMVGSSTHVRPRSGAAITTADQSAILAAATKRARMTRVSAVASRDQSVSGSATFGRDSQHLTLDTCDREFDLGFTSTSMGSPENTSSAKQCTKNTVDDHDSVCHSRPMRDDGDEEEKKKGIGKSSVCTKRSRAAAIHNQSERKRRDKINQRMKTLQKLVPNSSKSDKASMLDEVIEYLKQLQAQLQMMNRINMSSMMLPMAMQQQLQMSMMAGPMGMGMGMGMGMNIPGMDMNTMNRSNIPGMPPLLHPSAFMPMPSWDGGGGDRVQGPPPPVMPDPSMFPFFGCQAQPMNMDAYSRIAAMYQQMHQPPPSGSKN >OIV99522 pep chromosome:LupAngTanjil_v1.0:LG13:1210811:1211131:1 gene:TanjilG_17332 transcript:OIV99522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRKSNKLHQNALVLKQILKRCSSLGKKHGYDDYGLPLDVPKGHFAVYVGENRTRYIVPISFLTHPQFQSLLRQAEEEFGFDHDMGITIPCEEVVFRSLTSSMIR >OIV99088 pep chromosome:LupAngTanjil_v1.0:LG13:5769331:5770407:1 gene:TanjilG_32347 transcript:OIV99088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEYLPQALVEQILLKLPPITLVRLTLVSKSWQCFIQSDYFISLHLQNSPSSLLLCISTNTLSAQWSLTNINPFPSPTITRLPPLFPVALSSLFLFIQSLNGVVCLIQKLFLPQQAIILWNPSIRRHLILQIPPIEDIVALGFGFDSKNNDFKLIKLFNPDLQVEIYSLNEGSWRGIDFSIRQELGLVRFSDTRCFVNGYVHWIAEYSTQEGRKVLLLKFDVEKEKFGKMKLPRKLVMVPFSRMEVTVIEGCLSMLLYDGVPPLQGSNGWCDIWMMREYGVAESWIKIGKVHLPDGGYVFGVSTSSNVFVNWSNWSGIEFSSLHSLDPNTQEVTDIGIPGRAITACEYTGSLVLLNKT >OIV99273 pep chromosome:LupAngTanjil_v1.0:LG13:3153560:3156764:-1 gene:TanjilG_17083 transcript:OIV99273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRLAARFAPRRLFSSGTGKVLGEEEKAAENAYFKKAEQEKLEKLARKGPQSEATTAAGSGGSVADAKPSASGSTNTSAHKVSTDKNRNYGVLAGTITILGALGWYYKGTAKKPEVQD >OIV99047 pep chromosome:LupAngTanjil_v1.0:LG13:5292522:5292905:-1 gene:TanjilG_32306 transcript:OIV99047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKINLILRKCKSLSRQLGRSSSYTSLRSKSTKENFWAGHDMKEDENFETILVGSTRKRYVISTKFLNHPLMNALINKSKQKDSDNENILVVNCEVVLFDHLLWMLENADPKFSSESLEELSEFYVF >OIV99583 pep chromosome:LupAngTanjil_v1.0:LG13:867307:878473:-1 gene:TanjilG_17393 transcript:OIV99583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRLLFPLFSFPPSLSNRRYIATAAMEWKAERVRNTFFKFFEDKSHKFYKSSPVVPYNDPTLLFANAGMNQFKPIFLGTVDPNTELSKLTRACNSQKCIRAGGKHNDLDDVGKDTYHHTFFEMLGNWSFGDYFKKEAISWAWELLTKVYKLPTDRIYATYFGGDASSGLAPDTEARDVWLEFLPPERVLPFGCKDNFWEMGDTGPCGPCTEIHFDRIGNRDAASLVNNDDPTCIEIWNLVFIQFNREADGSLKPLPSKHVDTGMGFERLTSILQNKMSNYDTDVFLPIFDAIRLATGARPYSGKIGEEDEDKVDMAYRVVADHIRTLSFAIADGSRPGNEGREYVLRRILRRAVRYGREVLKAEEGFFNGLVSVVVNLMHGIFPELKDREEHIVKVIKEEEESFGRTLLKSLGATVRLLLSDQEVIDSNPGNNLSDCGAFLLWDTYGFPLDLTQLMAEEAQLLVDVEGFNSAMEAARERSRNAQAKEGGGVIVMDADATSTLHKRGIAPTDDSFKYAWEDHESVVKAIYSGSEFVDSVNTDGDIGIVLESSSFYAEQGGQIFDTGSLKGPHTLFEVHNVQVYGGYVLHIGSNGTGISVGDKVVCQVDYERRKLIAPNHTCTHILNFALRKELGDHVDQKGSIVLPEKLRFDFSHGKPVDAKTLKKIEDIVNDQINAKLDVSAKEASLAEAKRVNGLRAVFGEVYPDPVRIVSIGHKVEDLVADPDNEKWLSISTELCGGTHILNTGEAKAFALLSEEGIAKGIRRITAVTADRAYDAKKAADEFEQQVDDADKLEGSLLEEKVSYLNRTVETLSISAAKKSGIKDKIAKLQAKVRIAQKQLAEANKREAVRITIEKAELAASEQIPFCISHVDVGLDVAAVREAVTKVLGQKGLSVMVFSTDESTNKAVVCAGVPEKGVEGKLDVSEWLSNALGPLKGKCGKGKGGLATGQGTEASQVNEAMNLAASFATMKLS >OIV99318 pep chromosome:LupAngTanjil_v1.0:LG13:2684737:2688350:1 gene:TanjilG_17128 transcript:OIV99318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFLGHILKIQKHSFLCNITRNFYTISSSSLHQTPFTLTTLSQVRLLGTSAEGVCGFDEMVGGKQRKYYMLGGKGGVGKTSCAASLAVRFANHGHPTMVVSTDPAHSLSDSFAQDLTGGRLVPVEGLNSPLYALEINPEKSMEEFSTATQKLGGGGVKNIMQSMGLGMFADQVMQFLESQEYSMFSRIVFDTAPTGHTLRLLSLPDFLDGSIGKVMKLKAKLGSVMKSLFGKEEPPKDTSELDKLKANVAKIRDLFHDPETTEFIIVTIPTVMAISESSRLHSSLKKEGVPVKRLIVNQVLPPTSDCKFCSMKRKDQMRAIETIREDSELGGLRLCQAPLVDMEIRGVPALTFMGNMLWR >OIV98654 pep chromosome:LupAngTanjil_v1.0:LG13:16021694:16022059:1 gene:TanjilG_12777 transcript:OIV98654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANQPKNENQQAASSTNPIHKTEDDQVTSATSVLDLKDSLVQQYLKIKEHAETYPYVWASYIVVYGGFALWTGYRWRKLRRTEASVRNLQERLRKLVEADESAISTKAVEKGSTPSEKPSK >OIV98605 pep chromosome:LupAngTanjil_v1.0:LG13:16869695:16872653:1 gene:TanjilG_23044 transcript:OIV98605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANIGLEAYRFSISWSRLIPDGKGPINPKGLQFYNNLINELIRHGIQPHVTLHHFDLPQTLEDEYGGWVSRRIVYGSITSTLKDFTAYADVCFREFGDRVKHWTTINEPNILAWFGYDFGVLPPQRCSRSPIANCSRGNSTTEPYLATHHMLLAHASAARLYRKTYQNLQHGFIGFNLLTFGLVPKTNSSEDIRATRRVQDFLVGWFMDPFTFGDYPDIMKKNAGSRLPFFTKKESNMVKGSIDFLGINFYYTLYIKNSPSSLKMEERDYNADMAIEFERNDTPTHQIPITTWRLQRILDSLKNNYGNIPIYIHENGQKTSCNSSLDDWSRVKYLHAYIGSMHDALRKGSNVRGYFVWSFLDGLELVGNGYEECFGLYYIDFQDPSLRRKPKLSAEWYSSFLNKRTMNPKFHMEIEKNTHALSHSLLQYNAMEGSFES >OIV99682 pep chromosome:LupAngTanjil_v1.0:LG13:197901:202361:1 gene:TanjilG_17492 transcript:OIV99682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFDNECIVNIQSLAGEYFCPVCRLLVYPNEALQSQCTHLYCKPCLTYVVSTTKACPYDGYLVTEADSKPLMESNKALAETIGKIAVHCLYHRSGCTWQGTLSECTSHCSACAFGNSPVVCNRCGIHIVHRQVQEHAQNCTGVQGQVQPVAVTQDPSASSVIPSTDQNQTAAMATASQSLTSQTVAATTTPGQVSNQLPNSVSKTQPSGQTAVQPTAEQWYQQQQYQQYYQQYPGYDPYQQQYQQYYPYQQPAVPQYQQAYGQPQPQPQAQPQSQPQLQTQPQTQGQSQPLSHAQSPLAPQSQNQMQVHHQQQLQPVAQPHSQIPSLTHPPGPVQALPQSQPYPYPQVQPHAVQPQPQQNMQMPAYQQPHTQMQHSQHQIQQPGQKYPAPQPQVQPQPQPHAPVQHISQSQMHPQQSLTPNVQSQVPNTSSHAVTGHHSYPQFQPNQNMQPGVPQHTMQTHPQSGPQSQAQHLVQMQNQFPQQIPMMRPPHQSSAMFPNQQQSALVPSPVRGQTTAPLQQQLGYTHNQQPEQFNRPVLQPGQQTLPQQPFAQHQILMPSHLRPQGPVHSVPRHSYPHSQGNAALSNSTQHIQSRNANHPGQVQPFAQSANTIPVRPGQGDLIEQQTDSASGKANELNSEKVETDMKPAEVGNKQNGENPHTEKTVGTNANALKNGDSEAAAVENDINDHSVVKVNEIQDGQLKTETKLSESKIDKLRNDDISNPRPPAIDKPAPAVSQIHGSQGPDIDEYRSMQSGVFYHSAPLNDPGKHQPPMNNYGPGAVQQRSHLPHPEVPNQPFSAAGHSSNLLNNHAPAHPPHLGQQGRNFQPQSLGPSGPYDQGNEPPFHASASNLSRIGGPHFGATPPGDMRGGILPPHGPGSFGFHDERFKSFPVPGQLNVDKREFEDDLRKVPRLPLDANLVSLFGNYSSGPHETGKRPVGFHEDATKKSGSALHSGYLGPDPGYGRHQMDSMTPRSPVGEYAEMSSWRLGPLSGGHDDFDGRALNRYGRPVGPAFHDSRFPHMPSHLHGDEFDGFGNFRTGRYPRNDNFMGQDEFAGHFRRGEHLDPHKFPRHLHLEDPIGFGAHPGHMRAVEISGSRSFNSFSKGNRPGHPQLGEPGFRSRFSLPGFPNDAGHLPGDIRSFDNLSRRKAASMGWCRICKVDCETVEGLDLHSQTIEHQKTTMDMVKSIKQNVKRQKIEQSSVEDGNKSRNAVFEGSGNKH >OIV99061 pep chromosome:LupAngTanjil_v1.0:LG13:5455995:5457499:-1 gene:TanjilG_32320 transcript:OIV99061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPIKVAAISGSLRKSSFNSGLIRSAIELSKTSTHGIEIDFIDISNLPIINTDLENQGGVAYPPIVQSFRQKILQADSVLFASPEYNYSIAAPLKNAIDWASRAPNVWAGKAAAIVSAGGGFGGGRSQYHLRQVGVFLDLLFINKPEFFLNAFQPPAKFNSDGDLIDEEAKIRLKEVLLSLQGLTLSLQDKS >OIV99107 pep chromosome:LupAngTanjil_v1.0:LG13:6202197:6204068:-1 gene:TanjilG_32366 transcript:OIV99107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQNESGSSTKTTPSLPRNIYNDPDDGRQRLLLELEFIQCLANPIYIHCFIGYLKYLQYWQRPEYIKFIMYPHSLYFLELLHNANFRNAMAHPNNKVLSIRIGTGHRQQFYFWKNYRNNRLKHLLLRLIPETSATPAPPAPTSTSNQMPLPTLPPAPTINVTVTASSTPAPSLMTYGIPPGSTIPKNEMRNSAVEKRKRK >OIV98857 pep chromosome:LupAngTanjil_v1.0:LG13:10293275:10296608:-1 gene:TanjilG_12025 transcript:OIV98857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPNSPTQVTTPTQWGTKAWHLLSQVRTQSPLIQCITNFVSMDLMANTLLSAGASPAMLHSVEEIHDFTPHADALCINIGTLSPAWLPAMKAAAAVCSDKGKPWVLDPVAVSASGFRMKACLELLELRPTVIRGNGSEIIALSFASSDKPTKGADSIHESTDAVEAAKLLAQKSGAIVAVSGATDIVTDGNQVVGAHNGVALMQKITATGCSVTALVAAFVAVDKSHALDAAVSALAVFGVAGELGMKMAKGPGSLRMHLIDALHGLDEATLLSHVNITSLS >OIV98892 pep chromosome:LupAngTanjil_v1.0:LG13:9004653:9005648:1 gene:TanjilG_06383 transcript:OIV98892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSINILSGAINAKPPLFPTTFLPSTTTFYPSKTLIPKSKPYSPPPITALLQWNRKPQLSGETPRVVVVTSGKGGVGKTTTTANMGLSLARLGFSVVAIDADVGLRNLDLLLGLENRVNYTVVEVLNGDCRLDQALVRDKRWSNFELLCISKPRSKLALGFGGKALIWLVDALKSRAEGSPEFIIIDCPAGIDAGFITAITPANEAVLITTPDITSLRDADRVTGLLECDGIRDIKMMVNRVRTDMIKGEDMMSVLDVQEMLGLPLLGVIPEDTEVIRSTNRGYPLVLNKPPTLAGLAFEQAAWRLVEQDSMQAVVAEEEPKRGFFSFFGG >OIV99119 pep chromosome:LupAngTanjil_v1.0:LG13:4836778:4837728:-1 gene:TanjilG_22699 transcript:OIV99119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSNLPRRIIKETQRLLSEPAPGISASPSEDNMRYFNVMILGPTQSPYEEDELSAAIRLLLSDREAPVRILETAFRLWRFICIRSNGKTKFLLVFYKLLLRTCLVLGIRQQPLKF >OIV99590 pep chromosome:LupAngTanjil_v1.0:LG13:823777:827208:-1 gene:TanjilG_17400 transcript:OIV99590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKKKGGVYIKKQKHQPLQQASVDPITGRKIPKSLVFSRGKLPSSLKQLQMDLRKSMLPHTALNLKEKKRNNLKDFLNVAGPMGVTHFLILSKTPASPYLRVATTPQGPTLTFKINEYSLAADIARSQSHPRCPKDLFKNSALIVLSGFVSGELPLRLTTNMFQNIFPTIDVKTVKLSSCQRIVLLNYNKDTKLIDFRHYSIRLQPVGASRRIIKLVQNRQVPDLKNLQDVSDFVTKAGYGSESEGDEEAATVTLSSDIGRVNRASTKSAVKLQEVGPRMTLQLVKVEKGLCSGEVLFSEYGKPDGKGKSDDEMPDNQDGEDDSEGSEDEDGSEVDAEDGEEELD >OIV99063 pep chromosome:LupAngTanjil_v1.0:LG13:5496083:5496493:1 gene:TanjilG_32322 transcript:OIV99063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARASPLVIILLVLGSAFATYNLVTMIIHYGSSESVAIDDRTLFVDPIIEMPSHVKNRKRSKAPFHVAVTASDAPYSKWQCRIMYYWYTRQKNLPGSEMGGFTRILHSGKPDNLMDEIPTVVVDPLPVGQDQVTLL >OIV99632 pep chromosome:LupAngTanjil_v1.0:LG13:556917:557453:1 gene:TanjilG_17442 transcript:OIV99632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRLQTYAGLSLITTMAITYHAFNTRGQFYPAMVYLATSKISLVLLLNMGLVIMCVLWQLTKKLFLGSLREAEIERLNEQSWREVMEILFAITIFRQDFSVTFLAKVTALLLVKALHWLAQKRLEYIETTPSVTKLSHVRIVSFMGFLLLLDSLFLYSSVKHLIITWQPSVSLFFAFE >OIV99356 pep chromosome:LupAngTanjil_v1.0:LG13:2370366:2372369:-1 gene:TanjilG_17166 transcript:OIV99356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENENRGETEKVKGILIHGGKFVRYNVLANFFYVFAKHTASCARNSETKERVAIKKIGNAFDNRIDAKRTLREIKLLCHMDHDNVIKIKDIMRPPDNNGNFNDVYIVYELMDTDLHQIIQSNQVLSDEHGQYFLYQLLRGLKYIHSANVLHRDLKPSNLLLNANCDLKICDFGLARTTSETDFMTEYVVTRWYRAPELLLNCSEYTAAIDICSHHYLLIKLLGSPDDSDLGFLRSDNAKKYVKQLPHVEKQLFAQRFPDMSPLAIDLAEKMLVFNPSKRMTVEEALNHPYLSSLHEINEEPTCPTPFFFDFEQTTLNEEDIKELIWKESLNFSPDQTLE >OIV98543 pep chromosome:LupAngTanjil_v1.0:LG13:17597923:17599085:1 gene:TanjilG_12129 transcript:OIV98543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQMLNKLTFLAMIIISMVLGLIPLAYGAIPCGQIQLKITPCLGYVRGPGGPAPGQCCNGLRAINNEARTTPDRQDACKCIKNTVLSIPGINLATVAATPAKCGINLPYEINPNINCNTVRRMHEDLRM >OIV98841 pep chromosome:LupAngTanjil_v1.0:LG13:11291888:11295765:-1 gene:TanjilG_08497 transcript:OIV98841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTELQTKPETAPSLATAPAVDPQPPLAEALPLAVVVDEKAVVPTAPVVPVEETKALVVVEKEKIPDPVPVHKKGSLDRDIALAEVEKEKKLSYVKAWEESEKSKAENKAQKQLSAVAAWENSKKANLEAELRKIEEQLEKKKAEYGEKMKNKIALVHKEAEEKRAMVEAKRGEELLKAEELAAKFRATGTTPKKHIGCF >OIV98983 pep chromosome:LupAngTanjil_v1.0:LG13:7143378:7148943:1 gene:TanjilG_29386 transcript:OIV98983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYEPYDSSGTDDDLPPTHQNRIPRGGRLAGNGRSAVGSLPYPPRMYGEIDMETQIHQLEQEAYDSVLRAFKAQADAITWEKESLITELRKELRLSNEEHRELLGRVNADDVIRRIREWRQAGAHQPGMLSTGQALHDSNPTPAVSASRKKQKITPSVPSRSFGGPSPPFPPQTVTAPHQPSPFSGKRGPVPGSKGKKNKPGQIAPGVSSMKQYPSSGPGGRNQVPNRAEGASFHSLIGRRVRTKWPDDNNFYEAVISDYNPADGRHNLVYDMGSTEETWEWVNLSEISPKDIQWVGEDPGINQRGAFGGSGHGMNRPVGRDSVQGAGRGRGAPKGQSRKDFLSSQNGIGKKGPNDIQILHTDTLIKEVERVFSANNLDPLEIEDAKKVLKDHEQALIDAIAILADLSDGESGTSWSYTSLPTL >OIV99090 pep chromosome:LupAngTanjil_v1.0:LG13:5779882:5798134:-1 gene:TanjilG_32349 transcript:OIV99090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKPMHAASFLISRFNSLHYRYVKPLIPTRLFSRYSLEQFSDDDYDCDFETHQASSTVANVDEWKWKLSMLLRSEKDQEIVSRDKKDRRDYEQIANLAKRMGLYSEVFGKVIVASKVPLPNYRPDLDDKRPQREVVVPLSLQRRVEGLLQEYLDRLQLNSEKTTENVDDFKSINEVKNVDMDENADSYVDGSVMEKVLQKKSLRMRNMQRAWQVLFVLFDFSILLLDIAFRESPEGRKMLEFRRSLPSFKEKEGLLQAIARNQVIVISGETGCGKTTQLPQYVLESEIESGRGAFCSIICTQPRRISAMAVSERVSAERGEALGETVGFKVRLEGMKGKNTHLLFCTSGILLRRLLSDRNLNGITHVFVDEIHERGMNEDFLLIVLKDLLPRRRDLRLVLMSATLNAELFSNYFASAPTFHIPGFTYPVRAHFLEDVLEVTGYKLTSFNQVDDYGQEKLWKTQKQLAPRKRKNQITALVEDALSKSSFESYSSKARDSLASWSPDCIGFNLIEAVLCHICRKERPGAVLVFMTGWEDISCLRDQLKSHPLLGDPNRILLLTCHGSMATSEQGFTYPVRAHFLEDVLEVTGYKLTSFNQVDDYGQEKLWKTQKQLAPRKRKNQITALVEDALSKSSFESYSSKARDSLASWSPDCIGFNLIEAVLCHICRKERPGAVLVFMTGWEDISCLRDQLKSHPLLGDPNRILLLTCHGSMATSEQRRGRAGRVQPGESYHLYPKCVYEAFSEYQLPELLRTPLNSLCLQIKSLQVESIGEFLSAALQAPERRAVQNAIDFLKLIGALDEKENLTNLGKLLSMLPVDPKLGKMLIMGAIFRCFDPVLTVVAGLSVRDPFLLPQDKRDLAGTAKSRFSAKDYSDHMALVRAYEGWKDAEREGSAYEYCWRNFLSAQTLQAIHSLRKQFSFILRDAGLVESDASINNKLSHNQSLVRAVICAGLFPGIASVVHRETSMSFKTMDDGQVLLYANSVNARYQTIPYPWLVFGEKVKVNAVFIRDSTGVSDSILILFGGALSNGIQAGHLRMLDGYVDFFMDPNLADCYLKLKEELDKLLQKKLEDPSIDIHKEGKYLMLAVQELVLGDQCEGRFVFGRESRKPRASNDENKFTKDGTNPKSLLQTLLMRAGHSPPKYKTKHLKTNEFRALVEFKGMQFVGKPRRNKQLSERDAAIEALAWLTHTSENNQHEDDKSPPDVTNNMLKLLGKRRKSKRR >OIV99681 pep chromosome:LupAngTanjil_v1.0:LG13:212499:219947:1 gene:TanjilG_17491 transcript:OIV99681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVISRNIWPVCGSLCCFCPALRERSRHPIKRYKKLLADIFPRAQDNDNQPNDRKIAKLCEYASRNPLRVPKITSYLEQRCYRELRSENYQSVKVVICIYRKLLVSCKDQMPLFASSLLGIIQILLDQTRHDEVQILGCQTLFDFVNNQRDGTYMFNLDGFISKLCHLAQEMGDDVKIQHLRAAGLQVLSSMVWFMGEFSHISVEFDSVVSVVLENYGDVKQDPQGGNTTRLTSWRMIVNEKGEVNMPMEDTVNPGVWSRVCIHNMAKLAKEGTTVRRVLESLFRYFDNANLWSPEHGLALSVLLDMQSIIENSGQNTHLLLSILVKHLDHKNVLKNPNMQLDIVGVITNLAQQTRVQQSVAIAGALSDMMRHLRKSIHCSLDDSNLGDEVIQWNQKYRQEVDECLVQLTIKIADAGPVLDTMAVLLENMSNITVMARTLISAAFPEALFHQLLLAMVHADHETRVGAHRIFSVVLVPSSVCPQPSSSIPPLTKVTDIQRMLSRNVSVFSSSAALFEKLERKENSLEENSHPDGKTNDNSILNRLKSNYSRNYSRNTSSRKNTMATGESLDNRNSKINHSPSVMNRMKSSYSRVASVKRPQLPVVGEENVTDSSNKQLALPLRLSSHQITLLLSSIWAQSIYPLNTPENFEAIAHTYSLVLLVARSKHSSRDALIQSFQLAFSLRSISLNEKVKLQPSRRRSLFMLATSMIIFTSKAYNVLSIISIAKMALSEKTVDPFLQLVDDNKLQAVTDTIRQPSKIYGSKEDDEDALKSLSGIRLTESQSKDSFATMIVQSLGKSSNESSILREQLLNDFIPDDACPLGVQLSAETTGNIYQPGLKDDDKLPEMVDIPLFTIDDDILAGSLGSQANPDAPKPSENPSLMSVDDILGSALLVGKQQKLSTFMGTHSMHGYSFRIPAYDYNQGKDTSSNNVQQSLPLSGNPFLDSNVGPPTSLNTLPETTPRLCATAYQHEADFFQLPASRPYDNFLKAAGC >OIV98870 pep chromosome:LupAngTanjil_v1.0:LG13:9703421:9704572:-1 gene:TanjilG_21701 transcript:OIV98870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFYIFFFSLLPLLFQSSHCITILVDGSSEWKNPNVHIGDSIIFKHNKHYSIYILHSQKAFDICNFTHATLLTNPNASKWHPSRPGLFYFSFSNGSLKACQGSQKQAIKVTLAAAAPSPHASAMPSNLSPMAAPSPSSGGEVSSSSLPFSWPFLLHQAASSPGPSPSSPASTSSVTVPTSSAMPLINSNPAVPLPSTGEVDSATTSPLPKSGHQTQVPHLCVSEY >OIV99035 pep chromosome:LupAngTanjil_v1.0:LG13:5143564:5145279:-1 gene:TanjilG_32294 transcript:OIV99035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLLNSMSPATSLSAKTKQKGHLSLLNQVPKFHAFSLNKGFPRILASTQNAISSKDSVFTLPNWRAVNGNNERKSKEMRLNEAFHYLEYMVGKGHKPHVTQATQLLYDLCNSKKSRKAVRVMELMVGSGVMPDAACYTSLVNHLCKRGNVGYGMQLVEMMEEKGFPTTTFTYNSLLKGLCMHGNLNQSLQLLDRFTKKGLVPNAFTYSFLLEAAYKERGVDEAMALLDDIIANGGVPNLVSYNVLLTGLCKEDRTDEAIKLFREMPAKGFDPNVVSYNIILRNLCYEGRWDEANELLAEIYEMNQSPSSVTYNILITCLSLHGRTEDALEVLDEMTKSGFKATATSYNPIIARGCKEGKVDFVIQCLDQMISRHCNPNEGTYNAIAVLCEEGKVQEAFIIVQRLGNKQNSSTMHEFYKVVITSLCRKGNTHPAFQLLYEMTKAGFTPDSFTYSALIRGICREGMLDGAVEVFRVLEENDYKPDIDNYNALILGFCKYGSTQSAMEIVLKMIDKGCMPNEITYTILVEGLASEDEMDMAVDLMNELYSKEVLSQSTVERLSLQYDFKELTM >OIV99095 pep chromosome:LupAngTanjil_v1.0:LG13:5932229:5933308:1 gene:TanjilG_32354 transcript:OIV99095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLTGKITTEFGILSPATKFFHIIAKQIHHVQNITDHVHHGKVHEGDWHTVGSVRDWTYLVDGKVTRAKEKFEVIDEEKKTLVMKIFDGDVSNQYKLFKVTLQLNDTKDNDGAIIKWTIDYEKLNREIAPPYGHLRYLYKITEDIDAHLLKA >OIV99561 pep chromosome:LupAngTanjil_v1.0:LG13:1007319:1007639:1 gene:TanjilG_17371 transcript:OIV99561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPASYYEVLGISMGASCYEIKSAYRKLARTCHPDVVAMNQKENSGNQFMKINSAYSTLSDPDKRAQYDREIYGHRRSPKIVSMSGRYHQTVSKSGRKWETDQCW >OIV98776 pep chromosome:LupAngTanjil_v1.0:LG13:13192158:13196536:1 gene:TanjilG_20500 transcript:OIV98776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRGRSDGSQKKRLVASLCVVAIFLGFLYVYNGSIFGSQNSGSSALEYGSKSLKKLGASYLGAEDDSDGKQDESSSSVVKQGDVEDDIVPKIYPVCDDRHSELIPCLDRHLIYQMRLKLDLSLMEHYERHCPPAERRFNCLIPPPPGYKIPIKWPKSRDEVWKANIPHTHLANEKSDQNWMVVKGEKIVFPGGGTHFHHGADKYIAGIANMLNFSDNNLNNEGNLRTVLDVGCGVASFGAYLLSSDIIAMSLAPNDVHQNQIQFALERGIPAYLGVLGTKRLPYPSRSFELAHCSRCRIDWIQRDGILLLELDRLLRPGGYFAYSSPEAYAQDEEDRRIWREMSALVGRMCWRIAAKRDQTVIWQKPLTNDCYMEREPGTRPPLCQSDDDPDAVWGVNMETCITPYSDHDHRAKGSELAPWPARLTSPPPRLADLGYSNEMFEKDKELWQRRVENYWNLLGSKVSSNTIRNVMDMKAHMGSFAAALRSKDVWVMNIVPRDGPNTLKIVYDRGLIGSTHDWCEAYSTYPRTYDLLHAWTVFSDLEKKDCSAEDLLIEMDRMLRPTGFVIFRDKQNIINLVKKYLTALHWEAVATADSTSDSSDQDGNEVVLVIQKKLWLTTESFRETE >OIV99156 pep chromosome:LupAngTanjil_v1.0:LG13:4486204:4490233:-1 gene:TanjilG_01131 transcript:OIV99156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGICSSPSSSFESSNNGKTWIIHGIVVGVAVTAAVVAHAYLNRFNKIRTRVIGIIPARFASSRFPGKPLVLIHGKPMIQRTWERAKLAATLDHVVVATDDEKIADCCRQFGADVIMTSESCQNGTERCNEALQKLGKKYDVVVNIQGDEPLIEPEIIDGVVKALQADPDAVFSTAVTSLNPEDAHDPNRVKCVVDNRGYAIYFSRGLIPFNKSGKINQQFPYLLHLGIQSYDAKFLKIYPYLQPTPLQLEEDLEQLKVLENGYKMKVVKVDHEAHGVDTPEDVQKIESLMLERNLA >OIV98699 pep chromosome:LupAngTanjil_v1.0:LG13:15097792:15102594:1 gene:TanjilG_24870 transcript:OIV98699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEVVNAGGGGGGGGGGGEIPQNMTIYINNLNEKIKIEELKKSLRAVFSQFGKILEVLAFKTLKHKGQAWVVFEDTSSASNALRQMQGFPFYDKPMRIQYAKTKSDVIAKADGSFVPRERRKRHDDKGKKRKDQHDANLAGLGINPAYAGAYGATPALSQIPYPGGAKSLVPEAPAPPNNILFIQNLPNETTPMMLQMLFLQYPGFKEVRMVETKPGIAFVEYGDEMQSTVAMQALQSFKITPQNPMLITYAKK >OIV98677 pep chromosome:LupAngTanjil_v1.0:LG13:15516464:15517597:1 gene:TanjilG_23969 transcript:OIV98677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAIGSVDELLDFSLDVGEVDDDDDKNRKAFPKLDLKCSDPPSLSPLDLGDPSPPFSEFAEEELEWLSNKDAFPEVETFVDLPSIQPNLSKHETGSMLEYSTSSSNSNNSPNSISLLSGYDNLNVPVRPRSKSRSRSRHLASNSGISSQQSWWRQPINESAKLEVITMSTIGRKCQHCGAEKTPQWRAGPLGPKTLCNACGVRYKSGRLVPEYRPASSPSFRSDLHSNSHRKVMEMRKQKQIGMT >OIV98774 pep chromosome:LupAngTanjil_v1.0:LG13:13147981:13149219:1 gene:TanjilG_20498 transcript:OIV98774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRSSSSSTNTIGLCLLPSELIQSIFLSLVLPEILPLKLVNKFFSSIISDQTFIRQCNTLSSSTTWLFVYKKLWLRDAVLHAFTNRSSDRWFRIPISELLKPVQFHSEDLYFLAASGNVLLFASNMIGEVIVVNLVTVTVKKIPPCPLGPRGTSSWRRSGMKLVIDLTRSGQFNFMFAEFVGNQPILFVYSSKTDMWKSMKVREISANELLPRGGGHVFLNLVNEPRESVLIVYTPDCDTPVVIRPRFIDPNDQGLTIGFNWGNAIDRLHVYGDGYMMIVKSKGGENNIIIGNMRVLEGVELWGLSLDGRNWEFVSNVPNEVMKMIEKPYVAMMGCLEENNGIIRGALVSNNKGFWNMTWLSFDTKLNKWTLISLPDCKMKGWNMAGISFSSGLSLHDPTHSNNEKISHLKT >OIV98547 pep chromosome:LupAngTanjil_v1.0:LG13:17546068:17547315:-1 gene:TanjilG_12133 transcript:OIV98547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKNSTILFGKYKIHKLLGVGASAKVYHATNIDTGKSVAVKVVNKNKAMKSGFKTNIEREISIMHKLDHHPNIVKIFEVLATKSKIYIVMEFVSGGELFQEVSTEGHFSEDLSRKYFQQLISAVKFCHSNGVFHRDLKLDNLLIDENKDLKVTDFGLSAVKDSTQLNNDGLLKTICGTPAYVAPEILSKKGYDGAKVDVWSCGVVLFALSVGYLPFNDYNITVLYRKIYRGQFRLPKGLLSHGLRNIISRMLDTNPQTRITIDEILQDPWFKVGEYKPKPDQVMFTKHDEWEKITNKCLNAFDLISFSSGLDMSSLVANSNVMDQMERMILRETCEKIMEKVKEVAKRRRVTITKNKNDFVAKLDGQDGNFVVYVVCYQLTDELLVVEIKRKEKQKGSSLQFWKDLRSQILEFTS >OIV99013 pep chromosome:LupAngTanjil_v1.0:LG13:4897862:4902925:1 gene:TanjilG_32272 transcript:OIV99013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKRSYKTELGCIACDELGELGAGKPGWLVDNPNLLSAIDTHSLALANRSNILILSWSDPDSSRVKIRPELSVIQAEHISAIEWLVFDDVRLIVAGTSCGFLFFYSLRGDLIHRQMIYPGRVLKLRVRGVKKDLIQDTSSEEFCVIMPGVIARFDGSDIQNMLQKWFQEAHAQFWGQKPKSEDFENSYGKLPHQLWNIGKYGTCADAALTGIMPPPLLEHQSSQHYYCAVAVGEDAVISAYRLSEDKGRSLVGAILSKVVPATVSTIASFSKMIWRSEQTSPKKSEQKPQSFARASPLTCLKDHPRKGEKLTLSPSGTLAAITDSLGRILLLDTQALVVVRLWKGYRDASCLFMEILVNKDTASTSSTNCELVKSDYCLCLAIHAPRKGIIEIWQMRTGPRLRTIPCRKGSKMLQPSYRFGASMSSPYVPLEVFLLNGDSGVISVLNRNMDS >OIV98662 pep chromosome:LupAngTanjil_v1.0:LG13:15886779:15890689:1 gene:TanjilG_12785 transcript:OIV98662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCYEGCWKHEREALLVLNSQFDKPSNWVDNTDCCKWEGVHCNTITWKVKKLDLSYVMDNGRPGWYLNYSDFTVFEDLKSLILLGNSIAGCVQPEGLENLRELEVLDLGGNNLNGNTLRKSLQAFSSIRELYLTVVQFKGTIVAEDFSDMANLELLVMDLSSNIENEFFKSIGLLTSLQVLSLYACGINGSLPEADWHKLKKLRNLDLSMNEIEGALPSTFCNMTSLKKLLLSNNKFIGNLGFNIASLTSLEYLDYGINQFEVPISFTPFANHSNIKFIYGEGNKIILDSQNIPQTWVPSFQLQELILSSTTNATSLPLPNFLLYQYNLTSLDFTNGKLEGEFPNWLLENNTNIVNLILKDCSFKGTFNLPSHPLLSIKRIDVSSNAIIGQIPSKNISSIFPNLHYLNMSYNDLHGSIPHEFGQMSFLDTLDLSNNLLFGEIPKNMSNAMSLSLLRLSNNNIGGTMFPMPSNLTMLFLDGNSFFGSLPSSSFNSSMLKELDLSNNHFVGKLPSGWNKSLTCLEALYMSNNHFEGSIPLEIANIECLEHLDLSQNKLNGFVPSFQSYSMIAIHLGNNMLHGLPKRIFIGSTSIQMLDLSYNHITSGIQDMIQDLNNTGVNILLLKSNNFIGKIPKELCQLTSITILDLSHNKFSGPIPSCLGKMPFENAYPEGLQILLGGGEYLRFVNEKANFTTKKSSYTYTGSILSYMSGIDLSFNKLNGNIPWEIGNLTKIRAMNLSYNDLSGKIPDTFSNLVHIESLDLSFNNLSGSIPSQLNELSSLEVFSVAQNNLSGAIPELKGQFLTFDKSSYEGNPLLCGTPLPKSCNASIVFVQDGSYTDLDNDSLVDMYVFWVSFFVSCVCVLLVLVIVLCINPHWARVWFYYIELVRTNCYYFIEDNLCKF >OIV99502 pep chromosome:LupAngTanjil_v1.0:LG13:1347614:1348955:-1 gene:TanjilG_17312 transcript:OIV99502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDADVSKQIQQMIRFIRQEAEEKANEISVAAEEEFNIEKLQLLEAEKRKIRQEYERKAKQVDVRKKIEYSMQLNAARLKVLQAQDEMVNSMKDDAKKALLRVSNDKKAYKKLVKDIIVQGLIRMKEPSVILRCREGDRKLIESVLEEAKKEYSEKANVQSPTITLDTRVFLPSPPKNNSVDSNEPFCSGGVVLASEDGKIVLENSLDARLDVIFRQKLPEACSLSYTHSIYFNHNCNTYKF >OIV98728 pep chromosome:LupAngTanjil_v1.0:LG13:14587054:14591747:1 gene:TanjilG_24899 transcript:OIV98728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSNSQQQQQHHHHEYDPSQMQAYDPSSQQYYHHHHHDYNNNNNNNNQQQNQYYQDQILVHPPGVAIAPEPDHSAGSSQTQQLNPVQGASDAGLLNPGVVVGNLTQLSQYAGNVDGVLPVMYEQIGPSQYRGRGHRGGRRGGRGHFNHRGRAPYRGGRGRVVGDGRHFPSNVAEAPAAEGTSVVQPESLISGQVSLPDPTQAPTAKLQLPPAKLWCEICKAECNSPEMLQQHINGKRHKKNFLVHEELQRRKAVNGQLSGQISTSELNLTIQPESVQESKTDNPKDETELQNIVGGTSEVLAEAPVGEPDNNSAGRGRGGLKNKRRGRGSKYMRSNDGSRIAVEPKQAISFICELCNVKCESQVVYNSHMIGKKHMSNFKRVHGHQAVNLEAAAQHNPPNANDLSNSNNSLVHQGVSDPQLLLAQLLMTVQSVLSQVQVPAVALPSGPVAGQIQTVAGSSHDHLQNLSQTQVSGSTAHVDSENLNGVGETKIQPLSAPPELNATIAGLSNDTQTTDGRSETEKKVL >OIV99141 pep chromosome:LupAngTanjil_v1.0:LG13:4326219:4328922:-1 gene:TanjilG_01116 transcript:OIV99141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGGAMRTAAKLAGIGVGRSKILGSSAAQSIDQSVWNAQRTATTVLSSQGVKPAEVVPLQTAALWDLDDWELTDGEPMPRVVFDAVPTFQEAKEATTELKDAIDKIYLSSDSSQFEGSSPGSQVSVPSPTDSEPETNSCVIEAISHPSVPKHALQAFQLLSANTGAQTVVASIACDPNVWNAVMQNAAVSNFLQSHQKDAGFQAAETAEEVEELANATVIAGSEEAEEETHSGNGVFNLRGFLQNIKLTVTEMVFKVSDYLQNIFPTAEKEKSSADESSKEGFMDNKTMGGTLMGLAMLVIMVVLVKRA >OIV98792 pep chromosome:LupAngTanjil_v1.0:LG13:11750583:11751877:-1 gene:TanjilG_25038 transcript:OIV98792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPARIYQKAQKSVTHGRRPITANPTFKASSQLAAAQRRWASQATTTEEDNKISIGPCSGGQSEDDDEKESGVAYHGPISSTIKKVKLLSLSTCCLSVSLGPVITFMTSPDMNVILKGAVASSVIFFSASTTYILHWFVSPYIHKLRWQPGSDSFEVEMLSWLATSTPKTLKFADIRPPETNRPFVTFKANGNFYFVDAEHCHNKALLARLTPQKGSHESAFKNL >OIV98759 pep chromosome:LupAngTanjil_v1.0:LG13:13865586:13867805:1 gene:TanjilG_25025 transcript:OIV98759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLCSTLSFVSPTCVTLPKPTSTSTSSTCFSSPTLPNLHGIFTKSNNSTRFFLNAGFNEYEPDLNEDPVDQFRTNGVAPEDFLYGKYDGHHTFNEGEQEKRPFWETFIEELTAGDPPTGFQGIISWLFPPAIAAGVFFHVPGEYLYIGAGIFTIIFCIIEMDKPDKPHHFEPQIYNMERGARDKLINEYNTMDIWDFNEKYGDLWDFTIKKDDIMSR >OIV99676 pep chromosome:LupAngTanjil_v1.0:LG13:239244:242219:-1 gene:TanjilG_17486 transcript:OIV99676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDPNIGVSETRIQARQPSSLLEFAKEPISEEPGLFDPIDISPAVIPKYTYPIEPLPPMYPIFPTRYEPILSGKCPVNFSNSELSIILDKTAADCSAPLAPLVGNVICCPQFSSLIHIFQGFFSNKTDQLVLPDTVADHCFSDIMSVLAGRAANSTLPTLCSIKSSNFTGGSCPVKDVSTFEKTVNTSKLLEACSTIDQLKECCRPICQPAIMDAALQISGRQMMFNDNENVAREMNHTDYLNDCKGVVYSYISKKLSFEAADTAFRILSACKVNKVCPLTFKEPSEVISACQNLAAPSPSCCNSLHTYIAWLQKQMLITNKQAIICATLFGSMLRKGGVLTNVYELCDVDLNDFSLPGCLLRSLPANVISDNSSGISFTCDLSDNVVAPWPSSSSITSVSLCAPGMCLEPWTKLL >OIV98438 pep chromosome:LupAngTanjil_v1.0:LG14:2354604:2359777:-1 gene:TanjilG_16765 transcript:OIV98438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAAGSKLEKALGDQFPEGERYFGFENFGNTCYCNSVLQISSQKKKTGVIAPKRFVQRLRKQNELFRSYMHQDAHEFLNFLLNELVDILEKEDQAAKNDEETSPPSEKVANGPKNCLANGAKKEPLVTWVHKNFQGILTNETRCLQCETVTARDETFFDLSLDIEQNSSITSCLKNFSSTETLNAEDKFFCDKCCSLQEAQKRMKIKKPPHILVIHLKRFKYIEQLGRYKKLSYRVVFPLELKLSDTVEEADIEYSLFAVVVHVGSGPNHGHYVSLVKSHNHWLFFDDENVEMIDESAIQTFFGSSQEYSSNTDHGYILFYESISNKN >OIV97947 pep chromosome:LupAngTanjil_v1.0:LG14:12795593:12799138:-1 gene:TanjilG_12704 transcript:OIV97947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVKLSICFLIYALSLSQIFADESAESKEFVLTLDHSNFSDIVSKHDFIVVEFYAPWCGHCRKLAPEYEKAASVLSSHDPPIVLAKVDANEANNKDLAAQYGVQGFPTIKILRNGGKDAQVYKGPREADGIVDYLKKQSGPPSAEIKSADDATAFIGENNVAIVGIFPKFSGEEFENFIAVAKKLRAEYDFAHTLDAKLLPRGESSVSGPTVRLFKPFDELIVNTQDFNVDGLKKFVVEASIPVLTVLNSDPKNAPYLEKFFTSSRTKAMFFIDFNAEGAESLESKYREGAELYKKDGISFLIGDPEAGKSVLEYFGAKKDQLPLILILQDDDKKFVKSNLKAEDISTFLKDYKDGKVAPHVKSEPIPESNNEPVKIVVRDNLQDIVINSGKNVLLEFYAPWCSHCKNLAPILDEVAISYESDADVVIAKLDATANDVPTETFDVQGFPTLYFRSSSGKVSPYDGDRTKEAIIEFIEKNRDKPAQQEQAKEKAEEKPAQKEQEKDEL >OIV97693 pep chromosome:LupAngTanjil_v1.0:LG14:15242537:15243541:-1 gene:TanjilG_12450 transcript:OIV97693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNKKKEKSVAERNDGEDMVHLIHENTLFFDKLIELIPAKFYLSTDDKENPWFQGLSKAAKAAKKKETKENIKKSRRERMDPDKTNTTTLDLLKQSLDNEKVNASDDRSVTYEELRQRLHRKLDEFHAGRVCANPEEKAKKRDDRDARRGYLDKKRKRDVGIEESTPAPDESAVKVNKDASEASKELVFGHVKLSNDEIQGKKRKVSKHKELERAKKLEEEKKKDPEKGEAVAKKESWKAAISRASGIKVHDDPKLIQKSIQKGKKRQQKNAEKWKDRIQTRDQLKAEKQQKRSKNLADRSHDKKMRKIAKREKKLLRPGFEGRKDGFINGGSG >OIV97562 pep chromosome:LupAngTanjil_v1.0:LG14:16082944:16084668:1 gene:TanjilG_12319 transcript:OIV97562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRRPRTTFLVSFITIIILFAIFSLHLYGYALSRFRYSHSDIDTRNNNLFLNEHTQLKRRVSSINFKHSISTVSVLFPDWEVLLIVKPNTPLDYGYNYLCIFRNSALSPARFSGVLPFTNLTTFKCDLPESLHRRSRFYQPALVTVTSENEVYDPPPLPELLRWNLLVYESFSTVDDVVVFAKGVNHRKGYDRSPSELRCVFVFGEGRTVTTAVTSSVQEVFRCPHPYRKFLFQEIEKIGISLEIIGKNFVVPSVAYYIPRPRPNTVTVLETQAHSKYFLCACTMVYNVGKFLREWVMYHSKVGVENFILYDNNSDDDFQSVVNELRENGYNITTLLWIWPKTQEAGFSHSILHSKSKGLCSWIMYVDVDEFVYSPSWSDNEGKYKIKNEFPSLKPMLLYRQQSNVSHDIGQLSVRCKEFGPSGQRKNPVKGVIQGYTCRKRLDQRHKSIVLINAVHRGLRNVIHHFELNEKEGFRSEQISMEEVVVNHYKYQAWDEFKSKFRRRVSAYVVDWRQNVNLNSKDRTPGLGFQPIEPKDWMHKFCEVRDDRLKSVTRAWFGISLGHNETRMAWQSN >OIV98208 pep chromosome:LupAngTanjil_v1.0:LG14:6232976:6251060:-1 gene:TanjilG_28721 transcript:OIV98208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLVEEDHKKVLGIIKEESEGNNNNNYRTLVKEKEEEEEEGHSGQGDREMAPPAGNSSIHRSSSRPQLDVSKAEIQGNLEEKNPTILLPNQSDDLSHLALDIGGSLIKLVYFSRHEDQSSDDKRKRSVKDRLGISNGNRRSYPILGGRLHFVKFETRKINECLDFISSKQLHCGGLESHYTGADRNAIIKATGGGAYKYADLFKERLGVSLDKEDEMDCLVAGANFLLKAIRHEAFTHMEGQKEFVQIDPNDLFPYLLVNIGSGVSMIKVDGEGKFERVSGTNVGGGTYWGLGRLLTKCKSFDELLELSQKGDNSNIDMLVGDIYGGLDYSKIGLSASTIASSFGKTISEKKELTDYRPEDISLSLLRMISYNIGQIAYLNALRFGLKRIFFGGFFIRGHAYTMDTISFAVHFWSKGEAQAMFLRHEGFLGALGAFMSYEKHGLDDLMVHHLVERFPMGAPYTGGKIHGPPLGDLNEKVWRCKEGLGLSGENKTELESDRVERGGFNKRDRWQKISWMEKFLQKGTEITAPVPMTPAAGTTGLGGFEVPLSKGSTLRPDASALNVGVLHLVPTLEVFPLLADIKTYEPNTIDLADPSELEYWLKVLSDHLPDLVDKAVASEGGTDDAKKRGDAFAHAFSAHLARLMGEPTAYGKLGLANLLEMREECLREFQFGDAYKSIKQRENEASLAVLPDLLVELDGMDEETRLLTLIEGVLAANIFDWGSRACVELYHKGTIIEIYRMSRNKMQRPWRVDDFDGFKERMLGDKKVPPHRRALLFVDNSGADVVLGMLPLARELLRRGTEVVLVANSLPALNDVTAMELPDIVAEAAKHCDILRRAAEAGGLLVDAMINTSDSSKENSSSVPLMVVENGCGSPCIDLRQVSSELAAAAKDADLVILEGMGRALHTNLNAQFKCDALKLAMVKNQRLAEKLVKGNIYDCICKYEPAS >OIV97772 pep chromosome:LupAngTanjil_v1.0:LG14:14629148:14630410:-1 gene:TanjilG_12529 transcript:OIV97772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPQQSSRIDLAELRAQIVKKLGADKSKLYFYHLNSFLSQKLSKTEFDKLCFRILGRENLPLHNHFIKAILKNVIQAKTPPPIHPGPPKSGAQATDISPGREDGREQSVGTFQNQSQNQPIWSNGVLPVSPGKVRSGIRDPKLRDRPSPLGPNEKVHSVSHQSLGTEDSSSKVDMENGVLTPCDYQRPVQHLQAVAELPENEKGDAVQRPAKKPRIYGKGPAEMSIVENGEEVDQLSRLSFPRSPLVAPLGIPYCSASVGGARKAPSVGSTGDFDSCSNSGRLSDTDTLHRRMEQIATVQGLGGVSMECANVLNNMLDVYLKRLIKSCVELVGARSTTEPGKPPAHKSQIQNKVINGIWLSNNHLHLQSAGRLAEPVPEHKPPCSVSLHDFKVAMELNPQKLGEDWPLLLEKISMQSFEQ >OIV97979 pep chromosome:LupAngTanjil_v1.0:LG14:11760197:11765374:-1 gene:TanjilG_14079 transcript:OIV97979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSISSHRIATIPTLLISMFATFASIYVAGRLWQDAQNRVYLIKQLDRITGQGQSAISVDDTLKIIACREQHKKLAALEMELAAARQEGFSSNRLIESETNGTYSKTRPLVVIGILTGFGGRKNRDAIRKAWMGSGAALQKMEDGKGIIARFVIGRSANRGDNLDKDIDHENRLTNDFLILDDHVETEEGLSKKAKLFFAHAADKWDAEFYAKVNDDVYINIDALGATLATHLDKPRLYMGCMKSGEVFSEPNQKWYEPEWWKFGDKQSYFRHASGEMYAISRALAKFISINRSMLRAYAHDDVSAGSWFIGLDVKHVDDAKFCCSSWSTGAICAGV >OIV97967 pep chromosome:LupAngTanjil_v1.0:LG14:11511537:11513898:1 gene:TanjilG_14067 transcript:OIV97967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSTSNVQSKKQHQRMNPFQKRQFTTIIGNQAKGAMSEQICKKKKITKKCPSMSLDNFLNNNQEYEYEHEGEDELEGEDEQEEGEDREENDEIYEVGEDINHQNEQVDESNNSTEQDIPEPHFKELIRYWSLGNIQEMSEQNSKNKAQQKWRHRTGLVNFGVIRERLRATKENKVMPNQAEMFCETRQSKKGEPLDQETTNAMQNHLEDNLPYTV >OIV98112 pep chromosome:LupAngTanjil_v1.0:LG14:9426485:9440014:1 gene:TanjilG_25977 transcript:OIV98112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDGSSNRTNSTTNKPEWLQHYDLIGKIGEGTYGLVFLARIKSQTNRGKSIAIKKFKQSKDGDGVSPTAIREIMLLREITHENVVKLVNVHINHVDMSLYLAFDYAEHDLYEIIRHHRDKVNHSINPYTVKSLLWQLLNGLNYLHRFVSALVSLMNVLYQVMGDGEEHGIVKIADFGLARIYQAPLKPLSENGVVVTIWYRAPELLLGAKHYTSAVDMWAVGCIFAELLTLKPLFQGAEVKGTPNPFQLDQLDKIFKVLGHPTLEKWPSLANLLHWQQDVQHIQGHKYDNTSLCNVVHLSPKSPAYDLLSKMLDYDPRKRITATQALEHEYFRMEPQPGRNALVPCQPGETFVNYPTRPVDTTTDFEGTANLQPPQSVSSGAGSIPGGHLSNRSVPRQMNVVGMQRMPQQAMQAYNLASQAGMGPGMNPGGIPMQRGVPQAHQQQQLRRKEQMGMPGYPPQQRSRHCSGRDNMKLDSKFNTTELELIEDNSRPRNSDGLPSCFPTSVLLNSSGRFDTFGTEMWILKYELCC >OIV98048 pep chromosome:LupAngTanjil_v1.0:LG14:10110719:10121057:-1 gene:TanjilG_09541 transcript:OIV98048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDSPCGGGFSAGLALILDGEDSNKNFSKARLLSSCDDMGQQSVERTLEYVFGLPNRSLNPLTGPIDRNLICTVIRNDFSRYNVKLSDSFIERDGIGVGKNGRGSDVVGLDECSICGDIKIIKSPFFIESLAMFSSARANACVWQGKWMYEVMLETSGIQQLGWATLSCPFTDHKGVGDADDSYAYDGRRVSKWNKDAETYGQSWVAGDVIGCCIDLDRDEILFYRNGNSLGVAFRGIQKMGPGFGYYPAISLSQGERCDLNFGARPFKYPIEGYLPLQAPPSKSYFVTRLLQCWSRLLDMHSVGRAEHSLVQKLRRVRRFDSLEEIFHPASYAICEELFSILEADIGNIEYMVWGPILSFMFEVFELHAPHDYSSLDKVVGALLQFQGSHMLLENIINALSCGCKTASLVLSECPYSGSYSHLSLACHLLRREELMVLWWKAPDFEFLFEGFLSQKTPNKQDLDSMVPTVWWPGSFEDASCEGNMILTTTALSESISKIEEKHRALCRLVIQFIPPTTPPQLPGAVFRTFLQSLLLKNRGAERNVPPPGVSSNSVLVSIYSVVLHFLSEGFASDDICGWLNSGKADVGFLHRGGQQGFPVQLFLKNDPHRTDNSRLGGSYTHLLKLHPAIDHEMQVVLWDEGCMDSEETRVTHSTRQKPCCCSTYDSDFTRNLKIPAKFMAKGSKGHFSSFPERPAHVASECSDENLNDEMTDNKPSSSDQSEPEYGYRHMHHMKSIPKDTNIITAMLQEEELLDALLWLYHVGLAPNFKQASYYMTHQAQSISLLEETDKQIREGDCSEKLKHLKETRSERREEVIDCVRHCAWYRISLFSRWKQRGMYAMCIWVVQLLLVLSNMDSVFVFIPEYYLEALVDCFHVLRKSDPPFVSSTILIKRGLSSFVTFVVTHFNDPRISRADLRDLLLQSISVLVQYREYLEAFESNEAATQRMPKALLSAFDNRSWITVTNIFLRLCKGSGFSLSKNGESSSSSVFHWLLKEACINDKGLFSSFLNRLFNTLSWTMTEFSVYVREMQEKYQVMEFQQRKCCVIFDLSCNLARILEFCTHEIPQAFLSGHDTNLRRLTELIVFILNHISSAASAEFIDLSLRRHSQSSEKVNRGMILAPLTGIILNLLDATHLEEYREKNDLLDVFVSMDCPDAVHYGFQNLLDYICDGSFHGQALAAKYEQLENFHSLLTIRATIQHNKVDGAADTIPDDSLCCICYTCEADAQIAPCSHKSCYGCITRHLLNCQRCFFCNATVTDVSRIVEKTG >OIV98444 pep chromosome:LupAngTanjil_v1.0:LG14:2392103:2398855:-1 gene:TanjilG_16771 transcript:OIV98444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTLEIEARDVIKIVLQFCKENSLHQTFQTLQNECQVSLNTVDSNETFVADINSGRWDAILPQVAQLKLPRNNLEDLYEQIVLEMIELRELDTARSILRQTQVMGVMKQEQPERYLRLEHLLVRTYFDPNEAYQDSTKEKRRALIAQAIAAQVTVVPPSRLMALIGQALKWQQHQGLLPPGTQFDLFRGTAAMKQDVDDMYPTTLSHTIKFGTKSHAECACFSPDGQFLVSCSVDGFIEVWDYISGKLKKDLQYQADEVFMMHDDAVLCVDFSRDSEMLASGSQDGKIKVWRIRTGQCLRRLERAHSQGVTSLSFSRDGSQLLSTSFDSTARIHGLKSGKMLKEFRGHTSYVNDAIFTNDGSRVITASSDCTIKVWDVKTTDCIQTFKPPPPLRGGDASVNSVHIFPKNTDHIVVSNKTSSIYIMTLQGQVVKSFSSGKREGGDFVAACVSPKGEWIYCVGEDRNLYCFSHQSGKLEHLMKVHEKEVIGVTHHPHRNLVATYGEDCTMKLWKP >OIV97932 pep chromosome:LupAngTanjil_v1.0:LG14:13009356:13010432:1 gene:TanjilG_12689 transcript:OIV97932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPEDIIIEILSWAPTMWLHHHARYVCKTWAAIIDTFHFAQLQHDRACYSKPPGLYLSNSMLPNTSYFMDSVNGQFVRNDLVTPLTKGTYLISTCDGIMFCNCNYLREYFVVNPILNCSFTIPPLPSLNGISGFLEFTLIRVPHTAKFKIFFAHSNFLYGLTIGIGNSWKEIVGGKIEPRMRMWKPVYSGSNNLYWPTMEAVIVIDIYKEIIVGEYPLPYNYALNYPSPKYLWMGNCLSSIVGTNHDTSFKIYVLDIDSRRWSLHREIGTFDYVAAYGHELTITTMSFCFWMEDQIVFKIDVDEARTTPIDPSTTIFFGYNINTRQLTKIEAIADAGEGFWIAWRHTNSLISLPSNHA >OIV98279 pep chromosome:LupAngTanjil_v1.0:LG14:3570047:3575516:-1 gene:TanjilG_09913 transcript:OIV98279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVACGAECVFVLGCARWLWKRCTYIGSYDSATWPPATSDEFEPVPRLCRLILAIYEPDLHNTTNYTPSAGYDLNPDNILKRVSYTQTDGHAPPYLIYVDHVHREIVLAVRGLNLAKESDYKLLLDNKLGQQMFDGGYVHHGLLKSAVWLLNRESETLKRLWLENGEEYGMVFVGHSLGSGVVSLLTILVVNHRERLGGIPKEKIKCYAIAPARCMSLNLAVKYANVIHSIVLQDDFLPRTPTPLEDIFKSIFCLPCLLFLVCLRDTFISESRKLRDPRRLYAPGRMYHIVERKFCRCGRFPPEVRTAIPVDGRFEHIVLSCNTTSDHAIIWIEREAEKALQTMKDRSSETVTVPPTVQKFERLQTIQKEHKDALERAVSLNVPHAVDSEDKEPSENNEAENNEPEESNNGGKDITSSQSKSSGGKSNWDEVVEKLLNKHKQEDLDLEKDTDITQ >OIV97810 pep chromosome:LupAngTanjil_v1.0:LG14:14314887:14315387:1 gene:TanjilG_12567 transcript:OIV97810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANPNNEKQTISYSTTNNENNIEIPSRPKPSTDNDPQRTISDITFELNNKEEVEELEALKSPSMSRVESGKCECCGMCEECTEEYIKGMREMFLGRLVCGICAAAVSREMEKNGGKKNEALEKHVNCCVKFNKFGRSYPALYQAEAVKEILKKSSKKKGKHLNVF >OIV98103 pep chromosome:LupAngTanjil_v1.0:LG14:9621167:9624115:1 gene:TanjilG_25968 transcript:OIV98103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKSKPSSTELATKMNKNSPQEWPEPIVRVQSLSKICKDSIPERYIKPLSDRPSIITSSDDDANNLPIIDLGGLYGGDPDTRASTLRQISKACHDWGFFQVVNHGVSHDLMDLARETWRQFFHLPMEVKQKYSNSPKTYEGYGSRLGVEKGANLDWSDYYYLHYLPLSMKDCNKWPHVAPSCREVFDEYGKELVKLCGKLIKVMSINLGLEEEYLENAFGGEDIGACLRVCFYPKCPQPELTLGLSSHSDPGGITLLLSDEHVYGLQVRKSNNWITVKPAPHAFIVNIGDQIQVLSNAIYKSVEHRVIVNSDKERVSLAFFYNPKSDIPIEPAKELVKPNRPALYTAMTFDQYRLFIRLRGPCGKSQVESLKSPR >OIV97913 pep chromosome:LupAngTanjil_v1.0:LG14:13274396:13276286:1 gene:TanjilG_12670 transcript:OIV97913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTTNDETEDTQNDVGGTNDVEDKKQIPSLTALIEAYKEAILNGDEKAISSIEVRIQSTENKRSELIQKVSTLSAEKVASKEKLLRLQADFDNFRKRFEKERLNIQSNAQEKIIEKLLLMVDNFERSKQQIKAATEKEKKIDVSYQGIYKQFVEILRSNHVSVVPTVGKPFNPLLHEAIGREESQDFKEGIIIKESRRGFLLRDRVLRPALVKVSSGPGNKRSIVASDKSEEQPSTAVGIDER >OIV97887 pep chromosome:LupAngTanjil_v1.0:LG14:13535159:13539324:-1 gene:TanjilG_12644 transcript:OIV97887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESTTDHHFPTFNLHSLLDLRHLTPSDISSLSISLPSQRSREEYIAPVINRSVFNESAGSRKQTYSRLRLIPRDKQPASRPATAVRAPRPISEAVEQENSKIISLLQDLFGVEHIPKSNVEESNGGGSSLVPVPVEFQHSLPESAAVPLLNVPIDVGDSSQRKRKRGRPRKNENLAIVIAEEEEPKPKRKRGRKPKADPKKVVEGVAGTDVAAAAAMVNEKDLPFDAVAVGEVGDPFGEELKRRTRGMENEEQLLAFLEGLNGEWGSDRKKRRIVLASELGDLLPKEWKIILILQKRAGRASVICRRYVPNAIVRPDGHQFDSYKEVSTYLLSHFGVQDINHLKSSYADGSNINVALESKGVDCVPKGDMKADANAIQLLIVKQATASSIENEKLNSSDGNSNNGLNLGCKLGDSVGSTFRCHGNNIEGKHLISSVEDMGISDGKPAKDGSQQIISTRNQSEMPKDSAEGKRFDNVPGGYVGNVSVSACLQDASKSGGVFVAPSKAAEDISTDQRSKMDSLLMSNEYLSAFDDYVNNISAGTLDALDGNKSCLSEPSQSEVKMFQTDPVDMPKFQ >OIV98307 pep chromosome:LupAngTanjil_v1.0:LG14:818894:820774:-1 gene:TanjilG_16634 transcript:OIV98307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKLDFSRHYGFLASNLRISTKTPTMEMESQILQYSKDGSLSQALKLLNTLNRNSSSHLTIKPVLYASLLQTCIKTTSFTHGTQLHAHVLKSGLQNDRFVGNSLLSLYFKLSPRISEARRVFDKLYVKDVISWTSMISGYVKVGEHQESLQLFFEMVDLSVEPNEFTLSSVIKACSELGYLKLGRCFHGVVISHGFDCNHVIISALIDMYGRNHAVGDARKLFDELPEPDAVCWTSVISAFARNDMFKEALDCFYVMHLDRGLVPDGFTFGTLLAACANLGWLRQGKEVHAKVVTSGICGNVVVDSSLLDMYGKCGLVQWSRSIFDRMSFKNSVSWSAMLGVYCQNGECETVLNLVRERRVADLYSFGTIIRACSGLAAVRQGKEVHCQYVRKGGWGDVIVESAMVDLYAKCGCVDFAHRLFLNMQVRNLITWNSMIGGFAQNGRGEDALALFEDMIKEGVKPDYITFINVLFACSHTGLIDQGRKYFASMIEEYEIKPGVEHYNCMIDLLGRAELIEEAESLLENAHCRHDQSLWAVLLGACTKCSDYLTAERVARKMIELDPNFHLSYVLLGNIYRAVGRWNDALEIRKLMEDRGVKKIPGKSWVDNENQKGSRLDLTKMIVAA >OIV97690 pep chromosome:LupAngTanjil_v1.0:LG14:15261341:15263690:1 gene:TanjilG_12447 transcript:OIV97690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASGKWVKSLIGFNKPHKDEQVKECGKNKKWWLWRSSTTTTTTKGYKGKQKAESEEEECDDAYTVAVATVVRAPPKDFRLVRQEWAAIRIQTTFRAFLARRALRALKAVVRIQALVRGRQVRKQAAVTLKCMQALVRVQARVRARRVRMSIEGQAVQNILNERHSKSDLLKQAEEGWCDSTGTLEDVQAKIQMRQEGASKRERAIAYALAQKVPVATHSCEGKEKREDNISIPP >OIV97681 pep chromosome:LupAngTanjil_v1.0:LG14:15317408:15319384:1 gene:TanjilG_12438 transcript:OIV97681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKDEVFRGEGSSLLLRAGSDSTRQKTTSDLVLQWGNRKRLRCMKVQVKDHSSGSGQRTTVRVNKDSLKYNSNNIGNTLNQNNNGFPNLRQQRIMRNSETSTAMRGVQSNGGAHDKSGTHNKHVNDNNNKSAAASETAHDSKKGGSPSGSGGTAPPVWPPKFVIALTNKEKEEDFMAIKGSKLPQRPKKRAKLIQRTLNLVSPGTWLCDLTLERYEVREKKITKKRPRGLKAMGNMDSESE >OIV97980 pep chromosome:LupAngTanjil_v1.0:LG14:11771436:11772113:-1 gene:TanjilG_14080 transcript:OIV97980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFQDISTGRSPRRELQGPRPTPLRINKDSHKIKKPPLAPAPQPPPRQPIIIYTVSPKVIHTTPDDFMNLVQRLTGSSSSSSSSSLSDMTSSNHPFNSGGGMISPAARYATIEKARPPMGKKQVQPIGDDISHVGGLEMVNHGVERGSMCQGILSPGPASLSPIPSSFFSPPSLDPNMVNFLHELSPAFQNRNVMDQYGGFILPSPTNFVSPYTPPIDLFNYFLD >OIV97682 pep chromosome:LupAngTanjil_v1.0:LG14:15310904:15313871:1 gene:TanjilG_12439 transcript:OIV97682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIDVPNGRVGVIIATGAKIQVTRDTEADLNSSTRMVEITGTPDAIAAAERLINEVLAEAESGGSGIVGRRLYGQAGSDEFSMKIPNNKVGLIIGKGGETIKGMQASTGACIQGYAQDSYGAYNAPPQSGYGQPPEHDQQQGYSSAPSYGSGSNQAQDGHTSNCSSQGDSAQAAPSQPITKPKHCQLSTSRNCSARLWGTPNLPSSLWHLTSISKLW >OIV98430 pep chromosome:LupAngTanjil_v1.0:LG14:2273242:2277799:-1 gene:TanjilG_16757 transcript:OIV98430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDISPERRYGCGLISAVFRRRTSWSGKSITSGSSPIRSNNGTDFVKPSNTHDSKRRRGGSDISAASVVTTSSNASKNSSTSYVVTQSPSLSNYPQIPTTTTTATHVVEQRKQQPNETAMAVHGGSSGTRGVSKAAPTQGYVNQGRKVPREAVGISGELESMIVDHQKNKGSNNLVRASSSNVMLYGNLGNLRQSGQNISPHNAKENYYNNIGNVGGYTKNNNNNNINNTMDNNVGYKSKEVVKPSKEQLGSLCRALSTRMDPEQLKIMGNEDYKNGRFAEALALYDAAIAIDPNKASYRSNRSAALTALGRLLEAVFECREAIRIDSHYHRAHHRLGSLYFRLGEVDSALYHYKQAGAEADPDEVAKVKNLQTHLHKCTEARRLGDWNTLITVTNNAISSGADSAPQIFALQAEALLKLRRHENAEKVMSKCRNIDYDEGTKFFGPIGNANFLVTHAKVHLASGRFENALEEANKATRLDSNNKEANKVLKKIRAITSSRAKGNELFKASKFTEACVAYGEGLEHDPYNSLLLCNRAACRSKLGQYEKALEDCNAALNLRPSYTKARLRRADCNAKLKRWEASIQDYEVLVKEAPENEELNKALLEAQAHLLKRQGQGRGYILTISYTTIEENSEQDD >OIV98296 pep chromosome:LupAngTanjil_v1.0:LG14:742330:744825:1 gene:TanjilG_16623 transcript:OIV98296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVRSWHLVIFSLCLFISSGPGIAIRLRYEENEDISSPYESSTQFDSVPVINPTTPGTDNPYPTLNPTSPQPPDTTGPGLTPTTPDTTPTTPDTNPYTTPTTPNTNPTAPTSPYTNPTTPTSPYTNPTAPTSPYTNPTTPTSPYTNPTAPTSPYTNPTTPTSPYTNPTAPTSPYTNPTTPTSPYTNPTAPTSPYTNPTTPTGPNTNPTTPTNPYTTPTTTPPSPNANPTAPTTPTTTSAGGQWCVASQSASEKALKVAIDYACGYGGADCSALQPGASCYNPNTIRDHASYAFNDYYQKNPAPTSCAFGGTATLTNKDPSNGNCHYASSKTTSMSPPTHLSPPNPPTPPSAPATMTPSAPNTMIPTTPPGMTIPGGASVDGSGPALSPNIATSASYSTLLLFTLFGIWASIHVHIYI >OIV97851 pep chromosome:LupAngTanjil_v1.0:LG14:13918971:13922880:1 gene:TanjilG_12608 transcript:OIV97851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIEELRQQKGNDSSASNNGVEKLKKDYLQKLNLLEDQVAELKKKVGSQSQFSTHRKRVDESTNQLHCEIQSLKAQKVQLQCKLKLESVQFRLCKALLEKEVLQLKKDGRRNEFKTCSLLASNERLKMVLQRKTEEASAATNRLKEMIEARKVISNRLSGPRKDRNNQVIQDAENEHEVTSQLHDLCSQYESIMEKMAEENSKLKEEIELQKQEKLRSPSQEEDCDSLEKDLDIQDLKEQVNVLSDLLRELQLKKEKLDCKDNKQNLLQPLFSDKSNDKLLLEIDTPETYSSNGSNVKKERTAEGLCCSCSKKSLCKTKNCRCRSTGGRCGPSCGCTHSKCTNREPKSENTECVMNDSAVDEDASVAASECAKLLQSALVLNPPGPIKNSLAKLDAEKPGKKNKARKPVIHIVAEDPASSSLENNSSAEAYKIHNQQATDNNSGQANDFTTVISDKPGIRHLRTRQPKAIVGKENNFI >OIV98500 pep chromosome:LupAngTanjil_v1.0:LG14:350450:354764:-1 gene:TanjilG_18784 transcript:OIV98500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRRLFLIALLIYSTLAQKPTLPNHPAAPSKVPAPAKNWSTLSGNEPLVIARGGFTGLFPEGSEDAIAMSKDISIFLCNLQLTKDAGAFCMTGIKLDNATTIAMFDPKEKVYNINGQNVRGHFAVDYTSAQIDQNVSMNQAIFSRPSFYDGIFPVLNVDVILREKSPPRLWLNAQYEAFYNQHSVKLVDVVLDIQRANRIDFVSSPEVGFLKGINGKVSKETKVIFQLLNANDVEPTTNKTYDTIVKDLTTIKSFASGIMVPKDYIWPVKPDKYLGLPTTLVVDAHKQGLEVYAYGFANDFFSSYNFSYDPTAEYLQFIEDGDSFDGVVTDFAPTASYAIACFAHNNTLPKKGQPLIISNNGASGVYPGSTDLAYQKAIDDGADIIDCSVQMTKDGIAFCSSSIDLISDTTAMTKFMSRSSNVPELQPKSGIFSFDLTWTEIQTLKPQIVNPQGNDFPRNPAYKNSGKFVTLFEFLELAKAKGVTGVLVNIKNAAYLASKKGLDIVCSVHSNLQNATFDQQATQQVLIQSDDSSVLSRFKDFPSYKRVMLIDDKIGDAPKQTVDEIKKYAEAVNLPKTSIVRASNSLLTGMTNVVKEMKDANLTVFVHTLRNEYVSLALDYWSDPNVEIATYVHSAKVDGIVTDFPATASRYMRSPCSDPNNDPTILPAKPGELLKTVAPELLPPAEAPLPPLEVSNVVDPPLPPVSNPIIDGPDAAPISPPPSGAWPNSDNFGLSIGAVLVCAMIFVGH >OIV97879 pep chromosome:LupAngTanjil_v1.0:LG14:13629500:13633180:-1 gene:TanjilG_12636 transcript:OIV97879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKHYEKLWKPLSNNGFLPCTKPSSNYTAPVESRGYLSVHTNGGLNQMRTGICDMVAIARIIKATLVIPDLDKSSFWQDTSNFSDIFDEEWFISSLANDVKIIKKLPKTRTKPTRIVKQFKSWSGMVYYENEIASMWDHFKVIRASKADSRLANNNLPPDIQKLRCRACYEALRFSPCIEQMGKMLVERMRLFGPYIALHLRYEKDMLAFSGCTHDLSKAEAEELKIIRKGTNYWKRKDIDPLEERSKGFCPLTPKEVGIFLKALGYPSKTPIYIAAGEIYGGESHMTELRSRYPLLLSKEKLASVEELGPFTNHASQMAALDYIVSIESDVFVHSYPGNMARAVEGHRRFLGRGRTISPDRKALVRLFDKLANGTMTEGKTLSNKVIDLHKKRLGLLRKRKGAISGTKGAERLRSEESFYANPSPDCFCRTEESTPL >OIV98448 pep chromosome:LupAngTanjil_v1.0:LG14:2432425:2436455:-1 gene:TanjilG_16775 transcript:OIV98448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTKVGPIGKDSNHILQGHEFESGCQHEDRASGNSTSVSAYRALTHASALLNLPSSILPSIDRSYIEGEHTDHNTSSSVKNNNTNSSHNKLSNETSKIWVPSNPRGAEILPPGIVEAESDFYLRRLWGEPSEDLTTQPKYLVTFTVGYDQRVNIDKAVKKFSNNFTIVLFHYDGRTTEWEKFPWSKKVIHVSAHKQTKWWYAKRFLHPDIVAPYDYIFMWDEDLGVEHFDAEEYIKLVRKHGLEISQPGLEPNKGLVWQMTKRRDGQEVHKVTKEKPGWCSDPHMPPCAAFVEIMAPVFSRNAWRCVWHMIQNDLVHGWGLDFALRKCVQPAHEKIGVVDAQWIVHQGIPSLGNQGESSHAGKPAWQGVKERCRAEWAMFQDRLADAENAYYKSIGIDMSKSTTHPSY >OIV98472 pep chromosome:LupAngTanjil_v1.0:LG14:2592427:2593353:1 gene:TanjilG_16799 transcript:OIV98472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTNNNNNNVCHEVAGEPTKTTFSLLETASAAIQNFAPVNKIHQHLCAFHFYSDDMTRQVEAHHFCGHQNEEMRQCLIYDSNESNAKLIGLEYIISENLFLTLPDEEKPLWHSHEYEVKSGFYFLPGIPGPIEYSDLEKVCKTYGKVFHFWQVDKGHTLPLGLPQLMMALTKDGQINHDLAQSCAKRMGVDFEKERKDREYMTGPVHGVHPLANGGGKGLKTVLREVELKHDELPHSANRVFV >OIV98392 pep chromosome:LupAngTanjil_v1.0:LG14:1809598:1814406:-1 gene:TanjilG_16719 transcript:OIV98392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNWTRDLDNFLGTLSCLAFNGDDLSQYAMAEGLAEALMMYTKPFNGSSTTQDYYDGEKHCILVAAGDPVPLKMSVTVPTIQDGKFVVGRFLQNFEADFLEVTQMFSQLAVSISVITPNENAIFGEIFNLGNNITEMEQAPLYSYKIDGIFVMLSHNFKEAREAIHEKRLENSPTIRNLQSNDISLTEFSNNDIQGFGLIPEAANKMHVSLSPSSSFSPVNVYEDIMAELDAINDNVLLSDKPNTSEFVEDPLNNLFMLDEPLFDDVQCSLGQEQTTSTMNMVSMDALKAVEAEFGKTLEDCNSEQNASRNQNCLIDLTKNNDEEVQIMAPVVVPKVPSTTSIRRGSSKGPRHNAVILDFSSGTSSSSTLLPNENSFSLWSTTQKPGNVITPETKYQPQNGFGNALIPYSTTNSTTQGFQLFMSPTLNVYHGVSNTQVGGLSRAPFSSQYPLPRLNVHGIGRSTTWVPPMPRIIPQVTQWDSLLPPPSYVMDFCDYVHAWEGYLCGKVLAGNMFLNHAKV >OIV98278 pep chromosome:LupAngTanjil_v1.0:LG14:3567765:3569169:1 gene:TanjilG_09912 transcript:OIV98278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKSGIEKKVLHKYRNKGTCEHEKTRNAERFLITINILGSSGPVRFVVKMKDLVSGVIENALKYYAREGRLPVLGSDASNFLLYPANAGCDALNPLEPIGSYGARNFVLYKKKVISPKNNGGWKAWLNKSLSFKT >OIV98092 pep chromosome:LupAngTanjil_v1.0:LG14:9818816:9820930:1 gene:TanjilG_25957 transcript:OIV98092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRHGVLKKVISDLSFLDSSPFAKLLDSCVRSRSVLEARHSHARIIKTQFNSEIFIQNRLIDAYGKCGCLDDARKVFDHMPHKNTFSYNAILSSLAKAGDIDEALNVFNSMPEPDQCSWNAMVSGFEQHDYFEEALRFFADMHREDFVLNEYSFGSGLSACSGLNDLNMGVQIHGLISKSRYSIDVYMGSALVDMYSKCGLVGYAQRSFDDMCVRNIVSWNSLITCYEQNGPAEKALEVFVRMKNNGIESDEVTLASVVSACASLSAIREGLQIHARVMKSDKFRHDLVLGNALVDMYAKCSRVNEARLVFDSMPHRDVVSETSMVSGYARAASVKAARVMFTNMMERNVVSWNALIAGYTQNGENEEAVSLFLLLKRESIWPTHYTFGNLLNACANLADLKLGRQAHTHILKHGLRFQSGEESDIFVGNSLIDMYMKCGLVQDGCLVFEKMVGRDNVSWNAMIVGYAQNGYGTEALEIFRKMMLSGEKPDHVTMIGVLSACSHAGLVEEGRHYFQSMSEHDLAPLKDHYSCMVDLLGRAGCLDEAKNLIETMPMQPDAVVWGSLLAACKVNRNITLGKYVAEKLLEIDPFNSGPYVLLSNMYAELGRWKDVTRVRKLMKHRGVIKQPGCSWIEIESRVHVFMVKDKRHPRKRDIYSFLKILTQQMKRAGYVPEVEDDEIYEEESDSEQILHYEMEMAVDAAVG >OIV97975 pep chromosome:LupAngTanjil_v1.0:LG14:11708648:11712012:-1 gene:TanjilG_14075 transcript:OIV97975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDTFSDIFEDKEFGGDELFAILESLEDFTTDFPLINKPIIGSSTLKESEETSRLVSTSSSAQVQQQDSEETELEISPKSKRQKVADPTTRTEEQNEDGEQRVSHITVERNRRKQMNEHLSVLRSLMPCFYVKRGDQASIIGGVVDYIHELQQVLQVLEAKKQRKVYTDVLSPRLVSSSPRPSPLSPRKPPLSPRLNLPISPRTPRPSSPYKPRLQPTGYNISPTISTSLEPSPTSSANSSINDNVNELVANSKSPIADVEVKFSGPNVLLKTVSQRIPGQALKIMSALEELALEILHVNISTVDKTMQNSFTIKIGIECQLSAEELAQQIQQTFC >OIV98091 pep chromosome:LupAngTanjil_v1.0:LG14:9821732:9823216:1 gene:TanjilG_25956 transcript:OIV98091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAFETGIHDTIGIDLVAMSVNDVVTSGAKPLFFLDYFATGHLDVDIAEKVIKGIVDGCQQSDCVLLGGETAEMSGLYKDGEYDLSGCAVGIVKKDSLINGKNIVAGDVLIALPSSGVHSNDFSLARRLVECKSHQGGNIEDAEMRQTFNMCIGMVLVVSPETSDRILEDKDNTEKFYGVGEVTSNKRMLCS >OIV98462 pep chromosome:LupAngTanjil_v1.0:LG14:2533512:2535785:-1 gene:TanjilG_16789 transcript:OIV98462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIRWLRPQVYPLFASVGVAVGICAMQLVRNISTNPEVRSILELQEIEKILTDVKADDVKVIPVPEHCDWVDFMVVATGRSTWHVKNIAQALIYQAKQKQKGAERMFLPSVEGQAGGKWIIVDSGKVIVHALDEKARAYYNLEGLWTPETLQNDPIDEDLQKALVKVRRINNSKKKPAQRNA >OIV97954 pep chromosome:LupAngTanjil_v1.0:LG14:12746125:12748204:1 gene:TanjilG_12711 transcript:OIV97954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVPKLTDQRATGDAIALLGALIMPNLVTRCIAIAPSLIVSIIGGSSGASQLIVITSMVLSFELPFALIPLVKFSGSSTKMGPHKKSMIIIVFSWILGFGIIVINVYYLITAFMGWIIHSSLSKMAIVFIGIIVFLLMTVYIGSILYLIFRKDTVETFIETG >OIV97662 pep chromosome:LupAngTanjil_v1.0:LG14:15452005:15457697:1 gene:TanjilG_12419 transcript:OIV97662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSFDRWEKDPFFNAAEEVQESSDRMESAYRTWIHARKDPLSPWNNDEIRRDVHTALGTAKWQLDEFQRAVGSSYSKSSNDDSIIRHRDFVSAIENKITQIEHSLRESTRSGGKVSLPWVRLDEGERNELALFLSGMPASKGKSPHKCISRGSENPQSSDKDSFSNFSDNLHVSSGPGSSEAMEVKSHGHRRTASADADITSWKIAVSDDLLMQNSSNNSSGPMHKVASLSGFLNSMESVSKLKWPKNGYRKLQAVDHNQETDDALLPTTQLNGDINTRYERSKSYFDGCDECYDKQLHGWYGAVQRQLQRSKYQMQYSRPVQITVWMIILLCLIEGKIETNHLSVVYSNMEDGVNNPLTQVDGGSKMGDAAVTGEGVVGDEAVPTPVEGGSPENQSGA >OIV98138 pep chromosome:LupAngTanjil_v1.0:LG14:8720351:8722275:-1 gene:TanjilG_26003 transcript:OIV98138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHWGVCHRVMYINQDEEHESQIPCDILNEEESSDEEEEKVIKTEPVYLPETRKRKSRSLSQLREVKEESCGKQSGRKVRTKKNECRDRWSAERYNLAEQSMWEVLKAEGATFDNPITRPALRMAARKRIGDTGLLDHLLKHIDGKVAPGGIDRFRRWFNTNGIMEYWLENADLDKVRQEAGVQNPYWIPPSTFRASNVPSQDTDSSAELKLLKIEMAQMKKDMQELIAKKQEKNKISLMEETHKEFVKWRSLTDRCLTEIMASLKGLQGKYGEFVIWQTRFEQQLVEITNKLSDLQAPGEHTTFSPHSEKWKDWIESTNLDIPDDEFATWIGGSSELLNVPPEAVIRDPNSAPLSQLIIEGPTNKKSESMKLVPARQDQPNVTPDSSTTVTSNNSKSDIDNSLMMFQEMFMDIYKWKDKMEQQLLELSNTVYGGMLAMK >OIV97767 pep chromosome:LupAngTanjil_v1.0:LG14:14664553:14667059:-1 gene:TanjilG_12524 transcript:OIV97767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGHEGKFSIYVHASKEKPVHVSRYFVGREIHSESVGWGKFSMVEAEKRLLAHALLDPDNQHFVLLSESCIPVRHFEFVYNYLLFTNVSFIECYIDPGPHGNGRYIHHMLPEVEEKDFRKGSQWFTMKRQHAIIVMADSLYFTKFKHHCRPSMVGGRNCYSDEHYLPTFLNMVDPGGIANWSVTYVDWSEGKWHPRSFYAQDITSNLMKYFTSIDESPHITSDPQRTVLVTPCMWNGSKRPCYLFARKFVPETIDKLIHLFSNSSSS >OIV97664 pep chromosome:LupAngTanjil_v1.0:LG14:15443772:15446619:-1 gene:TanjilG_12421 transcript:OIV97664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNDAQDPRLARISSSIRVIPNFPKPGIMFQDITTLLLDTNAFKDTIDLFVERYRDQNISVVAGVEARGFIFGPPIALAIGAKFVPIRKPNKLPGEVISEEYSLEYGTDKIEMHVGAVQPGERALVVDDLIATGGTLSAAIKLLERVGAHVAECACVIELPELKGRERLGDKSVFVLVDGA >OIV97812 pep chromosome:LupAngTanjil_v1.0:LG14:14296777:14300993:-1 gene:TanjilG_12569 transcript:OIV97812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTLKENGGGVSDLYGENSATEDQLITPWNFSVASGSTLLRDPRYNKGLAFTENERDAHYLRGLLPPAVFTQDLQEKRMMHSLRQYEVPLHRYTALMDLQERNERLFYRLLIDHVEELLPVVYTPTVGEACQKYGSIYRRPQGLYISLKEKGKILEVLKNWPEKSIQVIVVTDGERILGLGDLGCQGMGIPVGKLSLYTALGGVRPSACLPITIDVGTNNETLLNDEFYIGLKQKRAIGKEYEELLEEFMSAVKQNYGEKVLVQFEDFANHNAFTLLEKYSSSHLVFNDDIQGTASVVLAGLIASLKLIGGTLADHTFLFLGAGEAGTGIADLIALEISKQTKAPVEETRKKIWLVDSKGLIVGSRLESLQHFKKPWAHEHEPVKGLLDAVKAIKPTVLIGTSGVGKTFTKEVVEAMASNNEKPLIFALSNPTSQSECTAEEAYTWSKGRAIFASGSPFDPVEYEGKVFAPGQGNNAYIFPGLGLGLIMSGAIRVRVEMLLAASEALAAQVSQENYDKGLIYPPFTNIRKISAHIAANVATKAYELGLASHLPRPKDLVKYAERCMYSPGYRSYR >OIV98517 pep chromosome:LupAngTanjil_v1.0:LG14:82437:87024:1 gene:TanjilG_18801 transcript:OIV98517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNHRRRGSKGNQRNNGGTGGGGKMKAKKFERRLLRFEELPEYLQDNEFILEHYRSEWPVKDALWSIFSWHNETLNVWTHLGGFLIFAAMTLMSLTAVTTAELGLGGFLSNLYRARAMVSFGTEKEINGSEIDSNTFQDSHLRHILEPFIFHELKEYGGETLPRWPWFVFLAGGMGCLACSSLSHLLACHSKRFNLFFWRLDYAGISLMIVCSFFAPIYYVFFCNPYIRLFYLTSISVLGVLAIITLLAPSLSTPRFRPFRAALFLSMGFSGVIPAVHSLVLHWGHPHIVLALAYELAMAILYGTGTVFYITRIPERWKPGTFDIAGHSHQIFHVFVVLGALAHSVATLMLMEFRQGSPACSL >OIV98245 pep chromosome:LupAngTanjil_v1.0:LG14:3794425:3795358:1 gene:TanjilG_14834 transcript:OIV98245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLASDPSSVLRPKASVPDPNPTRSLFTARFCFGPRSWSRTSFAMGPKLSVNSVEMELLRRIGRGCFRNPRLKVEADSGGEDFFDAAAAMAKPVPSHLVIMVNDTIESASDWRYAVEQFVKKLLDKVVVHHKRSYYLEN >OIV97997 pep chromosome:LupAngTanjil_v1.0:LG14:12123460:12124821:1 gene:TanjilG_14097 transcript:OIV97997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSSAADSTGEETKICPRGHWRPVEDEKLRQLVEQYGAQNWNSIAEKLQGRSGKSCRLRWFNQLDPRINRRPFTEEEEERLLASHRIHGNKWALIARLFPGRTDNAVKNHWHVIMARRQREQSKLCGKRRFQELYNDSNIEKIPKSKDLLFSSRIGFENARVFDFRNLNRTPSRNSLSTWNFASSSLHIATSTNTSNTNLVADSFTKGGKDVYFNRSNVYLPECSKSSDRFLYRMYPNTTTTTSFGTFRGSSSTNAFSFPNYKRVVQSPFGFLGSSDHDGRIKNDLRSFCDNSSTFTNLKASSEKEQADYEPNIEHKEVPFIDFLGVGVSSS >OIV97730 pep chromosome:LupAngTanjil_v1.0:LG14:14978238:14979371:1 gene:TanjilG_12487 transcript:OIV97730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDKPQKQRVLTLLKALQEASRNLHINPFSFIFITKTHFKDTIEAFLNLQPKSLAIFNTNPNLHHLSDSLSTIKTLIEKLQNFKGFGLRSFLHRQIILHKISQLSNLIESEIQHYLDCVTVHDLVNTMEQGFNEEEKVKVLIEFQQRLSKGFDLDFQDLILKARVFSILEGTLIETKISKRVQEEVAMAIAGLVKFNKNVFVGLVLMGPTIKALISMASSVSIRVLCLLVSFIRSPLVDEILCNGEIPRIVSFLCRDDLSLKVAAFDCVLELGYIGRREVIEAMLEEDLIKILMDLQRKEGLLNGRVDDDDDDFDYDSPFASCVSRFAILLEIGEGLSSEEKREVKLEILKMVMEASQSDAEAATISAEILWGSSR >OIV98365 pep chromosome:LupAngTanjil_v1.0:LG14:1481003:1495820:-1 gene:TanjilG_16692 transcript:OIV98365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVYIPVQNSEEEVRVSLDQLPRDASDILDILKAEQAPLDLWLIIAREYFKQGKVDQFRQILEEGSSPEIDEYYADVRYERIAILNALGAYYSYLGKIETKQREKEEHFILATQYYNKASRIDMHEPSTWVGKGQLLLAKGEVEQASSAFKIVLDGDRDNVPALLGQACVEFNRGRYSDSLELYKRALQVYPDCPAPVRLGIGLCRYKLGQFEKAQQAFERLDPENVEALVALAIMDLRTNGAAGIRTGMVKMQRAFEIYPYCAMALNYLANHFFFTGQHFLVEQLTETALAVTNHGPTKSHSYYNLARSYHSKGDYDKAGVFYMASVKEVNKPHEFVFPYYGLGQVQLKLGDFRSALSNFEKVLELYPDNCETLKALGHIYVHLGQTDKGQDFIRKATKIDPRDAQAFLELGELLILSDTGAALDTFKTARTLFKKGGQAVPIELLNNIGVIQFERGEFELAQQTFKEALGDGIWLSFINKENKPSVDAATSTLQFKDMQLFHDLESNGHHVEVPWDKVTVLFNLARLLEQLNESGTASILYRLILFKYPDYVDAYLRLAAIAKARNNILLSIELVNDALKVNDKCPNALSMLGELELKNDDWVKAKETLRAASDATDGKDSYATLSLGNWNYFAAVRNEKRNPKLEATHLEKAKELYTRVLIQHSANLYAANGAGVVLAEKGHFDVSKDIFTQVQEAASGSVFVQMPDVWINLAHVYFAQGSFSLAVKMYQNCLRKFYHNTDSQILLYLARTHYEAEQWQDCIKTLLRAIHLAPSNYTLRFDAGVAMQKFSASTLQKAKRTADEVRTTVAELQNAVRIFSQLSAASNLHIHGFDEKKIDTHVGYCNHLLSAAKVHLEAAEHEEQQVRHTQELARQVELAEAKRRKAEEDSKFQLERRKQEEELRRVQQQEEHFKRVREQWKSSSHSRRRERERSDDEEGGTSEKRKRKSGKKRKKDKHSKSHYDTEETEGYMVDEQEIADGDADINDREPQMNDDAEENAQDLLAAAGLEDSDAEDEMAAPSSSIARRRQALSESDDDEPLMRQSSPVRENSTDMQLESDGEIRDRDKTNGDNASED >OIV98480 pep chromosome:LupAngTanjil_v1.0:LG14:2675552:2676863:1 gene:TanjilG_16807 transcript:OIV98480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTATIAASSLIITSLPPSHPPRHSSIYNHNYSSPKSKFILSNSKPLFVATAVTHNNNNSPTSIMDDLGDVTIFRASGEAVTFKSLMWDEEVGDGFTVVALLRHFGCPCCWELASTLKESKARFDSAGVKLIAVGVGTPTKARILAQRLPFPMDCLYADPDRKAYNVLNLYYGFGRTFFNPASTKVFSRFDALRKAVKNYTIEATPDDTSGVLQQGGMFVFRGKQLLYARKDEGTGDHAPLDDIFDVCCKAPVS >OIV98323 pep chromosome:LupAngTanjil_v1.0:LG14:1026599:1027786:1 gene:TanjilG_16650 transcript:OIV98323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATETFLFTSESVNEGHPDKLCDQISDAVLDACLEQDPDSKVACETCTKTNMVMVFGEITTKANVNYEKIVRDTCRTIGFVSDDVGLDADNCNVLVNIEQQSPDIAQGVHGHLTKKPEEIGAGDQGHMFGYATDETPELMPLSHVLATKLGARLTEVRKNGTCPWLRPDGKTQVTVEYYNDKGAMVPIRVHTVLISTQHDETVTNDEIAADLKEHVIKPVIPEKYLDEKTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYIVRQAAKSIVANGLARRCIVQVSYAIGVPEPLSVFVDSYGTGKIPDKEILKIVKETFDFRPGMISINLDLKRGGNSRFLKTAAYGHFGRDDTDFTWEVVKPLKWDKVAA >OIV97596 pep chromosome:LupAngTanjil_v1.0:LG14:15876256:15880340:1 gene:TanjilG_12353 transcript:OIV97596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERRWPWKKKSSEKEAHALDAVDASDQVKQDKQKKPSYVQISVESYSHLTGLEDQVKTYEEKVQTYEEKVQILEDEIKDVNEKLSAAISEVNTKEGMVKQHAKVAEEAVSGWEKAEAEALALKNHLESVTLLKLTVEDRASHLDGALKDCMRQIRNLKEEHEQKIQEVAVSKTKQLDKIKGELEAKIVNFEQELLRSAAENGVLSRSLQERSNMLIKLSEEKAHAEAEIELLKSNIDSCVRENNSLKYELHVASKELEIRNEEKNMSIRSAEASNKQHMEGVKKITKLEAECQRLRGLVRKKLPGPAALAQMKLEVENLGRDHGESQLRKSPVKPDSPYMSPLPEFSLENVQKLQKENEFLRDRLLAMEEEMKMLKEALAKRNSELQASRSMCAKTLSKVQNLEAELQTSSQQKRSPRSIIKLTHESMYSPNASNAPSLVSVTEDGNDDVGSCAESWSTAIVSGLSQFPKEKSTDQTSKSEATKRLALMDDFLEVEKLAGLSNDSNVDGTVSVSSNNKTTDIAKDNVSEASTCKDGPSEKNVESSPSPNQVYSGAVLSVPDRRSNVGLLLTELRSKILLVFESMAQDADIGKIKEDIKHVLEDSHDNAIHNSVDFISPEVEPSDATCDRQDNPDDAGLNLEKETLSSHQPKEYVQITSDLEAAISQIHDFALFIGKEAMAVHGISSDGNELSQKTEEFSVTFNKVLCNKASLLQFVLDLSYVLAKANEFRFNVLSYKGIDAETSSPDCIDKIVLPENKLVQENSSGESYQNGRSHILNPCPDPEVPDSRDLVSLDSTSPKLSMEEFEELKLEKERVVIDLERCTENLEMTKSQQEETEKLLAEVKSQLVSAQNSNRLAETQLKCMAESYKSLETRAHEFETELNRLRIKTETLESELQDEKKAHEAALARSKELEAKLQRIESTVADDVKTTQDKDLAAAAKKLAECQETIFLLGKQLNALHPQSEPVRSPYTKMNPNVEDFTEEESTTSSPNFQKIDNGTPGFVKRLSLESPVHFSNSLFSPSDNESNLQARSPVQHSKPKHRPTKSTSSTSSTPEKHAKGFSRFFISKGKPIR >OIV98200 pep chromosome:LupAngTanjil_v1.0:LG14:6665402:6666418:-1 gene:TanjilG_28713 transcript:OIV98200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEHLASIFGTEKDRVNCPFYFKIGACRHGDRCSRLHTKPTISPTLLLSNMYQRPDMSAPVVDPNQAQSLDPDKVQDHFENFYEDLFEELSKYGEIQSLNICDNLADHVVGNVYVQFKEEDHAANALMNLTGRGVLYEELYEMPNSPC >OIV97847 pep chromosome:LupAngTanjil_v1.0:LG14:13946351:13954137:-1 gene:TanjilG_12604 transcript:OIV97847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNSDFLRAIESALGVSLGDSVSDSLVLIVTTSVAVIIGLLVLLWKKSLDRSKELKPVIVPKTFVKDEDDDVDFSDGKTKVTVFFGTQTGTAEGFAKALAEEVKARYEKAAVKVVDLDDYAMDDDQYEEKLKKETIAFFMVATYGDGEPTDNAARFYKWFTEGKDGRGTWLQQLKYGVFGLGNRQYEHFNKIGVVIDDDLSEQGAKRLVPLGLGDDDQSIEDDFNAWKETLWPELDQLLRDEGDANTGSTSYTAVIPEYRVVIHDPSVTSSYDNHLNTANGNAVFDIHHPCRVNVAVQRELHKPESDRSCIHLEFDTSGTGIIYETGDHVGVYAENCDETVEEAGKLLGQDLDLLFSLHIDNEDGTSLGGSLQLPFPGPCTLRAALARYADLLNPPRKAALVALAAHASEPSEADRLKFLSSPQGKDEYSKWVTGSQRSLLEVMAEFPSAKPPLGVFFAAVAPHLQPRYYSISSSPRFSPQRVHVTCALVYGPTPTGRIHKGVCSTWMKNAIPLEKSQDCSQAPIFIRPSNFKLPADHSIPIIMIGPGTGLAPFRGFLQERFALKEDGIQLGPAVLFFGCRNRQMDFIYEDELKSFVEQGSLSELIVAFSREGPEKEYVQHKMMDKAAYLWSLISQGAYLYVCGDAKGMARDVHRSLHTIVQQQENVDSTNAEAIVKKLQVDGRYLRDVW >OIV97701 pep chromosome:LupAngTanjil_v1.0:LG14:15177001:15180906:-1 gene:TanjilG_12458 transcript:OIV97701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSSSDTARAPPPTPLPTPPLTKASHSSYLFRNRNRNRNLKLEIFLTPDKDRNIAHARNAIQEAAAKGAKLVLLPEIWNSPYSNDSFPIYAEDIDAGGDASPSTAMLSQLSHNLKITIVGGSIPERSEGRLYNTCCVFGTDGKLKAKHRKIHLFDIDIPGKITFIESKTLSAGETPTIVDTEVGRIGIGICYDIRFPELAMIYAARGAHLLCYPGAFNMTTGPLHWELLQRARATDNQLYVATCSPARDTGSGYVAWGHSTLVGPFGEILATTEHEEAIIVAEIDYSILEQRRTNLPVTKQRRGDLYQLVDFQRLNSH >OIV98295 pep chromosome:LupAngTanjil_v1.0:LG14:737512:739917:1 gene:TanjilG_16622 transcript:OIV98295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMIIVEHRIHKILLSRGRTEGPVAMNAFELISTSQGLNLSNLFEKQMGIVKREMRFTSKCSANEIIAKIEQAAGPLGFDVKKNNCKALSQNPELHTATMESIHSIISFLISKGIHQKDLPRIFGMCPKILTSNIKTDLNPVFYFLLQDLQVQDHNFRKVVKKNPRLLTSSVINQLKPALFYLKKLGFKDLAALAYQDSILLVSNVEGTLIPKLMYLESLGFSKDEARFMVLRCPSLFTFSIENNFQPKFEYFDEEMGGKLEELKEFPQYFAFSLENRIKPRYLEVVESGIHLPLSVMLKSTDEDFRELIKKGGG >OIV98059 pep chromosome:LupAngTanjil_v1.0:LG14:10317659:10321300:-1 gene:TanjilG_09552 transcript:OIV98059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQGDYIERHRRDYGYRLDHFERKRKKEARAVHKHSQIAQKAIGIKGKMIAKKNYAEKAQMKKTLAMHEESTSRRKTDDNVQDGAVPAYLLDRENTTRAKVLSNTIKQKRKEKAGKWDVPLPKVRPVAEDEMFKVVRSGKRKTKQWKRMVTKATFVGPGFTRKPPKYERFIRPSGLRFTKAHVTHPELKCTFNLEIIGVKKNPNGPMYTSLGVMTKGTIIEVNVSELGLVTPAGKVVWGKYAQVTNNPENDGCINAVLLV >OIV97764 pep chromosome:LupAngTanjil_v1.0:LG14:14682747:14690702:-1 gene:TanjilG_12521 transcript:OIV97764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPACVLPAPKGTTCANYARIPVVKNDGDSMDATSDTLVDSWETWNSFRLLCEHHSQLCVALDILNSLPSENSLARWFGESVRAAIINTHSFLTNARGYPCLSKRHQKLITRFFNHSIQIIISGNSVHPKARLVADVSHNHSVSDSQRHPLRPYLDYVGYLYQKMDPLPEQERFELGYRDFLQAPLQYQRAVCKALLDRVPDEEASVKTTVLMVVGAGRGPLVRASLQAAEETGRKLKVYAVEKNPNAIVTLHALIKLEGWEDIVTIVSSDMRSWNAPEEADILVSELLGSFGDNELSPECLDGAERFLKQDGISIPSSYTSFLQPVTSSKLYNDVKAHKDLAHFETAYVVKIHNAARLAPSQPVFTFTHPKPDKESNDRYKKMHFVIPNDTGSAMVHGFAGYFDATLYKDVHLGIEPSTATPNMFSWFAIFFPLRSPIYVGPGSTLEVNFWRCSGPKKVWYEWCVTSPTPSPIHNTNGRSYWVGL >OIV98002 pep chromosome:LupAngTanjil_v1.0:LG14:11326437:11331429:-1 gene:TanjilG_26633 transcript:OIV98002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRFQDWNSEKSPESNYPAINITRPGRIRTTLSSVSDKFQRGSESSSERIKRFGKSFKLLPSNSFLSGSFSSRKKILDPQGPFLQKWNKIFVLSCLIAVSLDPLFLYVPVINNGRKCLSLDKTMEITATVLRSFSDIFYIIHIIFQFHTGFIAPSSRVFGRGVLVEDAWAIAVRYLTSYFLVDILAVLPLPQVVILIIIPKMKGSESLNTKNLLKFVVFFQYVPRFLRIIPLYREVTRTSGILTETAWAGAAFNLFLYMLASHVIGAFWYLFSIERETTCWQDACRRNSTCNKADLYCDNRGLSTITTFLNASCPIEPENPNLFDFGMFLDALKSGVVESEDFPEKFFYCFWWGLRNLSSLGQNLATSTYVWEICFAIFISIAGLVLFAFLIGNMQTYLQSTTMRLEEMRVKRRDAEQWMSHRLLPDSLRERIRRHEQYKWQETRGVDEDNLISNLPKDLRRDIKRHLCLALLMRVPMFEKMDEQLLDAMCDCLKPVLYTEKSCIVREGDPVDEMLFIMRGKLLTMTTNGGRTGFFNSEYLKAGDFCGEELLTWALDPQSSSNLPISTRTVQTLLEVEAFALKADDLKFVASQFRRLHSKQLRHTFRFYSQQWRTWAACFIQAAWRRYTKKKLEESLREEENRLQDALSKASGSSPSLGATIYASRFAANALRALRRNNTRKTRVPERMPPMLLQKPAEPDFTSEER >OIV97837 pep chromosome:LupAngTanjil_v1.0:LG14:14040196:14066564:1 gene:TanjilG_12594 transcript:OIV97837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKIVIRRIDNSTSRQVTFSKRRSGLLKKAKELAILCDAEVGVVIFSSTGKLHEFSNTRQMMGEELSGLTVKELQTLENQLEISLRGVRVQKDQLLMDEIQDLNQKGKLIHQENMELYRKVNLIHQENTELQKKVYGTRDWNGRKRNSVLTNGLSIEANLQVPVSLQLSQPQQQNYEEPTEATKLGLQLH >OIV98275 pep chromosome:LupAngTanjil_v1.0:LG14:3487697:3489108:-1 gene:TanjilG_09909 transcript:OIV98275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLRCSKGSQCPQMRGFTVLVLMENIRTMDRAIEAKGISKIATDDQGKNIWLKLEHES >OIV97676 pep chromosome:LupAngTanjil_v1.0:LG14:15354076:15354447:-1 gene:TanjilG_12433 transcript:OIV97676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSESEIHDLFSLINQWEEDPSSVSHGSNRAVYSTEERKMRRMHSNRESARRSRCRKKRHLENITREANRLRIQNRELKNRLSSTMHHHLFLSLQNEKLKSESIDLMSKLLDLYQILGTMLSQ >OIV97580 pep chromosome:LupAngTanjil_v1.0:LG14:15976204:15981384:-1 gene:TanjilG_12337 transcript:OIV97580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLKLLCVVSISFFHITEAEDPYRFFNWNVTYGDIYPLGVRQTGILINGQFPGPDIHSVTNDNLIINVFNSLNESFLLSWNGIQERRNSFEDGVFGTTCPIPPGKNFTYILQVKDQIGSFYYFPSLSLHKAAGGFGGIRILSRPRIPVPFPDPDGDYTVLIGDWYKSNHTTLKAHLDRGKKLPIPDGVLINGRGPNGVSFNVEQGKTYRLRISNVGLEHSLNFRIQNHKLKLVEVEGTHTLQTSYSSLDVHVGQSYSVLVTADQPAQDYYIVASTRFTSKVITTTGLLRYSNSQGPVSGPPPGGPTIQIDWSLNQARSIRTNLTASGPRPNPQGSYHYGLINTTKTIILSSSAGQVNGKQRYAINSVSYVAPDTPLKLADYFNISGVFRVGSISDRPTGGGIYLDTSVLQADYRSFVEIVFQNDEVIVQSYHLDGYSFFVVGMDGGQWTPDNRKQYNLRDAVARCTTQVYPKSWTAIYVALDNVGMWNLRSEFWARQYLGQQFYLRVYTTSTSIRDEFPIPKNALLCGKANGRHTRPL >OIV97568 pep chromosome:LupAngTanjil_v1.0:LG14:16043789:16045823:1 gene:TanjilG_12325 transcript:OIV97568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSLGIPVKLLHEASGHVVSVELKSGELYRGSMIECEDNWNCQLESITYTAKDGKTSQLEHVFIRGSKVRFMVIPDMLKNAPMFKRLDARVKGKGASLGVGRGRAVAMRAKAQAAGRGAAPGRGVPPVRR >OIV98281 pep chromosome:LupAngTanjil_v1.0:LG14:3620872:3621111:-1 gene:TanjilG_09915 transcript:OIV98281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGQFRKNGKIWVRVFADIPITGKPTEVRMGRGKGNPTGWIARVSTGQVLFEMDGVSFSNARQAATLVAHKPCSSTKFV >OIV98499 pep chromosome:LupAngTanjil_v1.0:LG14:362505:364703:1 gene:TanjilG_18783 transcript:OIV98499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRGRSDSGVWLRWCLVVFAVISALAVCGPALYWRFKKGITFHNSNLSCPPCLCDCPPPLSLFNLAPGLVNLSVSDCGSNDPDLKEEMEKQFVDLLTEELKLQEAVAEAHTRHTNITLAEAKRVASQYQREADKCITATETCEQARERAQAILLREKKITSVWERRARQMGWEGE >OIV98293 pep chromosome:LupAngTanjil_v1.0:LG14:712517:714956:1 gene:TanjilG_16620 transcript:OIV98293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCLFVVFLVFVVFTPCLSSRITTKKDCIFNVLDYGASGDGETDDTNAFKAAWDEACGATKNTPTLLIPIGKTFKLQPVHFKGPCKSQSVHVNLQGIIIAPRRDDDWKWSDNDRATWIRFYDINGLVISGGGVIDGKGDSWWSCKSNKKCPKPSALSINCCPDLKLMGLTSINSPRNHLSINQCNGSFISDLRISAPDDSPNTDGVDISESSHMVLQNSIIGTGDDCIAINSGSSFINITGVICGPGHGISIGSLGKNGSYATVEEVNVTNCTFVETTNGVRIKTWKGGSGYARKITFKDIILQHVQNPVIITQQYVDNHSFGSSSPKRNKTNYGSSSAVALSDITYKNVRGTSESEEAIQLICDKNVGCTNIVLEGINIKSSNGGKTHATCQNAHGTFSSCTPNVPCLD >OIV97587 pep chromosome:LupAngTanjil_v1.0:LG14:15923912:15928202:-1 gene:TanjilG_12344 transcript:OIV97587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKWTLPSALLFLSLLLLFADQGRKLQANAEADSEELVDPPKVEDKIGAVPSGLSTDSDVAKREAESISKKSLRSNAEKFEFQAEVSRLMDIIINSLYSNKDIFLRELISNASDALDKIRFLSLTDKEILGEGDNAKLEIQIKLDKENKVLSIRDRGIGMTKEDLIKNLGTIAKSGTSAFVEKMQKSGDLNLIGQFGVGFYSVYLVADYVEVISKHNDDKQYVWESKADGAFAISEDTWNEPLGRGTEIKIHLRDEAGEYLEEFKLKELVKKYSEYINFPINLWASKEVDVEVPADVDETSEEEESSKTSSEEENENEDEDAEKQPKTKTVKKTTYEWEVLNDVKAIWLRNPKDVTDEEYNKFYHTLAKDFSDEKPVAWSHFSAEGDVEFKAVLFVPPKAPHDLYESYYNANKSNLKLYVRRVFISDEFDELLPKFLSFLKGLVDSDSLPLNVSREMLQQHSSLKTIKKKLIRKALDMIRRIADEDPDESTDKDKKEEVSSDNDEKRGQYANFWKEFGKSIKLGIIEDATNRNRLAKLLRFETTKSEGKLTSLDQYISRMKSGQKDIFYITGTNKEQLEKSPFLEQLKKKNYEVIFFTDPVDEYLMQYLMDYEDKKFQNVSKEGLKLGKSAKDKELKESFKDLTKWWKSSLVGENVDDVKISNRLDNTPCVVVTSKFGWSANMERIMQSQTLSDPVKQAYMRGKRVLEINPRHPIIKELRDRVVNNPEDESVKQTAQLIYQTALFESGFVLNDPKDFTSRIYDSVKLSLDISPEATVEEEVETEEVESESDAKQDASASKAEADDAKDEL >OIV97579 pep chromosome:LupAngTanjil_v1.0:LG14:15985324:15985644:-1 gene:TanjilG_12336 transcript:OIV97579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSGSGGAATNTKQRIGFWANAMKRKDSYIQFIAMTAILMVSMKSLSQKYRVHSLEEETYTLREENHSLINRMNNIKQSLLHEASLDSTGIFASRLRHLFGEEH >OIV98473 pep chromosome:LupAngTanjil_v1.0:LG14:2593919:2600213:-1 gene:TanjilG_16800 transcript:OIV98473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMASCISVMNFNLSNASSKFNPNPISHHSKISFSTTRFLRKHRSPPLRVVCMDYPRPELDNTANFVESAQFSSTFRTSPRPTKPLNIVIAGAGLAGLSTAKYLADAGHKPILLEARDVLGGKIAAWQDEDGDWYETGLHIFFGAYPNIQNLFGELGINDRLQWKEHSMIFAMPNKPGEFSRFDFPDVLPAPLNGIWAILKNNEMLTWPEKVKFAIGLLPAMLGGQPYVEAQDGLSVEEWMKKQGVPERVTDEVFIAMSKALNFINPDELSMQCILIALNRFLQEKHGSKMAFLDGNPPERLCMPIVDHIQSLGGEVHLNSRIQKIELNDDGTVKNFLLNNGKVIEGDTYVFATPVDILKLLLPDSWKQIPYFQRLEKLVGVPVINVHIWFDRKLKNTYDHLLFSRSSLLSVYADMSVTCKEYYNPNQSMLELVFAPAEEWISRSDEDIIGATMSELAKLFPDEISADQSKAKILKYHIVKTPRSVYKTIPNCEPCRPRQRSPIEGFYLAGDYTKQKYLASMEGAVLSGKLCAQAIVQDAELLAARGQKRVTQAGGVI >OIV97556 pep chromosome:LupAngTanjil_v1.0:LG14:16117775:16119520:-1 gene:TanjilG_12313 transcript:OIV97556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSERLGSEEALCHDIQNLSVSKRLVRSVSQKLRKRNNNRSASEEDDDGKGVSLRCLTLYGRGGGCKVGADTSDEHGDSSGRRRSSASEEGKGYRPIRGADEIAVECFSYGVKDRFCRGQHNRKSSELEELIMSSRMHMFLPDDILEMCLVRLPLTSLMNARLVCKTWRSLTTTPRFLQMRREGLYQNPWLFLFGVVKDGFCSGEIHALDVCLNQWHRLEAGFLKGRFFFSVASIQDDIFIVGGCSSLTNFGNLDRSSFKTHKGVVAFSPLTKSWRKVPSMKYARSVPLLGVFEVSLDFPTCQGHQSRLDRRSPRSRIGGISEVYEDPHKLSVRRPSRSALNESEASSLPSRKAYKFLRQRSDQPSSKGGKRFVLIAVGGLGSWDEPLDSGEVYDSVSNKWTEIQRLPFDFGVACSGTVCGRMFYVYSEMDKLAAYDIERGFWIAIQTTPFPPHVHEYYPKLVSCNGRLLMPSVSWCEGDGQIGQRNKAVRKLWELDLKYLTWTEVSVHPDAPMDWNAVFVADKNMIFGVEMFKIFGQVLDFFTVCDVSDMAKWNHISRNHATQELDASSCFTKSVAVLHL >OIV98476 pep chromosome:LupAngTanjil_v1.0:LG14:2632165:2648204:-1 gene:TanjilG_16803 transcript:OIV98476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTVDKMLIKGIRSFDPENKNVITFFKPLTLIVGPNGAGKTTIIECLKLSCTGELPPNARSGHSFIHDPKVAGETETKGQIKLRFKTAAGKDVVCIRSFQLTQKASKMEYKAIESVLQTINPHTGEKVCLSYRCADMDKEITALMGVSKAILENVIFVHQDEANWPLQDSSTLKKKFDDIFSATRYTKALEVIKKLHKDQAQEIKTYKLKLENLLTLKDAAYKLRESITQDQDQTESLKHQIQDLEESVQDMDNKIHHAEKTLKDLRKLKEHISTKTTQRSTLFEENQKQYAALSEENEDTDEELMEWKTKFGERVAILNTKISKLEREVKDTDTRSEFLNETIKDSIKEISKLQTEAEAHMSLKNERDSSIQNLFAKYNLGSLPESSFTDEVALNLTNHVISRLKALERDLQDKKKTNDNKLKMAWDSYVSANDCWKSTEAKKQAKIDEKARNLKRIEDKKNERKTFEPQISDTNFSRIDERERSLQIEVERKTSQLAEREFEANIRQKQTELFSIDQKIKDVSREKDIMASDSEDRVKLSLKKAELENQKKKHRKIIDEHKDKIRRVLKGRVPPDKDVKKEITKALRFGKPVLSFFEEEEEVVVEEEEEVVVEEEEEVVVEEEEEVVVEEEEEVVVEEEDEYRKTTIIECLKLSCTGELPPNARSGHSFIHDPKVAGETETKGQIKLRFKTAAGKDVVCIRSFQLTQKASKMEYKAIESVLQTINPHTGEKVCLSYRCADMDKEITALMGVSKAILENVIFVHQDEANWPLQDSSTLKKKFDDIFSATRYTKALEVIKKLHKDQAQEIKTYKLKLENLLTLKDAAYKLRESITQDQDQTESLKHQIQDLEESVQDMDNKIHHAEKTLKDLRKLKEHISTKTTQRSTLFEENQKQYAALSEENEDTDEELMEWKTKFGERVAILNTKISKLEREVKDTDTRSEFLNETIKDSIKEISKLQTEAEAHMSLKNERDSSIQNLFAKYNLGSLPESSFTDEVALNLTNHVISRLKALERDLQDKKKTNDNKLKMAWDSYVSANDCWKSTEAKKQAKIDEKARNLKRIEDKKNERKTFEPQISDTNFSRIDERERSLQIEVERKTSQLAEREFEANIRQKQTELFSIDQKIKDVSREKDIMASDSEDRVKLSLKKAELENQKKKHRKIIDEHKDKIRRVLKGRVPPDKDVKKEITKALRTVEAEFDDLNAKYREAEKEVNMLQMKIQEINANLSKHHKDLESRKRFIESKLQSFDQQRSGIDAYLTVLESSKEKRDVQTSKYNIADGMRQMFDPFEKVARAHHFCPICERPFSADEEDDFVKKQRVKAASTAERMKLLAMESSNADSHYQQLDKLRIVYEEHIKLSKETIPNSEKELHQLKEELDDKSQALDDVLGVLAQIKNDKDVVEALVQPIETADRLFQEIQAVQKQVEDLEYKLDFQGQGARSLEEVQFELNTLQGTKDTLHTELEKLREEQRYMENDLHSINSRWRAQREEKMKAANLLQEVKRVEEELESLTQEKTQLDLDEKHLAEALGPLSKKKDKLYADYDELKNRLNHEYEDLAEQKRNYQLEVESLLKMTSKIKEYSDLKKGDRLKEMLEKKYQSESQLKSCDTKKQEILVELNKSKDLMRNQDILKRNIEDNINYRKTKAEVDKLADEIETLETNMLKVGEVSTVETELRKLSQERERLLSEVNRCRGTMSVHQSNISKNKIDLKQTQYKDIDKRYFDQLIQLKTTEMANKDLDRYYSALDKALMRFHTMKMEEINKIIRELWQQTYRGQDIDYISIHSDSEGAGTRSYSYKVLMQTGDAELEMRGRCSAGQKVLASLIIRLALAETFCLNCGILALDEPTTNLDGPNAESLAAALLRIMEDRKGQENFQLIVITHDEHFAHMIGQRQHAERYYRVAKDDQ >OIV97896 pep chromosome:LupAngTanjil_v1.0:LG14:13424287:13425339:1 gene:TanjilG_12653 transcript:OIV97896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKGRETKAAPSTDLLVCFPSRAHLSLMPKPICSPARPSESKKLGHGRHHKRTFSKGGGSDQASSPLLWGKSTKSMGSEIAEPTSPKVTCAGQIKVRPKTNAGYRNWQSVMGEIEKIHNDKKQKKRGVNWVETFGFKKEVMQFLTCLRSIRFDLRCFGSFPGTDIAAEDDEEEDDVEDEEGYHENHVKVEESHDNEDSEARFSKWFMVLQENENNELKEKDKVIRDDGAMAKNYVPPPNALLLMRCRSAPAKSWLNDESEGGYIHEERVKEKYERKGKGKEKEKEKEELKVIQKGKSLKSLMDEEKRNKDNLYVMRYATDFYELSSDIAKETWIVGDLRDSFSRSRSWKR >OIV97616 pep chromosome:LupAngTanjil_v1.0:LG14:15745519:15749702:-1 gene:TanjilG_12373 transcript:OIV97616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESNNLHHLQQDQPHLVEASSLTPSSYGSLGESTYSWNPNITLNAGNFNPNFQEANARSRALMHKNDMIEDLESCIAPKFSEMLNNTPPNMENYQYHNQFDSTSTATNRMKNNNEHKDMDSLNEKLLLKTLFSGDIYSTKAENYANFGGVPSRGNFSQIYPSINISNLNHSSSTSTLPISSSLNIITSSQPLDLLTSPASFPSGLNHRSHSQDHGFGNDNLSFRLHHMQQPSHRSSCNNSSDLSHFTNGAIETKRPCTLMQSKASQSQTASKKSRLESRTSCSPIKVRKEKLGDRIAALQQLVAPFGKTDTASVLMEAIGYIKFLQCQVETLSVPYMKSSQNQNNRVMQRNSAIGDTNEEPKEDLRSRGLCLVPLSCMSYIAGDGGTEVWQQPNFGGPT >OIV98151 pep chromosome:LupAngTanjil_v1.0:LG14:8411438:8411797:-1 gene:TanjilG_12187 transcript:OIV98151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEWVDTGKILECYALAQDDRVFKRLVGKMGDRVDWSKLLKRATLKNREHASDTSKWARTSWWTPSVGHPRERSAPRREPETFPYVRNGS >OIV98186 pep chromosome:LupAngTanjil_v1.0:LG14:7116429:7118720:-1 gene:TanjilG_11583 transcript:OIV98186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSITSFNMSHSSLLSSPSSLFHNTKTKHYFLTIPLSKQLSCFKNPSLSLTVATKTHRFPFLAFVAQTSDWAQQEDKDSTFTFEDQEVGETEAGLSGLEPNAEDAEGEGEGAGEEEEEEEGEFEEGGFPEPPEEAKLFVGNLPYDVDSQKLAMLFEEAGTVEVAEVIFNRDTNQSRGFGFVTMSTVEEADNAVEKFNRYDLDGRLLTVNKASPRGSRAEPPPRNFEAGSRVYVGNLPWDVDNNRLEQIFSEHGKVENARVVYDRETGRSRGFGFVTFSDETEMNDAIAALDGQSLEGRAIRVNVAEDRPRRSSF >OIV97945 pep chromosome:LupAngTanjil_v1.0:LG14:12835434:12839455:1 gene:TanjilG_12702 transcript:OIV97945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSEDSKKVVFDAEEASLLVKDLRESFGSGRTRSYEWRVSQVKALLKLLVDNEQKIIDALSSDLARPPFETVVYEIGMFKNSCEVTLKGLKQWMKPEKVKTSITTFPSSAEIVPEPLGVVLVISAWNYPFLLSLDPVIGAIAAGNAVVLKPSEIAPASSALLAKLLGEYMDNSCIRVVEGAVDETSALLQQKWDKIFYTGNGRVGRIVMTAAAKHLTPVVLELGGKSPVVVDSDVNLEVAARRIISGKWGCNNGQACISPDYVITTKDFAPKLVDALKTELEKFYGKNPLESEDLSRIVNSNHFGRLTKLLDDDKVSGKIVYGGEKDESKLRIAPTLLLDVPRDSLIMSDEIFGPLLPIITVNKVEESIDLINSGTKPLAAYLFTTNKKLTEQFVTNVSSGGLLVNDTVLHLVVHTLPFGGVGESGMGGYHGKFSFDAFSHKKAVLYRSFIGDGAIRYPPYTDTKLRVMRALVGGGILNIIRALFGWS >OIV97606 pep chromosome:LupAngTanjil_v1.0:LG14:15806296:15808303:1 gene:TanjilG_12363 transcript:OIV97606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKAAKVVRERKYKVITNQIDTQRKKMNNEKEVQRRLLRDLDEDPRYGMVENGGDYDSVMGFSNIGPRMFALTLQPSHTNNVHNGPGSDLTTYPLLF >OIV98377 pep chromosome:LupAngTanjil_v1.0:LG14:1612181:1614292:1 gene:TanjilG_16704 transcript:OIV98377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAPEGSQFDAKQFDSKMNDLLTSEGQDFFTSYDEVHDSFDAMGLQENLLRGIYAYGFEKPSAIQQRGIVPFCQGLDVIQQAQSGTGKTATFCSGILQQLDYGVTECQALVLAPTRELAQQIEKVMRALGDYLGVKVHACVGGTSVREDQRILSSGVHVVVGTPGRVFDMLRRQSLRADYIKMFVLDEADEMLSRGFKDQIYDIFQLLPSKIQVGVFSATMPPEALEITRKFMNKPVRILVKRDELTLEGIKQFHVNVEKEEWKLDTLCDLYETLAITQSVIFVNTRRKVDWLTDKMRSRDHTVSATHGDMDQNTRDIIMREFRSGSSRVLITTDLLARGIDVQQVSLVINYDLPTQPENYLHRIGRSGRFGRKGVAINFVTKDDDRMLFDIQKFYNVIIEELPSNVAELL >OIV98088 pep chromosome:LupAngTanjil_v1.0:LG14:9848401:9852251:-1 gene:TanjilG_25953 transcript:OIV98088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSSTQEFDYLFKLLMIGDSGVGKSSLLLCFTSDSFEDLSPTIGVDFKVKYVTMDGKKLKLAIWDTAGQERFRTLTSSYYRGAQGIIMIYDVTRRETFTNLSEIWAREIDLYSTNQDCIRMLVGNKVDKEGDRVVTKKEGIDFARECGCLFIECSAKTRVNVQQCFEELVLKILDTPSLLAEGNKGVKKNIFKDKPPQSAATSSCC >OIV97832 pep chromosome:LupAngTanjil_v1.0:LG14:14116713:14119246:1 gene:TanjilG_12589 transcript:OIV97832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPTRDQALSLLAAANNHGDLNVKTSSLKQAKNILLSIEPSLAAELFPYMLDLKSSPESLVRKLLIQVIEEIGFKAAEHSPSLVSVLLSFLRDADVGVVKQSIVSGTHIFTSAFEELTVQFQQHGKVERWLEEIWMWMLKFKDAVFGIALEPCPVGIKLLALKFLETFALLFTSDTSDPEKSTTEGVRQAVNISWLVGGHPVLDPMVLMSEANRTIGILLKLLQSAGSLPGCLTITVVNW >OIV98054 pep chromosome:LupAngTanjil_v1.0:LG14:10204513:10208326:-1 gene:TanjilG_09547 transcript:OIV98054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNMALFVLILGSANAQLSTTFYSSSCPKILSTVKSTVQSAISKESRMGASLLRLHFHDCFVNGCDGSILLDDTSNFTGEKNANPNRNSARGFDVIDNIKSAVENVCPGVVSCADILAIAARDSVVILGGPTWNVKLGRRDAKTASQSAANTGIPAPTSSLSQLTTRFSALGLSSNDLVALSGSHTIGQARCTSFRARIYNETNIDTSFASTKQSSCPATSGSGDNNLAPLDLQTPTTFDNNYFKNLVQKKGLLHSDQQLYNGGSTDSIVSGYSTNPSSFSTDFASAMIKLGDISPLTGSNGEIRKNCRATN >OIV97754 pep chromosome:LupAngTanjil_v1.0:LG14:14798652:14800945:1 gene:TanjilG_12511 transcript:OIV97754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKQFLSKLPRKASNLDSDESNRVDSLRSDSSAVKNHRLHGGNNNNNTVKRTSSSAVFPASTVSGIEPLVPFKDVPNGERTNLLVSKLSLCCVTFDFTDPSKSIFEKDVKKRTLVELVDFVANGNTRFSEPAILAMCRMCAINLFRVFSPNYRLNRGGGSGGGENDDEPTFDPAWPHLQLVYELFLKFISSSCLDAKVAKKYIDHWFISRLLDLFDSEDPRERDCLKTILHRVYETFMVHRPFIRKSINNVFFRFVFETEKHNGVAELLEIFGSIISGFALPLKDEHKMFLWRVLIPLHKPKSMAVYSHQLSYCVTQFIEKEPKLASTVIRGMLKYWPITNSQKEVMFLGELEEILDAINMVEFQNVMVPLFWRIGYCINSLHFQVCSNTLFSKGPLIVSMMWRNSIIYGTHSSVSVSSGCSKSKDVATFVGRFICLGVLFNCTNFGSQVAERALFLWNNDHIVNLIARNRQVILPIIFPALDRNSQSHWNQAVLNLTHNIRKMFMEMDEKLYLSCHSHFKEEEAMSSTANEKQKEAWKQLERVASLRPVIGNTAVLVSPI >OIV97985 pep chromosome:LupAngTanjil_v1.0:LG14:11839997:11842010:-1 gene:TanjilG_14085 transcript:OIV97985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSVKEDKYRTFLSEDCEKNTKWTYGAPPNYDLVNKLFEDGRTKEWPLGSVEEKVQTLVKNWEMEMFHKVDLHENRSVDPEKYTFSLNGRKPINLEEKRKLGGGYIPLLQTSLPEKLRPYNPDNETADSSHKAFTTTFPRGFALEILHVYSGPPTIVYKFRHWGYMEGPFKGHAPTGQKIELYGMAIFTLDENSKIVKVEFFYDPAELLGGLLTGPVFDGTAEEVVSSCPVLRNTG >OIV97866 pep chromosome:LupAngTanjil_v1.0:LG14:13757831:13758586:-1 gene:TanjilG_12623 transcript:OIV97866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKVMEFAEQGNQVQEQMGVQVPPPEQASLENSTPVTNTRAAAENGAASATPGQMERPIVGTIRYKECQRNHASAIGGYAVDGCGEFLAAGGEGTLAVTCAACNCHRNFHRKEVVGAILPQPPVQHHHNQFTPHYHHVPPPPPGAGYQLVAVPPLRQHHPLALPAPSDGGYNHEEVDMSNPNNGGKPKKRFRTKFTQEQKDKMAAFAEKLGWKIQKHDAATVEQFCAEIGVKTQVLKVWMHNNKHTHCKKT >OIV98109 pep chromosome:LupAngTanjil_v1.0:LG14:9502628:9520983:-1 gene:TanjilG_25974 transcript:OIV98109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLEDVEEVTAAAASSSHRVLLISAGASHSVALLSGNIICSWGRGEDGQLGHGNTDDRLLPTQLSALDCQDIVSVTCGADHTIAYSESLSEVYSWGWGDFGRLGHGNSSDLFIPQPIRALQGVRIKQIACGDSHCLAVTMEGKVQSWGRNQNGQLGLGTTEDSLVPQKIQILEGLPIKMVAAGAEHSVAITEDGDLYGWGWGRYGNLGLGDRNDRWLPEKVSSVDCDMMVMVACGWRHTISVSSSGALYTYGWSKYGQLGHGDFEDSLVPHKLQALSDKSICQVSGGWRHSMALTTSGILYGWGWNKFGQVGVGDHIDHSSPVQVKFPQDQKVVQISCGWRHTIAVTERGNVYSWGRGTNGQLGHGETVDRNFPKIIEALSVEGSSGQHIESSNADSLSGKTWASLSERYAVVPDETPVYDDDIFDGIPGLKSTSKVKYGDVLTAVDSAAKGGGSGGDGAFDDIVGGFGNKSKSPGGVRLEKDEKGVGDFDYFETGFGSSGDRPAPDIGLSSEPAVSASKAASSTTENLLKVFESTSSSMGSRSGELTRPLEEINKFSSSRRTKHHSSSYSNGGVYDDSGPFDRLGKSILAFSSERVSGKGSSSPSPRAKSSSSWTRFKESFQKSSTRSPQRRSQNKNPVEHDHEFQQPPFVMPTYSSGSNKPVGQSSTSPSYDNDGFSQASIVVDMSPKYVENSEPKNDIWLMVSEVPLFTQPTAAPPPSRPPPPRPVHIPKSATGSPASANARMKDNDSYFPGSTRFSQVSKSAPAASKLSPASQFDEDFPMGRNSGNHNEHGNDLPVEELEMDSIAAAMKEAMDRAEAKFRHAKEVRERENAKASRSKETSQLEKDDMSVLEERAKQERLDHERQQKEREEKEQHRLEREWDEKERERQRLERERERARQAVERATREARERAAAEARQRAERAAVGKAHAEVRERAERAAVQRAQAEARERAAAEAKQRAEKAVAEAKEREAQERTAAASAEAEARLKAERAVVARAAVEAQERAAVQARERAAAAARTNQQKNENDLESFFSMGARANSAPRPSSSESVIDSQFQPDVTRKSTDVSSSMKKASPSSTNIVDELSSIFGAAPSSSGEFQDIEGETEERRRARFERHQRILERAAKALAEKNQRDLQTQIELAERNRLSETLDFEVKRWAAGKEGNLRALLSTLQYVLWPECGWQPVSLTDLITGAHVKKAYRKATLCIHPDKVQQKGATLQQKYIAEKVFDLLKVLQTI >OIV97700 pep chromosome:LupAngTanjil_v1.0:LG14:15182675:15186179:1 gene:TanjilG_12457 transcript:OIV97700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSAMHNPRTVVEVFTDFKSRRTAIIKALTIDKENLCLYGFPSEHWEVNLPAEEVPPELPEPALGINFARDGMQQKDWLTLVAVHSDAWLLSVAFYFGARFGFDQADRKRLFTMINDLPTISEVVTGLAKKQGKEKSSVSNHSSNKPKSNSKGGSESQAKYSRAMQSEDENGFGEEDEEEHEESKCGACGENDADEQFWIFCDSCERWFHGKCVKITPARADHIKHYKCPSCSHKRSRPLP >OIV97909 pep chromosome:LupAngTanjil_v1.0:LG14:13301348:13302949:1 gene:TanjilG_12666 transcript:OIV97909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQSPSTAIPSPEPYRRQLSFSSDDDYSTAGGPHDYTSDIPDECLAMIFHLLTSVDRKRCSVVSKRWLRVDGESRYRLSLQAKGELINRVPSIFTRFHSVTKLALRCDRRSTSINDDALILISLWCRNLTRLKLRGCREITEAGMVGLANNCKNLKKLSCGSCIFGAKGVYALIEHCNSLEELSIIRLRGVDDDISTGIVDNGVVSVPSSLKSICLKELVNGRSFEPLIIGAKKLRSLKLIRCLGDWDMMLEDMGKLNPGLVEIHLEKVQVSDLGLRGISKCLKLETLHVVKTPECSDLGIIDVAEKCTMLKKLHIDGWRTNRIGNDALIAVARNCPNLLELVLIAMFPTGLGLEAVVSNCRNLERLALCGIGTVGDAEIEYIATKSLALKKICIKGCPVSNSGIVAFGFGCPNLVKLKVRKCSKVSREVVEWLRKKRGPFGFNFDFSEVESEALDGSGSDGGGVEESTTVFPHPIVAQVTTLADDTAASSSNNNNNRFSMLRTKFGFLASWNVVPCAFRRWSNNDNISGDSF >OIV98121 pep chromosome:LupAngTanjil_v1.0:LG14:9135561:9139560:-1 gene:TanjilG_25986 transcript:OIV98121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEETPKTTLPITTEEEEEAVVTNVSLADKNNNTDAEKVAEFSSFKEESTRVSELPEAEKKALEELKQLIQESLIKHEFFSLALNPPKEEKKSESLVADKKEHVLEHVSDLETKTEEKGQVLESVVDVVATKKGEKKEEEDQPTKTEEEAAPEVKETEEFENNTKTEKEGEKKEDEPKVKVTKVVFASSIDEDGAKTVEAIEETVVAVSSITTSTVPEPSTKEEEEAKEDNKDEALSTPTLVPPEEVSIWGVPLLLDERTNVILLKFLRARDFKVKEAFTMIKNTIKWRKEFGIDELLEQQNLVNDDLEKVVYMHGFDKEGHPVCYNIYGEFQNKEVYKKTFSDEEKREKFLRWRIQFLEKSIRKLDFTPGSVSTIVQVNDLKNSPGPGKWELRQATKQALHLLQDNYPEFVAKQVFINVPWWYLAVNRMISPFLTQRTKSKFVFAGPPKSAETLLRYIAPEQLQVKYGGLSKDGEFGSNDVVTEITVRPAAKHTVEFSVTENCVLSWELRVIGWDVSYGAEFVPSTEGSYTIIIQKARKVASSEEPVVYNSYKVGEPGKIVLTIDNESSKKKKLLYRLKTKPSSFD >OIV97889 pep chromosome:LupAngTanjil_v1.0:LG14:13521582:13525223:1 gene:TanjilG_12646 transcript:OIV97889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGGCSIVWFRRDLRVEDNPALSAAVRAGTVVALFIWAPEEEGQYQPGRVSRWWLKHSLAHLDSSLRSLGTSLITKRSTNSVSSLLDVVKSTGATQVFFNHLYDPLSLIRDHQAKEVLTSHGITVLSFNADLLYEPWDINDACGQPFTTFAAFWERCLSMPYDPDSPLLPPKKIIPGDVSRCMSDILVLEDELEKASNALLARAWSPGWSNANKALTTFINGPLLEYSKNRKKADSATTSFLSPHLHFGELSVKKVFHLVCIKQILWANEGNKAGEESVNLFLQSIGLREYSRYICFNHPNSHERPLLGQLKLFPWVVNEGYFKAWRQGRTGYPLVDAGMRELWATGWLHDRIRVVVSSFFVKVLQLPWRWGMKYFWDTLLDADLESDALGWQYISGSLPDGRELDRIDNPQFEGYKFDPNGEYVRRWLPELARLPTEWIHHPWNAPEAVLEAAGVELGSNYPLPIVGIDAAETRLEEALSEMWRLEAASRAVTENGTEEGLGDSSESTAVAFPQDIQMEESDEPARNNSPPLARHYQDQMVPSITSSLTRVEEEVTSDIRNSAEQSRAEVPINVNTRQNARDALNERMLQTAQRNAQLHYNSGMHMRNAAEDSAVESSSISRRRERDGGVVPEWSPSASSYSEQLVGDENGIASSSSYLQRHPQSHQLLNWRGLPQTG >OIV98039 pep chromosome:LupAngTanjil_v1.0:LG14:10641854:10646397:1 gene:TanjilG_12270 transcript:OIV98039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTSTHLNNNNNDTPFLSGGGGLRATFSSLMADAAIRSSPVVIFTAVAGIALVAVIFFYGTSRGRLKSPWSRRKRKRVLSCHQWKSLFTEDGRLCDGGIKFLKRVRSGGVDPSIRAEVWPFLLGVYDLDSTKAERDVIKTKNRKEYEKLRRQCRQLLKQSNGSPNLNESGEISYEGDGPSLIQDPGSPKSEDATSARESLSSEERSPETEYSDDPSSALLEGDSVPNVSNADASALDTDSSDSDSSEGLEVIQAFPSDDAREESNPKRTSKGSSSPSLRKVPSKLQTNEDFPTWQRIIRLDAVRANAEWMPYSPSQAIVTDSRAHRSAEAVGLKDYGHLEAGRIFHAARLVAILEAYALYDPEIGYCQGMSDLLSPIVTVIPEDHEAFWCFVGFMRKARQNFRLDEVGIRRQLDIVAKIIKFKDGHLFRHLEKLQADDCFFVYRMVVVLFRRELTFEQTLCLWEVMWADQAAIRAGIGKSAWSRIRLRAPPTEDLLLYAIAASVLQRRKLIIEKYSSMDEIIKECNGMAGHLDVWKLLDDAHNLVVSLNDKIKLRQ >OIV97880 pep chromosome:LupAngTanjil_v1.0:LG14:13624867:13628647:1 gene:TanjilG_12637 transcript:OIV97880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLHSCLNGTTLQTPNLSSILTFSSSPRLVFLPLHKPPLPRLIKTLSTSSPIETSSLTTSTFTSKSQNEKGGKPQWKATIDFKWIRDNKDDVAANIRNRNSDADLDLVLNLYDKMFNLQKEVERVRTERNAVASKMKGKLEPTERQRLIEEGKKLKEGLTALEEDLLKLNEELQQEAQRIPNMTHPDVPIGGEDSSTIRKMVGSSPQFSFPVKDHLQLGKELDLFDFDAAAEVSGSKFYYLKNEAVLLEMALLNWTLSEATKRGFTPLTTPEIVRSSVVEKCGFQPRGKNTQVYSIDDSDQCLIGTAEIPVGGLHMDSILADSILPLKYVAFSHCFRTEAGAAGTATRGLYRVHQFSKVEMFIFCRPEESEHYHEELIKIEEDMFSSLGLHFKTLNMASEDLGAPAYCKFDVEAWMPGLERFGEISSTSNCTDYQSRRLGIRYRPSEAQVPSGKKSKGNLAPLQFVHTLNATACAVPRMIICLLENYQQEDGSVLIPEPLRPFMGGLNVIARKS >OIV97557 pep chromosome:LupAngTanjil_v1.0:LG14:16113245:16116623:1 gene:TanjilG_12314 transcript:OIV97557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVSSWDGSGSQSDDLFDRLHIEPMYDAFVCPLTKQLMCDPVALENGQTFEREAIEKWFKECRESGRKLVCPLTLQELKSTELNPSMALRNTIEEWTVRNEAAQLDMARRSLNTGSPENETLQALKYVQHICKRNRSNKHIVRQAGLIPMIVDMLKSSSRKIRCRALETLRVVVEEDDENKEMLAEGDIVRTIVKFLNHELSKEREEAVSLLYELSKSEALCEKIGSINGAILILVGMTNSNSEDLSTVEKADKTLENLEKCENNVQQMAENGKLQPLLTQLLEGPPETKLAMAGYLGELVLDNDVKVLVARTVGSSLINIMKSGNMQSREAALKALNQISCGPSAKILIEAGILSPLVNDLFAVGPNQLPTRLKEVSATILASVVNSGEDFDSIPFGPDHQTLVSEVIVHNLLYLISNTGPAIQGKLLQVLVGLTNSQTTVVSVIAAIKSSGATISLVQFIEASQRDLRIASIKLLQNLSPHMSQELADALRGSVGQLGSLIRVLSENTGITEEQAAAVGLLADLPERDLSLTRQLLDDGAFQTIISRVIAIRKGEIRGSRFITPFLEGLVKVLARVTYVLGDEPDALALCRDHNLAALFIELLQANGLDNVQMVSATALENLSLQSQNLTKIPELPSPGVCASIFTCFGKQQVITGMCRIHRGICSLKETFCLLEGRAVGKLIAVLDHTNVKVVEAALAALSTLIEDGVVIEQGVLVLCEKEGVQPILDVLIEKRTENLRRRGVWAVERLLRTEDIAYVVSGAQNVSTALVDAFQHGDYRTRQIAERALKHVDKIPNFSGIFPNVMTS >OIV97814 pep chromosome:LupAngTanjil_v1.0:LG14:14282129:14287130:-1 gene:TanjilG_12571 transcript:OIV97814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKKVVVHKDGPRGVHFRRAGPRQKVYFKSDDVRACIVTCGGLCPGLNTVIREIVCGLSYMYGVNKVLGIDGGYRGFYSKNTVTLTPKVVNDIHKRGGTILGTSRGGHDTGKIVDSIQDRGINQVYIIGGDGTQRGASVIYEEVKRRGLKVVIAGIPKTIDNDIPVIDKSFGFDTAVEEAQRAINAAHVEAESVENGLGVVKLMGRYSGFIAMYATLASRDVDCCLIPESPFYLEGKSGLFEFIEKRLNENGHMVIVIAEGAGQDLFTEHLQDMNQKDASGNKQLQDVGLWISHSIKDHFARTNKMAITLKYIDPTYMIRAIPSNASDNVYCTLLAQSAVHGAMAGIVLMIDCMFKFDMQRIIERQNKVVITDRMWARLLSSTNQPSFLHPKDVEEAKKTKSELPTQLLEDNNCNNLDEAHKAGNNSE >OIV97845 pep chromosome:LupAngTanjil_v1.0:LG14:13958573:13961916:-1 gene:TanjilG_12602 transcript:OIV97845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPIPNSETPFQNSIISTVVPATPREDQNGAFHLTSMDLLMKLHYIRPVYFFTAEAVQGLSISDLKKPMFTLLDMYSHVSGRIRRSESGFPFIKCNDAGVRIAESRCEITLREWFHENGVYSVHGLVHDHVLGPHLSFSPLVFVKFTWFKCGGLCVGLSWAHILGDAFSAFNFITKWSQILVGHTPPKSLHTPNIKEPKFPPSYNIYENPISIKKATVVGEYWLGANNIDVATHSFHFTFKQLQHLVTSTSNQSQITITSKNTSYFEIISAMLMKSIAHIKGEFGTKVLTICTNNNSNHDENEFPNNGLVLSRIEADLEADISELAKLISEKKMVENHIMEKLIEKDEGKEDYIVYGANLTFVDLEEAEIYEVKLNGHKPIMANCTIHGVGDEGVVLVLPAPEDIDDGDGGGGNGRIVTVSLPEKELNQLKDKIGKEWSIV >OIV98468 pep chromosome:LupAngTanjil_v1.0:LG14:2570554:2573777:-1 gene:TanjilG_16795 transcript:OIV98468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWNSKSLGQWDWEEHLLFFNGKASENPKLQSTDLSAEADKEINVGLFYPSGGIGCSKSELIHASSSRSSKSASINSSSSEDSKISMFTLEGSQDDDYSGKKELSKEEPVETSPVLEPSSASGEPLLTLKLGKRLYFEDVCAGSDSKRPSSSTGRKCKSNGQNLQHPSCQVEGCGLDLSSAKDYHRKHRVCENHSKFPKVIIAGSERRFCQQCSRFHDLSEFDDKKRSCRRRLSDHNARRRKPHPETVQLKTSALSSSPYDRRQLMIPFAYSRTATNLAWQDIHSSNLPQTKDFLMKPAKAFNKIPSAVTMLSDDSSGFLTSKGIATKSIITGIEDPIVSSDMNATQDVNRALSLLSTNSSWDAAYETKSFSTHHPITTHAMSHHPLPFASSEYWNTDQQPVNSSMCISSYSDFDNSNRFQDFQLWNTPYESGFPCNQLD >OIV98398 pep chromosome:LupAngTanjil_v1.0:LG14:1854203:1856974:1 gene:TanjilG_16725 transcript:OIV98398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEAIQVLELMGDDRIRYPFDDFVCSSVISGFCRIRKPELALEFFEATSSHKPGLVTYTALVGALCKLGRVDEVCDLVCAMENDGLGLDVVFYSIWVCGYIEEKVLVEVFRKMREMVDKGIVHDVISYSILIHGFSKLGDVDKSFSFLAKMIKEGLEPNKFTYTAIMSAYCKKGKVEEALGVFERLKDLGIDLDEFVFATLIDGFGRIGDFDKVFCLIGEMEKRGVRASVVVYNTIMNGLSKFGRTSEADELSKSVDADVITYSTLLHGYTEEENVPGILQIRGRLEEAGVSMDVVMCNVLMKALFMMGAFEDVHALYKRMPGMGLVPNSVTYCTMIDGYCKAGRIDEALEVFDEFRKTSIVSHACYNSIINGLGKNGMVEMATEALLELNHKGLVLDIDTCRMLMKTVFKEKGAQGVLDLVNRLEGLRPDIYDAVCNDSIYFLCKRGLVEEANQVYIMMRKKGSAVTIKSYYSILRRNLINGNMDQILPLLNSFLKQYGLVEPRVQKISACYLCLKDVDSALQFCGKTREDSLAFTFPVSMLKILIKNGKTLDAYKLVMGIEDNITLTIVDYAIVIDSLCKRGYLNEALSLCAFVEKKGITLNIVIYNSLISGLCHEGRLIEAFRLFDSLEKLNLVPSEITYATLIYAMCREGFLLDAEHVFGRMVLEGFQPKVQVYNSLLDSISKFGQLEKALELLNDMEAKYIEPDSLTISAVISCYCQKGDMEGALEFYYKFKVKDMSPDFLGFLYLIRGLCSKGRMEETRSVLREMLQSNTVAEIFNIVNDEVDTESIGDFLAVLCEQGSIQEAVTVLNEIAHTLFPVRRLSSYNQGSYEQKKIDEWKDLGPESATSPSSSFKSGLDFGSYDTTDLTNLTTNNGSYVTRPLLHSFDLYYSRIASLCSEGELQKANQLTKEMLSDMTGV >OIV97877 pep chromosome:LupAngTanjil_v1.0:LG14:13653748:13654254:1 gene:TanjilG_12634 transcript:OIV97877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLSFFFFFFFFALLATGSLGESPSQAPTHSASSPTATPPPQAAAPPKATPPPLPTPKATPPTSTTNAPSPTSHNPPPPKTTTSPPSPTPDSAEAPTANSPTDSPPAPPTSSPAVSPAADTPSVSDAPAESAVSPGPSSGTISLYRVSGGGYVLSAAVAAVVLLMQI >OIV97610 pep chromosome:LupAngTanjil_v1.0:LG14:15777188:15779645:1 gene:TanjilG_12367 transcript:OIV97610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPDPRQYENIISSLLSSGYMNLQAINDNEIHDVVLSYLIHNCYNESVESFIACTGMKQPTDYLENMEKRKRIFHCALEGNALKAIELTEKLAPDILNKNKDLEFDLLSLHFVELARCKKCTEALEFAQTKLSPFGKEQKYMEKLQDFMALLAYKEPEKSPVFHLLSSEFRQQVTDSLNRAILGCTLEPSQLCGNGKANTAGYSCQTMLDSGAWQGWASTIFLEGFYQKLMGNNRISTGNWGMKHV >OIV98481 pep chromosome:LupAngTanjil_v1.0:LG14:2685604:2689544:-1 gene:TanjilG_16808 transcript:OIV98481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFHPLYDVANFDPLDINSDLSSALNSTWESLVSLFSQTFESASNTEKERPNTSRGAAAAIEDSSIDFGDFFKGPLPGKFLKLLGFLALSRLGVYIPLGGVNREAFVGNLDQNSLLSTLDSFSGGGIGRLGICSLGIVPFINAQIVFQLLAQIYPKLQDLQKKEGEAGRKKILQYTRYASVGFAIVQAIGQVLFLRPYVNDFSTEWALSSIVLLTLGSVFTTYIGERITDLKLGNGTSLLIFTNIISYLPASFGRVISQALKDANYVGLVTIILSFILLVVGIVYVQEAERKIPLNYASRFTSKSRGLEKSAYLPFKVNSSGVMPIIFSTSSLALPGTLARFTGVSALKNAALALNPGGSFYLPFNILLIAFFNYYYTFLQLDPDDLSEQLKRQGASIPLVRPGRSTSTFIKTVLSRISVLGSTFLAILAAGPSVVEQTTHLTAFRGFAGTSILILVGCATDTARKVQAEIISQKYKNIEFYDVDKY >OIV97601 pep chromosome:LupAngTanjil_v1.0:LG14:15840637:15842245:1 gene:TanjilG_12358 transcript:OIV97601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDPGHRENGRHKPDQYKSAQGQWLMQHQPSMKQIMALMAERDAAIQERSLALSEKKAALAERDMAFLQRDTAIAERNNAIMERDNAIAALQYRESSLTSGSMSSCPPGCQISRGVKHIHHPQQQVHQLHNMGDTSYGTRDMHTTDALPESPIPLEAGKPRRAKRPKQAKSISPNKKISKTARKVKMESEDLNDIMFGKMREWKSGQEMFNGGDDLNKQSVVSKADWKGQDLGLNQVAYDESTMPAPFCSCTGVLRQCYKWGNGGWQSACCTTTLSVYPLPAVPNKRHARVGGRKMSGSAFNKLLSRLAAEGHDLSNPIDLKDHWAKHGRVKGLLSNHGHASSS >OIV97856 pep chromosome:LupAngTanjil_v1.0:LG14:13869123:13870163:1 gene:TanjilG_12613 transcript:OIV97856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSLTFLLLLYIKHCYTVSNDNNNNTISYNSTTTTTSSYRGGLPSLTARKNSGIDRCVIESLPIFRFGSLMGQKEGLDCAVCLTKFEATEVLRLLPKCKHAFHVECVDTWLDAHSTCPLCRYRVDPEDIFLLHDTKTSHQNQEQVTIDVEKGRNSLSQISDSSQTRRISGRHSWVEEREGKNKILQTTSFLRRSLDSATTRRKSENGVGVGRFMRQRKDGMLLSTENERRIEHRINIVSPPCMRSEDQRWSDVQPLDLLYLTSEMIISNGGRKMMTLKNRNNDNDDEDDYGKGEIIINTRSVSEITGLSRFLRNSGIDDNNDSNREKGLVSRWLAWISITRSQTET >OIV97948 pep chromosome:LupAngTanjil_v1.0:LG14:12790646:12793395:1 gene:TanjilG_12705 transcript:OIV97948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELVDSRKLQLEQQHFHVLAVDDSVIDRKLLERLLRGSSCKVTCVDSGDKALKYLGLNEDIDNTKSLTSIEPSCLLPQPLQEEGSSWKDVPVVVMSSENVPSRISMCLEEGAEEFLLKPLQLSDLKKLQPYFMKSHNNSCEQESTNTSIASENDNFIINKDNISNNNINSVSKRKAISPDPPERSRPKMKGLEVA >OIV98049 pep chromosome:LupAngTanjil_v1.0:LG14:10125042:10127405:1 gene:TanjilG_09542 transcript:OIV98049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLKTVVFYMGKGFTFLVALSVSTLQTLCFIILLWCRLNLIVCHSPYLVSLSYILSMLRYAAQLVWFKDESKIVCIPVVVAVVSPFPPSDKIGINSVQREAEEIIPMKQLKMDWVPYIPLENRKHFDVDISDLLYLNFSAFNRESQAALKHLKLDRVKKYEYCLLYFYQPFKEDELEQSTEVQIIFPSELKLEFVKEKVHEAKKANREAREARRKAIAEMSEETKAAFENMRFYKFYPVHSPDAPDVSNVKVVVLAF >OIV98270 pep chromosome:LupAngTanjil_v1.0:LG14:3167653:3174214:1 gene:TanjilG_09904 transcript:OIV98270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKQFRVPLQIWRHFMKGPQKDEGSTTLEASARRTDARNYPSRHCVTKSAPRQEGLTHQEIRVGPRGTVEALDASPTSPMCPDKTKPKHQPAPGHVRPGFGMEDESVELPTTPWKNAPACLGQLAGALGESPMACHPDPPRCMVGFPLSVAVLSRLFNARGRGLEGPVPNPSLDRHAATRTRRESSSSSPPTADGFGTGTPVPSPQSQSFSRGYGSILPTSLAYIVPSTRGCSPWRPDAVMSTTGRGRHSVLHIFKGRRGCTGHHATCGALPTAGPYLRLSRFHGGQAICTDDRSARAHAPGFAATAAPSYSSGPGPCPDGRVSAQLGTVTQLPVHPASPVLLTKNGPLGALDSVAWLNKAATPSYLFKNSPAGSSYPEGNFGGNQLLDGLISLLLLYPSQTNDLHVCSHSNPSQKIRVGRRCNPQGDPTNQLPCALRPCALRVYLPAESHTCQTPWSVFQDGPNGEPAGRRPEHAGAEAHQTARASTIIAMMTSPRACQQPELGPTSQLASVHAPSRLADRLSPFHIRPRHIAGPHPLPFRQFRALFDSLFKVLFIFPSRYLFAIGLSPVFSLGRNLPPDWGCIPKQPDSPTAPRGATGSGHDGALTLSGAPFQGTWAPHVAKARGNDVQHPGRRALGLMASGATCVQRLDGSRDSAIHTKYRISLRSSSMQEPRYPLPRVLRISVSQRRRHEHGLWADSGELNDFNFLGAFRVGVLLLGQEDTAEGSPTETLLRLLLPLNDKVQWTSHNVAGSEPPTSPQSEHFTGPFNRIKKELSVCQSLLCLDLLFVFHKSKNFTSDYEIRMPPTVPVNHYSDPEGQHNRIRILWCYPMLMYPERRLALSTLISSK >OIV98344 pep chromosome:LupAngTanjil_v1.0:LG14:1278229:1279592:-1 gene:TanjilG_16671 transcript:OIV98344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEGGGSKLSGIRQIVRLKEILQKWQNVTLGPKTYDSKRTCPDPRRTSSEPNSGATSCGISPLINKRITSVIGCDSDEEGCQSPEPPPDVPKGYLAVYVGPELRRFIIPTTYLSHFLFKVLLEKAEDEYGFDQSGGLTIPCEIETFKYLLKCIETNPSGKSETLEE >OIV98340 pep chromosome:LupAngTanjil_v1.0:LG14:1219354:1220154:-1 gene:TanjilG_16667 transcript:OIV98340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSQERADLLIQTAGLSSAEVQDIEVVLDMMPSLTLDVTCETEGEEGMQEGDIVTLHAWVNVKRGNGLIGALPHAPYYPFHKEESFWFLLADSVSNNVWFFQKVSFMDEAAALTAASKAIEESMEGSGANMKETSRAVAEAVEKVKGGSRLVLGKFQAPSEGSYNLTCYILCDSWLGCDRKTNLKLKILKRTRAGTRGAALTDEGPIAEDGIEEDEDNVDEEYDEDYESEYSEDEEHDQNSKNKHQAANGTANKHGQAENSSSDEE >OIV98367 pep chromosome:LupAngTanjil_v1.0:LG14:1507052:1507423:-1 gene:TanjilG_16694 transcript:OIV98367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSALSMTMPLTHANQKRVLVPTSESFFKPLPLRASKGVVAASKHNVRFQVIASSMKEKVVTGLSAAAMTASMIVPDVAEAAAVPPSLKNFLLSIIAGGVVLGAIIGVVVGVSNFDPVKRG >OIV98142 pep chromosome:LupAngTanjil_v1.0:LG14:8565001:8565282:1 gene:TanjilG_12178 transcript:OIV98142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYLIMRLLICKHLYVLLGSRLDKRRLILWVRIRLRLRLREGLVNESVMRSDYVVMQQVVAAVGEELPFDSDIVGTKEIDRVKMVETVPMSVA >OIV97986 pep chromosome:LupAngTanjil_v1.0:LG14:11855346:11856338:1 gene:TanjilG_14086 transcript:OIV97986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQLDWRRSSSLAKALGTIVSIVGAFIVTLYKGPALLKGLQLLSPANSSQEPVFAQESNWILGGLFLAADCVMASAYIIVQASILKKYPAELIVVFFYCFFVAIQSGLTCLVVERDIGAWSLEPKFRLLVVLYSGVVGSAFQVGVITWCLHQTGPVFVSIFKPIGIAVSVVVGVAFLGDTFYLGSLLGATVIVVGFYSVLWGKAKEIEDGSMRSLDSNNKTPLLKGNSSEEI >OIV98428 pep chromosome:LupAngTanjil_v1.0:LG14:2252117:2254011:1 gene:TanjilG_16755 transcript:OIV98428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKTVRKLARCFPTAIVTGRCKDKVYNFVRLAELYYAGSHGLDIKGPTRSSKYNKDRKSETLLFQPATQFLPMIDGVYQQLVDKTKPIPGAMVENNKFCVSVHFRCVDEKKWNELAHQVKSVLKDYPKLRLTQGRKVLEIRPTIKWDKGKALEFLLESLGLANCNDVFPIYIGDDRSDEDAFKVVNNLNCFL >OIV98276 pep chromosome:LupAngTanjil_v1.0:LG14:3514303:3518386:-1 gene:TanjilG_09910 transcript:OIV98276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSATNTSPKITNIDGSTKNISETDTTVPTRDFLQIYLAQEDVPSSSSHPTPQPQPHPQPQLDPTSVAEVQHSQSSTEPSSVVAATTTTTPQMPEHTLYKNRLQEFTQKSSIPLPMYQTVNEGTQHVPRFRSTVWVDGKSYTNQVSFLNRKAAEQDAARLALEKLPIVIKDEASPLVLENTMFSKSIMNEYATKLNVAMPTYSTAQIIGILPVFVSTLVFNGTKYIGDASRNKKEAEQLAARRAILSILDDPGSEALFEIVKSKSRITATMKPITSQVIDATNLSATLSNSGHASHSLDLNIRVAGSEQAIGEAPNSRKRRKNKKKANKKARLEAPLPVADAFPANEMPPCSVAQ >OIV98107 pep chromosome:LupAngTanjil_v1.0:LG14:9557347:9559697:1 gene:TanjilG_25972 transcript:OIV98107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIIGTGNTKSIVVTGHSIGGAIASLCTLWLLSYLQHISSSVSVLCITFGSPLLGNKSFSNAILKEKWGTNFCHIVSKHDIMPRLLFAPTIPHSTKLNLLLQFWQMSMICPSFGKLAVQVSDNEKAELFNFVMSYLHAATQDGEGCESFLFHPFGSYLFVSEDGALCVDSPVIVIRMMHLTFATSSPASSIEDHLKYGEYVDKLSLEFLVQRNSMQVNISESSYEAGLEFAVQSAGIANQESAIEPAKECLKIARRIGPSPTQNVAHLAVTLSKVVPYRAEIEWYKAWCDDQSDQMGYYDMFKRRGSSKRGMKVNMNRHILARFWDKVINMLETNELPGDFEMIPKWYNASQFYKLLVEPLDIAEYYGKQMHKTKGHYIKHGRDRRYAIFDRWWKDRVDTREENNGRSKFASLTQDSCFWARVEEAREWLNNVRSECDTSKLVVLWGNIENFENYAMKLVENKEVSQDVLAQNSSYSMWLEDLREMRELNAKVEIV >OIV98256 pep chromosome:LupAngTanjil_v1.0:LG14:4175118:4176531:-1 gene:TanjilG_14845 transcript:OIV98256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLNMVGFSIFAMAFVIIATEATEYTVGDGFGWNTPTNVTFYQDWATNKTFLVGDTLIFNMTGNQMVSDVSKADYDNCTKVVSGFAGTEGVTVFKLTLVTSGPRYFICPIDDDCVRGQKFSINVESTNSAAPKPHHSVISQSVGIFATLAVYLLTNAL >OIV97795 pep chromosome:LupAngTanjil_v1.0:LG14:14468413:14470707:1 gene:TanjilG_12552 transcript:OIV97795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKEVVFVPNDDKTKTNHFVTLNNSIDSLHHNISPSPTASSDSVFAHLLRAPEDPILGVTVAYNKDPSPVKLNLGVGAYYKSNHFKSEGNHLKSRDSYAKNMGLYGERVGALSIVCKSTDVASRVESQVKLVVRPMYSNPPIHGASIVAAILRNRDLYNDWTIELKAMADRIINMPQQLFDALRSRGTPGDWSHIIKQIGMFTFKGLNAEQVSFMTKKYHIYMTFDGRISMAGLSSRTVPHLADAIHAAVTRAV >OIV98298 pep chromosome:LupAngTanjil_v1.0:LG14:766728:772234:1 gene:TanjilG_16625 transcript:OIV98298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQSLDPSSFGTSMFRSINMPREAGRAAWDVLSGSDSYHASSDASLFSSSLPVLPHEKLNLNDMKNSYQSSGFKKHHQDIQGNDSPEDVDTNAIGVMLPDDEEELLAGIMDDFDLSGLPGSLEDLEEYDLFGSGGGMELETDPQESLSVGLSKLSFSDSTAGSGLPLNSFPNGVGAVVGEHPLGEHPSRTLFVRNINSNVEDSELKVLFEQYGDIRTLFTDCKHRGFVMISYYDIRAARTAMRALQNKPLRRRKLDIHFSIPKDNPSDKDINQGTLVVFNLDPSVSNEDLRQIFGAYGEVKEIRETPHKRHHKFIEFYDVRAAEAALKSLNRSDIAGKRIKLEPSRPGGARRNLMLQLNQDLDQDESRSFRYQVGSPVANSPPGNWFQFSSPVEQNSMQTMNNSPGSRIIGPRTGNHFSGLASILQPQASNNVKGAAIGKDLRTNSHEEHIFTSMNSTNGTTFQSHSLPEPKFSQYRGPLSSFGQSTSNGLSIETLSGPQFLWGSPTLYSDHIKPSAWPRPSAGHPFTSNGKSHAFPYSTQNNSFIGSSQHHHVGSAPSGLPFERRFGFLPESPETSFVSNASYGGMGLGNNDANYMVNMGGSINAGINMPRNVPDNGSSNFRMRSSPRLNPVFLGSGPYPGPLPATFESLTDRARSRWIDNNGSQVDSKKQFQLDLDKIKNGEDARTTLMLKNIPNKYTSKMLLAAIDENHQGTYDFIYLPIDFKNKCNVGYAFINMLSPLLIIPFYETFNGKKWEKFNSEKVASLAYARIQGKTALVSHFQNSSLMNEDKRCRPILFHSEGPEAGDQIIQEHLPSNNLNVQEHRPSELHSSDFPKSPPNDGFSVSLETN >OIV97720 pep chromosome:LupAngTanjil_v1.0:LG14:15044292:15045062:-1 gene:TanjilG_12477 transcript:OIV97720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEEPYLCDNTRELPPIPNTLFQNPHENKQHHQYLEEQEARKETKRNALLLDLNGPCDDSSLQCSPQLNLITCLDMDSAKTSSEENPPSVDAAIEPRVFSCNYCKRKFYSSQALGGHQNAHKRERSVARRSQRLGTHLMASAAAFGIPYLHDIASLPLHGNKPFGIQAHSMIHKPSHFSSNVIGFGSSYGQQQNGWSRSRPLINQQPGIGKFEVVNVRSSMLNSAANEETSGYVVSGTCLKTSQDDMKLLDLSLKL >OIV98324 pep chromosome:LupAngTanjil_v1.0:LG14:1032330:1034424:-1 gene:TanjilG_16651 transcript:OIV98324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKINDRKSKKKKKKNNNNNNNAKVGAHTLPEVFSYCIHKKHWLKALELFDILREQPYYEPKEKTYMKLIVLLGKSGEPHHAHQLFNTIKEDGCDSTQLYTALLAAYCRNSLIDEAFSTLDEMKHLPRCQPDVVTYSTLIKACVDALEIERVELLYEEMAERSVVPETATHNIVLSGYGKAGWFDKMEKILSSMMESTKCKPDIWTMHTVISAFGNKGRIDLMEKWYENFCDFGIHPETRTFNILIGAYGKKGMYDKMSSVMQSMRKLQCPWTTSTYNNLIEAFAVVGDAKSMEYTFDQMCADGMKVDTKTFCCLINGYANAGTFHKVNSIVQLAGRFQIPENTSFYNSVISACAKAEDLMEVERIFKRMKEKRCQPDETTYSILVEAYQKEGMDDKIYYLEQENRITITGEKNLTMPETHG >OIV97716 pep chromosome:LupAngTanjil_v1.0:LG14:15071689:15075215:1 gene:TanjilG_12473 transcript:OIV97716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFWRSIVFSALLLLLLLLIHDVHCFYLPGVAPQDFQKGDLLQVKVNKLTSVKTQLPYTYYSLPYCSPAKIQDSAENLGEVLRGDRIENSLYAFKMREPQLCNVVCNRKLDAKAAKEFKEKINDEYRVNMILDNLPLVVPIKRNDMDSTVYQLGFHVGLKGQYSGSKEEKFFIHNHLAFTVKYHKDLQTESARIVGFEVKPFSVKHEYEGKWDEKTRLTTCDPHAKHTVVNSNTPQEVEENQEVIFTYDVEFQESDVKWASRWDAYLLMNDDQIHWFSIVNSLMIVLFLSGMVAMIMLRTLYRDISKYNELETQEEAQEETGWKLVHGDVFRPPQNSDLLCVYVGTGVQFFGMILVTMIFAMLGFLSPSNRGGLMTAMLLLWVFMGIFAGYASTRLYKMFKGSEWKKIALRTAILFPASVSAIFAVLNTLIWGQKSSGAVPFGTMFALIFLWFGISVPLVFVGSYVGFRKPAIENPVKTNKIPRQIPEQAWYMNSAFSVLIGGILPFGAVFIELFFILTSIWLNQFYYIFGFLFLVFIILIITCAEITIVLCYFQLCSEDYLWWWRSYLTSGSSALYLFLYATFYFFTKLEITKLVSAILYFGYMLIASYSFFVVTGTIGFYACFWFTRLIYSSVKID >OIV97652 pep chromosome:LupAngTanjil_v1.0:LG14:15504823:15506785:-1 gene:TanjilG_12409 transcript:OIV97652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGWAIAVHGGAGVDPNLPPQRQEEAKQLLTRVLNLGISALRSNASAIDVVELVVRELETDPLFNSGRGSALTEKGTVEMEASIMDGTKRRCGAVSGLTSVKNPISLARLVMEKSPHSYLAFAGAEDFARKQGVELVENDYFITPENVGMLKLAKEANTILFDYRVPTSGYDTCGAAVESPIVMNGLPISVYAPETVGCVVVDREGRCAAATSTGGLMNKKTGRIGDSPLIGAGTYACEVCGVSCTGEGEAIIRGTLAREVAAVMEYKGLGLQEAVDFVINDRLDEGFAGLIAVSNSGEVAYGFNCNGMFRGCASEDGFMEVGIWE >OIV98058 pep chromosome:LupAngTanjil_v1.0:LG14:10313470:10315584:1 gene:TanjilG_09551 transcript:OIV98058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFKRRNGGRNKHGRGHVKFVRCSNCGKCCPKDKSIKRFVVRNIVEQAAVRDVQEACVYEQYALPKLYVKLQYCISCAIHSHVVRVRSRTDRRKRDPPQRFIRRRDDAPKPGQPGQAPRPAGVAAPPRA >OIV98454 pep chromosome:LupAngTanjil_v1.0:LG14:2473195:2473803:1 gene:TanjilG_16781 transcript:OIV98454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTIATMAMIKPINLTTPPRPTSLLSMHTLPKALAFTKASENTNMPTTSITIAGSAIAGAIFASLGSCDAAFAAQQLAEIAEGGSSDNRGIALLLPIIPAIAWVLFNIFQPALNQLNRMRSTKGVIIGLGLGGLLGASGLVSAPDASASEMAVIADAAAAAAAGSDNRGQLLLFVVTPAILWVLYNILQPALNQINKMRSR >OIV98024 pep chromosome:LupAngTanjil_v1.0:LG14:10843357:10845512:1 gene:TanjilG_12255 transcript:OIV98024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQYSRGRDFLFCNLCGTMLTVPSTAQAECPLCKTRRSLKGIRGKEISYTISAEDIRRELGINIIEEEKVQLSKVNKTCEKCGHNEAIFYTRQMRSADEGQTTFYTCTDCGHQFQEN >OIV97916 pep chromosome:LupAngTanjil_v1.0:LG14:13244933:13249646:-1 gene:TanjilG_12673 transcript:OIV97916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENADVFLGLHDFLDRMRQPSASDFVKSIKSFIVSFSNNVPDPERDSESIQEFLSNMEAAFRAHPLWAGCSEDELESAGEGLEKYVMTKLFSRVFASVPDDVKFDEQLSEKMALFQQFIRPENLDITPAFQNETSWLLAQKELQKINMYKAPRDKLVCILNCCKVIGNLLLNASVTSNENPPGADEFLPVLIYVTLKANPPQLHSNLLYIQRFRHQSRLVGEAAYYFTNMLSAESFISNIDAKAISMDETEFERNMEFGRALLSGLSVDTQDPSSPYPNHGHHPRSEPTKHRNKALNDNKDPALQTASSAAKSEIKKVTSEDELLITEVPSLSDLENKGANMLLKEDKVNEVFREYPYLFSSVGDLTVADVEDLLNNYKQLVFKYVCLSKGLGVSPTSHPPPTPKNKAQDHAETTLESSDDGPIGANDKLEESTDTTEDSSDIVSLLGDKKFESGLPQDETVAPEGDTKTETSS >OIV98467 pep chromosome:LupAngTanjil_v1.0:LG14:2560278:2567855:1 gene:TanjilG_16794 transcript:OIV98467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYESEKKKEELERTAFRRCEKKYKLYYDNNKNKKIQIPKPVHLTDVLDFRSILDSHNRNADLPHGVTVLHHNFTSPVFSLQNRPGFYLIPGALSIEKQCSLIRESLTDFPQPPNRTNHNAIYGPICDLFLAAKEGKVLIQDQSPISSSEPDPHFGHRDGKGWTFTTEKDESLRKCKSISASSLLRKLRWTTLGLQFEWSKRNYDVSLPHNKIPEPLCDLAKQLAKPALPAGVDFKPEAAIVNYFGLGDTLGGHLDDMEADWSMPIVSLSLGCKAIFLLGGKSREDPPLAMFLRSGDVVLMAGEARECFHGVPRIFTDRENAEIGHLEEQLKHEDDLCFLKYIQTSRININIRQKPVAKPLQVPRGYSSSGPYLGSNTPSSIYHGVPPYGSSLINGSSIPPYDVPYSGGGSAYHYNFGGRLSVGSPYRPFHLSGPTPYSGGSMIGNGGIYGMPPLLDQYSLGMPIGPGTMGPRPGFYLDDNSQKNGAGAARDSDWKCPKCGNVNFSFRNVCNMRKCNTPKPGSQSSKSDQNSKQKMPEGSWKCEKCNNINYPFRTKCNRQNCGADKPDESEKSLSPGPVQNDQVCCVNYFHFANLNWLLRQLLTFQDILCNTMNILIRQIVV >OIV98350 pep chromosome:LupAngTanjil_v1.0:LG14:1352464:1354785:-1 gene:TanjilG_16677 transcript:OIV98350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTQLTTSSISVRNMVSFEGLRFSQVQFPCVGHVRIGTLTQRSFRGIVVKASTVVAPKYTAIKPLGDRVLLKIKEAEEKTQGGILLPSTAQTKPQGGEVVAVGEGKTFGKNKVDISVKSGEQVVYSKYAGTEVEFNGTKHLILKDDDIVGILDTEDVKDLKPLNDRVLIKLAEAEEKTAGGLLLTEATKEKPSVGTIIAVGPGPLDEEGKRTPISVTPGNTVLYSKYAGNDFKGKDGSDYIALRASDIIAILS >OIV97989 pep chromosome:LupAngTanjil_v1.0:LG14:11908064:11910675:1 gene:TanjilG_14089 transcript:OIV97989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYTYSLALTPSCSPKTHHHYLKPPSKSTTLHSPTTISATAATIRTRKAHSMPQEKIEIFNSLENWVSHNILPLVKSVEKSWQPHDMLPDSSLPSDEFIDQVRILRDRTSHLPEDYLVVLVGAMITEEALPSYQTWINQNDGIKDESGSSSSSWAKWSRSWTSEENRHGDLLRTYLYLSGRVDMQMIEKTIHYLIAAGTDLRGENNPYMGFVYTSFQERATFMSHGKMARMAKEGGDPVLARILGTIAADEKRHETAYIKIVEKLLEVDPTGTIIAIKDMMRNKITMPAHMMKDGRDPHLYDHLGAVSQRLKIYSTNDYINIVEYLIGRWKLEKLEGLTSEGRRAQEFMCGLVQVIKRLEERSNELERKKELKGTKFSWIFNKEVMI >OIV97998 pep chromosome:LupAngTanjil_v1.0:LG14:12134625:12137515:-1 gene:TanjilG_14098 transcript:OIV97998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGKENLKTEDLNLCFEKLMMVAGGNSGIGGNLKAGVITEWKDIPVELLVQILSLVDDQTVIIASGVCRGWRDAIYFGVARLSLSWCNKNLNNLVLSIVPKFAKLHTLILRQDKPQLEDNAVETIANCCRELQILDLSKSFKLTDRSLYAVAHGCCELTKLNISGCSAFSDNALAYLASFCKKLKVLNLCGCVRAASDTALQAIGRYCNQLQSLNLGWCENVGDVGVMSLAYGCPDLRTVDLCGCVQITDDSVIALANKCPHLRSLGLYYCKNITDRAMYSLAQSKVNNRMWRTVKGGRESDEDGLRTLNISQCTALTPSAVQAVCDSFPSLHTCSGRHSLIMSGCLNLTSVHCACAVHAHHRAFSTFLHLAH >OIV98455 pep chromosome:LupAngTanjil_v1.0:LG14:2474614:2478964:-1 gene:TanjilG_16782 transcript:OIV98455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVKSAFNGVRKNWFLSVDASALVENGQHRQLLSSGSANKVACLANTDNALLGPVDNAMDISPKRVSGLNYSLLPELENKQDEKEEVPLVSLGVSQHTGKEVITNLGRDVKSSGNNDTGIPLPRSVPETKDHHYVNNEHPSLQIGCEVDRTSKSTHKDDSNACEECPSISVASLKKQRKSKRKNEDTERDDISKENIASVDNPLSVSSKRVSRSNNFKVPQVENKLVEKEKDHFGSPCVSDRTGEVVENLEKGAKSSGNSDPGIPLLGSVPVAEDHVNNESEVDQSNKYNAKDDSHVREDDPSISMRSAKKKRKGKKKKEDTMQNDTSTENIASVDNALRVPSKSVSSFNNIQTPQWENKKDEKQESHFASSCVSKNTNNGTIKNDCNVHEEDPSTPMPSVKEKRRSKRKKENIVQDDTSKENIDNPLSVPSKKVFSLNNFPASKLESKQDQKEDINIRDESVKEASTAGPAANKKHKKRKRSSTHDSKEMLELETTSQKDEAQKPDEAYKQSKESKDQLEHNNDKSRGDSQSKHNKVTEDFSDIRTPAEKKQKGKDKSTPGVDLSMNKSTINDMEIGTDAYKESIGSKVHPSDVLSSITDQVIEPKELTEHNVNIVIDHCHKSDAGQSEGAEEVREVSPQNDPKPMLLEESPPSNQDNTGANVGESNDISKLVDENGMTEPVKSEKEKRGTRKAKDSSREPSLREGTGLVDASDNGKIFRQFFKATNCNAKSGNTEIEEMKGTVLSATGNEDDLSADNAGSLDNAEDVDEHVDKMQIKKSNNNKTSISKSISNHAMPSIGENRKPHADASRKSEDLEKQREHIPLSNSKVEGSKKKVQSKAGKAYGHDVGGVVSNTQQKKRLLEGAIFKEDSSGTSEDEGGVDNSDASTKTPSDSTLLSDFSDADSNDGLGLDSQQNGLHGGRSALKDGMSGTKMAIDRVLRSSRRYKKAKITASQTESQLEFVPDSLAD >OIV97919 pep chromosome:LupAngTanjil_v1.0:LG14:13221998:13223533:-1 gene:TanjilG_12676 transcript:OIV97919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELMELWAIFGPGVSGAVFGAAWWIWLDAVVCSTATVPFLHYLPGIFASLAALMFNCVRKEDIDYSPYDEGEWRLKLWLFIAYVISFVSLAGSAGLLIQDSLDKSSPSVWTGVAGIFQCVFVLIR >OIV97827 pep chromosome:LupAngTanjil_v1.0:LG14:14165774:14168877:1 gene:TanjilG_12584 transcript:OIV97827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKESLLGNYPLVWNDGNKSVCHFNGVTCNNQSEVINLDLSGKFPSDVCTYLPKLKVLNLGYTKIKFNTHSILNCTYLEELNMNHMSNTGTLPDFSPLKFLRVLDLSYNLFNGEFPLSVFNLTNLEVLNFNENGGFNLWQLPSDVDRLKKLKTMILTTCMVYGQIPPTIGNITSLVDLELSGNYLTGNIPKELGLLKNLQQLELYYNQQLVGNIPEEFGNLTELIDLDMSVNKLTGSIPASVCALPKLQVLQLYNNSLSGEIPDEIENSKTLRILSLYDNFLRGQVPSKLGQFSGMVALDLSENNLSGPLPAEVCKGGKLLYFLVLDNMFSGEIPDSYANCKTLLRFRVSNNRLAGSVPEGLLGLPHVSIIDLSSNNLTGPVPEIGGNSRNLSELFLQRNKISGVIPPSLSRAINLVKIDFSYNLISGQIPSEIGNLKRLNLLMLQGNKLSSSLPSSLSLLGSLNLLDLSNNLLTGSIPESLSKLLPNSINFSNNLLSGPIPPKLIKGGLVESFSGNPGLCVLPVYANSSSQNFPICPHTYNKGKRINTIWVAGVSVVLIFIGSGLFLRRRCNKETAAVEHDETMSSSFFSYDVKSFHMITFDQREIIESLVDKNVMGHGGSGTVYKIELKSGDVVAVKRLWSIKSKDSASEDRLFMDKALKAEVETLGSIRHKNIVKLYCCFSSLDCSLLVYEYMPNGNLWDALHKGWIHLDWPTRYKIALGIAQGLSYLHHDLLLPIIHRDIKTTNILLDVDYQPKVADFGIAKVLQARGGGKDSTTTVIAGTYGYLAPEYAYSPRPTTKCDVYSFGVILMELLTGKKPVESEFGENRNIVFWVSNKVEGKEGARPSEVLDQKLSSSFKDDMIKVLRIAIRCTYKAPASRPTMKEVVQLLVEAVPRNTDSCKLSNKTTKEISNVTTIKKTFEL >OIV98498 pep chromosome:LupAngTanjil_v1.0:LG14:367633:370894:-1 gene:TanjilG_18782 transcript:OIV98498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMENHKGHVVDEEGVSDPFPVGMRVLAVDDDPTCLRVLETLLRKCQYHVTTTNQAIEALRMLRENRNNFDLVISDVNMPDIDGFKLLELVGLEMDLPVIMLSAHSDTKLVMKGVAHGACDYLLKPVRIEELKNIWQHVVRRKKFDGGDKPSKEERAPNIAGEGSQGIVSESSADQNRALGKRRKEQSEDEEDDLEGDGEEIDDPSAQKKPRVVWSVELHRKFVAAVNQLGLEKAVPKKILDLMNVEGLTRENVASHLQKYRLYLRKATQQASMVAAFGGSDSYLRMGSIDGYEDFCASSGSGRISTTTLPSYASSGIYGRLNSPAGLNMRGISSSALIRSVQPQNINSALSTVGSIQPSMYPSNQSSGLLHGIPTSIELTPSKQSNCTTGITQLGQVDPSGFTVASGFQDSRVIVGSADNSLPCASNNHSMLQGNSHQMHSGAFRNQSSVRAAPLSTESFDVGLCGSSNLLGYNRCNENWQSAAQLSKFPANSLPLCEAFNNDELHSAGINVSNSNTPMGNSSVDFSSRMAVSVPLEDSRSELRCQEGFVGNILQPSSSYTPRQRWEEHRLDYNQNMSRPFDSVNSQVSHTGVTNSTGHGLNQNNSICSNRIDTSLFGQLNGASPSMYRCNEAEKLSSDIRFKSNDAYIMEQMKSQDGFIQNNYGTLDEIMGAMVKRV >OIV98197 pep chromosome:LupAngTanjil_v1.0:LG14:6753448:6768401:1 gene:TanjilG_11594 transcript:OIV98197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKKINIRVQIVDICLATRSLNGGLINLQELCYILRQRRKSDRGAVSEDDCLRAISKLKALGNGFEVISVGKKKLVRSVPTELNKDHNEILELAQAQGFVTVDEVERRLSWTSGRAIDALDTLLDEGLAMIDDGHRDGKRRYWFPCVSPVSSSVSVDS >OIV98193 pep chromosome:LupAngTanjil_v1.0:LG14:6919013:6935751:1 gene:TanjilG_11590 transcript:OIV98193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSGQLLLEEPIRMASILEPSKPSFFSAMTKIVGTLGPKSRSVEVISGCLKAGMSVARFDFSWCDPDYHQETLENLKTAIKSTTKLCAIMLDTVGAEMQVVNKSETTITLQEDGEVVLTPDQGHEATSEILPINFDGLAQSVKKGDTIFIGQYLFTGSETTSVWLEVSEVKGNDVVCIIKNSATLHGSLFTLHASQVHIDLPTLTEKDKEVISTWGVKNKIDFLSLSYTRHAEDVRQAREFLSKLGDLSQTQIFAKIENVEGLTHFDEILQEADGIILSRGNLGIDLPPEKVFLFQKSALNKCNMAGKPAVLTRVVDSMTDNLRPTRAEATDVANAVLDGSDAILLGAETLRGLYPVETIYTVGRICSEAEKVFNQDLYFKKTVKFVGEPMTHLESIASSAVRAAIKVKASIIICFTSSGRAARLIAKYRPTMPVLSVVIPRLKTNQLKWSFSGAFEARQSLIVRGLFPMLADPRHPAGSTSATSETILKVALDHGKALGIIKSHDRVVVCQKLGDASVVKIIELED >OIV97969 pep chromosome:LupAngTanjil_v1.0:LG14:11584895:11586989:1 gene:TanjilG_14069 transcript:OIV97969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGFVNGSVNNNNNNVGFSSVEMEYLRRYHRQEAGENQCSSALVKHIKAPLPLVWSLVRRFDQPQKYKPFVSRCVVRGNLEIGSLREVDVKSGLPATTSTERLELLDDNEHILSVRIIGGDHRLRNYSSIMSLHPEIIDGRPGTLVIESFVVDVPEGNTKDETCYFVEALIKCNLKSLADVSEGLAVQDRTEPIDRMYDLLIRSG >OIV98231 pep chromosome:LupAngTanjil_v1.0:LG14:4709946:4710961:-1 gene:TanjilG_09883 transcript:OIV98231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQQNPNITPDGLGDVPSKRKRGRPRKYPRPDSEDSSHMLFGQSKKPNPGSSEQTKLLPGYEGVNGNQQSQRGQENGSNDAMVGQEVSGVIEAVFDAGYLLNVRVGDSDTTLRGLIFKPGRYVPISPENDVAPGVPMIQRNEVPFPSGTSQVQSPLPNDRNEHHVNVHRNDSKSGEKMLVKDHSSGLEDKANDIAHPVLIKPLQGVLSHPHENSAPAPTMSDYTKTGKMTELLQDSSIEIQASKAAAKLGSGNKLDDARNLGTEHKELFNNQLKLSEHSIPDS >OIV98143 pep chromosome:LupAngTanjil_v1.0:LG14:8551204:8557280:1 gene:TanjilG_12179 transcript:OIV98143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSGATGWYRGRVKAVPSGDCLVIVAIASSKPGPLPEKSITLSSLIAPRLARRGGVDEPYAWESREFLRKLCIGKEVTFKVDYNVASISRDFGTVFVGDKNVASLVVSQGWAKVREQGQQKGEASPYLAELLRLEEQSKQDGLGRWNKDPGAGEASIRNLPPSAIGDSSNFDAMGLLASNKGAPMEAIVEQVRDGSTIRVYLLPEFQFVQVFVAGIQAPSMGRRVVSESVVESEVTTDKTNGDAHREPQAPLTSAQKLAASATSETTSPDPFAPDAKFFTEMRVLNRDVRIVLEGVDKFSNLIGSVYYPDGESAKDLALELLENGLARYVEWSANMMEEEAKRRLKTTELQAKKTRLRVWTNYVPPLSNSKAIHDQNFTGKVVEVVSGDCIIVADDSIQFGSPLAERRVNLSSIRCPKIGNPRRDEKPAPYAREAKEFLRTRLIGHQVNVQMEYSRKVVPTDGSAVPSGANADSKVMDFGSVFLPSTVKVDGDVAPSSVPPAGTQQTGVNVGELVVSRGFGTVIRHRDFEERSNYYDALLAAESRAISGRKLIHSGKDSPASHITDLTTASAKKAKDFLPFLQRSRKTSAVVEYVLSGHRFKLLIPKETCSIAFSFSGVRCPGRGEPYSEQAIALMRRKIMQRDVEIEVETVDRTGTFLGSLWESRTNVAITLLEAGLAKLQTSFGNDRIPDIHLLQQAEESAKKQKLKIWENFVEGVGESNGVAVQNKQEEVLQVIVTEVLGGGKFYVQTVGDQKIASIQNQLASLNLKEAPVLGAFSPKKGDIVLCHFLADNSWYRAMIVNTPRGPVESPKDKFEVFYMDYGNQEEVAYSQLRPLDPSVSAAPGLAQLCSLAYIKLPNLEEDFGHEAAEYLSDLTLNSGKEFRATVEERDTSGGKVKGQGTGTFLAVTLVAVDSEISINALLLQDGVARTEKRNRWDKRQRQLALDNLEKFQEEARTSRRGIWQYGDIQSDDEDTVPPARKAGGR >OIV97735 pep chromosome:LupAngTanjil_v1.0:LG14:14943194:14944348:1 gene:TanjilG_12492 transcript:OIV97735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSPNPFSLFCALLLCLPLAIVFTTTTTTTTTINQNNITTSTLNDSHTTNPDIRTSFFSNNSNNLSFQAVVPPLQEVNDDDEEALFLAATHAKRKPTLPRKIAFMFLTTTPLTFAPLWESYFNQTAKNLFNIYVHADPTFSYDPPFSGVFSNRVIPSKPTARNSPTLTSAARRLLAQALTHDCSNYMFVLLSSSCIPLHSFNFTYRTLVNSRKSFIEILENEVGTFDRWAARGVDAMLPEVKLEDFRIGSQFWSLTRRHARVVVSDKKLWSKFKLPCVRFDTCYPEENYFPTLLNMVDPKGCVYATLTHVDWEGRKDGHPRKYEVAEVGPELIQTLRRDSPRYGNGGENNGKRRRRDPFLFARKFAPDTLEPLMAIADAVIFED >OIV98184 pep chromosome:LupAngTanjil_v1.0:LG14:7239523:7243365:1 gene:TanjilG_11581 transcript:OIV98184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLSLPIKTLINNSSANCISLSSTSNYASLSSLQLRSSFTTRTLNLKRVVRVQAESEDNELKQMRDMAAARKRWEALIRDGKVKVLTPKEAGYAVQLSNKPLLDVRPSNEHNKAWVRASTWIPIFDVDNRLDAATIPRKVSNFVMGGWWSGIPTLTYDSQFLAKVAEKFSQDTELIVACQKGLRSLAACELLYNAGYQNLFWVQGGLEAAEDQACFLISVFCDFYYGLFSSNDLAVEGPVPLKFAGIGGVSEFLGWTDQQRAAGAKEGWGYRLVFSARLLGVIIAADALYIGAQQIGRYLQDIRTH >OIV98320 pep chromosome:LupAngTanjil_v1.0:LG14:991151:991603:-1 gene:TanjilG_16647 transcript:OIV98320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFNLMFLLYLVAVIINNHVTLSNARQLKNPSNEDKQVVIETEHADPSKNNKKPNDENEVVFTSENVEGNEAAKPSKKVNNNKAKMGMGVKDEKHPLPLFPFPFPWPMQPPVGGLPFPPPYDVPMIPPLPFPPFSFPPFDIPGIVPSPPV >OIV97862 pep chromosome:LupAngTanjil_v1.0:LG14:13816882:13821805:1 gene:TanjilG_12619 transcript:OIV97862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASISLKLYSSSPTLKLQHHSPTFLRKQLISFPTRPKLAAERTLPLSVAREVPAELSTVNDGAITNENKKNPGLVKDPIALWQRYVEWLYQNKELGLYIDVSRIGFSDEFVQQMEKRFEKAFRAMEGLEKGAIANPDEGRMVGHYWLRDPNRAPNPFLKNQIQNTLEDICNFANDIITAKIKPPSSPEGRFTQVLCVGIGGSALGPQFVAEALAPDNPPLKIRFVDNTDPAGIDHQIAQLGPELASTLVIVTSKSGGTPETRNGLLEVQKAFREAGLDFSKQGVAITQENSLLDNTARIEGWLARFPMFDWVGGRTSEMSAVGLLPAALQGIDIREMLTGAALMDEANRSTVLKSNPAALLALSWYWATDGVGSKDMVILPYKDSLLLFSRYLQQLVMESLGKEFDLDGNRVNQGISVYGNKGSTDQHAYIQQLREGVHNFFATFIEVLRDRPPGHDWELEPGVTCGDYLFEFLQGTRSALYANNRESITVTVEEVTPRSVGALIALYERAVGIYAFLVNINAYHQPGVEAGKKAAGEVLALQKRVLAVLNEASCKQPVEPLTLEEVAERCHASEDIEMIYKIIAHMAANDRALIAEGSCGSPRSIKVFLGECNVDDLYA >OIV98352 pep chromosome:LupAngTanjil_v1.0:LG14:1376960:1379126:-1 gene:TanjilG_16679 transcript:OIV98352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSSEYSDFSGQKPVRLPEKTSFSQTCSLLSQYIKEKGSFGDLNLGMTCNIEPIGSPETSCQSATTMNLFPTNENNMPPKNLTPSAIKPMNKGSKAAQLTIFYAGQVIIFDDVSADKANELMSFVSKGISETQSQPSFPHNLIRTSADSVTPVVPNVTTIPGSGIDSIQERSQPSSRPVVCDLPIARKASLHRFLAKRKDRIAAKAPYQITSHMEAANKGVESMSWLGLGAKSPQI >OIV98045 pep chromosome:LupAngTanjil_v1.0:LG14:10037994:10054739:-1 gene:TanjilG_09538 transcript:OIV98045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLGENFQEVEANSRRSESTAQNMPTQSELDEFFTTAEKDIQKTFQNKASITPGTVLILLAGRFKGKRVVFLKQLPSGLLLVTGPFKINGVPLRRVNQAYVIGTSTKVDVSAVNVDKFDDKYFLKDAKKKNKKGEGEFSEAENDEKSVLPQEKKDDQKSVDSALLQAIQSVPDLKTYLGARFLLEIRPETTQASLLAEAIVL >OIV98395 pep chromosome:LupAngTanjil_v1.0:LG14:1835257:1837980:1 gene:TanjilG_16722 transcript:OIV98395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETVPFGSEPSSSSSQKLPQDSLKQPQVPAFTNFPPFPNGYYQMFPAMYPALVPPQNDEQVNRGAGIYAVCGHPFNGNVTGLPYNTLIPLTYRTPTRPSPETSAGSENQGQTGQQHPLPPLRVPERQVVRRFQIAFRIDLLLMLKLAAVIFLFNQDGSRQRLAVLVFSAVLVYLYQTGSLSPIIRWLSQGMQRAAAPPLPPRAVPRVDIPGARQGVDNAAPAEGQPEAENGNQPINDADWAVENGNAAEPGGGNGGNQWWGIVKEIQMIVFGFITSLLPGFHNHMD >OIV98249 pep chromosome:LupAngTanjil_v1.0:LG14:3929079:3936109:-1 gene:TanjilG_14838 transcript:OIV98249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAKSTGPISPNHLIEVVKTAAKTGAQVVMDAVNKPRNITYKGLTDLVTETDKMSEAAILEVVKKNFDDHLILGEEGGIIGDAASDYLWCIDPLDGTTNFAHGYPSFAVSVGVLYRGNPAAAAVVEFVGGPMCWNTRIFSATAGGGAFCNGERIQVSATNQVERSLLVTGFGYDHDDAWATNIDLFKEFTDVSRGVRRLGAASVDMCHVALGIVEAYWEYRLKPWDMAAGVLIVEEAGGAVTRMDGGKFCVFDRSVLVSNGALHAKLLEKIGPPTEKLKNNGIDFSLWYKPKDYRADV >OIV97538 pep chromosome:LupAngTanjil_v1.0:LG14:16188393:16190014:1 gene:TanjilG_12295 transcript:OIV97538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLTTYLTRRAAQKERVRILYRRALKDTLNWAVHRHLFYDDASILRDRFEKNKHVEDVDTIDQLIADGEASYNKWRHPDPYIVPWAPGGSKFNRNPTPPQGSYTRMVIGKGIIHFERVKHKGGVGIPLILEGCL >OIV97611 pep chromosome:LupAngTanjil_v1.0:LG14:15770646:15772487:-1 gene:TanjilG_12368 transcript:OIV97611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSITHQNQYENQELDAYGSSLRNNNAYPLALGVFPSNITSIGDRMSLTEGSEMSHTRHLMDLLGAANENNHQTQGLLSLSLGSHMLVPSDEYRHRPLNQGLMTPNYFMPGQETREACNPAVEHHHITSDYFFTSGASFASSSTSLNLSPSTSYETESFAGVISNSRYLKPSQSLLEDIVGVGANVVDRMNEKYVEKLFHGSRTGARTLSTELKTELGNIGPLLAEKQEHQMKIAKLISLLDEVESRYEKYYHQMEEVVQSFEMIAGLGAAKCYTAMALQAMSRHFCSLRDAIVSQINVEKRKLFHDLPKINSGLSQLSLFDRDNRQGRVSLQQLGIIQSQRQVWRPIRGLPETSVVILRSWLFEHFLHPYPNDSEKLMLASQTSLTKNQVSNWFINARVRLWKPMIEEMYKEEFGESSEDSNPQANNYLTREEDTTYCVED >OIV98011 pep chromosome:LupAngTanjil_v1.0:LG14:11061085:11064683:1 gene:TanjilG_21721 transcript:OIV98011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIISEFDEPEQKNQTQSSSKPSPSQSQVSHSFSASFDPSKPLDFVEGVFDFISRESDYLASENAEKEITTLVRAVREKKLKKKREEEEREKAEKKLKEEEKKKKELKVEEENKKELKAKEEKKGESSGRVPNKGNGLDLEKYSWTQTLPELNVNVPVPEGTKSRFVTVEIKKNHLKVGLKGQPPIIEGELFKSVKPDDCYWSIEDQKVISILLTKHNQMDWWKCLVKGEPEIDTQKAEPENSKLSDLDPETRSTVEKMMFDQRQKSMGLPTSDELQKQEIMKKFMAQHPEMDFSRAKMA >OIV97801 pep chromosome:LupAngTanjil_v1.0:LG14:14415759:14417337:-1 gene:TanjilG_12558 transcript:OIV97801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQKIALGCTTEATRPDCIQALVVEFIATFLFVFTGVGSSMVVDKVGGDALVGLFFVAVAHALVVAVMVSTAHISGGHINPAVTLGLLVGGHITVFRSILYWIDQLVAAALASYLLYYLTGGQTTPVHTLATGVGYGQGVIWEIVLTFSLMFTVYGTMVDPKKGPLAGYGPTLVGFVVGANILAGGAFSAASMNPARSFGPALVTGIWTDHWVYWVGPLIGGALAGFIYENFFIHRSHVLLGQDQEN >OIV97871 pep chromosome:LupAngTanjil_v1.0:LG14:13702869:13703753:1 gene:TanjilG_12628 transcript:OIV97871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQDRTTKRILLILSCILLSVGNTGGQLLLRLYFIHGGHRLWLCSFLQSGGFPIILLPLIISYIHRRRQISTTTTTTSETKKTKIVFMNPSLFLAFAVIGALTGLDTYLYAYGSSRLPVSTSSLIFATQLAFNAVFAFLLVKHKFTAYSINAVVLLTFGAGVLALHASGDRPEGVSSKEYAMGLVMTLLASALYGFVLPLMEMVYKKTKEIITYTLVLEIQLVMCIFAALFSTIGMIINNDYKGCIDYVYIYSR >OIV97891 pep chromosome:LupAngTanjil_v1.0:LG14:13501917:13502264:-1 gene:TanjilG_12648 transcript:OIV97891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSNIKGVTNGFPLRIEVVKVEEKTVEINADFLKNMFQKIDWKALTEASRSMGYTELPEESEFSLLESDEFLSRFHHALLELHLEEGALVCPESGRRFPVSKGIPNMLLHEDEV >OIV98485 pep chromosome:LupAngTanjil_v1.0:LG14:540028:542681:-1 gene:TanjilG_18769 transcript:OIV98485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMKVTEKAFLKQPKVFLSSHKSGKGKRPGKGGNRFWKSIGLGFKTPRDAIEGTYIDKKCPFTGNVSIRGRIIAGTCHSAKMTRTIIVRRNYLHYIKKYQRYEKRHSNIPAHVSPCFRVKEGDHVIIGQCRPISKTVRFNVLKVIPAGSSTGAKKAFAGL >OIV98055 pep chromosome:LupAngTanjil_v1.0:LG14:10234090:10237466:1 gene:TanjilG_09548 transcript:OIV98055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEGEKFQLGTVGALSLSVVSSVSIVICNKALMSSLHFIFATTLTSWHLLVTFCSLHVALKMRFFEHKPFDHKAVMGFGILNGISIGLLNLSLGFNSVGFYQMTKLAIIPCTILLEILFLGKKFSKRIQFSLGILLVGVGIATVTDLQLNALGSFLSLLAVITTCIAQIMTNTIQKKFKVSSTQLLYQSCPYQAATLLISGPYLDKVLTDLNVFAFKYTTQVTVVIVLSCLISISVNFSTFLVIGKTSPVTYQVLGHLKTCFVLAFGYIIVRDPFSWRNILGILVAMVGMLLYSYYCTQESQQKTAEATSQASQAREGESDPLINVESGGGTVLNKRPPVWNKEKD >OIV97746 pep chromosome:LupAngTanjil_v1.0:LG14:14854752:14856011:-1 gene:TanjilG_12503 transcript:OIV97746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNEAVVGRVPRKVIRPCPPLIKGIERWEANDVMEVYDDGSWTVAIVLKITSGDFYLVRLLLSCKELEVHRVNTRVRQSWQDGEWVVKPMVLGKSDNSEVGMSKWNLISNSCKVIPEVQHVSMETSQQGSNDCLPGLDAGCLQEPHPASSTTLKRVSPYCSSPVEAYPRKIRVVLNKGECKRFKAVSTALLMEKVDAFACPQHDTGEKCLHASLRIEESDYSHSDLSSVGSCSAISNTSNKFSRDMLAGPCQDGDDLSSNAESLDTTGDKGCSFSSREVTAERIHRLELHAYQSTLEVMYASSRLSWQQEELLTDLRISLHISNDEHLMEVKKLISACPHL >OIV98105 pep chromosome:LupAngTanjil_v1.0:LG14:9603732:9609446:1 gene:TanjilG_25970 transcript:OIV98105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIDIVQLRRENWDSASRGGRRVSFKGCVKFVQTSCRISAPGTLPIDDEKAQSNVVRDKKVVPDADPPSTKDVNLLYEFFDQSTKLVVLTGAGISTECGIPDYRSPNGAYSTGFRPITHQEFLRSIRSRRRYWARSYAGWRRFTAAQPSAAHRALATLEKAGRVDFMITQNVDRLHHHAGSNPLELHGTVYTVICTDCGYSFDRSLFQDRLKALNPKWAAAIENLDHGNPGSDKSFGMKQRPDGDIEIDERFWEEDFIIPTCQKCNGVLKPDVVFFGDNVPKDRADMAMEASRRCDAFLILGSSVMTFSAFRLVRAAHEAGAATAIVNLGVTRADDFVPLKINARLGEILPRVLDMGSISIPAV >OIV97713 pep chromosome:LupAngTanjil_v1.0:LG14:15101285:15109669:1 gene:TanjilG_12470 transcript:OIV97713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMDREDGEKMDGDDPNALFIVLLLLQYRSCFHHCCCGLIKRVRVLFLSDLQNESAAGIILRRCVPLGYLKHIEVVKGLNCLSPSCLLLRSLYSTGFTSVHGETPSAEYAKRRRESLENQFGRALGANSSRSFNAIYRFGPFLALYRAAIISFHVLRLSIWQMCVQDTKERATKLGQALSTRPDILPTVYCQELSKLQDQIPPFPTDIAIRSIEAQFGVPIAEIFSDISPAPIAAASLGQVYKAHLLSGELVAVKVQRPGMSLSLTLDALLFHMMGGQLKRFAKARKDLLVAVNEMVRHMFDEIDYVLEGKNAERFASLYCTSASGGNIRHKRVKAVKAPKIYWDYTCPTVLTMEWIDGIKLTDETRLNNASLNRRELIDQGLYCSLRQLLEVGYFHADPHPGNLVALGDGSLAYFDFGMMGDIPRHYRIGLIQMIVHFVNRDSLSLANDFLSLGFIPEGVDIHSVSNALQASFTDRTGESQDFQDFYSCTRLSPISPSKGIMNQLYDVMYEFNFSLPPDYALVIRSLGSLEGTAKVLDPGFKVIESAYPFVIGRLIADPSPDMRRILRELLIRNNGSIRWNRLERLMAAISEQASELIGDPSSEKLSSPSVWKLFDMPAVVDSTEDLLLFILSDKGLRVRLFLLRDILEAADAFLQDEVINCVLNDKPEGQRILLFEERAFLSRIGKGFQYLCEVVKLAPEEWAAMLIRMAGKPEVHRFASDIISALVSNSSHKLQEASWLYLSKLLHRLSKKEVD >OIV97604 pep chromosome:LupAngTanjil_v1.0:LG14:15819198:15823803:1 gene:TanjilG_12361 transcript:OIV97604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKTAPDPVAVLRGHRASVTDICFHPFLPFLFSGQGRDGTVKIWDFSDAGLSRIPLLKIETNTYHFCKLSTVKTPSALSKEGKVSEVGQMSAGEVSDFEKEVFVDAPCSERCEENMHHEGLQYVALSGENSSMVEIWDLKSAERFVQFPSNIPSNSSSVRNNGRGMCMALQLFLPSESQGFLNVLAGYEDGSMLWWDVRNPGVPMTSVKFHSEPVLSVCIDDSCNGGISGAADDKIVMYSLQPSSGACVVKKEISLERPGISGTAIRPDGKIAATAGWDHRIRIYNYHKGNALAILKYHHATCNAVTYSSDSKLMASASEDTTVALWELYPPRV >OIV98331 pep chromosome:LupAngTanjil_v1.0:LG14:1128769:1130835:-1 gene:TanjilG_16658 transcript:OIV98331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQTLQDSSIAKIHEQWMSQYERSYENDTEKEKRLKIFMENLEYIEKFNNNANNSYKLGLNKFSDLTTDEFLASYTGLNISRQTSFSSYVKPLKVYHIPASIDWRQKGAVTNVKLQGRCGACWAFSAVGAIEGFIQIKTGKLVSLSAQQLVDCTSGGCGGGLFQDAFKYIKQTHGIADEASYPYKGGSSSSSSSSRTCERDKKPSGHINNFIQVPPNDEQQLLRAVSKQPVAVSISATKDFGFYKGGIFKGPCDSDPLNHAVTLVGYGTSDGTRYWLIKNSWGESWGEGGYMRLQRGIDNKHGLCGIAIDACYPI >OIV98268 pep chromosome:LupAngTanjil_v1.0:LG14:3071461:3071667:-1 gene:TanjilG_09902 transcript:OIV98268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTKRNNSNTSREDYRLNIRHSPTTSQKSNKILVLECHVKKTVEIGQWRKENVERGLGKTFSSLILFL >OIV97719 pep chromosome:LupAngTanjil_v1.0:LG14:15050391:15051209:-1 gene:TanjilG_12476 transcript:OIV97719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIISKGDSVHIREVWNYNLEEEFALIREIVDDYNHVAMDTEFPGVVLRPLAQFKYINDYNYQTLKDNVDMLKLIQLGLTFSDENGNLPTCGSENPCIWQFNFREFNISEDIFASDSIELLRQCGIDFKKNSEEGIDVNRFGELLMSSGIVLNAGVHWVTFHSGYDFGYLLKLLTCRSLPDTQTGFFDLIKIYFPVLYDIKHLMKFCNNLHGGLNKLAELLEVERIGVCHQAGSDSLLTSCTFRKLRDTFFNGSVEKYAGVLYGLGVENGQD >OIV97848 pep chromosome:LupAngTanjil_v1.0:LG14:13936009:13941591:-1 gene:TanjilG_12605 transcript:OIV97848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSDKKQKKIYFSEGDAAALIQRYDARTVLTLLQEIAQYPGSKIDWNELVRKTTTGISNAREYQMLWRHLAYRDDLPENPGDAEEPLDDNSDVEYELEALPPISAESASEATACVKVMIASRMPSIPNSSTIEAPLTVNIPVCHSSRTRNESSEPSNLLQGSSIIFPVTVQRTPLPTVSSTEGIEAKGTVGGNLASKRKRWSEEEDDQLRAAVQRWGEGNWTTMAKGENFSVKRTGVQLSQRWKFLRTKQDSTNLGTNSVPSRCTAAVQKATNDALQQATNNALSCALGGKFAAPGATNPASISFNKSVNSSVQPCNTVEAPIGRSNLVPTQNLSQKAVLGSNDAKSKSILEKTVVKCNPTLDSKVKTNTIASAARIVSSSNTVSQFKVAQTSSSLAKPTIPVALCSNPKLPNVRTDSSVAPALVPSKSDAVATSHASCVSTVKPVSSTLKSSPVALSKLSEPDKHVPSVVNKVVPVKQEVIATDEFKVPVPCPTSKDKVQAYETSTLTNNNNHMPVQSNINKGRQDLNQDKVINLPFNKGGETSVKNASGEISNDKAASQNSEECEDQGTVKVTENRQVATDSKIRGVKV >OIV97995 pep chromosome:LupAngTanjil_v1.0:LG14:12094645:12096063:-1 gene:TanjilG_14095 transcript:OIV97995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALENCLRVTTIGTARPQCVSPFSSTSREKLVLPTYKGFKKSMLKNSTLPNPSLYATHGSYRKSSFICNAREAVDGVRAVTDSTWEKLVIGSETPVLVDFWAPWCGPCRMIEPIVGELAKEYAGKIACYKLNTDDNPSIATKYGIRSIPTVLFFKNGEKKESIIGAVPKSTLSATVDKYVDI >OIV98227 pep chromosome:LupAngTanjil_v1.0:LG14:4556026:4556286:1 gene:TanjilG_09879 transcript:OIV98227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METNNTHALHIGITCSSYSWGFPQRVYGYKPDPEVSSESVEIETDRESVASLAKALYTAIRTPIAICVPLLHLFERQRANENGCIS >OIV98007 pep chromosome:LupAngTanjil_v1.0:LG14:11007163:11009085:-1 gene:TanjilG_21717 transcript:OIV98007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSKATFLTHLRTATNRHRQPPSTISLRFLSFSSPEEAAAERRRRKRQLRIEPPLSALNRSQTQTQNQFNQKSQSPYYLNPNNPKLPEHVTALTGNRLNLHNRILTLIRENDLDEAALYTRHSIYSNCRPTIFTINAVLYALLRQSKYSDLLSLHRFITQAGVVPNIVTHNLIFQTYLDCRKADTALEHYKQFVNDAPMNPNPTTYRILIKGLVDNGKLERALEIKEEMDSRGFAPDPLVYHYLMLGCARGSDSDRVFELYEDLKGKLGGVLEDGVVYGSLMRGYFLRGMEKEAMECYEEAVGVDSKVKMSVVGYNSVLDALSKKGRFDEALKLFDRMMREHAPPRKLAVNLGSFNVMADGYCGQGRFKEAIEVFRKTEEFRCRPDTLSFNNLIEQLCNNGLILEAEEVYGEMEGKGVNPDEVTYGLLMDTCFKENRPDDAAGYFKKMVDSGLRPNLAVYNRLVDGLVKVGKIDEAKSFFELMVKKLKMDVASYQFIMKVLSEAGRLDEVLQIIDTLLDDNGVDFDEEFQEFVKVELRKEGREDELAKLMEEKERLKAEAKAKEAEAAEAAKRSARAAVASLLPSKLFGNKETDSESKPEGEFQALKEESAESEYAEKITEDVGSTGGETKSDSSSENR >OIV98463 pep chromosome:LupAngTanjil_v1.0:LG14:2537336:2538963:-1 gene:TanjilG_16790 transcript:OIV98463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCFSNLVNQDKSTRSGSNHCLTAEAQDKYDSLILPGLSDDVAKHCLALVPRKYFPRMGRVSKKWRLFIQSKEFITVRKLAGMLEEWLYFLTMDSEGNESHWEVMDRLGHKRRSLPPMPGPGKTRFGVVVLNGKFLVIAGYSAINGTAFASEEVYQYDSYLNSWSKLSNMNVARYDFACAEVNGLVYAVGGYGANGDNLSSVEVYDPDTDKWTLIESLRRPRWGCFACGFEGKLYVMGGRSSFTIGNSKFVDIYNTEKHSWCEMKNGCVMVTAHAVLGKKLFCMEWKNQRKLAIFNPEDNSWKMVSVPLTGSSYVGFRFGILDEKLLLFPLEAKNTYQTLLYDPNAAIGSEWQISDIKPSGLCLCSVTIKA >OIV97600 pep chromosome:LupAngTanjil_v1.0:LG14:15843962:15849582:1 gene:TanjilG_12357 transcript:OIV97600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSSSALKEEKEDEAVKGGELLFCGATCWDMIGRKKGIDGNLVSPTRLRPLIGVDIRYVVSGCASCHCVALDVEGRCYTWGRNDKGQLGHGDTIQRDRPTVVSGLSKYKILKAGSGRSHTVVVTDDGNSLAFGWNKHGQLGVGSVRNEIESSPVRCLVSEVTYTTCGGDFTVWLSSVEGSSILTAGLPQYGQLGHGTDNEYNSKDSSVRLVYEAQPRPRAIASLAGETIVKVACGTNHTVAVDKNGYVYTWGYGGYGRLGHREQKDEWAPRRVDVFQNRNVLPPDAIISAGSVNSACTAAGGQLYMWGKLKNNGDDWMYPKPLMDLSGWNLRCMDSGGMHHFVGADSSCISWGQAQNGELGYGPMGQKSSAVPKKVDILEGMHVMSVACGTAHSMVIVDRTNVADQLEQLDVYDGKAIGEANEPAAKTPVPKQPAKKGAKGADNSKKRKNAKDSSDEDEEEDEDTEESDNTDEDQVNGKAGVKRAGRGRGRASASKNSSSGRGRGRGRTPASPPVKSSGKRGRPRKSS >OIV98111 pep chromosome:LupAngTanjil_v1.0:LG14:9466281:9476751:1 gene:TanjilG_25976 transcript:OIV98111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNHCLFVFLFLYTAFFTLVFGANVSYDGRSLLIDGQRKLLLSASIHYPRSVPAMWPGLVKAAKEGGIDVIETYVFWNGHELSPGNYYFGGRFDLVKFAKIVQQAGLYLTLRIGPFVAAEWNYGGVPVWLHYIPGTVFRTYNKPFMVENEYGNYENFYGEEGKKYAQWAAKMAVAQNTGVPWIMCQQWDAPDPVIDTCNGFYCDQFKPTSPNRPKIWTENWPGWFKNFGGKDPHRPAEDVAYAVARFFQKGGSVNNYYMYHGGTNFGRTAGGAFVTTSYDYDAPIDEYGLPRFPKWGHLKELHKAIKLCENVLLYGTSVNISLGPSVEADVYTDTSGACAAFIANVDDKNDKTVKFRNASYYLPAWSVSILPDCKNVVFNTAKVTSHTGVVAMTPAELKQSGKGVKWSVFKENAGIWGNTDFVQNGFVDHVNTTKDTSDYLWHTTSIFVDKNEELSGSKPVLLIEFKGHAFHVFINQEYQGMATGYGSPYNFTNPIPLREGKNEIAILSLAVGLPVRTLYEFFESGLTSVKVAGLKNGTIDLSSYAWTYKIGLQGENSKIYQGDGLNSVKWTSTSKPPKGQPLTWYKTIVDAPPGDEPVGLDMLNMGKGLAWLNGEEIGRYWPRISESKKEDCVQQCDYRGKFDSDKCNTGCGEPTQKWYHVPRSWFKPSGNVLVFFEEKGGDPEKITFVRRKVSGACAFVAEDYPSVGIHSQDDAKIYNNKNTPIARLTCPDGTVISAVKFASFGTPSGSCGSYLKGDCHDPNSSIVVKKACLNKNECVIGLTQENFKTNYCSGLSRKLAVEAICR >OIV97607 pep chromosome:LupAngTanjil_v1.0:LG14:15800990:15802302:-1 gene:TanjilG_12364 transcript:OIV97607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLFSLGQENKEGEEDNNRNSLLLFRNEEIYNKGFEIWPQSYHQNQSLNNYYSSYGVGPSRRNSIPSSNVGYDDVSLSDESTRFGLTVMRTSGSSSSGMNCQDCGNHAKKECVHMRCRTCCKSRGFQCQTHVKSTWVPAAKRRERQQHNTSKRHRHHQGLEVGVQFPHEVNSTTVFRCVKVTAVDTPEEQYAYQAAINIGGHIFKGILYDQGPEGSYTNIEGSSGGVGVGVEAQQQIGLLTSPTTVTTTGNLFDPSSLYPPPLMNAFMAGTQFFPPPRS >OIV98023 pep chromosome:LupAngTanjil_v1.0:LG14:10867505:10879493:1 gene:TanjilG_24861 transcript:OIV98023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERSRSKRNYYYDQDYDSETLARTRPRYNHQYSNRHRGASGGRGGRHIKPQQDVPVTVTTSYRILCHDLRAGSVIGKSGSIIKSIRQHTGAWINVHELIPGDEERIIEISDTRRRDPGGRMPSFSPAQEALHLIHERILESDAAYRVAEEEEEEYGGGSGRNRDRVAMRLVVSKMHVGCLMGKGGKIIEQMRMETKTQIRILPRDHNLPRCVSMSEEIVQVTGDVNSVKNALEVISSRLRESQHRDRSHFHGRGHSPERFFPPDDDYIPHGGSRRSSVEETAFGSRISSTNARNNNHMSSGYAMESGAAIDTQPFYGEDLVFRILCPVEKVDRIIGESDGIVGFLQNEVGVNIKVTDPIGSSEEQIIIITSEEGPDDELFPAQEALLHIQTHIVDLVLDKNNTITTRLVIPSSDIECLDGKDASLSEIVRSTGANIQILPREERPLCVAKTDELVQIVGEIKAARDAVVEVTSRLRSYLYKDFIQRNTVPPSAPLSSVEASSSNTTAPIMETSTTYQNVQTVAAAILPSKKESGGSSTDAGKQKDNERRDDVPSSLNRITVPLVTRSTLEVVIPEYAVPKLIARSKSKLAQISELQPDKVKREMKVSEGKLSVHFEATEARFLRASFSAFVDVLTLATKTIEEFSQGMEL >OIV97554 pep chromosome:LupAngTanjil_v1.0:LG14:16123995:16125535:-1 gene:TanjilG_12311 transcript:OIV97554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATALSTPFILTNSPPTALSMNNLVNMKSACTFSLNLNRPRRKQPSLTVEATYSDGGKPSSATVFVGGFVFGGLIVGTLGCVYAPQISKAIAAADGKELMKKLPKFIYDEEKALEKTRKVLAQKIEQLNAAIDDVSAQLRSEDAPNGVAVNSEVEAAT >OIV97740 pep chromosome:LupAngTanjil_v1.0:LG14:14909369:14912712:1 gene:TanjilG_12497 transcript:OIV97740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMHLLHGRLDVTIYEINKLQSLTTPCNFNLCNKIIGKGLYATVDLDKARVGRTRMVTDQPSRPKWNETFHIYCAHLISNIVFTIKQDNPIGATLIGRAYIPVEQVIKGNIVETWAQILDLDHHPIPGDSKIHVKMQYFDVKNDRNWSQGGIMSPHFEGVPHTFFNNKSGCKVTLYQDAHVLDGSIPRFLLSGGKYYQPGRCWEDIYNAILDAKHFIYITGWSVYTEITLVWDPSKPKITLGEVLKKKANEGVSVLMLVWDDRTSVPDFKKDGLMATHDQETEQYFRNTNVHCVLCPRNPDDGRSIVQGFEISTMFTHHQKTIVVDSQVSGARGPQQKRNIVCFVGGIDLCDGRYDTQEHSLFSTLNSVHHDDFHQPNFPGASVKKGGPREPWHDIHCKLEGPVAWDVLQNFQHRWEKQVGKKIILPSSKLDEILVPRSVLATTDQNETWDVQVFRSIDGGATSGFPQDPDEAAEKGLVSGKDNIIDRSIQDAYINAIRRAKNFIYIENQYFLGSSYGWKSFDIKVEDIGALNLIPKELSLKIVSKIEAGQRFSVYVVIPMWPEGIPESASVQAILDWQRRTMEMMYADIAEAIKRKGIRAHPKDYLTFFCLGNREAKKNMEYTPKEQPEAGTDYSRAQKARRFMIYVHAKMMIVDDEYIIIGSANINQRSMDGARDSEIAIGAFQPHYLTSNGPPRGQIYGFRRALWHEHLGNADHYSSIFDKPESIECIKLVNNFAESNWNVYSKDTFDEYRTFHHLMCYPIEVTNYGAITTLKGFEHFPDTKARILGSKSDYLPPILTT >OIV98214 pep chromosome:LupAngTanjil_v1.0:LG14:5868224:5869216:-1 gene:TanjilG_18753 transcript:OIV98214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKERRDSSLTHDSRTSPYPSSSSRARRYAPINPLETEENAKEWEEARCPICMEHPHNAVLLICSSHEKGCRPYMCNTSYRHSNCLDQFRKSFAAETSPTIPPVESEISNNNLSHAQTPEINTIDDMQEDRSEGSVTTHSLSCEDEAKPKVVCPLCRGQVKEWKVVEAARCFMNKKSRSCSCETCNFIGTYMDLRKHARLEHPLARPFEVDPERQLNWRRLEQDRDLEDLLSTFRTSFGENRVDDGLPPIDDGGLLSVFFLILQPNTSASRGTRGTRLMQTRRRSSRLLGEAFEGRSVSSSRDDENESSNEDSDPLRARLRRRITPDNEQ >OIV98346 pep chromosome:LupAngTanjil_v1.0:LG14:1314276:1314656:-1 gene:TanjilG_16673 transcript:OIV98346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTVRKAVNKVSVPTSNKSSSSLDLNGGAEQSIGISAALASPNLPSSIVLATRPSSNVLSYWACSKLCAIFFIGGVAFGYTLRGRVRRWASNILKRLRDN >OIV98269 pep chromosome:LupAngTanjil_v1.0:LG14:3152191:3162968:1 gene:TanjilG_09903 transcript:OIV98269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHEARPPCGGHQRHVPLGGRGPLLLVGKRATGTRIASSPDSDLEAFSHNPTHGSFAPLAFQPSAMTNFLSRLFDARGRGPEGPVPNPSPDRHAATRSRRESSSSSPPTADGFGTGTPVPSPQSQSFSRGYGSILPTSLAYIVPSTRGCSPWRPDAVMSMTERGRHSVLQIFKGRRGCTGYHATCGALPVVGPYLRLSRFQGFAATAAPSYSSGPGPCPDGRVSAQLGTVTQLPVHPASPVLLTKNGPLGALDSVAWLNKAATPSYLFKSFAPIPKSDERFARQYRCGPPPEFPLASPRSGIVHHLSGPDRYALTRTLHRRSGSVGGATHKGIPPISFLAPYGFTCPLTRTHVRLLGPCFKTGRMGIPQADARSTQVSKHTKSARASNHNRDDDVSTSMSTARCCHRKRLSKTDTTAKCYSREPINRRDSTGQTHQPAFAACTASKGTLDTCDNASHHNSQLTLHTHHFRILQRPQEGAWMERPTTHFRMIALEPLRTSPSTTSFRAGPVTVEASERPWDAATTDSAPWDQSSASTANTFPRDKPNRGTLLLASQRDAPRLQARTRAHDTLPDDWTCAPSCCGYAPEGFTKHHILPCRTGRTRSLGTSVGCRYYRLRTVGPIQRLNSQRISEGQAYRVTLLLASQTTAPRLQARERAHDTLPDDWTCAPSCCGYAPEGFTKHHILPCRTGQNQKPRNARHYPTRHRVTKPEPRQPAPGHVRPGFGMEDDSVELPTTPWKNAPACLGQVAGALGGSPMACHPDPPRPQKDGGPATLEASARPTDARNYPTRHRVTKPAPRQEGLTHQEIRVGPRGTVEALDASPTSPTCPDDTKPKHQPAPGRVRPGFGMEDGSVELPTTPWKNAPACLGQLAGALGGSPMACHPDPPSTRDVCHGTGHFHLALGKCVMASISPSSTRTHVSWPLFHLALGITHEEPHHAQSTPTTGHHEPHTRHHGAWPEAMPHSTFSEEGLRWEGRIEATRAESQWIVAARPLCHLQYPVAYLSRLQRILPAAQWGIALQGVPRGSSTPRGSPTARASGGPRPPTAVLSRLFDARGRGPEGPVPNPSPDRHAATRSRRESSSSSPPTADGFGTGTPVPSPQSQSFSRGYGSILPTSLAYIVPSTRGCSPWRPDAVMSTTGRGRHSVLRIFKGRRGRTGHHATCGALPAAGPYLRLSRFQGGQAICTDDRSARAHAPGFAATAAPSYSSGPGPCPDGRVSAQVGTVTQLPVHPASPVLLTKNGPLGALDSVAWLNKAATPSYLFKSFAPIPKSDERFARQYRCGPPPEFPLASPRSGIVHHLSGPDRYALTRTLHRRSGSVGGATHKGIPPISFLAPYGFTCPLTRTHVRLLGPCFKTGRMGSPQADARSTQVPKHTKRRALPTTIAMMTSPRACQQPGLGPPSQFASVHAPSRLADRLSPFHIRPRHIAGPHPLPSRQFQALFDSLFKVLFIFPSRYLFAIGLSPVFSLGRNLPPDWGCIPKQPDSPTAPRGATGSGHDGALTLSGAPFQGTWARSAAEDASPDYNSDTEGDRFSWRPTDPHGSKSRKAGGGDTHDRSRALAQPPSITAPSTADSVFNQPRALGLMASGATCVQRLDGSRDSAIHTKYRISLRSSSMQEPRYPLPRVFRISVSQRRPHEHRLRADGGELNDFNFLGAFRAGVLLLGQEDTAEGVAPPDTRGTEVPNTSSHP >OIV97532 pep chromosome:LupAngTanjil_v1.0:LG14:16219453:16222850:1 gene:TanjilG_12289 transcript:OIV97532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSCLLPDTFTFRYALLPCRLLLIIITHSFILILILISFLRIYMQQQQQQLHQSKAEILLVSSPNVFYQQQHLQPLLLLWKNWHFHLLHQLILIHPHFFLLPHRFHSSTSLLYLSIIMLIINYSYARRFRYIKFVLKILIIVMPIITKQTNFKGTLSTESLLTSEENVIAAAASEAVALAKAALKVAKVAALLVKNKSPPEAAYTPSNDSSKSDNLLLLKWVQHLEAQEDGVVSAGAEIMEHVYNDIKEESDDAEPTYEELERLHQQLSDSIAVRSTRQTERKAKRVRAAEKSATPMVSFKSGSTSRKKRVPTQEVDYSDPLRYLRATTSTSRLLTPSEEIELSEGIQDLLKLEKLQEDLTERCGSQPTFAQWAAVAGVDQKTLRKRLNYGMFCKDKMIKSNIRLVISIAKGYQGAGMNLQDLVQEGCRGLVRGAEKFDASKGFKFSTYAHWWIKQAVRKSLSDQSRTIRLPFHMVEATYRVKEARKQLYSENGRQPDDEEVAEATGLSMKRLSAVLLTPKAPRSLEQKIGIDQNLKPSEVIADPEAETAEEQLIKQFMKKDLEKVLDSLNPREKQVIRWRFGMDDGRMKTLQEIGESMGVSRERVRQIESCAFRKLKNKKRTKHLQQYLIS >OIV97808 pep chromosome:LupAngTanjil_v1.0:LG14:14344043:14351095:-1 gene:TanjilG_12565 transcript:OIV97808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLSSSCKEGSNVLMDNGKYVRYTPEQVEALERLYHECPKPSSLRRQQLIRECPILSHIEPKQIKVWFQNRRCREKQRKEASRLQSVNRKLTAMNKLLMEENDRLQNQTTLATTDTSCESVVTSGQRQLTPQHPPRDASPAGLLSIADETLAEFLSKATGTAVEWVQMPGMKPGPDSIGIVAISHGCPGVAARACGLVGLEPARVAEILKDRLSWFRDCRTVDVLNLMSTANGGTIELIYMQLYAPTTLAPGRDFWLLRYTSLLEDGSLVVCERSLNNTQNGPSMPPVQHFIRADMMPSGYLIRPCEGGGSIIHIVDHIVLEPWSVPEVLRPLYESSMLLAQRKTMAALRHLRQISQEVSQPCVAGSGRRPAALRALSQRLSKGFNEAVNGFADDGWSMLESDGVDGITLHVNSSPSKMMGVNLGYNNGFPSVTSSILCAKASILLQNVPPVILLRFLREHRSEWADSRIDAYSAAAIKAGPCTLPGPQAGGFGGQFMEVIKLENMGYYRDDMTIPGDVFLLQLCSGVDEHAAGTSAELIFAPIDASLSDDPPILPSGFRIIPLDSGRDAASPNRTLDLASALDVGTIGNKAAGDNSGHSTKSVMTIAFMFACEIHLQESIATMARQYVRNIIASVQRVSSALSPSRFGSHDAFRLPPGTPEAQTLARWICNSYRFYLGVELLKCDGNESILKFLWHHSDAILCCSLKALPVFTFANQAGLDMLETTLVALQDITPEKIFDENGTKTLYSEFPQIMQQANSIEKHSDMFNAQTCTTICHLEMTLDNLFTVSYQAL >OIV97774 pep chromosome:LupAngTanjil_v1.0:LG14:14613124:14614661:-1 gene:TanjilG_12531 transcript:OIV97774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKAKESNIVVKEKKNPSSITSTKKTTTTNPRDKATTSNPTTKEKHVPNYLKPTISSSLVESHSINIPKSDASKKLIINRRRSYDKPPSPSRLPKQKHPSPPYSRQHKALVSPNPRGRSLPLPIKSTNSSKPIPQRLSKTPKEGKIHHQALFVKSDKKSRSPSPSTSITSNKVPKDGSSDSTNGSESNLVETTTEVRNVKTGTEGISEKVEVEEVEKLEKQEEQEVENKAVSEIPPHVEYEHEHGNKHDHDHEVEPYDELHVQAVHDENAIPTVPEEEAAKEEENEDKHDEHENRNQEQCNNINETIPEIKHSTTVEEVEVKEKEKEEEEEEEVYGQIIEEGHKSENNNVEEEKEGFEGGISEEVNNKKEGEDDEEENVEREVKEQVKTEEESTTQPKQQVQHEKKETQVSNDVIEETASMLMEARKNKVRALAGAFQTVIDDQTK >OIV97850 pep chromosome:LupAngTanjil_v1.0:LG14:13924667:13928800:-1 gene:TanjilG_12607 transcript:OIV97850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSISSTTAIFAAPPSLSSSFYSLSTAQPSFTFLRRMNPRRMEALRASQVDAAAAAADAKPKEAKLWGGRFEESVTDAVERFTESISYDKQLYKHDIMGSKAHASMLAQQGLITLNDRDSIIEGLNEIERRIENGEFNWRTDREDVHMNIEAALTDMIGEPAKKLHTARSRNDQVLTDFRLWCRDAIDKILKSMKHLQVSLVTLALKNDGLIVPGYTHLQRAQPVLLQHLLLAYVEEIERDAGRLVDCRARMNFCPLGACALAGTGLPIDRFMTSDALGFTAPLRNSIDAVSDRDFVMEFLSANAITAVHLSRLGEEWVLWASEEFGFITPSDSVSTGSSIMPQKKNPDPMELVRGKSARVIGDLVTLLTLCKGLPHAYNRDLQEDKEPVFDSVKTILGMLEVSAEFALNITYNRERIQKALPAGHLDATTLADYLVKKGVPFRTSHDIVGRAVALCTSKNCQLLDLSLDELRSINTVFDEDVYEFLGVENSIQKFSSYGSTGSACVASQLDYWIKKLEIN >OIV97668 pep chromosome:LupAngTanjil_v1.0:LG14:15408400:15409049:1 gene:TanjilG_12425 transcript:OIV97668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQHIHHHHHDANHAPPPGYAQGYPQPQPVYPGPPPPPPPQPAYQTPAPPPPQVVINQAPPPVVTTQQKSNSSASTGVMAGCIAALGCCCCLEMCC >OIV98137 pep chromosome:LupAngTanjil_v1.0:LG14:8723856:8725840:-1 gene:TanjilG_26002 transcript:OIV98137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHWGVCHRVMYINQDEEQGSQLPSDILNEKESSDEEEEKVIKTEPVYLPETRKRKSRSLSQLREVKEESCGKQSGRKVRTKKNECRDRWSAERYNLAEQSMWEVLKAEGATFDNPITRPALRMAARKRIGDTGLLDHLLKHIDGKVAPGGIDRFRRWFNTNGIMEYWLENADLDKVRQEAGVQNPYWIPPSTFRASNVPSQDTDSSAELKLLKIEMAQMKKDMQELIAKKQEKNKISLMEETHKEFVKWRSLTDRCLTEIMASLKGLQGKYGEFVIWQTRFEQQLVEITNKLSDLQAPGEHTTFSPHSEKWKDWIESTNLDIPDDEFATWIGGSSELLNVPPEAVIRDPNSAPLSQLIIEGPTNKKSESMKLVPARQDQPNVTPDSSTTVTSNNSKSDIDNSLMMFQEMFMDIYKWKDKMEQQLLELSKTVYGGILERFVYAPIGMIPFSILLILSLIF >OIV98163 pep chromosome:LupAngTanjil_v1.0:LG14:7628561:7639140:1 gene:TanjilG_18278 transcript:OIV98163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLQTSSYLFTLPKTLKYPSSSSSSSSSSSSSFSSQTFHLRRHPPPFLRRNNLTAKSFSSEEFPIDESFEENFGPNDKESEEEARRRNWIERGWAPWEEILTPEADFARKSLNEGEEVPLKTPEAIEAFKMLSPKYREKKRQEMGLTEEEYYRKQYEIKGEIPEPLQTGWVGPVVFRMIPPRDWPPRGWEVDRTELAYIREAHKLLAKRVKLEDLENCIVGTETNDMCLDRYKMFLKQYSEWVEANKDRLEEESYKQDQDYYAGRRIRGKDYKEGMYELPFYYPGQVCRGEVKMVHLYQGAFIDIGGVHDGWVPIKNNDWFWIRHHVKVGMPVMVEITAKRDPYRFRFPIELRLLEPNIDHLIFNRFDFPPIFHRDEDTNEDELRRDCGRPPVPRRDPKDKPEDAPLLSNHPYVDKLWQINVAEQLILTDIEVNPDKYKGKKLSELTDDEEFDEKNAVQKTQVYYKDSLVDKTILKTSVKELDLEAAFAEHQAHKKLWKEAKDRGEEFKPDKLRRNIEMDEYDYMHWRRSFEEREALLRDISCRKTLGLPLEEPGRYVDASYFGDEYDPTNPLYRYDYWGEPKNSEKSQQERMTERHNKSIVGNGTVWYEMSYEDCIKQQMLRKARDEAEKAKQDKDEDAKQDHGTEEEDEYDDDDFDFSLLSNIGSNSSEQLHVNGTEASIITDAGIFED >OIV98126 pep chromosome:LupAngTanjil_v1.0:LG14:9005749:9007455:1 gene:TanjilG_25991 transcript:OIV98126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEMAKIERERENNNNNINELNEEAQMEKLPNPLPYRSNSGNLTTIIGAMYGSLFFVGVNNCQTVQPIVAIERTVFYRERVAGMYSALPYAIAQVIIEIPYCFVQTMLFSFIVYAMVSFEWQVAKVFWFLFVSFFTFLYFTYYGMMTVSITPNHQVASIFGAAFYGLFNLFSGFFIARPFLVDILHNVHQTLHIFTLVEEKFIYDLPNRFVKCIKPEENVKLR >OIV97622 pep chromosome:LupAngTanjil_v1.0:LG14:15704751:15707412:-1 gene:TanjilG_12379 transcript:OIV97622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGSTVNGASSTTLSTVLAAPSSSFFLKPKHICFLTPTRRDFAFLSFLSSTTSFLSSPASAIEFGICTLRATLLLLLLLLLLILLNLTCNSLCSRTKGLVERTEEEVLQLLISTSETDTTYSDEDLQKIQDLFRSAARDCVPEDRNSFVNFQANTGVEVCTFRLIVKNAASLRASKDPVKLKAEALLDNLIRNKGNWESVFEKMQNFDNINNTFLHFGLKGQGLC >OIV97542 pep chromosome:LupAngTanjil_v1.0:LG14:16173089:16177144:1 gene:TanjilG_12299 transcript:OIV97542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVMSYGSDEKRERKSDIDNNSEDENNRSRRMRIMKGKGIGSLKKKALNASSRFKHSLHKNTRVSSLSIQDVRDLHELQAVDSFRQSLIMDELLPQPYDHYHIMLRFLKARKFDIEKAKHMWADMLQWRKEFGADTLMEDFEFKELDEVVRYYPHGHHGVDKEGRPVYIERLGKVDPNKLMQVTTMDRYIKYHVQEFEKAFAIKFPACTIAAKRHIDSSTTILDVQGVGLKNFTKSARELVTRLQKIDGDNYPETLCQMFIINAGPGFRLLWSTVKSFLDPKTSSKIHVLGNKYQSKLLEVIDASELPEFLGGTCTCEDQGGCLRSDKGPWKNPEILKMVLNGGARRTRQVVTVLNSEGKVIAYAKPPYPMVKGSDTSTAESGSEAEDISSPKAMKSYSHLRLTPVREEAKIVGSSSFSSNLSGYDEYVPIVDKTVDDVWKKEAYLQRSYSPKGASLPDTLKSPEGIRTRIWIALTVFLFTHFRSVACRVTKKLIAVSSNDDHSTSEPTHDTSNNEVRPPSSTAEENVLPSMLKRLDELEEKVSTLQSKPCKMPYEKEELLNAAVCRVDALEAELIATKKALYEALMRQEELLAYIDSKEEAKLRKKKFCW >OIV97752 pep chromosome:LupAngTanjil_v1.0:LG14:14804627:14808593:-1 gene:TanjilG_12509 transcript:OIV97752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKENIISAKDDEFPLRVTRARAKILGSKPFGEGIPPYPSQSLKNDQKNKLRANSKRVASDDINTCNVAPAGLQHKRRTMLSDVTNIGEKSYDKCFNTSKLQARVHKKDITKLASGVSNENSSSQDDVRAKLTEELSSKRVVESHDNITAITFDDKEPAEHCMSNCIREGVIADNTLSMQGSVKSDELMSSPNKEIDMICEKLAASDCLAIVDIDLELKLKDPLVWSSYAPDIYKKTRVTEVERKPLTNYMEKLQKDISPSMRAILIDWLVEVTEEYKLVPDTLYLTVNLIDRYLSTSLIHKQRLQLLGVTCMLIASKYEEICAPRVEEFCIITDNTYTREEVLKMETEVLNLLNFKLSVPTTKTFLRRFIQAAQSSYDVPRVELEFMANYFAELTLVDYSFLKFLPSLVAASAVFLARWTLNQSEHPWNPTLEHYTNYKVSELKPVVLALEDLQRSTKGCPLNAIREKYKQQKFNCVAQLTPKPLQSLFEVQL >OIV98020 pep chromosome:LupAngTanjil_v1.0:LG14:10932790:10939258:-1 gene:TanjilG_16857 transcript:OIV98020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEFKIEDMPLPALFDQARKIHATATESGADQELLKKGCVALQRCEDMVNNLGLFSANETKEDISTTNLKYILVPFYLAELTEKIAHDDRIPILKASLAKLKEFISFCEAMELVPKEELESYMQGASQSAVDQRARKIARFKRQRAAESKLLEIKERKERRGRSTKAAALSTPVEAGEEEVLDDDGEEEREAWNTTISLAICKALDLVEMLKKEEEMLSAIKDRQSKDGDKEFSKEALDERARKAETWHRDAVVRARYTKPTPPITCATFAQDVLEGRADASQAHDHKHQPLIFGPASLVGGSLTTERERMQAQVFQPGHRMPTMSIEEAGLKEMEIMNKWQERNVRLMEEANSSWHTDSKLRLGQAEEDEDEDDDAAQDKARAFDDWKDDNPRGAGNSKLTPCG >OIV98222 pep chromosome:LupAngTanjil_v1.0:LG14:5681359:5681619:-1 gene:TanjilG_18761 transcript:OIV98222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSDEQYPTQSATRAYPEAHYLPIEEFNRITIQQFLSIKRIFDQSDELIGINEINILAEERVPHDWLLRSTSESIIYHTSHYGFW >OIV98124 pep chromosome:LupAngTanjil_v1.0:LG14:9065322:9065561:-1 gene:TanjilG_25989 transcript:OIV98124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDRLLKYGTTTYGFCALCGLDESVEHLFFICPISTTIWQCVLKWLRHNHRLGGWEEELNWIIKQSKEKSMWCKLLKGL >OIV97705 pep chromosome:LupAngTanjil_v1.0:LG14:15149772:15151951:-1 gene:TanjilG_12462 transcript:OIV97705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKKSKWKFFIFILSCGKIKCTLEESEKQGSFQKLCFSDISLSSSIEDLSISLVGSRLYTFTLEELREATHDFSWSNHIGEGGFGPVHKGFVDDKLRHGLKAQTVAVKQLDLDGFQGHREWLAEIIILGQLRHPHLVKLIGYCCEDEHRLLVYEYMARGSLENQLFRRYSAAMPWSTRMKIALGAAKGLAFLHEADKHVIYRDFKASNILLDSDYTAKLSDFGLAKDGLEGEDTRVTTRIMGTHGYAAPEYIMTGHLTTKSDVYSYGVVMLELLTGKRVVSNKSRCHGQRSLVEWARPLLRDQGKLQRIVDPRLEGQFPTKGAIKVAALTYKCLSQHPNPRPTMSDVVKILESLQDFDDEFIGPIVYVAVSETSH >OIV97987 pep chromosome:LupAngTanjil_v1.0:LG14:11859665:11864850:-1 gene:TanjilG_14087 transcript:OIV97987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLTSCVRDYVNRMLQDISGMKVLILDSQTVSIVSVVYSQSDLLQKEVFLVELIDSISKSKELMSHLKAVYFLRPTSENIQLLRRQLANPRFGEYHLFFSNILKDTQIHILADSDEQEAVQQVQEFYADFVAIDPYHFTLHVPSNYIYMLPAVVDPSTVQRFCDRVVDGLAALFLAFKRRPVIRYQRTSDIAKRIAQEASKLMYQQESGLFDFRRTEVAPLLLVIDRRDDPVTPLLNQWTYQAMVHELVGIEDNKVDLKSIGKFPKDQEEVVLSSDQDSFFKTNMYENFGDIGMNIKRLVDEFQQVAKSNQNIQTVEDMAKFVDNYPEYRKMHGNVSKHVTLVTEMSKIVEQRKLMIVSETEQELACNGGQGAAFEALTNLLNNESILDVDRLRLVMLYALRYEKDSPVQLMQLFNKLASRSAKYKPGLVQFLLKQAGVDKRTGDLFGNRDLMNIARNMARGLKGIENVYTQHQPLLFQTMENIVKGRLRDVDYPFVGNHFQQGRPQEVIIFIVGGTTYEESRSVALQNTSNTGVRFILGGSSVLNSKRFFTDLEEAQRVARISTSVV >OIV98155 pep chromosome:LupAngTanjil_v1.0:LG14:8276740:8280457:1 gene:TanjilG_12191 transcript:OIV98155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNQEKLDGFIFMCNRMTKPECYRFRVFGLPAGRREVVEKIHPGAYLFLFDTDVKLLYGIYMATSSGQLRIEPLAFGQRFPAQVSFKIYQDCLPLPENCFKQAIEDNYQKDSNKFTPELSITQVRSLLALFRPLPALSTVPRHPILKDPSLLSLPPANNVIHQISRPSLSQDAMSRMSPNQVPWQFNYRYVNELDEPPPPGYSYPAANTMASSASARFVPSQASRVQLHPLASQLTSEGTYGAGRGRSFTKPMPDPHYTHQNIVNPQPEIHSSVVNRGSGHPQSWQDPQHAHHNILHSQPEIHSSMGTMGNSHTQPLQDPQYPQQSIQNPPSDFHSGVVNVGSSHVQSLQNPHYTHQNIPNQQPDSYSSMINLVRSYGQSLPDPQYPYQNNLNLQPDFNSSTVNMDHANLMMQSQYYPYVPQEVGSATNSYQWPGAIQGVVSSNQQVGTGAEYYQPSMQTNNNIATQENAVRHYYLAGASSQPVESHAQMYMQSDNM >OIV98492 pep chromosome:LupAngTanjil_v1.0:LG14:486229:486654:-1 gene:TanjilG_18776 transcript:OIV98492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFEEKPLVEAMEHEDEDFDDHYDSNGCGYGCFRLFRWQRHHGEGKGGVVDQKGESFFISKLRKIKEASEVIAGPKWKTFIRKMSCYGKKIQKNRFQYDQRSYALNFNADHGEDDQDVIARSFSIRFIAPFPPGRTQTEP >OIV98082 pep chromosome:LupAngTanjil_v1.0:LG14:10622697:10624178:-1 gene:TanjilG_09575 transcript:OIV98082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSLSSGRDSSSAFVEEIPGSLSSSFDSEAEFSDRSVNADDEVLSEGIRLKEDFPDLEQDGQENTDNILSERKNRSYGELLRKFIKSEEELKVSNLKLQLSEEEVIKLKNQIKEREGHLDNVRKELKMKEDDHEYEKGQVLELQKQTTDLETHVPDCSQQIPMLKIQIEEGQLDNVRKELKIKEVDLEYEKGQVLELQKLVEQLEAAREQLKVSNDEKTRLKEELKNRCSLNHELQGQHKGALETAEKSEAAMKWLLESGAENTEVLNDKITQYQANEAKHVLERKKLKAEKEQLHSDVKSKSKVQKQMESRLREGEDRSKLFESKSKQSEDEKLELEELNASQQMVLQGQISSLKEELDQGRHDVETVNKEFDEHKQKYSMLMTEKDGANAKIDKLVAEVSSGDNQIANMKRELLQVQAQQAELISGFEAKLNLVNELKLKVEELENEVTRQNAVISDRAEEKREAIRQLCISLEHCRNEYQQLHFAFLEQ >OIV98280 pep chromosome:LupAngTanjil_v1.0:LG14:3605199:3608207:-1 gene:TanjilG_09914 transcript:OIV98280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASGRFFLAYVIHSLKKVVGLLAFERTGSLRREDRRKTHPDGCETAEDVGAFFPKEEPKQCPWERIAFVSDLRLYPDEVIVTDGSREFTEYTTLRSWVRQWLNYVKWYYMVAVSPGVTIQDLLDAPFVNRKWQVESTDSQLVRFGLVWKAYLLCSASPAKRFLYVMFCEMTPYARANLAYRSCKPIVPFGLTPEGALLWGPYIKDLIRVLIKLAKPVSVRSIKWREESKRPSFLGVLWYPRVRYYFDENNELFSRMDMASFEKEVIPNDPRGMVGRFVSLNPATGLAPSDIRSMVRSLVICLTHASEVRGAAKVNAQLQL >OIV97618 pep chromosome:LupAngTanjil_v1.0:LG14:15729501:15729992:1 gene:TanjilG_12375 transcript:OIV97618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFIFNNSFYTFLLLNAILLSLYAFSDTQNGAVSSVSNYSNDFYDEYLNLSDYSFKIMENEPVTCSDQVGEFCSTVMDEKTVTTAVTETTTTTTTVRIQSESVERRRMVAEFKRYNSNFRKRSCHVERLSKEDFNRAVEDFIAKQKRILWEEQRETEKTKYLIQ >OIV98289 pep chromosome:LupAngTanjil_v1.0:LG14:671377:671980:-1 gene:TanjilG_16616 transcript:OIV98289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFPYFDANGTAVSALAERHADGSLPIEDFNIEVEDQNGQKVCLGSETDQRAVMMGLTLLAKGKRLIRQGNYKDSLEVLSMG >OIV97853 pep chromosome:LupAngTanjil_v1.0:LG14:13891905:13897430:-1 gene:TanjilG_12610 transcript:OIV97853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTYPGSLRLSHDTMIRSYNGNCGFCHKPIRADRLCFVTINPLQHGLRKHSSALLLSRVNAPLRPVPSRCGVLLCQAALVPAGGCEAPLLKLVSISLTRAYNAISTRPVVLQLIPALGIIGFAFFGLEPLLHLTRTLFLQERTDKSWKKSTPHYIMTSYFQPLLLWTGLMLICRDLDPLVLQSETSQVMKQRLLGFVRSLSTVLTFAYCSSSLIQQAQKLFKDANDSSDAKNMNIDFISKAVYTAIWVAAVSLFMESLGFSTRRLLTAGGLGTVLLSLSGREIFTNFLSSVMIHATRPFVENERIQTNIKGYEVSGKVEHVGWWSPTRVRGSDCEAVHIPNHRLSVNVVRNLSKKSHWRIKTRLAISQVDVNKINGIVADMRKVLAKNPQVEQKKLHRRVFLDDINPENQALMILISCFVKTSHSEEYLRVKETILLDLLRVISHHGARLATPVRTVQNMSNDAELEFDDTTFTGSRAMPNQPIIEASYKVKPSTHSTITNEKIDAKVGGFNVGSDNIAVTLNPAPTVNSKDKLKPTGEAKTQNMGSDNVVQKSAKSMHPKKKRAGSSGNTSLTSPSKQDEAKSAVSSSSVSPLENNIVLNAALLGSKGTLAIDEENIQSIPSDAESQELVVKKNGSVPPK >OIV97881 pep chromosome:LupAngTanjil_v1.0:LG14:13610393:13611355:-1 gene:TanjilG_12638 transcript:OIV97881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKIATSNTITTSTDTSNTTVSTTVTTTTTNTNPNYLRKLNKLSQKITKPITKKPNFDPPPQPRPPQNQTFNNNDQQQNNNNNNNNNNNNNNNLQHQPPVYNISKSDFRDVVQKLTGSPAHDRTISNPPPIIHQPKPPSSRLHRIRPPPLAQISNRPQTPLLNPPPPFSNNAVTVNNSNFNFSGFGRPLAPLSPLPPFPTVHAAAESPVSAYLRCIQNSTPSFDSNSKQFSGFSPLAPRWNNFAPPQQEQLQQPQPSQQVEGTSSSPSQFQMPTSPLPFGCLNSPISSYPLFSPNSGQLGFPQLPLSPTVPVQSPRRRDL >OIV98238 pep chromosome:LupAngTanjil_v1.0:LG14:5176836:5190028:-1 gene:TanjilG_09890 transcript:OIV98238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKEIQVRALTGDSIILHIPPTATVHDLKLLLIDSFPPATNSPNFHLFSKAHTPSFNYYSFLILQFLYSHLAQLWFQGDKLRLQAQIGSYPIERGEFLVLIPFTKKESTQVNKPDPLQASVTPSNATSNLAESTWCNIMEDLSNLHGTTDSGPSNANDKASNFECAEPRNEKMVVEDTCSDFFDPKIKGGLGPDKQMELPYHLILNTLQYTSEGVLGEHNCKVFSKVLESVNCLSDLPIGYCKLFKRARLKGGGGSGLCANNVDSTCLCPAWLKIVMKAFAFINIFSAFLHLQCRKITVCLLEEAMNQLGKFGVKLELQDMKKLYVLCPQLVSFGNDVENTGFGDVIVVINHSTSNKYQIENNPKRARKWLYISKIVSTLKKRDSSFRNNLWMAFEQLPIVLDEVAVGISLEELLAAMKDHDFVGKANKAKRVKRSSTTSKPALDHLGCHDTKPVLVMDMVEHLKNGIGSNGQIVHVEDICARIAIHTEMSIELSEHMKTALECIGVSKLYSHQAESIQASLNEKNVVVATMTSSGKSLCYNLPVLEVLCKSSSSCALYIFPTKALAQDQLRALLHMTKGFDFDFNIGIYDGDTSQSERTWLRENSRLLITNPDMLHISILPHHQRFSRILSNLRFVVIDESHTYKGAFGCHTALILRRLRRLCLHAYGTIPSFVFSTATSANPREHAMELANLPTVELFQNDGSPSSRKLFILWNPVLRLKAILNKAQFAMGTDELADESSNCVRSSPIVDVSRLFAEMVKHGLRCIAFCKSRKLCELVLSYTREILHETAPHLMDSICAYRGGYIPEERRKIETAFFGGKICGVAATNALELGIDVGEIDVTLHLGFPGSIASLWQQAGRGGRRDRPSLAVYVAFGGPLDQYFMNHPKKLFERPIECCHVDSQNKQVLEQHLVCAAYEHPLCVQYDKQYFGSCLESVLISLKARGFLSSDLSSDSSSRIWNYIGPEKLPSHSVKIRAIEAVRYSVIDQEKNEVLEEIEESKAFFQVYEGAVYMCQGKTYLVEKLDLSSKIAFCKKADVKYYTKTRDYTDIHVVGGNIAYPAMVCTISPKTNARAHACKVTTTWFGFYRFWRGSNQIFETVDLTLPQYSYESQAAWVSVPQSIKEAVIKQNYDFRGGLHAASHAVLNVVPLHITCNLSDLASECPDPRDNRYYPERILIYDQHPGGCGISVQVQSCFTKILTAALELLTCCCCSAEVGCPHCVQSFACHSYNEVLHKRSAIMIIKGILDAEN >OIV97800 pep chromosome:LupAngTanjil_v1.0:LG14:14423170:14427231:-1 gene:TanjilG_12557 transcript:OIV97800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMERIIGGKFKIGRKIGSGSFGHIYIASNIDTSEIVAVKMESKKSKHPQLLYEAKLYNFIQGEPGIPSMKWCGTDGDNNVLVIDLLGPCLEDLFVYCGRKFSLKTVLMLADQMLTRIEYVHSKGFLHRDIKPDNFLIGLGRRANQVYIIDFGLAKRYKDPNTNKHISYRENKNLTGTARYASCNTHLGFEQSRRDDLESLGYVLMYFLRGSLPWQGLKAVTKKEKYDRICEKKLSTSVEMLCTSYPEEFTSYLNYCRSLTFDQHPDYGFLKQLFRDMFTREGYASDNLFDWTILKYQQAQLAKRQNPSSPASAVPSSLEPLVVDRQHKGVNHSAQITVTKQLTHLDRPSVRVQPKPSSFQNPNAKNKTEKHYVNNGPSTSSVMPRPSTENIPIPGKPLETSNLGPVLGSNSRVSSSWIPSLRRISSAE >OIV98199 pep chromosome:LupAngTanjil_v1.0:LG14:6679726:6694288:1 gene:TanjilG_28712 transcript:OIV98199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPAEVVYLSSDDESEEVSLKPVKLEPNFVIDAKQQNKNNEISLARSQRSRSHSIRHDSEENTSSNAPSTGHSNSSVLDQGLSPVDDTDISYASSISAAPVCRQFWKAGNYDDGLGSKVTVQNAKNHMHVHPMFLHSNATSHKWAFGAIAELLDNAIDELPLGLCLQQQSLLVICRDGNEVGSISTQFPFPASAKIPSERDLEMRWQRRPCGRCAPNRVVEVRFKLLVSFGFMGTTSPFKSDKTSNPKDGSPTLLIQDDGGGMDPEAMRHCMSFGFSQKSKFSIGKYGNGFKTSTMRLGADVIVFSCHLNDRIMTQSIGLLSYTFLTQTQLDRIVVPMVNYEYDTSTGSLQILNGSEHFVANLSLLLRLSPYSSEAELLKQFDDIGSHGTKVIIYNLWFNDDGNSELDFDTDPEDIRIAGDIKKVEARPAWKALNEQHIANRLRYSLRDYMSILYLRLPEAFRIILRGKDVIPHNIADDLKYTEYIIYKPQVGGHVQATIVTTIGFLKEAPHVNIHGFNVYHKNRLILVVSYLDSRGRGVVGILQADFIEPSHNKQDFEKTSLLQKLEGRLKEMTWEYWDYHCKLIGYQEKKKCPPPATPLLHPSPVTKAVILNKNSSAVVSRKAAAYGKVGQHTTNPQSRQQLVSHKRKSLEVIDLENMKKHPREETDTGVGFRQSEQIIPTPAAELVMDQETITLMEENKKLSAQLLEYEKTEEELNLKVMTLRNKIQEAGREYSQLLAELQSLDVKEE >OIV97683 pep chromosome:LupAngTanjil_v1.0:LG14:15301783:15305373:-1 gene:TanjilG_12440 transcript:OIV97683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSSDSVSVDMETISPSLKEHHIRTRHGSISVAVYGDQDKPALITYPDLALNYVSCFQGLFFCPEVYSLLLHNFCIYHISPPGHEIFSFLIILCFLQELLQLGAAAIDPDDPILSVDDLADQIAEVLNFFGLGAVMCMGVTAGAYILTLFAIKYRQRVLGLILVSPLCKAPSWTEWLYNKVMSNLLYFYGMCEVVKEILLKRYFSKEVRGSAHLPESDIVQTCQRSLEERQSLNVWRFLEAINGRSDISEGLIKLQCRSLIFVGDMSPFHPEALHMSSKFDRRFSALVEVQACGSMVTEEQPHAMFIPMEYFLMGYGLYRPSKQSVSPRSPLSPSCISPELYSPESMGLKLKPIKTRI >OIV97775 pep chromosome:LupAngTanjil_v1.0:LG14:14610571:14611496:1 gene:TanjilG_12532 transcript:OIV97775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFLVASTQTERRMFLNPVEDVSGFQINGPSKFFAGGSSESENSSSIGTPDDSGDDDDEVQSEFKKKTGLGSLDSLEYSLPIKRGLSSHFDGKSKSFTDLSQVNNLKELQKQESSFNKRRRVVIASKWSSRRSSNFYTWSNPKSMPLLPVDESQDYDDEEDEGEKVRKVPCTSSSSSSSSSSSSLVEEKKQEDQVQICSERLSESYAAEMRLRLRSFKTRSFSLADLQEHDDEEEEDNDD >OIV97543 pep chromosome:LupAngTanjil_v1.0:LG14:16171238:16171687:-1 gene:TanjilG_12300 transcript:OIV97543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILQHSGSEEELQVLMDQRKRKRMISNRESARRSRLKKQNHLDELAAQVAHLKNENQQILTTLNHITQRYLNVEAQNSVLTAQVGELSHRLESLNQIIHFFNANNGIFEAPDYASTNFIDPAPNNFFNPFNINYINQPIMASAEAMLQY >OIV98437 pep chromosome:LupAngTanjil_v1.0:LG14:2348591:2351804:1 gene:TanjilG_16764 transcript:OIV98437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVSPLAKYKLVFLGDQSVGKTSIITRFMYDKFDTTYQATIGIDFLSKTMYLEDRTIRLQLWDTAGQERFRSLIPSYIRDSSVAVIVYDVANRQSFLNTNKWIDEVRTERGSDVIIVLVGNKTDLVDKRQVSIEEGDAKSRESGILFIETSAKAGFNIKPLFRKIASALPGMETLSSAKHEDMVDIKLKTTASSSQTEQQGGGCAC >OIV98362 pep chromosome:LupAngTanjil_v1.0:LG14:1456562:1459760:-1 gene:TanjilG_16689 transcript:OIV98362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLFGLVSRNQKTFRPKKSSPGSKGAQLKNHIDATLGSGNLREAVKLPPGEDINEWLAVNTVDFFNQVNILFGTLTEFCTAGNCPTMTAGPRYEYRWADGVTIKKPIEVAAPKYVEYLMDWIESYLDDETIFPQKLGAPFPATFRDVVKTIFKRLFRVYAHIYHSHFQKIVSLKEEAHLNTCFKHFVLFTWEFRLIDNAELTPLQDLVESIIQY >OIV97978 pep chromosome:LupAngTanjil_v1.0:LG14:11754577:11757673:-1 gene:TanjilG_14078 transcript:OIV97978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPPDSPYAGGVFLVTIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRNKYESTARSWTQKYAMG >OIV97823 pep chromosome:LupAngTanjil_v1.0:LG14:14204060:14207578:1 gene:TanjilG_12580 transcript:OIV97823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTDQSQRNNMDIETPETSADGSKNLDDDGRPKRTGTLVSASAHIITAVIGSGVLSLAWAIAQMGWVAGPVVLFAFSFITYFTSTLLADCYRSPHPLHGNRNYTYSQVVKSILGGRKFQLCGLAQYINLVGVTIGYTITASISMVAVKRSNCFHKHGHQEKCYTSNNPFMILFACIQIVLSQIPNFHKLSWLSIVAAIMSFAYSSIGLGLSIAKVATGGKHVKTSLTGVQVGVDVTGSEKVWRTFQAIGDIAFAYAYSNVLIEIQASYAAFGNNAPGNFLTGFGFYEPFWLIDFANVCIAVHLVGAYQVFCQPIFGFVESWSKEKWSETKFVNVDHAVKLPLCGTYNVNFFRIVWRTTYVIITAVIAMLFPFFNDFLGLIGSLSFWPLTVYFPIEMYIKQSKMKKLSFTWTWLKILSWVCLIVSIISAAGSIQGLAQDLKKYQPFKATQ >OIV98347 pep chromosome:LupAngTanjil_v1.0:LG14:1317920:1320396:-1 gene:TanjilG_16674 transcript:OIV98347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTIEEEVVEIDSLQKQLLQKTEDEEEEPVLYTASFQETEENFIKYHTVQWVLYSMLLILAWGIGFIMLLYIPIRRFILRKDIRSRTLYLTHHAIVYKVTRPVPFPCFGVLHKEKHVLLHSVADVVVEQGYLQSRFGVSSLRIENVGVRRSTSDDVKIQGIANPNAFRKAVMMRLSNMRNEIYSRQVSQVEDVPPLMMSPSKSLRHDSTPSGELLLLQKLEEVGSSVKRLQTLFEEQQSQTTESID >OIV98369 pep chromosome:LupAngTanjil_v1.0:LG14:1519430:1525101:-1 gene:TanjilG_16696 transcript:OIV98369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTCIPRTKKLGDDSLSHQDDSDPNNNAKNNNQAVKSITSQIKDMALKASGVYKHCGTCTGPSRLGVSNKGESLSPELESERFRRLYRRNGSGSSSRRMWGKELEERLKGISSGEGTPSSGGGGGSGRRVVVVVEEEEGKEWVAQVESGVLISFVSLPGGGNHLKRIRFSRDIFNKWQAQRWWAENFDKVMELYNVQRVNHQAFPLPTPPRSEDESSKHESVEDIPATPPLTRERLPRNLYRPTGIAMGYSSSDSFDVQSMQSRHHNDSTGMNSTPKVSTISAAKTEISSMDASIRSCSSREADYSEDISISNVSDMETEWVEEDEPGVYITIKAMPGGKREIKRVRFRIVSMELILGWFPRFRCSREKFGEVHARLWWEENRARIHELYL >OIV98057 pep chromosome:LupAngTanjil_v1.0:LG14:10306484:10309351:1 gene:TanjilG_09550 transcript:OIV98057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARTLFIKKALPFSIFRHRTVTAATTTHSRGLQTVTLPDLDYDYGALEPAISGEIMELHHQKHHQAYVTNYNKAIEQLDNALVKGDASTVVKVQSAIKFNGGGHVNHSIFWKNLAPVREGGGELPHDTLGWAIDTHFGSLEALIQKMTSEGSALQGSGWVWLGLDKEFQRLVVETTENQDPLVTKGSNLVPLLGIDVWEHAYYLQYKNVRPDYLKNIWKVINWKYASELYEEESS >OIV97544 pep chromosome:LupAngTanjil_v1.0:LG14:16166350:16167225:-1 gene:TanjilG_12301 transcript:OIV97544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAELRDQYGNPIQLTDQYGNPVKLTDEHGNPVHVTGVAATIPTTGTHTAGTTGTYGAPTTGTHGIPTTGTFAPTTGTHTSGFGTHGVPTTGTHTSGFGTHGVPGTHGVPTSGTHASGIGTHGAQTTGTNTSGFGTYGAGAYGGGATTGELRRSGSSSSSSSSEDDGLGGRRKKKGLKDKIKEKLPGGVGGGGGGGGGGGRDHSGTTTTAAATTTPTTTPTTTAATHHPEAHEKKGILDKIKDKLPGHHN >OIV97768 pep chromosome:LupAngTanjil_v1.0:LG14:14659758:14661476:-1 gene:TanjilG_12525 transcript:OIV97768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARMKMTLTVAERNRRREQAPPRNKNTKFAKRSIPTTSNPIKKRRKQDEQESEEESHVPSQEEEQEVEEEEEENVDVDVDEGPSSSNPINNSKGKQKMEQQEDDDDDEEKEEEEDDGESDKEPSSSNANNTTIIIEHSERKLFKDKAQEVKQALEEALPGITITINSRKLNKLGSFDIEEEGGEKFCSLKGMKSPFKRLKELDVERVVSDITDKISSKNNS >OIV98306 pep chromosome:LupAngTanjil_v1.0:LG14:814365:815342:1 gene:TanjilG_16633 transcript:OIV98306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIPWKIKLILSLLSPIRNLCFRSNFTINRSLYNFLDRKTPPSPPSSHDVIIDPSRNLWFRLFNPSATANAASDNLPLPVIVYFHGGGFVTSSPDSAPFHSLAHRFSENLRAVVVSVNYRLAPEHRYPAQYDDGFDAVKFLDSSVDILPPTADLTRCFLAGDSAGGNLAHHVAVRASGFKFQRVKLRGVIALQPFFGGEERTESEIQIGNSAPGLTVESADWYWKAFLPNGSNRDHPAVNVFGPESIEISGLELSDSIVVFGGLDPVQDWQKRYCEGLRKAGKEVKLLEYPNAFHGFYIFPELPECSLMMNEIKDFMILQLKKIN >OIV97920 pep chromosome:LupAngTanjil_v1.0:LG14:13210815:13216044:-1 gene:TanjilG_12677 transcript:OIV97920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRKKLRRKPKLERRNAVKYSDYDAVSSSSSFDDSSGSLYTISMDFNDRPSFRIEGIEGEFDRICKSLGLSGPEDFSIPASAWEEMKFHSNSDMLPKLNIIENEEKVVVVEEEDDEEEETKEIESEIVEECEDRVRDEPGEASDCGGGGGGGIKEVRPSMLMLPPGMRVQAVDSTCSTWDLLRDFAPQGEEHKEEVGVEREEEEDNAERIVEIIDELSGSSSFSNSNEDDSSTTNTDPRSNNISPNARIKRTITSGNWQKGDLLGRGSFGSVYEGISEDGFFFAVKEVSLLDQGSQGKQSVYQLEQEIALLSQFEHENIVQYYDTEMDESKLYIFLELVTKGSLASLYRRYTLRDSQVSAYTRQILHGLKYLHDRNVVHRDIKCANILVDANGSVKLADFGLAKATKLNDVKSCKGTAFWMAPEVVKGKNQGYGLPADMWSLGCTVLEMLTGQIPYFELECMQALFRIGKGERPPIPDSLSRDAQDFITQCLQVNPDERPSAAQLLNHPFVQRPVSQSSGSSFPNPNIPGRKG >OIV97928 pep chromosome:LupAngTanjil_v1.0:LG14:13072608:13073705:-1 gene:TanjilG_12685 transcript:OIV97928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAVKHGAINLGQGFPNFDGPEFVKEAAIQAIRDGKNQYARGYGVPDLNNAIAERFKKDTGLVVDPEKEITVTSGCTEAIAATILGLINPGDEVIVFAPFYDSYEATLSMAGAKVKSITLRPPDFAVPIEELKSAISKNTRAVMINTPHNPTGKMFTREELNTIASLCIENDVLVFSDEVYDKLAFDMEHISVASLPGMFERTVTMNSLGKTFSLTGWKIGWAIAPPHLTWGVRQAHSYLTFATSTPMQSATAAALRAPDSYFVELKRDYIAKRAILVEGLEAVGFNVFPSNGTYFVVVDHTPFGHENDVAFCEYLIKEVGVVAIPTSVFYLNPEDGKNLVRFTFCKDEGTLRAAVERMKEKLRK >OIV98508 pep chromosome:LupAngTanjil_v1.0:LG14:221157:226413:-1 gene:TanjilG_18792 transcript:OIV98508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHSHTIARATLGLTHPSNIDTPKISFAANDADVAEWKGDIVAVGVTEKDLTRDDKLKFKNPILNELDSKLGGLLGEASLEEDFNGKFGQSTVLRIASGLGFGSKRVGLIGLGQSTATSAPFKGFGEAVAAAAKSAQASNVAVVLASVDGLSVESKLNIASAIASGAALGTFEDNRYKSESKKSALRSVEILGLGKGPELEKKLKYAGDVSSGIVFGRELVNSPANVLTPGVLAEEASKIASTYSDVFTAKILDVEQCKELKMGSYLAVAAASANPPHFIHLVYKPPSGPVNVKLALVGKGLTFDSGGYNIKTGPGCLIELMKFDMGGSAAVFGAAKALGQIKPLGVEVHFIVAACENMISGTGMRPGDIVTASNGKTIEVNNTDAEGRLTLADALVYACNQGVEKIVDLATLTGACIVALGPSIAGVFTPNDDLAKEIFDASEASGEKLWRLPLEDSYWDSMKSGVADMVNTGGRQGGAITAALFLKQFVDEKVQWMHIDLAGPVWNDKKRSATGFGVATLVEWVLRNSS >OIV97911 pep chromosome:LupAngTanjil_v1.0:LG14:13288942:13291629:-1 gene:TanjilG_12668 transcript:OIV97911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENPMAAENSEFINMKFSPPKLPPEFPQALKTTARKSGTPRPRRRSDTWVVSVFVIIQIGVFIATMLVNDCWTNSHGDCAFSFLGKLSFQPLHENPLLGPSQSKLEEMGALRRAFLTKYHQTWRLFTFPFLHAGLFHLLINLCSVIYVGIHLEQEFGSLRIGIIYTLSAFMGALVAALFVQSTPAVGSSGALYGLLGTLLAELIWNREFRNNKVSEIVSLVLIFVCNFILGFLPYVDNFSSIGGFMSGFLLGSVLILSPQLPQVAPSKGGLIDYGVKNYIKLKLKQKLDRPVLRIVSLFLFSLMLAGFLVAVLHGININSYCTWCPYIDCIPSKSWHCKHRETICETMVSNEHMTMTCMDNGNFRIFPFTNISVARVNDLCNLICF >OIV98140 pep chromosome:LupAngTanjil_v1.0:LG14:8621921:8622205:1 gene:TanjilG_12176 transcript:OIV98140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVMVVMMVQLGDGRQRRRGSSSFRAFKTGWREKYGRLLHLLIVIPTRRRSWPLAWCCMVTPGQPRRLNSGSIHRCRVRVLLLMLINYQASEYG >OIV98136 pep chromosome:LupAngTanjil_v1.0:LG14:8741805:8752651:-1 gene:TanjilG_26001 transcript:OIV98136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFHVGGKVVDKVDLLRKKQWFWRVDITPFAVLFGAWIAVICPSLDFIDAAIVFGALVSLNILVLLFTGWSVDFKCFAHYSKVKNIDQADSCKITPAKFCGSKEVVPLHFRKIPAGFSSAVELEEIYFEFRKQCFVYSKEKGTFVKLSYPTKETFGHYLKSSGHGSEAKVLAATEKWGRNVFDYPQPTFQKLMKEHCMEPFFVFQVFCVGLWCLDEYWYYSLFTLFMLFMFESTMAKSRLKTLTELRRVRVDSQILMVHRCGKWVKLSGTDLLPGDVVSIGRSSGQNGEEKSVPADMLILAGSAIVNEAILTGESTPQWKVSIMARGIEEKLSAKRDKSHVLFGGTKILQHTPDKNFPLKTPDGGCLAVVLRTGFETSQGKLMRTILFSTERVTANSWESGIFILFLVVFALIAAGYVLIKGLEDPTRSKYKLILSCSLIVTSVIPPELPMELSIAVNTSLIALARRGIFCTEPFRIPFAGKVDICCFDKTGTLTSDDMEFSGVVGLAGTTDLESDMSRVPVRTVEILASCHALVFVENKLVGDPLEKAALKGIDWSYKSDEKAIPKKGNGNHVQIVQRYHFASHLKRMAVVVRIQEEFFAFVKGAPEIVQGRLIDVPPSYVETYKKYTRQGSRVLALAYKSLPDMTVSEARSLDRDLVESGLTFAGFVVFNCPIRSDSATVLSELKGSSHDLVMITGDQALTACHVASQVHIISKPTLILGPVSNGEGYTWMSPDETENIRYSEKEVKSLSETHDLCVGGDCFEMLQQTSAHLLVIPHVKVFARVAPEQKELIMTTFKTVGRVTLMCGDGTNDVGALKQADVGVALLNALPPTQSGNSSGEEGSKSVKPKKSKPAPDASLKSISPIGEGTSKSKVVSKSDSTNSSVNRHQAAVDMQRQKLKKMMDELNEEGDGRAPIVKLGDASMASPFTAKHASVAPTTDIIRQGRSTLVTTLQMFKILGLNCLATAYVLSVMYLDGVKLGDVQATISGVFTAAFFLFISHARPLPTLSAERPHPNIFCAYVLLSLLGQFTIHLFFLISSVREAEKYMPDECIEPDADFHPNLVNTVSYMVSMMLQVATFAVNYMGHPFNQSISENKPFRYALVAAVIFFTAITSDLFRDLNDWLKLVPLPVGLRDKLLLWAFLMFLVCYSWERLLRWAFPGKIPSWQKRQRSAVSNLEKKKEV >OIV98085 pep chromosome:LupAngTanjil_v1.0:LG14:9897306:9899508:1 gene:TanjilG_25950 transcript:OIV98085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILYHTSFSCLHHFPQTLRTKHVHLNLFPLIHAVQSGEADSKKSEGSSSKDNKSSSPVPKPKKPVYSMKKGQIVRVEKEKYLNSINYLSVGHPTYYKGLDYIYEDRGEVLDIRIFETGEYALIAWVGVPTAPAWLPTDMLIKSEKLNYERL >OIV97536 pep chromosome:LupAngTanjil_v1.0:LG14:16196227:16198428:-1 gene:TanjilG_12293 transcript:OIV97536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRLPNALSAKYILRISNLFSTEAAPMSLHVPKGYFAVYVGDSEKKRYVIPVSLLSQPSFQELLSMAEEEYGFNHPMGGLTIPSIKKLHGHLLTTGTLFTCHHLHSNLIATYAMCLPHNNNIIQTLHTFFKCINSTDPLHFNVLISDFSHKGFNFLALTTFSFMHSNAIPLDSYAFCTTLNTCSKLKDLHFAKQIHTQVAKSGWYYSVFIGSALIDLYSKVSNVKDAAIVFDEIPEKNIVCVNALLSGYGEAGLWVQELELVRKIPLLNFKYDQFTLSAALGACTGLSAVELGRQVHSYLLRTIFDVESDVFLQSALIEMYGKCGLVNKAWQVFKLEGIEIRKERSRDIVLWTSMLGVYGRNGYYKEVIDLYKEMLREGIRPDGIAFLTVISACGRTGQVLDGVKYFESMANDFRLEPSAEHYSCLVDMLCRAGELEKAWEVLNERVYEKGMGNCIISMWGALLSACVDAGNVELGKLAAQRALELDPQNVGILVLLSNLYARFGMWDEIGQLRVLIKTKGLRKDVGCSWVQVTS >OIV98156 pep chromosome:LupAngTanjil_v1.0:LG14:8267403:8273554:1 gene:TanjilG_12192 transcript:OIV98156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHNGDDNGVNGSSNNDREEQEEALVALIEHRTREVKNFRQRLLYYQSQLAEAEKRLQDSESKLARLRSQTNASSSRSRLEDGTKTVKAERRSTSPMDRNEGSSKNQHQSKPELRIPDLPPKISKPSPWAKSSAKPSTSSGAETSTVKSGSNSQRLSSQQQNNENKDKGTKRKFVQKEHKELIPLLRQSSSASLVNCQTSNHISSQHKRKLRSLALCPVNDQLFVTSALDSMVNMWQVQAKGSGASLLSATDCMSPQRKWPEAIAWHPEGNSIFSVYSADGGDSQVSVTNLNRGQGGERVKFLEDKPHVKGLINGIDFMPWEDTCFVTGGSDHAVVLWSEQDGDNKWKSKPLHRNLHSSAVMGVAGMQQKQIVLSAGADKRIFGFDVHVGRADFKHQIDSKCMSVLPNPRDFNLFMVQTGTHEKQLRLFDIRLRQTELHVFGWKQQSSDSQSALINQAWSPDGLYITSGSADPVIHIFDVRYNAHRPSQSIRAHQKRVFKAVWLESVPLLISISSDLNIGLHKVY >OIV97992 pep chromosome:LupAngTanjil_v1.0:LG14:12037152:12037740:-1 gene:TanjilG_14092 transcript:OIV97992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNEAKKKENTKNPSSALEKQLSFEEPKTLSTREMDKAREEAFKIFTTHSKEEAMKIFTKGLQPVTISKEANADIVASDSDEEWIGIWKAKA >OIV97626 pep chromosome:LupAngTanjil_v1.0:LG14:15681318:15689919:-1 gene:TanjilG_12383 transcript:OIV97626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERTVSVTPFQIRKDFQGSDGLLPLSPQWLIPKSGESKPGTLENHVVSNTPYGNHTGEDVHDGHKRKDVFRPSVLDSEGGRFDLWRDEGRDTKYAIRKDRWKDGDKDLGDARRVERWTESSATRHLGEPRRGTSDRWNDSGNREGNLDLRRESKWNTRWGPDDKEPEAVREKLDDSGKDSDLHLGRGLSHISNQGKDEKEGDRYRPWKPNSAQSRGRVDSPYIQNVTPKKQVPTFCHGRGRGEDTPPVFTLGHARPGSGGSYRNSTSTYSEYPGTVLDKFENEHEEACSFRYSRTKLLDVYRVTNMHTDRKLVDAFVQVSHLTKDDPLEPLALCAPNSEELSVLNGIDEGEILSSDASQVLKDGRSSIEFTHSRRMKHGSPLQDRDEHGGSYRMADEVPTNRESTFEGNSSVHPAAAWHATPLDDCTSTVLHDSNDVSRDVRSRNSDMIMLNEPKDPITQLDSKLDYLSDARDVAKWQASEVPIFKRQLSGIFDSELETRRVPQTPPEELSFFYKDPRGLIQGPFKGIDIIGWFEAGYFGIDLPVRLENAAADSPWLQLGDAMPHLRAKAQSPPGFPATTLDYTEAPGRQNSSTLGSIHPGLSNIEMSRNDYKHRQSSTAEAENKFLESLMSGNKNSPPLHSLTLSEGLQGFVGNNSGNLGPPEVDSGSNLYLLAQRMAIEQQRSLSNPYPYWPGRDVASLAPKPDVVPDGLPHSKFLPSLSDDSRQFQSQSSELMSIFQGLSNRSSSGLNNTVSGWPNYPLQGGLDPIQNKNDLHCDQNFPQIPFGIQQGLQPPNQLSTNNLIAQASDNPSSILTVEKLLASGLLQDPQILNMFQQQYLLQLHSQAAAPAHQMPLLDKLLLLKRLQQQEEQQLLLRQQQQQLISRMLQEHQSHQHFGDLSYGHLQGGGIPIGNLHLNSSQVQQTQEIFPMSSQAPVPRVREEVSIKSLNSPHQVIQGTSYNSSEASVQLSNLLFGNINRQRSWGPSMPEHINEDLQKVMLPASTPVESSVLHENESKEEPSIEQRPFFLSDYTAKSVEQMLDGTCQDDGSVKTATSESVEHSRPEQCVAPVIAISLAGSCGIQLPLASELGQDVEIKSDSLEEQQSGKDSSSVVPSVADTRNVEAHKPKKATEKKSKKQKSAKSQSSDEAKGSLKNVSLQESKKSETEIPNYGEINVGESRKGDPAETYIQQTRGDGYQTGTATTKLADSEEVSGLPASIPGSIAETVVESGSNAVSSVATESTDLHCGRAWKPAPEFKTKSLLEIQEEEQRKARTETLVSEIATAVNSMSLATPWVGTVANPDSTKVSSESHSGAGNTQYLAKLGTSQNIKESPLHDLLAGVNKFSDLVPDSILSSQNVAAHSEPIDDGNFIEAKDTKRNRKRSAKSKGSGAKLSVPTASSEVPVGSSPTEKGKISRSVQQEKEQLPAIPAGPSIGDFVLWKGEPASPSPSPAWTTDSGRVPKPKSLRDIQKEQEKKASSRVPTNQLPIPQKLLPAQAARSNGPPLPISASLPIQINQAALPIQINSQASKSKYKGDDDLFWGQIEQPKQETNQPGFPQLASQGSWSSKNILIKDNSVGPLNRQKSGSGKSTVRSLSSSPASSQSFLKSKSDAMTKNSVLSTESSMHISPFDWITDDSVMMLSYSLFSEAIDFRVWCENECGRLLGTKDTNFLEFCLKQSRSEAEMLLIENLGSYDPDHEFIDKFLNYMELLPPDVLEIAFQMPNYQKLSATMVSGSADLQDHGHTEGSSKGGKKKGKKGKKVGASVLGFQVVSNRIMMGEIQTVEE >OIV97990 pep chromosome:LupAngTanjil_v1.0:LG14:11913593:11920466:-1 gene:TanjilG_14090 transcript:OIV97990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDDEFDEEEEEEEQVADFDEEEAEEEEEVGRGGRKRRRSGFFDEDAEEVDDDEEEEEDDDDDEDFDGGGGGRKRQRKKPSASSFFDEEAKVDSDEEEEEEEGEDDFIVDGGADLPEEHDGRNVRPGRMLPHGEEDHEDLEAMAKRIQQRYAKRQSDYDEEATDVEQQALLPSVRDPKLWMVKCAIGRERETAVCLMQKYIDKGSELQIRSVVALDHLKNYIYVEADKEAHVREACKGLRNIFGQKITLVPIREMTDVLSVESKAIDLARDTWVRMKIGTYKGDLAKVVDVDNVRQRVTVKLIPRIDLQALASKLEGREVVKKKAFVPPPRFLNVEEARELHIRVEHRRDTYGERFDAIGGMMFKDGFLYKTVSIKSISAQNIKPTFDELEKFRKPGENGDGDVASLSTLFANRKKGHFLKGDAVIVIKGDLKNLKGWVEKVDEDNVHIRPEIKGLPKTLAVNEKELCKYFEPGNHVKVVSGAQVGATGMVVKVEQHVLILISDTTKEHIRVFADDVVESSEVTTGVTSIGDYELRDLVLLDNLSFGVIIRVESEAFQVLKGVPDRAEVVLVKLREVKSKLDKKISVQDRFKNTVSAKDVVRIVEGPCKGKQGPVEHIYRGILFICDRHHLEHAGFICVKAQSCVVVGGSRSNGDINGNAYSRFASLRTPSRIPQSPSRFSRGGPPIHSGGRGRGGRGHDGLTGSTVKVRQGPYKGYRGRVIEVKGTSVRVELESQMKVVTVDRNHISDNVAVTPYRESRYGMGSETPMHPSRTPLHPYMTPMRDPGATPIHDGMRTPMRDRAWNPYTPMSPPRDNWEDGNPGSWGASPQYQPGSPVSRPYEAPTPGAGWASTPGGNYNEAGTPRDSSAFANAPSPYLPSTPSGQPLTPNSVSYLPGTPGGQPMTPGTGGLDMMSPVLGGNSEGPWFMPDILVNVHKPGEESVGVIREVLTDGSCRVALGSSGNGETITALPSEMEAVVPRKSDKIKIMGGSLCGATGKLIGVDGTDGIVKVDDTLDVKILDLVILAKLAQV >OIV98147 pep chromosome:LupAngTanjil_v1.0:LG14:8459853:8463328:-1 gene:TanjilG_12183 transcript:OIV98147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHKEKSKERKEKRLQEISLLRTIPYADHQRWWSKETIAVVTGGNRGIGFEISRQLADHGVTVIVTSRDASVGVESIKVLQEGGMEHVACHQLDILEPSSINQFSEWLKENYGGLDILVNNAGVNFNHGSHNSVENASNVIETNYFGTKSMTEAMIPLMKPSSHGGRIVNVSSRLGRLNGKRNRIENEALREQLSDAESLSEEVIDGAVSTFLQQVEDGTWVSGGWPQTFTDYSVSKLVVNAYTRFMAKKLSERPEDEKIYINCYCPGWVKTALTGYAGSVTVEEGADTGVWLALLPDQAITGKFFAERREIKF >OIV97647 pep chromosome:LupAngTanjil_v1.0:LG14:15562311:15566349:1 gene:TanjilG_12404 transcript:OIV97647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQLPETPNTESENPRLILPESLYIWRVDKNVTDSQLFDRFNQVAQVASVDICRDLTTGKSLGYAYVNFHNYQDATKALNELNNTLLNDKPIRIVYSSRDDERRKNAATIFVKNLDKGLHYTQLYELCSNFGNIISCKIAKEPSGKSKGYGYVQFENEESAQNTIDNLNRKRIKGKQVSVSHFVPKEDRETVFSIDTSKVTELYVKNLSKWLTEVELESVFGEYGTIANAIVMRNADGSSKCFGFVHFENPDSAAKALKALNGMKFDGKKLYVRKAMDKSERELEMKNAFDRVMQEIAEKKDYVNLYIKNLPYSITDEKLKEMFSQFGRITSYRVLRDHHGISRGYGFVEFSTPEEANQVICAMNGKIISGRRLGVSLAQKKELGRSNLQAQFSQMRPVTMAPPASEAPHIPLNPPRAPAAEPQFLSGLATPGYIPEVGFGYQLTEMRTGGAPVPNFFIPLVQPGPHDPLSGGGQGSGTLQQSKKKPPMLFQQVLSRSLDNWLSSIHNTQDAPWPAVAGGMPHVPYDMGGLPIGDALGQPMATQSMSTALANASAERQRAMLGQALYPLVRNLEPDSAEKITGMILEMDEPEVLLHLIVSPDALKEKVAEAMDLLQCHAAPEDQLASLHMN >OIV98188 pep chromosome:LupAngTanjil_v1.0:LG14:7080896:7085377:-1 gene:TanjilG_11585 transcript:OIV98188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANKMQQKRERRLFSTSDDSAMMKQVQATHAPDGREVDVKPIIQILDEILIQVIARSVEGHHDKRDQDMLETAAALAEFDMLDSLAFIIQKISCELSCKCSGGGDAHASTMVLLNYLSNYSWHAKVVLTLAAFAVIFGEFWLVVQMSAENTLAKSVALLKQLPDIVENSTSLSPQFDALNKLVKAALDVTMYIVEFKELPSEYISEDTPPMSVASTHIPIAAYWVIRSIVACASQVSSLIGMRNESISSATEAWELTSLAHKVNSIYEHLKNQLAQCYIYIDDKRHTEAFHNLIRLFESIHVDNMKILRALIYAKDDLPPLIQGSRKSRASLEVLRRKNVLLLISDLDLSQEEIMILDNLYKDAQSRSETHYEMVWIPIVDKTTWNDVNRQKFEYLQSLMAWYTVHDPFIIEPSVVKYIKEVWNFTKKAIVVALDPQGKLSSPNALHMIWIWGNLAFPFTSEKEESLWKQELWSLELLVDGIDPMVLEWMTEGKFICLYGGEDLEWIQTFTTTAMNVAKACRFELEMVYVGKSNAKERMQKMIDTFATRKFSYYWPNVTSIWFFWARLESMLYSKLQHGSTVENDQIMSEIMTVLSFDGSDQGWAIFCRGTTEMARAKGDTALTSLRDFDKWKVNIEKDGFVPALKDYLNSLHTPHHCNRLILPGSTGGIPQKVVCAECGRQMEKYFMYRCCVE >OIV97981 pep chromosome:LupAngTanjil_v1.0:LG14:11804566:11805462:1 gene:TanjilG_14081 transcript:OIV97981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEQMLHLPSNYIPLPTPIIERSSSSSRSWKPDIEVAPNCPRCSSTNTKFCYYNNYSLSQPRYFCKGCRRYWTKGGSLRSVPVGGGCRKNRRGKSVRHPPQSGCRTVNDGNSGSDDRDSSPETNNVSSDIDMALVFAKFLNQNPNSGEEFESEGNNNNGSSCSNKSATSSLTPELSVEAENYAVMQPQKKLCDYPNDADADAEKIGGITEELSFSGIVELEGFLDKDVVQDVLWSNDNWQQQPMMQMQLQELEESLMPLNEIGDQLLPISSTINFLNESWSNWSSFDLPTMDLFSSTP >OIV98385 pep chromosome:LupAngTanjil_v1.0:LG14:1753714:1754559:-1 gene:TanjilG_16712 transcript:OIV98385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYVDHAFSISDEDMMMETSYTVNNKPPIKEIALAVSLLVFGTLGIIIGSLMAYNHVGGDAAHGLFFAILGTILFIPGFYYTRIAYYAYKGYKGFSFSNIPPV >OIV97686 pep chromosome:LupAngTanjil_v1.0:LG14:15283763:15289726:1 gene:TanjilG_12443 transcript:OIV97686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERARRLANRAILKRLVSEAKQNLHKDPILNSTTPILYSKSKCISSIPSSSVFRNRGLKTENFLSHNIGSSQTRSITVEALKPSDTFPRRHNSATPEEQSKMAITCGFDNLDSLIDATVPKSIRLKEMKFHKFDEGLTEGQMLEHLNYLASKNKAFKSFIGMGYYNTHVPPVILRNIMENPAWYTQYTPYQAEISQGRLESLLNYQTVITDLTGLPMSNASLLDEGTAAAEAMSMCNNILKGKKKTFIIASNCHPQTIDICKTRSAGFDLKVVTEDLKDIDYKSGDVCGVLVQYPGTEGEVLDYGEFIKKAHANGVKVVMATDLLALTLLKPPGELGADIVVGSAQRFGVPMGYGGPHAAFLATSQEYKRMMPGRIIGLSVDSSGKTALRMAMQTREQHIRRDKATSNICTSQALLANMAAMYAVYHGPEGLKTIAERVHGLAGVFSLGLKKLGNVEVQDLPFFDTVKIKTANAHAIVDAARKSEINLRVVDGNTITVAFDETTTIEDVDNLFKVFAGSKPVSFTAASLAPEFQTAFPSGLIRQSPYLTHPIFNTYHTEHELLRYIHRLQSKDLSLCHSMIPLGSCTMKLNATTEMMPVTWPSFANIHPFAPVEQAQGYQEMFENLGNLLCAITGFDSFSLQPNAGAAGEYAGLMVIRAYHLARGDHHRNVCIIPVSAHGTNPASAAMCGMKIVTIGTDAKGNINIEELRKAAETNKENLSAFMVTYPSTHGVYEEGIDEICKIIHDNGGQVYMDGANMNAQVGLTSPGWIGADVCHLNLHKTFCIPHGGGGPGMGPIGVKKHLAPFLPSHPVVATGGIPAPEKSLPLGTISAAPWGSALILPISYSYIAMMGSKGLTEASKIAILNANYMAKRLENHYPVLFRGVNGTCAHEFIIDLRGFKNSAGIEPEDVAKRLMDYGFHGPTMSWPVPGTLMIEPTESESKAELDRFCDALISIREEIAEIEKGKADINNNVLKGAPHPPSLLMGDAWTKPYTREYAAFPASWLRVSKFWPTTGRVDNVYGDRNLICTLQPASQVVEEQAAAATA >OIV97771 pep chromosome:LupAngTanjil_v1.0:LG14:14636922:14642672:-1 gene:TanjilG_12528 transcript:OIV97771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAITLDHIINDNVDLERIPVEEVFQQLKCSREGLTSEEGTNRLQNFGLNKLEEKKESKVLKFLGFMFNPLSWVMEAAAIMAIALANGGGRPPDWQDFLGIIVLLIINSTISFIEENNAGNAAAALMAGLAPKTKVLRDGQWTEQDAAILVPGDIISIKLGDIVPADARLLEGDALSVDQSALTGESLPATKKPHDEVFSGSTVKKGEIEAIVIATGVRTFFGKAAHLVDSTNQVGHFQKVLTTIGNFCICSIAVGIVIELIVMYALQHRKYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLSVDQNLIEVFAKGVEKEYVILLAARASRTENQDAIDAAIVGMLADPKEARADLGEVHFLPFNPVDKRTALTYIDSDGNWHRSSKGAPEQILNLCNCKDNVRKRAHATIDKFAERGLRSLGVARQEVPEKTKESPGAPWQFVGLLPLFDPPRHDSAETITRALNLGVNVKMITGDQLAIAKETGRRLGMGTNMYPSSSLLGQHNDALVSALPVDELIEKADGFAGVFPEHKYEIVKKLQDKKHICGMTGDGVNDAPALKRADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVFGFMFIALIWKFDFAPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLKEIFATGVVLGSYMALMTVIFFWLMKDTDFFSDKFGVRSLRNSPEEMMAALYLQVSIISQALIFVTRSSSWSYVERPGFLLMGAFLIAQLVATFLAVYANWSFARIKGMGWGWAGVIWLYSLVTYFPLDILKFAIRYALSGKAWNNLLQNKTAFTTKKDYGKEEREAQWATAQRTRHGLQLPETTNPFNGKSSYKELSEIAEQAKRRAEFARLRELHTLKGHVESVVKLKGLDIDTIQQHYTL >OIV97750 pep chromosome:LupAngTanjil_v1.0:LG14:14822461:14830958:-1 gene:TanjilG_12507 transcript:OIV97750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQTNWEADKMLDVYIHDYLVKRDLKASAQAFQAEGKVSSDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEVAASYIETQLIKAREQQQQQQQQQQQQQQPQPQQSQHQQQHMQMQQLLMQRHAQQQQQQQQQQQQQQQHQQQQQQQQHQQQQQQQQQQQQIRDRSHLLNGSTNGLVGNSGTANALATKMYEERIKSPLQRDSLDDAAMKQRFCENMGQLLDPNHASILKSAAASGQPSGQVLHGASGGMSPQVQAHSQQLPGSTPDIKSEINPVINPRAAGPEGSLLAIPGSNQGSNNLTLKGWPLTGLEQLRSGLLQQQKPFIQAPQPFHQLQMLTPQQQLMFAQQNLASPSASDESRRLRMLLNNRSMSLNKDGLSNSVGDVVSNIGSPLQGGAPSFGRGDTDMLMKLKLAQLQQHQQQSNTNPQQQQQLQQPALSNQQSQSSNHNMHQQDKVGGGTGSGTVDGSMSNSFRGNDQVSKNQMGRKRKQPVSSSGPANSTGTANTAGPSPSSAPSTPSTHTPGDVISMPALPHSGSSSKPLTMFGTDGTGTLTSPSNQLADVDRFVDDGSLDENVESFLSHDETDPRDTVGRCMDVSKGFTFSELNSVRASTSKVVCCHFSSDGKLLASGGHDKKAVLWHTDSLKPKATLEEHSSLITDVRFSPSMPRLATSSFDKTVRVWDVDNPGYSLRNFTGHSAAVMSLDFHPIKDDLICSCDGDGEIRYWSINNGNCARVSKGGTIQMRFQPRLGRYLAAAAENVVSILDVETQTCQYSLKGHTKPIHSVCWDPSGELLASVSEDCVRVWTLGTGSEGECVHELSCNGSKFHSCVFHPTYPSLLVIGCYQSLELWNMSENKTMTISAHEGLIAALSVSTVNGLVSSASHDKFVKLWK >OIV97872 pep chromosome:LupAngTanjil_v1.0:LG14:13686006:13687236:1 gene:TanjilG_12629 transcript:OIV97872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGMFSGLNSYLYSTGVATLPVSTSTLVMATQLVFIAIFSFILVRQKFTAYSVNAIVLLSIGLGIMGLHTRGDLPEHESPKQYVLGFVMTIVCAAVGGFIFPMMELMFRKNNQAITYSLMLEIQFIVCFFATLACTFGIIISNDFKAIPVEARDFELGEANYYVVLVVISIVWQIYYMGSMGVTICGSSLLSGIITAMMMPIIEILAIIFYKENFQVEKGVSLVLSLWGFGSYFYGEFKQAKLVKRTHIPEIEHPLNPSFPSP >OIV97659 pep chromosome:LupAngTanjil_v1.0:LG14:15468974:15469514:1 gene:TanjilG_12416 transcript:OIV97659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLVYANMILLFLVLSSSMLQFSMAGSGFCDSKCSVRCSKAGVQDRCLKFCGICCEKCNCVPSGTYGHKDECPCYKNLKNSKGKGKCP >OIV98273 pep chromosome:LupAngTanjil_v1.0:LG14:3385394:3394108:1 gene:TanjilG_09907 transcript:OIV98273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSIGMMDGAYFVGRNEILTWINNRLHLNLSRIEEAASGAVQCQMMDMTYPGVVPMSKVNFDAKTEYDMIQNYKVLQEVFTKLKIPKRIEVNRLVKGRPLDNLEFLQWLKRYCESVNGGIMNENYNPVERRRKGGKDRSSKSLKSSKSLPVNALNISGSGETHTLSPNKACGMVLGVFDPKVFCIFTAVPKQLRSNRGAGVANSTAEVQTLSKQVTDLKLSVEILEKERDFYFAKLRDIEILCQAAELENDPVSVAIKMILYAADANESALDEAQEYLNQTLNSVEAEEETEA >OIV97534 pep chromosome:LupAngTanjil_v1.0:LG14:16206638:16207913:-1 gene:TanjilG_12291 transcript:OIV97534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQQGLDYKVPDGNSNQHTLAIKKKTTALRDLQNDNQKMTTSFLNYPPATDSNRVSGTKRPSSDCLQQSPATSNGHLVYVRRKSESELGKITPSSNPNIINNSYSPHSMQLSCEHRMTSSGNPSLPISLHKSTPLHSNYVTASSSPTTRLQNVHWEDRYQQLHMFLRNLDISHQQEYMQMLRSLSSVELSRHAVELEKRSIQLSLEEARELQRVRVLNVLEKPVKNFKAPADHEECSDKLKTPS >OIV98429 pep chromosome:LupAngTanjil_v1.0:LG14:2270641:2271423:-1 gene:TanjilG_16756 transcript:OIV98429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEFRCHDTNEVRHQVDVVTLLPLSLDCEKHQTYIVQFQKDQVYRVPSYENALLIERDRNSPSATNKERQCCPHILLTIALILLGIVIIIAITMTILYFIFTPNTKPTFSISNFVVINPIDKISQPHYLISLMAKNPNKRLGIEYQKDANVLLLFEKTKVAIGKFPRLELNPNDSNKVMVDLIGTNGPFPHSMKTNMNDRKYNTLVPMDLEMKINVKTKASHLGTWVEKCNVGCMFKVRILKNETRVISQECQTNFKQS >OIV98010 pep chromosome:LupAngTanjil_v1.0:LG14:11031914:11035824:-1 gene:TanjilG_21720 transcript:OIV98010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLKLSDPGGSSSYSEVHPRPTTNKKIIFLSLLAVVLILASAVSAVIILSVRTRASRNDQNAPTLRSKPTQAISRTCSKTQFPTLCINYLLDFPGSKTASEKDLIHISINMTLQHLSKALYSSALLSSSISSVNPLLRAAYDDCLELLDDSIDAVARSLSSVATTSSSVSGTVRSLGSSDDVLTWLSAALTNQDTCAEGFADTSGDIKDQMSNNLRDLSELVSNCLAIFSSVGAGDDFSGVPIQNKRRLMAMREDKFPIWLKRRDRRLLGLPVSEIQADIIVSNDGNGTVKKISEAIKKAPEYSSRRFIIYIRAGRYEENNLKVGRKKTNLIFIGDGKGKTVITGGKNFLNGTTTFRTASFAASGAGFIARDITFENYAGPEKHQAVALRIGSDHSVIYRCSIIGYQDTCYAHSNRQFYRECDIYGTVDFVFGNAAVVFQNCSLNARKPMAQQKNTITAQNRKDPNQNTGISIHDCQIKPTPDLEAEKGSYQTYLGRPWKLYSRTVYMMSYMGDHIHQRGWLEWNTTFALDTLYYGEYMNYGPGAAIGQRVKWPGYRVITSTVEASRFTVGQFISGSTWLPSTGVSFLAGLST >OIV98348 pep chromosome:LupAngTanjil_v1.0:LG14:1321602:1327864:1 gene:TanjilG_16675 transcript:OIV98348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKKSDKPNKEIAETKNNEKKKERMGFEAPTLVQAQAIPVILSGRHALVNAATGTGKTVVYLAPIIHHLQSYEKRIQRSDGTFALVLVPTRELCLQVYEILLKLLHRFHWIVPGYLMGGENRSKEKARLRKGISVLIATPGRLLDHLKNTTSFVYTNLRWIIFDEADRILELGFGKDIQEILDLLGSTKAENAVPSHSKIQRQNLLLSATLNEKVNHLAKISLENPVMIGLDDKRGEPISTIESLDTSGSDDDNEGKISIKIPTIGDYNVPTQLIQRYMKVPCGSRLPVLLSLLKHLFDKEPSQKVVLFFSTCDAVDFHYSLLSEFQFSSYPQTEGGTKQMFIGCKTFRLHGNMEQIDRRTAFQAFKTEKSALLLSTDVSARGLDFPKVRCIIQYDSPGEATEYVHRVGRTARLGERGESFLFLQPIEIDYLQDLEKHGVSLTEYPLLKVLDSFPLHGLKNLKRSDFIDAHPWVLGLQKALESFIMSKPKIDELAKKAFCSWVRAYTAHRGDLKRIFMIKKLHLGHVAKSFALKQQPSLVGQSFQKQTKKRKRFDKKSGLPKKVKYSNST >OIV97724 pep chromosome:LupAngTanjil_v1.0:LG14:15025182:15025556:-1 gene:TanjilG_12481 transcript:OIV97724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSHKTFRIKKKLAKKIRQNRPIPYWIRMRTDNTIRYNAKRRHWRRTKLGF >OIV98277 pep chromosome:LupAngTanjil_v1.0:LG14:3523565:3523819:-1 gene:TanjilG_09911 transcript:OIV98277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDAQHVVDRDRIFKHFDANGDGKISSTELGDALKTLGSVTNEEVQRMMAEIDTDGDDFISYEEFSDFARNNRGLVKDVAKVF >OIV98417 pep chromosome:LupAngTanjil_v1.0:LG14:2085244:2088919:-1 gene:TanjilG_16744 transcript:OIV98417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPKFPNRTALLVCQDPHSHERDIWHLGNPLYSPTSLLLMQISLIAIVSQCIDLCLKPLGQSSLVSQILGGMLFGPSGLGREKVLRDTLFPMRGALVFETIASFGLMFVFFIMSVKMDPATLVKTEKQALTIGLSVFSLTITIPTGLSFLLKKYVSMDKGLRDSITFIAISQALTVFICIQILLNELKILNTDTGRLALNSALSADVIGFSMTLVMFSIMQNEGGKFSSLLLIILAVVALFLLIVYVMRPLILWFLKRSTGNPLDEFVIIGILIFLLIVGFLSEIVGQHFLMGPIILGLAIPEGPPLGTALLTKLQTLCMGFLYPMYLAVCGLQTNIFQINFQSLWIVSIIVITSCAVKIGAVMLAGYYNNIPMKDCCVMGLILNARGVPELCMFNVWKASKILTEKEFTLMVVSILVTNAILAPLLRFIYDPAQQYHSMRRCSIQHTKQDSELRVMACILNNESIPTIINLLEASYASIESTVVIIALSLIELRGRARPLLVAHQPHDTLRSASCNSTQIENALRQYAQHNEGCASVYSFTSMSEYDTMHGDVCRISHEMGANILIMPFHKRWEIDGSVQVISRSIQTMNIRVLERAPCSVGILIDRGILSGFPSLLVGRVEYNIAVLFIGGADDAEALAYGTRMARHACIRVTVIRFLLFGEENSKDRKRDTDLIDEYRYFSAANHQFDMMDQIVRDGIEMSTCIRRLIDYFDLVIVGKDHPNSIMLQGHDQWSECKELGIIGDMLASKDFVTKASVLVVQQQRIRKRLIKHIVTPLTNHRDQSIHNIPTDAGPRPSIAISM >OIV98356 pep chromosome:LupAngTanjil_v1.0:LG14:1405720:1409605:-1 gene:TanjilG_16683 transcript:OIV98356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVVAYGLKGKEVSLISIFLLCITIIIWSWEKTPDLSVSITSQTPMQLPSENLLSSSIVSVLAPEPPIHVDEDDSSSANKKTTIEPEELHFNVASQTVPAQSSSGREEGTTEILSSASDEEAITEVKRNEDGEKTSIREENRPDFAIDSPQRSSLISEKGDDSWNNATENKVCNYARGKWVPDNHRPLYSGFGCKKWLSEMWACRLTQRTDFAYEKFRWQPKDCQMEEFERSKFLRRMQHKTLALVGDSLGRQQFQSLMCMLTGGEETHDVKDVGKEYGLALGKGALRPNGWAYRFLSTNTTILYYWSASLCDVEPIDGNNPGTDYAMHLDRPPVFLRQYLHKLDVLVLNTGHHWNRGKFKANRWVMHVGGVPNTDKKIASMGSAKNLTIHSVVSWVNSQLPKYPGLKVFFRTISPRHFFGGEWNTGGSCDNTTPMSVGKEIPGDESTDQVAAHAVKGTRIKLLDITAISHLRDEGHISRFSISAKPGVQDCLHWCLPGIPDTWNEILFAQI >OIV98391 pep chromosome:LupAngTanjil_v1.0:LG14:1806146:1806412:-1 gene:TanjilG_16718 transcript:OIV98391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQKFFNELRSLKVKEVPQHVKPYLSFGFIKKSVEKGLENYRVKYIETNSADPVYHICFGGLIFSYLVALPEERRHLAHQQQHGGGH >OIV98040 pep chromosome:LupAngTanjil_v1.0:LG14:10635584:10637782:1 gene:TanjilG_12271 transcript:OIV98040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETKNNVVVEVFDKEEIAVSLAEYVAKISNKFTKERGAFTVTLSGGSLITHLRKLLEAPYFDTLEWSKWHVFWLDERVVPKTHDDSNYKLAYDGFLSKVPIPPGNVYAINDTLSAEGAADDYETRIRHLVKNNVIASSSNGFPKFDLQLLGMGPDGHVASLFPGHSLVNEDKKWVAFIKDSPKPPPERITLTFPVINSSAYAVLVVTGAGKADAVHSALRGSETSEKLPVALVSPEDELKWFLDKAAASKL >OIV97996 pep chromosome:LupAngTanjil_v1.0:LG14:12098386:12103447:1 gene:TanjilG_14096 transcript:OIV97996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSISQDLYPSQDDLLYEEELLRNPFSLKLWWRYLIARSESPFKKRFVIYERALKALPGSYKLWHAYLRERLDIVRNLPITHSHYDTLNNTFERALVTMHKMPRIWIMYLKTLTEQNLVMRTRRAFDRALCALPVTQHDRIWEPYLVFVSQKGIPIETSLRVYRRYLKYDPSHIEDFIEFLVNSSLWQEAAERLASVLNDDRFYSIKGKTKHRLWLELCDLLTRHANEVSGLNVDAIIRGGIRKFTDEVGRLWTSLAEYYIRRGLHEKARDVFEEGICTVITVRDFSVIFDSYSQFEESMLAYKMEEIGLSDEEEEEEENGVKEEDDEEDIRFKERSWEDEFEKKILHGFWLNEKNDIDLRLARLDYLMERRPELANSVLLRQNPHNVEQWHRRVKLFDGNPAKQILTYTEAVRTIDPMKAVGKPHTLWVAFAKLYEHHHDLVNARVIFDKAVQVNYKTVDNLASIWCEWAEMELKHSNFQGALELMRRATAEPSVEVKRKVTADGNEPVQMKLHRSLRLWTFYVDLEESLGTLESTRAVYERILDLRIATPQIIINYAYFLEEHKYFEDAFKVYERGVKIFKYPHVKDIWVTYLSKFVKRYGKTKLERARELFENAVETTPADQVKPLYLQYAKLEEDYGLAKRAMKVYDQATKAVPNNEKLSMYEIYIARAAEIFGVPRTREIYEQAIESGLPDKDVKTMCLKYAELEKSLGEIDRARGIYVFASQFADPRSDPDFWNKWHEFEVQHGNEDTFREMLRIKRSVSASYSQTHFILPEYLMQKDQTVNLDEAKDKMKEAGVPEDEMAALERQLAPAVNSSLTKDRKVGFVSAGVESQSDGGIKTSGNHEDIELPEESDSDDDDDKIEIAQKDVPDAVFGDLIRKREESENNGEVDGANETKLGALERIKRMKRN >OIV97874 pep chromosome:LupAngTanjil_v1.0:LG14:13673102:13674136:1 gene:TanjilG_12631 transcript:OIV97874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQRKRVRNFANKLKDKASLFAATLSFNRHVSSIHVHVIRATTHTLAATPSESTIAAVLNVGRGQHQQFPRACIDALMERLHRTRNATVAMKCLFTLHNVVVKGPITLKDQLSYYPSYGGHNFLNLSTFRDDSDVESVELGSWVRWYAVVIEQCLTVSRILGYYLHLSLNEQDHTQERKASFFRLSNADLLYKIEALILFVEQVSRVPESLHLQRKDLVYEVVKLVREDYRSVQREILFRVQELGKRIENLDVGELNELVGYLQRLEESKEKLVVLFVNKIKYNGFWDLVKEIKMKGLDMKKEIEEKWLTVVTSKNTELTRSTNPFLEPGQIVLVPPLSFATGR >OIV98169 pep chromosome:LupAngTanjil_v1.0:LG14:7720228:7727157:1 gene:TanjilG_18284 transcript:OIV98169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVIPALDSNTPIFASSFTMELIKKRLKEHGIFIPSRLKIFRTRKKFTAGPFEIEPIRVTHSIPDCSGLVLRCSDGTILHTGDWKIDESPLDGNVFDREALEELSKEGVTLMMSDSTNILSPGRTTSESSVAEALLRHISASKGRIITTQFASNIHRLGSVKAAADLTGRKLVFVGMSLRTYLEAAWKDGKAPIDPSTLVKAEDIDAYAPKDLLIVTTGSQAEPRAALNLASYGSSHAFKLSKEDVLLYSAKVIPGNESRVMQMLNRISEIGSTIVMGKNEGLHTSGHAYRGELEEVLRIVKPQHFLPIHGELLFLKEHELLGKSTGIRHTAVIKNGEMLGVSHLRNRRVLSNGFISLGKENFQLKYSDGDRAFGTSSELCIDERLRVALDGIIVVSMEIFRPQNLDSFAENTLKGKIRITTRCLWLDKGKLLDALHKAAHAALSSCPVNCPLTHMERIVSEMLRKMVRKYSGKRPEVIAVAIENPAAVLADEINTKLSGKFHVGPGISTLRKAVDGHGKSNQSTTMQIRDDGIDVEGKDATTSSRAEGKDATTSSRADDGLFDSEVSEDFLKTFLASSPVEQSDKANNGYVSRKEHESNLKEDYSEEKSNSEPKSEEKSTSEPKSEEKSNSEPKSEETSNSELKSSKSVKRNKWKPEEIKKVIGMREELHDRFQIVKGRMALWQEISQNLSADGISRSPGQCKSLWTSLALKYEVCFFFL >OIV97858 pep chromosome:LupAngTanjil_v1.0:LG14:13855022:13855804:-1 gene:TanjilG_12615 transcript:OIV97858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSKLHQSQPQHNQFPNHPFQPSVDDGNTAFKPNSSTGDGATIEVVRRPRGRPPGSKNKPRPPVIITRDPEPAMSPYILEVSGGNDVVEAIARFSSRKNIGLCVLTGSGTVANVTLRQPSTTPGATVTFHGRLDILSISATFIPQHQGSSDSMAMPNGFSITLAGPQGQIVGGIVSGALIAAGTVMVIAASFNNPSYHRLMPEEEGRNSVSGSGEGQSPPVSGGGDGQGESCGMSMYSCHMPSDVIWAPTARPPPPPPPY >OIV98114 pep chromosome:LupAngTanjil_v1.0:LG14:9382752:9383966:1 gene:TanjilG_25979 transcript:OIV98114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNACFIIVSRNDIPIYEAEVGVAAKREEAAQLHQFILHAALDVVQDMAWTTSAMYLKSVDRFNELVVSVYVTAAPSTEL >OIV98519 pep chromosome:LupAngTanjil_v1.0:LG14:28446:36191:-1 gene:TanjilG_27610 transcript:OIV98519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPELANLSLPSPILSLSNCSNNLNQPLPQLQQSPRSDFNSRSSSQTLALGHDSDRDFRFPVKGDGVDEGGESEPRVLKRARGSVDLVRKRAGADKSFQDRIKDPSSLPFLVGAPKEVDCSFCQRFVNPGEEISCSVRGCGTCYHKECAKEAGGILNKNKFKCPQHDLPLPYIKEEFKIDLTWKDMDNIYLVKKKRSDADDGEGCTSCSSTCSDDCVCRVQCISCSKACRCSENCNNRPFRKEKRIKLVKTELCGWGVEAVEAVDKGGFIIEYIGEGLCNLVQR >OIV98387 pep chromosome:LupAngTanjil_v1.0:LG14:1770892:1775543:1 gene:TanjilG_16714 transcript:OIV98387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTMDLRQALAGILTFSMFIMLGNMIKKDHFDSYYDVDIQATPVSKYENVAVTTQSLATVSHLSKSPWTKENGEGLKPCWNTPTFKEVEQSEGFITFSLTNGPEYHMSQIADALVVARYLGATLVLPDIKGSKPGYTMNLGDIYDVQEFLNSLDGLVRVTRTQPTLVSKGNPPLVRVPNRVTQDYIVKKVQPIYKAKGIVKIESYFPSVDPIIVGNKNKKLNSFACQGMFGTLKLKPEIKQVVESMVQNLQSWSQNNGEFIAVDLRSEVLEKECNIRYETGRKLCYQAQEIGEFLKKIGFSEDTAIYVTQTKWNPNLDSLKEIFPKTYTKENLMAEAMKGKFLNSEFEKVIDFYISSQSDIFVPSSPSLFYMNVAGVRIASGKNQILVPNEITSESASPFDFMSPYVSQKSHFAYSCFC >OIV97855 pep chromosome:LupAngTanjil_v1.0:LG14:13872120:13881021:-1 gene:TanjilG_12612 transcript:OIV97855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNKSSRTDLSVFDFKEEDEIDEENQFSKFKNPIQPLSHSDFLPREAQVDNSGVKNVASTPSVDVNAVGGNFNLEKGGSEPPLNTVGVIFHSKEENPEAEAAKQSYSINHENHCHIKIGIHKYSEPKMKDTSGGVSPPETSQIDPSGSPSDIESVDANSETAEFMYESVGRSRASDIAENGVFNLSLNGCGLNGIYSFDMNDANTEVVLHPDYVIYQDNYYMGPKLTFSDCCVKIYVSTSCIKQGALDLEWAVDDLIDIKCQLFQSSETVIMKLHVISSNASQSNGVSGTSGIEELEIAVVDSNWSLRHRQITSLNMKYLAIWNIVLNTDVEGNENDSGESRCYFPNFEESFDEVVYPKGDPDAVSLSKRDVDLLQPDTFINDTIIDFYIQYLKSQIQEEEKLRFHFFNSFFFRKLADMDKNPSSASDGKAAFLRVRKWTRKVNLFDKDYIFIPVNFNLHWSLIVICHPGEAVNFNGKEVEKSLKVPCILHMDSIKGSHSGLKNLMQRYLWEEWKERHMHMDALEEDLSSRFLNMRFLPLVLPQQENSFDCGLFLLHYLELFLAEAPCNFNPFKLTKFSNFLNVDWFPPAEAYLKRTLIQRLIFELLENHGSHESSSSDCSDDDHIYVENNENRTSMQLPEINKESKSHAGKGVEMTLLAASSSLDPQSFNNEGLVLKEFFALGATTDTLIGQCQPFDQQSSDYCFNGSIFPMEEDTDISEQLMYLDADLNSQQVAAGITPQTCSLPYLPKECGGETFHTPEISPQAEHEMAECFLDASSDASDDSEDIGIIENFPVENEVQSSNEVGRGEKTCSPEDNPEHLTDLSVSDANNLSITPLMGISQNLNAKSNGNNNGDPHSSSREIATVQLDHVSDAVDDKVTSDGQMINDMAPDVYEEQAAKRRRLIPLNTEVSES >OIV97993 pep chromosome:LupAngTanjil_v1.0:LG14:12046822:12050818:-1 gene:TanjilG_14093 transcript:OIV97993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSHSKEEALEIFTEATAAHIFINEQIPASKSSEAAESAKFKEKTKVASGSTKSNEKIIINHGMQSETVNDEANFGDGMQLLATVACEFEKEEKK >OIV97741 pep chromosome:LupAngTanjil_v1.0:LG14:14896486:14900453:1 gene:TanjilG_12498 transcript:OIV97741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIKQSEKEPVVTQVSIGGFRSEVKAKDLVTYLEDEIGLVYRCRLKTSWTPPESYPEFNIINTAQITRTNDYQKVEPHAFVHFVLPGSAKAAYDAAGRCDLSWNNQQLIVSCGPENPYFLNQRRSTSTPFKLSDVIVEIGTLISPEEYFVAWRGADRGVKFLVDPFDDMCRLCFNRDTAFSFKGDVKKEVIKCDFQVGFLIRDINEIRRYNDTSHYIILLQLASPPLVWYRTADDDIVESVPFDLLDDDDPWIRTTDFTTSGAIGRCNFYKISVPPRHGAKLEKAMKYLAGRRVQQIQLRRPLRIRNEPEFGVLMSDPFFCVHYQEGITFDIMFLVNAVMHKGIFNQHRLSDSFFELLRNQPKDVNVAALKHLWSYKRPVFDAVKRLKAVQEWLLRNPKLYQSSNLLNHIVEVRRLVITPTKGYCLPPDVEVSNRVLRKFKEVSDRFLRVTFMDEGMQTLNVNALNYYVAPIVKQITSNSFPQKTKIYKRVKTILEDGFYLCGRKYSFLAFSSNQLRDRSAWFFAQDNNLSCDSIRNWMGRFNQTNVAKCAARMGQCFSSTYATVEVASNEVNSMLPDIKRNTYVFSDGIGIISPDLASEVAEKLKLDNVPSAYQIRYAGFKGVVASWPNKGGKFRLALRPSMDKFISNHTNLEICAWTRFQPGFLNRQIITLLSALDVSDDIFWNMQEAMISRLNQMLVNADVAFDVLTKSCADHGNAAAIMLSCGFSPQREPHLRGMLTSVRAAQLWGLREKSRIFVPSGRWLMGVLDESGVLEQGQCFIKVSTPSIENCFSKHGSRFSETRNVEIVKGFVVIAKNPCLHPGDVRVLEAVDAPGLHHLYDCLVFPQKGDRPHTNEASGSDLDGDLYFVTWDVSLIPPSKRSWIPMDYNPQESSIRTRKVMIRDITEFFAKNMVNEHLGAICNAHVVHADSSDYGALDENCITLAKLAATAVDFPKTGKLVAMPSNLKPKLYPDFMGKESHRSYKSKKILGRLYRRIKDAYDEDIDAADANHGTGDIPYDMDLEVPGSADFIADAWEQKCTYDGQLSGLLGQYKVKREEEVVTGQIWSMPKYNSRKQGELKERLKHSYSALKKEFRQIFEKLNSDVGELDDEEKNLLYEKKASAWYQVTYHPKWVKMSLDLQFQSSEDELEPDDSGNMVMLSFPWIAVDYLARTKVRHQEPRKFDSTKQVDSLAKYLSERL >OIV97968 pep chromosome:LupAngTanjil_v1.0:LG14:11552736:11556555:1 gene:TanjilG_14068 transcript:OIV97968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLRKEFDAHWVGITLAKTQGHAPDFTLPRSDWLGHASSSLTRLPRSGWLEHAPSLTRLPRSDWLGHAPSHAPVFHGHAPSHAPVFHGHAPSHAPVFHGHAPSHAPVFHGHAPSYAPVFHGHDQVMRQSSTRQKISKRGRGGSAGNKFRMSLGLPVAATVNYADNTGAKNLYIISVKGKPDLRKKVLPVVIVRQRKPWRRKDGVFMYFEDNAGVIVNPKGEMKGSAIIGPIGKECAYLWPRIASVANSIV >OIV98379 pep chromosome:LupAngTanjil_v1.0:LG14:1628915:1635951:-1 gene:TanjilG_16706 transcript:OIV98379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPRVGNKFRVGRKIGSGSFGEIYLGTNVQTNEEVAIKLENVKTKHPQLLYESKLYKILQGGTGIPNLKWFGVEGDYNVLVMDLLGPSLEDLFNFCSRKLSLKTVLMLADQMINRVEFVHSKSFLHRDIKPDNFLMGLGRRANQVYCIDFGLAKKYRDSSTHQHIPYRENKNLTGTARYASTNTHLGIEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGNKKQKYDKISEKKVSTSIEALCRAYPTEFASYFHYCRSLRFDDKPDYAYLKRIFRDLFIREGFQFDYVFDWTILKYQQSQLATPPARGIGPSAGTSSGLPLAVTNADRQTGGEEGRPPGLVSGDSSRRRMPGPIVNSASFAKQKNPIMNDSAVNKDATYATHPTVTLENSIFVPSMQLSSTNFLGQSSGSRRVALSGSHEALVGSESDIRTRTTEFSSGAAYKISSGQKSSPLGSSDPKRVVPSGRNASHVKNYEAAVKGIEGIAMFAYVAKCIHALGEFGIVKNPASSAHNNAAPLLKPGLFLL >OIV98037 pep chromosome:LupAngTanjil_v1.0:LG14:10666342:10671477:-1 gene:TanjilG_12268 transcript:OIV98037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNPMGTINNEEHKEIVNVKGEQDIEEVSIGHEDMSSIPPWTKQITIRGLVASLLIGVLYSVIVMKLILTTGLLPNLNVSAALLGFVFIKAWTKLLAKANILSTPFTRQENTIIQTCAVACYSVGVGGGFGSYLLGLNSKTYELAGVTTEGNTPGSIKDPAFGWITGFLFVTFFVGLLALVPIRKVHLIFEDMMNPMGTINNEEHKEIVNVKGEQDIEEVSIGHEDMSSIPPWTKQITIRGLVASLLIGVLYSVIVMKLILTTGLLPNLNVSAALLGFVFIKAWTKLLAKANILSTPFTRQENTIIQTCAVACYSVGVGGGFGSYLLGLNSKTYELAGVTTEGNTPGSIKDPAFGWITGFLFVTFFVGLLALVPIRKIMIIDYKLTYPSGTATAVLINGFHTPKGDAMAKKQVRGFMKFFSASFLWAFFQWFYTGGDTCGFVQFPTFGLEAWKNTFYFDFSMTYVGAGMICSHLVNLSVLLGAVLSWGIMWPLIKAQKGDWFPARIPESSMKSLNGYKVFISISLILGDGLYNFVKIVYFTAVNIHARLKKKNLITLTDNHKPQPLDDLKRNEVFLRDGIPIWLACTGYILLSIISIIIIPIMFPQLKWYYVVVAYLLAPSLGFCNAYGAGLTDMNMAYNYGKVALFVIAAVSRKNDGVVAGLVGCGLIKSIVSVSSDLMHDFKTGHLTFTSPRSMLISQAVGTAIGCVVAPLTFFLFYKAFDVGNPDGTYKAPYALIYRNMAILGVQGFSALPHHCLQLCFGFFAFAIVANLVRDVTPKNIGRFVPLPMAMAVPFLVGGYFAIDMCMGSLVVYAWHKLNSNEAGLMVPAIASGLICGDGLWILPSSILALFRISPPICMSFLQTK >OIV98313 pep chromosome:LupAngTanjil_v1.0:LG14:908238:912203:-1 gene:TanjilG_16640 transcript:OIV98313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSNRSSSLKDTQRSIPVGTLAATLVTSVMYLVSVILFGAVASRQKLLTDRLLTATIAWPFPSLIKIGIILSTMGAALQSLTGAPSLLAAIANDDILPILNYFKTTDSSEPHAATLFTAFLCAGCVVIGNMDLITPTVTMFFLLCYAGVNLSCFLLDLLDAPSWRPRWKFHHWSLSLLGALLCIVIMFLISWPFTVVSLALASLIYKYVSIKGKAGDWGDGFKSAYFQLALRSLRSLGASQVHPKNWYPIPLILCRPWGKLPDNVACHPKLADFANCMKKKGRGMSIFVSILDGDYHECAEDAKAACKQLSTYIDYKNCEGVAEIVVAPNMSVGFRGIIQTMGLGNLKPNIVVMRYPEIWRRENLTEIPSTFVSIINDCIVASKAVVIVKGLDEWPNEYQKQYGTIDLYWIVRDGGLMLLLSQLLLTKESFESCKIQVFCIAEDDADAEALKTDVRKFLYDLRMHAEVFVITMKWDAQTDGGSPQDESLDALASAQQRIDDYLTGMKAAAERDATPLMADGKAVVVNEKQVEKFLNTTLKLNSTILKYSRMAAVVLVSLPPPPLNHPAYFYMEYMDLLLENVPRILLVRGYHRDVVTLFT >OIV97666 pep chromosome:LupAngTanjil_v1.0:LG14:15428233:15431605:1 gene:TanjilG_12423 transcript:OIV97666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTWKQIRDREDGKLSSRTFANRIKSNRISDLQLSNHKDIVSSHKGSINSLQIDFSERRYLLSAASDSTVAVYDIQRATNYEGGGVISKHSSIFVVDKQHESGHKYAVSSAIWYPIDTGLFITGSYDHHINVWDTNTTKVVVNFKMPGKVYKTAMSTLATSHMLIAAGTEDVQVRLCDIASGAFAHTLSGHRDGVMNVEWSTSSEWVLITGGCDGAIRFWDIRRAGCFLLLDQSRTQLGRRPTILKHSAITKALPPQDSSTKLRTAQKKHANGGGCKQLPIGRVPSKGPMKQRLHPGLLSTQDRATAHYGAVTGLKVTEDGMYLLSTGSDSRLRLWDVESSCDTLVNFETVRLQTSKPIQLATAQDSGLVFVPCMRTVKAFDMWSGNTYTTLRGHYECVNACWFNQQDQELYTGGNDRQILVWSPARSIADQMDEGADEDQDNWSS >OIV97576 pep chromosome:LupAngTanjil_v1.0:LG14:15992415:15992678:1 gene:TanjilG_12333 transcript:OIV97576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKEGEKQRLGEGPQISRMEPVTHGAYGGGMYGTEKGEPEKQRKAAPASETQSADGPIDKDAIKINLNPPPSSGDRDIDITGQSYIQ >OIV97888 pep chromosome:LupAngTanjil_v1.0:LG14:13526887:13529444:-1 gene:TanjilG_12645 transcript:OIV97888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRNWGLEIVFAVLICGSLSCSGESNTGRFSLSTVCRRESVFDFILGFSDSTCSIPHSPPSFRYIGATEGNEVSFQKALNMVHKNNQEYVAVLFYASWCPFSQIFGQVFSILSSLSASIPHLAIEESSVRPSTLSKYGVRGFPTLFILNSTMCVRYHGSRTLDSVISFYSDVTGARIDSLDQPSLQKIGRLAVREKHGHTELESFPFSWARSPENLLRQETYLALATAFVVLRLLLFFPTLLICVQFAWRRAIQNVRLGSMLEHPLVYLKRIIQSFQCLKEPYKISNLQEGAMNAKAWASKSLATVSIGEGSTNRGCTIK >OIV97615 pep chromosome:LupAngTanjil_v1.0:LG14:15755234:15759283:-1 gene:TanjilG_12372 transcript:OIV97615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISQFFVLSQRGDNIVFRDYRGEVQKGSAETFFRKVKFWKEHSEDEEGDAPPVFNVDGVNYFHVKVAGLHFVATTRLNVSPSLVLQLLQRIARLIKDYLGVLNEDSFRKNFVLVYELLDEVIDFGYVQTTSTEVLKSYVFNEPIAVDTAQIPALGPASIFMQGNKRMPATAITKSVLANEPGGRKREEIFVDVIEKISVTFNSSGLILTSEIDGTIQMKSYLTGNPEIRVALNEDLGIGASDYRGSGAVILDDCNFHESVHLDSFDVDRTLSLVPPDGEFPVMNYRMTQQFKPPFRINALIEEAGPLKAEVTIKVRADFNSSINANTVLIQMPLPTYTARVNFELEPGAVGNTTDFKEANKRLEWGLKKIVGGSEHTLRAKLTFAQELHGNIMKEAGPLSMTFTIPMYNASRLQVKYLQIAKKSKADNPYRWVRYVTQANSYVARL >OIV98117 pep chromosome:LupAngTanjil_v1.0:LG14:9288963:9292084:1 gene:TanjilG_25982 transcript:OIV98117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSLSRSRSRSPSYRRRRHSPSSPISHRHSRTTSRRDRTTRRRSRSRTRSRSPIHKRRRSRTPNRRSRRHRSRSSSSSSPSPPPPPPKSRSPSLKLKRDELEKKRWFGRMDIVWNLNIVNQVNVQMCGYKIDLKGYRFRRRQNEEELKLLEEETARRIEEVIRKNVEEKFNSEEVKLEIERRIADGVKKLFDDVEAQLAKEKEDALAEARRKEEQARKEREELDKMLEENRRRVEEAQRREALEQQRKEEERQKELEMIQRQKEEAARRKKLEEEEEHAKRMNSLGKNKSWSKPYSL >OIV98372 pep chromosome:LupAngTanjil_v1.0:LG14:1547176:1551058:-1 gene:TanjilG_16699 transcript:OIV98372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLSKAEASKKNGSSNLKKLLKDGETVNKDMFLDRSSSKNPTSSAISRSKSTRKPQLPDPKPRSKGGGGGSSSSSSSSRNVDHNSQSDKKSIWNWRPLKALSHIRHKRFNCCFYLEVHLIEGLPSSFDDASLSVSWKRRDSVLMTRPSKVVQGVAEFEDKLTYTCSVYGSRGGPHHSAKYEAKHFLLYASLLSAPELDLGKHRVDLTRLLPLTLEDLEVGKISGKWTTSFRLSGIAKGGVLNVSFGYMVVGDNTNATRDSLNSPNALSLRQNSMPLMRPDVKPRQLDGSSKLKSIRSWSTSQFDDVKDLREVSPVSKSALNSLIDVLYKKIDEEKAWSPSNSEPEFDVFTENLDPTKPDDVSPSDSWKENPEEHACDYGNTCPVHNKHELDLFKEKLEMVKPDEYPLPHSGKENRDGCQGNEFFVVDKSIESSLDDEPVKLEESIIKAPEDTATINSTYARDTAGIQGSSEDSVKHDSLDEVNGSSSRDQAVLNEFSCKEGDLYMEELLLQEFESAINNFSDLTTVAQESPKIMDAKSKYETRKSHSFDDVTESVTSEFLSMLDVDHSPTGLSSENEPESPRELLLRQFEKEAEDGRFSLFNFDVDYDNEADDGDEASIGSEQWKFSEGIKSSSLLQAMQEEHPVESHDVKSKQTAQMLEDLETEALMREWGLNEKPFHHSPPKGYGGFGSPIQLPPEETPTLPPLADGMGPFLQTKDGGFLRSMDPSIFSNSKNGGSLIMQVSSPVVVPAEMGSGIMDVLQCLASVGIEKLSTQAKELMPLEDITGKTMQQIAWEAMPALEGGTERQCRLQHDSITGLNTTFVQSELKGTRSGLKLDKISSSSVRNPTGSDSEFVSLEDLAPLAMNKIEALSMEGLRIQSGMSEEDAPSNIVAQSIGDISALQGKGIDISGSLGLEGAGGLQLLDVKDGSSNGVDGIMGLSLTLDEWMRLDSGEIDDMDNISEHTSKLLAAHHANSFDFIRGSSRGERKRGKGRKCGLLGNNFIVALMVQLRDPLRNYESVGTPMLALIQVERVFVPPKPKIYFNVTELRNKKYEEDESEIVAKVEMKENTEEDKSSEEEEIPQFKITEVHVAGLKTEPQKKFWGSSSQQKSGFRWLLGNGMGKNNKQPVMKPKTAAKSSAPDTKKVQPGDTLWSISSRIFGTGAKWKRLAALNPHKRNPNVIIPNETVRLH >OIV97546 pep chromosome:LupAngTanjil_v1.0:LG14:16159828:16162048:-1 gene:TanjilG_12303 transcript:OIV97546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYQGPGSGSSSTSGIQLMNSPFGDTTYTKVFVGGLAWETHSETMRRYFHQFGHILEAVVITDKNTGRSKGYGFVTFRDPEAARRACADSAPTIDGRRANCNLASLRRPHPPLPHGRIRLASPYIGSLQPARGAYVGGYGYQQPVPYNYQQGQGLIYPPYGYTAYGPEYIYPQSVYNPYMGQQYLQIYGVPGAVNTTVYPYGQLSRAIPSSHGYTAMQGYAVPGHQIVPYGGPNVNAITTSHVPAIQAPYPSGIAAPVPGQPQFIVPAPSQFIQGSGPDQTAG >OIV97737 pep chromosome:LupAngTanjil_v1.0:LG14:14927037:14930342:-1 gene:TanjilG_12494 transcript:OIV97737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDPSNLSPADGLEAIHQNGVHEESSNSGNDVVSNNVDLSVTEIVETVALNGNLENFDKSDSTVTDNSSMGATKEGSNDTIFGNNATISKEEEVKIIDQTVQSRAQKGPIKNKIVKTPSTRVINSSLVKKSKDGKGGEAPPAVSNRTLALDSNPRQPIKNGSFNDKRTQNKHPGKPDAASSKAPKEKTGPRSLKKGPPPDNVQGDADSSSSTAEDAKPRKVGALPNYGFSFKCDERAARRKQFYTELEEKIHAKEVEESNLQAKTKESQEAEIKRLRKNLAFKATPMPTFYHEPPPRVELKKIPTTRAKSPKLGRRKSSTSAESEGNTSSSARLNRLSLDEKVSQSKPAKAVTPVHQRKPQRKSLPPRLNSERIHSSDSAIAPTSSKAVDEEKTSLSKATPLSNATEDEKVEIAVGNKENNALSNETTSDKPSEAESYVNGDIVIEEKPDIVLVPEPIAAEH >OIV97935 pep chromosome:LupAngTanjil_v1.0:LG14:12963263:12965553:1 gene:TanjilG_12692 transcript:OIV97935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNQKPISHTILILFLSQILLINVAQVTSKSTIEPCTNSDSCNALLSYTLYTDLKVSEVASLFQIDPISLLTANAIDISYPDVEHHILPSRLFLKIPITCSCVDGIRKSVSTHYKTRPSDSLSSIADSVNGGLVSADQLREANSITDPTVLDVGQNLVVPLPCTCFNGTDNSLPAIYLSYVVKQDDSLAAIAASYFTTLTDLMNVNALGSTAIADGDILAIPIPACASNFPRYAADYGLLVPNGSYAITAGHCVQCSCGPRNLNLYCMPASLAVSCSSMQCKNSNLMLGNVTVKQTGGGCNVSSCNCDGIANGSIITTLSPSLQPRCPGLQEFPDLIAPPTTVTRESTFAPAPAPQSDGSGLTTPKSSVLPSTGLLPGFSPANGPISGIASGASAACSLVNPLPATLVSALLLLFVKLMHPAAL >OIV97905 pep chromosome:LupAngTanjil_v1.0:LG14:13338593:13343844:1 gene:TanjilG_12662 transcript:OIV97905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDGHLEQGVNSFVQLTGRSMQVADQRNDVHSQVLNAPNLQGHSSTMHERATLSGSQVMGSRIVGLSGFRMIEGTSQLRVYDTARLLRYDNRNHQYHSQAVIPDGHVEQGVGNNMQFSGGSARMIYEGNDVQSQILGASNIQGQSSAIYQPSTLSSNLIGQQANRSRNIGPLGITITDGTSSQPPMSETAGLLRPERASSLQLQQVPPVSSKRPTMWKNWKGKNGEEYEPPRRGRPRKRFEVGESSSRPKHRKTEQKDSRGTENGTTHAPEEANNTPENLRNEVQISNPTNPSHAATNPREFSTGLYGQNQTSERNGVSTDPLLRLFKPSPGNYNYIL >OIV98104 pep chromosome:LupAngTanjil_v1.0:LG14:9612680:9614729:1 gene:TanjilG_25969 transcript:OIV98104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDAVIEAEKQAARDLIREKKKDRALLALKKKKTQEELLKQVDAWLINVEQQLTDIELASKQKAVFDSLKAGNDAMKAIQSEINIEDVQKLMDDTEEAKAYQDEINAILGEKLSAEDEEDILAEFENLETQLTVEDLPEVPASVAEESDERLDLPDVPTKAPVAGDAEVSAKRKVTEEPLAA >OIV98325 pep chromosome:LupAngTanjil_v1.0:LG14:1036114:1044666:-1 gene:TanjilG_16652 transcript:OIV98325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPEMKAFGKRSLEWDLNDWKWDGDLFTATPLNPVPSDWRSQKLFPVDPEIPANGDSSNNFASHWDGIEPGEGNNGLEKMRRGVGVVVAEGVELNNEGSGFLNLNLGAQVYPTMEGEETGGKKMKVTGTTSTSNRAVCQVEDCRADLSNAKDYHRRHKVCDVHSKASRALVGNVMQRFCQQCSRFHILQEFDEGKRSCRRRLAGHNKRRRKTHPDPTVSHEGSLNDEKGSSYLLMSLLRILSNMHSNNSDHTRNQDVLSNLLRNLASVAGTINGSSITSLLEGSHDLVKAGTSAAAQNVPTTNSNGPIHEDPPESRVQCESVPAYDMSHKCISLGNGGIGSSKPPSGPQSASAIRSRDSVPPQSVAAETTVRKNGLNNIDLNNVYDDLQDNIENPWNSCPPAPSGIGSLDHPLCVQRDSLRSSPPQTSRNSDSTSTQSPSCSSGEAQCRTDRIIFKLFGEDPKDLPLVLRSKILSWLSHSPTEIESYIRPGCIILTVYLRLENSAWEELCYNLGSSLRKLLAASNDSFWRTGWVYARVQHSVAFLHNGEVVLDVPLHLKSRQNCQILCVKPLAVSSSSNAQFTVKGFNLFLTGTRLLCALEGKYLVQDSYDFIDSADTAVEHDEIQQVSFSCHVPNVTGRGFIEVVEDNGLSNCSFPFIVAEQEICSEICKLDNVIEAAEAATEDIQVKTKLMEEKTRALYFIQEMGWLLHRCRVKVRLGSMTPVQDHFHFSRFKWLVGFSMDHDWCAVIKKLLDIIFDGVVGTGEHSSVELALLDLGLLHRAVKRSCKPMVELLLRFVPVKASDGGHRKETQVDKSLDRFLFRPDAVGPAGLTPLHVAASMNGSENILDALTDDQGMVGIEAWKSARDDTGLTPYDYASLRGFYSYIHLVQRKTRKKHENQHVLDIPGTLVDCNIKQKQSDGHRSSKVSSLQTEKIETTATTHHCGQCQRKLAYGSGTRKAALVYRPAMLSMVAIAAVCVCVALLFKSSPRVDYVFQPFSWESLKYGSM >OIV97646 pep chromosome:LupAngTanjil_v1.0:LG14:15576114:15579014:1 gene:TanjilG_12403 transcript:OIV97646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFKGFGNDPGGSDNGGGWRTPAPVNNLTRQSSVYSLTFDEFMTTMGGSGKDFGSMNMDELLKNIWTAEEIQTTGSATAVQPGTGTPGGGGSGVSHLQKQGSLTLPRTLSQKTVDEVWKDISKDYDGSSGSRVPNLAQAERQPTLGEMTLEEFLVRAGVVREEAQQLSAKQNDAVFGGLGMGMGYHQQLNKVNGLMGNNTNRIGGVGVNNSDPNSMVVSLQSPSTNLPLNVNGVRSSNQQQQQQQMQQNSHSHQQQHQQIFPKSYATQIPLANNQGMRGGIVGLSADQGMNGGGNLVQGMVGVQPGSVYVPNGSPANSDKIGKSNGDTSSVSPVPYVFNGGLRGRKSGGAVEKVIERRQRRMIKNRESAARSRARKQAYTMELEAEVAKLKEENEALQKKQAEIMEIQKNQVMEMMNLQGEVKRRCLRRTLTGPW >OIV98353 pep chromosome:LupAngTanjil_v1.0:LG14:1386774:1390210:-1 gene:TanjilG_16680 transcript:OIV98353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGNPIRTLPLLRPVDFNLIDFGGVGDGVTINTMAFEKAVFEISKLREKGGGQLNVPSGFWLTAPFNLTSHMTLFLAQDAVILGIQDEKYWPLMPPLPSYGYGREHLGPRYSSLIHGQNLRDVVITGHNGSINGQGQMWWTKYLHKVLNHTRGPLVQLMWSSNIIISNITLLDSPFWTLHPYDCKNVTIKNVTILAPIYKAPNTDGIDPDSCEDMLIEDCYISVGDDAIAIKSGWDQYGIAYGKPSRNIVIRNLVVRSNVSAGISIGSEMSGGVSNITIENILVWNSRRAIRIKTAPGRGGYVSQITYKNLTFNNVRVGIVIKTDYNQHPDTKYDSNALPILKDISFTNIRGKGVKVAVRIQGSKEIPIRNVTFNDMLVGITKKKKHIFQCAFVEGRVIGTIFPAPCGNFDQYNEQGKLIKHSSFYNVTDIDYEI >OIV98170 pep chromosome:LupAngTanjil_v1.0:LG14:7750425:7750847:1 gene:TanjilG_18285 transcript:OIV98170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSDTQFERVLGYFDEDGDGKVSPSELRHRLSMMGGELLLKEAEMAIEALDSDGDGFLSLEDLIVLMESGGEGEKLENLRDAFEMYDTGRCGFITSKSLKNMLKRMGESKSIDECKVMINQFDLNEDGMLSFEEFRIMMH >OIV98285 pep chromosome:LupAngTanjil_v1.0:LG14:2707284:2713829:-1 gene:TanjilG_28667 transcript:OIV98285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASDENSALFPIFVLTIMAIPIVPYTVTKLCRVATKKSKSIHCHCSECTQSGKYHKSIFKRISNVSTCSNFTLLLFWVVMVILVYYIRTMSSEIQVFDPFSILELEPGALESEIKKKYRRLSIQYHPDKNPDPEAHKYFVEYIAKAYQALTDPIARENYEKYGHPDGRQGFQMGIALPQFLLNIDGASGGILLIWIVGVCILLPLVVAVVYLSRSSKYSGNYVMHQTLSTYYYLMKPSLAPSKVMDVFTKAAEYMEIPVRRTDDEPLQKLFMLVRSELNLDLKNIKQEQAKFWKQHPALVKTELLVQAQLTREFAALPPSLQSDFSRILETAPRLLEELMKMAVIPRNAQGHGWLRPAIGIVELSQSIIQAVPLSSRKSTSGSPDGIAPFLQLPHINETVIKKVVRKKVRTFQELLEMDSQERADLLIQTAGLSSAEVQDIEVVLDMMPSLTLDVTCETEGEEGMQEGDIVTLHAWVNVKRGNGLIGALPHAPYYPFHKEESFWFLLADSVSNNVWFFQKVSFMDEAAALTAASKAIEESMEGSGANMKETSRAVAEAVEKVKGGSRLVLGKFQAPSEGSYNLTCYILCDSWLGCDRKTNLKLKILKRTRAGTRGAALTDEGPIAEDGIEEDEDNVDEEYDEDYESEYSEDEEHDQNSKNKHQAANGTANKHGQAENSSSDEE >OIV98013 pep chromosome:LupAngTanjil_v1.0:LG14:11086263:11094658:1 gene:TanjilG_21723 transcript:OIV98013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSVKRCKHSDSVGEKEECSYARKKRKRANNDSYPLNLLGDIAGGEIPVSFHGLLTEKGFSVSRCTEVSCSTPCEVESNSKDGSCGSVCGAKQNPGGEVQRPPLVRTSRGRVQVLPSRFNDSVMDNWRKDSKSSLPDGEFDDEFEPKTQKFGSKAPKNCNQNAKKGRKDTKMGYNPQNYPALCEGNASESFDSTFVDERGMLVDMEGVESMGNERVLKENVERKDGLYGPEDFYAGDIVWARARKREPFWPAIVIDPMYQAPELVLRSYVADAACVMFLGYTGNQNERDYGWVKDGMIFPFMDYVDRYLGQSELSYCKASEFQVAIEEAFLADQGHTEKLIADINSAAANTDIDDTILKVLQEDSGPNKYARYHFLNRDLSDKKDTRQCEACGLALPFKMSKKTKDSTPGSQFLCKTCARLTKSIHYCGICKEVRNHSDSSNWMRCDGCKVWVHAECDKTSGSNFKNLEGTDYFCPTCKAKFSFELSDSEKLRPKAKFNKSNGQLVLPKKVTVLCNGVEGIYFPSLHLCQIAVHQECYGARNVKDLTSWVCNACETPYIKRECCLCPVKGGALKPTDIETLWVHVTCAWFQPKVSFPNEEKMEPALGILSIPSNSFAKICVICKQIHGSCTQCFKCSTYFHTMCASRAGYQMELHCFEKNGKQTTKWVSYCAYHRAPKPDNVLIMQTPNGVISTRSLLKNKRKGRSRLIASNKIKQDDPPPVDNAEDEPFTAARCRIFRRTNHTEKRTVDEAIFHQVRGPQHHPLAAIQRLNKYKHVLEEPKAFSSFRERIPYLQKTENERVCFGRSGIHGWGLFARRDIQEGEMVLEYRGEQVRGSIVDLREARYRLEGKDCYLFKISDEVVVDATDKGNIARLINHSCMPNCYARIMSVGEDKSRIMLIAKTNVSAGMTIYLILMNQMNPKCLVYVKLQTAGNS >OIV98166 pep chromosome:LupAngTanjil_v1.0:LG14:7692794:7697024:-1 gene:TanjilG_18281 transcript:OIV98166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQMNAVLIHSYAAILSPRPHGKEQLQHHELCKPSPLSFKEFRPRTSVGASNLQVVKAVLQTEANTEISEIKKGAGKRGKLDKVVLAYSGGLDTSVIVPWLRNVNQKHFLDCIGDMGLNELEGLEQKAKTSGASQLVVKDLKEEFVRDYIFPCLRAGAVYERKYLLGTSMARPVIAKVRFELTFFALNPKLNVVAPWREWDITGREDAIEYAKKHNVPVPVTKKSIYSRDRNLWHLSHEGDILEDLSNEPKKDMYMMSIDSEDAPNQAEYVEIGIDSGLPVSVNGKSLSPASLLSELNEIGGRHGIGRIDMVENRLVGMKSRGVYETPGGTILFAAVRELESLTLDRETIQVKDSLALKYAELVYAGRWFDPLCESMDAFMQKITDTTTGSVTLKLYKGSVTVTGRKSPYSLYRQDISSFESGQIYDQADAAGFIRLYGLPMRVRAMLEQGI >OIV98383 pep chromosome:LupAngTanjil_v1.0:LG14:1739648:1741282:-1 gene:TanjilG_16710 transcript:OIV98383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGDKPCTFGLSLGLGIGGYVPKKEKQKVHKHVPCMDLTFDLYPKGEAIDVNHDDHKVKEFNLKRINDEEYQDPNSKSDDNMTNNKNGSRKKLRLTKEQSAMLENSFNMHNTLSPAQKHALAEKLNLKHRQVEVWFQNRRARTKLKQTEVDCESLKKCCEKLTNENLRLKKELQELCALKVRLSQVATMTTCSSCKELLKPNEGNNVVICDVVHNNNNHKFQSSIEL >OIV98131 pep chromosome:LupAngTanjil_v1.0:LG14:8823029:8830613:1 gene:TanjilG_25996 transcript:OIV98131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKQTKVFRDNQFVQERIMDSNDLERERGITILSKNTSVSYKDTKINIIDTPGHSDFGGEVERILNMVEGILLVVDSVEGPMPQTRFVLKKALEFGHAVIVVVNKIDRPSARPEFVVNSTFELFIELNATDEQCDFQVIYASGIQGKAGLTPENLGDDLGPLFESITRCIPGPHIEKDGALQMLATNIEYDEHKGRIAIGRLHAGVLKKGLDVKVCTSEDSCRYGRIGELYVYDKFNRVPVESVEAGDICAVCGIGDIQIGETIADKVFGKPLPSIKVEEPTVKMSFSINTSPFVGREGKYVTSRNLRDRLYRELERNLAMKVEDGETADTFIVSGRGTLHITILIENMRREGFEFMVGPPKVINKKVNDKLLEPYEIATVEVPEVHMGAVVELLGKRRGQMFDMEGVGSEGTTLLKYKIPTRGLLGLRNAILTASRGTAILNTLFDRYGPWAGDMSTRDQGSLVAFEGGTSTSYAISSSQDRGQMFIGPGVDVYKGQIIGIHQRPGDLALNVCKKKAATNIRSNKEQSVILDTPLDYSLDDCIEYIQEDELVEVTPQSIRMCKNPKFTKKTRIPELLACRWVVHVHFVPKQTS >OIV97899 pep chromosome:LupAngTanjil_v1.0:LG14:13385074:13386111:1 gene:TanjilG_12656 transcript:OIV97899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESMMDFYSNMSQFHSDPFRGELMEVLEPFMKSTSPTSTPLSTFSNSSQPSTSTSYSPSPSYFNSSSFLPIHQPNDCSSKSQNFIGFGQSTSSILGLNHLTPSQINQFQTQINLQYMQKFQHNTTTLSFLSPKPISMKHMGAPPIPNKLYRGVRQRHWGKWVAEIRLPKNRTRLWLGTFDTAEEAALAYDKAAYKLRGDFARLNFPNLKHQGSCVGAGYKALHSSVDAKLQAICEGLADMQKKQGNNKTKSEKVAKTSSKRSKSKLGGGSSKVAQPEEDVKELDNTCKVETFSLSPVMTESEGSESSSPLSDLTFVDVNEPQWEGACDSFNLQKYPSYEIDWDSL >OIV98443 pep chromosome:LupAngTanjil_v1.0:LG14:2389031:2389870:1 gene:TanjilG_16770 transcript:OIV98443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVARKSAPTTGGVKKPHRYRPGTVALCEIRKYQKSNELLIRKLPFQRLVREIAQDFKTDLRFRVMLFLHSLKTPTCVPFMPSVSLSCQRIFSSQGGFVASVLEVSFLFRKFGWLLSVLLFSSIIKKNSIAVSLNT >OIV98224 pep chromosome:LupAngTanjil_v1.0:LG14:5615224:5620080:-1 gene:TanjilG_18763 transcript:OIV98224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEENLNPRESASTLLETLTRRGWCLENTEQLKAIIVIQSALAHDSSKVVDSVESELLNSDLRSIGAKSLPDPSHLRNTSSIHGPKILQISSVRDISKSSVDDIPRNSGGHRLLRLCLTDGHSEITAVEYSHIPFIPDIVIRLENKVVVHSGIVCLGPKVLTFLGGEVQPLYEEWQMNKKYSGFSRSSLRRLEDRDTGGPPPFVKLQVGSSSGNVNHNSRSSKPTAVGGEAEMRPKSVQQNTNPKTDILDGSLKSKLPPERAEDKPGSSGTRPKEVVESVPVQNQAAAQKLLQKLNHPNQDGRYAKGRKYRGKGKEEDEVVFTLEEYENRKAEAKSSNKDKVLDVSNDEDLAWKLQNQFNLEDSRAQQGSHGAEAQDIRMSMFSFERDTDESHHVRGGRSGGRSRGRGRGRGGGRGRGRHG >OIV98219 pep chromosome:LupAngTanjil_v1.0:LG14:5810609:5810857:-1 gene:TanjilG_18758 transcript:OIV98219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSPFLLCSPSFSSQRRRQRERFMAKPSSPRRSVDGEASKPPSFFVYGSGQPRAIWHWFVTVVIRGGSVLTSGGLWWFGSKR >OIV98077 pep chromosome:LupAngTanjil_v1.0:LG14:10598961:10600687:1 gene:TanjilG_09570 transcript:OIV98077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALPAKLRLQPTTVKSAALWGVAAATGALYLVQPWSWIKKTFLEKPEAEQK >OIV97976 pep chromosome:LupAngTanjil_v1.0:LG14:11737810:11738946:1 gene:TanjilG_14076 transcript:OIV97976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSNDSVRVVTFLGLIYATLVSVASAQSHAPAPGPTSDGTTIDQAIAYILMLLALVLTYIIH >OIV97627 pep chromosome:LupAngTanjil_v1.0:LG14:15673763:15679737:-1 gene:TanjilG_12384 transcript:OIV97627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNSGNPQDVTVPPVEGVAGGGTAYGWNDGHGLNNLKGLIDPTEIPTPDLVHVWCMPSTANVGPQDMPRNLEPINLLAARNERESVQIAIRPKVSWGGSGVAGTVQVQCTDLCSTSGDRLVVGQSLMLRRVVPILGVPDALVPLDLPVSQINLFPGETTALWISIDVPSAQAPGQYEGEILITAIKDDAESIQSLNKVEKHQLYRELRDCLDIVDPIEGKPLDEVVERVKSATASLRRVLLSPSFSEFFSDNGPADIMDEDAISTLSLRVKLNLTVWEFVLPETPSLPAVFGISDTVIEDRFGVQHGTPEWYEALDQHFKWLLQYRISPYFCRWADGMRVLTYTCPWPVDHPKSDEYLSDPRLAAYAVPYTQVVSGNDAAKDYLQKQVEILRTKTHWRKAYFYLWDEPLNLEQYDSVRNMASEIHAYAPDARILTTYYCGPNDAPLAPTPFEAFVKVPNFLRPHNQIYCTSEWVLGNREDLVKDIISELQPENGEEWWTYVCMGPSDPHPNWHLGMRGTQHRAVMWRVWKEGGTGFLYWGANCYEKATTASAEIRFRHGLPPGDGVLYYPGEVFSTSHQPVASLRLERLLSGLQDIEYLRLYASRYGRDEGLALLERTGVYFGPERYTFEHMPIDAMRGQIFNACRS >OIV98283 pep chromosome:LupAngTanjil_v1.0:LG14:3698066:3702985:1 gene:TanjilG_09917 transcript:OIV98283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTTLVIATTSDPASINPANALLAMPSWQPGPHFQDDMKSFVNEGVRVLLHGKSIVVEDDLDKRWEEITGEVVDEVIFFSKHTAVSNKPALTVHPIGVPHLREGDVPPQGGKPGWAALPNPRMGPWLRHLRKLAQSRNLVPEFEITLEATHHGPLTNKPTMFLEIGSTEDYWKREDAAQVMAQLVWEGLGLGGGTDLGNWSRENDKKKVLLGIGGGHYAPRHMDVVLKDDVWVGHLLSGYALPMEDISQSKGQTNVEIGGTWRESIKAAYEATKSAFPGGEIIAHLDHKSFKGWQKNAITGFLAEQNIKIGKPNNFIQY >OIV98242 pep chromosome:LupAngTanjil_v1.0:LG14:5463902:5467880:-1 gene:TanjilG_09894 transcript:OIV98242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSEYIKKGRTLAKWYHAILLLLASLLYGTEGLNTEGQILLELKNGFHDTFNLLGDWKSSDETPCGWKGVSCIHDYYNNQVVQSLNLSSMGLSGTLNATNVGGLTHLTYLNLAYNKLSGNIPKEIGECSSLESLYLNNNQIEGPIPAELGKLSVLTSLNICNNKLSGVIPDEIGNLSSLIDLVAYSNFLIGPLPKSIGNLKNLVTFRAGANNISSSLPKEISGCKSLMYLGLAQNQLGGELPSEIGMLNSLTELILWDNQLSGSIPKALGNCSSLETLALYGNELVGPIPPEIGKLQSLEKLFLYKNNLIGTIPREIGNLSSCLELDFSQNSLVGDIPSEFSKISGLDLFFLFENQLTGVIPNEFSNLKNLTRLDLSMNHLTGPIPFGFQYLTQISQLQLFNNNLTGIIPQGLGLRSPLWVVDFSDNSLTGRIPPHLCRNSRLIFLNLASNRLYGNIPTWILNCESLTQLLLIGNRLTGGFPLELCKLVNITAIELNENRFSGPLPHEIGNCQKLQRLHIADNYFTSELPKEIGNISQLVTFNVSSNLFTGRIPPEIVWCKNLQRLDLSQNSFTSSLPNELGTLQYLEILKLSNNKFSGNIPAALGNLSHLNWLQMSDNMFSGEIPPQLGSLSSLQIEMDLSYNNLSGSIPSQLSNLNMLENLFLNNNHFDGEIPSTFGLLSSLMECNFSHNNLSGSIPSTKIFQSMAISSFIGGNKRLCGPPLGDCNDDPSSRSAPSLKSFDSPRSKIVMIIAATVGGVSLILIVVILYIMRWPEESVSSLRHIETPTLDSDIYFRPKEGFTVQELVEATKQFHESYVIGKGACGTVYKAVMKSGKTIAVKKLSSSREGNGIDNSFRAEILTLGNIRHRNIVKLFGFCYHQGSNLLLYEYMERGSLGELLHGSAYNLEWPTRFMIALGAAEGLSYLHLDCKPMIIHRDIKSNNILLDENYEAHVGDFGLAKVIDMPQSKSMSAIAGSYGYIAPEYAYTMKVTEKSDIYSYGVVLLELITGRTPVQPLEQGGDLVTWVRSHILNHNNTLTADILDNRLDLLEQTTVNHMLTVLKLALLCTSMSPSDRPSMREVVLMLIESNVREGNLTLTQTYHNLPCKDCT >OIV97805 pep chromosome:LupAngTanjil_v1.0:LG14:14376272:14380630:-1 gene:TanjilG_12562 transcript:OIV97805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHLFRDLTLGQSKRDTTPSPPPPPITPLRPTTVTAADNLPSPLGQISVNFSDSDLTITAYEIFVAACRTSAGKPLSFVPNNQSSDSLSHNSPNSLAYQRSLTYTAASKVKKAFGLKSPGSGSKKSPGSGPGKPKRPVTVGELMRNQMRVSEAMDSRVRRALLRISAGQVGRRIESVVVPLELLQQLKVSDFADRQEYEEWQKRTLKVLEAGLILHPHLPLDKSNSAAQRLRQIIHGALDRPIETGRNNESMQVLRSAVMSLANRSYDGSLSDSCHWADGIPLNLRLYEMLLQSCFDANDESSIIEEFDELMEQIKKTWGILGLNQTLHNLCFTWVLFHRFVATGQMDLELLSAADGQLAEVAKDAKTTKDSEYSQILSSTLTSIMGWAEKRLLAYHETFDRVNVETMEGIVSLGVAAAKILVEDISNEYRRRRRTEVNVALKGDKFEMYRLLGWLHESMIMEKADSSRRASKNQPNALPLLAILAKDVGSLAVNEKQVFSPILKRWHPLAAGLAVATLHVGYGNELKQFISGITELTPDVVQVLRAADQLEKDLVQIAVEDSVESDDGGKAIIREMPPYEAEATIANLVKIWIKSRLDKLKEWVDRNLQQELWSPQTNQEGCAPSAVEALRTVNETLDAFFQLPIPMHPALLPEVMNGLDRCIQYYVAKAKSGCGSRNTFLPTMPALTRCTIGSKFQGFGKKKDKSPNSQKRNPQVATNGDSSFGIPQLCVRINTLQWVLGEFDVLEKRIITLLRNSESARVEDFSNGLAKKFELYPAACLEGIQQLCEAAAYRLVFHNLSHALWDGLYVGDPSSSRIDPFLQELERNLMFISDTINEKIRTRILTEIMRASFDGFLLVLLAGGPSRSFSQKDCQIIEDDFEVLKEMFWANGDGLPSELIDKFSTTVRSVLPLFRTETDSLIERFRRVTSERYKSNGRTKLPLPPTSGQWDPSEPNTLLRVLCYRNDESATRFLKKTYDLPKKL >OIV97728 pep chromosome:LupAngTanjil_v1.0:LG14:14987305:14989693:-1 gene:TanjilG_12485 transcript:OIV97728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPTDLMRAIVPVFPPSESNIVKDGYLQGERSPGHLHCPPSQLFMLFDVNTDDLISFKEYIFFVTLLSIPESSFSAAFKMFDVDNNGEIDKEEFKKVMALMRSHNRQGVQHRNGLRTGLKVNGSVENGGLVEYFFGKDGKGCLSHDKFIKFMRDLHDEVLRLEFAHYDYKSRNTISAKDFAFSMVASADMSHLGRLLERVEELDNDPRFRDVRITFEEFKKFAELRKNLLPFSFALFSFAKVNNTLTKDDFQRAASHVCDLSLSNNVVEIVFHLFDTNGDGNLSSDEFVRVLHKREKDIAQPVGSRILGLLSCRWELH >OIV97563 pep chromosome:LupAngTanjil_v1.0:LG14:16078178:16080911:-1 gene:TanjilG_12320 transcript:OIV97563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCFSCLSSRRKDVSRVQIDNVSPSDSSSGNGGVNVASAEVEKKNMRNFKTRSEGAISFGLRELAEATRGFDEIHMIGEGGFGKVVAIKQLSHDGHQGFQEFVTEVLMLSLLHHPNLVKLIGSCTDGDQRLLVYEYMPMGSLEDHLFDLNRGEEPLSWSVRMKIAAKSARGLEHLHCKADPPVIHRDLKSANILLDNEFNPKLSDFGLAKVGPDGDKSHVSTRVMGTYGYCAPEYAMSGKLTLKSDIYSFGVVLLELITGRRAFDENKRPGEQNLVTWCRPYFSDKRKLVQIVDPLLRGNFSVRCLHQAIAITAMCLQEHPKVRPRISDIAVALEYLASHSSTSDVHRHGVRNPMPHPTSETYRI >OIV97930 pep chromosome:LupAngTanjil_v1.0:LG14:13040289:13041236:-1 gene:TanjilG_12687 transcript:OIV97930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSPPRERNNNEQQRTYQLYWCFQCNRMVRFSPSNSNPIMCPRCFGQFVCEINIPRPRLVVDFTNHDPSPEARLLEALSLMLEPPIRHTQPRRPRWIHHQQPEEVIITRRHPRHHGLESIRPSGSDEQPEPEGIQHRPRTWIIVQPINPFEPPRRRESPNPIMPRGTDSRDYYLGPGLNELIEQLTENDRQGPAPVPEGVINGIPTVKILSTHLKENSQCPVCQEEFEVGGEARELPCKHIYHSECIVPWLRLHNSCPVCRHEVPVPEAKEEEESGDDDGGGRFRRCLRWTRIGSIWPFHRRVHPDTTSSRGDN >OIV97770 pep chromosome:LupAngTanjil_v1.0:LG14:14647703:14653129:-1 gene:TanjilG_12527 transcript:OIV97770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSIPNRSRSGGKIVRPRRTSAARATTPYSRPSPANPNWLSRFVISPTRFVASGAGKILSTVLDLDSSPTSSSSVTSSPHSSDTDSNHAEEEVATFDDENDAGNNKNKHFIEQLVMQETFSREECDRLIKRIRSRVVDSPANDGEGDKRLGDMPNMILDSDIASPDLCNAAVMEAKKWLQEKKSGLDSNFDLGYGSRSLNLATLPQAPNDEGSPVDLAKSYMRTLPPWSSPSLDHIKPPTLAGIQLFKDEEPHLFGGNSTSSSKLKKDSSATRSWSIQDELRRVRSRATEEMLRTLPSSKIDWSTFSMEYKNNVNSSAIKNTEATLGEKKVHDLTNFVDASSNLARGLGTQATPDLESKLDAFLTESVLPDPANINSEQKNEGFGAVQQTEGSRDECGGITTLGQREGLSYDMRRDDVFVKVNGTNSTNEANGQLDSLEETVEAISFRVHDGDYSEFKEKVGADNTLANGLSPSGLSLYAGEAIEQNRKALDNEPSTVDLSRERPGKVVLEQGTCMLSSESIEVPDMMVNDTDAVKENDGVASASQNSSSIPYEAGQQGSESELAATSTSIAKQKGKRITTRYNRRGRGRRVQ >OIV97528 pep chromosome:LupAngTanjil_v1.0:LG14:16248421:16250714:-1 gene:TanjilG_12285 transcript:OIV97528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLASNGGNKDDDNEIQPILNYHFHSPVDAEGGDSCSFSCEIIPPPPPLLNHDNDDDDDLHNVHVDVVDETCHLVNSSDHHPQCRICLDIGGQDLIAPCHCKGTQKYVHRSCLDNWRSTKEGFAFSHCTECRAVFILRANVPPDRWWLRLKFQFLVSRDHAFIFIIVQLVCLTVLHKSLIYRRPIWYSKYLILMKPPSTLDTNDTDDEGHEDEYVISSNAHESELLANSATLDVEEQYFESDYGVPYFSDIETTILDMDLDPDDQDLYYNEEGITDSHTTFDGYLFG >OIV98014 pep chromosome:LupAngTanjil_v1.0:LG14:11103633:11104154:1 gene:TanjilG_21724 transcript:OIV98014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRSSKNKSSKHSSRDAREYSDPERDSGVKDRKIKNKNSATAAKVEKRRVAVDSNSKEGQYTDDYGGGSSKRRKDSGGDRWNGGGDDNRGGDATKKDSKSSRRREEGGEEVKRSGGKHKDSSSRKESREGERKLKDGRSEESLIDVVDDEQHKQQRVNKQVFENNNGKVTCTN >OIV98005 pep chromosome:LupAngTanjil_v1.0:LG14:10993610:10998212:1 gene:TanjilG_21715 transcript:OIV98005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQPPPSPGATTNHNQHSVLPTPLSIQGSTALVPVNLNANTGNGQEGVQDEGFVQAQAIVQHSQKLQDDLRILGMKIKQHEDNLNRLNTERSQLDECILHLQAAIGDIQSSSTPKIGNGVGNNPNPTGDEEINKQILKHEKSAAGIFCQLKTRHGTQASNLPLTKDVVGVVATLGKVEDDNLSRLLSDFIGVEYMLAIVCRTHEGVKALEMYDNEGFINKSSGLHGLGASIGRALDGRFEVICLESLRHDHKILVPYPGKYVVDDPQRKLDILSPRLPNGKSPAGFLGFAVNMINIDSSNLFYVTPNGYGLRETLFYNLFSRLQVYKTRAEMIQAFPCITDGALSLDGGVVKSCGVFSLGNSEDVHVRFPSPDSSMGSDIKIETERKMKDVKLKKEKILEELKRERTLLDMAKFNFNKMKSDFVKFLAHSNSYATQGTVLKCSESYPRMGASYW >OIV97951 pep chromosome:LupAngTanjil_v1.0:LG14:12764640:12766955:1 gene:TanjilG_12708 transcript:OIV97951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQNLKLQYFGLCSKTNSQNYLTYKSQHKKTQIRTFTPFSITSSRKMSTTATKSEGNEGAKVVPLILWNESNKRFETEDKEAYVEYVLRQNGKVMDLLHTFVPSSKRGLGLAYHLCVAAFQHAKSHSLFVIPTCSYISDTFIPRNPSWNSVLYTGGGQSNI >OIV97697 pep chromosome:LupAngTanjil_v1.0:LG14:15195297:15199171:-1 gene:TanjilG_12454 transcript:OIV97697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRIHVQKKNPKAIENEEKKGTKTKMSGSERSAYFARRESAKILKVVLEGDAKRCALASIKSLIYKPSVRNKKATFAIICQTLKHLPIIKHVLDSSSILNSKWKRQEELIYIIVYDILFGQAISLVGDAEKYLVRHKEALHSSLKQLMIQRKVKDVKQLVALHQVPDVSLPRYVRVNTLKLDVDSALLQLREKYSVQKDDLLSDLLILPPGTDLHDHPLVKNGSIFLQGKASSMVAPALSPEPGWEVLDACAAPGNKTVHLAALMKRKGRIIAYCSYNVTMIIDHFHFVLLPFKLNSSSDIQALNEDFLNIDPKAPSYSKIQAILLDPSCSGSGTAATRLDHLLPSKAEGQDTDIERLNKLSAFQRKALEHALLFPAVERIVYSTCSIHQIENEDVIQSVLPLAESYGFKLANPFPEWECRGLPVFEGSENLVRTDPAKHGEGFFIALFARKDETTTPHNSTRTRNLQKKKSIVPFIRPNLFNMWLYGQLNRHGCMDKCCSGRHRRDTTTD >OIV98332 pep chromosome:LupAngTanjil_v1.0:LG14:1135181:1137478:-1 gene:TanjilG_16659 transcript:OIV98332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQTLQDSSIAKIHEQWMSQYERSYENDTEKEKRLKIFMENLEYIEKFNNNANNSYKLGLNKFSDLTTDEFISSYTGLNISRQTSFSYDVEPSKEYHIPASIDWRQKGAVTNVKSQGQCGACWAFAALGAVEGYIKIKSGNLVSLSEQQLVDCTSRGCNGAYIIDAYKYIIQTHGIADETSYPYKASSGTCQHDKKVSGQISRFIVVPPNDEQQLLRAVSKQPVAAAISVNQNFAAYKQGIFEGPCGINLIHAVTVVGYGASKEGKRYWLIKNSWGENWGEGGYMRLQRGIDDKRGLCGIAMWPAYPI >OIV98401 pep chromosome:LupAngTanjil_v1.0:LG14:1869993:1870569:-1 gene:TanjilG_16728 transcript:OIV98401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANHALNYYHFFVIVVLLVAGGSMMLVAVEASNNELICMDSLGACGPAGECNKKCKAMHSDGAGSCGFNLCTCVYSDGCKRSVVKKNAAVKLDCALLLIFIAFMALAIQSVLTNTIMV >OIV97688 pep chromosome:LupAngTanjil_v1.0:LG14:15273116:15274160:1 gene:TanjilG_12445 transcript:OIV97688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSDATEALNHPCLPPFPWSKFPFTGFFAMVAALLTLLLEFVGTQYYERKQEVKRDTEDEIRKSLIQSYDESNGKVFGEEESGGMHIVGMHAHAVHHLHNHSNEHHHSYDHGHDYGHGHSHSYIHVSDQPEVRHVVVSQVLELGIVSHSVIIGLSLGVSQSPCTIRPLITALSFHQFFEGFALGGCISQAQYKSSSSAIMACFFGLTTPLGIGIGIVIASVYNPHSAGALIIQGILDSFSAGILVYMALVDLIAADFHSKRMSSNFRLQIVSYGMLFLGAGLMSSLAMWA >OIV97623 pep chromosome:LupAngTanjil_v1.0:LG14:15701712:15703218:1 gene:TanjilG_12380 transcript:OIV97623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDQVNGLPEYPTWPELKLPDLLQSEVVQQVHATIEKEWDFLQRSACQTAAGRALWKHVTHDPLAELLAGESYLTNLHEKIKKDCLKNASEISGVILAVRTLWFDSRIEDALSSSNGREAQVVLLGAGMDTRAYRLSCLKDSDVFEVDFTEVLQVKTTILQAAKESAYDSQHIMSKAKSLTRVAADIRENDWLEKLQIAGFLPNKNTVWVLEGILYYLINSQAMQVLKLLANQCTLTHTVVLADFMNKSSTTLSNSTFHFYSDWPDHLLPSIGFTHVKLSQIGDPDAHFGLLNDPLNLFNKLRSLPRSVQTHPDDGTPCCRLYLVEASGSPDQGAAHKGSVTQS >OIV98072 pep chromosome:LupAngTanjil_v1.0:LG14:10543615:10543854:-1 gene:TanjilG_09565 transcript:OIV98072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQQHIEEQQNNRSKMKMKMKSNRNKRNKLVKCCCYPCYVASSMFRSIGRCMFVTCYPVVQCLGLDEHRHHHHGKHFDW >OIV98174 pep chromosome:LupAngTanjil_v1.0:LG14:7590167:7590391:-1 gene:TanjilG_11571 transcript:OIV98174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKRKATHATMEAITKKEVLCNNHKDSLNFSLESDFRETNAAEGMKMGGIDDEDMDDENEGMSLNVQGIDMYWL >OIV98405 pep chromosome:LupAngTanjil_v1.0:LG14:1958909:1961735:-1 gene:TanjilG_16732 transcript:OIV98405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRTIKTLILASSLWLFLLCAVITFLQLIIVEKGTSQSQHIQSGTLLEEDLEGVTHKVYFDVEINGKFVGRIVMGLFGTTVPKTAENFRALCTGEKGVGRSGKSLHYKGSSFHRIIPSFMVQGGDFTLGDGRGGESIYGDKFADENFKLKHTGPGYLSMANSGEDTNGSQFFITTVKTSWLDGHHVVFGKVLSGLDVLHKIEAEGSESGMPKSKVVIVDSGELTSPKLTD >OIV98312 pep chromosome:LupAngTanjil_v1.0:LG14:885635:905656:1 gene:TanjilG_16639 transcript:OIV98312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHHEDECRIEGQSTSKQDDEEAVARLEEIKKSIEAKMALRQSNLNPERPDAGFLRTLDSSIKRNTAVIKKLKQINEEQRDALMDELRGVNLSKFVSEAVASICDAKLRSSDIQAAVQICSLLHQRYKDFVPSLIQGLLKVFSPGKPGDEPDADKNLKAMKKRSSLKLLLELYFVGVIEDGGIFINTIKDLTSAEQLKDRDATQTSLTLLSSFARQGRIFIGLSVTGPEIHEEFFKGLNITTDQKKVIRKACYSFYDTAAELLQAEHSSLRLMEHENSKILNAKGELSDENITSYEKLRKSYDQLYRNVSSLAEALDMQPPVMPEDGHTTRVTSGEEATSSGAGKDSPVAEPIWDDEDTRAFYECLPDLRAFVPAVLLGETELKISEQSAKSQDQPTEIVPESDKGQLATYESGEVSTESNALPEGESTERVKDKEEKEKSKELDKEKEKEKDNDKKGEHEKDKLKSLEGTNLDALLQRLPGCVSRDLIDQLTVEFCYLNSKSNRKKLVRALFNVPRTSLELLPYYSRMVATLSTCMKDISTFLLQMLEEEFNFLINKKDQMNIETKIRNIRFIGELCKFKIAPASFVFICLKACLDDFTHHNIDVACNLLETCGRFLYRSPETTIRMANMLEILMRLKNVKNLDPRHSTSVENAYYLCKPPERSARVAKVRPPLHQYIRKLLFSDLDKSTIEHVLRQLRKLPWSECEPYLLKCFMKVHKGKYGQIHLLASLAAGLSRYHDEFAVAIVDEVLEEIRLGLELNDYGMQQRRIAYMRFLGELYNYEHVDSSVIFETLYLILIYGHGTPEQDALDPPEDFFRIRLIATLLETCGHYFDRGSSKRKLDRFLIHFQRYILSKGALPLDVEFDLQDMFADLRPNMVRYTSIEEVNAALVELEEHDHIVSVDKVSSEKHSDAEKPPSRASSKNVVGDGQSIDNGAEENGVRGDANDSDTDSQSDAVDVEVHDDEDLDEENHDDGCETEDEDDDGDNGLVPASDDEDEVHVRHKMTEVDPLEEAKFDQELKALVKESMEERSRDLRGRPTLNMMIPMNILEGSTKDHHGRGISVESGDEALDDESGENKEVQVRVLVKRGNKQQTKQMFIPQNSSLVQSTKQKEAAELQEKEDIKRRVLEYNDREEEELNGLGTQPTNWLQSGGSRVSGRGHTLDGTSGRGGGSRQRHHNYYTGSGLYYSRRR >OIV97953 pep chromosome:LupAngTanjil_v1.0:LG14:12749309:12755971:-1 gene:TanjilG_12710 transcript:OIV97953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPSPSENRSRWRKRKRESQIGRRQQKHHHHHEDNDDDEEENRNATADEDHDDDSEDQFHHPNPQSATNPQSHHEMEVLSDHAVQISQFPVVVKRSVNRPHSSVAAIIALERAIEFGDNNHRQLRNAPVLENVSHGQLQALSTVPADSAALDQERGGDGSNSSFVITTPAILEGRGIVKRFGNRVLVVPMHSDWFSPVSVHRLERQAVPHFFSGKSPDHTPEKYMECRNYIVALYMEDPEKRITVSGCQGLLVGVDNEDLTRICRFLDHWGIINYCSRVPCHETWNDMSCLMEDTNGEVRLPSDTLKSIDSLIKFDKPKCKLRADEIYSSLTTQNPDVSDLDDKIREHLSENHCNYCSRPLPVVYYQSQKEVDILLCTDCFHDGRFVIGHSSLDFIRVDSTRDYSELDGDSWSDQETLLLLEAVEIYNENWNEIAEHVGTKSKAQCILQFLRLPMEDGKLENINVPSMSSSNVTNRDDSGRLHRCLNGDSEGPFHQSSNSGSRLPFANSGNPVMALVAFLASAVGPRVAASCAHAALGVLSVDNSGSTTQMEAPVHGNRANLESTHSKDGGPRGEMAILTDHNEDKFGTIPLPLEKVKEAAKAGLSAAATKAKLFADHEQREIQRLCANIVNHQLKRLELKLKQFAEIETLLMKECEQVERTRQRFAAERSHVISARRGTGGATPPMNTSGVGPSMVNSNGNIRQQMISASPSQPSISGYGNNQQVHPHMSFGPRPSMFGLGQRLPLSMIQQSQSASSNALFNAPSNVQPTSNHPLLRPVSGTNSGLG >OIV98314 pep chromosome:LupAngTanjil_v1.0:LG14:915940:921212:-1 gene:TanjilG_16641 transcript:OIV98314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVDIEGGGENGFRVRKYLPVLANDGAVHEISPIDSGSTASAFPDQPANLRKINVGPGVNGSSDAKEGNAPHQQQPNGTEQESKLELFGFDSLVNILGLKSMTGEQVAPPSSPRDGEDIPIIAGQPDKMGTMMGVFIPCLQSILGIIYYIRFSWIVGMAGIGGSLLLVALCGICTFLTSISLSAIATNGAMKGGGPYYLIGRALGPEVGVSIGLCFFLGNAVAGALYILGAVETFLKAVPAAGIFKGTMTAVNGTAVAQPIQSPSSHDLQIYGIVVTILLCLIVFGGVRMINRVAPAFLIPVLFSLVCIFLGVILARKDHPEEDPLL >OIV97787 pep chromosome:LupAngTanjil_v1.0:LG14:14512874:14514502:1 gene:TanjilG_12544 transcript:OIV97787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHSLMGMGTGQFLVRELENRFVPNLRNCVKIVELKKIHAHIVKLSLSQSNFLVTKMVDLCDNFGNVGYATLLFEQLIEPNVFSYNAIIRTYTRNHQYSLAITLFKQMLRNSREPGQNPIFPDRFTYPFVIKSCSGLLWHHLGLQIHAQICKLGFKSHSITENALIDMYTKCDDLSNAHKVFEEMTNRDTISWNSLILGHTRLGQMDSARELFDEMPCRTIVSWTTMITGYCRIDCYADALDIFREMQMVGIEPDEISVTSVLPACAQLGALEVGKWIHMYSDKKGFLQKTGICNALVEMYAKCGCIDEAMHLFDQLVEKDVISWSTMIGGLANHGRGYEAIELFQELQKAQVVPNGITFLGVLSACSHAGLWNEGLKYFDVMRVDYHIVPEIEHYGCLVDLLGRSGCLDRALDMILKMPMKPDSRIWNSLLSFCRIHQNLEIAVIAMEKLLELEPEESGNYILLANIYAELGKWESVSNIRKLIRSKRIKKTPGCSLIEVNNVVQEFVSGDKSKPFSQDVFWILEMLALHQARISDLIELA >OIV97660 pep chromosome:LupAngTanjil_v1.0:LG14:15463097:15465221:-1 gene:TanjilG_12417 transcript:OIV97660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKHQGFFSALKDEVVRGLSPARSRSSSPMTSLLRRRKKQNAPPFDSFIARSGSLRPVAEALSPLKEGPDEEESNTTSKGDGRWSHWKMKAPVAVSSEVKSSDLRLLLGVLGAPLAPIHVSTTNPIPHLSIKHIPIETSSAQYILQQYIAASGGQKLHNSINNAYAMGKVKMIASEFETANKVVRSRNSSKAAESGGFILWQMNPDMWYVELALGGSKVHAGCNGKLVWRHTPWLGAHAAKGPVRPLRRALQGLDPRTTASMFINARCIGEKKINGDDCFILKLCADPSTLKARSEGPAEIIRHVLFGYFSQKTGLLIHLEDSHLTRIQNNGDDAVYYETTINSFLDDYRPVDGIMIAHSGRSAATLFRFGESANSHTKTRMEEAWTIEEVAFSVPGLSVDCFIPPAELRFASKNETCQVPPGQKVKTAVAAAAYHGKVPQQQRSHASSVNNINWTVDV >OIV98087 pep chromosome:LupAngTanjil_v1.0:LG14:9861655:9869012:-1 gene:TanjilG_25952 transcript:OIV98087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPSSNDEQLDMGNGKLDKNREKIPSNSSIRVVKVQNQALLSGLAYCLSSCSMILVNKFVLSSYDFNAGISLMLYQNLISVSIVSILSLSGLISTEPLTWILIKVWLPVNVIFVGMLITSMFSLKYINVAMVTVLKNVTNVITALGEMYLFKKHHDSKVWTALFLMIISAITGGITDLAFNATGYAWQTLNCFLTASYSLTLRRVMDTAKQVTKSGNLNEFSMVLLNNALSLPLGIFLVIVFNEVDYLLQTPLLRLPSFWMVITFSGFLGLAISFTSMWFLHQTGATTYSLVGSLNKIPLSVAGIFLFKVPTSLENSASIFFAMEHNIDNLNPNPNPLRNPLSSSVPVWPSIDGPLGLTEEDSVSYARNFYNFGFALLPLLWAVNCFYFWPVLRHPHSFSRIRPYVVRSAIGFTVFTTLLCSWALTFSLGGQRLFGPVWDQLVMYNLADRLGLTTWS >OIV98135 pep chromosome:LupAngTanjil_v1.0:LG14:8776562:8777140:1 gene:TanjilG_26000 transcript:OIV98135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLSAINALNGIYTMRGCDQPLIFRFADPKRPHQGDSRGPTFGDPGSGPTFDPQGARY >OIV97671 pep chromosome:LupAngTanjil_v1.0:LG14:15380960:15382015:-1 gene:TanjilG_12428 transcript:OIV97671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNGERAASDDFGRAMSDLAVAQLCQSAGFHAVNNSALDAFTDVTNRYLLDLGKTAQFYANLSGRSQCTVFDLILGLQDLESPLGFPNQPQQCIVSELVNYVNLVDNEVPFDEPIPRFPINRYRRNIPSFSQLGETQVSKHVPNWLPALPDPHPYIHTPVWNERVSDPRADKIEQARQRRKAERSLLSLQKRLLSCSSGSVESNSTVAEDASKGQCHEVIVDKNSLQESNEEVSPAVAVLCKLSDEAVDAKGGVSVLEVFAPAFERSKDDVLCDYDEEGKIDVHAVRPTVHFKFRAVKKFMGESLDSKHQNKRALRMAYLAGREDERDDKKRRAEYILKQSMENTQELTLL >OIV98185 pep chromosome:LupAngTanjil_v1.0:LG14:7192630:7196673:-1 gene:TanjilG_11582 transcript:OIV98185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSISKRRRSRQSSSSRGSHSWYPQYQSPYLPQTQGNVPQAYFGNQPQTQSYGGGHVPEQRKRLDRKFSKIDDDYNSLEQVTEALAGAGLESSNLIVGIDFTKSNEWTGGRSFQRRCLHHIGHEQNPYEQAISIIGKTLSSFDEDNLIPCFGFGDASTHDQEVFSFFPDERFCHGFEEVLGRYKDLVPQLKLAGPTSFAPVIEMAITIVEQSGGQYHVLLIIADGQVTRSVDTQNGQLSAQEKKTVEAIVKASEYPLSIILVGVGDGPWDMMREFDDNIPARAFDNFQACISSSKFVNFTEIMSKNMDRSRKEAEFALAALMEIPSQYKATLELNILGNRRGKDIDRIPLPPPLYGAASFNSPKTSRQSSFSPSAPSQAHRHDVGTDRSASSASDNQVCPICLTDPKNMAFGCGHQTCCECGQDLELCPICRSTIDTRIKLY >OIV97640 pep chromosome:LupAngTanjil_v1.0:LG14:15606226:15610194:-1 gene:TanjilG_12397 transcript:OIV97640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDVEDKHLLESSSKIAEHIPLAEHVEETLPFEFSFKVSEEKPLAKLIDEKMPSEWSLEVAKETALVAEHVEDKPIFDSPKKVAGEALVEEHVEDKLLSESSPKVAEATPLIEISELIDLPVNQSLNEAPTVLHSNGEIESGTHLLVTELAELVALPNGSDGQTVIQDEHHSIGESTSTANVVVDASERSRRGTLVEEYGLGAVENIFDNHKWQDDVSTITPDNDVDNENIFSCYFAETKDFQNDYNELKIDPPQTNVADGVVGEVDLSNHAKQLDATRTLIDTAAPFESVKAAVSKFGGIVDWKAHKMQTVERRDLVEEELEKAHEEIPDYRKQAEATEKAKVQVLKELDSTKRLIEELKVSLERAQTEERQARQDSELAKLRVEEMEQGIAEDSSVAAKAQLEVAKARYSAAISDLTSVKEELEALRKEYASLMTDKDEAITKAEEAVASSKEVEKSVENITIELIATKELMETAHAAHMEAEEQKIGTVMARDQDFLIWEKEIKQAEEEVQRLNQQILSAKDLKSKLETASTLLLDLKDELSAYMESKLKQEDDEEGISNGDLKVPEKKTHTDIQAAVASAKKELEEVKLNKEKATDEVSFLKVAATSLKSELEQEKSTLASIRQRERMTSIAVASLKAELDRTRSEIALVQMKEKEAKERMTELPKMLQQTAQEANQANVLAQAAREELQKVAAEVEQVKAGVSTMESRLLAAQKDIESAKASEKMAIAAIKALQESESTRRSNEVDPSRGVTLSLEEYYELSKRAHNAEERANSRVASVNAEIEIAKRSELKSFEKLDEVNREIAAKRESLKMAMDKAEKAKEGKLGVEQELRKWRAESEQRRMDGESGQGVENHSKSPRGSFEGNKETNNFDQAQGAASPAHYLSSPKAFEHADHDKNGSSPESKHGKKKKRSLFPRVLMFFARRKTHSTK >OIV98122 pep chromosome:LupAngTanjil_v1.0:LG14:9117596:9118230:-1 gene:TanjilG_25987 transcript:OIV98122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKSLGFFFLLLIVFAAQVMTQTEARTRVCESQSHLFKGPCSRDHNCALVCRNEAFSGGRCKGFRRRCFCTKLC >OIV97763 pep chromosome:LupAngTanjil_v1.0:LG14:14692609:14693633:-1 gene:TanjilG_12520 transcript:OIV97763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGERGGDKAESRYCGIETDFNDDMPHVLDFNLSSAGFDFVIAPLMDPAYRPSLVQKGSLGSVVLPFAGSDLVLSPSQWSSHVVGTNC >OIV97589 pep chromosome:LupAngTanjil_v1.0:LG14:15909967:15911146:1 gene:TanjilG_12346 transcript:OIV97589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKCATLTPLENEVVEILTEFCTLGLSNLESGFQFPFTWGRKRLRSAISDSSSSLSPSPPPPLKAEASSPATPFSFSPSESEDKRTLLRKNVSLKRKKDHYLKTIEGLTKDNGLLHGEVKNVKYHLNMLRDFNLKLKARKEELCLESKPQELEITSMVHSPPLILNQTNDTEVVPNVQFKIQQETMLLGNRNDNVGPNGIPDLNLLPVEEPIMEEEFCEVSVADKNLSRVIAASQARLKRIQICKMKNPIANNKLRYSWR >OIV98409 pep chromosome:LupAngTanjil_v1.0:LG14:2017442:2028114:1 gene:TanjilG_16736 transcript:OIV98409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAAPISMRETLTLPSVGINPQFMTFMHVTMESDKYICVRETSPQNSVVIIDMNMPTQPLRRPITADSAIMNPNSRILALKAHLQGTTQDHLQIFNIEMKAKVKSYQMPEQVVFWKWISPKLLGLVTQTSVYHWSIEGESEPVKMFERTANLANNQIINYRCDPTEKWLVLIGIAPGSPERPQLVKGNMQLFSVDQQRSQALEAHAAAFAQFKVPGNENPSVLISFATKTLNAGQIISKLHVIEMGAQPGKPSFTKKQADLFFPPDFADDFPVAMQISHKYSLIYVITKLGLLFVYDLETATAVYRNRISPDPIFLTSEATSSGGFYAINRRGQVLLATVNEQTIVNFVSGQLNNLELAVNLAKRGNLPGAEQLVVERFHELFAQTKYKEAAELAAESPQGILRTPDTVAKFQSVPVQAGQTPPLLQFFGTLLTRGKLNAFESLELSRLVVNQNKKNLLENWLAEDKLECSEELGDLVKTVDNDLALKIYIKARATPKVVAAFAERREFDKILIYSKQVGYTPDYLFLLQTILRTDPQGAVNFALMMSQMEGGCPVDYNTITDLFLQRNLIREATAFLLDVLKPNLPEHGFLQTKVLEINLVTFPNVADAILANGMFSHYDRPRIAQLCEKAGLYVRALQHYTELPDVKRVIVNTHAIEPQSLVEFFGTLSREWALECMKDLLLVNLRGNLQIIVQVAKEYCEQLGVDGCIKLFEQFKSYEGLYFFLGSYLSSSEDPDIHFKYIESAAKTGQIKEVERVTRESSFYDPEKTKNFLMEAKLPDARPLINVCDRFGFVPDLTHYLYTSNMLRYIEGYVQKVNPGNAPLVVGQLLDDECPEDFIKGLILSVRSLLPVEPLVEECEKRNRLRLLTQFLEHLVSEGSQDVHVHNALGKIIIDSNNNTEHFLTTNPYYDSRVVGKYCEKRDPTLAVVAYRRGQCDDELINVTNKNSLFKLQARYVVERMDGDLWEKVLVPDNAYRRQLIDQVVSTALPESKSPEQVSAAVKAFMTADLPHELIELLEKIVLQNSAFSGNFNLQNLLILTAIKADSSRVMDYVNRLDNFDGPAVGDMAVEAQLYEEAFAIFKKFNLNVQAVNVLLDNIHSIGRAVEFAFRVEEDAVWSQVAKAQLREGLVSDAIESFIRADDATQFLDVIRASEDANVYHDLVRYLLMVRQKSKEPKVDSELIYAYAKIDRLSDIEEFILMPNVANLQNVGDRLYDEELYEAAKILYAFISNWAKLAVTLVKLKQFQGAVDAARKANSAKTWKEVCFACVDAEEFRLAQICGLNIIVQVDDLEEVSEYYQNRGCFNELISLMESGLGLERAHMGIFTELGVLYARYRPEKLMEHIKLFATRLNIPKLIRACDEQQHWKELTYLYIQYDEFDNAATTIMNHSPEAWDHMQFKDVIAKVANVELYYKAVHFYLQEHPDLINDVLNVLALRVDHARVVDIMRKAGHLRLVKPYMVAVQSNNVSAVNEALNEIYVEEEDYDRLRESIDLHDNFDQIGLAQKIEKHELLEMRRVAAYIYKKAGRWKQSISLSKKDNLYKDAMETASQSGERELAEELLVYFIDQGKKECFASCLFVCYDLIRADVVLELAWMHNMIDFAVPYLLQFIREYTGKVDELVKDKIEAQINEKTKEKEEKEVIAQQNMYAQLLPLALPAPPMPGTGGGFAPPPPMGGGFAPPPPMGGGFGMPQMPPYGMPPTGSSY >OIV97842 pep chromosome:LupAngTanjil_v1.0:LG14:13993849:13997473:1 gene:TanjilG_12599 transcript:OIV97842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYDPMNQIVAIDDNNDTSATIESTEPVANNHHSHIIHYEDGSAGVIEDVATDNGGVYASGPVNMPTQALDDSSQLTLSFRGQVYVFDSVSPQKVQAVLLLLGGREAPSSSQCGEVPPLDQRGAMEYPKCSQSQRAASLLRFRQKKKERCFDKKVRYIVRQEVALRMQRSKGQFTSAKKQDGGSGWGADQESVQDAVQLETSCTHCGISSKSTPMMRRGPSGPRTLCNACGLFWANRGTLRDISKRNQEHSLAPPEQVGEGNNNMNCGTAMPAHNNLLTFTDDNKSPAMVSADH >OIV98205 pep chromosome:LupAngTanjil_v1.0:LG14:6418682:6420222:-1 gene:TanjilG_28718 transcript:OIV98205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSMLSSKKSKEELKMALNKAKEIASSSPVVVFSKTYCGYCKRVKDLLTQLGAVYKVIELDGESDGGHIQFALAEWTGLRTVPNVFIGGKHIGGCDTVLEKHRAGQLVPLLNDVGAIVNTSTQL >OIV97637 pep chromosome:LupAngTanjil_v1.0:LG14:15623700:15625602:-1 gene:TanjilG_12394 transcript:OIV97637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQASGCSVILSLLLVSSFSSFSFALSDVEASFIARRQLLHLHEHDELTEAYVENYITDLKFDNPRLKRAYIAFEAWKKAIYSDPSNITSDWVGPDVCSYKGVFCAPALDDPKIEVVAGIDLNHADIAGYIPPEFGLLTDLALFHINSNRFCGVLPKSFCNLKLMHELDISNNRFVGPFPQSVLCLQDIKYLDLRFNDFEGELPSELFNKTLDAIFLNSNRFVSVIPENLGNSPASVIVLANNHFNGCLPGSIGQMDKTLNEFVLVHNNLSGCLPSEIGKLSSVEVFDVSHNMFVGVLPKTLNGLRKVEELSIANNKLTGSVLHGICSLPSLVNFTFSYNYFNGEEEGCVPRSRKEIELNDERNCISDRPKQRDESECNAVISKPVDCNKAQCSHSSTPSHSNNPPSHTPSEPKPTPSTSNPPTETPSEPESSPQTPETPETQAPPTPEMPKAQSPPTPEAPKAKPPPTPQTPKPEPPPTPKAEPPPKPQTPKPEPPPTPKSEPPPTPQTPKLEPPPTPRVESPSTPMPEQPSTPEVEPPQAPKLQPPPTPETPKLSPPEEDPHREAPKGRLRTPPPPVQSPPPPTNSPPPPVHSPPPPVHSPPPPVHSPPPPINSPPPPVHSPPPPVHSPPPPV >OIV97566 pep chromosome:LupAngTanjil_v1.0:LG14:16053188:16067984:-1 gene:TanjilG_12323 transcript:OIV97566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHFIINKSRHKPSYGIQGYNIGFVLVTWRSRSVSGTSLVGERHSENYCAMYDLCGARSDGKVLNCPHGSPAVKPDDLLSSKIQSLCPTITGNVCCTEAQFDTLKKQVQQAIPFLVGCPACLRNFLNLFCELTCSPNQSLFINVTSADKVGSNLTVSGIDFFVADAFGEGLYESCKEVKFGTMNSRALQFIGAGAQNFMEWFAFIGRKSAPHSPGSPYAITFHPNASKSSGMKPMNVSTYSCGDISLGCSCGDCPSSSVCSSSPSTTTHKRDSCSIKVGSLTVKCVDLILTVLYIILVSVFLGWGLYHRIRERKPESRTGSVSNIISGGVQHSLDREKDDNLPTQMMQDDALNRNGVQLSAVQGYMSIFYRKYGSYVAKNPITVLSSSLAIFLLLCLGLIRFKVETRPEKLWVAPGSKAAREKQFFDSHLAPFYRIEQLILATVPDHVNNTAPRIVSKDNIEFLFEIQKKVDAIRANYSGSMISLQDICLKPLDKDCATQSVLQYFKMDPNNFNDYGGADHLNYCFEHYTSADQCLSSFKAPLDPSTVLGGFSGNNYSAASAFIVTYPVNNAIDEEGNQTAKAVAWEKAFIQLVKGELLSMVKSRNLTLAFSSESSIEEELKRESTADAITILISYIVMFAYISLTLGDTSHLSSFYISSKVLLGLAGVMLVMLSVIASVAFFSALGVKSTLIIMEVIPFLVLAVGVDNMCILVHAVKRQQMDLPLEGRISNALVEVGPSITLASLSEVLAFAVGSFISMPACRVFSMFAALAVFLDFILQVTAFVALIVLDSLRAEDKRVDCFPCIKVRSMRVDFDKGVGQSKLGFLARFMKEVLAPILSIWGVKIVVIAIFTAFTLASIALSTRVEPGLAQNIVLPRDSYLQGYFNNVSEYLRVGPPVYFVVKNYNYSSESLHTNQLCSISQCNSDSLLNEIARAALVPDTSYIAKPAASWLDDFLVWVSPEAFGCCRKFMNGSYCPPDDQPPCCTAGEGSCVSDGVCKDCTTCFRHTDLHNGRASTTQFREKLPWFLSALPSADCAKGGHGAYTGSVELKGYESGIIQASSFRTYHTPLNKQNDFVNSMRAAQEFCSRVSESLKIEIFPYSVFYMFFEQYLNIWKTALVNLAIAIGAVFIVCLVITWSFWSSAIILLVLVMIVVDLMGVMAILKIQLNAISVVNLVMSVGIAVEFCVHMTHSFTVTSGDKDRRVKEALGTMGASVFSGITLTKLVGVIVLYFSRTEVFVIYYFQMYLSLVLLGFLHGLVFLPVVLSIFGPPSRYTNNEEGENASPNSS >OIV98433 pep chromosome:LupAngTanjil_v1.0:LG14:2311941:2313212:1 gene:TanjilG_16760 transcript:OIV98433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSTSTSSSSGVIRRKMLELEDEKEEEFVQPLKKKSTKNNNTKLIKPNNLKNQTKIIKFNNIISSKNQTKLTNKTKTILTDTHEFKIKKLNSTTIKIKKLNSTTIKIKKLNSTSKLKPFTNSSKSSTTPSSISTNSTKTKSLDLLKTTKDNKDKNNKLDLENETTSSKLKTNKENKKQVQPSWMFEQDEDDLDFSELKDLPIKFQQTLLPDLEKISKSSTDYITKANKEITKGFKPYVVFSTDCGLGSRFLGLAQTFVGFSIGLHYYVMVFHRVVLRQGPKTNWKMHGIYATCFFLICFLANADRRKKTYLEEGGEEGKKN >OIV97893 pep chromosome:LupAngTanjil_v1.0:LG14:13488451:13489541:1 gene:TanjilG_12650 transcript:OIV97893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSFHEALAESPRAKVQAELEASSKKRKWVEPYAGEFFKNQTNLEERKSRFDIELNLETPYTSDNWRQYLSIKPGQINMCQDSKRSSTQPLTHHHMSLDLELNLTSESQWKKEVDNSYHMIEKQGSGNSLGSLFELDHDDLIIEPNKHKKDSSNGLVHSLSSPSWFSSSNGDHKEMVATVCMKCHMLIMLCKSSPTCPNCKFMHPPDQNPSNFLKRRSSILY >OIV98457 pep chromosome:LupAngTanjil_v1.0:LG14:2486211:2488251:-1 gene:TanjilG_16784 transcript:OIV98457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWCIFSNLKTQPLWVLFLFSLGSITLVRFSFIFLKWVYVNFLRPSKNLMKYGSWALITGPTDGIGKGFAFELARKGLNLVLVGRSPEKLKLVSDSIAAEFGKIKVVTVVVDFSGDLDSGMEKIREAIEGIDVGVLVNNVGVSYPYARFFHEVDDEILRNLIKVNIEGTTKVTQLVLNGMLKRKKGAIVNIGSGAAIVIPSDPLYAVYAASKAYIDQFSRSLYVEYKKSGIDVQCQVPLYVATKMASIKRSSFFVPSTDGYAKAGVRWIGYEPRCTPYWPHTLLWALAYSLPESVVDAWRLRFCLGIRKRGQLKDSKKKE >OIV97698 pep chromosome:LupAngTanjil_v1.0:LG14:15192150:15193822:-1 gene:TanjilG_12455 transcript:OIV97698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSSAATSSKPRTASSQPSETSSKRKRGVFQKELQHMMYGFGDDINPLPESVTLMEDIVVEYVTELVHKAQDIGSQRGKLSVEDFLYLIRKDNKKLNRCTELLSMNEELKQARKVFESDEEKLRKVFEVDETAAE >OIV97599 pep chromosome:LupAngTanjil_v1.0:LG14:15855362:15857185:1 gene:TanjilG_12356 transcript:OIV97599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRFASDQLEAEGKKSVNGSRKLSNPAFIAAQSKFEELSSKANSGRTNSLSNQDATVESEADISSVSTDTAYRSKELPFENLAPHVSQVVDSECGTELSISSTLDSPDISETGAVENERDAKNLVQRIGNLENTTNLDVEANIPHVIPASSSAKSVLDQTQIVDGTIGNMVQSVVAVDSEERGIRAEKNAPALPREQVESAQQDFRPSPEEASPRSHMTVPESQGTPSSQVSIKLKEGKINKTGSSKKRGTQSVGNKSPANANNDSGSRGSREKLPKDQLSSKRRSSFGSVKPDNVDQEPSDNISNNNPLPRFMQVTESARAKINANTSPRSSPDVHDEDIQLKKRHSLPGPTGRQVSPRIQRSMSQAQQSAKANGVHPPQERKWLR >OIV97605 pep chromosome:LupAngTanjil_v1.0:LG14:15811405:15817982:1 gene:TanjilG_12362 transcript:OIV97605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREKGFREEHENVSLSNATDEERIVVSIRVRPLNEREKARHDVSEWECISNNTIRFKNSGGVVEQRSLSNDAYTFDKVFGERSTTNQVYEQGIKEVALSVVSGINCSIFAYGQTSSGKTYTMTGITEYAVRDIYDYIGKHKEREFAVKFSAMEIYNEAVRDLLSANATPLRVLDDPEKGTVVEKLTEETLKDKNQLQQLLFICAAERTTEETAMNETSSRSHQILRLTVESNPNDYARTARSGTLIASVNFVDLAGSERASQALSAGTRLREGSHINRSLLTLGTVIRKLSKERNGHIPYRDSKLTRILHNSLGGNARTAIICTISPARSQVEQSRNTLFFAGCAKQVATNAKVNVMMSDKVLVKQLKSELARMENELRNSTPNTAILRERELQIEKMGKQIKELTRQRNLFQSHVENLLQTVGKDWPMRVDKDSGSELSFVSNSLSPGTENTSENLDKTTTTLSISNEQLSQQSESFEGDFLLDGSPPAFVGPDPCQGWEEMASRAESEDNFKEVPCIEIKETETYHKTDVDTSIPDFEQGGNTPMIHVVSHVALHNSTDALQEKTQDLHVDLLEKSNVSSEPEPHIFAAMSSSQTDKSDQETSSHPQFTELDNSQDALQQKNQYFHLELSVKSNGSSDPEPCISPSRSSQNRSSQPVLAAISPSQTDKVDQETSSHPHFTELDQKLVSPPQFNKLDQEPTSHPHFHEPELKTILPPQSVKASHFDEHELKAMFPPQSDESPHFDKQEVKTMLPPQSDDSLPHFDEQELKTMLPPQSEVSPHFDKQELKTTMLASQSGELEQVSDKGYSNSLVGFYGELSESKLHVIKRKSPQTYSLVEEMDASVEEESVVDSDTEETASILNYVTKMNGRAKTASLNNEFDDLMVCARTYEVNKHVNRVKGISFNGSWGGSMPSELERRQREIIELWDACNVPLAHRSYYFLLIKGQLSDSVYLNIEFWRLSFLKDTYSSATNITEKGLDVTPNSSLKALNRERKMLSGYVHKKFSRKEREELYKKWRIDLKTKHRSIQLAWLLWTNTKDLNHVRESAMLVAKLVGFIDSGEASKKLFGFGFLGKLKSRKSHDWEDSISTAI >OIV97791 pep chromosome:LupAngTanjil_v1.0:LG14:14493118:14495566:-1 gene:TanjilG_12548 transcript:OIV97791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFYFKARPEVGDYTIFMGLDKYENEELIKYGFPEDIWFHVDKMSSAHVYVRLHKGQTIDDISEGLLEHCVQLVKANSIQGNKVNNIDVVYTPWSNLKKTPSMDVGQVGFYNSKMVRTVRVEKRINEIVNRLNKTKVERKSDLKAEREAVNAAERAERKQHLREKKRREELERLEKERQSEMRSYKGLMVSENMVSNKQIASGSKSLQELEDDFM >OIV98190 pep chromosome:LupAngTanjil_v1.0:LG14:7002866:7004818:-1 gene:TanjilG_11587 transcript:OIV98190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMKKLLWVALSLALVFTIAESFDLHENDLASEESLWDLYERWRSHHTVSRSLNEKHKRFNVFKANVMHVHNTNKMDKPYKLKLNKFADMTNHEFRSSYAGSKINHHQMFRGRPGGNGTFMYEKVERVPPSVDWRKKGAVTTIKDQGQCGSCWAFSTVVAVEGINQIKTKKLVPLSEQELIDCDNEENHGCNGGLMEYAFEFIKQKGGITAESSYPYAAEDGTCDESKINDLLVSIDGHETVPANNEDALRKVVANQPVSVAIDAGGSDFQFYSEGVFTGDCGTDLNHGVAIVGYGTTLDGTKYWIVRNSWGPEWGEHGYIRMQRGISEKEGLCGIAMLASYPIKNSSTNPTGHSSSPKDEL >OIV97804 pep chromosome:LupAngTanjil_v1.0:LG14:14395461:14396123:-1 gene:TanjilG_12561 transcript:OIV97804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIEPQNCKSGSTINSNSNSPPSTPKKVKRIRDSNKYPLYRGVRMRNWGKWVSEIREPRKKSRIWLGTFSTPEMAARAHDVAALTIKGSSAILNFPNLVNSLPRPVSRAPRDVQAAAAKAAQMDNLDIPKTTTTTTTTLSMSSSSSSSFSSLVSAMDLCNDELSEIIELPRLENSVDEKREFVFMETTLVDGWNMYQPPLFEDFDQLGVIESRFESFARN >OIV97959 pep chromosome:LupAngTanjil_v1.0:LG14:12676077:12677519:1 gene:TanjilG_12716 transcript:OIV97959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKIYDHRKVLFCKWVLFAKMYSTNELVHDVEDATKMVCKAMISCPGATLDTALNQTGVRVSPDLVENVLKRFENAGMLAFRFFDWAEKQRNYSHSIRAYHSVIESLAKIRQYKIMWDLVNAMRKNGMLNVETFCIIMRKYARAQQVDKAIYTFNVMEKYDISPNLAAFNGLLSALCKSKNVRKAQEIFEEMKDRFVPDTKTYSILLDGWGKDPNLPKAREIFREMVDVGCDPDIVTYGIMVDVLCKAGRVDEAVEVVNEMDANNCRPTTFIYSVLVHTYGVENRIDDAIDTFLKMERNGTKADVVVYNALIGAFCKANRFKNVHRVLQEMENNGINPNSRTYNVMICGLISQGQTDRAFRVFRQLIKSCEPDADTYTVMIKMFCEKNELEMALKIWKFMKSKRFVPSMHTFSVLINGLCQEGNVAEACVLMEDMIEKGIRPSGATFGRLRQLLIKEGREDVLKFLHEKIDLLVKEPLLD >OIV97709 pep chromosome:LupAngTanjil_v1.0:LG14:15130886:15132130:1 gene:TanjilG_12466 transcript:OIV97709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHNGVWHSQGLKRKTPEDENHELLNNFSLNDLNEDLFEMILSWLPTSTFFRLTSVCKRWKSVPSSSSFKLACSQILSREPWFLMVAPNLNQSIIFDSAESTWKRLSHPPLLQQESNQNCMPVAASGGLICYRKLSGDFIVSNPVTGSCSELPPLHFATQNQPLNAIVMSKVSKDQLSYKIVLVFGEIPNLLFQVYNSISGCWENEAAMKRKVNDNSEVHDSTDDNVVYFLSKVGTVVANNIQRSPSKQYSSVITTTKSNEEVVYFLSSSGKVVACNLTHKCFVEYPRLLPIFSEYSIDIVECNGEMLVVLLSEFLESASLRVWKYDEANRGWHQIDAMPAAMSHEWYGKKADINCIGSGNQILICLNSLELCTYVMCDLVTKKWVELPNCCINGKVIDFMSAFSFEPRLEASV >OIV98195 pep chromosome:LupAngTanjil_v1.0:LG14:6849712:6854857:1 gene:TanjilG_11592 transcript:OIV98195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNNCVGSSKNVTSTCSDSLWWSKKKKEASRKVETESKNLNTAKNVEHKAPQMMKIEKEEIKATQQKEPIRHTEEASSQAPEAKPAGPKKPHNVIRQASAGLKTESVLLKKTGNLRDFYNLGRKLGKGQFGTTFLCVERATGKEYACKSIVKRKLVTEDDVEDVRREIQIMHHLVGSPDVITIKEAYEDAVSVHVVMELCAGGELFDRIVERGHYTERKAAKLARTIVGVIESCHSLGVMHRDLKPENFLFINQQEESPLKAIDFGLSAFFKPGEIFHDVVGSPYYVAPEVLRKRYGPEADVWSAGVIIYILLCGVPPFWGESEPEIFEAILHAEADFTSDPWHTISASAKDLVRKMLVRDPTERISAYEVLSHPWIQVDGEAPDKPLDSAVLSRLKQFSAMNKLKKMALRVIAKNLSEEEIAGLREMFKMIDTDNSGQITFDELKVGLKKFGANLKESEIYDLLQAADVDNSGTIGYGEFIAATLHLNKIEREDHLVAAFSYFDKDGSGYITQDELQQACEEFGIKDARLEEMIREADQDNDGRIDYNEFVNMMQRGNEDMGKRVQKGSSSFSIRFREAQPVC >OIV97723 pep chromosome:LupAngTanjil_v1.0:LG14:15030242:15031052:1 gene:TanjilG_12480 transcript:OIV97723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPQQRYRGVRQRHWGSWVSEIRHPILKTRIWLGTFETAEDAARAYDEAARLMCGTRARTNFPYNPNASQSSSSKLLSATLIAKLHRCYMASLQMTRPSSLPEPPRIIASPNNAVKGIGTDAMLIPKKIELEEQETEGDWDFKKVKVENSQQFKPLEEDHIEQMIEELVYYGSIELCSVFPSQAL >OIV98310 pep chromosome:LupAngTanjil_v1.0:LG14:870864:871424:1 gene:TanjilG_16637 transcript:OIV98310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASASPKELTIADLEKKHEEVSARLEELNLSVNKGMEEIHNILRAQSQQYSNNGSSSNNDHNNTHRNNLGHVITKDGVFTDPTKIQVVANWPIPTTIKQLRGFLGLAGYYQRFVKNFGEIGKPLTNLMRNDGFIWSPTASVAFQELKTALTIAPVLALPNFTKTFIVEADAYGTGIGVVLCKKNIQ >OIV98316 pep chromosome:LupAngTanjil_v1.0:LG14:945969:950419:1 gene:TanjilG_16643 transcript:OIV98316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFRFQNLLGAPYRGGNAVISNNTLLISPIGNRVSITDLLKSQTTTLPFQSSSNISRIAVSPDGTFLLAVDDRNRCQFVNLRRRVVLHRITFKHRVAAVKFSPDGSLIAVAAGKLVQIWRSPGFRREYFGFELVRTFADFDGKVTAFDWSPDSNYLLVGSKDLTARILYLKKVSGGVKYRPFSLLGHRDSVIGSFFGVDAKTNRVCKAYTVTRDGYVYSWGFTADNEGFSVMDEDEGLEPASPGTPVRDVEGNLESGGDVEVKKRKKLENGDVGLVGEDEYLCRGKWEMLRKDCFTQAPAKATACDYHRGLDMVVVGFSSGVFGLYQMPEFVCIHLLSISREKITTALFNELGNWLTFGCAKLGQLLVWEWRSESYILKQQGHYFDVNCVAYSPDSQLLATGADDNKVKVWTLSSGFCFVTFSEHTNSVTALHFMASNNCLLSASLDGTVRAWDLIRYRNFKTFTTPSSRQFVSLAADQSGEVICAGTSDSFEVFVWSMRTGRLLDVLSAHEGPVHGLMFSPTNAILASSSWDKTVRLWDVFNGKGAVETLTHTHDVLTVVYRPDGRQLACSTLDGQINFWDPIDGLLMYTIEGARDIAGGRLMTDRRSAANSTQGKYFTTLCYSADGSYILAGGSSRYICMYDVADQVLLRRFQITHNLSIDGVLDFLNSKNMNDAGPLELIDDDNSDIEDGLDKQTRGKIGLDLPGAMPNGGRPVIQTKGLRIAPTGRSFVAATTEGVLVYSVDDSFIFDPTDLDIDVTPEAVDEALTENQPSRALILSLRLNEDSYIKKCIFAVSPPDIPAVATSIPNRYLQRLIEALADLLENCPHLEFILRWSQELCKAHGNSIQQNSRNLLPSLKSLQKSITRIHQDLADTCSSNEYMLQYLCSSAAKK >OIV98459 pep chromosome:LupAngTanjil_v1.0:LG14:2499229:2515349:-1 gene:TanjilG_16786 transcript:OIV98459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTESERNSHKTEKKKKNNNNNGGFLIALTGTAALLAVAANLVISAIKYRNKRNANKIKDLAGSKVRVNLSASQILKLTEQIISKSNEVYSTIASIPLDKVTYTNVISPIAELQAHQFPLIQSCVFPKLVSTSEDVRKASAEAERRLDAHLDMCRFVVGVVVVVVLSSIGSMWISKREDIYLVVKAFAVKGEWVNAEAKRFVQTLMRDFERNGLNLSASKREELLRLRTQIDELSFKYIQNLNDDSTFLLFNEAELAGLPPEFLKGLDKSENGKLKISLRSHHVSAILEFCKVGTTRRMVSGAYGSRCGEVNLSILENLVQQRHKYARLLGFSNYAEYAVDARMGKTPIKVFEFLEDISSSLTDVATKELDMLKNLKKNEEGDFPFGIEDLLYYAKRVEEQSYDLDFGELKQYLPISLVLSGIFKIIQDLFGLRFEEIAGADVWHCDVRVFSVFDLGSSELLGYCYLDLFSREGKYGHTCVVSLQNSALTISGGWQMPVALLISQCQKDANSGPGLLRFSEVVSLFHEVGHVVQQICNRASFDRFSGLRVDPDFVEIPAQLLENWCYESYSLKLISGFHQDITRPIKDDLCKSIKKWRTSFSALKLKQEILYCLFDQIIHSADNIDIQELFKHLHPKVMLGLLALEGANPASCFPSSVVGSEAACYSRIWSEVFAADIFTSKFCNDVSNQHVGMQFRNKVLAPGGGKDSIEVLTEFLGREPSIEAFIQNKSKSIIIKLPRKSSSSSSSSSSKGGSSEHHGASSGKNRGGVKSNDLSTKSVGSASVSQPHHGNINNNRAQLPIGVNENQNPNRSLNFTSYEALPAFRDVPNSEKQPLFINKLKMCSVVFDFADVTKNIKEKEIKRQTLVELVDYVTSANVKFSESVIQQVVKTVSANIFRTLSPQPRENKPVDGVELEEEEPSMDPAWPHLHIVYELFLRFVASPELDAKLAKRFIDQSFVLRLLDLFDSEDPREREYLKMTLHRIYGKFMAHRPFIRKAINNLFFNFIFESEKCNGIAEFLEILGSIINGFALPLKEEHKLFLVRVLIPLHKPKCLAMYHQQLSYCITQFVEKDCKLADTIIRGLLKYWPITNSSKEVMFLSELEEVLEATQPPEFQRCMIPLFRRIAHCLNSPHFQVAERALFLWNNDHIVNLIKQNCKVILPIIFPALERNTRSHWNQAVHSLTLNVRKIFNDLDPDISKECLQKFEENESKKDEIKGDREATWRRLEELAVKRAASSEAMFISKASPIYNSAGKVNEQNLITMSYPMQFIGVLAAAVVAAATSLQH >OIV97875 pep chromosome:LupAngTanjil_v1.0:LG14:13665282:13666232:1 gene:TanjilG_12632 transcript:OIV97875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDKFTVMVFMILVVTFFLLIFIAIYNRRRSEQRIRGRFDLNSPIGGSNLRRRGLDEEIVETFPTFIYSTVKSLKIGMATLQCAVCINEFQDDETLRLIPVCNHVFHPECIDAWLAHHSTCPVCRANLSPTNDEEIAIEIRNHTEPEPEPNPVQADEDNNDSVREASVIQESPKLNTNNSVHQNRSPISRVKGFSFKNLFPRSNTTGHSLVQLGENFERFTLRLPEEVKNKLVMTKLRTAKSWHVALTPEGSEKRVRRTRSINTGRGRSYMERFARSDRKGFSPRGKDGSTGSTKGTDESNKEVGERSFDQLVPKK >OIV98100 pep chromosome:LupAngTanjil_v1.0:LG14:9635153:9637060:-1 gene:TanjilG_25965 transcript:OIV98100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNDHCDSSLLLSLPDDVFAIVARFLSPRDVCNLGLCCRSLNALVASEKIWLTECDALGIVPHEDLVEWRKGVSSYKALCRFLVSVQPLIGIWVHQNPELGNVVYVMPGFISVVGCRIIPQELGPLGIEEGPILWASVFEVIGDFDGSATFLLHGREKEIDYIYPGLVKPIEKSCNLLLLEVEPELQKNPGTLLPSKTLAHNSGKELLRKVCRSNSELSRSQRVNNEATVSFSKLAFTDRRKLLEVTTSQIRQKVPDTVVEPLFPRLRDDENNFKKDLVFLWERRSLLSEMFNLGYSQIDYKASSQEEVSSKQLELDDIRKSLVYSRPIYNPLQEVDDRTQHIKRKSIGGYFWNSFKQILGRSNSINGSHSNSNKLTSSSEIRHAQLQEFLRSSDTIRLLLNASTVELFSYRAWPSMHDNQFALYKLPLRVPREDQEYAGLWGGTFGWPPGKPSEDKPGKALFLLLLSYEESQGQQLVIATKILEGTHYVLHPNGSAMFIVNINEPSSESFPWDTDADSNPVDIKDAFMGEGIASGYGFRYPGSKPGSLFVFQNGVIAFIWKESRAILTLQRINLQELLKKGERIPSLPPVANFSYLTKSYSNVFAGFPSSSNCLSSPRFASTLTCLLTSLAFSP >OIV97718 pep chromosome:LupAngTanjil_v1.0:LG14:15054821:15057291:-1 gene:TanjilG_12475 transcript:OIV97718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIGFFGLAIFLFLSLDSDIPHSPTSSVSAASSEGVEVEISYGSVLKLMHEKTRVRLHSHEVPYGSGSGQQSVTGFPGVDDSNSYWIVKPQLESSAKQGDTIKSGTIIRLQHMRTRKWLHSHLHASPISGNLEVSCYGGESESDTGDHWKLTIEGSWKTWKQDQKIRLQHVDTRGYLHSHDKKYTRIAGGQQEVCGVHEKRADNVWLAAEGVYLPVTESK >OIV98258 pep chromosome:LupAngTanjil_v1.0:LG14:4456475:4471691:-1 gene:TanjilG_14847 transcript:OIV98258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTDQITVEPLHDRGAGGKVRRPPLRKPPASPYARPPVTTRRRWISKLVDPAYRLISGGASRILPSFFSTPAPAPALPGTSSDTQDDQGKWQSGEQGHGDDGRKSYSHLQASKSTEMESAGHISGKLKSSSVIDLNRQHEKGEQSDKNRISDIEKLVEGNTFSRDEFSRLVEVLNSRAIDLPNVEKGKENNNLASRKDHQELALAHRLPKVSNEQRHEELNVVVWGNSTPLGLSKVQDEIGASPIEIARAYMDSRASEAGPSSKSIIQTIESTVLHGAEAAMKPYDPSPYRKSSSCWPGAMVQDAYVTPHSQRSRYGLQNFPRTPYSRSLLSKSKTRLTHIQGDSHISSTPLRQSEATRYLQDKSKADASESGYGSVGPIRRNRHTVGAQSSSRRPAYSSLRGPSQSGVNEAFTPAVTRNSDPDGRGSTHKPLGFEVGTPTVPMHTTLMAKKILEHIDRNIPTPKEKSAELKLATEWKKPEPTTLSKDVSPYKYDGLDGKKSVLTNEGQGNFNFGIPPKEIADKSITVTKEGTLSSDMNIHRSIPRLGNDALTTQNFGGSQFSFMKSTEQQEQDVLKTLPSGGNPFELNLEKKSVSNSPASKPVLPPISIKKHDSKWTFSSDNGSGFTFPISASSSVLSEPPTPSIMPLFSTGDQHQSNERSTEPSYSFGLKSSSQALVFSFPSTSNTTVHNDAGEIKFNFGSNDKERLSFSFGKDAVCY >OIV97949 pep chromosome:LupAngTanjil_v1.0:LG14:12778278:12783131:-1 gene:TanjilG_12706 transcript:OIV97949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTIPNASFTLNNASFSDGKSYIGVPLMPTNLKFSSSTKFRQSSHLFVVKASNSQNGPGFLNKLGLTDAECEAAVVAGNVPHAPPFPPKPAAPIGTPVVPSLPLNRRPRRNRRSSVLREAFQETSLSPANFVYPLFIHEGEQDTPIGAMPGCYRLGWRHGLVEEVAKARDVGVNSVVLFPKIPDALKSPTGVEAYNEDGLVPRTIRLLKDKYPDLVIYTDVALDPYSSDGHDGIVREDGVIMNDETVHQLCKQAVAQARAGADVVSPSDMMDGRVGAMRAALDAEGFQHVSIMSYTAKYASSFYGPFREALDSNPRFGDKKTYQMNPANYREALTEMREDESEGADILLVKPGLPYLDIIRLLRDNSPLPVAAYQVSGEYSMIKAGGTLKMIDEEKVMMESLLCLRRAGADIILTYFALQAARSLCGEKR >OIV97552 pep chromosome:LupAngTanjil_v1.0:LG14:16131250:16137182:1 gene:TanjilG_12309 transcript:OIV97552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGGGGGGGGGEGGHGHTDNRTLEETPTWSVAMVCAVIVIISISLEKFIHKFAKVFEAKKKLALLEALEKIKAELMVLGFISLLLTFGQSYISKVCVPQYYANQMLPCSRKKSHGPPEEGHDTGGGGGENEAAEHRRRLLSYERRFLAGGGGFVECKLGSEPLISVGGLHQLHIFIFFLAVFHVIYSAITMTLGRAKIRAWKQWEQSHLVDEDALNDPRRFRLTHETSFVRDHSSFWTKTPVSFYFVCFFRQFIRSVRRADYLTMRHGFVSVHLAPGSKFDFQKYIKRSLEDDFKVVVGISPILWASVVLFLLVNVNEWHASFGLSFLPLAVVLSVGTKLQAIITRMALDIKERHAVVQGIPLVQVSDKYFWFQWPQLVLYLIHYVLFQNAFELTFFCWTWYEFGLNSCFYENKKLMYFRVAVGVGAQIVCSYVTLPLYALVTQMGSTMKKSIFDDQTSRALKKWHKNALKKANSKGRDKWSDHHYSISNSNSNLNPNSNPRVSISRRSTLLLISSSPFNGAVWLSPPPPAEAIRERRKNKNIPIDDYITTPDGLKYYDLKEGKGQVAENGSTVQVHFECLYRGVTAISTRESKLLAGNRSISQPYEFTVGAQPGKERKRDFVDNPNGLFSAQASPKPPPAMYSIVEGMRVGGKRTVIVPPEKGYGQRGMNEIPPGATFELNVELLEVVAT >OIV98334 pep chromosome:LupAngTanjil_v1.0:LG14:1174004:1176187:1 gene:TanjilG_16661 transcript:OIV98334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFASSLSFLHSSTSLSSSSLLLNKPHSSNSWPNPKSSSCFVVEAKASTRREDRTARHIRIRKKVEGTPERPRLSVFRSNKHLSVQVIDDTNMHTLASASTLQKTIFEEFNYTSGPTIEVAKRVGEIIAKSCLEKGIKKVAFDRGGYPYHGRVQALADAAREHGLEF >OIV98134 pep chromosome:LupAngTanjil_v1.0:LG14:8787587:8789832:1 gene:TanjilG_25999 transcript:OIV98134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRNKALVKELSVPPPGAKDLYFPSQYSQPTMGQFKSCLWKQYLTYWRSPDYNLVRYMFTLLVALVVGTVFWKVGTKRSNSGNLTTIIGAMYGSLFFVGVNNCQTVQPVVAIERTVFYRERAAGMYSALPYAIAQVIIEIPYCFVQTMLFSFIVYAMVSFEWQVAKVFWFLFVSFFTFLYFTYYGMMTVSITPNHQVASIFGAAFYGLFNLFSGFFIARPVSGVGDHNEDKSKTLEDTKKKVRQLQESWTSLLDDMIDSIYVAMETKAGNDQGDVVVIKRARLEVPCDYA >OIV97944 pep chromosome:LupAngTanjil_v1.0:LG14:12843300:12846752:1 gene:TanjilG_12701 transcript:OIV97944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSEVSTKVFDGEEASLLVKDLRESFGSGRTRSYEWRVSQVKALQKMVVDNEQEIMDAIRADLARPPFETVVYEIGILKNSCEVALKELKQWMKPEKVKTPIATFPSSAEIVPEPLGVALIISAWNYPFLLSLDPVIGAIAAGNAVVLKPSEISPASSSLLAKLLGKFMDNSCIRVVEGAVDETTALLQQKWDKIFYTGNGRVGSIVMTAAAKHLTPVVLELGGKSPVIVDSNINLQVAARRIISGKWGTNNGQVCVSPDYIITTNDIAPKLVDTLKTELEKFYGRNPLESKDLARIVSSNHFARLTKLLDNDKVSSKIVYGGEKDESRLRIAPTILLDVPRDSLIMSEEIFGPLLPILTVKKLEESFDVINSGTKPLVAYLFTTNKKFKEQFVMNVSAGALVVNDTVVHFGIHTLPFGGVGESGMGAYHGKFSFDAFSHKKAVLYRSVIGDFSIKYPPYTDTKLRVVKAIVGGRIFNIFGALLGWS >OIV98436 pep chromosome:LupAngTanjil_v1.0:LG14:2344231:2344800:1 gene:TanjilG_16763 transcript:OIV98436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRFGRRIVQFANLPIKLLMPTSFTNIHEIALKTIPSASKIEIKRVLESLYGFEVEKVRTLNMDGKKKKRGGLLIAKPDYKKAYITLKNPLSLNPDLYPIRIVEKERESKNKQEKSSVVDEGERKMHWLDEKKKVEKFRYEKGERFKFDNGERRPRNRVVHRVNRVVAKFPWSNMRSGGGGGGGGGTR >OIV98180 pep chromosome:LupAngTanjil_v1.0:LG14:7380688:7388424:1 gene:TanjilG_11577 transcript:OIV98180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADHSNRSVRLLFHRKHLHTGSNPSTHWLIGSPFFPPLTIASLLHSSSSDLHNESDQLRSLIPKGFEVIGALASRNDVVEDGARDAIEAARGLRKIMYGEKDREVVGAVAGSGSGEVKFFVSENGNVKRFEAVTEIIEEDNSERFVWENGCLLRCELPIKLPLYYPVRNPKDVEKAYVQATEAVIAKLRDPQAVYILESVNKSSLDLPPPAVVQGGELDFHVDLSKIRPLAAIDDGFDASSLLCSYFSVNSKAGSPAFSIENADIIQVSVLSNSLVPSSASVAPVAEYLPVQEETRLLFIDIKLDVLCYASKVLPLRHAISSLIIPGLVDQLNILQNSMLPNLLTQHPQLKPYHFSPPGVLHPITVFYELSFGETEMKQVEVRRSLHLRLGLPYDRPLLRIANALDFSKLRNSGNVSLQKGPSLPRDVHIGIPSSGVTGGTVSLVQGSYEYFHYLQDGFNDSGWGCAYRSLQTIISWFRLQNYSSIEVPSHREIQQSLVEIGDKDPSFIGSREWIGAIELSFVLDKLLGVTCKVINVRSGSELPEKCRELALHFENQGTPVMIGGGVLAYTLLGVDYNDASGDCAFLILDPHYTGTDDLKKIVNGGWCGWKKAVDNKGKNFFLHDKFYNLLLPQRPNMV >OIV97633 pep chromosome:LupAngTanjil_v1.0:LG14:15636695:15641553:1 gene:TanjilG_12390 transcript:OIV97633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMATIVLSPQNITRAIMSSSRKTNKRIVHVIGLNSFGGLKANNGVISLGLPLSTEKCFSNIVSSLKTTSSSYKGKGGGALSSTCNAAGEIFTIASIINGLVLVGVAIGFVLLRIEGFVEEQEFASCGRYPYHKSCYRPKCDVCKNIVPSNPLGSLTNPFWKQESCPSHAHDGTPRCTCCFRFQPRGTKGYIDLHDANRKLCPECMDSAIMVTNKCQPLYAEIHQFYEGLHMPVNKRVPLLLVENEQLYAMVSNKSLDIKGVCVRYMESTINIVTKQHTTPEKRTRPFKLTLDNGIVSGILILFGLPRILTGFMIAHEMMHAWMNLSGYEPMSEEVEEGISQVMGHMWLECELSSSSSRNGRWSNYERKLGEFCKYQVEVSPCPIYGNGFRKAHQAVQKFGLKKTLQHMRRTGNFPF >OIV98206 pep chromosome:LupAngTanjil_v1.0:LG14:6405109:6408583:1 gene:TanjilG_28719 transcript:OIV98206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSITGSSKGSWHPTITTKTNIKSYWINWRLLLCAIWVLLAIIFSLFLIWKYECFRKKPSRNGSRERKQEITPATLYEDETWRPCLKGIHPAWLLAFRVVAFFLLLVLLIVNAIVDGGSIFYYYTQWTFTSITIYFGLGSLLSMYGCYQHHKKSTGDKVDNVDGDAEQGTFTASALPLSSIPSNQEKTLGATEEDLVLQYASTWGYIFQIMFQMNAGAVMLTDCVFWFIMVPFLTIKDYNLNFLAVLMHTINAAFLLGDTVLNSLRFPWFRIGYFCLWTVTYVIFQWIVHACINIWWPYPFLDLSSRFAPLWYLIVGFLHIPCYGIFTLMMKLKHYVLSTRYPDSYQVLNDFRLGPK >OIV97841 pep chromosome:LupAngTanjil_v1.0:LG14:13999019:14004547:-1 gene:TanjilG_12598 transcript:OIV97841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFSSNSPLPLHLPSKSNRTTITHIIPSSTSDTSNTNVVLFTSAITVTLAVANRVLYKLALVPMKNYPFFLAQFTTFGYVAVYFTILYIRYRAGIVTNEMLSIPKSPFLAIGFLEALGVASGMSAAAVLPGPVIPILSQTFLVWQLVFSTLFLGRRYSINQLAGCLLVAAGVVVAVASGSNAGHMLSKVELFWPALMIVSSAFQAGASVIKEYVFVDSAIRLKNKSLDIFVVNSFGSGFQALFVLLFLPLLSNLKGIALVQLPSYLKSGAGCFLNLGAHKPSCDGAPLLPLLYIITNLAFNISLLNVVKTSSAVIASLVVMLSVPVAVYILSLPLPYLPEGTSLSPNFVLGCAILVCGLFLYNTARPAKNSSKVN >OIV98482 pep chromosome:LupAngTanjil_v1.0:LG14:561364:561609:-1 gene:TanjilG_18766 transcript:OIV98482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHRKTCTLLNLVVVVTFVAILISHRLSGVDARRVLLDSQDFAHANHLSTYTSSVYEQSKNTMAIWLQRLASGPSHKGLGH >OIV98407 pep chromosome:LupAngTanjil_v1.0:LG14:1972735:1976003:-1 gene:TanjilG_16734 transcript:OIV98407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGELTQTELYSSSNSPITQQLWNAVLNLLGFVFQIFSQIIAPFSNYPLLSFSSSSSSHSFDPLPTLDSSEPSCSAVEITSYAVDHRPLQKLTVVLDLDETLICAYETSSLPASLCAQATKAGLNWFELECVSSDKEVDGKPKTNLVTVFERPGLKEFLRQVSEFADMVLFTAGLEGYASPLVDRIDTENRISRRLYRPSTISTEYREHVKDLTCISEDLCRIVIVDNNPFSFLLQPDNGIPCISFKAGQPYDTQLLDVILPLLKHLSNQKSVRHVLRDQFHMHEWFQQQGIPVST >OIV97870 pep chromosome:LupAngTanjil_v1.0:LG14:13710036:13710887:1 gene:TanjilG_12627 transcript:OIV97870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQDRTMKRIVLILSCIFLAVGTSGGQLLLRLYFLHGGHRLWLCSFLQSGGFPIILLPLIISYIHRRRQISTTTTTTSETKKTKTVFMNTSLFLAFAVIGALTGLDNYLYAYGSSRLPVSTSSLILATQLAFNAVFAFFLVKHKFTAYSINAVVLLTFGAGVLALHASGDRPEGVSTKEYAMGLVMTLTASALYGVILPLMEMVYNKTKVVISYTLVLEIQFVTCLFAALFSTIGMIINNDFKVINSNS >OIV98216 pep chromosome:LupAngTanjil_v1.0:LG14:5849060:5850844:-1 gene:TanjilG_18755 transcript:OIV98216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCLLLFRHWKVWDALTGDELHSFEHKHIVRACAFSEDTHLLLTGGVEKILRIYDLNRPDAPPREVDKSPGSVRTVAWLHSDQTLLSSCTDMGGVRLWDVRSGKIEQTLETKSSVTSAEVSQDGRYITTADGSTIKFWDANHYGLVKSYDMPCTMESASLEPKYGNKFVAGGEDMWVRVFDFHTGNEIACNKGHHGPVHCIRFSPGGESYASGSEDGTIRIWQTGPLTNDESEALSRDGSTEKVEVTAEEVSRKIDGFHIADEGKSKEKEEAREE >OIV98490 pep chromosome:LupAngTanjil_v1.0:LG14:493937:497292:1 gene:TanjilG_18774 transcript:OIV98490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKNGERDTNADNERNEFNESTCSDDEPDPVDEIQRVIESVARFGEYRRTQRKECYNLVRRFKLMLPLMEEVRDLDERIPEKGVVWLWKLRDALLCAKELLRFCSQGSKVQLALEGEVVMMKFQSVYEKLSQAFDDVPCYLLGISEEVKEQLQLMHMQLRRVRKRTDTQDIELAMDMMVVFFEEDGRNADSAIVERLAKKLQLHSVDDLNIETVAIRNLVRERKGQNAESTQRIINVLNKFKRFAGMEETNVIDDPVVPKMLQKCTSLIIPHEFLCPITLEIMTDPVIVASGQTYERVSIEKWFQTNHKTCPKTRQPLAHLSLAPNYALKNLIAMWCENNNFKLPKQVTSSEQESCSMDNTDEIPCLVECLSSIHLDEQRKAVEKIRMLSKENAENRVLVAEHGGIPPLVQLLPYPDSKIQEHAVTALLNLSIDEGNKKLISKEGAIPAIIEVLENGSTVAKENSAAALFSLSMLDENKEIVGISNGIDPLVDLLQNGTVRGKKDAATALFNLCFIDANKGRAISAGIVTPLLQLLKDINLGMIDESLSIVLLLISNPEARQEIGQLPFIETLVEFIREGTPKNKECAASVLLELCSANSSFILAALQFGVYEHLIEIKQSGTSRAQRKANAILELISRSEQI >OIV98486 pep chromosome:LupAngTanjil_v1.0:LG14:534076:536996:1 gene:TanjilG_18770 transcript:OIV98486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNLMGLHKEDIIVETEVLAFRTHLLDTLLDSCSIEQQDHPLILRDKLKFLQELLFAKFISEGEYHSAKRALIQKLAAQGAEIEARDMKQNSEDMKQISEEEWSVIDLKDEQCLINKENSNSKKKKTKHAMKKNVKGTTSMFSFVSSYKPGKSSMEKSIFELPTSHMDSTTHSRISPLKHSKENNNNPLWDDSNKVKRKPFRILFHKEKKEGNGGDHHNNHGLKAEEKAGKFVKKQWGFDGFMKLKKNDSDDEAAPLALNERLAKEVHYDGSSTEFCIDDKVFGDKIKKELSRIQTELRSSNPNLKFSNDQMEAISTKLPEDKAELKNYFPKSWCDQHGDGVLDVVKKEFKNHVGEMENMHKDAEFLHENSMGWTTFEDEENFHPNLFVQRDNSLHSSSINPFSYV >OIV98038 pep chromosome:LupAngTanjil_v1.0:LG14:10648091:10648614:-1 gene:TanjilG_12269 transcript:OIV98038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLLKFSYCLRTEKAEEEKTRVLQCYDVNVYDLTSFIEDHPGGAEVLLAATGKDGTSDYEAAGHSDYAIEMMKKYYIGKIDTTNVPQTRIYTPPEQDQYSAYMSTEFMIKFLQYLFPILILGLAFGVRYYTKKE >OIV98106 pep chromosome:LupAngTanjil_v1.0:LG14:9563136:9566932:1 gene:TanjilG_25971 transcript:OIV98106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGVSKSHVSPLLQLCKERKEFIKAAKYCRYDLVSAFMVYLESLLELGNALNTYVEQELIFCADISTHNVSLSDFDEEEDTHLELSSSESDLHSLFHGSHCDHKEEEEEESISPFHESSNKEGCNNINMSSKNEHYSNHENVHDHVTMSHGEGLEHHGNGLRMSFDNRFEETPKSGHWFLEQHDQDYFGAPAMSFSYHNDKLVHYGNEAFSKFVTPYNHVELQKHGTGDTKPATTMPTPPPPSPPQVTTWDFLYPFSQNDDVYYDNDLDLRQVREKEGIPDLEDENEIAKSSTVFDEKVKDSGMRHGMNCNVQLDSDKNVREVREKEGIADIEHESETAKSSAVFDEKTKESGMNYAVHLDSDKNVREVKERGVPDLEDECDLSSTVSSVFDEKEMESEMRHGLSGNDTSNVTQLNPNEDALSGKIKVLTIWRKSNEKDMCSKAEVTNVHGRMSLKEAVLDISNEFKYAFDSGKEFYSVIEVANLPYQSICTRLRAFASHVLGLITPSRRTYLLPSYMSYQPVSRPKKLSKPDKLYNQEHVCSGDLSSTLEMLYLWEKKLYREIIVEEKLQVPYDKKYKSLKKLDDKGSETDKIDENFASMKLLLSEINVSVQSIIAISRQIHELTDNKLLLELNKLIEGLFRLWKVMSTCHQKQLQTITKAKSHVHILDPKNRKKSSSKTTLRLERVFLKWGMCFNNFINIQKAFLKNLHGWLLRHTEQELEETIDGNGPVLQSDTKAPHTFRICNEWYHAIDKISETEVSEAISNFASNLHQLYEKLEEEKALKVRVKSLLKYYQHKLKLLCNQNGTNSDQCISFINMKASENFDEDEVPLLRESGENIGILRKTLIEQKKRHKQVIRHVNDIASSCLQKGLPPIFEAMGNFCLENMKIYEQLTFQNTAPS >OIV97859 pep chromosome:LupAngTanjil_v1.0:LG14:13843455:13843814:1 gene:TanjilG_12616 transcript:OIV97859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYFVGGYFGNGGNQFSPEKPKSGEPFAVDDLLDFSNADAIMSDGFFDNATGNSNESSTVAAPVDSGNSTISGTIVPCAFAAGDSQFSGSELCVPVSNYSQSISHLFRSQNVSSSKPHY >OIV98336 pep chromosome:LupAngTanjil_v1.0:LG14:1187686:1188837:1 gene:TanjilG_16663 transcript:OIV98336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDSEPVLLNEVIVEILSWLPVKTLVQFSSVCKYWKSLLSDPHFIKLHLQRSSKHANLVLTLTTPTATNRYVTSCSIGSFLQNPYIASEYGHLLLNCKYKVLGSCNGLVCLIGSTHEDQIGQHWVRFWNPAIRLKSRKSPFLQVDLHANELGSTKFGFGYDKSSDTYKVVAVLCNRNAMEDSERTQVKVYNMGDQCWRDIQTFPAFPTIFRNGGRSMNGTLNWLAIRNYAAGCDWDTVTINQLVIVSLDIGKEAYKQLSLPVDLDEIPHVAPTIGVFQDCLCLFHDYKRTNFVVWQMKEFGVESSWTRFVSISYQHLQVRGRIKFFSLVPLCISENGDVLMMLNHSFDLIIYHRRDNRVECAKYHRKVWIDTKDHVQSLIVPY >OIV97643 pep chromosome:LupAngTanjil_v1.0:LG14:15595564:15596849:1 gene:TanjilG_12400 transcript:OIV97643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSHALRISPSSTVRNASVIPKSFIATPPTNAFIPFTSSNSSFTKNVNLTTTLSSSYFSSVQRKSSFSCRSQAEPIDTEKVQELTVYEINERDRGSPVYLRLSHKPFNSLGDILYTGDMEKRIGITAGICILIQNKPKMGGDRYEAIYSFYFGDYGHIAVQGPYLTYEDTYLAVTSGSRIFEGVRGQVKLHQIVYPFKISYTFYLRGIKDLPQELLAKPVPPTPSIQPILAAKALESYATIAGFTD >OIV98322 pep chromosome:LupAngTanjil_v1.0:LG14:1012404:1017889:1 gene:TanjilG_16649 transcript:OIV98322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNIYLVLLFCFGMFLLVPCLCQHDSNDATTYVYIVTLKEAPSSHYYSELRNVDNGFKNDAIGRTQFQKPRYGNITKTDKRYAVSRVHDSLLKKVLKGEKYLKLYSYHYLINGFSVLVTQQQAEKLSRSTEVANVALDFSVRTATTHTPQFLGLPRGAWSQEGGFEAAGEGVVIGFVDTGIDPIHPSFSDKSGHPYPVPPNYSGICEVTRDFPSGSCNRKLVGARHFAASAITRGIFNSTQDYASPFDGDGHGTIAVYKALYKSFGGFAADVVAAIDQAAQDGVDIISLSITPNRRPPGIATFFNPIDMALLSAVKAGIFVVQAAGNTGPSPMSMSSFSPWIFTVGAASHDRTYSNSIFLGNNVTIPGVGLAPGTDENKIYKLIHAHHALNNDTTVADDVYVGECQDANKFNKDLVQGNLLICSYSIRFLLGTSSIKQASETVKNLSAAGVVFYLDPFVIGYQLNPVPIKMPGIIIASANDSKILMQYYTSSLEIDAVSKKIVKFGAVASIYGGLKANYKNDAPKVMYYSARGPDPGDNLPHEADILKPNVLAPGNSIWAAWSHLGMDSAEFQGEKFAMMSGTSMAAPHVAGFAALIRQKFPNFSPAAIASALSTTTSLHDKKGGPIMAQRSYASPDLNQSPATPFDMGSGFVNATAALNPGLIFDTTSPPRTHEPSTTAKSVTSPCPSLN >OIV98510 pep chromosome:LupAngTanjil_v1.0:LG14:205759:206025:1 gene:TanjilG_18794 transcript:OIV98510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGQGKVKMAEAGTVKGHHSPAQHCPEVLHQRKKMPMSPMTMAIGGFAATFVIGYTVLYSKKKPEASAMDVARVASGTSNPENTHPRN >OIV97776 pep chromosome:LupAngTanjil_v1.0:LG14:14598117:14599609:1 gene:TanjilG_12533 transcript:OIV97776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVELMGFPKLEEQKAIEEAASEGIKGIKNLINLLSHQPSHLQTDLTVSKFKNLISSLNRTGHARFRRAPLTSTSPTLPPPPPPQPNQVVVHHAPVTFVPTQSQSLTLDFTKPNNFFTSNAKSMELEFSKDTATFSVSSTSSFMSSAITGDGSVSNGKQGSSIFLTPAVPSVSGVKPPLSSAPFKKRCHDHIEHSGNISGSGSKCHCIKKRKNRVKKTVRVPAISSKIADIPPDEYSWRKYGQKPIKGSPYPRGYYKCSTVRGCPARKHVERATDDPAMLIVTYEGEHRHVIQAAMQENIAGGMGLIFEST >OIV98326 pep chromosome:LupAngTanjil_v1.0:LG14:1062984:1066854:1 gene:TanjilG_16653 transcript:OIV98326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINKTLFLTYFYLFIYILLSSGVILYNKWVLSPKYFNFPLPITLTMIHMGFSGAVAFLLIRVFKVVSPVKMTFEIYATSVVPISAFFASSLWFGNTAYLHISVAFIQMLKALMPVATFIMAVMCGTDKARCDVFLNMLLVSVGVVVSSYGEIHFNIVGTLYQVTGIFAEALRLVLTQVLLQKKGLTLNPITSLYYIAPCSFVFLFVPWYLLEKPMMEVSQIQFNFWIFFSNAICALALNFSIFLVIGRTGAVTIRVAGVLKDWILIALSTVIFPESTITGLNIIGYAIALCGVVMYNYIKVKDVRASQLPAESLPDRITKDWKFEKKSSDIFVPDNTSDNEGSVGGNGSVSDMNIDEETPLVPSSRLSHIGQTQLTGN >OIV97820 pep chromosome:LupAngTanjil_v1.0:LG14:14238498:14241108:1 gene:TanjilG_12577 transcript:OIV97820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKALGWWLITVGTLRLASVWFGFFDIWALRLAVFSNTTMTEVHGRTFGTWTLLTCTLCYICAFNLENKPLYLTTFLSFIYAFGHFLTEYLIYRTMEISNLTTVGIFAGTSIVWMLSQWNAHQKVHPKHS >OIV98062 pep chromosome:LupAngTanjil_v1.0:LG14:10346515:10349571:1 gene:TanjilG_09555 transcript:OIV98062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCSYPVLKSHKFSIPICSLVAKSPTLTYPVHKSQKFSNSIPISPLVLNSPTLTYPVDKVTTLVPKFSINCEYQTERGLEFDTGGAFYRQESATGRDLGVLAASLHKKSNGSLRVLDALCGCGIRSLRYLAEAEADFVVANDGNDFYGSTIAENLSRAMKGEWLVTHLEANRVMTDYYMQKTFFDFIDVDSFGSDSSFLRSAMNALRYGGLLYVTSTDGLSSGGHLPHHSLASYGAYVRRLPYSNEIGLRMLIGGAAREAAVLGCHVTPLFSYYAYHGPVFRVMLRLIRGKVHDSRHYGYIGFCNQCGNSHEFSWDELGQMSCSCSMPEVSKSLSVSGPLWTGPLHDAAYLTDMLNLAKQWGWVGCDTKENSLEKLIKLMVDESDPKLPFGYIKLDQLASRAKINSPPLKTFMSAILKKGYAASRSHIETNAIKTNCPMTECIIIAKELMQLSIAS >OIV97711 pep chromosome:LupAngTanjil_v1.0:LG14:15119147:15119629:-1 gene:TanjilG_12468 transcript:OIV97711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTILTTTTSSLTYTDTSTTQHSTWHSPIPYLFGGLAAMLGLIAFALLILACSYWKLSGRLHTQNEQRDLESVAERESDHSAKNEPIKKVYEDKVLVIMAGNHKPTFLATPACNNLSSIGNGAENDFNIDKEETCERLEKNKGNHVQTISTSSQQQSQPH >OIV98233 pep chromosome:LupAngTanjil_v1.0:LG14:4807598:4812507:-1 gene:TanjilG_09885 transcript:OIV98233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFFSCFRVRHNRYRPAAVSSSPSQKPHDVAVSRNRLSTLFLSEEIEDSKHSDGKNFSKGSQRDGSRLKDQTTKFRRACGARTPDEIPKASEKFKVSPCVKDSEPSSFKFLHNNSSAGKVQLDTQPFNTPTPIQHSKEPGKRTDSLEHKPNSSMSKAQNTDSTGGSKKGSLHALDITEKNPASVSPWPSTTYTQRKNSVRFEYDTDLPSSDSSEYGRWHVKKTESPNNDGANKPSLYSLPLKLSDDMQTPGTVKNISPSKIIEEEDFNHEQDSQELGKLVEPSQNATSTPEKGLKKIPYDNEPGLQESLSSWLKPAAVILEERNKRMENANRQIRKAFVDRPIIGIVAAHWSQHEDSHAPPKWWDGNGIPNSTNKYKEDQKVSWHATPFEERLEKALSEETVISQRKDICVKPIAFDENEESDTALSQLQSSTNPQSVMSF >OIV98399 pep chromosome:LupAngTanjil_v1.0:LG14:1858597:1858872:1 gene:TanjilG_16726 transcript:OIV98399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGESNGLHATRCFAIELTRSLLRHYTFIRNIRHWIHGPCTDSQFFYSFSTTYFSHTWNPNKFRLNFLSSPHDIMFLLKGYLSSIWQCHQTV >OIV98243 pep chromosome:LupAngTanjil_v1.0:LG14:3723542:3723868:1 gene:TanjilG_14832 transcript:OIV98243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMMMRGNSPTLVHEPNSSWHSPVPYLFSGVASLLGLIAFAMLIRLAYSFWKLSEYIEENEEAKQDLEAQKATPQAHEEKIFVIMAGQENPTFLATPMWSNKSSSLRE >OIV98209 pep chromosome:LupAngTanjil_v1.0:LG14:6181376:6182341:-1 gene:TanjilG_28722 transcript:OIV98209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSSPSGDMLTCSRPMIERRLRPPHDQALNCPRCDSTHTKFCYYNNYSLSQPRYFCKTCRRYWTKGGTLRNIPVGGGCRKNKKVSTKKFNDQLPNNNHQNQPQPQGSNSYHHNPKDLQLSFPDVQFSQLSNFLGTNAAGALGNPDFMENRYNNINVILNNPNRPIDFIESKVEGIIGSSSSSRNYDFFGNSDHMGMAVGLRGEYHMNGQNGLPLAPNFHSLYSPTAFGAMSLDGGGNNNNRGYIMDHSCHRLMLPYDHVTNEDHNNSIDVKPNPKQLLSLEWQDQGCSDAGKDSFGYLNGPGSWNGMMNGYGSSTTNPLV >OIV97773 pep chromosome:LupAngTanjil_v1.0:LG14:14622769:14625339:1 gene:TanjilG_12530 transcript:OIV97773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHVFRDTANTRNRNGQACSRVGCSSRVNSPKGSQTGSSEKGKSLRPSPRSFSGGKETNGSSSRTFSGTSNPGKPILRPRKTLSSQLEAGSSETSSVHDEPETSNLVPPPEKNQRGLQAEVENADSVDVMPMEVGSSSVPSNTRSRRNFHPKPGLRGQEVKSTGSATHTGISRYGLRNLKCNSISDVIPAGGCSSSDSTLNRKKDMIKKRNCEGESSSSARGKNISGPSFEGRNAGSRNGISISDSRISRNTPPHSDRPDSNVASVRTRRTVSGQARGRFSSQGNANPVAPNETHSTIPPSPRSGDLNAPGVSHHSSVEAALRVPSSYIMPGTSSDELYGVLPVSPSEYGITRSLINREGFRHRYNMDGIAEVLLALERIEQDVELTNEQILMLESNLFLIGLNFNDRHRDMRLDIDNMSYEELLALEERMGTVSTALTEEALSECLKRSFYQPSDDAAGSCNEHKEDTKCSICQDEYLVADEVGSLKCEHKYHVVCIQQWLRLKNWCPICKALVGPSNLSSLSH >OIV97991 pep chromosome:LupAngTanjil_v1.0:LG14:11956873:11957974:1 gene:TanjilG_14091 transcript:OIV97991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGSPLSIMRVVIRTKSVEFMPFFLSLFVFLCGTSWFVFGLLGRDPFVAVPNGVGSALGAMQLILYFIYRDNKGAPKKESTIEEESMEMDHTKASGEKQSDANEIKK >OIV97876 pep chromosome:LupAngTanjil_v1.0:LG14:13656417:13661577:-1 gene:TanjilG_12633 transcript:OIV97876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPLPRDIDDYIKQSIDHSLGLRVSSQTLESKLRVYEESQLRLQKQNIHILSKLKEKDELIERVKCEASMNAQALKKFVEENQRLALECKSLLAQCHHLEKECVLYDSDREALMEFGNNADERAHEAQQRVIELERNLLLLEDELKKYKYHNELVNSSACVTEEESLLDSFLATVASGDDGSTYAVLKANSENESCKKLLTMWNCIKPSTGRVLSLVAKVKSLEIDKEHLRTNLHKAEKEVEVLFDENNILGKENKRLWRLCKERKHSGSDGKHTSSASAKSNKRKTSPRRSTPLERKIDFDDEDLARQPLFATTSQKEEETQQTETEEPTEEFSQTRLLAQNVPWTSTPEDIRSLFEKHGKVLQVELSMHNKTRNRGLAFVEMGSPEEAVEAMKNLELSEFEGRIIKLNYAKPKKKKTPPPTGAPKPEVLFNVFVANLSYEARSKDLKEFFETGSGSESIVSAEVIFHDTPRKSSGYGFVSFKSKKQADEALTEFDGKIFMGRPIRVERSNRFVKLAAEESAKSEDASSELSVNEAEANIADNDASSVLSVNEAEANIADKDASSELSVNEAEPDKAD >OIV98503 pep chromosome:LupAngTanjil_v1.0:LG14:318616:324083:1 gene:TanjilG_18787 transcript:OIV98503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDNSTRRRSRRSSGESSVVVAEGSVWERRMKSDEVKGGIKVFNAEEEEGIGRRLKKNTNGVVSSGGKRKTWKSEKNSEDGIMKSPILNTRKVGVFSDKSERRSIIHTRKLRSEKEGGELSKEQLLKSKKSDSMKKNNSDSDSGSGESRNVIDGSDGTICEKGVADENLKNDCDENCNDFEVCKEKIIQSNSDNVIVVDNEVEEEVEEEIDEEVEIEIENETFDVKEISIPESNSMVVVKEPETKKIVVHEPEKVVVVSEVEKKKVVIDEPEKKKKVLNESEPKKIVSAQMRFHHRNEKPVSAPIAIKQSSPIRRHRTIYQNFSKANSISKAEEYHSFPQTQTKLQSLVDLIMWRDASRSAFIFGFGTFVIVSSSYAKDINLSVVSVMSYIGLIYLAVIFLYRSLICRGVINVEDSNYVIGEEEAIWVLKMFLPYLNEFLSKLKALFSGDPGTTIKLAVLLFVLARCGSCITIWKMGKVANFWIHRFRDAWDSCSHKKAVALGIFGLVWNLSSVIARIWAVFVLFVAFRYYQQHYLVIEESMEDEAECDETWQEPVGVGHKKGCIDNFIDTNKVKKGF >OIV97895 pep chromosome:LupAngTanjil_v1.0:LG14:13430723:13433972:-1 gene:TanjilG_12652 transcript:OIV97895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKINNKVEVNLGCGFVERIFHFKSNRLKKSSVHSLPMKGSNNAQQAKREESKKPTKVPRNNIAETVPNDSSHTVKVDQKPERKSNYGNHGALPSSAYRNNGQKMRPSDAARSSTSSSSGNSSHTKVQQNHDSSEESMQHKEPNGNSLQLARISTSHQKENESKSPTKDLGTLKLTGNLIMNNSPRNSVTKNKELISMSPSYSNANKGVMGNIMRRNYDEVAQIRSPRNSIDPEVLKSMGNEAYKQRRFEEALFFYDRAIALGSNKAIYHCNKSAALIGLGRFVLAIVECEEAIRLEPSYGRAYNHLATIYFRLGEAEKALNCNQVTTDFDSVLTFQAQALSNHLTKCIEARKVNEWEVILKETQSAIALGADSAPQIYALQTEALLKLLRHQEAYAAYEKMPKFDPDWCNKIFGAAHSAYLLMIGSQVYLAAGRFEDAETVSKQAAKLDPSNSEVNVVVRRARAVTSARISGNLLFKASKFTEACAVYNEGLEHNPYNSVLLCNRAACRSKLGQFEKAIEDCDAALKVQPSYSKARLRRADCNAKLERWEAAIQDYEMLIREKPGDEEVARALFEARLQLKMLRGEDIKDLKFGSNLVFISSNDRFRHYVTSPGMSVVLFCNKTTDKQVLLVLEQTCKRFPSLNFLKVEIEDHPYLAKSEGVSYIPSFKIYKNGSKVKEIQGNNHELLERSVKLYSS >OIV98012 pep chromosome:LupAngTanjil_v1.0:LG14:11077609:11081794:1 gene:TanjilG_21722 transcript:OIV98012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYAETGLFFPYLQNFSPELHQLEEYCKTQKSNVSMSDLVQSSAMSEYDFAAEGDLFKAPEPIIEDPFLDLDHMRASISVMSREDDLSSQGLQSTDIDVLQKEQLLSDMLYECEKDLLQKAAIESPLSEILEIKVPALNLDEYSIQEDKPFPDTPLPKSVSSVSLSSMDWMHGAAVKPAFLDFSGINFNSVYGMRRSFSEGDIKTLENGNGNMNIVQSPRERPFLISNCTGEERQEKLSRYRNKKSKRNFGRKIKYACRKALADSQPRIRGRFAKSEESDAKRQ >OIV98502 pep chromosome:LupAngTanjil_v1.0:LG14:334096:338763:1 gene:TanjilG_18786 transcript:OIV98502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSCVSHIPLIPMKEYPPPLAKYEEVTTNPKLFMLTLEKLHASMGTKFMIPIIGGKELDLCRLFVEVTSRGGIEKIIKERRWKEVTSAFNFPSTATNASFVLRKYYSSLLYHYEQIYYFKANQCNHATYGALQNQSTMPFSNQWTQFSQTLPGIQSAIFQQSKVNAADLSEGSQVLGVIDGKFESGYLVTVSLGFETLKGVLYDVPQIPVMPSSHYHNVMANKNSVASASLGVHRRRRRKKSEIKRRDPSHPKPNRSGYNFFFAEQHARLKPLHQAKDREISRIIGELWNKLKEPEKLVYQEKAIKDKERYKAEMEEYCLKLKTCNVNNDAMQLQQRLPELDNALVDVDIKLHQNDTGSQSPEDENGSSGSDYEYDKGIENDINMMDDSHHGTLSLDKSSKEDNYESFHHCVEGGHEQVQKMGENKNMMPLL >OIV98465 pep chromosome:LupAngTanjil_v1.0:LG14:2552658:2553982:-1 gene:TanjilG_16792 transcript:OIV98465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFVKTLLFCLNLVPEEEAAAESMVKYSREPDNPTKSCKARGADLRVHFKNTRETAFSIRKLPLVKAKRYLEDVLAHKQAIPFRRFCRGVGRTAQAKNRHSNGQGRWPVKSAKFILDLLKNAESNAEVKGLDVDALYISHIQVNQAQRQRRRTYRAHGRINPYMSSPCHIELTLSEKEEPVKKEPETQLATNKKKSRALRSGASS >OIV98506 pep chromosome:LupAngTanjil_v1.0:LG14:234634:235464:-1 gene:TanjilG_18790 transcript:OIV98506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GIAEDAALWDEDWDKFEDEGFANDLTFDTKNASSKPKPAIIHGDQSFPDVHSVGSPVTANGKHENSTNGDYTLEDESSYAHSEDELGRSPRDSPAGKTTVESPQGFSNAHFKESIEADAETNRSFDESTWGAFDNNDDVDSVWRFNPTKDSDLDHQRDFFTSGDDFGINPIRTGSTHTDGAFQTKSPFTFDDSVPATPASKFGNSPRYSEAGDFFDMSSRFDSFSMNESGYSPKPEPRFTRFDSISSSKDFAYNPGTFTRFDSIGSSKETLKQNQQ >OIV97894 pep chromosome:LupAngTanjil_v1.0:LG14:13459794:13464544:1 gene:TanjilG_12651 transcript:OIV97894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKIWEHNKRNTQHNTKVVVDPSTRLIGMIPNTPSSCFNLNHHLQPDHVAVGSPIKRVSPPSSSSSSNPFSIDGSPSPYSAPFLNDHCFTKPWLDSESSCFDDDLKLSDHFARMNIIDGNKNMDSSYVCSFDANNNDVVPFFNETGTTPFQHFCNAGVSVPKGYTFGTPIESYDWNTNHVYHHAMEQRKKQGSQFQLQNPSFISNPFLYDPFMGSQSQSQPFGMDVKKPFTFSQSQIMHPKIALNPNANVPLPPSHCVPATNEMEMAKEAGYSQYFSQRRNGIDPASCACENSFIPQGKDMKHCIENGNKSMRCYKNGYHGEVCENNDNNTFAASDVSLRLLLNFYSLAEVHDYIYNMAKDQSGCRFLQRMVDEGTHEDMRMVFEGIIENVVELMMDPFGNYLVQKLLSVCNEDQRLQIVLMLTNEPGQLVRISLNTHGTRVVQKLIETLNSGQQISLVRSAIQPGFLDLIKDLNGNHVIQRCLQCLSCQDNEFIFDAGVKFCVDIATHRHGCCVLQRCIDHSVGKHRDKLVTEICKHGLFLAQDPFGNYVVQHIIEMEIPTASTKMNAQFKGSYVNLSTQKFSSHVVQRCLEYVADSRSRIVREFLSDPHFEQLLQDPFANYVIQTALAFTKGSLHTSLVEAVRQYKILRNSPYCKGIFSGSLLKK >OIV98079 pep chromosome:LupAngTanjil_v1.0:LG14:10607013:10607501:-1 gene:TanjilG_09572 transcript:OIV98079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGSSCLTNINSLYSSLHLENNNYQVIQCQSHFSCPVKVAKVKNLGFKMNNYSWPNHASCLVKQENDGDKFVMRCANWSLEAEMNTEEGEYKESMGTARFKEKCVEEKGVVEMLECLEREAIMGEDVGKEPKDYNRRAQIFNKSSKVFQALKEHNSGVASQQ >OIV98183 pep chromosome:LupAngTanjil_v1.0:LG14:7245020:7246869:-1 gene:TanjilG_11580 transcript:OIV98183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFQWLQESFRQFFLFAFILIVLLMKFLLIDKSRKTRSNLPPGPPTIPIIGNLHQLGTMPHLSLQSLAHKYGPIIYLQLGQIPTVVLSSARLAKEVLKTHDLALANRPQLFAAKHLLYNCTDVTFAPYGAYWRHIRKICIHELLSDKRVHSYSSVREEEVARLVRRVAGTYPGTINLSKMLGLYANDVLCHVAFGRDFSEGGDYHRHGFKEMLDEVQELLGGFSVGDFFPSLEFIHTLTGMKSRMQDTFRRFDQLFDQILNEHITSEKVEEHKDLVDFLIEVQKNDSDELPLTTDNIKAIIMDMFGAGTDTNFITLDWVMTELLMNPKVMEKAQREVRSTVKERRVVAESDLHQLQYMRALIKEVLRLHPPTPILVPRESMEEIILEGYKIPAKTRVLVNAWAVGRNPESWEDPNTFKPERFLGSNIDYRGQDFELIPFGAGRRGCPGITFATAVIELALAQLLYSFDWELPPGTCAEDLDLTEVFGISMYKKESLCVVAKPHFL >OIV97957 pep chromosome:LupAngTanjil_v1.0:LG14:12695365:12697711:-1 gene:TanjilG_12714 transcript:OIV97957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFEKVGPNNNINHQTFDVSVDVNQQGDSKFFDDDGRPKRTGTVWTASAHIITAVIGSGVLSLAWAIAQLGWIAGPAVMILFSLVTYYTSTLLSACYRTGDPVSGKRNYTYMEAIRSNLGGFKVKLCGAVQYVNLFGVAIGYTIAASISMMAIKRSNCFYKSGGKNPCKMNGNIYMISFGIVEIIFSQIPDFDQLWWLSILAAVMSFTYSIIGLALGIGKVVENKGAMGSLTGITVGPVSETTKVWRSFQALGDIAFAYSYSIILIEIQDTLKSPPSESKTMKKATLVSVMVTTLFYMLCGCFGYAAFGDASPGNLLTGFGFYNPYWLLDIANAAIVIHLVGAYQVYSQPLFAFIEKNAAKRFPNSDFINKDIEVPIPGLSHPYKLNLFRSIWRTMFVITTTVISMLLPFFNGIVGLLGSLGFWPLTVYFPVEMYINQKRIQKWSTKWICLQMLSIACLIISIAAAAGSIAGVVNELKSYKPFKTDY >OIV97824 pep chromosome:LupAngTanjil_v1.0:LG14:14191430:14200451:-1 gene:TanjilG_12581 transcript:OIV97824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRPVKVEPVAMFETIQQIGIYLHRFHNLDLFRQGWYQIKITIRWEDSEDDIPHGIPARVVQYEAPDLGPSSIYGLWRIDDTDNSFSTQPFRIKYARQDIHLCMMITFNLARSRFEDLATAAAILKFELMYAPTVENGADLQTSLDDYPAAIHEFRIPPKALLGLHSYCPVHFDALHAVLVDASVHVSLLKAASYPSASKRTRNSANTDVIVDKSHDTLNHDLGGVASVDVKNVMLVKALLTARGILLDELQRISKAVDQAIDISDFLLKMNNTKLLNSLLQANQFATDVEVSGQGQPQSGLEDLGGVASVDVKNVMLVKALLTARGILLDELQRISKAVDQAIDISDFLLKMNNTKLLNSLLQANQFATDVEVSGQGQPQSGLEDENGTLDFLNAEKLYSLSQNELLDCFHLLGDQLFYLWNIFLKFHRDNKTKILEFLHDAWAKDRKAEWSIWMVYSTVEMPHHYINNGSDESSNQGGHKRVPSLVKLPDEPPQSAASRAELHRRSIAQMQINNRSIQDMYIFGEPLRVPIVIVERGHHLDLRLVRNQWLLMDPKVEVLMSEANEDKTSGDFREMGQRLAQEVVSFVKNKMDKASKYENLGDIKISFVGHSIGNLIIRTAIADDIMEPFLRYLHTYVSVSGPHLGYLYSSNSLFNSGLWFFKKLKNTQCIHQLTFTDDPDFQNTFLYKLCKQKTLEHFRNIILLSSPQDGYVPYHSARIESCPAASHDSSKKGSCFLEMLNDCLDQIRANPSEHRVFMRCDVNFDATAYGKNLNSFIGRAAHIEFLESDIFMKFIMWSFPELFQ >OIV97828 pep chromosome:LupAngTanjil_v1.0:LG14:14155557:14159057:1 gene:TanjilG_12585 transcript:OIV97828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTSLQLLLPLLLIFLNLLTTVISNDRQILLNFKSSLHYSNSNLFHTWNETNSACTFTGITCNAVNSVSEINLSDQNLSGLLPLHSICNLQSLQKLELGFNSFYGRVSQDIRNCVNLKFLDLGNNGFSGPFPDISPLNKLQYLFLNQSGFSGTFPWQSLLNMTGLLQLSVGDNPFDLTPFPNEVLSLKKLNWLYLTNCSLGGKVPVGIGNLTELTELEFSENSLTGEFPAEIGNLQKLWQLAFYNNSFTGKLPIGLRNLTNLENFDGSKNNLEGDLSELRFLNNLVTLQLFENSFSGEIPIEFGEFKKLVNVSLYRNMLTGPIPEKIGSWAEFNFIDVSENLLTGSIPPYMCSKGNMQALLMLQNKLSGEIPSTYGNCLTLKRFRVNNNSLSGVVPPKIWGLPNAEIIDIELNQLQGSISPDIKNAKNLAQIYVNKNRLVGEIPQEITQATSLVTIDLSENQFSGNIPEGIGNLKQLGSLHLQNNKLFGSIPDSLGSCNSLIDIDLSRNSFSQQIPSSLGSLPALNSLNLSQNELSGEIPASIAFLRLSLFDLSYNRLTGPIPQTLIIEAYNGSLTGNTGLCSVNAIGSFLTCSSGSGMSKDVKTLTICSMVGLVLLLCLTALYLKKKKKKDEKKRFGRGRSLKEESWDLKSFHVLSFTEDEILDSIKQENLIGQGGSGNVYKVTLSNGKHLAVKHIWNTDFSARNNSWSSTPMLAKRGGRNKSKEFDAEVEALSSIRHMNVVKLYCSITSEDSSLLVYEYMPNGSLWDRLHTSNKMDLDWETRYEIAVGAAKGLEYLHHGCERPVIHRDVKSSNILLDEFLKPRIADFGLAKIVQANVGKDSTHIIAGTHGYIAPEYGYTYKVNEKSDVYSFGVVLMELVTGKRAIEPEFGESKDIVHWVHKKAQRKESFRSAVESIIPEIYKEEACKVLRVSVLCTATLPALRPSMKSVVQMLVDAEPCKLVGIVISKDRSGNKIGVNDAK >OIV98404 pep chromosome:LupAngTanjil_v1.0:LG14:1957756:1958088:1 gene:TanjilG_16731 transcript:OIV98404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNPKSHKSHHHYQPSTATGNSEYEAEEGDPKVWATFNNSFKQVQSVLDRNRHLIQQVNENHQSRMHDNMVKNVSLIQELNGNINKVASIYSDLNSNFTDACQQRNRRDK >OIV98046 pep chromosome:LupAngTanjil_v1.0:LG14:10068683:10069962:-1 gene:TanjilG_09539 transcript:OIV98046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEPRSSSSHSSTSEASSITTPPPPPLPPSSSNKTTLLQPSHKKRHKTKVVRVFRSVFRSLPIITPCKFPSMPMGGPDGHHQHYKAINATGNKISGTLFGYRKGRVSLSLQETPKCLPSLVLELSIQTNTLQKEMALGMVRIALECEKRPDKDKTRLIEEPLWTMYCNGKKSGYGVRREATEEDLNVMELLKAVSMGAGVLPGKSENVEGADGELAYMRAHFEHVIGSKDSETLYMLSPEGNCGPELTMFFVRV >OIV98101 pep chromosome:LupAngTanjil_v1.0:LG14:9631769:9633128:1 gene:TanjilG_25966 transcript:OIV98101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSINLGLEEEYLENAFGGEDIGACLRVCFYPKCPQPELTLGLSSHSDPGGITLLLSDEHVYGLQVRKSNNWITVKPAPHAFIVNIGDQIQVLSNAIYKSVEHRVIVNSDKERVSLAFFYNPKSDIPIEPAKELVKPNRPALYTAMTFDQYRLFIRLRGPCGKSQVESLKSPR >OIV97849 pep chromosome:LupAngTanjil_v1.0:LG14:13931731:13934698:1 gene:TanjilG_12606 transcript:OIV97849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEERVGEALQIVNENGDSFAQRAEMYYRKKPELVNFVEEAFRAYRALAERYDHLSKELQSANRTIAAVFPERVEYRIDDDDEESFPGTNSSSPDHNNLTQKPGIPEVPNISKKDHRNPSMLLTRNRSPKRIASAARCVPTTQSLGLSKVEGIAEIDKLQKEILAMQTEKEFVRSLYERSYDNYWEIEDQITGMQEKVCSLQDEFRIDTVIDDNDARALMAATALNSCKQTLTKLQEFQARSSEEAKEAYGRVKDAHERFETLRDNFIAKHASQQDQGIGIESKDIEEDMAVLEEEAHDAEQLREKIKGKLEEEDSGNSLTMIEMAEMIDELVSKVVSLETLVSSQTCLVKRLRSETDELQTNIRSLEQEKVMLIGDSEKTSKKLKELEEELRRIKVIYQSVKRQDNSLQTHFTEASCNLEHLTGKLNNVKLDEAGENSALYEKGNAPDGDEMLTDNLAIKIPKEEKEDYAASPGDVRNEDNNSDLMGNTDSTAEKLVQQHNADLPDTTNKVEIESHNVGTGEEEDQPNWRQVFVSGIDDREKILLEEYTSVLRDYKDVKVKLNDVEKKNRDNIFDLALQVINDKSNYVTLHATYSGSTSTFIPDKVDMHMQVRGLKNDVATKDKEIENLQQKLTYTETTPNESPYMTLTEDKYTPQELDLEISSSNIEANAVKSSPADKQHRHVEITENIMLLGKVRLELRENLIDKRHSLSTLEKKFRAEIDGLLEENLEFWLRFSTSVHQIQKLQNSVQDWKGELRNIKENNKPEGNSNSSQSELKPIFRHLREIRTELTLWVEHSAILQDELQGRHPPFCSLQDEIARAANPDSASEKAVLSGYQAAKFQGEVLYMKQENNKISSELQAGISFVNGLKNEVEKLLEELRQEIGVNNHGSGHDHVTKNSTNRLRIPLRSFLFGIKLKKQKQSMFSCTNPQLHRQNSDAYDAPI >OIV98470 pep chromosome:LupAngTanjil_v1.0:LG14:2579409:2586438:-1 gene:TanjilG_16797 transcript:OIV98470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTIPSPIGLEFNIDDLYEEAKKRWLRPVEVLYILQNHHSINFTNHPPQLPTSGSLFLFNRRVLRFFRKDGYNWRKKKDGRTVGEAHERLKVGNVEILNCYYAHGEENRTFHRRSYWMLDPAYEHIVLVHYRETSEEKSSSGPVMQLTTGSSPVFRQSQSSYTSQNLGRTSMIVDSCEPNQNFSSPGSVEVSSDVFIRSNGMDNLGGTDAQALRQLEKQLSLNENRFGEISPFYSEHEIAHDLNPQQVQGMIYKQDQSTAFSGPDDREQPYDGYNGKQDGSDKYYHELLDHDSPDGNEKAPSWMELLESYNSSSQMKLPEQHAYVASNENSLSSLGRVLITNQENGHCLSSNSNSAENSAFSFPQEDGGVKFPTYSLGETQGANSDYCPTYFGQIQIQEPLGADSSFTVSQKRKFTIKAVSPEWCYAMDTPKVIIIGSFLCLPDDSSWACMFGDIEVHAEIIQDGVISCEAPSHLPGKVTLCITSGNRESCSEVSEFEFRDKTNSCSRCNSLEKEVSRSPENLLLLVRFAQMLLSASTLNNYNIESGSLLPTKQKTDDDSWSHIIESLLVGSGTSSGIVDWLLEELLKDRLQLWLSCRSKERDEGTGCSLSKREQGILHMVSGLGYEWALNPILSCGMNINFRDINGWTALHWAARFGREKMVASLIASGAFAGALTDPTSEDPTGKTAASIAASIGYNGLAGYLSEVDLRSHLSSLTLEESGIYKRSAELEPELTVIGVSNETLATSEDQVSLKDTLAAARNATQAAARIQAAFRAHSFRKRREREAAAAAAAFDGYDIAECSIDNIPVLYNVSKLSFQNSSHYNSAALSIQKKYRGWKGRKDFLTLRQKVVKIQAHVRGYQVRKHYTLIIWAVGILDKVVLRWRRKGTGLGGFRQDIESIDGSDDEDFLKVFRKQNVHVAIEMAVSRVLSMVHSTKARQQYHRMLEMYRQAKVELASTSEEALLSTSSEDAFNTEDDSLDQVPSLTLYPSQL >OIV98368 pep chromosome:LupAngTanjil_v1.0:LG14:1513685:1514800:-1 gene:TanjilG_16695 transcript:OIV98368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMERMKESNKAYPQRRRTPSFSSTLLDIIYHSIDESKSNLDNGPLMNQTTHNSKHNNHVEKNVRKEKMNLHRAIMIEDWMERKNNTSRRSQFMNSTTTTSSSSETDTTYKPRHNKKRSEKKTNRESGFARTTKIRALKIYGEIINQKVKQPISPGSRIASFISSIFNNSTRNNNVKKTKKMCYFGDDAVEDLMSFEHKYSISKSSSSSPCFSSTTSSFSVRSCMNKTPGKSNNNGTKRCVRFYPFSVILGEEDYYSHHHNSVRKMTTSSSIKDIMKKDSEEKCVEAAAASSSCGFIKGYKNSCKGSSKFDFRSFYSNGEDDCDEDDDDALSYSSSDLFELDHVIGRYQEDLPVYETTNLETNKAIANSCYL >OIV98173 pep chromosome:LupAngTanjil_v1.0:LG14:7862692:7882690:-1 gene:TanjilG_18288 transcript:OIV98173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSTGSNTESLSSDNTKRPSSFSDDKPPSPSSSPKRQKVDNGGAESEIPVPEVENSKDLRALEPPANPGDTQIASAGGGDGKADVVPSVNVTAPIAEGSLPIILVAEKPRPSFSSWSMYQKQNPKTDCSVPWCRLLTQSSQNPNVVICMPNFMIGSSRNCNFLLKDQSASGILCKIKHTECEGNAVAVLQSVGSKGSVLVNGMLVKKNTSCVLNSGDEIFQQLNTELAVKGAEVQNCAGKFVQIDRRNRDPSALDGASILASLSSLKHDLTKLKSPSQASNKPHHVTDVPNNSVFHDGTGAELDGLEGNSAPNVGTDKASDVGASYKNSSMDCDPYDAGTEAGNAKLSGVNDFLRPFFRFPAGSNSKLNLSKGICEQVLEEKNGTRGTQAASASGKSVRCAVFKADVEAAILDGKEIDVSFHNFPYYLSENTKNVLVAACFIHLKHKEHAKYTTDLTTINPCILLSGPAGSEIYQEMLVKSLANYFGAKLLIFDSHLLLGGSSSKEAELLKDGFNAEKSCSFSKQTPTATDMASMDPSTRDTDTPSSSNAPTPHGLESQPKLEIDSVPPTSGTAKSCLFELGDKVKYILSSSSRGPPNGCRGKVVLVFEDNPLSKVGVRFDKPVPDGVDLGGACEGGQGFFCNVTDLCLVNGGVDELDKILINALFEVAFLLHPLIVFSESRSAPLILFMKDAEKSIIGNGDPYSFKSKLGKLPDNVVVIGSHTNNDSQKEKSHPGGFLFTKFGSNQTALLDLAFPDGFGRLHERGNEVPKPNKTLTKLFPNKVTIFTPQDEGLLASWKQQLDRDVETLKINANLHHLRTVLSCYGMQCEGLETLCIKDQTLTNEDVEKIVGWALSHHLMQSSEVNHDAKLVLSCESIQYGIGILQAIQNESQSMKQSLKDVVTENEFEKSLLADVIPPKDIGITFDDIGALENVKDTMKELPCKGILLFGPPGTGKTMLAKAVATEAGANFINISMSSITSKWLGEGEKYVKAVFSLASKIAPSVIFVDEVDSMLGRRENTGEHEAMRKMKNEFMVNWDGLRTKETDRVLVLAATNRPYDLDEAVIRRLPRRLMVNLPDAPNRAKILKVILAKEDLSSDVDMEAIASMTDGYSGSDLKNFCVTAAHRPIKELLDKEKKEQAAALAEGRPAPALCGSADIRSLKMEDFRHAHQQVCASVSSESVNMTELLQWNELYGEGGSRRKSSPSYFM >OIV98067 pep chromosome:LupAngTanjil_v1.0:LG14:10423260:10428747:-1 gene:TanjilG_09560 transcript:OIV98067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFPFHHRRSQSEVHFRIPDDFDLQLDPFDSPSLHFQDPDPSHHDDLLSAYIDSDNSGSNVQPSATAPKPEDAGDGTCNAASSKTGRAGHRRSNSADGSSSSLMEGIEAKKAMSPDKLAELWTVDPKRAKRILANRQSAARSKERKAGYVLELERKIQTLQTEATTLSAQLNLFQRDTNGLCSENTELKLRLQSMEQQAKLCDALNEALKKEVDRLKMATGETVTHADTYSFGMHQFSYSPTPFFSHQPQQWPGRLHTIQMPQMHALSSNMSTPHRPMLDPATTYDLSEMLPSDSIGQFQGLDISHRASQNSMPDSPSISVNKINNGF >OIV97924 pep chromosome:LupAngTanjil_v1.0:LG14:13119590:13120324:1 gene:TanjilG_12681 transcript:OIV97924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIFQLDKTVMQQSFKVDSFMNSLSTNTFGRFLIRSPCLATTQDVISHLCQSCSEPLYSQASQRTSGSLLWVA >OIV97672 pep chromosome:LupAngTanjil_v1.0:LG14:15374936:15378076:-1 gene:TanjilG_12429 transcript:OIV97672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWLAHSLANSLRLDEDENDTVSDPQTTNYHDLLSSEEFEGHGVKEDLNEIKQTLTRQLWGMASFLAPPPPPPPPPGPSPSPGPGPSISNSNSIQPESENEQVVVDAVVSNQIQISYNDDQDQELEMEEWAIQHAVGITDEVLTFAMNISMHPETWLDFPIQEEDDTHDFDMSDAQWEHAMAIERLAPRLAALRIELCPCHMSESYFWKVYFVLLHSRLHKQDAEILSTPQVMAARGAWMQELHKQTKPEVEYFRISSAYSRDIAQHDDFALSLSDHAYPDDMHHLTYGYEIRTADNETEKHTVESSETHFIDKSVIDESPTGNKDLKCGHYSQTIIQDYDDDDDDEWPDEGSDLGGYSGTILPLVNEEDISFSDLEDDDYAIKHVSSTTGSKLV >OIV98036 pep chromosome:LupAngTanjil_v1.0:LG14:10676353:10680002:1 gene:TanjilG_12267 transcript:OIV98036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSSDTRLLQDLLLYAASAALSCLVLFAGLRHLDPNREASKKALEHKKEIAKRLGRPLIQTNPYEDVIACDVINPDHIDVEFNSIGGLDTIKQALFELVILPLKRPDLFSHGKLLGPQRGVLLYGPPGTGKTMLAKAIAKESEAVFINVRISNLMSKWFGDAQKLVAAVFSLAHKLQPAIIFIDEVDSFLGQRRTTDHEALLNMKTEFMALWDGFTTDQNARVMVLAATNRPSELDEAILRRLPQAFEIGVPDQRERAEILKVILKGERVEDNIDFGHIGSLCEGYTGSDLVDLCKKAAYFPIRDLLDEEKKGKQSSVPRPLSQLDLEKALATSQKTNVAASEYGGISLQSPSRFTVHSESGDNPLQAAINEFSKLMVSHMINLHQSDAQDP >OIV98329 pep chromosome:LupAngTanjil_v1.0:LG14:1089122:1094945:-1 gene:TanjilG_16656 transcript:OIV98329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIKREIATLKVLKHPNVVRLYEVLASKTKIYMVLEYVTGGELFEKIRSKGKLTETIGRKIFQQLIDGVSYCHNKGVFHRDLKLENVLVDAKGNIKITDFNLSALPQNFRIFKGDVHIPKWLSPGAKNMINKILDPNPRTRLTMAMIKEDEWFKEGYIQSNPEDEEENIHSYDEVLSINEVQHEAIKGSPRSSTLINAFQLIGMSSCLDLSGFFEKEDVSERKIRFTSNNSSKDLIERIEVIVTEMGFRVQKKNGMLKVTQERSLSSLSVAAELCNKLSNDLGVQQKQDLESSEIIGI >OIV98426 pep chromosome:LupAngTanjil_v1.0:LG14:2209303:2212906:-1 gene:TanjilG_16753 transcript:OIV98426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFIENFKVDSPNVKYTNSEIHSVYNYETTELVHNNNEWIVKPKTVKYEFKTNTHVPKLGVMLVGWGGNNGSTLTGGVIANREGISWATKDKIQQANYFGSLTQASAIRVGSFQGEEIYAPFKSLLPMVNPDDVVFGGWDISNMNLADAMGRAKVFDIELQKQLRPYMESMLPLPGIYDPDFIAANQGERANNVIKGTKKEQIQHIIKDIKEFKEANKVDRVVVLWTANTERYSNVVVGLNDTMENLLASVDRNEAEISPSTLYALACVNENIPFINGSPQNTFVPGLIDLAIKKNSLIGGDDFKSGQTKMKSVLVDFLVGAGIKPTSIVSYNHLGNNDGMNLSAPQTFRSKEISKSNVVDDMVNSNGILFEPGEHPDHVVVIKYVPYVADSKRAMDEYTSEIFMGGRNTIVLHNTCEDSLLAAPIILDLVLLAELSTRIQFKNDAEAKFHSFHPVATILSYLTKAPLVPPGTPVVNALSKQRAMLENILRACVGLAPENNMILEYK >OIV97706 pep chromosome:LupAngTanjil_v1.0:LG14:15144169:15147009:-1 gene:TanjilG_12463 transcript:OIV97706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLSKKGPHTDAKLGIPNKATTLNPNAAEFIPFSLRSSLSRTTSSVDSTARGALGKAVVDRTESSISNNSDDEVHQYWRCQLPDDITPDFKFTGEEESQGLNDLSLARLSIHGDNEASVFPSSKGSRYILNEHDELSQQLINDNNFVDKLRFSNSSYREDLPSTNFLSTSAKPWDMPIGSTGHHVSSGQEGLTYDDYSEQGFLNDILVENSIIDDTGLNPLEFLASLFPGFAAESIIEVYYANGCDLHLTTEILSQLELQVDGNFNQNLNSKTLSAPNLGAMDFPALTSPDGQAPSVRFAVDSVQQSGNPYRSPDKEMLFSKSSSTVPSGGAVDFASAVKKLATQDSGIWKYDKNGFGDVAIGSSRSSHVLASGYYGGHGRADFGDRLQSRGSARAAPVWLETGDTVANMYSEMREEAGDHARLRDAYFEQAQQAYLIGNKDLANDLSAKGQLHNMQVKAAHGKVNEPIYHQRNPVAPDMQGNERGHERIIDLHGLHANEAIHVLKHELSVLKSTARAAEQFLQVYIFVGTGQHTRGSRIPARLPIVVHRFLLEEGHDFTEPQPGLLRIVIY >OIV97612 pep chromosome:LupAngTanjil_v1.0:LG14:15766410:15769403:-1 gene:TanjilG_12369 transcript:OIV97612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKQHNAQASMTMAKKSLALMLLAFFSLSTIIIILMRSNNSDSCHNLLTQHNEIRSPSSSSSSMEPSPLDFMKSKLVLMVSHELSLSGGPLLLMELAFLLRAVGSDVVWITNQIPPEPDHVVYSLESKMLHRGVQVMPARGEKAIDTALKADLVILNTAVAGKWLDAVLPKDKLSVVLPKVLWWIHEMRGHYFKVEYVKHLPFVAGAMIDSHTTAEYWKNRTKERLGIKMPDTYVVHLGNSKELMEVADDGVAKRVLREHVRESLGVRNDDLLFAIINSVSRGKGQDLFLRSFHKSLQFIQEKKLQLPSLHAVVVGSDMNKHTKTEMELRKFAIDNKIQDRVHFVNKTLGVAPYLASIDVLVQNSQARGECFGRITIEAMAFRLPVLGTAAGGTMEIVVNGTTGLLHPAGKEGVIPLANNIVKLASHVEKRLTMGRKGYERVKEMFLEHHMAHRIALVLKEVLGKAKNN >OIV98494 pep chromosome:LupAngTanjil_v1.0:LG14:433335:437068:-1 gene:TanjilG_18778 transcript:OIV98494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSLTPPPSLLHHHHHNHHFTRRTLLLSTTTTTLSLPSFPSLSSTTPTITDRFFIDFSLCPNATNPARTLGDTFSTLCSDSTLLGRVILGLYGNLVPITVSNFKSMCLSSNSNSNHSSSYKNTLVHKIFPGQYFLAGRQGRPDKGEVRPPNELARNIETVDPNAFVLTHSRPGVVSLSLSENDDDEEIKLDPGYRNVEFLITTGPGPCPQLDNKNIVFGTVLEGLDVITAIASIPTYKPSERIRQLNDLADFFGDGRAQNARTTWNKPLTTVYISGCGELKVAKPSLPPSLP >OIV97608 pep chromosome:LupAngTanjil_v1.0:LG14:15788153:15794038:-1 gene:TanjilG_12365 transcript:OIV97608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKAGVSPSTLSTGDSNIDLESLEVKLRELEAELLEINANNEKLQHSYNELLEYKLVLEKVGEFFSSAQKTAVAHQRELQNQTTAEGSIDSPLLLEQETTTYPLKQVKLGFISGLVHREKSIPLERVLFRATRGNVFLKQTAVEHPVLDPLSGEKVDKNVFVVFYSGERVSSKILKICDAFGANRYPFSDDLSKQFHIITEVSGRLSELKTTIDVGLLHWSTLLQTIGYHYEQWSVLLKKEKSIYHTLNMLSINVTKKCLLAEGWCPVFATSEIHKVLQRATLDSSSQAGAIFQVLQTKDSPPTYFCTNKFTSPFQEIVDAYGIAKYREANPGVYTIITFPFLFAVMFGDWGHGICLLLAALYFIIKERKFSSQKLGDILEMAFGGRYVILMMALFSIYTGLIYNEFFSIPFELFGPSAYRCRDPSCRDATTIGLIKVHDTYSFGLDPKWHGSRSELPFLNSLKMKMSILLGVSQMNLGIILSYYNAKYFENNINIRHQFVPQMIFLNSLFGYLSLLIIVKWCTGSKADLYHRHQGQSYSLLYSAEEDPHEPESQSIPLDHEDFEFSEVFVHQLIHTIEFVLGAVSNTASYLRLWALSLAHSELSSVFYDKVLLLAWGFNNTIVLIIGIIVFICATVGVLLLMETLSAFLHALRLHWVEFQNKFYEGDGYKFDPFSFALLADEDEQ >OIV97759 pep chromosome:LupAngTanjil_v1.0:LG14:14756482:14757951:1 gene:TanjilG_12516 transcript:OIV97759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKEKSLQKDHQNMDQMKNPDVNLDNHSSHSHFLGHNMKSHSIFNVPCLFVGLSPKNLLDSDSVRSPTSPLDARVLSYLGNPLRTPRSTFIPECKNHRSWDCCKVGLSIIDSLEDCPKYSGNILRSSESKNIGLSPQIVIKASNSQAYNESFESSKSLPKDFCKAPSTQNGSTFHKGESSVLFEIGESSIEHELFVKTRSCSLDSCSPLKILSGLTDSDTDNNFALKDKDNTTQMSSPPHFTGGSQNSKSNSLCICSSNDYIKSLLASEIELSEDYTCVISHGPNPKTTHIFGDCILETRSNESKNHFMNEEKEDGGVTMVDNMLHIPHTPPIQYPSSDFLSFCHHCNKKLVEGKDIYIYRGEKSFCSLTCRAMEIMIDEELEKSNTPSENSPEPELGDRIFETGIITAT >OIV98146 pep chromosome:LupAngTanjil_v1.0:LG14:8468130:8471313:1 gene:TanjilG_12182 transcript:OIV98146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLGSDNNSNNSVKKMVPVSMDDVLLASGETNEGRELRIRGQFNYFDVAKNGYLDYAQIEAGLYALQIPPEYKYAKDLFKVCDANSDGRIDYPEFRQYMDDKELELYQIFQSIDVEQNGSIHSEELSDALGRAGIEMCEGELVRFVEHVDKDRNGVITFEEWRDFLLLYPHEATIENIYEHWERVCLVDIGEHAVIPEGISKHGNAIKYFIAGGIAGAISRTATAPLDRLKVVLQVQTTNASVMPAIMKIRQQDGLLGFFRGNGLNIVKIAPESAIKFYAYEMLKKVLADAQGNKSDIGLTGRLFAGGMAGAIAQASIYPLEVIKTRLQTCANEGGTGPKLVTLTKSMLVQEGPRAFYRGLVPSLLGIIPYAGIDLAAYETLKDISKRHILNDSEPGALVQLGCGTVSGALGATCVYPLQVIRTRLMAQPTNTSNAYKGMSDAFWRTFQHEGFKGFYKGIFPNLLKVVPAASITFMVYESMKKNLDLD >OIV97958 pep chromosome:LupAngTanjil_v1.0:LG14:12680454:12686039:1 gene:TanjilG_12715 transcript:OIV97958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEFGVLTQRFGLKPQGKSAPMASSKRSNSNSGLNPKTSLNGSRFHQNSNFDFNFNSFPSSNKNPHHFDGIDDIFGGGSIKSNSNKGGSFDFGDDIFGGFPKKSVGVDDLLDKIGGFNANGAKSLNQKKTPDFDDLIPGFNGTSPSNNGKASIGINKPHKPTATSQDDPFLIFETASSTSSSDLDSLGQISKFNNSQGTRGSSSSSTTPSLRPPPKPTNVSNSNRVNNSNVSSIDELENFAMGRVQNNGSRKANVNTSEIKQNSPAKTNRGKGSPAARVDKPNDMGDLESFFGMSARSSSAPKSRAAPMDHMFDHQMNTKGRSETSQRVPSGSSTSVKKSSVATSLDDLSLIFGGSPSSEFKEVEGENEERRKARLGRHQRTQERALKAVADMNQRDLQTKMEQEERHRIADIANAQIKRWAAGKEGNMRALLSTLQYVLWPECGWQPVSLTDMITSTSVKKVYRKATLCIHPDKVQQKGATLEQKYTAEKVFDILKDSITLAFEKHVKEQCTYNVSEIVDIYQ >OIV98157 pep chromosome:LupAngTanjil_v1.0:LG14:8251846:8257397:1 gene:TanjilG_12193 transcript:OIV98157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASPGSILSASLPLKINAGIGFVMPQTSLSREITENQHFGGEEGIAGVLKDSQERLNYNPRSWSDVRMQPASGSYGLIGNKIITNVVSHESSLFSSSLSDIFSKKLRLSSNGALLDQPINVGSLHEEEAYKSLEEIEADTIGNLLPDEDDLFSGVDGELGGSAHARTSDDFEDFDLFSSGGGMELEGDAHLVSEKRTSCMSADLGHYNKGKHPFGEQSYRTLFVRNINSNVEDSELKALFEQYGDIRTMYTAGKHHGFVMISYYDLRAAQNAMKALQNMSLKSRNLDIHYSIPKGNAPDKDIGHGSLVISGLDSSVLNDELQNIFGFYGEIKGIFDSPEMDHIKFIEFYDVRAAEASLRGLNKIFIAGKQINLEPFHPRITACLLQQSQKGQDEPDIGHNLNGNLLVRQKATLSSGAASGGSLENGYNQGFQSATKLPLNAYIDNNTLFPVNSGIHKTARGASTGKSFGVCESSNAVDAMKFASIPGLHPHSLPEYHGSLPNGGPYNFSSTISNMAPSIGTGSAEVSGSMHIQGMGSTGNVAEFSARGNSSRPHGLYHMWNTSNLHQQHPSNAMLWPKTPSFVNGAGSPCIPQMPSFPGTPSHMLRATHVDHHVGSAPVVTASPWETQRSYLGESPEASGFRLGSLGSAGFHDPWQLQQPDFSSRNMFSHVGGNGTELMSNAGQGSPKQLSHVFPGRLPMTSMSKFDTINERMRNLYNRRSEANTNNADKKQFELDLSRILRGEDSRTTLMIKNIPNKYTSKMLLAAIDEQCRGTYDFLYLPIDFKASIFFGLNKCNVGYAFINMIDPAQIIPFHQAFHGKKWEKFNSEKVAVLAYARIQGKSTLVAHFQNSSLMNEDKRCRPILFHTDGPNAGDPEPFPLGNNIRLRPGKSRTSASEENRGQGNPSTSASGEESTNGIESSRNSD >OIV97762 pep chromosome:LupAngTanjil_v1.0:LG14:14695935:14708894:1 gene:TanjilG_12519 transcript:OIV97762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVCSVNGIGVNWGTQATHPLSPTKVVKLMKDNGIQKVKLFDADPGILSALKKSGLQVMVGIPNDMLFTLANSLQAAEKWVSKNVSAHVSSGGVDIRYVAVGNEPFLSTYNGTFESTTLPALQNIQAALTKSGLSNRVKVTVPLNADVYQSSSEKPSDGDFRTDIHDLMLQIVKFLNDNGAPFTVNIYPFLSLYEDANFPVDYAFFNGFQPAIDDNGKAYDNVFDANHDTLVWALQKNGFGNLPIIVGEIGWPTDGDRNANFRYAQNFNQGFISRYATGKGTPMRPGPVDAYLFSLIDEDNKSTQPGNFERHWGVFYFDGQPKYELNLGSNRGIIGASGVDHLAKKWCVLKGNANLNSDEVAPSVAYACQNADCTSLGYGTSCGNLDIKGNISYAFNSYYQINDQMDSACKFPGLSMITDKDPSVGNCRFKTMILTDSVGIHGRIGPLGTLVLYEHDQEEEEELVAKVNKLMDKITSSIDNPKPTVLHALASILENQESRYMEENDHFSSSNGRAAHNIGRLGSIIRENDEFFELISSKFLSETRYSTSVQVASARLLLSCSLSWIYPHVFEEPVIENIRDWVVNDNARVSAEDQSMKHHPRKKEVSDSEMLKTYSTGLLAVCLIGGLSCLSLFVQFSFPLFSYDVWNDLSGGQVVEDVLTSGLPAKLMRYLRLRVLGETSSTQKDIGHSSESRHASGNTSLRGRDDGRSRFRQLPESSHLDDTRMTDERSLEDQILERGPDRNIGEQNCQNNSLVGGEPPDELGEDGDICDVDADGEDRWHCRDTHDGRTKSSEHDDNVNDSSRRRSKWGRSRGKARVNESTVESEPVLSSPGSGSRLGQGRSVQDRSISRNVGARRVPDSKKTFARATTEASLLERDDNDDCFKECQIGSKDISVFVRKAVRASEAEARSANAPEEAVKAAGDAAADLVKTAASEEFKSTNDEEAAVLAASRAAATVVDAASAVEASRSSIGINSETENVSCRETDSGEDVEDYFIPDAQSLAMLREKYCIQCLELLGEYVEVLGPVLHEKGVDVCLALLQQNSKNQEASKVALLLPDVMKLICALAAHRKFAALFVDRGGIQKLLAVPRMIQTFFGLSSCLFTIGSLQGIMERVCALPSDVVYHVVELALQLIECNQDQARKNAALFFAAAFIFRAVLDAFDSQDGLQKLLGLLNDAALVRSGVNSGALGLSNTGSLRNDLSSAEVLTSSEKQVAYHTCVALRQYFRAHLLLLVDSIRPNKSNRSAARNIPSVRAVYKPLDISNEAIDAVFLQLQKDRKLGPAFVRTRWPPVEKFLASNGHITMLELCQAPPVERYLHDLLQYALGVLHVVTLVPSSRKMIVNTTLSNNRVGIAVILDAANIVGSHVDPEIIQPALNVLVNLVCPPPSISNKPTVVMQVQQFSSAQITNGPHSESRDRTAQASQFDPRERNVETSAVDRSSAAVSTQNVNSNPQTPGPSATSGLVGDRRISLGVGAGCAGLAAQLEQGYRQSREAVRSNNGIKVLLHLLQPRIYSPPAALDCLRALSCRVLLGLARDDTIAQILTKLQVGKKLSELIRDSSSQTLGTEQGRWQVELSQAAIELIGIVTNSGRASTLAATDAATPTLRRIERAAIAAATPITYHARELLLLVHEHLQASGLSQTASLLLKEAQLTPLPSLMAPFSLAQQPNTQEASSIQIHWPSGRAPAGFLTNKLKVNARDEDTTLKIDPVSAKKKSLTFSASFGTHSRHQHFDSPQSSGRKWLGTGKESSEASIVETPESSLKHSSDAVFKTPMLPLKRKLSDVKDIGMLSSSGKRLNVGDQGLRSPICSSAIRKSSLKTDAVGFFTPISSLRSQQNRCTADHVDDNQYSNSNPGQMTPSCQVFSDIQLNNPERITLDSLVVQSLKHQHRQCPAPITTLPPLSLLHPHVCPEPKQSLDAPSNVTARLGTREYKFMNGGVHGNRRDRHFVYSRFRPWRTCRDDAGDLLTCVTFVGDSSHIAVGNHNGELKFFDSNNSSVVESFTGHQNPLTLVQSFVSGDNQLLLSSSSQDVMLWDATSILSGPTHSFEGCKAARFSNSGNVFAALSSESARREILLYDIQTCQLELKLSDTPEASIGRTHVYSLIHFSPSDSMLLWNGVLWDRRVSGPVHRFEQLTDYGGGGFHPAGNEVIINSEVWDLRKFRLQRSVPSLDQTSITFNARGDVMYAILRRNLEDVMSAVHNTRRGKHPLFAAFRTLDAINYSDIATIPVDRCVLDFASEPTDSFVGLVTMDDQDEMYASARIYEIGRRRPTDDDSDPDDAESEEEDEDDEDDDDIDIDPLLGPGFAVGSESDADDTSNDEDDDSISDIGEDGDFILDDVDFDGGNDMLEIVTEGDEDDDSQGYESLSSDEEDYVNNRNRFGY >OIV98159 pep chromosome:LupAngTanjil_v1.0:LG14:8027388:8038691:1 gene:TanjilG_22719 transcript:OIV98159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMMDGGNNNLEELAVGCMLSIRTTLGDEFEGQVVTFDRPSNILVLQEGFKHGPRRNIRLLKTNYIKDFTFLGQADDPLDPNNCFLDLTALQTREELAIRQAEADAERIGVGVTTEAQSIFDALSKTLPVRWDKTVIVVMNEVRVGSPYNSESVIGGTPAANDRVKKMV >OIV97738 pep chromosome:LupAngTanjil_v1.0:LG14:14922083:14924488:1 gene:TanjilG_12495 transcript:OIV97738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKVIGFTGEDFYLGGSLYPNPKEANLLLSLGQQGGFFYPLPKRSRVSVPFDFSGEWFVQKPKTSIESLPDECLFEILRRLPAGQDRSLCASVSKRWLMLLSNICKDEICINESSVDEKEGGDEGYGDEGYLSRTLEGKKATDVRLASIAVCTTSRGGLGKLSIRGNDESECGVTDLGLKAIGCGCPSLKSLSLWNVATVGDAGLIEIAAGCQQLQRLDLINCPSISDKSLVTVAMKCPNLTELSLESCPNIGNEGLQAIGKFCPNLKSVSIKDCSGVGDQGIGGLLSSSSHVLTKVELESLMVSDLSLAVIGHYGFSVTELVLDCLPNVTERGFWTMSNGHALQKLKSLTIRSCQGVTDVGLEAIGKGCPNVKYFQLCKCPFLSDNGLVSFAKAAPSLENLQLEECHMVTQFGFFGLLFTCGAKLKVLTVVSCYGIKDMNFRLPEVSPSVSLSSLSISNCPGFGNATFAVLGKLCPQLQNVELNGLEGITDAGLLPLLESSKAGLVNVNLHGCINLTNEVVFSIANLHGSTLDVLKLDGCKKISDASLKAIASNCQVLSYLSVSRCAITDAGIAALARGNLHNLEILSLACCSSVSNKSVPALKKLGRTLIGLNIHACNRISSSAVLKLSEHLWTCDILS >OIV98317 pep chromosome:LupAngTanjil_v1.0:LG14:962506:970581:1 gene:TanjilG_16644 transcript:OIV98317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQLNFAPESEAKKDSPFRHKPKNNNAYESSGSPISRKVIECMSTVLRHCALMVSARWDPVEACRPIIDEAPVFHPTIEEFEDTLDYIAKIRPLAEPYGICRIVPPRCWAPPCPLKEKDLWENAKFPTRIQHIDLLQNREPMRKKSRGRKRKRRKHSKMGTCRRSANPDSEANNASDPDEKFGFHSGSDFTLKDFQQYDKFFKECYFRLKDSNGDGKISDNNHQKRWEPSEEEIEGEYWRIIEQPTDEVEVYYGADLESGALGSGFPKASSLTKSDSDQYALSGWNLNNFPRLPGSVLSFEGSEISGVLVPWLYVGMCFSSFCWHVEDHHLYSLNYLHWGDPKVWYGVPGSHASTFEDAMRKHLPDLFEENPNLLNELVTQLSPSVLKSEGVPLYRTVQHSGEFVITFPRAYHSGFNCGFNCAEAVNVAPVDWLMHGQNAVELYSLQCRKTSLSHDKLLFGSAHEAVRVLAEVAHLGKETPKNLKWRSVCGKDGVLTMAVKRRIKMEEERLNCLPNDLKLLKMDSDFDLNKERECFSCFYDLHLSAVGCECSPDRYSCLKHLNLFCSCGMDRRFVLRRYTTNELNKLVEALEGQSLAIEVWANKNFGMVCSDANKVSICKPDVERDMYKSKCRDEEESSTGCVGSKGSHSDNINDNKMVIGNEDKVDQAGCLDLNLGVIFGENENYLLHISDNHYNKGVLIEKKICCSESRKEQGNVELDGEGNLSHPFSVSKTNFSSRDVHNSCMFDGGKFGLDLQMDSNSAKQPNNVFKMEVIDTRNTSISSTEESHLLQTFGTSVKPISLGSVIYGKLWCNKHTIYPKGFKSRVDFFSILDPPRICGYISEIIDAGFLGPVFKVTMEECPSETFTDISADKCWESVLKRLHNEILRRRSLGEQKLPPLELLRNINGHRMFGLLLPSIIQAIEAQDPNHRCLEYWNHKVVPKSSGSDIDNTKFTHGSSNLLGASDLTTMLNSTMLPLTLTLFIPHHNTFFHNNNNNHLPLDPFLFPYHIVPQHLTFSDLLHLRHQQLCRELLPQRCSTYSS >OIV98133 pep chromosome:LupAngTanjil_v1.0:LG14:8799297:8805781:-1 gene:TanjilG_25998 transcript:OIV98133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVEKTNDQDALFDSSDTGPNEDPEEAELKKEALKAAQEAASKQRTLTSTFDSESLRLRQAGESDSLPQEVAGATNNDLQTPSTMPATSTVQTPELFKGHLKDYQLKGLQWLVNCYEQGLNGILADEMGLGKTIQAMAFLAHLAECDFQVIYASGIQGKAGLTPENLGDDLGPLFESITRCIPGPHIEKDGALQMLATNVEYDEHKGRIAIGRLHAGVLKKGLDVKVCTSEDSCRYGRIGELYVYDKFNRVPVESVEAGDICAVCGIGDIQIGETIADKVFGKPLPSIKVEEPTVKMSFSINTSPFVGREGKYVTSRNLRDRLYRELERNLAMKVEDGETADTFIVSGRGTLHITILIENMRREGFEFMVGPPKVINKKVNEKLLEPYEIATVEVPEVHMGAVVELLGKRRGQMFDMEGVGSEGTTLLKYKIPTRGLLGLRNAILTASRGTAILNTLFDRYGPWAGDMSTRDQGSLVAFEGGTSTSYAISSSQDRGQMFIGPGVDVYKGQIIGIHQRPGDLALNVCKKKAATNIRSNKEQSVILDTPLDYSLDDCIEYIQEDELVEVTPQSIRMCKNPKFTKKTR >OIV97831 pep chromosome:LupAngTanjil_v1.0:LG14:14123749:14133718:1 gene:TanjilG_12588 transcript:OIV97831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAGDAADQVIRQVDKMIKNGDRLTRDARVSKDDLPSTQSAVSGELSRKRSVPRDNEQLANGHEAISKRIRSSSDPHFTLPSQINDSGQDLNSVNGVSPNVPVLDSELTAVEQMIALIGALLAEGERGAESLEILISKIHPDLLADIVITNMKHLPATPPPLARLGNLPVTRLVGSQVSQSQVIAASAPINSVESLVVTSQASIPSTTSTATATSSFLSDIPNVSHLPADSKRDPRRDPRRMDPRRVAATPGGAVISSTDDTGSTKLAFDDPMSSIKPVSHPVATGDDNTASDLTDKIKNDDIISEGPSVSAPDWITPKTEVERPGDIPQIKEPNTSLDPSLSPTDTRDEDLSTVKVSDDAETNGIDSSSVYEFDQFSLDDQVASTSEDTCLELPPLPPYVELSKEQESKVKHMAIRQIIESYKHFHGTDCQQFCMPLLARLVAQIDDDNDFFVMLQKHILEDHLRKGHELVMHVLYHLYSLMTLDSAGCSSSSGVLYEKFLLGVAKSLLESFPASDKSFSRLLGEVPFLPESALKILNDLCYSDIIDRDGKLIRDIERVTQGLGAIWSLILGRPQDRQACLGMALKCAVHSQDEIRAKAIRLVTNKLFQLSYIAEDVEKFATNMLHSAVEHEVSDTGLLQSGPTEQKAEAKVESLEISGTILVSESTISENDSSRVAKSMVQSVPPISFSEAQRLISLFFALCTKKPSLLRIVFNVYWQAPKTVKQAFHRHVPILVRALGQSHSELLQFISDPPQGSENLLTQVLQILTQETTPSSDLISTIKRLYETKFRDVTILVPLLSSLSKKEGSAHTGPALTPVEVLVAIHGIVPEKDGLALKKAYACSACFEQRTVFTQQVLAKALNQMVDQTPLPLLFMRTVIQAIDAFPTMVDFVMEILSKLVTRHVWRMPKLWFGFLKCVYQTQPHSFQVLLQLPPPQLESALNKHANLRGPLASYVNQPTVKSSLQKSTLAVLGLANETHVQQHLSTSLHPSETSSSVHGATLT >OIV97799 pep chromosome:LupAngTanjil_v1.0:LG14:14433226:14437913:-1 gene:TanjilG_12556 transcript:OIV97799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSFIPIDSITIDLPNSVGKRDAGKCEHFSIRGYVSEIRKKDWKTCWPFLLDESKEKPSLPPLHAPKYKCQCCQNFPQEGAAKDIDKDGQTNLACCSTQCIFDTNCNNAALKSATQKDPMPDTLDRRDLDLNTNLSCVSDFLQFNNEEVKKDGAVLGKIIDLETSLKDNLNHQATSVSSPKICSDFIEEVHTSRRDCNSSEFFGTGCEDNGVSNAELGSNLKCIDKGSVEIYNGGTPSADNQCPEELIEDCTVLGDGPTAIEANNTTDHTTGHLPPKSVACNHNIPSRNTHNMVENDFPDHHPQKFTAISHRRPRKVRLMTDILSESGDLKTEQITRRGSPSYGTSNATGASQAHPNTNGELILTNMGQNRKRKFLLGEAQRPVDMCDKRKAIEVQKEDATKYNDGMTGIGLPDAMKVYWSKSEIEKNCIMRKKSRRIQVIDNHLIPEPDQDQQRENEDTMDTADEAYASNTLSSRFAPYDLTGKGIDKLPISAPRIENDFNLSKGKGKVLQTNQELDSLSFRKKDMLRDNSFAYSGGRLVSYMPVDIPIPSAQGALSGKGVEEGLQLSLNSHLAAQLFNKKCIHQIENQLPFPLPLEEGASNVQQPKRKDFATNVFGRPSIPSKQITNAIYGKGVRCEETISARNTEKTFKAMEQPNLMKRYSEHTAEVSEQGTLDGIPMEIVELLAKNQYERCLPDVENRSLLHKSTTRRKTQMTSGNAVHGKGEFSLSKEGQKEKLQGIHKKSSMATGGENVRPGQRKTVHHFSPLDGNSLRMNNICPPQSPFGFDVSRSQNNQFPHIGSNHLGSAQNFKFNGGLEERGSSYATLQAPGGCSLHKTILQENDEASRIWTSLTSNHVSLGYDVPKKVVSQPTSANMDITSHQSGALHRQNMRRDIDLNCINLLVAGPEMLNRSIGSGTFSRVNGGYPFPGKHNRTDPHQNLRGSLDMYSNEVIPAMHLLSLMDAGKQSRTPFNVGVNAQMLKRPSYHGDCSTKLEIGTSKTYSTTKRQSSDHYSRSYSSDKSGGCFLGTPNFVTSSSTQHGMKFVRDTGAFAGRNSVESGKKEKMKNSNSAMQSRVSNQFSWPHLETETQVQHKLEVRGTHETLLPVRVNLGNPCMLNRNPADFTMPETGNAYMINGEDLKFEKSIPQTKPCFPPPHAFKQKRNLKRTKMNEPSKH >OIV97584 pep chromosome:LupAngTanjil_v1.0:LG14:15943301:15944678:-1 gene:TanjilG_12341 transcript:OIV97584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRCFPFPPPGYTRNSDALIESIKLRNEVKKAKKDRKKEKRREKKDKESKKEKKKDRKGKEGKESKLIELEKKIGYVQKGAYNEFEQLEKSDITVEHSQPVTTPDPCCSDSTQSSNKRKCSTSPSMHNHGTVKKVRFSLRKHAEPGESKLDDQLVSTSRSIGTYGGDDLLPQVDRDQCPGNCEPKHYNELKSLPVGAALNTNLLDDEGMRMVSKYNSLFQNWVPLPPACDGFRSEEDLDWLFSSKQQKERPVSKSVKAVTSALNCSSTSLWPRAQYLPEVELYALPYAIPF >OIV98239 pep chromosome:LupAngTanjil_v1.0:LG14:5280303:5280569:-1 gene:TanjilG_09891 transcript:OIV98239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGTAPTSTYETATTGFGGGGGSATGQGGGSGIGMGFGSGSGGFQGGGGEGSGVGIGSGVGSGSGYGGGGRIGGGRKGGVGFVESGN >OIV97910 pep chromosome:LupAngTanjil_v1.0:LG14:13295734:13299343:1 gene:TanjilG_12667 transcript:OIV97910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDATNGSQPLEPQAKAFFDSAPPLQNTHQITQNLVQFIQHNSSQSENGDSKRIVCVTSGGTTAPLEQRCVRYVDNFSSGHRGATSTEYFLKAGYAVIFLYRRGSFQPFCRSLPDDPLLECFEPTDELNIQVREDYSEAVKRAIVDHHAAVTGGLLLKLPFNTIFEYLQMLQLVATSMRCLGPCAMFYLAAAVSDFYVPWKDMVEHKIQSGSHLLDVQLVQVPKMLAMLRKDWAPLAFCVSFKLETDSNILLNKAATALEKYKMHAVVANELTTRKEQVVVVTRAENIIVQRDNSHSGNDVENPLIKLLSARHAAYIKDSGSGK >OIV97897 pep chromosome:LupAngTanjil_v1.0:LG14:13413144:13415153:-1 gene:TanjilG_12654 transcript:OIV97897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNQNVVAPNDVKPLNFNTIFVMATSISNSNSNLLSSEAVQEPLAMLGGLLSLSQRNFMKRLEGNNKVHNWVDSIRASSPTRDKSTSENQEKSAWNLYHPSALNMFDKIISNSKGKQIVTFLDYDGTLSPIVADPDKAYMTSKMKGTLKEIARHFPTAIVTGRCRDKVYNFVRLAEVYYAGSHGMDIKGPRKNQSPKKGNNNGKTMLFQPASQFMPMINEVYKILQVKTKCVPGAMVENNKFCLSVHFRCVDEKNWALLAEQVRLVLNGYPKLKLTQGRKVLEIRPTIKWDKGKALEFLLQSLGYNNSDEVFPIYIGDDRTDEDAFKVLRSRGQGIGILVSKFPKETDASYTLEDPSEASIKINLITL >OIV98388 pep chromosome:LupAngTanjil_v1.0:LG14:1778515:1778739:1 gene:TanjilG_16715 transcript:OIV98388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAHKEMKEELEKGSKNVAKEDNNNRSKLDDQVTKRIIGEFIIPSGNNENGKAQVPQDVLAFSRSVNKVDSSLE >OIV98257 pep chromosome:LupAngTanjil_v1.0:LG14:4398127:4399554:-1 gene:TanjilG_14846 transcript:OIV98257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSNIVKLATLYLAVCALLHNTKAEEVYDVGDDFGWAIPLGDPIFYDAWASLHYFEVGDILSFNFTNGEQDVARVTKEAYLNCNTTNPISLKKTSPANFTLDVAGDYYFTSTLDKHCLKGQKLAINVPGPREPFNYTVGGNLGWVVSPIGESAYEAWAYNKLFLVGDSLVFYYKNGTQDVAIVTKEAYEKCETNNTLAVYTTSPTRIILNTIGEHFFTSTYKDHCALGQKLAINVTANSSGATALSPSEALSPTNLGPSGSSALVPTSSATSMVFCGYMVTVLSIGLAFFI >OIV97675 pep chromosome:LupAngTanjil_v1.0:LG14:15356207:15356578:-1 gene:TanjilG_12432 transcript:OIV97675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSESEIHDLFSLINQWEEDPSSVSHGSNRAVYSTEERKMRRMHSNRESARRSRCRKKRHLENITREANRLRIQNRELKNRLSSTMHHHLFLSLQNEKLKSESIDLMSKLLDLYQILGTMLSQ >OIV98071 pep chromosome:LupAngTanjil_v1.0:LG14:10536288:10542528:1 gene:TanjilG_09564 transcript:OIV98071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAPENRNRNESLLLALTKHFLLDSSVIPAGSLDGDVKSLYLSITAASGNEDSHSNEEVLKWVAFAEAFPEALEARFEDLKKLNDELSGKSVLLGNGLKPSEADVIVFSVIHSSLINLSDANKEKLPHVLRWTDYIQHKEKFVGLFEEILFHKPEFEPPVTKPVGAVEADVKSNKADQSIKNANKSEADISKDKNKAENIKGKSAGDREPDKAKAKSAVKEPSNGKEKPAEKVPDKDAELSVSLLNIQVGLIRKASKHPSADSLLVEEIDVGEAKLRQVVSGLAKYCNPDELTNRRVVLITNVKPGKLRDVVSEGLVLCASNEGPTIVEPLLPPEGAKIGERISFSGIDGKPEDVLNPKKKQLEKITPNLFTDENGVATFKGIPFMTSGGPCTSSIPKATIK >OIV97826 pep chromosome:LupAngTanjil_v1.0:LG14:14181800:14183883:1 gene:TanjilG_12583 transcript:OIV97826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRECISVHIGQAGIQVGNACWELYCLEHGITPDGQMPSDKTVGGGDDAFNTFFSETGAGKHVPRAIFVDLEPTVIDEVRTGTYRQLFHPEQLISGKEDAANNFARGHYTIGKEIVDLCLDRIRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTVYPSPQVSTSVVEPYNSVLSTHSLLEHTDVSVLLDNEAIYDICRRSLDIERPTYTNLNRLVSQVISSLTASLRFDGALNVDVTEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSVAEITNSAFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTIQFVDWCPTGFKCGINYQPPTVVPGGDLAKVQRAVCMISNSTSVAEVFSRIDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAESGDGEDGEGDDDY >OIV98474 pep chromosome:LupAngTanjil_v1.0:LG14:2606161:2613235:1 gene:TanjilG_16801 transcript:OIV98474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDMPNTDPEGLDGVRMTWNVWPRSKLESSKCVIPLAATISLIKPHPHIPRLPYAPLRCKTCSSVLNPFSRVDFTAKIWICPFCYQRNHFPPHYNAVSETNLPGELYPHYTTVEYVNPIPDSNSNPFNQFRSKFTSPVFLFVLDTCMIEEEMEFVKSALRRAIGLLPDNALVGFVSFGTQVQVHELGFSDMSKVYVFRGSKDIPKEQVLEQLGLGSGYQKGRVQGAAGAGAGAAFPGTAITRFLLPSSDCEYTLNALLDELQSDQWPVPPGSRPARCTGVALSVAMGLLGACNPGTGARIIALVGGPCTEGPGTIVSKDLSDPVRSHKDLDKDVAPYFKKAVKFYESLAKQLVSQGHVLDLFASALDQVGVSEMKVVVERTGGLVILAESFGHSVFKDSFKRIFEVGEQSLGLCFNGTLEINCSKEIKIQGIIGPCTSLEKKGPSVADTVIGEGNTTAWKMCGLDKSTCLTVMFDLSSNDRSNTPGAVNPQLYLQFLTSYQGPDGQSVLRVTTVTRRWVDSSVSSEELVQGFDQETAAVVMARYASLKMETEETFDATRWLDRLLIRLCSKFGDYRKDDPSSFTLNPSFSLFPQFMFNLRRSQFLQVFNNSPDETAYFRMMLNRENISNAAVMIQPSLISYSFNSPPAPALLDVASIAADRILLLDSYFSVVIFHGMTIAQWRNLGYQNQPEHQAFAQLLQAPHDDAQMIVGERFPVPRLVVCDQHGSQARFLLAKLNPSATYNSAHEMTAGSDVIFTDDVSLQVFFEHLQRLAVQS >OIV98153 pep chromosome:LupAngTanjil_v1.0:LG14:8345002:8348838:-1 gene:TanjilG_12189 transcript:OIV98153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDAFTTALFKWDPRTVLPSVPPLTTRSQLLDYTVAPPREMEELFQSYGVRCYTAAKIAELGFTVSTLVDMKDDELDDMMNSLCQIFRWDLLVGERYGIKAAVRAERRRIESEDMKRCKFLLSTDTTTNAIDALSQEGLSEEPVVEHEKEAVGSTWEVVAMEKKKQRRRRRKKMNDDEKAEEEEENEGNNNGGERQREHPFIVTEPGEVARGKKNGLDYLFHLYEQCREFLILVQAIAKDRGEKCPTKVTNQVFRYAKKAGASYINKPKMRHYVHCYALHCLDEDVSNELRRVFKERGENVGAWRQACYKPLVAIVARQGWDIDAIFNAHPRLSIWYVPTKLRQLSHSERNNATASTSVGSGQLHF >OIV98301 pep chromosome:LupAngTanjil_v1.0:LG14:795968:797698:1 gene:TanjilG_16628 transcript:OIV98301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPLLSKKIVKKRVKRFKRPQSDRKISVKPSWRRPKGIDSRVRRKFKGCVLMPNIGYGSDKKTRHYLPNGFKKFVVHNVADLELLLMHNRTYCAEIAHNISTRKRKEIVERAAQLDVVVTNKTARLRSQEDE >OIV98015 pep chromosome:LupAngTanjil_v1.0:LG14:11106796:11111669:1 gene:TanjilG_21725 transcript:OIV98015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSQVQILETTSLLVGDSRKIDELRSLELDNQLESRMRKRRDIFDDGGKLQDDAGDGFDRHKNDTAKDGKKKDDRQKDEKYRDNYRKEMERENRHRHDRQRDERPAKDHANIRSDDKHAREKNILESRQKRTKLPDSDRDPYHDRGGEREWDHDFEYVRDRERHSECDRERDYDFDQDREYDRDRDWHHDRERDRHRDGSHVDDRSVRSKEGRTKKRTLDDRDDYSDSKSRVVKSHYPDAEKRSLSNSKADSDIGMGISQPRQAHADSTGTSNKHRYSPTSNSHIGKDEYRNTNAEDPKYRDSTMEQRTKGSREGYAGISERTPKYKLIEKPTKIDEGPVGDLSTERSSIGKAPSMGLMERSPSSTSIERRHVNKNVVKRSVEIDEGGRRKSIDARDDYRLGRELTLEKPLLDEPSQADSSFYDRTNQSNASLVPPPPAFRAALDRSYMGSLEDDVRDNSNNRYRRNSEPGFGRFHGGNSWRAVPNWTSPVPNGFVPFPPGPAHRGFQTMMPHFTPQPIFGIRPPIDHAGIPYHIADGDRFPGHLRPPLGWQNMMDGTGPAHLHGWDSNNGIFRDDPHMYGGSDWDRNKHSTNSHGWESDSETWKEHNSDTKKDLPSPACKDESVPALVDGGVTDQTTKVSPDEHKRDGFHEKSPEPKLGSLISPEKVPLNSLSTTVPEKIPDTSIQSDSTSLLSHFYLLKLDISEGLVLPELYDQCMCARNADKNASIDADAITKPFLKSARRARQKYAATLSRQAPFPEIDNSVFKRAIDLYKKQRVKLSKEELDIIVPSNQMEVDESLPASSLENKQVSVSASDGTKDVLIPAVELEKMEALSPAKDHLEEINQPCNQMEQDCTHSLKMDMAGQYSIHENQEEAVAALCENEDKVTSDKVKSSDEEENYSMASKQEAPLAPALLEEGDNMNSKAKTTGDDTKVNPLILEDGSPKVCDALMPGSNESESLILSRIHHSPENTH >OIV97983 pep chromosome:LupAngTanjil_v1.0:LG14:11809405:11809827:-1 gene:TanjilG_14083 transcript:OIV97983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINKSCDNFHPYASLIKLIQNWLERDWIVGCSHIHREANKWRTLWQNMAHSIQLGTHEMNTPPPNCRDLLFFDSKVIFLPRNVLVQFFFWIFPLQSTKKKYRCVKIKTVNERNSLKQTTSLVGARYLLNTNNVTRKDNKD >OIV98267 pep chromosome:LupAngTanjil_v1.0:LG14:3055038:3061293:1 gene:TanjilG_09901 transcript:OIV98267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYYVILKVNRNASEDDLKKAYKRLAMIWHPDKNIVNKNEAEAKFKLISEAYDVLSDPQKRQIYDLYGEEALKSGQFPAPPQSSSSSSSSSSSRVYHQSRNPTPTSFSFNPRDADDIYAELFGSDGDDVGGGGGGRGGGRRDGFFRTSNGSAFGGVGKKAAAVENVLPCSLEDLYKGVKRKMKISRNVFDAFGKCHTVEEILIIELKRGWKKGTKITFPEKGNQEPGVIAADITFVIDEKPHTLYKRDGNDLILNQEITLLEALIGKTLAITTLDGRSLMIPLTDIVKPGAEVVIPNEGMPISKELGRKGNLRIKFDVKYPSRLTIEQKSDLRRVLGGIS >OIV98192 pep chromosome:LupAngTanjil_v1.0:LG14:6942400:6943872:-1 gene:TanjilG_11589 transcript:OIV98192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPNGLGGGMFSSMTNSALLGVENTLQQQNLTNPQNTQHLHHSQMVSYANSHQEDQQQQHQSIKLGFPPYLAKKQQSTTTTTMSDEDEPGGGGVGVTGDDNNNSPKRKVSSSSTSSPWQRMKWSDTMVRLLIMAVYYIGDEGGGSETTDPNGKKKPSGGLLQKKGKWKSVSRAMMEKGFYVSPQQCEDKFNDLNKRYKRVNDILGKGTACRVVENQNLLDSIELEPKMKEEVRKLLNSKHLFFREMCAYHNSCGHGGGSSGNSVPQQQVEVGIDASQCAQQKRGCSHSSENGGVNFGGTEQEGMRMLKVGNSKENYNDDEDNSDDSNGEDNSDDSGEDENESAGGSREDDDGHDRKLRNKRTRIASGLGLGSGSRSFSMSSQLMQQLNIEVSNVFQDGGRSAWEKKHWMRLRIMQLEEQHIKYQSEAFELDKQRLKWVRFSSKKERDMEKAKIENERRRLENERMILLIRQKELELMKLHRLQQQQRSST >OIV98130 pep chromosome:LupAngTanjil_v1.0:LG14:8862430:8866138:-1 gene:TanjilG_25995 transcript:OIV98130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISCVACYNTLQEDPLSLYVEDLPYIIDDNGGTFKDLIKQSTNDIVDSSGTSPSQKTIYVNDYGAKGDGRTDDTEAFKKAWQATCSSEEAIVLLAQNNYLLKPIRFSGPCKSNIVFQISGTLEASDNPSDYSNDPTHWLIFDSIDKLTVQGGGTINGNGNIWWQNSCKKNKKLPCKDAPTALTFYKCKNLIVEELSIKDGQQIQVSFQESKNVKASTLTVTAPEDSPNTDGIHVTNTQNIQISSCLIATGDDCISIVSGSKNLQATDITCGPGHGISIGSLGAGKSKEFVSGIVVNGAKLSGTTNGVRIKTWQGGSGSASNIKFQNIEMDNVTNPIIIDQSYCDQKEPCKEQQSAVQVRNVLYQNIKGTSASDMAIEFDCAEYYPCEGIVLQNIDLQSEDGEVANASCKNVKLSYRGNVNPSCPKKERKEIALDTA >OIV97792 pep chromosome:LupAngTanjil_v1.0:LG14:14489371:14490622:1 gene:TanjilG_12549 transcript:OIV97792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRGKQIKSEREEKKDKLSDMPDCVLYHILSFVNFNEVVQTSILSKRWEHIWKSVPTILLYCTQAMTILDFKNFRIFMSKILSLRDKKAALKTIDFKHHGGYVKASLLGKLISDAISHNVQQLSIYAETDINQLPPTILSPIFSSQTITSVKVSVYHKNKSGGRIMFPKSAINVPSLTRLYLVNIEFCASGDEQVDPFSNMNRLSDLFIKYCTLEGAKTLHISSPTLSNLTIHSRDSSINEIVLSTRNLSSFTFEGVPLLTLSGNGLAYVEQVYIDAYTMDDYSLEPPLMLLKWLQKFAHVQSLTVTANTLQVVSLIPDLMDMDHLSMGNLKSLIVKMKKDSSPIVPDGVMKFLLQNSPWAKVSITKHTS >OIV97638 pep chromosome:LupAngTanjil_v1.0:LG14:15618274:15619164:1 gene:TanjilG_12395 transcript:OIV97638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVLGTPPNDYFDFYYSRISPCFSAPSSPKRFGEMFMSAPTTPSRISDFYSKFEYFSISSPTPKADDNDGFGFFDGGRIKPLNEDNDLLESDKSPLLCPKHPKRSTIVQGKKLDREVYSPRERNESYNIDNNGESESRRGRDRTPSFASSNSGLRRATRSHSPYIEPHHTWIEESQKEMINKEDVTKGSLSSMLTPKSSRKWRLRDLLLFRSASEGSGSSKDSLGKYPILFRKGEEGKGYSSFRSSNKPPRSKKKETISAHELHYARKKAETEDLKKRTFLPYKKGILGILAASTR >OIV97813 pep chromosome:LupAngTanjil_v1.0:LG14:14291252:14291638:-1 gene:TanjilG_12570 transcript:OIV97813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSEDGDSKMKIVHGAAGYILEDVPHFTDYIPDLPSYPNPLRSNPAYSVVKQYFVHMDDTVPQKVIYL >OIV98259 pep chromosome:LupAngTanjil_v1.0:LG14:4485290:4486086:-1 gene:TanjilG_14848 transcript:OIV98259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIYSHTVIAGLLLWNESRLKWTGSDTPRNPTRQKQHPRLNVNATYESLLTTRRRFPKSVPLSEMVEFLVDVWEQEGMYD >OIV97631 pep chromosome:LupAngTanjil_v1.0:LG14:15647319:15647636:-1 gene:TanjilG_12388 transcript:OIV97631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFQMWIVILLAFLHFNSYSLIYSYPISGAHLGHEPKLWTPPNGSGCHHNTPPPQSHRHRKTPPPPLLPPLPPAAFYFFSPPPPYSQKTPRPVGPFPVPPPRFG >OIV98469 pep chromosome:LupAngTanjil_v1.0:LG14:2576385:2577206:1 gene:TanjilG_16796 transcript:OIV98469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPDALAKAFVEHYYSTFDTNRAALANLYQEGSMLTFEGQKIQGAHNIVAKLTSLPFQQCLHKITTVDCQPSGVNAAMLVFVSGNLQLAGEQHALKFSQMFHLIPTPQGSYYVNNDVFRLNYA >OIV98217 pep chromosome:LupAngTanjil_v1.0:LG14:5834122:5836019:-1 gene:TanjilG_18756 transcript:OIV98217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGKGAKGLITGKTSAANKDKDKKKPTSRSSRAGLQFPVGRIHRLLKTRTTAHGRVGATAAVYSAAILEYLTAEVLELAGNASKDLKVKRITPRHLQLAIRGDEELDTLIKGTIAGGGVIPHIHKSLINKSSKE >OIV98343 pep chromosome:LupAngTanjil_v1.0:LG14:1261798:1266284:1 gene:TanjilG_16670 transcript:OIV98343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSFKERVDETLKGHKNEILALLLRIEAKGKGIFQHNQVIAELEEIPKENKQNLADGAIGEVLRSIQEAIVLPPFIALAVRPRPGVWKYARVNVHELVVDELSAAEYLKFKEEVVDGSSDGKFVLELDFEPFNASFPKPALNKSIGNGVEFLNRHLSAKLFHDRESLNLLLEFLKLHSYNGQNLLLNDKIQNVDALQQVLRKTEEYLVTLAPETPYSKFEKKFQEIGLERGWGDTAERVLELIRNLLDLLEAPEPRTLETFLGSIPLVFNVVILSPHGYFAQDNVLGYPDTGGQIVYILDQVRALENEILNRIKKQGLDITPRILIITRLLPDAVGTTCGERLEKVYHTEHCYILRVPFRTEKGIVRKWISRFEVWPYLETFTEDVALELAKELQGKPDLIVGNYSDGNIVASLLAHKFDATQCTIAHALEKTKYPESDIYWKKLDDKYHFSSQFTADLFAMNHTDFIITSTFQEIAGSKDTVGQYESHTAFTLPGLYRVVHGIDSFDPKFNIVSPGADLSIYFPYTETERRLTKFHLDIEELLYSSVENKEHIGVLKDHNKPIIFTMARLDRVKNITGLVEWYGKNERLRELVNLVVVAGDRRKESEDLEEKVEMKKMYGLIETYKLNGQFRWISSQMDRVRNGELYRVICDTKGAFVQPAIYEAFGLTVVEAMTCGLPTFATSNGGPAEIIVHGKSGYHIDPYQGDQAAKLLVDFFEKSKNDPSHWDKISHGGLKRIHEKYTWQIYSEKLLTLTGVYGFWKHVTNLDRREHKRYLELFYALGFSKLAKSVPLAVEE >OIV98202 pep chromosome:LupAngTanjil_v1.0:LG14:6617542:6617802:1 gene:TanjilG_28715 transcript:OIV98202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGEDEKEAVGSVVHPTHHHLLKPKNHAAQPRPEAKTLPYLTDRAMETPPPLAKSHPNEDAYFSRCRRHEWYLCHRQTASRSGSLS >OIV98403 pep chromosome:LupAngTanjil_v1.0:LG14:1954259:1956015:1 gene:TanjilG_16730 transcript:OIV98403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGYLVSFANAEIMIVGRHIAARVLWMEHTTCPPNPERSFPKVYFGGNDSMGSHSSGLGPHVPLPEYIENMQKILVHLKNLSERTRVIALTCPPVNEEKVRRNTSTIFSELVRTNELCQSYSEACINLCKELDVKVVDLFNAIQKRDGWMEACFTDGVHLAAEGSKIVVKEILKILKEAEWEPCLHWKSMATEYAEDSPYDLVAADGKTTLNPSDWTFHREVQWD >OIV98176 pep chromosome:LupAngTanjil_v1.0:LG14:7564808:7567386:1 gene:TanjilG_11573 transcript:OIV98176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKIKIGINGFGRIGRLVARVALQRDDVELVAVNDPFITTDYMTYMFKYDSVHGPWKHHELKVKDSKTLLFGEKAVTVYGHRNPEEIPWAESGAEIIVESTGVFTDKDKAAAHLKGGAKKVIISAPSKDAPMFVVGVNEHEYKPELDIISNASCTTNCLAPLAKVINDRFGIVEGLMTTVHSITATQKTVDGPSSKDWRGGRAASFNIIPSSTGAAKAVGKVLPVLNGKLTGMAFRVPTVDVSVVDLTVRLEKAATYEEIKQAIKEESEGKLKGILGYTEDDVVSTDFIGDNRSSIFDAKAGIALNDKYVKLVSWYDNEWGYSTRVVDLIVHVAKTL >OIV97904 pep chromosome:LupAngTanjil_v1.0:LG14:13344867:13357159:-1 gene:TanjilG_12661 transcript:OIV97904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGIGRGNGYINGVQSNRHPATVSEVAEFCSALGGNKPIHSILIANNGMAAVKFIRSVRSWAYETFGTEKAILLVAMATPEDMRINAEHIRIADQFVEVPGGTNNNNYANVQLIVEMAEITHVDAVWPGWGHASENPELPDALKERGIVFLGPPAVSMAALGDKIGSSLIAQAAEVPTLPWSGSHVKIPPDSCLVTIPDEIYREACVYTTEEAIASCQVVGYPAMIKASWGGGGKGIRKVHNDDEVRALFKQVQGEVPGSPIFIMKVASQSRHLEVQLLCDQHGNVAALHSRDCSIQRRHQKIIEEGPITVAPHATVKQLEQAARRLAKSVNYVGAATVEYLYSMETGAYYFLELNPRLQVEHPVTEWIAEINLPAAQVAVGMGIPLWQIPEIRRFYGVEHGGGNDAWRKTSGLATAFDFDKVESTRPKGHCVAVRVTSEDPDDGFKPTSGKVQELSFKSKPNVWAYFSVKSGGGIHEFSDSQFGHVFAFGESRALAIANMVLGLKEIQIRGEIHTNVDYTIDLLNAADYRDNKIHTGWLDSRIAMRVRAERPPWYLSVVGGALYKASASSAALVSDYVGYLEKGQIPPKHISLVHSQVSLNIEGSKYTIDMIRGGSGSYRLRMNESEIEAEIHTLRDGGLLMQASIVIIGFCSLPITLDGNSHIIYAEEEAAGTRLLIDGRTCLLQNDHDPSKLIAETPCKLMRYLVVDDSHVDADTPYAEVEVMKMCMPLLSPASGIIHFKLSEGQAMQAGELIARLDLDDPSAVRKAEPFNGTFPVLGLPTAISGKVHQKCAASLNAARMILAGYEHNIDDVVKSLLHCLDSPELPFLQWQECFAVLANRLPKDLRNELESKYKEFERISSTQVVDFPAKLLKGILEAHLSSCPDKEKGAQERLVEPLLSLVKSYDGGRESHAHKIVQSLFEEYLFVEELFSDNILADVIERLRLQYKKDLLKIVDIVLSHQGVKSKNKLILQLMDKLVYPNPAAYRDQLIRFSQLNHTNYSQLALKASQLLEQTKLSELRSSIARSLSELEMFTEDGETIDTPKRKSAINDRMEDLVSATLAVEDALVGLFDHSDHTLQRRVVETYIRRLYQPYLVKGSVRMQWNRSGLIASWEFLEEYIERKSGVEDQISEQTLVGKHNKKKWGVMVVLKSLQFLPAMISDALREASGNLHEALPSEPVKYGNMMHIALVGINNQMSLLQDSGDEDQAQERIKKLAKILKDQEVGPTIRAAGVGVISCIIQRDEGRAPMRHSFHWPAEKNYYEEEPLLRHLEPPLSIYLELEKLKAYENIRYTPSRDRQWHLYTVTDNKPQPIQRMFLRTLLRQPTTNEGFSLYQRLDAEKSLTQLAMSFTSRSIFRSLMAAMEELELNAHNANIKSEHAHMYLYIIREQQIDDLVPYTKRIDIDAAREEVTVAEILEGLAHEVHSSVGVRMHRLGVVVWEIKLWMAACGQANGAWRVIVNNVTGHTCTVHIYREMEDTDTHKVVYSSVGVKGPLHGVPVNENYQSLEVIDRKRLSARKNSTTYCYDFPLAFETALEQSWATQQSGSQRAKDTNLLKATELKFADKEGSWGTPLVAVERSPGLNDVGMVAWLMEMCTPEFPSGRTILVVTNDVTFKAGSFGPREDAFFRAVTDLACAKKLPLIYLAANSGARLGVAEEVKACFRVGWSEECSPEHGFQYVYLTPEDYARIESSVIAHELKLESGETRWVIDTIVGKEDGLGVENLSGSGAIAGAYSRAYKETFTLTYVTGRTVGIGAYLARLGMRCIQRLDQPIILTGFSALNKLLGREVYSSHMQLGGPKIMATNGVVHLTVSDDLEGVSAILKWLSYVPSHVGGKLPIVKSLDSPERRVEYLPENSCDPRAAIAGTTDGNGRWLGGIFDKDSFVETLEGWARTVVTGRAKLGGIPVGIVAVETQTVMQIIPADPGQLDSHERVVPQAGQVWFPDSAAKTAQSILDFNREELPLFILANWRGFSGGQRDLFEGILQAGSTIVENLRTYKQPIFVYIPMMGELRGGAWVVVDSRINSDHIEMYADRTAKGNVLEPEGMIEIKFRTRELLECMGRLDQQLITLKAKLQEAKSNREIVTIESLQQQIKTREKQLLPMYTQIATKFAELHDTSLRMAAKGVVREVLDWGNSRAVFYRRLNRRIGEQTLINSFRDAAGGDHLSHVSALELLKNVYLNSDIAKGREDAWSDDEAFFRWKDNPTNYEDKLKELRAQKVLLQLTNIGDSVLDMQALPQGLAALLSKLEPSSRVKLTDELRKGANMMNYMLNLLTKEQDKIQHMVKRALGEMAMNINLGQLTF >OIV98319 pep chromosome:LupAngTanjil_v1.0:LG14:973854:981907:-1 gene:TanjilG_16646 transcript:OIV98319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSDPNKFITKADKLTTLSLTRWSADWKSATVLYEQAANAFRVAKDYEKAKTAYEKASKGQEMLSSPWDAAKHMESAATQAKELSNWKEVGDFYRRASELYIECGRSQPASDALEKGARALADTLPDEAIQLYTDACTILEDDDKEQMAFDLYRATATVYIKLEKYTDAASVLLRLGLAADKCNATNSHCKAYLSAIIVYLYAHDFKQAEQCYNDCYQIDAFVKSDQNRCASKLLAAYTDGDIEEIKRIAQSSAIANLDHVIIRLARKLPTGDVSALKADSVEDGEEALDENDLT >OIV98393 pep chromosome:LupAngTanjil_v1.0:LG14:1824286:1829232:1 gene:TanjilG_16720 transcript:OIV98393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTFSFKKAYDALKDSTKVGLAKVNSEYKDLDIAIVKAANHVEYPPKERHVRKIFYATSAHQPRADVAYCIHKLSKRLTKTRSWIVAIKTLIVIHRTLREGDPTFREELLNYSRRGHILQISNFKDDSSPMAWDCSAWVRTYALFLEERLECFRILKYDIESERLTKSSPAATKAHSRTRSLPNDDLLEQLPALQQLLYRLIGCQPEGCAYGNFLIQYALALVLKESFKIYCALNDGIINLVDMFFDMSRHDAVKALNIYKRAGQQAENLADFYEYCKGLDLARNFQFPTLRQPPPSFLATMEEYIKEAPQTGSVNKRLEYQENDQSPTSEDSSPKESEKPQDNEQQTEDEEEDEEEVPIAEEESQPKEEEVELPPLISTDTVDLLGLNEINPKALELEDKNALALAIVPPGGNNNPSNLALSNIDGTSGWELALVATPSNHTSQAPDRKLGGGFDKLLLDSLYEDDTARRQLQLQNAGYGHGGIPIQNNPFDQYNQHDPFAVSNNIAPPPNVQMALMSQQQMMFQQQQQQQQQNPMMFQQPQNNMMMVPHQQQQQPHIQQYPPQQMQMMGSHNPFGDPLPVPSYPHSSMPQQGNYNLI >OIV98110 pep chromosome:LupAngTanjil_v1.0:LG14:9496742:9498514:1 gene:TanjilG_25975 transcript:OIV98110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGVSLAVPHSSLSSDQTAPPPAPPAPATKQKQHHAAAAASASSNSMMGSLRVIELQLVAFILVFSASGLVPLLDLVFPALTSAYILALAKFAFPSHDTISKEEIFKGSRLFRMYVIAGTTIGLFLPLAYVLGGFARGDEHAVRSATPHLFLLSFQILTENIISSLSLFSPPVRALVPMIYTIRRIFVDIDWINDVWINKALPANAQVQDTAWYWFGKGLAVANMAYFCINLFGFLIPRFLPRAFERYFQERGEIYAKATEDKLSTPINKPQLSEKKRD >OIV98376 pep chromosome:LupAngTanjil_v1.0:LG14:1599377:1601716:-1 gene:TanjilG_16703 transcript:OIV98376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPAVAVPFTVGNLIQKEITSLELVASTAATLILNPAIEDCHSYSVETEKQHMDIISPQHRNLVYTEVKGNQVVAEIVSEMVIPCREESATLSSNCSEIDSPIAIKGKSSSNEPRGKIEPDENMASVAKGNGSGSDGCDPKPNSELIEKQTCRTSSQNALELSGNGARYGFSSVCGMRPEMEDAIAVKPQLFQVPPQTLMDDHVDENTNYSLAHFFGVYDGHGGCQVANYCQEHLHSVLVKEIEAVEAEKNGRDNWQDHWKKAFFNCFRKVDDDVGRVHANSGNNSEDESESSIELLAETVGSTAVVAVLTRTDIIVANCGDSRAVLYRGKEALPLSSDHKPNREDEWTRIEAAGGKVIQWNGYRVLGVLAMSRSIGDHYLKPWIIPDPEVMFVHREKIDECLILASDGLWDVITNEEACDIARKRILIWHKKNGNNASTGQGHGADPAAQYAAEYLSKLALQRGSKDNISIIVIDLKAQRKLKTKGIN >OIV98033 pep chromosome:LupAngTanjil_v1.0:LG14:10693392:10694849:1 gene:TanjilG_12264 transcript:OIV98033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISSIFFILVFSFLILRSFRKTSTLHILNKWWFSFENRFHVYQSFKVPLYNQNFQENQLYRKIITYLDSLHSVQDSDFTNLFSGDNPSDIFFQLDANQVVDDTFLGAKLRWVKHAVATVGGDSDSAALVLRIKKRDKRMIFLQYFQHVLSVADEIEQRRKEIKLFINTGAGETSRWRSVPFNHPASFETVVMDNELKNKVKSDLEQFLKSKHYYHRLGRVWKRSYLLYGSSGTGKSSFIAAMAKFLNYDVYDIDNSKVTNGSDWKTLLMQTTTKSMIVIEDLDRLLSSKSTAVNGSISSVLNFMDGVVSCCGEERVMVFTMNGSKDEVDETVLRPGRVDVHIHFPLCDFSTFKILANSYLGLKEHKLFPQVEEVFSQTGPRLSPAEVGEIMISNRNSPSRALKTVIAALQVQSNGRLNGRGSGQISYDRPEPAAVICRESVHTVREFKKLYGLFSLGSRKKDGSNYTGPIEKEASRNSGWFDKKD >OIV98471 pep chromosome:LupAngTanjil_v1.0:LG14:2588978:2591733:-1 gene:TanjilG_16798 transcript:OIV98471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLVLLSSFTYPHLSTFYKSPLHLHHHRNDKNQNLVTTTLSVPYASLYQNSSKSLLLLSHQRFVAHTSIQDTDTETNDSSSNLVGEDSAVFHFEQQKLSSWIYFTAILGVVLFILDVAWIDNSTGFGKAFVDAVSRISQSHEVVMLILILIFAGVHSGLASLRDSGEKLIGERAFRVLFAGVSLPLAVTTIVYFINHRYDGIQLWQVQDVPGIHQLAWLSNFISFFFLYPSTFNLLEVAAVDKPKLHLWETGIMRITRHPQMVGQVMWCLAHTVWIGNSVAVAASFGLIGHHLFGVWNGDRRLGIRYGKDFELVKGRTSVVPFAAIIDGRQKLPKDFYKEFIRVPYFIITAVTLGAYFAHPLMQAASFRLHW >OIV98154 pep chromosome:LupAngTanjil_v1.0:LG14:8294557:8296338:1 gene:TanjilG_12190 transcript:OIV98154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAIVSALTQVIGGTNNEPLHQHQDPLPAITQSQQSQPTQVDQGNTRRRHYRGVRQRPWGKWAAEIRDPNKAARVWLGTFDNAEAAALAYDEAALRFKGSKAKLNFPERVQGTGQFGTYLTNRQNEQAPINQVALDPHPHFSQETYSYNAFQHEQFMGSGESSNNNFSYDMSSLYGNQPFTSSSSGLTQQQQQQELMRFSRQYGGSSSGSDQLPRNWKDDKDRRQ >OIV98006 pep chromosome:LupAngTanjil_v1.0:LG14:11002665:11006048:1 gene:TanjilG_21716 transcript:OIV98006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLDSIECVSFSDGMDEDEIHLLHHHSEFSSTKPRNGSTNHNNSMVPTVIAPATSVHELLECPVCTNSMYPPIHQCHNGHTLCSTCKTRVHNRCPTCRQELGDIRCLALEKVAESLELPCKYYSLGCPEISPYYSKLKHETVCNFRPYGCPYAGSECSVVGDIPFLVAHLRDDHKVDMHTGCTFNHRYVKSNPREVENATWMLTVFHCFGQYFCLHFEAFQLGMAPVYMAFLRFMGDENDARKYSYSLEVGGNGRKLMWEGMPRSVRDSHRNVRDSHDGLIIQRSMALFISGGDKKELKLRVTGRIWKEQQNPDARVCIPNLCS >OIV97869 pep chromosome:LupAngTanjil_v1.0:LG14:13717570:13720120:1 gene:TanjilG_12626 transcript:OIV97869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSEVRCGLLEEVITGGILRENRLEIGTSGFEDVCNKCSLELKEMLLEGDGVEEEVLKWMENQKNFHLAPADHAMKLEIIIKMYGLLEAEEYFMSIPDSASKKAACLALLRGYVRDRDTSKAETFMVKLYDLGLMVSPHPYNEMMKLYLATCEYSKVPLVIQQMKRNRVPCNVLSYNLWMNACSEGGGDSVAAVESIFRVIQNNENIEVGWSSLATLANVYIKAGQSDKAIQVLRYAEKKLSTCNRLGYFFLITLYASLKEKEGVLRLWEASKAVSGRISCANYISILTCMVKLGDIVQAKRIFREWESNCQKYDIRVSNVLLGAYVRNGLIEEAEALHIHTLERGGCPNYKTWEILIEGFVKNQKMDEAIIAMKRALALLKDCDWRPPQGLVLAIAEYLEKQGNFDYANEYVTEVHNIGLGNLLLYKILLRMHISANKPPFHILKMMDKDKVEMDSETLSILNAFTG >OIV97821 pep chromosome:LupAngTanjil_v1.0:LG14:14236372:14236713:-1 gene:TanjilG_12578 transcript:OIV97821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVSAIFGCRVMIAPTSFGINNVNSIPINNNNNYCGGLMIECSSRPQKKGTAHHKKTRPRKTQPWDIKKKPTVYAPLPPLPPEWSFVISADVASDVASSTVILQPESDVTLTV >OIV97844 pep chromosome:LupAngTanjil_v1.0:LG14:13977271:13980060:1 gene:TanjilG_12601 transcript:OIV97844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTNQHFQGVSNCYVFKSRLQEYAQKVGLPTPVYETMKEGPSHEPYFRSTVIVNDVRYDSLPGFFNRKAAEQSAAEVALVELAKSNEVNQSITQPVHETGLCKNLLQEYAQKMNYAMPMYVCKKDETPGRASRFTCTVDIGGILYIGGAAKTKKEAEIKAARTALLAIQTSASQPSQNQSVHSQLTVLPGKKRTGESAALAGETSNTPKPKKSRFVRKSLKRKHRKDKKGRGCVEGESVGANINHQAESFASVKDDSSIQEMKSGLLTSEEVKNIGNGIAGEKETLTGEGSFAQNSQGNLENGKSTELHSEENNIVTVSSEASSVPKGDIPEMNKKQSNGEMVSDK >OIV97620 pep chromosome:LupAngTanjil_v1.0:LG14:15715627:15716667:-1 gene:TanjilG_12377 transcript:OIV97620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWTREENRRFEDALAVHGPNDQNRWQNVANAVGGKSVEEVKKHYEILKEDLIRIECDQIIPPKYRGAGINVRKIVNDQRKIGNLNFQ >OIV98414 pep chromosome:LupAngTanjil_v1.0:LG14:2051413:2055506:-1 gene:TanjilG_16741 transcript:OIV98414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKRNWKFNCFMDQNGVLYLLALCVLFQNFGLCCSLNEEGKALLKLKQRIVSDPFGALSNWVDDEVAIDPCNWFGVECSNGRVVVFILRNNSFVGIIPAGIAHLKELEVLDLGYNNFSGPLPNDLGSNISLTTLLLDNNDHLGGFSPEINKSKVLSECQVGKNSLISTDKMPACTGRSGKRHDQNKKAHWSLLQTRSQEHEDIHNRASDFPDTPALSPASSQDHRLAKPPRVPKSASPKQSVSGSPSPLLMPRSDFPDTPALSPSPYLHSRLAKPPLVPKRASPERSVSGSPSPLLMPGSDFPNTPALSPSPYLHPRLAKPPLVPKRASPERSFYGSPSSLLMPGSDFPDIPPLSPPPSLDPPLAESPLVPNPDSPEQSVPVSPPLLKPGSPTHSSSTVNYVTILAAAGGGVVFLLISSIGIYLCRNKVSVKPWSTGLSGQLQKAFVTGVPKLKRSELEAACEDFSNVLGTSSIGTVYKGTLSSGVEIAVASVSVESSKNWSKTLEIQFRNKIETLSKINHKNFMNLLGYCIEDEPFTRMLVFEYAPNGTLFEHLHIQESEHLDWGTRLRIAMGMAYCLQYMHQLNPPLPHSNLNSSAVQLTDDYASKISDLSFVNKIGKPNKKSSARKHIEMTLASNVYNFGVILFEMVTGRLSYSADNNGSLGDWAAYYLQGDVPIKEMVDPTLANVDEEKLEQVGDLIKFCVQHDTHKRPTMKEVSLRLREITNITSEAAVPKLSPLWWAELEIAAADES >OIV97903 pep chromosome:LupAngTanjil_v1.0:LG14:13364433:13366200:1 gene:TanjilG_12660 transcript:OIV97903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKIALVLFICVLPAMVTAIRHEKNPFSVKGRVFCDPCRATFETSATTYIAGAEVILQCTDRVTNEVVYTKKGFTDSTGTYMIDVNEDHKDQVCDAKLVNSNHPTCNEATPGRDQARVILTGYNGIASTERFANAMGYMTQEVASGCADVLRQYQEFDEEI >OIV97867 pep chromosome:LupAngTanjil_v1.0:LG14:13738238:13740632:-1 gene:TanjilG_12624 transcript:OIV97867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEKTNHRSSLLDLSTLIITEPGSLSGSGSTLYQSPLPDRVLEIVLENVLNFLTSRRDRSAASLVSWSWYRAEAQTRSELFIGNCYALSPRRVTSRFGWVRSVTIKGKPRFADFDLMPLNWGAHFTPWLVAMAKAYPWLEKVHLKRMCVSDDDLIQLSESFVGFKELVLVCCEGFGTTGLSAVATNCRFLRVLDLVESMVEVADDNEEVDWISCFPEGQTHLESLVFECVECPVNFEALERLVARSPSLRKLRLNCYVSISQLYRLMHQAPHLTHLGTGSFNALEAVVAGDQEPDYGSAFAACKSLVCLSGFRDISTDYLPAIYPVCANLTSLNFSYADINADQLKPVIRHCHKLQTLWVLDSICDEGLQEVAATCKDLRELRVFPVNTREENDGPVSEVGFEAISRGCKKLQSILFFCQRMTNAAVIAMSMNCPDLEVFRLCIIGRYQPDSETHEPMDEGFGAIVKNCKKLTRLAVSGLLTDQAFRYIGMYGKLIRTLSVAFAGDTDLGLKYVLEGCSNLQKLEIRDSPFGDEALYSGLHHFYNMRFLWLSSCKLTRRACQELARTLPRLVLEVINSEEDKSDDIEILYMYRSLDRPRDDAPKFVTILN >OIV98496 pep chromosome:LupAngTanjil_v1.0:LG14:407982:408863:-1 gene:TanjilG_18780 transcript:OIV98496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVTTATSTIPNHNPIVAFTTPPNYAARLSLLLTLNSYNPLWSPTLIIQPTPSNLTPYLSPHSLHSFSAIAFTSRTAIQSFSDAASDLPQPPLSDAGPTFTLAALGKDSELLDKDFIYKLSGNSGRVRILVPPIATPSSLVSSLGIGDGRKVLCPVPRVVGLDEPPVVPNFLNELWAMDWAPVRVNAYETRWAGARCAEGIVKGIEEGELDAVVFTSSAEVEGLLKSLKEFGLVFEDVRRRCPRLIVAAHGPVTAAGVERLGVKVDVVSSRFDSFEGVVDVLNETLERFKLLD >OIV97669 pep chromosome:LupAngTanjil_v1.0:LG14:15392481:15396459:-1 gene:TanjilG_12426 transcript:OIV97669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQSESNGDNKKCQQAKEVQTHPVKEQLPGVQYCINSAPPWPEAVALGFQHYILTLGMTVLIPTIIVPQMGGGNAEKANMIQSLLFVSGLSTLLQSWFGTRLPTVVVGSYSYIIPTISIVQASRYSAYTDPYERFTVTIRGIQGALIISSCFQMIMGFFGFWRNATRFFSPLSLVPYVTFTGLGLYRLGFPMMAKCIEIGLPALIVMVFISLAKVLTSSTAYNHTPESTQTSCRTDRAGLISGAPWVYLPYPFQWGSPTFNSGEAFAMMAASFVSLFESTGTFYAAARYGSATPVPPSIISRGTGWMGVATFLNGMFGSVTGSTASVENAGLLALTRVGSRRVIQISAGFMIFFSVFGKFGALFASVPLPIIAALYCVFFGYVSSAGLGFLQFCNLNNFRTKFVLGFSFFLGISIPQYFSEYYHVKHESTSPRWLNDIVTVLCMSHTTVAALVAFILDLTLSREDDATKNDSGLQWWEKFTLYNADVRNNEFYALPCRLDELFPAL >OIV98341 pep chromosome:LupAngTanjil_v1.0:LG14:1224598:1226844:-1 gene:TanjilG_16668 transcript:OIV98341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRKTRVLSDIIKPLPAEEEKALKDTLQEILGIGAKVHLEQKIDPSILGGIVLEFNQKVFDMSIKTRAQQMERILREPVNIGSI >OIV97925 pep chromosome:LupAngTanjil_v1.0:LG14:13109394:13109957:1 gene:TanjilG_12682 transcript:OIV97925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESGRTTGTVKWFNAHKGFGFIAPNDGGEDLFVHQTSIQSDGYRTLSEGQLVEFTVDFNDSGRTKAVDVTSLVVQSHRFGGGRRGRRGRGDYGGYGGRYGGKEGPGDGRGGGIGRRGGGGGGYSGGGGGGPECYNCGRGGHLARDCYQGRGGGNRRRGGGGGGGRCFNCGEEGHFARECPIVEKIE >OIV97818 pep chromosome:LupAngTanjil_v1.0:LG14:14253463:14257202:1 gene:TanjilG_12575 transcript:OIV97818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRVCSHWEGDEELVVEEVTCDIFIRKLNLLSGGRESTFNSERIPSLSTVYEEVETSHKCFPRTFTCFSSALGILDNDSLQRQEIKVNKGKRSRSSVLEVIDDTRMPFGEEISTASMKYQTFRGIHFRLLLENLGTLEETFADSEAQKLRDDIMLQLGKLGALEFFEACLSRAIEPSRVLDFTNGHPNQFGEQRKNSRVDEYMDKVVVHSSRKKQNKTRRKRAFTATEILSPSLPLKADREQEELLFVRTSSVKRESNGKNRIVVARREAEMSKAIKVLAELEKISAAIEDDTKQVASLSNWAEAAGVDEQVLKQKLLYGQYCRDELIRSTRPLVLYVARKYKGMGIAMEDLLQAGYVGVLQGAERFDCTRGYRFSTYVQYWIRKSMSRMVSRYARSIVVPWSLSKAINQIQKAQKSLKNACMKCPDDYEIAKMTGLSLDKIRSASNCLRVVASIDQKEFMPDTAVGSPEDAVMKQHMRKDIYDLLNCLDSRERQILILRFGLIDHQPRSLQDIGRLFKVSKEWVRSITPY >OIV98232 pep chromosome:LupAngTanjil_v1.0:LG14:4781910:4782119:1 gene:TanjilG_09884 transcript:OIV98232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEARAILEDDIDVDNCVELVMIEDTIEVEGLTSDSDDKIVAPIGAGAVVVANKDDTKEDPKEGSSTPSS >OIV97582 pep chromosome:LupAngTanjil_v1.0:LG14:15960020:15969951:-1 gene:TanjilG_12339 transcript:OIV97582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKYMISIVMLLLQLLILFKSGAADYIPPAKSDGFGYKAHSINLNSILIEAFYDPLCPYSRDSWPPLKKALHHYGHHRVSLVVHLLPLPYHDNAFVASRALHIVNGFNKSATYPLLEWFFKNQEKFYNVPTRNLSRASIVKEIVKSATKVVGNSYHDSIKNGFNDTNTDLLTRVSFKLLILFKSGAADYIPPAKSDGFGYKTHSINLDSILIEVFYDPLCPDSRDSWPPLKKTLHHYGHQVSLVVHLLPLPYHDNAFVASRALHIVNGLNTSATYPLLEWFFENQDKFYNAATRNLTRASIVEEIVKSATEVVGKSYHSSIKNGFNDTHTDHLTRISFKYAASRGVYGTPFFYVNGFLLPDNGAAVDYNAWRKVLDPLNVNSKMAPKYMISIVMLLLQLQLLILFKSGAADYIPPAKSDGFGYKIHSINLDSILIEAFYDPLCPDSRDSWPPLKKALHHYGHHRVSLIVHLLPLPTAHKATIASSKTQSFGYLSSQGFSVDPDKVGELVVLTRQLVAVGENTGDASGEGGAVVEHDVYHDNAFVASRALHIVNGLNSSATYPLLEWFFKNQEKFYNAPTRNLSRASIVKEIVKSATEVIGNSDYNSVKNGFNDTNTDHLTRISFKYAASRGVYGTPFFYVNGFVLPDTGDSVNYTAWRKVLDPLVGAKKGDKNEESLRFFL >OIV98081 pep chromosome:LupAngTanjil_v1.0:LG14:10614330:10617692:-1 gene:TanjilG_09574 transcript:OIV98081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGELASELRLVKCPKCWLFLPENPKYNVYKCGGCGTFLQAKKKRSVAVNSESTAQETAAATRNTPVPLQENGLKAKANSSSSREFSLDGNVQRGQNRNGNCNGEIIGLGPFNLSDEELETELDIYKLSHRRRRFSNKGSSNKNNHCEIEEITSSSNGNDNNEKPTQVGVKSEMEIIGSALEGSEVLDNGNLSLERAQDALVSRSDDEDDSNGKSDLVDATPEVEMNGHDLEGLEELNDGNLLSKGAEKQLSVGSDGKDADTDKLALAGANPEVETSGSDSEGTEKFHNGSMSLKGEEEEIVSRLNNNKSSLVVEKLEVEISGRNIAGEVNGGKLLLERAEKELIKLALVGHDPNNEKSVLVGEKSEVDITVSTSAPKRSNTQNFVSEKRSILPVTPGQIEEGTSGNHVSSNKQQKESQKNIQKCFDRVRSMDTLDSPEPSGIPGGLYTSQATRRYYGYDDSVSSYDGKYERFPIQHLDSFENTYKVANSVSEGRSKKGKGHVNSMLYGDHGTRHQPYFPNEKHHVVKDSRRNQKKVQKSTTQHGHHHWMRAKREEFPPRIPFHQSGFQSRYESCSPSNQMHDETLSREDNDQEKMKLFRMIRRLQDQLNRTRSPSRETNGRLPTCVSYKGKHIPAYHSHDLHDGRFSQCMDHPIPTCNGRCNHGLNWHQRHKFSRIPYSYEETSSAHHADHSCFNCCPQHELYRSYPRHDCWSSNSTPHRFKTSQFPVFGRETKSGDQMYMFPEVKKYVRRKQNLGKRYYKPVAGGAPFVTCHKYLNLLHLPSDFLLFERACHQIKCGGCSEILKFALQNGNHIASYSPSAIGSPSGDFDDQKEVINNSSNLPSKSHANYYHYTPADPISISAEREKTASRHSSTSKAPVKADQSPELSSNMTVSWKLSSEKEAKQPRKSPPLHKLMGYTSPSQVIRGTTSLEAK >OIV97900 pep chromosome:LupAngTanjil_v1.0:LG14:13376934:13377423:-1 gene:TanjilG_12657 transcript:OIV97900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVTLSKNASKGHEQMLISQEQEAKINEVRKLIGSLSDKASVYCSDASISRYLSSRNWNVQKGAQMLKLSLKWREEYKPEEICWVSYCLPSLLSMIFETQCIDAMKIGLGATVKVVTT >OIV97918 pep chromosome:LupAngTanjil_v1.0:LG14:13228092:13237439:1 gene:TanjilG_12675 transcript:OIV97918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGCRCYRRTNMGALEKLPVEETSCPCPAGFPAYLPEIAEDSSGKFGMDFFSQAWKALSERSPFDVVAGETSNSVAVVTLPSELANFLNRHGDSRRGHKKSPHAGGDSEKKKKSSRAGEKAHGSNIWTGMEVYFRDLTLSDIDTLAEASSLCNLTYSKCFLIPRLENALKFDVVSSKDEKIAVPTFNLDSNDNKKNVVSGDEKNADENANGVDMKIENDFVGIESVDNVAVGRVLPRGDETCGSLEWLLGCRNRVSLTSERPSKKRKLLGGDAGLEKVAMATPCDVGQPFCDYCSRGDTGNDSNQLIACTSCKVVVHQKCYGVQDNVDESWLCSWCKQMTDIDESQNPCVLCPKKGGALKPVNGSFENVGSVQFAHLFCSLWMPEVYIDDLKKVEPVMNVGEIKENCRKLVCNVCKVKCGACVRCSHGTCRASFHPLCAREARHRMEVWAKHGDDVDVKLRAFCLKHSDLQENISTFPSRGSVAIGEFYEAKHLPVTVPLDSELNVKIGVQNGEVVSDSSPEKLNHNEPQGGGLSDCRLSAHGLLGCGAVEQHNIGVVGKTNENVDASDTLSFARILKKLIDRGKVDAKDVALEIGISPDTLTANINEAYIVPDVRHKIVNWLKAHVYTTAFHEGVKVKIKPANASIGEGGAANGSENLLKSDSGLLDTIAVKAVPPRRRTVSNIRILKDKMICSSNGVTSENGMPVDKISVDQTDHKNPGISNEASVPGATEMNLIKSEDFCAAVREQFILKEAVSSYVHPYIKMASQQVHDGVSLEGIICPREEGNSSVKFSGASDCSSSQNQQLPCIDFSKPGQVNKEQLARARKIEPLDFSREGEVERELIYYQHRLFQDRVAKKRLTDNLIHNIVKSLPQEIDMAHQRRWDAVIVNRYLCDLKEAKKQGRKEKRHKEAHAVLAAATAAAAASTRVSSFRKDTLDESMQQENLLKLDTLSGRNGACSQPMPRVKETLSRVAVTRTSSEKYSDFGLSASNFSKEQPKSCNICSRPETMLNPILVCSGCKVAVHLDCYRSVKETMGPWYCELCEDLSSRSSAASTVNFWEKPYFVAECALCGGTTGAFRRSSDGQWVHAFCAEWVFESTFRRGQINGVEGVETMLKGTDTCCICCRKQGLCMKCCYGHCRTTFHPSCARSAGLYMTVRTVGSKLQHKAYCEKHSLEQKEKAGKQKYGIEEFKRMKQIRVELERLRLLCERIVKREKIKRELILCSHDKLAFKRDHVARSMLVHSPFALPNGSSGSAMTSLIGNTEGYRSCSEAVEQSDDVTVDSLVSAKHRVRVAVSMDTDPKVDDGCSTSQSHYNHKILEKLQFSGKQIPRRAFATLHYHSDEGGRRSKSRKHAETFGKELVMTSDEASMKNSRLPKGYAYVPADCLSNDKHSKEDLYAGEPVE >OIV97656 pep chromosome:LupAngTanjil_v1.0:LG14:15482841:15484148:-1 gene:TanjilG_12413 transcript:OIV97656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METRAAKRKSTSVNVSDFQDENSMKKQRVVLGEISNVPNFTEEPRGVKNPRIKRVAASRRPFSSLDSDFDDEKKAFVSVTAKSTTNVKFSDPQNVEPMVNDICSYLFRMERENKRRPMVNYIEKVQCEVTPHMRGILVDWLVEVADEYKLLPDTLFLSISYIDRFLSLNRVAKPKLQLLGVSTMLIAAKYEEINPPNVEDFVLITDNTYDKSEVVKMEADILKSLSFEMGNPNAKTFLRSFVAIGCENTKVKKLQFEYLCNYLAELSLLDYVTLHQK >OIV97531 pep chromosome:LupAngTanjil_v1.0:LG14:16223114:16226746:-1 gene:TanjilG_12288 transcript:OIV97531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLENHLVAGAALLSPPPPPPPSSDSNSTNTVIKRYAPPNQRNRSTHRRKSSDRLDRTNSAGSDLDKNQVASLRNAQLPDQGDAAISNLPNENHYPRFVALEGCSCSAASQLLTDRWTAAMQSYNNPTDSSVRFGRKSVEGGCKKWEDVDVGAASSLVVVVVVLCDEADDDDCGYVCTYQYWLT >OIV97931 pep chromosome:LupAngTanjil_v1.0:LG14:13013166:13022294:-1 gene:TanjilG_12688 transcript:OIV97931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKDINLRSGTALTLLMLLSFTTFLLPKAEAIWLTIPNSGTKCLSEEIQTHVVVLADYYVITDDAAEAHQPHTISVKVTSPYGNNLHHNENVTHGQFAFTTTESGNYVACFWLESKHQESATVSLEWKTGISAKDWETVAKREKIEGVELELRKLEGAVEAIHDNLLYLKNRNADEAPNVISLLICHKGEEKRCVFSRQQTVNDIRAYLGEDPEYQMINLSANPGKVLSDGSIRIEEEGLEDGAKLLYGKPCKDLSPEHEW >OIV98125 pep chromosome:LupAngTanjil_v1.0:LG14:9056114:9057582:-1 gene:TanjilG_25990 transcript:OIV98125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSPCCEKEHTNKGAWTKEEDERLINHIKIHGEGCWRSLPKAAGLLRCGKSCRLRWINYLRPDLKRGNFSEEEDEIIINLHSLLGNKWSLIAARLSGRTDNEIKNYWNTHIKRKLYSGGIDPQNHQPLNASAAATTTPTATTVPPSNTVATISNKKRNNDSISNIKHDTSNNNFQFVNGTEDSNCSSGVTIEELAFNPHNQLNLDLSIGLSTNQPKVSSSTINQENKNKKLKQEPQILYQLYNGNKVNTTQQGVCLCYGLGFQSNNKACSCKAMGSATTTVTATIATNNDMCRFYQPMSF >OIV97794 pep chromosome:LupAngTanjil_v1.0:LG14:14473262:14478097:-1 gene:TanjilG_12551 transcript:OIV97794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKSIVLRSGINGLSLQDEILCKAVERFKGKNWKKIAECFKDRTDVQCLHRWQKVLNPELVKGPWSKEEDEIIIDLVNKYGPKKWSSIAQHLPGRIGKQCRERWHNHLNPSINKEAWTQDEELALIVAHEKYGNRWAELTKYLPGRSDNAIKNHWHSSVKKKLDSYKASGLLARLQSAPFIGNPNQPIASTSSRLQCSGDENGPRGTEAEEVSQCNQESANAGHFPSATEMSNVVFRTREECRPNEESSVGKDYSPSEASCSEPYYVSLDDVEFNEQKYSQEPGNFTNGDCQLNLSALANISSLDFVREPSRLQNDCIASSESQDMLISNDECCRLLFPEAMGDDCFSSGDNKGVNMVDLSRCTSFNFQTSLPSAAPSAPSASDDRLLYTAEANQLVGSEDQQFICQTSAPSVPSAGDGSLLFTAETNQLVGYEDQQFVSRAQDNFIYANDLSGAACINQIGCSEMQEPSDNVEETSKLVPVNSFGCGSETKQTCYPTDEKPNLHTKQENTGSLCYEPPRFPSLDIPFLSCDLTQPGCDMQQEFSPLGIRQLMMSSMNCLSPFRLWDSPSRNDSPDALLKSAAKTFIGTPSILKKRHRDLLSPLSDKRIDKKLEIDMTSTLIKDFSRLEVMFDDIETPEAVFLSPSSIRKKNSGISVEDDKENCGQTVKEEQIKEEKKSAILDDKRSELDTGDGISQEKGTQRFPDVKSGMKNDVNVTAEIVQQPSGVLAEHDMNNLLLHSPDLVGFKSDSDPSLSARTHKSPCSSIKSPYGQEKLRVAVASCVHSFNSSAPGENSDVHAGKDAGFETCSIFGGTPFRRSVESPTTWKSPWLFNTFLSSPKIDPEITIEDFGYFMSPGNRSYDAIEWMKQISPIAREILENETPKALPKDESVNVRDRDQENKVPHDQPGNHCQLASNALLKVERRILDFSECETPGKVDNSKFSTISCSSPSSSSYLLKGCR >OIV98422 pep chromosome:LupAngTanjil_v1.0:LG14:2165498:2166480:1 gene:TanjilG_16749 transcript:OIV98422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVGGTIEYLSDLIGSGHNHHHKKKKKKQLQIVELKIRMDCDGCELKVKKTLSSLDGVKSVEINRKQQKVTVTGYIEPNKVLKKAKSTGKKAEIWPYVPYNMVAYPYSASSYDKKAPPGYVRRVEYSSNNVGTMTSYEESYVMNMFSDENPNACSIM >OIV97553 pep chromosome:LupAngTanjil_v1.0:LG14:16126676:16130290:1 gene:TanjilG_12310 transcript:OIV97553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRHGWQLPFHTFQLLAITAFFFLSIAYYAFLAPFIQNHLFQYLAFALYSILVISVCLLYVRCTAIDPADLGLFGDSDKSSESKNGSKHYQEFAEPKIGLKGEEMSERHNSNWFSKLGCFLCSFLVREDCRSNEDIIAQQQSGESPDNLFCTLCNAEVNKFSKHCRKCDKCVDGFDHHCRWLNNCVGRKNYISFMCLMAVSLVWLMLECGVGIAVLVRCFVDKRGTENQIAEKLGDGFPRVTFVIIVSICTALSFIGTILLGELFFFHMILIRKGITTYEYVLAMRTLSEPSGPSVDGGEQHSLPSSPTSSAATTISGRSSVGMSLQHKGAWCTPPRIFMDHQVLDMQDEIIPHLEPGSLPSTVDPDAIQVPDKGKKINQRPVRISAWKLAKLDSNEAAKAAAKARASSSVLRLIGSESLPYDADHLSSSNVSGRSSPISNEGFQNKNKYDTAGMSRLSPSKSSYPTSQGRKEDIDSCQHSMSNFSSSQVSNLTPSTMQRPGLSRDHFIPMYQQPLGGQSSSSAKESQGNINPIDDNGAHVSMRSNTLPVSENRRPSSVFWDQAAGRFVTSSSRGQGSAQISGTELTYTDRSIFFSSHVVNEHQTTATRNSSTVAGIPDGDPTLRDIQQGRSHRGGQLPVFVPGYSQQNKFT >OIV97747 pep chromosome:LupAngTanjil_v1.0:LG14:14849152:14851295:1 gene:TanjilG_12504 transcript:OIV97747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPNQQTVDYPSFKLVIVGDGGTGKTTFVKRHLTGEFEKKYEPTIGVEVHPLDFFTNCGKIRFYCWDTAGQEKFGGLRDGYYIHGQCAIIMFDVTARLTYKNVPTWHRDLCRVCENIPIVLCGNKVDVKNRQVKAKQVTFHRKKNLQYYEISAKSNYNFEKPFLYLARKLAGDPNLHFVESPALAPPEVQIDLTAQKQHEDELAAAASQPLPDDDDDAFD >OIV97809 pep chromosome:LupAngTanjil_v1.0:LG14:14334694:14338553:1 gene:TanjilG_12566 transcript:OIV97809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLNMAKAAPTFSSKSLFMFIWLFMFMLNFKSLQGQEEVELLLSFKASIHDPLHFLSNWLNSSSICKWHGITCSNSSNVNSIMLSSKNISGEISSTMFQLPYVTNLDLSNNKLVGEIKLNPYSSLSPLRYLNLSNNNLTGFLPQTLFSVSFSNLETLDLSNNMFSGVIPNQIGLLPRLKYLDIGGNVLVGKIPNSITNLTTLQYLTLASNQLVGEIPKDIGLMKSLKWIYFGYNNLSDEIPRSIGELYSLNHLDLVYNNLTGPLPESLGNLTHLQYLFLYQNKLSGPIPLSIYELRNLVSLDLSYNSLSGEISELVINLQRLEILHLFSNSFTGKIPKSLTSLPRLQVLQLWSNKLIGEIPQELGKHNNLTVLDLSSNNLIGKIPDSLCDSGILFKLILFSNALEGEIPNSLSSCTSLGRVRLQDNKLSGSLPTDLTKLPHIYMLDISGNKLYGRIDDRKWNMKALQMLSLANNNFSGNLPNTFGSAKLEDLDLSHNQFSGYIPHGFGSLSEVMQLKLSNNNLYGNIPEELCLCKKLVVLDFSHNHLTGHIPVKLSEMPVLSLLDLSSNQLTGEIPKNLGSVESLVQVNISHNHFHGSLPSTEAFLAINASAITGNNLCDSTSSSNSLHLPPCKKSNDQNPTWLFILLCFIVGLVVLTVAYFLIAFVHRRHNLKVRRVEKEDGTWEIQFFDYKVSKMITIEDVLSATKEGKVISKGRSWVSYEGKCSKNDMQFMVIEMSDPISLPLSFWEEIMKFGKVKHPNIVNLIATLSMSSGKRGFLVYEYSSKEKTLSDILSSLSWERRRKIAVGIAKALKFLHCHCSSFVLIDEVSPEIVLVDTKGVPRLKVNPSGIACMDFKGSIFSPYLAPEARKMKEVTEKSAIYGFGVILIELLSGRRSMDIEAGNGMHQNIVEWAQYCYSDCDVDTWIDPMIKGGDASSSSSSSTNQNDIVETMNLALHCTATDPKARPCASEVLTALVSVHTNTVPTFC >OIV98504 pep chromosome:LupAngTanjil_v1.0:LG14:281358:294066:1 gene:TanjilG_18788 transcript:OIV98504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCNFHEEGAKLKTNNNFCKHNVTLMDYPGQYQRWPVPKMEVICQFLKSCGNWLSCREYNVLLMHCDRGGGWHVLAFMLAALLIFRKQCNGEEMALDMVYKQAPREILHSMMPLDPISSQLRYLEYVSKWNVALDWPELDRALRLDCIILRYIPNFDGKGVCQPMFRIYGQDPARADKSSNILYSMPKRAKNVRAYKQGEYELIIVDINCHVKGDVLIESINLKGDMESEQMMFHTMFNTAFLRSNILMLNRDKVDILWDAKDQFPKDFRAEILFSEIDVATAVPENGTSWFEEKEGLPVEAFSKAQEIFSNGDWMSPREDPALQQRRASDIMQEKLDKSSDRYMERDTFVHRTGPKMPQEKKNNSKCFSPSNMEIQSGSSTKKKQDNDMSRKEEKNVEVDATPRQSSCSDSTTSMQRTGPKMSQEKKKEENCLMHSNLDANSVSSTKKTSDNGMSRKEDKTNKVGATPPRPSCSDSNTSLHQTGSKLPQEKSKEENSDLLRSLEVCSESSTKKSSDNGMIRKEDKGNKDDATPQQPSCSDSNTSLHQTGSKLPKEKSKEENADLPQSLEVRSVSSTKKPTDNGMIGKEDKANKDDATPQQPSCSDSTTSLHQTGSKLPQEKSKEENADLPRSLEVRSVSSTKKSSDNGMIRKEDKGNKDDATPQQPSCSDSNTSLHQTGSKLPEEKSKEENVDLPQSLDVRSVSSTKKPTDNGVIRKEDKANKDDVTQRQPSCSDSNTSLHQTGSKMPQEKSKEENANLPRSLEVRSVSSTKKSSDNGMIRKEGKANNDDTTPRQPSCSDSNTSLHETGSKLSEEKSKEENVDLPQSLEVRSVSSTKKPTDNGVIRKEDKANKDDVTQRQPSCSDSNTSLHQTGSKMPQEKSKEENADLPRSLEVRSVSSTKKSSNNGMIRKEGKTNNDDTTPRQPSCSDSNTSLHETGSKLPEEKSKEENVDLPRSLEVRSVSSTKKPTDNGVIRKEDKANKDDTTPRQPSCSDSTTSLHQTGSKMPRDKKKEESHFLPPNLEARSMSSTKKIPDNDISRNEDKTVKVDSTLQRPSSSNSPTSHQTGPIMPQGKKKEANSISSSNLESQFVPSTTKTQGNDMSTKQHKTIEVDATPPRPSSYDSITSSHQTGPTMPQYKKKQENEQTSDNYMSRKEDKSIKVDSTLQQPSSFNNSTTSSHQTDPTIPQGKNKETNSVLSSTSENQSVPSTKKTLDNDKSRKEDKTTKVDASPLQPISSNSISSSHLTDPTMPQKKKNEASCILPSNMEVQSVSSTKQNSNKDMSRKEDDAIKVDATLPRSNSSDSTTSFQQTGSQLHQEKEKEANCVLPSNPESKSMPSTKKPLDNDISRKEDNAIKVDATPPHSSSSDSTTSFNQTGSKMPQEKTKEANCILPSSLESKPMPSTNKIPDNDMSSKQNKTIKVEASPARSTSSDSTTSSYQTGSKMPHELKKEANCSMPSNSEIQSVSSTKHTPDNDMNRKEDKTIKADAALPGPESKSLYSKQHSSELSIPGSVDTSSSAPISPKTPPPKPCMTSSAKEVHDYPPHKESSSPMKSRNLVTEETESNSQDRSQSLNVTSKNGTASSCTNQNKSQADADSNPPACEITSTKVPPPNSPVSSPTCMKNILPVRTRLDSSPSKTQAPPSPHTPPQKDHEQIRVRHPPSPPTPPPKNETHSKAGPSPSPPPPPPPPCIYRKAESPTIAPPPPPPPPAAAENSSNSALHKSAHVPSAPAAPPPPTVYSKSGLRSDNGFPMSLSGSIDGNNMLGAEGRRSSPKGRTLSHIISAKNNTKKLKPLHWMKLSRAVKGSLWDETQKSDEASKAPEIDMSELENLFSAPVPSKGPAKKSSVQSSVGPKSDKVQLIDHTRAYNCEILLSKVKVPLQDLMSSVLTLEESVLDTDQVENLMKFCPTKEEIEVLKGYAGEKEKLGRCEQFFLELMKVPRVESKLRVFSFKIEFHSQRIMQTILSLGNALNQGTAKGSAIGFRLDSLLKLTETRSRNNKITLMHYLCKVLADKLPEVLDFSKDLANLEPAAKIQLKFLAEEMQAIRKGLEKVKHEQSSSENDGPISETFCKGGNVDALIIYFGEDPTRCPLEQVVTTLLNFTGMFNKANDENHNQLELEMKKTEESATKK >OIV98118 pep chromosome:LupAngTanjil_v1.0:LG14:9283404:9285374:-1 gene:TanjilG_25983 transcript:OIV98118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRAISLHHHSIVLQRQQPHLQDKDRGYTAEEEENHSVTEYPPYKHFLENRRPVETSFGHDIIKDNVFDTVKYPAEENCAENDDDSVIADSQYKFFLEHLRPDGKSYALDIIEDDVYVKYEPSSPELEALTECAVEIETHVSNVAIVDTEMPAPAAKYKGKRRGRKPKGFQELHAAKDPNGNRNPVTSEEHKHEHARKRSSKRNKKNVLPSTEAQAIDHRIKDESEDDAPSIRRPVQNPVLNVEIFCDEDDDGENVRKRTEYRQKLMEELKKPYFQEEYEKLLEEITVRKPAQGQKVLRRRTKIYKEGFAAKSYFDCHIDLKRKIYTASDDKPKVLNLLRGFFFWLVVCIFPYCSISIQVLTF >OIV98479 pep chromosome:LupAngTanjil_v1.0:LG14:2672153:2674697:1 gene:TanjilG_16806 transcript:OIV98479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVMLKRLSNSNHPLNNIIHHHHHHQVVKVDHSHEGRGKATVKVELRDIAHGNKAIQRIATDEDIERVYVQEKSFMYMCTDQDGTVVLMDSATFDQIEVSADLFGKNSSYLQDGMKIKVQFYDDKPFSASVPKRVTCIVKKEIAATPRNKKVVLENGGLTVEVPPHIVAGDAIVISTEDDSYMERAKA >OIV98068 pep chromosome:LupAngTanjil_v1.0:LG14:10495793:10496398:1 gene:TanjilG_09561 transcript:OIV98068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQHRLHRLLLDPDSSSTAPGNANKTSESFSGNKRFDTNMVIILAALLCALICALGLNSIIRCALRCSRRFAFETPDEVAARLTSKGLKKKALHQIPIAVYGSGSTSIAATECPICLGEFINGEKVRVLPNCHHGFHVRCIDTWLLSHSSCPTCRHSLLEHPTISDAATVAVSVGTSHQVENSLEGHEHEPLDVSVNEID >OIV97685 pep chromosome:LupAngTanjil_v1.0:LG14:15291921:15292496:1 gene:TanjilG_12442 transcript:OIV97685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASETQPVKGLTPEEYAELEPIITKYHKSHKSHPSSNTCSSIISQRIDAPLRVVWPFVRSFENPQKYKHFVKGCNMTGDGGVGSIREVTVVSGLPASTSTERLEILDDEKHLLSFRVVGGEHRLQNYRSVTSLNEFKEECKVYTIVLESYVVDIPEGNTGEDTKMFVDTVVKLNLQKLGVVAMATMMHGHD >OIV97539 pep chromosome:LupAngTanjil_v1.0:LG14:16187078:16188143:-1 gene:TanjilG_12296 transcript:OIV97539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRCNDKLGGSVFRCSRYLSQVVDNSNWNSKHGGFLVDTLKVVRGLESHDLPSKHAEAITSSITNLLHHSLDNLSHSIVSKSDLKMSEMLIKSDLSKFKSQVQSSQDHHFSLLLLETEKIRNDVEKMRSELRNDIEKMRSELRYEIDKVTAGQRLDLNLEKGRTRDELANQCAETTTLSNKVDREVHALKAQLEGAKYDVVKYCIGSLVSISAVGLAVIRILL >OIV98065 pep chromosome:LupAngTanjil_v1.0:LG14:10401040:10406028:1 gene:TanjilG_09558 transcript:OIV98065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGPQYDFLLNPLGAVRSTFDQAISSGSDPSSFNGRDWGAIDLFHNFLSDHLSQVPVLTASTIKWVKPNTLVRFRGMIQDMLGNEIYVGAFKDGSVWRTNKFMDVNKLMDDSHFPMASSEDTIIWERRLLYCVPVPGLNSWAEISPEAVTSQCMDWPPEQREKRRRADDDSSDMLVSGDEVQGSPNTKKIRGEQHSIASHFIGAVPEVAGSSLNIVPGLDGNSPPCIVKIYDSPESELRLNDIFEFVGILTSDPELNEDNEDGDLSNEFCEDPLHHFPPNKVPRLHCFIQRKLAVEDLLRKNPIIEPTPDLIKGIREALIRNLTAVLGNDSVAAHFLLLHLLSKVHARVDAFAVGNLSLNLICFSKETVSIFGDQLNLAVKNLLPFTHHIPLTVEYLNSASLSPKKNYDTNRLETGILQLADGSHLIIDETKLEAGTLNSVGVENARFLKYLMECQKVEYDFKYYKMEMETDVQLLILSEGKSNILPADVILPFQPSAASCSESVAAEAWEAWRWYLASVKQLTHSIDSEMQKVVENDLVAARQADRSLNPQDLSRWLTMGRLISLSFGETSLSLEHWQMVKELERLRKERLK >OIV98016 pep chromosome:LupAngTanjil_v1.0:LG14:11144723:11157023:1 gene:TanjilG_21726 transcript:OIV98016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFSRSILTRTAWPGGCTLPSTTTANKKSHPQLNAISQGSGAKRFHGTRLRSHKALGSERLHVWQSEGPGRSPKLRVVVRSAMSAVPKKRLGLYDPAMDKDSCGVGFVAELSGESNRKTVTDALEMLVRMTHRGACGCEANTGDGAGILVALPHHFYQEIVDFELPPLGKYAVGMLFLPTSNSRREESKKIFQKVAESLGHSILGWRTVPTDNTGLGKSAVQTEPVVEQVFLTPSTQSRVDLERQMYILRKLSMVAITSALNLHNDGIVDFYICSLSSRTVVYKGQLTPAQLKDYYLADLGNERFTSYMALIHSRFSTNTFPSWDRAQPMRILGHNGEINTLRGNVNWMKAREGLLKCKELGLSENELKKLLPIVDANSSDSGAFDGVLEFLIQSGKSLPEAVMMMIPEAWQNDKNMDPQRKAFYEYFSALMEPWDGPALISFTDGHYLGATLDRNGLRPGRFYVTHSGRVIMASEVGVVDIPPEDVSRKGRLNPGMMLLVDFEKHIVVNDDALKEQYSLARPYGQWLKKQKIELKDIVDSVHESERVPPTIAGVVPASSDDVDMKNMGIHGLLAPLKAFGYTVESLEMLLLPMAKDATEALGSMGNDTPLAVMSNREKLTFEYFKQMFAQVTNPPIDPIREKIVTSMQCIVGPEGDLTETTEEQCHRLSLKGPLLSIEEMEAVKKMNHRGWQTKVIDITYSKDCGKKGLEEALVRICAEAHDAINDGYTILVLSDRAFSRKRVAVSSLLAVGAVHQHLVKALERTRVALMVESAEPREVHHFCTLVGFGADAVCPYLAVEAILRLQVDGKIPPKAGGEFYSNDELVKKYFKASNYGMMKVLAKMGISTLASYKGAQIFEALGLSSEVIERCFAGTPSRVEGATFEMLARDALQLHKLAFPSRVLSPGSAEAVALPNPGDYHWRKGGEVHLNDPLAISKLQEAARTNSIDAYKQYSKLIHELNKACNLRGLLKFKEAAVKIPLDEVESASEIVKRFCTGAMSYGSISLEAHTTLATAMNKLGGKSNTGEGGEQPSRMEPLPDGSMNPKRSAIKQVASGRFGVSSYYLTNADELQIKMAQGAKPGEGGELPGHKVIGDIAITRNSTPGVGLISPPPHHDIYSIEDLAQLIHDLKNANPAARISVKLVSEAGVGVVASGVVKGHADHVLISGHDGGTGASRWTGIKNAGLPWELGLAETHQTLVANDLRGRTVLQTDGQLKTGRDVAIAALLGAEEYGFSTAPLITLGCIMMRKCHKNTCPVGIATQDPVLREKFAGEPEHVINFFFMVAEEMREIMSQLGFQTVNEMVGRSDMLEVDREVIKSNEKLENIDLSLLLRPAAELRPEAAQYCVQKQDHGLDMALDNKLIGLSNAALEKGLPVYIESPICNVNRAVGTMLSHEVTKKYHLDGLPTDTIHVRFTGSAGQSFGAFLCPGITLELEGDANDYVGKGLSGGKVVVYPPKGSTFDPKNNIVIGNVALYGATSGEAYFNGMAAERFSVRNSGAKAVVEGVGDHGCEYMTGGVVVVLGSTGRNFAAGMSGGVAYVLDIDGKFQSRCNHELVDLDKVEEEEDIIILKMLIQQHQRHTNSVLAKEVLDDFDNLVPKFIKVFPREYKRVLASMKFKEASNDAVEPAAKDEEEQDEAELVEKDAFEELKKLADSSLNGKPTQLADVFEYVLEIEQAETSKRPSQVIDAVKHRGFVAYEREGVQYRDPNVRMSDWNEVMEETKPGPLLKTQSARCMDCGTPFCHQENSGCPLGNKIPEFNELVYQNRWREALDRLLETNNFPEFTGRVCPAPCEGSCVLGIIENPVSIKNIECAIIDKAFEEGWMVPRPPAKRTGKRVAIIGSGPAGLAAADQLNKMGHIVTVYERADRIGGLMMYGVPNMKADKVDTVQRRVNLLAEEGINFVVNANVGHDPLYSLDRLREENDAIILAVGATKPRDLPVPGRELSGIHFAMEFLHANTKSLLDSNLQDGNYISAKGKKVVVIGGGDTGTDCIGTSIRHGCSSVINLELLPQPPQTRAAGNPWPQWPRVFRVDYGHQEATAKFGKDPRSYEVLTKRFIGDENGVMKGLEVIRVRWEKDETGKFQFKEIEGSEEIIEADLVLLAMGFLGPEPTIAEKLGMERDNRSNFKADYGRFSTTVKGVFAAGDCRRGQSLVVWAISEGRQAAAQVDSYFLKEDSEHNNSGNPDNRIKRQQDLTKRHQGKHTVAT >OIV97628 pep chromosome:LupAngTanjil_v1.0:LG14:15667553:15672126:1 gene:TanjilG_12385 transcript:OIV97628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESELKDFNSKPSKPNANGNNTNIIRDDRPLLKSESTVSVAVSSDSIHEMEKKYAAYVRRDVYGAMGRGELSVKEKLLLLFALVTLFPIRVIVAAIILLFYYLICRVCTIFYDPNRDEEQEDYAHMSGWRRSVIVGCGRGLSRAMLFIFGFYWISDSSFNHNSDTQKEDKHQPEEIGRTGVIISNHVSYLDILYHMSSSFPSFVAKKSVSKLPFVGLISKCLGCVYVQRESKLSDSKGVSAVVTERIKEAHQNKSAPLMMLFPEGTTTNGDFLLPFKTGGFLARAPVVPVILKYHYQRFSPAWDSISGVRHVIFLLCQFVNYMEVIRLPVYYPSQQEKDDPKLYANNVRRLMASEGNLVLTDIGLAEKRIYHAALNGNSLPTVLHQKDE >OIV98252 pep chromosome:LupAngTanjil_v1.0:LG14:4044890:4053899:-1 gene:TanjilG_14841 transcript:OIV98252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDARDSSPSSTTARNASPATTDSDDAVSNVTVSLAKDAASHFQSSKFAECVEVLNQLLHNNKQHDPKVLHNIAIAEHFRDDCSDPKKLLELLSGIKKKSDELALASVEQEESVNSMGNKVVLGSQGRNDLEHHFSGANSITMYTDEFNSSVATLNIAIIWFHLHDYAKTLAVLEPLFQNIEPINETIALHICLLLLDASLACHDASKSADVLAYLEKALGVGNVSQGDNGNTTQEKSTNQITKSSSVPNSASIADASNLDLEPSADASENNLSGALSDDSLDYEAVLLGMGRQRLGRLPIKKRSLRRPLGPSPNDILKALVGRFSTFDLKLRLQLYKVRFLLLTRNLKLAKREVKLVMNIAHGRDLSMALLLKSQLEYARGNHHKAIKLLMASSNSTDAEFSSMFNNNLGCIYFQLGKLQTSSLFFTKALTNISSVRKDQPLKLATFSRDNSLLIIYNSGLQYLLCKKPIHAAHCFQKASLILYRQPLLWLRLSECCLMALENGLIKSSRAPSEISEVDVCVVGNGKWRQLVVEDQGPRNGHMESYRSGDCCLSNDGQLKLSMSLARQCLLNVLLLLDSCSAECLQSGLLPNSLVEETDSSEVLSSKTSNHKNIHGIDSKSFSVAVGLAQVNSNMDTKEQKGGTSKQLIQNSLSYYEGTCRKENQLIKQAVLANLAYVELELDNPVKALLVARLLLEIPECSRIYVFLGHVYAAEALCLLNRPKEAAEHLSFYLSGVNTVELPFISEDIEKWQMESTCEFEEANRGSTTANNSSLEGTQRIVFIKPEEARATIYANFAAMSARQGDLARAQILITEALSISPNCPEATLTAVFVDLLLGKSQEALAKLKHCSRIRFLPSGIALKKSS >OIV98358 pep chromosome:LupAngTanjil_v1.0:LG14:1420878:1429946:1 gene:TanjilG_16685 transcript:OIV98358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKKSTLPLNDGRIANASKKKVLCDKEELVKLLRWNFGYSDFRGKQLDAIQAVLSGRDCFCLMPTGGGKSMCYQIPALAKKTGIVLVVCPLIALMENQVMALKEKGIAAEFLSSTKTADAKSKIHEDLGSGKPSTRLLYVTPELIATPGFMSKLTKIYDRGLLSLVAIDEAHCISTWGHDFRANIGTTVRLMPCDLEVIDLNFGNSLSTCGGEAVYTYPLRVSLSENMSEFILMKDNSFFILYWEHWSRPSYRKLSSLRSHLPDVPILALTATAAPKVQKDVVESLQMQNPLILKSSFNRPNIYYEVRYKDLLDDAYADLSNTIKSMGDVCAIVYCLERSMCDDLSLRLSHNGISCAAYHAGLNNKVRTSVLDDWISSKIQVVVATVAFGDRKLKLEKNVHGGYGREKERRGIDRKDVRIVCHFNIPKSMEAFYQESGRAGRDQLPSTSLLYYGVDDRKKMEFILRNSGSKKLQSSSSQQDSSKRSLAEFNQMVEYCDGSGCRRKRILESFGEQVTASLCGKTCDACRHPNLVARYLEDLKTACVLRQKIGSSPIFMTSSTDRIDREQSSEFWNRGDEASGSEEEISDSDDGNEVVNNLTRSKVQSRSGVNAKLAFLQRAEENFYRNKDTDKQRKKVENNAISDTMRGASRQRLQNALKQAQQRLDNFKIEVETSASLLEEECYKKYGKVGKSFYYSQVASTVRWLTTTTPDNLINRLGAMNVSTSISVSPKAENSLTPSPALDPNAKEDSSNELPDNARLETAARAMPTESSSFDTKLPEIPSFSEFVNSRKEKRDQLKDTKKYSSRVEKKMRLE >OIV98373 pep chromosome:LupAngTanjil_v1.0:LG14:1556136:1571969:-1 gene:TanjilG_16700 transcript:OIV98373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKLSYLHEPGVLHNLATRYELNEIYTYTGNILIAVNPFQRFPHLYDTHMMEQYKGAAFGELSPHVFAVADVAYRTMFNDGKSNSILISGESGAGKTETTKMLMQYLAYLGGRSGLEGRTVEQQVLESNPVLEAFGNAKTGRNNNSSRFGKFVEIQFDNKGRISGAAIRTYLLERSRVCQISDPERNYHCFYLLCAAPAEVKEKYKLGHPSSFHYLNQSNCYELDGVSNADEYVAILRAMDSIGIGKEEQEAIFRVVAAILHLGNVEFSKGEEIDSSVIKDEKSRFHLNMTAKLLKCDTMSLEDALIKRVMVTPEEVITRTLDSIAAVGSRDALAKTIYSRLFDWLVEKINISIGQDPNSKSIIGVLDIYGFESFKVNSFEQFCINFTNEKLQQHFNQHVFKMEQEEYTKEEINWSYIEFVDNQDVLDLIEKKPGGIIALLDEACMFPKSTRDTFAQSLYQTFKNNKRFIKPKLSQTSFTISHYAGEVIYQADMFLEKNKDYVVAEHQDLLTLSKCSFVAGLFPCSPEVSSKSSKFSSTGSRFKLQLQSLMEILNSTEPHYIRCVKPNNVLKPGIFENVNIIHQLRCGGVLEAIRISCAGYPTRQTFYEFLNRFGVLYPEVLYGNYDEKVACQKVLDKICMKGYQIGKTKIFLRAGQMAELDSRRAVVLGNAARIIQRQIRTHIARKEFIELRQAAILLQSNLRGILARKLYGQLRREAAAVKIEKNFRGYIARKSYLTARSSAITIQTGLRAMNARGEFRFRMQIKAAILIQAHIRQHIAHSYYKRLQRAAVVTQCAWRRRVARRELRKLKMAARETGTLIEAKDKLEKRVEELTWRFQIEKRLRTDLEEEKAQQIAKLQNSLHAMQIQVEEANSKVIKEREAARKAIEQAPPIIKETPVIIQDTEKINSLMGDINSLNESLVLERKAKEEARKAQAEAEARSEELVKKVDNYEHKVDQLQELVQRLEDKISNMESENQVLRLQALAVSPTGKALSGGPKTVTIHRTPEKGNALNGGEKDISGMTLTVPHVREPESEGKPQKILNEKQQENQDLLIKCISQDLGFSGGKPVVACVIYRCLLRWRSFEVERTNVFDRIIKTIASVVEAQDNTDVLAYWLCNTSTLSLLLQRTLKASGPASSTPQRRRTASSPLFGRVSQGLRASPQSSVSSLNSRGLGRLNDLRQVEAKYPALLFKQQLTAFLEKIYGMIRDNLKKEISPLLGLCIQAPKTSRQSSIKGRTHTNAVAQKALIAHWQSIVKSLDNYLKIMKANYVPSLLIRNVFTQTFSFINVQLFNSLLLRRECCSFSNGEFVKSGLGELEQWCVEATEEYVGSAWEELKHIRQAVGFLVTHQKPKKTMNEITKELCHVLSIQQLYRISTMYWDDKYGTHTVSTDVIASMRTMMSEDSNNAINSSFLLDDDTSIPFSVDDISKSTQRIQVADVEPPPFIRENSRFGFLLSRSESFT >OIV97745 pep chromosome:LupAngTanjil_v1.0:LG14:14859862:14865074:1 gene:TanjilG_12502 transcript:OIV97745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKKNPLVFMDVCIDGDPSERIVFELFYDVAPKTAENFRALCTVVPVTIVYAVGYRDDGHNSFSYDGGDFVNRNGTGGESIYGSNFPDESPRLKHDSPGLLSMAISDRDTLGSHFIITLKADHSLDRKHVVFGKLVQGHNILKKIEDVGDEEGRPTVTVKIVNCGEHAETRRKGKHKKSSKDRRKRRRRYYSSESESSSESDVDSTETDSDSESDLSLSSDISSSSDDKRRKRKRSRKDKHRRGKRRDKRHNKRRRRRDKRPKHRSRRELDSDSDSESNRDSSSGDKNLDAQGKEQKHKDHSHKNVAEVQSPLVVDKDNHKKDGEGDMLENEKELPKENGNQLTNGIGADYKSDRSEERQPDVMDDHSGKSRRRSLSPKQPMSKSISPRRSQSKNPSVTPKKRISRGPTASRSPPPSRRSMSRSPVRSISRSPSRRISRSPVRGRKGGSVSRSPVRGGKWKSVSRSPVKGGKGRSVSRSPVRSWKGRSVSRSPVGTPSLRRVSKSPVRSQSRSYRSYPRASSRKSISRSPVKVSRKSVSRSPVRSSARSLSRSSGRVPPRRNISRSPVRAPSRSNRRSYSRSPSPVRWRRTPHGRSVSRSVSPAASPKRVRRGRGFSEQYSYARRYNTPSRSPVRSYRYNGRDRDRYSSYRRYSPRRYRNSSPRGRTPPRYRSRKSRTPSVSPSPRYRARRYSRSRSPARSGSPVRSRSPIEQYRYHPSPRVERQLSSSQSKSPPKSRSPMESRSAPKASRDNRSRSSSRSPDGRKGLVSYGDGSPYSDER >OIV98366 pep chromosome:LupAngTanjil_v1.0:LG14:1500219:1505422:1 gene:TanjilG_16693 transcript:OIV98366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQIQMSKKRKFVADGVFFAELNEVLTRELAEDGYSGVEVRVTPMRTEIIIRATRTQAVLGEKGRRIRELTSVVQKRFKFAENTVELYAEKFVADGVFFAELNEVLTRELAEDGYSGVEVRVTPMRTEIIIRATRTQAVLGEKGRRIRELTSVVQKRFKFAENTVELYAEKVNNRGLCAIAQAESLRYKLLGGLAVRRACYGVLRFVMESGAKGCEVIVSGKLRAQRAKSMKFKDGYMISSGQPVKDYIDSAVRHVLLRQGVLGIKVKIMLDWDPKGKQGPKTPLPDIVTIHTPKEEEEYIRPPPAVIANEIEVPVAVA >OIV98396 pep chromosome:LupAngTanjil_v1.0:LG14:1843317:1848334:-1 gene:TanjilG_16723 transcript:OIV98396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPSFMDPLSSPPSLPPLKSDYVCRSSENRRFGDLRGLQWRINLGVLSSSTSIDDLRRVTADSRRRYARLRRHLLVEPHITKDGSRSPPDLVMDNPLSQNPDSTWSHFFRSAELERMVDQDLSRLYPEHGSYFQTPGCQGMLRRILLLWCLRHPECGYRQGMHELIAPLVYVLQVDLERLSEVRKLYEDLFLDRFDGLLCQKNDLCYSFGLRKSQDLVEDETGSHGNSMKVNRLDELDPDIQTIILLSDAYGVEGELGIVLSEKFIEHDAYCMFDALMSHGSVATTDFFSSSPIAGSLSGLPPMIEASSALYHLLSLVDSSLHSHLLDLGVEPQYFSLRWLRILFGREFSLDNLLIIWDEIFASDNNSKVEKSAGDGIEWRFRILHSPRGAFISAMAVAMLLHLRSSLLAAENPTTCLQRLLNFPEDINIEKLVEKAKSLQTLALSVDILPSSPLFQESHHQGKSVFPRSVTLPSEPVSPKNPPNLLPDSYWEEKWRVVHNTEENKQDDVQKSIPTQKKGWIEKVKIILRRTESDPPSSRIHSGKKLPKAPVRRSLVKDLRKALGMEEATEQTKCHEILCQHDNLSEAVEVEQQGDDRKGDSNYSSDERCPSGSAGSEEDFSAFSDPSSPPNGANDYEITSAKTSVASTLSIDECIETSVASKLSIDECIETSVASKLSIDESNETSVASNLSIDECNETSQTVLDVPLVPISSLTEKSGSNCNNEGNSATDPKERKQNKFQWLLKLGRNTVEFISEKVGAAAEAAKSANNCSNKSNTPPPESSTANAHDSSVSCKGDSVDQNVMGTLKNIRQSILDHIQVIESVFQKEQGQGASVENLSKNVLVGKGQVTAMSALKELRKISNLLSEM >OIV97878 pep chromosome:LupAngTanjil_v1.0:LG14:13640406:13641153:1 gene:TanjilG_12635 transcript:OIV97878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLLEWFSPLSWWRARLNDALENARARKYPARDFQKLRTIFIVHRPYSQHPATNLPCSRNQAYVWDTFDTYPLVENYRKELENHLSQVNFYEYVPDSSMSTCISHCDNLTNLLRSKGVIQVNNSFYQRVGEASSSRQNELGTNQSTNTDSIMEPVDQDMDQDAQDTNVEIMYIMLAEKVTYEETRPPWWYTMYGSVNSEEWHYYNLSPSQNSR >OIV98097 pep chromosome:LupAngTanjil_v1.0:LG14:9650044:9651951:-1 gene:TanjilG_25962 transcript:OIV98097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNDHCDSSLLLSLPDDVFAIVARFLSPRDVCNLGLCCRSLNALVASEKIWLTECDALGIVPHEDLVEWRKGVSSYKALCRFLVSVQPLIGIWVHQNPELGNVVYVMPGFISVVGCRIIPQELGPLGIEEGPILWASVFEVIGDFDGSATFLLHGREKEIDYIYPGLVKPIEKSCNLLLLEVEPELQKNPGTLLPSKTLAHNSGKELLRKVCRSNSELSRSQRVNNEATVSFSKLAFTDRRKLLEVTTSQIRQKVPDTVVEPLFPRLRDDENNFKKDLVFLWERRSLLSEMFNLGYSQIDYKASSQEEVSSKQLELDDIRKSLVYSRPIYNPLQEVDDRTQHIKRKSIGGYFWNSFKQILGRSNSINGSHSNSNKLTSSSEIRHAQLQEFLRSSDTIRLLLNASTVELFSYRAWPSMHDNQFALYKLPLRVPREDQEYAGLWGGTFGWPPGKPSEDKPGKALFLLLLSYEESQGQQLVIATKILEGTHYVLHPNGSAMFIVNINEPSSESFPWDTDADSNPVDIKDAFMGEGIASGYGFRYPGSKPGSLFVFQNGVIAFIWKESRAILTLQRINLQELLKKGERIPSLPPVANFSYLTKSYSNVFAGFPSSSNCLSSPRFASTLTCLLTSLAFSP >OIV98355 pep chromosome:LupAngTanjil_v1.0:LG14:1400751:1404697:1 gene:TanjilG_16682 transcript:OIV98355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNSFSNYEGMYDNLREPALVIGGCCVLIALVLSIFLIFRHLRSYTNPAEQKWIVAVISMVPIYATESILSLWNPRLSLACDILRNYYEAFALYSFGRYLISCLGGERRVIELLEEESEESHRKPLLGGSDENHGIQHSSFCNFFCHPCMLGKDLLTIEKFGLVQYMILKTVCAFLALILELCGVYGDGEFKWYYGFRLMHVELRSTSTPMMAIAAVAHVYVFSAEPYHFLPASAYGKVTEEKIEADVKIDEGDGEEKSAVVKETTTQVEAPRTSVKESVQDIVVEGGQRVVKDVALTINQAIGPVEKGVTRIQQTFHHKTVVSSDDEEEESDIKVEKEVTENVTVKGDENA >OIV98113 pep chromosome:LupAngTanjil_v1.0:LG14:9386244:9391961:-1 gene:TanjilG_25978 transcript:OIV98113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLFKHKGTVYTPVKDVDLGPFSTEFYLQANVKAPRMCGIWIKIFTLFLECPVLGTLLLYILKGNNLIHKLITDADLKESPLYVPLHPFEDIEEKEVKSLDSSLSPQEKVQFAIDCMPISAEEKLDEKTPSLSRWRIMDYSNAYNSGCMTPRQVAERFITAVDESTKSPLQMGFFIHYNADDILRQANESTTRYRKGKPISVLDGILVAVKDEIDCLPYPTTGGTKWLQDERRCTDDACCVNRLRLCGAILVGKTNMHELGSGTSGINPHYGPTRNPYDRNKIAGGSSSGSASVVSAGLCPISLGVDGGGSVRMPAALCGVVGLKPTFARIPHAGVLPLNWTVGMVGILAGTVEDALITLVVLTYDILFLPGSFKIGFKASIVPMFIVMQLLVAKLRAISPQGHHDDVRTCCSKALHKLQDSYAWKIIDVTIPEIEAMRLAHYITIGSECSTSLDSFKEKSFAELGWDVRVAQSIYGSFSSLEYLKAQRIRNRQLQFHMKIFDEADVIVSPTTGVTAYPIQDDALKTGELDYVNGAALVRYSIAGNFLGLPAVTVPVGYDKLGLPIGLQFIGRPWSEATLIHLAYAVQVMCMSEYRKPELYYDMLRGQKEE >OIV98495 pep chromosome:LupAngTanjil_v1.0:LG14:417967:418593:1 gene:TanjilG_18779 transcript:OIV98495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTAILIRSHDSLQSRLRNDAFSLTASSSKSQRNPNPSSGRSRRRTQPPVNTNSNDRDRSRNGIDRSTVVVKGPGSNLVMGQVKILKRGEKLPEIAKSDECFDSSVGSVSKIQESFDSNLGSVAEIGESFDLGLGSTDRLGPDPATVKNQIKVQKQIRVLDLKDGVYAGSNFDVASPPPSSVPVPIFLGKKNGASTSGLRRLLRLDSV >OIV98218 pep chromosome:LupAngTanjil_v1.0:LG14:5825113:5829536:1 gene:TanjilG_18757 transcript:OIV98218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGMGDGYVGTAQDAVRIRRLEKQREAERRKIQELKTKSVSENGQPGLLQFGSSTSEILETAFKKETVGLVTREEYVEKRVNIKTKIEEEEKEKLQKQQQEEEEFQLQKRKKRKIKGNSRLSFAEDIENDGEEEEEQDKNIETNRLRHGKLGKDPTVETSFLPDSEREAEEEAERERLRKQWLREQEQIRNEPLQITYSYWDGTGHRRVIQVRKGDSIGEFLRAVQQQLAPEFREIRTTSVENMLYVKEDLIIPHQHSFYELIVNKARGKSGPLFHFDVHEDVRTTADATIEKDESHAGKVVERHWYEKNKHIFPASRWEIYDPTRKWERYTIHGD >OIV97777 pep chromosome:LupAngTanjil_v1.0:LG14:14582161:14583345:1 gene:TanjilG_12534 transcript:OIV97777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTSSGDNFDVIIIGGGVMGSSTAYHAAKAGLKTLLLEQFDFLHQRGSSHGESRTIRVTYTQHHYYPLVMESYNLWQQAQAQAGFKVYYKAHHFDMAPHNDPTLLAVIDNCRKHNIKHQILRRDQVAEKFSGRFNIPENWTGVSNEFGGVIKPSKAVSMFQTLAFKNGAVLRDNTEVIDIKREKGVVVFTARGEKFRGKKCVVTVGAWVNKLVKTVSGVDLPIVPVETHLMYWRIKEGHEGKFNIGGDFPTFASFGNIYVYGTPVLEYPGLIKVGIHGGTHCDPDKRKWGPIVNMNELKEWIEERFGGLVDSSEPVVKQSCLYSMTPDEDFVIDFLGGEFGKDVVVGGGFSGHGFKMAPVIGKILVQLVVNGETSGVDMNHFRIGRFNTTKSKL >OIV98031 pep chromosome:LupAngTanjil_v1.0:LG14:10742538:10747126:1 gene:TanjilG_12262 transcript:OIV98031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFFYYTVFGGLAALVAVMELSKNNKDRINTSSTFNSFKNNYLVVYSLMMAGDWLQGPYVYYLYTTYGYEKGDIGHLFIAGFGSSMLFGTIVGSLADKQGRKRACVTYCITYILSCITKHSSQYKVLMLGRILGGIATSLLFSSFESWLVAEHNKRGFEQQWLSLAFSKAIFFGNGLVAIVSGLFGNVLVDTLALGPVAPFDAASCFLAIGMAIILSSWTENYGDPSENKDLLTQFKGAAMAIASGSMYTFVFLWTPALSPNDEEIPHGFIFATFMLSSMLGSSLASKLLARSALRVESYMQLVFAVSAGALLLPILTTFLVVPTKVKGGSISLAGCVQLLGFCVFESCVGIFWPSIMKMRSQYIPEEARSTIMNFFRIPLNIFVCIVLYNVNAFPITVMFGMCSIFLLVACILQRRLMKIAEKPKTEDWQRKDRDPEVEPLNI >OIV98211 pep chromosome:LupAngTanjil_v1.0:LG14:6102561:6103370:1 gene:TanjilG_18750 transcript:OIV98211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIPNRRKRKHGPLHAIRVLFMMRGHSRNSKLLHVDHGSKSMWIKFVGHMRLLHHHNNQLLHHPKSSDQPLPNTLLFPSPPSGDEDDKAITHASKGGEGDGAINYATKGDEGNIAITHASKGGEGDGAITHATKGDEGDKAITHTSIFLVEESNLHTPPLSRYASAVGLNELVSGDDDDNEKCSRYASAIGLNELVESDEDNENPEVIMEDDEECEENGYGDEMIDAKADEFIAEFYKQMRLQRFDIVGHHYKERSRRSLGEVDPCMHA >OIV98019 pep chromosome:LupAngTanjil_v1.0:LG14:10941091:10941780:-1 gene:TanjilG_16856 transcript:OIV98019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISNPELIYYACIAKQTTILAQHNNTNDPKIESLASQCIQLTPPNHSIFSHTHKNRTFTFLIDTPFTFFAIFNNQTLKSETLIFLDAVKTAFRNAGPEVEDSNDLQPLCFQSQFESILKRTLNLESESSGNSTNSIRSWTVPLLGKPVEGLKKKKRVVGSDGNSGIEGKDGNLENKLEVSDSEVSVCNRQKAKHIWKKHVWVVLLLDLFVCAILFVVWLLVCSGFKCMA >OIV98488 pep chromosome:LupAngTanjil_v1.0:LG14:511955:518866:-1 gene:TanjilG_18772 transcript:OIV98488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFDQNSVPNVTRTIAEESLIRTGEFYTPNSVRTGEFCYTPNSARTGSVQLYYPASVSDSALVRMGYGNVASSIGTWCVGPVVGFNYGHSFPNPIAGGNGVVDGSGGAMASGHGYPMNLVNHVVGNDLDNALQGKEKVISNNASDQVGGVVSTKVDHQVSNECGDESVSVKTLKLMCRYGGKILPRPSDGMLRYVGGQTRIISVKRDVSFNDLMQKMADTYGQLAVIKYQLPDEELDALVSVSCTDDLENMMEEYDRLIESSPDGSAKLRLFLISASELDPFAVIQFGDFLDSGHKYVEAVNGFTDGISGKLTRKENSTSAASMQNSDFSGMETLDRSNAGQGDVSGAPKSDVLSPKGNVAVSHDSAVNLVVSEPCAFIYSDSSAVSLGTPATNSGPTSTSPFQNEVELENSVPVMVSQQQFGLHEHGMEIPLPAPYLQPFVDPRQEVMNHADYVKLHPQIGFPNPHLLGQPGSIYSQHPFHDYTAGLVSHQAIPAVQMMTRPSSHVGGRQNMIQPQPLMQPQQSCLGQYNDENKSAVRIIQLPTEQSYNACPVQFPYVMVGGNYHWVQVPQPEHFVFTDALLPQQLTMIPEKVQRVKECYMCQKKFPHSHSDPVIKDQHNSCAGSIADSIPSYNSLPVEENLKAQVTNRILVTAPLKEGNAELVVGTRPMVFSKLEPPGGVPCSDATTLSRNLDLEPEGEKNFIQRSDGFDHSRNAIIQEATGRTSEKQPPSDGLTGTAPLSSLGDVIHHHVVPVENCIKEDVLVNKIVTNDIPLVGVSSTEASQCIVQESPQEYTNELTSIVSKADAVGNWTTQDHVKSIDGRVDILNIGNPEIHVNNNNFDYNTQHAVEEKGVVLDNNPGRSILIVDANQIQLMDGFPSSTMEFSTRNNCRPVEYNEAAQPAWGVPGSNPQLKSGNHHMNGAISSSISPSARLGNLQGSSNSLFINQDPWNLHGTYFPPPRPKKIALKKETYSHKNQFGENPDNSLEQNLESQLDGSLYRTLKHNLILEDAQSAKGSSEDRQNQQHQAVAEGVAASVLHSSTPSNPDLPARDVSRPEDMENRDAQNNLIDIQHTDKAQDVKRKLPEKANLSFPESDIGSLQVVKNSDLEELIKLGSGTFGTVYHGKWRGTDIAIKRINDRCFSGKPSEQDRLRDDFWNEAVKLADLHHPNVVAFYGVVLDGPGGSVATVTEYMVNGSLRNALQKNGSRNLDKRKRLLIAMDAAFGMEYLHGKNIVHFDLKSDNLLVNLRDPHRPICKVGDLGLSKVKCQTLISGGVRGTLPWMAPELLNGSSSLVSDKVDVFSFGIVMWELLTGEEPYADLHYGAIIGGIVSNTLRPAVPKSCDPEWRLLMERCWSSDPSERPTFTEIANELRSMSSKISPKFQNQQQQPASLQSQVQK >OIV98518 pep chromosome:LupAngTanjil_v1.0:LG14:73397:73858:1 gene:TanjilG_18802 transcript:OIV98518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSATTKLITDIMVHSGTITSTHINISGTNPPNVLHQDLAYASTSVFSYFVDVVELDGGVSSNYDYVVKDVETVISASHHDIGFKVWNLNKVGILAFMQSLRAFVEKEEKILKEKDQKWEEIAASKRVKDKETKEGETSTKSKKDNGKGILIEH >OIV98505 pep chromosome:LupAngTanjil_v1.0:LG14:248268:249341:1 gene:TanjilG_18789 transcript:OIV98505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTEPDTLSPIPSDSLGNQISKYKTMFTEAYKRKPIPYWILLFISIIAMLVAFPASGILSRVYYDNGGQSKWIISWVAVAGWPITALILLPTYIVNKTFPTSLNFKLVLSYICLGFLSAADNLLYAYAYAYLPASTASLVASSSLVFSTLFGYLLVKNKVNASIINANVVITAALTIIALDSSSDKYPYITDSQYIMGYVWDILGSALHGLIFALSELVFVKLLGRRSFIVVLEQQVMVSLFGFLFTTVGVIVSGGFQGMASEASTFKSGKSAYYLVLIWSAITFQFGVLGGTAVIFLASTVLAGVLNAVRTPITSIAAVILLHDPMSGFKILSLLVTIWGFGSYIYGSSMDTKSS >OIV98253 pep chromosome:LupAngTanjil_v1.0:LG14:4068739:4068969:1 gene:TanjilG_14842 transcript:OIV98253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLHNKIFVPIAQPITHQDKGISVKQRMGVGMVLSILAMVIAALVEIRRLVQSETVPRSILVTTTIENTNCGIFHC >OIV98139 pep chromosome:LupAngTanjil_v1.0:LG14:8714979:8717867:1 gene:TanjilG_26004 transcript:OIV98139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLESVKKTLEKGMETTSVVDELPSRFLEPLIMSSLTVDHIEHGRVVCSMKIPPRLLNPGNSLHGGATAALVDVVGSAAICSVGHPPLSTGVSVEINVSYMDAAYVDEEIEIEARALRVGKAVAVVSVEFRKKKTGKVFAQGRHTKFIPLASKM >OIV97571 pep chromosome:LupAngTanjil_v1.0:LG14:16023316:16027294:-1 gene:TanjilG_12328 transcript:OIV97571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEIRDLVHRHPDKLKMETLKGGNKGYNAEVAVVTYGRGKSDNDERSKFRILLSFGQHGRELITSELALRILSILSEEQHLPNVDHATLNSTLDKLVIKVVPMENLNGRKLVEAGDLCRGVDLNRNWSVDWGKKEKDYDPYEENPGVAPFSEPETQIMRKLAISFDPHIWVNVHSGMEALFMPYDHKNITPHGLPLQRMKSLLEEVNHLHCHKHCMIGSGGGSVGYFAHGTATDFMYDIVRVPMAFTFEIYGDGTASSKDCFKMFNPIDLSSYNRVLNDWSAAFFTIFKVGPQQIGEIHSKALDKLVSIDEYLDGYLMERRNRYGKKMEVLELGMQEIRTYFRLFLLSSVLLMFMFCSRISKGKRPIVSAIPL >OIV98234 pep chromosome:LupAngTanjil_v1.0:LG14:4852398:4852604:-1 gene:TanjilG_09886 transcript:OIV98234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDGAGDSSMMPPHELFFSISLAARGIEIPNSIFILFDVGFKLMEEDNNVNPLRLLGYAVVSMPLPRI >OIV98418 pep chromosome:LupAngTanjil_v1.0:LG14:2090282:2111914:-1 gene:TanjilG_16745 transcript:OIV98418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDQLAKAEEFEKKAEKKLTGWGLFSSKYEDAADLFDKSANSFKLAKSCFLLLSLSLFDFLESKHEAAQAYVDAAHCYKKTNITVIYTQEIAELYESEQNIEQAVVYYEKSADFYENEEVTTSANQCKQKVAQFAAQLEQYQKSIVIYEDIARQSLSNNLLKYGVKGHLLNAGICQLCKGDVIAITNALERYQELDPTFSGTREYRFLADIAAAIDEEDIGNFTDVVKEFDSMTPLGLAWISKASRNRNSVWKVVEVKVGLHCDDCIKKILKAIKKIEDIESYNVDTKLNKVIVTGNVTTEEVIKVLQKIGKNATSWEDSQNNN >OIV98181 pep chromosome:LupAngTanjil_v1.0:LG14:7342954:7346012:-1 gene:TanjilG_11578 transcript:OIV98181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNTPLSLLFLLFSLLTPTLISSSSLQNAEFIVGEVNRKVNASAARRNLGYLSCATGNPIDDCWRCDPNWENNRQRLADCAIGFGKNAIGGRDGKIYVVDDAENDDPVNPIPGTLRHAVIQDEPLWIIFARDMVIQLKEELIMNSFKTIDGRGASVHISGGPCITIQYVTNVIIHGIHIHDCKQGGNAMVRDSPRHYGWRTVSDGDGVSIFGGSHVWVDHCSLSNCIDGLIDAIHGSTAITISNNYMTHHDKVMLLGHNDAYTQDKNMQVTIAFNHFGEGLVQRMPRCRLGYFHVVNNDYTHWEMYAIGGSANPTINSQGNRFVAPDDRFSKEVTKHEDASEDEWKEWNWRSEGDMMLNGAFFTSTGAGASSSYARASSLGARPSSLVGSITTNAGALNCKKGSPC >OIV98360 pep chromosome:LupAngTanjil_v1.0:LG14:1436590:1441816:-1 gene:TanjilG_16687 transcript:OIV98360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSDNRTELEFFNEIGLGSNNVGGYINGQWKATGSSITSTNPSNNKAIAEVTEATLQDYEEGLQASSEAAKIWMSVPAPKRGEIVRQIGEALRAKLDPLGRLVSLEMGKILPEGIGEVQEIIDMCDYAVGLSRQLNGSIIPSERPDHMMFEVWNPLGIVGVITAFNFPCAVLGWNACIALVGGNCVVWKGAPTTPLITIAVTKLVAEVLERNKLPGAIFTSFCGGADIGEAIAKDTRIPLVSFTGSSKVGRLVQQSVNERFGKCLLELSGNNALIVMDDADIKLVVRAVFFAAVGTAGQRCTTCRRLFLHESVYTDVLDQLVGLYKQVKIGDPLEKGTLVGPLHTRSSVENFEKGISGGKILTGGSVLESEGNFVQPTIVEISADAPVVKEELFGPVLYVMKFQTLDEAIALNNSVPQGLSSSIFTKRPETIFKWIGPRGSDCGIVNVNIPTNGAEIGGAFGGEKATGGGREAGSDSWKQYMRRSTCTINYGSELPLAQGINFG >OIV98132 pep chromosome:LupAngTanjil_v1.0:LG14:8806706:8808955:1 gene:TanjilG_25997 transcript:OIV98132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMFPSYALPLPILPPLKAKSTHNGFFNPTFHNANKSLKLKPPQSSKLSFVSTSNHNFLDEWPHLLQFSIGSQDYMLAQAIHASLIKFGCVGDLFVDNNLVNLYSKFDKMGDAHRIFDEMLVRSTVTWTTLMKGYLRNGDYDSVFNIVRDLCMCGEKFNEYTCTVVLQGCKSPQDCVFGKQIHAFVLKNGFQENVVVATSLVSMYSKSGHLDHAEKVFRGVSVVDAQCINYMVLEYGKAGLGNKAFQIFVDMLNSGLNPSDYTFTNLISACVSSIGLGRGKQLHGLAVKCGFMRETSLGNATITMYGQHGMIEEAERLFVGMDGKTLISWSSLLSAFVKNGYANRALEFFFKMLEVGMPLDCGCFSTILDGCSECNNLEFGIQIHGLAIKFGHVSDVKCGTALIDLYAKCRSLRSARVVFDKLQNKTTASLNAILVGYLNSKLRDDEEDPMTFLSKLRFNDMRPDSVTFSRLLSLSANQACLVSGKSLHAYTIKAGLEDDIDVGNAVVTMYAKCGSVPDAYQIFSCMKHDCVTWNAIISAFALHGEGNKALSLFEDMKKEGFAPDKITILAVLQACCYSGLWESGICLFNEMEPKYGIRPVIEHFSCMINLLGRSGNLPKAIDIINKSPFPKSTLLWRTFVNACKLCGDLQLGMWASEKLLDLAPSDASSYILLSNMYAEGGMLKEAAKVRTAMNDLKLIKETGSSWVEIDNEVHYFIASDKDHPESREIYTNLDLLRDEIFLELQEQK >OIV98230 pep chromosome:LupAngTanjil_v1.0:LG14:4690319:4699854:1 gene:TanjilG_09882 transcript:OIV98230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTEDHSESMSDALLFTTICIIGLPVDVHVRDGSVYSGIFHAASVDADYGVVLKKARMTKKGMFDTNVGTEVVVDTLVILANDLVQIVTKGVMLDGGNVSRYDEEAVTCEVENHIGSLVDAKQDNQSRSPIQMENGFAHGFPRSIAVNEHEGKKLPVNHMRTSLELDCGKTNKKDFTKIEVDSASSFNDRQPGHDKSKGKADDCRQKPAVVKGKIDEKIQRLNSSHGIDTDLIQVEAVEHVSTYMISNTSDNGLSCDNDAASVKLDDRCSERSTLTDSASTNSIQGVDLISESHNLQPKSVEISTPRGTGSTRNAKEFKLNPAAKIFSPSFLHPSSITAAVPTSPNMVYVPNSSPSVSFTAIQPQVEFSTFVSRPPLPVKIADYSNVGVGNGGSGSQLSQPIIGQLAHRTQPLRYTAHYTPDLSEPAYLQTSSPAVMVGRSGQLVYVHPVSHELVHGATTISPVSARPMSNHVQFPKQQGKKSMK >OIV97797 pep chromosome:LupAngTanjil_v1.0:LG14:14454459:14461101:-1 gene:TanjilG_12554 transcript:OIV97797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNPLVARATSDMLIGPDWALNIEICDVLNRDPGQAKDVVKGIKKRIGSRNSKTQLLALTLMETIIKNCGDIVHMHVAERDVLHEMVKIVKKKPDLHVREKILILIDTWQEAFGGPRARYPQYYAAYKELLRAGAVFPQRSEQSAPIFTPLQTHPLTSYPQNIRDPDARQDTAESSVESEFPTLSLTEIQNARGIMDVLSEMLNAVDPGNKEGLSQEVIVDLVEQCRTYKQRVVHLVNSTTDESLLCEGLSLNDDLQRLLAKHESIASGTSAQNHSEKPKPEPTGALVDVDGPLVDTGNTSNQIHGSSSGAEAGSQTLNQLLLPAPLTSNGPALPAKVDPKLDLLSGDDYNSPKAETSLALVPVGEQQPASPMSQQNALVLFDMFSNGSNASTSANAQPTNVAGQTSPLGPQFQQQQAFISQGVLYPNGSVPNGGSPRYEQSAYTQSMDAAWNGQAAQQQQPPSPVYGTPNVGSLPLPPWEAQPTDNDNSVAGTRYPQPPPPTQMVQNAAYPQGPQAMGNGNAVSIYMQPNVNHVGQSNQVGLQPQYVPGVAGPYMGMVPHHTQNVPVASLYPQSMYPVQMYGNQFTGYGYGQQQGVQYVEQQMYGLSMRDNSGMRNSHQLASASYAPSGKPSKPEDNLFGDLVNMAKVKPKAPPGQAGSM >OIV97781 pep chromosome:LupAngTanjil_v1.0:LG14:14543159:14544565:-1 gene:TanjilG_12538 transcript:OIV97781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQYLERRECEYDQKTLVSFYNEEDSLNPVLTGVIVFTSTADKANKYYLGPAPVEDMARQIATAHGPFGNNRDYLLLLEKAMSDIGHEDDYVIVLANEVRKELGMVNVAPKDKNLVAPAKLQHSTHVVKSLAKNLLRTFLLPSWCWVAVFLLVYELGQGMIEVANDLTERVEL >OIV98083 pep chromosome:LupAngTanjil_v1.0:LG14:9907365:9912846:-1 gene:TanjilG_25948 transcript:OIV98083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTWWSKKPCKSTKTQQQNQPNENNTSTFLNFINKSSIKKVKKNGEKQLGFDDVTGAAFLSRNSPRANKDFTSHGAAADADGGDKIGLPLPRPSVSSNTGNDQGLVFGSGSFSAGSSVSSSGSLDDHSISQINATRGQVDMKFNVRPKSPGPGSRGPTSPTSPLHPRLNVLSLDSPTGRQEDGRSQCHPLPLPPGSPTSPSSLSNTRANVQQENSICNRGKWKKGKLLGQGTFGHVYQGFHSESGQMCAIKEVRVICDDRSSKECLKQLNQEIHLLSQLSHPNIVQYYGSDLGDEALSVYLEFVSGGSIHKLLQEYGSFGEPVIQNYTRQIVSGLSYLHGRNTVHRDIKGANILVDPNGEIKLADFGMAKHINSSASMLSFKGSPYWMAPEVVMNTNGYSLPVDIWSLGCTILEMAMSKPPWSQYEGVAAIFKIGNSKDMPEIPEHLSNDAKNFIKLCLQRDPLARPTAQMLLDHPFIRDQPATKSVNVSITRDAFPYMFDGSRTPPVLEHHSNSNRTNNTLINGDYATKQVVASSRAVRSPRDNTRMITSLPVSPCSSPLRQYGPAHNSCFLSPPHPSYTMMRQNNLNSYPLRSNAAFTLDPWQERSPYRGHTPSSGSPRTRLI >OIV98384 pep chromosome:LupAngTanjil_v1.0:LG14:1748422:1750583:-1 gene:TanjilG_16711 transcript:OIV98384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVASTRMMVTTMAIIALSTCFLHFVHASTSSSVGEFIDKTISSHKIVIFSKSYCPYCKRAKAAFKELNQVPYVVELDERDDGSKIQDILNNIIGRRTVPQVFINGKHLGGSDDTVQAYESGRLAKLLGIETEEKNHDDL >OIV97550 pep chromosome:LupAngTanjil_v1.0:LG14:16141725:16144910:-1 gene:TanjilG_12307 transcript:OIV97550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGGAVLVAIAASIGNFLQGWDNATIAGAILYIKKDLGLETSIEGLVVAMSLIGATLITTWSGSISDWVGRRPMLIISSLLYFLGSLVMMWSPNVYVLCLARLLDGFGIGLAVTLVPVYISETAPSEIRGSLNTLPQFTGSAGMFLSYSMLFLMSFNASPNWRLMLGLLSIPSLLYFLLTLFFLPESPRWLVSKGKMLQANKVLQRLRAREDVSGEMALLVEGLGIGGDTCIEEYVIGPDDEVGDDHEQTTEKDKIRLYGSQAGLSWLAKPVTGQSSVGHVSRHGSVPLMDPLVTLFGSVHEKLPETGSMQSTLFPNFGSMFSTAEPHVKTEQWDEESLQRDSEDYTSEAGSGDSGDNLQSPLIWRQTTSLEKDIPPPSGSILEGSGEAVDSTGIGGGWQLAWKWSDKGEDSTKEGGFKRIYLHQDGVPGSRRGSVVSIPGEGELVHASALVSQPALCSKQLISQQPVGPAMVHPSETSNGSIWKSLLEPGVKHALIVGIGIQLLQQFSGINGVLYYTPQILEEAGVEVLLSDLGIGSESASFLISALTTLLMLPCIGLAMRLMDVSGRRQLLLVTIPVLIVSLLVLIIGSTVNFGKVVNAAISTVCVVVYFCTFVMAYGPIPNILCAEIFPTRVRGICIAICGLVFWIGDIIVTYSLPVMLSSIGLTGVFAIYAVVCFISWIFVYLKVPETKGMPLEVITEFFAVGAKQTTCAKNE >OIV97625 pep chromosome:LupAngTanjil_v1.0:LG14:15694051:15695894:1 gene:TanjilG_12382 transcript:OIV97625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFHRKSNSQHENEGHEQLQNESKIKELEDAIGPLSGNSLKYCTAACLRRYLEARNWNVDKSKKMLEDTLRWRSTYKPEYIRWNEVAGEGETGKLYRANFHDRQGRTVLILRPGKQNTSSIENQIRHLVYLLENAMLNLPPGQEQMSWLIDFTGWSLRSSVPIKSAKETASILQNHYPERLAIAFLYNPPWVFETFWKIVKYFLDTKTFQKVKFVYPKNKDSMELMNSYFDEENLPKELGGKSKLDYNHEEFSKLMTQDDLKSAAFWGSDDKLPSYVGNGRSGAEVAPDPACHETAAT >OIV97790 pep chromosome:LupAngTanjil_v1.0:LG14:14498289:14502766:-1 gene:TanjilG_12547 transcript:OIV97790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKTERIANLALAGLTLAPLVVKVDPNVNVTLTACLAVFVGCYRSVKPTPPTETMSTEHAMRFPFVGSAMLLSLFLLFKFLSKDLVNTVLTAYFFVLGIVALSATLLPSIKRFLPNQWNENPIVWRFPYFRSVEIEFTKSQIVASIPGTFFCVWYALSKHWLANNILGLAFCIQGIEMLSLGSFKTGAILLVGLFVYDIFWVFFTPVMVSVAKSFDAPIKLLFPTSDSARPFSMLGLGDIVIPGIFVALALRFDVSRGKQPQYFKSAYLGYTFGLVLTIVVMNWFQAAQPALLYIVPAVIGFLAAHCLWNGDVKQVCVYAYFSIFLFLCQCYSSLFMHLICFQIVSFYWSSIMCYVFYYNHV >OIV98299 pep chromosome:LupAngTanjil_v1.0:LG14:778386:778916:1 gene:TanjilG_16626 transcript:OIV98299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNIMDALIGANDLTTMLNPSMLPLTLTLFIPQHNTFFHHNNNNNHPPMDPFLFPYHIIPQRLTFSDLLLLPPTTNLPTLLPYRTISVTNNSAANFSLNGVPLTHPDLYSNPSLVVHGVANILDYSLAGDNIPSPPPPLEDLLPPFGLISGVGGDTSSWCTCVVFFIFWCCFLLQR >OIV98172 pep chromosome:LupAngTanjil_v1.0:LG14:7834887:7835309:1 gene:TanjilG_18287 transcript:OIV98172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTDTQFERVLRYFDEDGDGKVSPSELRHKLSMMGGELLLKEAEMAIEALDSDGDGFLSLEDLIVLMESGGEEEKLKDLRDAFEMYDTENCGFITPKSLKKMLRRMGESKSIDECKVMINQFDLNGDGVLSFEEFRIMMQ >OIV98207 pep chromosome:LupAngTanjil_v1.0:LG14:6336927:6340729:1 gene:TanjilG_28720 transcript:OIV98207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEETFVPFRGIKNDLKARILCYKQDWTSGIQAGIRILAPTTYIFFASAIPVISFGEQLESSTDGTLTAVHTLASTALCGIIHSIIGGQPLLILGVAEPTVLMYTFLYDFAKDQKDLGPKLFLPWTGWVCVWTSLLLFLLAVLGACSIINRFTRIAGELFGLLIAMLFMQQAIKGLVEEFSVPKTHNENTNPIALQPSWLFGNGMFALVLSFCLLFTGLQSRKARAWRYGTGWLRGFIADYGVPLLILVWTAVSYIPSNQVPRGIPRRLFSPNPWSPGAYSNWTVIKEMLNVPTLYIIGAFIPATMIAVLYYFDHSVASQLAQQKEFNLRKPSSYHYDLLLLGFLTLLCGLIGIPPSNGVIPQSPMHTKSLATLKHQLLRNKLVSTARKSMRRNMNLSHFYQSMKDAYDEMQTPLVHQMPSTLGLKELKESTIQLASSHGYIDAPVDEIVFDVNNDVDELLPVEVKEQRLSNLLQALMVAACVGAMPILKKIPTSVLWGYFAFMAIESLPGNQFWERILYLFTAPSRRYKLLEEYHGNFVESVPFKAVAMFTIFQTTYLLLCFGITWIPIAGVLFPLLIMLLVPIRQYILPKFFKGAHLQELDAAAYEEAPANAFNMSFEDLHSQATTLNITGGEILDEIITRSRGEIRRTQNPRTTSSTPTSFGDIRPAYSPQLSRGIRSPRISELRGESSQGAIRKEIMLKQTPSPRKSIL >OIV97962 pep chromosome:LupAngTanjil_v1.0:LG14:12619688:12621897:1 gene:TanjilG_12719 transcript:OIV97962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVAYESIEIDDNPIEQVRLTVSIKDDRTQPALTFRTWVLGLASWPFSMKGHALITIIASCGSSGVYAIGIITIVKAFYHRSINPTAGFMLALSTQQTGLNVITELIIGFLYPGKPLANVAFKTYGYISMAQALEFIGDFKLGHTT >OIV97942 pep chromosome:LupAngTanjil_v1.0:LG14:12877461:12883308:1 gene:TanjilG_12699 transcript:OIV97942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEMMDIDGNGHSYSSKGKTVLIAGNPPSAAKAIPWVEKYRPQSLNDVAAHRDIVDTIDRLTTENRLPHLLLYGPPGTGKTSTILAVARKLYGAQYHNMILELNASDDRGIDVVRQQIQDFASTQSLSFGFVKLSVKLVLLDEADAMTKDAQFALRRVIEKYTKSTRFALICNHVNKIIPALQSRCTRFRFAPLDAVHVTERLKHVIKAEGLDVDDSGLTALVQLSNGDMRKALNILQSTHMASQQITEEAVYLCTGNPLPKDIEQISFWLLNEKFADSFKRINEIKTRKGLALVDIVREVTMFVFKIKMPPAIRMQLINDLADIEYRLSFGCNDRLQLGSAIASFTRARSALVAAAQ >OIV97753 pep chromosome:LupAngTanjil_v1.0:LG14:14802258:14803681:1 gene:TanjilG_12510 transcript:OIV97753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARVKGKCPGRKEPLSSSSSSSSDDSDSSREHSRKRQKVKDSNKDQGEEGPSNVYSVQDEDEDEDEDPSFVLSDEESEIIAENQNESKLFNEKAQEIKQALEEAVPGITITVNIKKLTKTGSFVIMAEGGLKVFKLMGMRRPFKKLKELDVAKTVSDIAAKIK >OIV97898 pep chromosome:LupAngTanjil_v1.0:LG14:13399209:13399961:1 gene:TanjilG_12655 transcript:OIV97898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEERLPPPPPPPPQPYDTNSPKLQIPYIGPGTYVVQVPKDQVYRIPPPENARIAESYRNSPGRDKKKSCCCCFLICLIVFIAILIIIGALIGGLFSIVLKPKSPKFSIQRFQLKNSTHPLYNITLKVNNPNSKVGINYKEGGDVSLLLKQRKIASGAYPSFYEAHDSSKEIRLALKASKGDFPKEVDKSMKNKKKKVNVTFTLTMKVHAQMKMWSLRSGTITYNITCKVKVDTLAKNTRVISQQCETKRV >OIV97816 pep chromosome:LupAngTanjil_v1.0:LG14:14274591:14275058:-1 gene:TanjilG_12573 transcript:OIV97816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDQTRRGSYGSSYDTSFDVNNNNNNSTSRQTIKFLTAATIGVSLMLLSGLILTGTVIGLVIATPLLVLFSPILVPAAFILFMAASGFLFSGGCGVAAVAALSWIYNYVAGKHPVGADKLDYARDVIADKARDVKDRAKDYASYAQGGTTTGSTY >OIV98053 pep chromosome:LupAngTanjil_v1.0:LG14:10189795:10191086:1 gene:TanjilG_09546 transcript:OIV98053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRERERFDEIGKKIKREGDVSSQMGRRHMLGPPGTLNTIIPCAACKLLRRRCAQECPFSPYFSPHDPQKFASVHKVFGASNVSKMLMEVPECHRADAANSLVYEANVRLRDPVYGCMGAISALQQQVQSLQAELNAVRSEILKYKLREANMIPSSHIAMFPSSGDVSVIASPPPLPPPPPLPPASSSSSSMYIQQRAPINYSRISSDNISYFG >OIV97653 pep chromosome:LupAngTanjil_v1.0:LG14:15500733:15502749:1 gene:TanjilG_12410 transcript:OIV97653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRGGVVEDTATGITTWDGVIPPQCNPKPSILRLNAELEWVEAQEPLHQDIDAKKTNGVGPGMSFANSILNKREGFGVVGLVPCAIGGTNISQWEKGKVLYKHMMKRVKASLNDGGSIRGFLWYQGESDTVNLNDAQSYQTRIHKFFIDVRNDLQSPLLPIIQVALASGQGPYKDIVRQAQQSIDLLNLRTVDALGLPLEPDGLHLTTQAQVNLGQMMADSFLQSDKVEVKALDQ >OIV97973 pep chromosome:LupAngTanjil_v1.0:LG14:11677144:11680315:-1 gene:TanjilG_14073 transcript:OIV97973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVVTVSSSAARTPLRLNTKFSSQWSPSKRPLIVAFKGDKPNDTALVATQEKHRMPIESAKTQKKRIGKVGKTSKRVRAVCAEEISPSSLDVDYNEAAVLLENIYKLSPASDICDEEFIDSKIKRALWRGKKGCYEDKEEELSSDRVVRNQKRKVKRLSLDKRIALKNKKDEEVIPSQKKRIVKSRIEKIEELVREYSGSTDLVSLDWKKMRIPPVLPSSEHTWLFKLMQPMKVLLPVKEGLLKELGREPTDVELADATNMSLVRVKKAIEAGRAARNKLIKHNLRLVLFVINKYFPDFASGPKFQDLCQAGVKGLITAIDRFEPNRKFRLSTYGLFWIRHAIIRSMTLSSFTRVPFGLESVRSEIQKAKLLLTFELQRPPTEEEIQKRVQISPERYHDVKKASKSVLSLNSRHSVTQEEFINGITDDDGVNSDKRRQPAILRLALDDVLDSLKPKESLVIRQRFGLDGKGDRTLGEIAGNLNISREMVRKHEVKALMKLKHPTRLDYLRPYVV >OIV97547 pep chromosome:LupAngTanjil_v1.0:LG14:16154613:16157198:-1 gene:TanjilG_12304 transcript:OIV97547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKSPAPATEPPSSSVQPPPPQPPQPPPPVKPDPAPSPDTNIITVPSYSRWFSFDSINECEIRHLPEFFNSNSSKNPKLYKYYRNSIVKYFRYNPTRKITFTDLRKTLVGDVGSIRRVFDFLETWGLINYLPSSASHKPLKWDDKESKAETGSNSTDSSSTPVVKVTTKRVCSGCKALCTIACFACDKYDLTLCARCYVRGNYRVGVSSSDFRRVEISEETKTDWSEKESLRLLEAITHYGDDWRSVCQHVGGRTEKDCVSHFLKLPFGDQFLHTQDSAVSNDNGNQLTQLADAQCDRETVASSESSKRMRLTPLADASNPIMAQAAFLSALAGLEASQAAAQAAVTTLSDVYKATRVNHRSFPRNTLLQDAGITSNGISTSDSLLASRLHANVQLEKQESDVEKSISEIIVQMKNMEDRLVEFEELDLVMEKERQQLQQTKNMHFLDQLTLLFHKQAATKTGESTNVKTNS >OIV98330 pep chromosome:LupAngTanjil_v1.0:LG14:1122434:1126171:1 gene:TanjilG_16657 transcript:OIV98330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFNYKYLLIVLCLWTWFESLAFTISNDGSMRVTLKRRNFDTPSLSAARIKEIVHPKGLRSIDKNDSSENVLYLKNYDAQYFGEISIGSPPQYFNVVFDTGSSNLWVPSSRCIFSISCYFHSKYRSGISSTYTQIGTPCKIPYGDGSISGFFSQDNVKVGDIIIKDQEFAEITLEGSSELLSLPVDGILGLGFQDISIGKVTPIEVGDVLLGNNSTGYRKFLFVAMFNLSAMRFSLFLIVNEEIKAAKDSIILGGLCDGGCAAIVDSGTSLIAGPTTIVTQINHAIRAEGYVSYECKNTIHHYGDSIWESLISGLNPEIICVNIGLCSHNGSHITDDVIETVVHNEDQDESSTTESPFCSFCNMIVVWIQTQLKQSNLKDKILKYVDELCERLPNPVRKSVIDCNSVSAMPHIAFTIGNKSFALSPEQYILRVGEGCSAICYGSFVALDVPTPQGPLWVLGNSFLGAYHTVFDYGNQLIGFAESA >OIV98191 pep chromosome:LupAngTanjil_v1.0:LG14:7000025:7002041:1 gene:TanjilG_11588 transcript:OIV98191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSIAGNHNPSLDPDTDTPDNPDQEFAVFGAGCFWGVELAFQRVTGVVKTEVGYSQGHTPDPNYKLVCSGTTNHVEVIRVQFDPKVCTYSTLLDLFWSRHNPTTPNRQGNDVGSQYRSGIYFYNETQARLAQESKEAKQLELKDEIVTEILPAKRFYRAEEYHQQYLEKGGGQGLKQSAAKGCNDPIRCYG >OIV97778 pep chromosome:LupAngTanjil_v1.0:LG14:14571281:14577162:-1 gene:TanjilG_12535 transcript:OIV97778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQEALMQQALLQQQQQQQQHMYHPGMLAAAASMSQMDPVPSGNLPPGFDTSACRSVYVGNINVNVTDQLLAEVFQSAGPLAGCKLIKKEKSSYGFVDYHDRASAALAIMTLHGRQLYGQALKVNWAYANSSREDTSGHFNVFVGDLSAEVTDATLFACFSVYPSCSDARVMWDNKTGRSKGYGFVSFRDHQDAQRAINDMSGKWLGNRQIRCNWATKGAGASSGEEKNNENQNAVVLTNGSSDGGQDNNNEDAPEKNPAYTTVYVGNLPHDVTQAELHCQFHALGAGVIEEVRVQRDKGFGFVRYNTHDEAALAILIGNGRIVRGKSMKCSWGSKPTPPGTASNPLPSPAPAQPYQILPAAGMNQGYSAAELLAYQRQLALSQAAVSGLSGQALLQMTGQHGLAPASMGINSGVSQAMYDGYTGNSSRQHLMYYH >OIV98052 pep chromosome:LupAngTanjil_v1.0:LG14:10162496:10167329:-1 gene:TanjilG_09545 transcript:OIV98052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFLIIPISLITLLISYNLYYTLRFKLPPGPRPWPIIGNLYDIKPLRFRCFAEWAQSYGPIISVWFGSILNVVVSNSELAKEVLKEHDQQLADRHRSRSAAKFSRDGKDLIWADYGAHYVKVRKVCTLELFSPKRIEALRPIREDEVTAMVESIFKDCTNPENFGKSLLVKKYIGNVAFNNTTRLAFGKRFMNSEGVMDEQGIEFRAITANGLKLGASLAMAEHIPWLRWMFPLEEEAFAKHGARRDKLTREIMEEHTQARKESGGAKQHFVDALLTLQDKYDLSEDTIIGLLWDMVTAGMDTSAIVVEWGMAELIKNPRVQQKAQEELDKVIGFERIITENDFSSLPYLQCVAKETMRLHPPTPLMLPHKANANVKVGGYDIPKGANVHVNVWAVARDPAVWKDPLEFRPERFLEEDVDMKGHDFRLLPFGAGRRVCPGAQLGINLVTSMLGHLLHHFCWAIPEGMKPEEIDMSENPGLVTFMRTPIQAVASPRLPSHLYKRVPADI >OIV97708 pep chromosome:LupAngTanjil_v1.0:LG14:15138025:15140210:1 gene:TanjilG_12465 transcript:OIV97708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPKEQDLVVDGDVCEAKQSNTQQIKQHKKQVRRRLHTSRPYQEKLLNMAEARREIVTALKYHRATMKQASEQKQQQQQNQEEQQKPLISFNYSHKPSFDQDGRYKSKRNPRIYPSCKTNSTNYMNGFSHSYSPYPPPQASNYYTYPSASTLAPPTLMPDNPNFILPSQTLGLNLNFHDFNTIDTTTFHLKNSSFSSSYSSTTSSSPPLSVVTDQEVPSALGEGSSSLVLDSIQSCASTQVSGGLHTAMDDEGMEEIRSLGEQYQMEWNDTMSLVKSACWFKFLKNMENGAHEAKIEDGSCHVFEELVEFPPWLDANGSCLEQCSQNYFQDSTLPCMDIGDIEGMDEDWLE >OIV98274 pep chromosome:LupAngTanjil_v1.0:LG14:3464221:3470034:-1 gene:TanjilG_09908 transcript:OIV98274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHSSDEDTDISESEISDHEDKSYEKLKNGSQCVKTSDETFTCPYCPKKRKRDYMYKELLQHASGVGQSSSQKRKAIDKANHLALVKYLEKDLMKVDVPSKTEEEESNASVNPNEQFVWPWIGIVVNIPTRRAGDARCVGESGSKLRDEYRRIGFNPFRVSPLWNFRGHTGTALVEFNKNWPGFHNALAFEKAYESDHHGKKNWFGPGEKSGLYAWVSREEEDRKQHKLVSNLHNIIQTKNQHLKEIEVRCNETTLKMDVAMEEKDKLINAYNEEIKKIQSSARDHFQKIFNDHGRLKMQLETQKNDLELRKIELEKRETHNESERKMLSDEIEENAVKNSSLQMASMEQQKADENILKLAEDQKRQKEELHAKILRLENDLDMKQKLELEIEQLQGKLNVLKHMKDDDEDAEVLNKVDSLLKELRENEEAFQDLDEMNNTLIAKQRKSNDELQEARNELLNFIKEMPNDGNIGVKRMGELDTRPFLEFMKKKYNEEEAEDKASKLCSLWEEYLKDPDWHPFKVIMVEGKETEIIRDDDEKLNGLRSEISKAAYTAVVTALKEINEYNPSGRYISSQVWNYGQGRVATLQEGVQVLLKQWKTYKPKRGMM >OIV98297 pep chromosome:LupAngTanjil_v1.0:LG14:753483:755888:1 gene:TanjilG_16624 transcript:OIV98297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVRSWHLVIFSLCLFISSGPGIAIRLRYEENEDISSPYESSTQFDSVPVINPTTPGTDNPYPTLNPTSPQPPDTTGPGLTPTTPDTTPTTPDTNPYTTPTTPNTNPTAPTSPYTNPTTPTSPYTNPTAPTSPYTNPTAPTSPYTNPTAPTSPYTNPTAPTSPYTNPTTPTGPNTNPTTPTNPYTTPTTTPPSPNANPTAPTTPTTTSAGGQWCVASQSASEKALKVAIDYACGYGGADCSALQPGASCYNPNTIRDHASYAFNDYYQKNPAPTSCAFGGTATLTNKDPSNGNCHYASSKTTSMSPPTHLSPPNPPTPPSAPATMTPSAPNTMIPTTPPGMTIPGGASVDGSGPALSPNIATSASYSTLLLFTLFGIWASIHVHIYI >OIV98009 pep chromosome:LupAngTanjil_v1.0:LG14:11025718:11029960:1 gene:TanjilG_21719 transcript:OIV98009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVTDSNNVQDFDDSFCFPIEEIVQYPLPGYASPTSISFSPDDTLISYLFSPDQRLNRKIFSFDLKTNKQELLFSPPDGGLDESNISREEKLTRERLRECGLGVTRYEWVKKNSESKSVMVPLPAGVYIQDISNSKLELKLPSISGSPIIDPHLSPDGSMLAYVRDCELHVLNLSTNDSKQLTNGAKESGSIHGLAEYIAQEEMDRKSGYWWSLDSKYIAFTKVDFSEIPLFRIMHQGKFSVGSEAQEDHPYPFAGASNVKVWLGVVSVAGGSVVWVDLLCGGREQPKNGAEYLARVNWMHGNILTAQILNKHHTKIKVLNFDIKTGQRKIILVEENSTWISIHDCFTPLDKGVTKFSGGFIWASEKTGFRHLYIHDANGTCLGPITEGDWMVEQIAGVNEATGVVYFTGTLDGPLESNLYRTKLFVDGSQPPQAPLRLTQSKGKHIVVLDHHMQNFVDIHDSLDCPPRVLLCSLDDGRIIAPLYEQSLPIPRFKRLKLEPPEIHEIQANDGTTLYGALYKPDASRFGPPPYKTMINVYGGPSVQLVSDSWISTVDLRTQYLRNQGILVWKLDNRGTSRRGLKFESYFKHKLGQVDADDQVTGAEWLIKKGLAKAGHIGLYGWSYGGYLSAMALSRYPDLFKCAVAGAPVTSWDGYDTFYTEKYMGLPSENQSGYENGSVMNHVHKLKGKLLLVHGMIDENVHFRHTARLINALVAAGKPYELIIFPDERHMPRRHRDRVYMEERIWDFIERNL >OIV98160 pep chromosome:LupAngTanjil_v1.0:LG14:8054724:8055422:-1 gene:TanjilG_22720 transcript:OIV98160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLNNASPYNIPKLGKLKLLSQTMGKAATSATWPATTNFKVRVHTGNPS >OIV97785 pep chromosome:LupAngTanjil_v1.0:LG14:14524531:14526332:1 gene:TanjilG_12542 transcript:OIV97785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKEIEGAHGKEETLPPGFRFHPTDEELITYYLMNKISDSSFTGRAVTDVDLNKCEPWELPGKAKMGEKEWYFFSLRDRKYPTGVRTNRATNTGYWKTTGKDKEILNSVTSEMVGMKKTLVFYKGRAPRGEKSNWVMHEYRIHSKSTFRNTKQDEWVVCRVFQKSAGIKKYPTSNHSRAVNPYSLEIGPSMVPQPMIQLGDPSSHFLYGRNYMNNAELAEVARVLRGTSGSTSTTNINLPMQSQFHYPGGGGGGGGFTISGLNLNLGGRGAMTQPMFRQMQPPPPPLLPPPPAQTLAQVHDVSSNMMMVGTTSLGAEDNVVGYGASEMNNTNPHGNRFVGMEHCMDLENYWPASY >OIV98440 pep chromosome:LupAngTanjil_v1.0:LG14:2376469:2380337:1 gene:TanjilG_16767 transcript:OIV98440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIVPFATTQWGIRPHHIFTKSTIMGRVASSTSTHNVTSRVSFMAAPSSSFFSRDSLRGLYDMGSSQTLHRRRGSTLIVRAEADYYSVLGVSKNASKSEIKSAYRKLARSYHPDVNKEAGAEQKFKDISNAYEVLSDDEKRSIYDTYGEAGLKGSAGMGGMGDFNNPFDLFETLFEGMNGGMNSRGSPNGAVEGEDEYYSLVLNFKEAVFGVEKEIEIRRLESCVTCNGSGAKPGTKSSRCSTCGGQGRVVSQTRTPLGIFQQTMTCSSCNGRGETSTPCNACSGDGRVRRTKRISLKVPAGVDSGSRLRVRNEGNAGKRGGPSGDLFVVLEVIPDPVLKRDDTNILYTCKVSYIDAILGTIIKVPTVDGTVDLKIPSGTQPGATLVMARKGIPVLNKSNMRGDQLVRVQVEIPKRLSSDEKNLIEKLADLSKGKTTTSRK >OIV98158 pep chromosome:LupAngTanjil_v1.0:LG14:7998354:8001749:1 gene:TanjilG_22718 transcript:OIV98158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSNTAGKVIRCKAAVAWEAAKPLVIEEVEVSPPQANEVRVKILFTSLCHTDVYFWEAKGQTPLFPRIFGHEARGIVESVGEGVTDLKPGDHVLPVFTGECKECQHCKSEESNMCDLLRINTDRGVMLNDGKSRFSINGKPIYHFVGTSTFSEYTVVHVGCLAKINPAAPLDKVCVLSCGISTGLGATLNVAKPTKGSSVAIFGLGAVGLAAAEGARLAGASRIIGVDLNSTRFIEAKKFGVNEFVNPKEHDKPVQEVIVAMTGGGVDRSVECTGSVNAMISAFECVHDGWGVAVLVGVPNKDDSFKTHPINLLNERTLKGTFFGNYKPRSDLPSVVEMYMNKELELEKFITHRVPFSEINKAFEYMLKGEGLRCIIHMTE >OIV98115 pep chromosome:LupAngTanjil_v1.0:LG14:9348762:9354574:1 gene:TanjilG_25980 transcript:OIV98115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDLLKEVEKFQAEELAKIKFTPACVTELDGLLCNAYVIQCPKPIDYHNRRDLIRIFNVIAKEIYGNNDSSPVVEGYGSFVMDMFNQKSDLDMSVNFNHSIEVPRMKMIETLRKFSKKLFKLQRSGHVTGVQSILSAKVPIVKVTDRGTGIECDLSVDNRDGIAKSHIIHAVTAIDERFRMLSFLMKSWAQEHNINSSKDRTLNSLSIVSLVAFHLQTCNPPILPPFAALLKEGADLAYVTNFVQTYSNYGNKNQDSLAKLFITLFVKLALVENYWQKGFCASLYEGSWILKSWGRRSYSISIEDFTDRSENVARAVGTEEQKTIYTCIHNSLNQIKAFLNGQMQGIKLMSLLFGKHTVSTLGIGSAGNTNENMHNLPIMQNPPLPPPKRRRLGERFEESQAQQPSERNNFVQGLQGTGPQGVGGVQRETLFNTLASFAPSYMPPTSIPSTNHSYGPRTVPSPYAINPVAFGGSYNPSIQSHFVPSRSSLGSIGTSRQQAVAPNIFLADQVHSQLAHVNHNQYRGDPTFYNHRNH >OIV98120 pep chromosome:LupAngTanjil_v1.0:LG14:9218423:9221528:1 gene:TanjilG_25985 transcript:OIV98120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKYEAVKDLGAGNFGVARLMRHKETKELVAMKYIERGHRARYFFQQLISGVHFCHAMQICHRDLKLENTLLDGSPAPRLKICDFGYSKSSLLHSRPKSTVGTPAYIAPEVLSRREYDGKLADVWSCGVTLYVMLVGAYPFEDQDDPRNFRKTIQRIMAVQYKIPDYVHISQDCKHLLSRIFVANPLRRITLKEIKNHPWFLKNLPRELTESAQAIYYQRDNPMFHVQSVDEIMKIVGEARNPPPVSTVGKGFGFEGEEDEGEDLDGEVEEEEDEEDEYDKRVKEVHASGEFQIS >OIV98093 pep chromosome:LupAngTanjil_v1.0:LG14:9799475:9802587:-1 gene:TanjilG_25958 transcript:OIV98093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFGGCATAELSRFIAGSSTRHKPSQCTISSSSHRFLHLQRPIDENRHILSVSRDRVVAKAAEGGRGLTYKDAGVDIDAGSELVRRIAKMAPGIGGFGGLFPLGDSFLVAGTDGVGTKVMLAFETGIHDTIGIDLVAMSVNDIVTSGAKPLFFLDYFATGHLDVDIAEKVIKGIVDGCQQSDCVLLGGETAEMPGLYKDGEYDLSGCAVGIVKKDSLINGKNIVAGDVLIGLPSSGVHSNGFSLARRVLAQTGLSLKDQIPGGDVTIAEALMAPTVIYVKQVLDIVSKGGVKGMAHITGGGFTENIPRVFPEGLGALIYKDSWEVPTVFKWLQEAGNIEDAEMRRTFNMGIGMVLVVSPETADRILGDKDNTEKFYRIGEVTSNKGMLFS >OIV97955 pep chromosome:LupAngTanjil_v1.0:LG14:12719875:12723258:-1 gene:TanjilG_12712 transcript:OIV97955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRGSSFCSSTTSSMAKRRASNSENSNNVGKIKKRPPLTNLTNHIASRNSSSTLVPCVTKVSKAKKEPLPCTTSSAISSNKLPSLTNVKSTTTIFPKATPLPQRVEDPPTVTTVSISSMDISPTKSDEISVSMDGSMSSCHSFKSPEIEYLDNTDVSTVDSIERKTFTNLNISDTTEPTGNKCSRDILVELEKEEKIINVDNNYKDPQLCATFACDIYKHLRATEVKKRPSTDFMEKIQKDMNPSMRAILIDWLVEVAEEYRLVPDTLYLTVNYVDRYLSGNVMNRQRLQLLGVASMMIASKYEEICAPMVEEFCYITDNTYFKEEVLEMESAVLNFLKFEMTAPTIKCFLRRFIRAAQGATEAPSLQLECLTNYIAELSLLEYNMLCYAPSLIAASAIFLAKFILFPSMKPWNSTLQHYTLYHPSDLCDCVMDLHRLCCGSPNSNLPAIREKYNQHKYKYVAKKHCPPSIPPEFFQN >OIV98248 pep chromosome:LupAngTanjil_v1.0:LG14:3918304:3918690:1 gene:TanjilG_14837 transcript:OIV98248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLCANYFREDVAELIQVSWLEVSGAVPVIKGKKYKVRFHVRVKADGFGWNNIQVLVMAKVGRRGNYEFKPTTLKSDNNEVIIPSQNDLEINVEPDASDNLLHFGLYEVWSGKWKGGLEILNAEVIPV >OIV97802 pep chromosome:LupAngTanjil_v1.0:LG14:14412339:14413496:1 gene:TanjilG_12559 transcript:OIV97802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFMMFPSLVSYNVEAQNSSEQNMPELPPTVHPSKVVVISVLAIMFVITFLLLFYVKFCRINPIQISNPNPSFRSLNGLTRSWSSRLSGIDKLVIETLPFFKFSSLKGSKEGLECTVCLSKFEDTEILRLLPKCKHAFHMNCIDKWLENHSTCPLCRYKVEEVDIKNFTHSLSSRFLRVPSNLNEDPNVEIFVQRELSHRWSSRFNIGSGFWDMGKNKKEEFVIDQEGGSTSSDVNVTTKTKPVHNFNHKIQVSDFVTRTRWSDLNSSDLLSLSCEMLIDDISSKRFFPLKPTNTEKFQASSNEEEKSFTSLDPSEKRSMSEIANVPRFAGISKQNRIREYEASGGEREERLWKIWLPIAQRTVQLFARQETSSVELEHKPLASIV >OIV97573 pep chromosome:LupAngTanjil_v1.0:LG14:16009937:16016177:-1 gene:TanjilG_12330 transcript:OIV97573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSRVQVNKPHKTRFSSKSSRNLHKTAANDRITNAKSERNFAKGARAARVQRNKMIRKQKKDAVLKERRELTGSKSPPRVIVLFGLSATVDLDSLSDDLTSLLSKQSSGVLSPTVASPEYRTRTTVLKAPRGDLLSCMEMAKVADLLAFVTSASYACEGTDSCYIDSFGDQCLSVFRSLGLPSTVVFIRDLPTELKQRNELKKMCTSTLSSEFPEDCKFYPADTKDELHKFLWLFKEQRLKAPHWRTQRPYIMAHKVDAVHDDHSGKSTLLLTGYLRARSLSVNQLVHVSGAGDFQLSKIEILKDPFPLNLKKNDDLMDSDEVHDSEAIGSLAPDPQNQEPLVVENEPDPLAGEQTWPTEAEIAKADEDQKLKKIKKKTLPRGTSEYQAAWIVDDSDKESSDGEYDYDDGMVLDEGGDVQVGNEYLDIDGDGASIKFGDSEDELDNDSVMMEADSLTREKIQDELKELKEKHAADEEYPDEVDAPLDVPARKRFAKYRGLKSFRTSSWDPKESLPQDYARIFQFDNIKRTQKHILAKALEMEQEIRDGCIPVGSYARLHIKEVPNAVASKLSVLGKTIPITACGLFQHESKISVLHFSVKKHETYDAPIKSKEELIFHVGFRQFVGRPLFSSEFINTDKNKMERFLHAGRFSVASIYAPISFPPLPAIILKRTGEDAPPAVAAVGSLKTIDPDRIILKRSILTGYPQRVSKRKAAVKYMFHNPEDVKWFKPVELYTKCGLRGRIKEPVGTHGAMKCLLNGVLEQRDTVCMNLFKRSYPKWTSHHFPLSDV >OIV97597 pep chromosome:LupAngTanjil_v1.0:LG14:15865046:15866302:1 gene:TanjilG_12354 transcript:OIV97597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVSLKIGDGTARFKRATFCSSAANILMIFSVITTNLFALYAFTASSNHNQTQLLHHNNKNFSLISEQVSLILREIDSSQKKLAQMEKELLGYESFDLSRPNIANELKVYLQHHQLPLGKDSKTGITEMVSSVGHFCEKNSDLLSQYMNYKAYGPCYDDWSVAQKLILKGCEPLPRRRCFTKTVSKVGLLHPFPNSLWKPIANKTVNWSGLSCKNFPCLNGKKLGKDCIGCFDLVNGFENQRFIKGRSKNDFLIDDVLVLGSGGIRIGFDVGGGSGTFAARMADRNVTVISATLNIDAPFSEFIAARGLFPLYLSLDHRFPFYDNVFDLVHASSTLDVGGKPEKLEFLMFDIDRVLRPGGLFWLDNFYYANEEKKKALTQLIEWFGYKKLKWVVGEKADNGKSVVVLSAVLQKPVRV >OIV98127 pep chromosome:LupAngTanjil_v1.0:LG14:9002053:9002280:1 gene:TanjilG_25992 transcript:OIV98127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNISSSISTSSSNSRVLRLFGVNMECQPEYDSGPSTPECSYNMPLTQGPDTLQLYHHHQSYSSNPHPHLVSQQP >OIV97712 pep chromosome:LupAngTanjil_v1.0:LG14:15111239:15115448:1 gene:TanjilG_12469 transcript:OIV97712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYLNSVLSSSTQVHAVADAPVTGGGLSHNGKFSYGYASSPGRRSSMEDFYETRIDGVDGEIIGLFGVFDGHGGVRAAEYVKQNLFSNLMSHPKFISDTKSAISDAYNHTDSEFLKSEHSHNRDAGSTASTAILVGDRLLVANVGDSRAVICRGGNAIAVSRDHKPDQSDERQRIEDAGGFVIWAGTWRVGGVLAVSRAFGDRQLKQYVVADPEIQEEKIDSTLEFLILASDGLWDVVSNEEAVAMVKPIEDAEEAAKILMLEASKRGSADNITCVVVRFSVNQAASSHSISGEATFGGRIVVHC >OIV98008 pep chromosome:LupAngTanjil_v1.0:LG14:11019191:11021423:1 gene:TanjilG_21718 transcript:OIV98008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCYLSTSKKVQNPGYEEPNVLASETPFTVSEVEALYELYKKLSNSIIEDGLIHRIFDLFDLKRNGVIDFGEFVRSLGIFHPNAALEDKTAFAFRLYDLRQTGYIEQEELKEMVLALLHESDLMLSDDMIEAIVDKTFSDADTKGDGRIDQDEWKAFVSKHPSLIKNMTLPYLKDITLAFPSFIVRTEVEDSEV >OIV97934 pep chromosome:LupAngTanjil_v1.0:LG14:12967268:12971211:1 gene:TanjilG_12691 transcript:OIV97934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEESEKKEDNDIESHIQTAISSRIPYSLTFEGVRRLLEKDLGFEAYTFDVHKAFIKQCLLKCLKDAGDVDGPNVYEDNVASTQETEKPKGECQSKDEKDVCREDEEKMEGLKITMAGLRRLLEEDLNLDKFTLDPYKKLISYKSTARQVIASSEVLEPPNNAKKIVKKKHVTKATEKFSSKENSDTSDNESGKDENEDGHVKPGETTVLKGKNQTSVGPKKCKEEESNLSSKKRVKTAKAASEDDSDTENNGKNSEDTQSHSSPEKPSKKKEVSTPVYVFLPQFTKKVKHAPKNRRERQLIKELEEILSREGLYSNPFEKEIKEVRRKKDKAKELEGIDMSNIVSSSRRRSTTSFAASPSPKPKTLEKN >OIV97883 pep chromosome:LupAngTanjil_v1.0:LG14:13595588:13603540:1 gene:TanjilG_12640 transcript:OIV97883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSVNEAHRDRRIDGLGNLRVLPDEILCGILELLTPRDAARVACVSSVMYIMCNEEPLWMSLCLKGVSGLLQYKGSWKKTALHNENLPDEYKEHDRRPLHFDDNGNVERIKDISLKDFYDTYDAKKPVMLTGLADTWPARHKWTTEQLLLNYGDVAFKISQRSARKVSMKLKDYVSYTKVQHDEDPLYIFDEKFGEVAPSLLKDYCVPHIFQEDYFDILDIDKRPSYRWLIIGPQRSGASWHVDPALTSAWNTLLSGRKRWALYPPGKVPLGVTVHVNEDDGDVNIDTPSSLQWWLDFYPLLADEDKPIECTQLPGETIYVPSGWWHCVLNLDTTIAVTQNFVNSNNFEFVCLDMAPGYRHKGVCRAGLLALDENSYENVRQNMSYNGENLSYTDLSRKEKRAKTHKDVDDVINEITMHDATRSYNLWKDGFSYDINFLSLFLDKDRDHYSSLWSSGNSIGQRELREWLSKLWIQKPKMRELIWKGACISLNADKWLECLLKICAFHNLPSPTDDERLPVGSGSNPVYLVGNSVIKIFAEEGLEASLYGFGAELEFYSLLHEVNSPIRKHIPNVLASGIVYLEDGSYTNITWDGKGVPGVIAKSNLIINKCDIDGFSFGVWRKKLLEYRNAGKSVDGSVSSDTHASIWPYMITKRCEGKMFADLRDRLSWEDAKNLASFLGEQLGNLHLLPHPPLNILSFSDIEDELILPDTNGSTATVTYKSNTAAEWGVFTRTLARKRKDVSSRLTKWGDPIPSKLIEKIDEYIPPDFSKLLNITENFLSACKPCSWIHSDIMDDNIYMEPSSVSTSSENTEDVTLVDNGFPGGIDEVKSWRPSYILDFSNLSIGDPIYDLIPIYLDVFRGDSDLLHRFLESYKIPFANNISKHDESAESGQKFDRLSYVAMCYCILHDDNVLGAIFSIWEELRSAKSWEEVELRVWGELNNYKGFR >OIV97695 pep chromosome:LupAngTanjil_v1.0:LG14:15205920:15214041:-1 gene:TanjilG_12452 transcript:OIV97695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLKEEEEKRGEEELGSSLTMEKVAAAKKFIENHYRAQMKNIQDRKERRWVLERKLASSDVPNEERINLIKDLERKETEYMRLKRHKICVDDFELLTIIGRGAFGEVRLCREKKSGNIYAMKKLKKSEMLKRGQVEHVRAERNLLAEVASHCIVKLYYSFQDADYLYLIMEYLPGGDVMTLLMREDTLNEDVARFYIAQSVLAIESIHRHNYIHRDIKPDNLLLDKNGHMKLSDFGLCKPLDCTTLSTLHEHHQTMDDEKSVEPMDIDGCFPDTDNRSSWRSPREQLQHWQMNRRKLAFSTVGTPDYIAPEVLLKKGYGMECDWWSLGAILYEMLVGYPPFYSDDPITTCRKIVHWRNHLRFPEDAHLTLEAKDLIYRLLCDVDHRLGTQGAREIKAHPWFRGVEWEKLYEMEAAFKPQVNDELDTQNFMKFDEVDPPTAARTGSGSSRKMLTPKDLNFVGYTYKNFDAVKEGLRQSLGESIQEYASKRAAEEASLQMLASSGDPMLP >OIV97609 pep chromosome:LupAngTanjil_v1.0:LG14:15783662:15784423:1 gene:TanjilG_12366 transcript:OIV97609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKSGMGIEERKQVKKPAQASSRKGCMRGKGGPENASCKYKGVRQRTWGKWVAEIREPNRGARLWLGTFDTSLDAALAYDAAARKLYGADAKLNLPELCVNSQSLASSVSTQVTQMGGNLQQTCQIIQQNSDMVNYNMMNTNPPVSMASQQVGVGPIYSNTNDSIVSLPYLDTNIQPLESYAKSMDYNEEEFFPFWGTMNEERSPVLDESIYAEAAMSLDIPMIAETGMYPTNGNLALGDLNAWDSMHTPWCM >OIV98450 pep chromosome:LupAngTanjil_v1.0:LG14:2444734:2448942:-1 gene:TanjilG_16777 transcript:OIV98450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELRHSTSSLGVRSSSSPMKRDSPDSSPLIPTTDNNQSPSSKDRDRPLFSSFFTDDPRVHHISLFLLLILAFISVFVIFNHFNAPYLCKKDGIVLHCPHVKESPSLWENPLSSTTSWKPCAERRDGVISELPPENATNGYIFIHAEGGLNQQRIAICNAVAVAKILNATLILPVLKQDQIWKDQTKFEDIFDVDHFIDYLKYDVRIVRDIPEWFTDKSELFSSIRRTVKNIPKYAPAQFYIDNVLPRVKEKKIMALKPFVDRLGYDNVPPEINKLRCRVNYHALKFLPDIEQMADSLASRMRNRTGSSNPYMALHLRFEKGMVGLSFCDFVGTREEKAKMAEYRKKEWPRRYKNGSHLWQLALQKRKEGRCPLEPGEVAVILRAMGYPKETQIYVASGQVYGGQNRMAPLRNMFPNLVTKEELATKEELDGFRKHVTSLAALDFLVCLKSDVFVMTHGGNFAKLIIGARRYMGHRLKSIKPDKGLMSKSFGDPYMGWATFVEDVVVTHQTRTGLPEETFPNYDLWENPLTPCMCKA >OIV98241 pep chromosome:LupAngTanjil_v1.0:LG14:5424188:5427958:-1 gene:TanjilG_09893 transcript:OIV98241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRIRDKESALPNENATHHAHPGRVWGILHIIKYHHWRHVKRRLTQKRHGCAGRNDASYRDGIPENVDDPVHDNSVHYEPNTTLSNAEENVEHSTQPAKSSIKSRLKALIQDELSKKKGRHKRSSTCPTKSQLTRADSIHHLEADPLTELLSTAESPEPVLETFQNHSAADTLEVMSPVFSKKPVTNNEKCVDCGTMFSSDILEKNMDHKHHKQCTNTSNFFSSPNEGDPEEKLINAKILTTDVSPHLFKDFLDALDIINTNKDYLLNYIQDPGSPLPFHSHNELKFSGKRRSNSISFPVFASSSGSKDSEHGQVINKMVDDWFDAKGENKKSSMFDFTEDYHPPSQCISTSGKVDQYLNPGFATSVSSQDPNHVKTNHFKDLRHKLKRLIEENKNEKYRITMDAVLDKIPRGSNLSKNVKKLFHDKFKDPTTNGEGKESARSGFRRSLSSNSFNKRQLSMRTSSLKESSRIYSQLYETCFNTDIKYPKPEKLKLKAEDKNSILKTPKSFKRFLSLPNLKSYFHQSEEHSVPSSPQSSNKQFCEKIRSTSFNDEKRSIEIGDDLKSHVLPTTFADNIILESILNADQKNLLVRSASRVGLDAAINEGKDDMTVAIDAVRNLRDSDAAPSSGQDTGPATESSAIQVDANSVISSVACFLDAMFEIDKLNATEVRLITESELQPGPADEMDGDVAEQQEPEVDHTEVAENEDSFMKFGNLSKSFNYEIPYIEVEESHKAAYNYVKKVLELSGFNANESNGIWYSNNQLVDPSIYEELEGCLLLDPDCSGNCDEDGQCNHMLLFDIINEGLLEIFGKSYSYYPRPLSSLSHVHPLPIGDNVLHKVWTLIRWYLNSPSSEVYASLDYYVSRDLAKNDGWMNLQFDSEFVGLELDDFIFDDLLDEIIFTLT >OIV97901 pep chromosome:LupAngTanjil_v1.0:LG14:13375024:13376742:-1 gene:TanjilG_12658 transcript:OIV97901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPISLLRESEGRPEQTSKECTLLSQTDSLACFGHEDIADEAATGKMYRSNYNDKHGRTVLVIRPRNQLNTKTIQGQIKYFVYCMENATLNLPPHQEGMVWLIDFQGLSLSNISLKLARETAHVLQEYYPGRLSLAVMYNAPMIFQPFFKMVKPLLDTKTYNKLKFGYSGDRKTKKMMEDLFDMDKLESAFGGKYDIVFDVNKYAERMKEEDKKIATFWTRAKTLSSIPQQNNPSLDSIKLDADSDSSGMEKIDCSLVANSGQNLMAN >OIV98247 pep chromosome:LupAngTanjil_v1.0:LG14:3863264:3867369:1 gene:TanjilG_14836 transcript:OIV98247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSESQSSPEAVFGKPVQDLVLNSENLPKSYIYDEGGPGFKDALLPSEGIPVVDLDKIISPITSEKELQKIRQALNSWGCFQAINHGMTSSFLDKVREISKQFFELPKEEKEKYARGPNDIEGYGNDTIFLETQRLDWTDRLYLKVQPQDNRNFKFWPEIPNDFRATAIEYTEKIRLLSEVISKAMAKSLNLEEDCFLKEYGENAEIIMRFNYYPPCPMADQVLGLKPHADGSTVTFLLQDKEVEGLQVLKDNLWYKVPIISDALFVNVGDQIEILSNGNFWSPIHRVVTNAEKERLTIAMFCIPDLEKEIKPVDKLVNESRPVLYRPVKNYTDIFFKYYQQGKRPIEASKI >OIV97789 pep chromosome:LupAngTanjil_v1.0:LG14:14504288:14506391:1 gene:TanjilG_12546 transcript:OIV97789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRLWKWYQKCLAFHPVKTQVISSGVIWGFGDVAAQAVTYSIPNKTNSNFKDVDKEFKINWKRVATTSLFGLGFVGPVGHFWYEYLDRFIRFKLLLKPDSFRFVASKVAIDGLIFGPLDLLVFFTYMGFSTGKSVPQIKEDVKRDFLPALVLEGGIWPIVQVANFRFIPVRYQLLYVNFFCLMDSCFLSWVEQQEDAQWKQWVKSFLPLEQPKRQG >OIV97999 pep chromosome:LupAngTanjil_v1.0:LG14:12145986:12147881:-1 gene:TanjilG_14099 transcript:OIV97999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPLLKQTHGPHSSLSYCSHLIHQCSSQKSLTFLKIVHAHFLKLGFNTYTYLGNRCLNLYSELCHVNDDAFKVFDDITHKNSTSWNIYLKGLLKSGNLGKACHLFDTMPVRDVVSWNSMISGYTHFGFYNHALELFVEMQNAGVRPSGFTFSILASLVLSPCHAKQIHCRVIRSSFNLSNVVLGNSLIAMYGKLGIVEYCFGLILTMKECDVISWNSLIWACHRVGYDQLALEQLHQMRAIELLPDQFTCSILMSVCSNLRDLEKGKQIFAFCFKVGFVYNSIVSSAAIDFFSKCNRLEDSVLIFKEQDHWDSALCNSIISSYARHDLGEDAMHVFVLTLRKNIRPTEYMFSSLLSSVSIFLPLKMGNQIHSLVHKLGFESDAIVASSLVDMYAKFGYIDDALNIFNEMKIKDLVSWNTIMMGLTYNGKVSMAIHLFEELIREGTPLDRITLAAVLLACNYGNFYDEGVQIFSSMEKDFGVKPGEKHYAYIMDLLSRAGKLKEAIDILETMPYRTNSNIWRSVLSACVIHEDLRVIETVAKKIMEKEPQTPLPYLMLAQAYEMRGRWESMVRVRKAVGHRGPNELTGCSWVGIDNHVYSFASNLLEHYGGKDLYLVLNLLMWEMESEDCHV >OIV97902 pep chromosome:LupAngTanjil_v1.0:LG14:13371323:13373053:1 gene:TanjilG_12659 transcript:OIV97902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEACYQAIGILQPHSFLNNFNHHPKLQINLVKFVTRDCLSSNGLSSRGSNYSGQRNYGIVRSSASQTSVVDPVSSPSRSNAGETNKKSSEAALILIRHGESLWNEKNLFTGCVDVPLSKKGIDEAIEAGKRISSIPVDVIFTSALIRAQMTAMLAMTQHRRKKVPIVMHNESEQAKSWSQVFSEDTKKQSIPVVASWQLNERMYGELQGLNKQETADRYGKEQVHEWRRSYDIPPPNGESLEMCAERAVAYFREHVISLELSTGIPMLYILKEGRFIRRGSPIGPSEAGVYAYTRVCGLYQL >OIV97743 pep chromosome:LupAngTanjil_v1.0:LG14:14881134:14881544:1 gene:TanjilG_12500 transcript:OIV97743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRPGDWNCRTCKHMNFQRRESCQRCGEPKGATDLYGGGGGGSFGGRGSSSFGSFTTGPDVRPGDWYCTVANCGAHNFASRSSCFKCGASKEDSVTGGFDADMPRLRGFGFGGGGSGGGGSSTHPGWKSGDWLCTR >OIV97569 pep chromosome:LupAngTanjil_v1.0:LG14:16034911:16041275:-1 gene:TanjilG_12326 transcript:OIV97569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINCLGLNPSFSVSASLSIASTTQTSRNKQHLIVGDQKLHGCNGFGFGSRTPIIERVRSGFKGISVMTDDKSVMSFIEGDLENIGILRIDPSLGKYKDHFRYTLRRFLDQKKLFEKYEGGLKEFAQGYLKFGFNREEGGIVYREWAPAAQEAQVIGDFNGWDGSNHRMEKNQFGVWSIKIPDAGGSPAIPHSSRVKFRFRHSGGVWVDRIPAWIEYATVDPTKFAAPYDGVYWAPPPSERYQFKYPRPPKPKAPRIYEAHVGMSSSESRINSYREFADQVLPRIRANNYNTVQLMAVMEHSYYASFGYHVTNFFAVSSRSGTPEDLKYLIDKAHSLGLQVLMDVVHSHASTNVTDGLNGFDVGQSSQESYFHTGDRGYHKLWDSRLFNYANWEVLRFLLSNLRWWLEEFKFDGFRFDGVTSMLYHHHGINTTFTGDYNEYFCERTDVDAIVYLMLANCLIHDILPDATVIAEDVSGMPGLGRPVSEGGVGFDYRLAMAIPDRWIDYVKNKKDHEWSMKEISWSLTNRRYTEKCVSYAESHDQSIVGDKTIAFFLMDKEMYSGMSCLTDSSATIERGIALHKMIHFITMALGGEGYLNFMGNEFGHPDWIDFPREGNGWSYEKCRRQWNLVDTDHLRYKFMYSFDRAMNLLDDKFSFLASNKQIVSSTNEEDKVIVFERGDLVFVFNFHPENTYEGYKVGCDLPGKYRVALDSDAWEFGGRGRVGHDTDHFTSPEGIPGVAETNFNNRPNSFKVLSPSRTCVVYYRREESKEENSNGNLYGVKKTSVAADVAKLPHKSASVARNLGGRKRK >OIV98034 pep chromosome:LupAngTanjil_v1.0:LG14:10685683:10687056:-1 gene:TanjilG_12265 transcript:OIV98034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMQVRSVEAKEALRLQKENNFVILDVRPEAEFKEAHPPDAINVQIYRLIKEWTAWDIARRAAFAFFGIFAGTEENPEFIKIVESKLDKSAKIIVACSSGGTMKPSQNLPEGQQSRSLIAAYLLVLNGFTNVFYLEGGLSAWFKEGLPTVSEE >OIV98411 pep chromosome:LupAngTanjil_v1.0:LG14:2034778:2039660:-1 gene:TanjilG_16738 transcript:OIV98411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSDEENELPNPNNNNNHIEEEIDDDEYEDEDEDEDDDDNDDEDDDVVSHFPNPQSPLSQLREQRFKLETLSRRLSSELVPIRVHDILINGNTKTKDWIIEAELKGIENATTVQELLRASEIALARLQNLGIFESSKVRLEPGPPELPNTTNVIIDVVEAVNKVSGEFGVFTKPATSSWTAEGTLKYKNFLGYGDLWDASVAYGANQATELSVGVYAPRLKGSLTPLVARLSMLSQDWQEFSSYKEQLLGLSLGLISTKHHDLAYTLGWRTLTDPSQMSSRSVRRQLGHGLLSSLKYTYKVDRRNSPIRPTKGYAFVSTTNFGGLAPDQRGLQFLRQEFDVRYAVPFGFYNTALNLGISAGAVFPWGPGFMDRPSPLPERFYLGGDFSPVCTLGGPMTLWGFKTRGLGPTEQRRQSRDGISNEYDDSSGWDSIGGDLAVTAFADLSFDLPIRWLREHGIHGHVFAGAGNTAKLTQNEYKHFSPQKFLDSFRTSVGCGFVIPTSLFRLEGNFYYILRQDEHDRGKTGFRFSFSAPS >OIV98021 pep chromosome:LupAngTanjil_v1.0:LG14:10901316:10902310:1 gene:TanjilG_24859 transcript:OIV98021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDISPWDDLFEFGFTPQLPYNYQSNQLCFNGIDLDNLNFDDIDLPHLDENFGTEQKPLNMILSQPLPNCFSEESFVSSVKKELEENNEEMLFLSSSNKKRTCSLGACVKEEVIENNGKMLLLPNTNSNNYRSKKRKSCTLEFEEIKKHFGVPITQAAKEMNVGLTLLKRRCRELNIMRWPHRKLKSLEFLIENVKEMGLSNEVAMLEQHRKMLEKLPDLELTEETKKLRQTCFKANYKKRWCLV >OIV98456 pep chromosome:LupAngTanjil_v1.0:LG14:2482188:2484700:-1 gene:TanjilG_16783 transcript:OIV98456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWCIFSNLKTQPLWVLFLFSLGSITLVRFSFIFLKWVYVNFLRPSKNLMKYGSWALITGPTDGIGKGFAFELARKGLNLVLVGRSPEKLKLVSDSIAAEFGKIKVVTVVVDFSGDLDSGMEKIREAIEGIDVGVLVNNVGVSYPYARFFHEVDDEILRNLIKVNIEGTTKVTQLVLNGMLKRKKGAIVNIGSGAAIVIPSDPLYAVYAASKAYVDQFSRCLYVEYKKYGIDVQCQVPLYVATKMASIKRSSFFVPSTDGYAKAGVRWIGYEPRCTPYWPHTLLWALAYSLPESVVDAWRLRFCLGIRKRGQLKDSRKKE >OIV97956 pep chromosome:LupAngTanjil_v1.0:LG14:12717205:12719349:1 gene:TanjilG_12713 transcript:OIV97956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKISIYFVIVLVLPLCYAIDEDGETIIFKTLGRDNYAFDIYTLPLHHSPNYELQITDGNSVNFNGHFPSPSSFLPNNQTTTELPSNSPPLQLLYVTERNGVSTIYYDVVSTHPSETSSNRRSILQSFTTTHRTQIALLPNHLNENKVSIKDKPSVSGENLIYVSTHENPVQPRSSWAAVYSTNLKSGLTIRLTPYGVADFSPAVSPSGIFTAVASYGKKGWSGEVEDLTTDIYVFLTGDGTHRVKVVEHGGWPAWVDDRTIYFHRRGDDGWWSIYRAILPNESHVSVDTVVTQRVTPPGLHAFTPATAPGNHDFIAVATRRPGNSFRHVELFNLVKNEFSELTRLVSPRSHHLNPFLSPDSSRVGYHKCRGKETSNSSPLLFLENVLSPVPGLTLFRFDGSFPVFSPLGDRIAHADLPGVYVVNRDGSNRRKISDVTAFSTAWDPVRPGVIYTAVGETFASESTEVDIVSINVDDLDQSNNLKKLTHDGKNNAFPSVSPDGKWIVFRSSRSGHKNLYIMDAVHGEKNGLRQLTEGPWTDTMCNWSPDGEWIAFASDRHEPGSGSFELYLIHPNGTGLRKLVQSGLGGRTNHPSFSPDGKSLVFTSDYAGISAEPISNPHHYQPYGEIFTIRLDGSGLKRLTHNSYEDGTPAWSPKYIKPVNVEKPKGEPYCNFEDCHWLNDTPNRSVLNVVLPACPMSHKVTCHVSNSKAQCGV >OIV97739 pep chromosome:LupAngTanjil_v1.0:LG14:14915350:14916829:1 gene:TanjilG_12496 transcript:OIV97739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGVSKGLRPEGEAVKKDNRSEDLWCSSTSEMDRYAAESQRSISSIGISSHPSDPRSSAGIQTHPPEFINEGLLLWNQIRQQWVGHRRPESRKQPGEPRISWNATYETLVGTNRRFPQPIPLAEMVDFLVDIWEIEGLYD >OIV97757 pep chromosome:LupAngTanjil_v1.0:LG14:14766588:14782630:1 gene:TanjilG_12514 transcript:OIV97757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFSNSYSPFLGIKLHASSSQGISENPFHFNTRYSPKRGFRRCNVISSKHVHSVSQPFRFSHFCGQNVNFLRKHLVSTNGSRLNCSNESPFSRSKDFLSYDFTPLWKEGLFLIRASVYTAVVSALCILAWYARSKVKVFVEDNVLPSVCSVISEHIQRDVQFGKVRRITPLSITLESCSFGPHKEEFSCGEAPTVKIRLHPFASLRRGKLVIDALLLNPSVLVAQKKDFTWLGIPSSDGLVQRLSSTEEGIDHRTRTRRIAREQSGAQWERQRDNAAREAAETGYFVSEQNCGTSEGDDVSKDAATHSKEATKDKSFFCMNEGKHDHHCIDTGVDYDTKHADLEKSFGVKFPSSGLRFWSRVLGDRKHKFKRKANRSDICASSAAIKRKILERSSLAAHTYFHEQSDEKCADPSSPSGSFPFINHDMDLVESEVDKNAEFAASRGDNRSANNQNQNGTQSRDIRIWPPSANEDVNADSEHLKFVHDLTLQTRESRRENLKSGENVAQPDIANSSTEKNEESWRHVADSQIEDNSTEDQRGLVPEDLFSVKPKPQLATYFQIPFELLITKFGLTSFVRNIEELISCFLSGPIENLKSDVSVKVEDIVAEHIDGVDVLQSEGLTRVLPVSLDSVHYRDATVMLLAYGDREVREMGNASGHVKFHNHYSCINVQLSGNCKTWRSDVISEDGGWLSVNVFVDIIEQKWHANLKIDNLFVPLFERILEIPITWSKGRASGEVHLCMSKGENFPNFHGQLDVTGLNFHLLDAPSGFSNISASLCFRGQRIFLHNANGWFGSVPVEASGDFGIHPEEGEFHLMCQVPGVEVNALMKTFKMKPLLFPLAGSVTALFNCQGPLDSPIFVGTGMVSRMFSSLHIDTPTSLASEALVKSREAGALAAFDRVPFSYVSANFTFNTDNCVADLYGIRASLVDGGEIRGAGNAWICPEGEEDETAIDVNFSGSLDFEKIMFRYLPSYHHLMPLKFGFLNGETKLSGSLLRPRFDIKWTAPAAEGSFSDARGDIIISHDFITVDSASSAFDLYMKVQTSYSDDFSLKREDFYAPRAIPFTVDGVELDLHMRGFEFFSLVSTYTLDFPRPLLLKSTGRIKFQGKVLKPSSTVVEQNSEKNRQHVQMLDQVSADSLVGEVSISGLKLNQLMLAPQLSGLLRVSPEGIKLDASGRSDESLAVEFIGPLQPNGEDGLPMGKLLSISLQKGQLRANVGFQPFHSANLEVRHFPLDELELASLRGTIQRAEIQLNLQKRRGHGILSVLKPKFSGVLGEALDVAARWSGDVITIEKTVLEQSYSHYELQGEYVLPGTRDRNLIDVKGDGFLKKVMSGHLGSVISSMGRWRMKLEVRRAEVAEMLPLARLLSRSSDPAVLSRSKDFFVQSLQSVGLYSTNPQQLLELIRGHHAPSLDIVLEDLSLPGLSELKGRWRGSLDASGGGNGDTLAEFDFHGEDWEWGDYKTQRVLAVGAYSNDNGLHLEKMFIQKDNATVHADGTLLGPKTNLHFAVLNFPVSLVPTVVQIIESTANDVVHSLRQLLAPIRGILHMEGDLRGSLAKPECDVQVRLLDGAVGGIDLGRAEVVASLTPTSRFVFNAKLEPVIQNGHVLIQGSIPVAFVQNNMSQQDAELDKSGATWVPDWAKEKNRGTADDASDKKVSRDRNEESWNTQLAESLKGLNWQILDAGEVRVDADIKDGGMMLVTALSPHANWLHGNADIMLEVRGTVDQPVLNGHASFHRASISSPVFRKPLTNFGGTVHVKSNRLSITSLESRVSRKGKLLIKGNLPLRTSEAAPDDKIELKCEVDSQMQITGSILQPNISGNIKLSHGEAYLPHDKGGGGASNRFPSNQSALPAGDVTRAFASRYVSRYFGSEPASSMTKTSQSSGSGNISRDLFFPCNLLLNGLQSELTLIIGMEISNTPIEMDSSITLWTPNLINENFQVNKSTQVENDMEQVQIKPNMEIRLSDLKLVFGPELKIVYPLILNFAVSGELELNGLAHAKCIKPRGILAFENGEVDLVATQVRLKREHLNIAKFEPEYGLDPMLDLALVGSEWQFRIQSRASSWQDKLMVTSTHSVEQDALSPTEAARRFESQLAESILEGNGQLAFEKLATATLEKLMPRIEGKGEFGQARWRVVYAPQIPNLVSVNPTVDPLRSFASNISFGTQVEVQLGKRLQATIVRQMKESEMATQWTLSYQLTSRLRVNLQSAPSNRLLFEYSATSQD >OIV97982 pep chromosome:LupAngTanjil_v1.0:LG14:11806776:11807450:1 gene:TanjilG_14082 transcript:OIV97982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLRSVPVGGGCRKNRRGKSVRHPPQSGCRTVNDGNSGSDDRDSSPETNNVSSDIDMALVFAKFLNQNPNSGEEFESEGNNNNGSSCSNKSATSSLTPELSVEAENYAVMQPQKKLCDYPNDADADAEKIGGITEELSFSGIVELEGFLDKDVVQDVLWSNDNWQQQPMMQMQLQELEESLMPLNEIGDQLLPISSTINFLNESWSNWSSFDLPTMDLFSSTP >OIV97670 pep chromosome:LupAngTanjil_v1.0:LG14:15384586:15385391:-1 gene:TanjilG_12427 transcript:OIV97670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDISSSQYNSGSESGWTHYLDQSYISESHFQRGGIVDYVGKGTMMEEEEDLSMVSDASSGPPHYCVDWYPSTSQYTKETEKKKRVKEYGTIQQPSLLDDTASSPALNCHKASYINFSGNGAVENALDYSQSLSASRTKRKPKIKKHFSFFKRSLDGKQASEEPDEEEKK >OIV98420 pep chromosome:LupAngTanjil_v1.0:LG14:2141124:2145225:-1 gene:TanjilG_16747 transcript:OIV98420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRKAKKTVKATEPVPDPVEEPQIQKQQPKLMDHEIEVERQIAAIRAVRDVEIERLLTELRLLRSSFNAVQLQKPVLQVFEETLPNLEIVNEENKKFEVRWKEKGSRMSISCANREDVHASLLQRLSMAYPAAASVPRFHGFEYSSNAGRTSFLGTDNLHFNDCVLEEPSESQTLAMQECLRTPGVTSQRLSVGMTPKTLRVPKPGEMLLSVHGSPLGVYKENNMEAIHESEEG >OIV97941 pep chromosome:LupAngTanjil_v1.0:LG14:12903549:12903827:1 gene:TanjilG_12698 transcript:OIV97941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGIRDRKSIIVQLEWFLNWGTFFVARVASHILITVKLIKDAHKFERGIELPLALCGMAGMNILNIGLGMDLFKAFKRERKNQQSNQHNHSE >OIV97857 pep chromosome:LupAngTanjil_v1.0:LG14:13858054:13860781:-1 gene:TanjilG_12614 transcript:OIV97857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTRGSPSKGESVKSNVSEGGEKQVTPDSVALGDMIWIRLRHGSWWPAQVVDAKSVNKSMKPKKRSAGDILVRLYGSYKYSYVDPIRCKSEFETDLPSKKSSRSKGSSSESKGTPSKRKSHPKDGDDLDSESPETTALVKSQELSTRRVRVMASLGLIAPTGSPFHKDGHNSNQN >OIV98022 pep chromosome:LupAngTanjil_v1.0:LG14:10881791:10885063:-1 gene:TanjilG_24860 transcript:OIV98022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKITTSATQPVSQEASNYDEVFMQQSLLFDDSLKDLKNLRTQLYSAAEYFELSYTNDDQKQIVVETLKDYAIKAIVNTVDHLGSVTYKVNDLLDEKVVEVSGAELRVSCIDQRIRRCQEHMSHEGRIQQSLVISTPKYLKLGETMHGANRTKSKHVVGCSLDDEDDWHHFKNAVRATIRETPTSTTSKGRSPSPSLRPQRLGAFSLSSTMPKKDLEKRTVSPHRFPLLRTGSRSSRATTPKTSRSTTPNPSRPITPNPSNARQMHPSEPRKSASMRLPSERDNGKDLEQFPTKSKRLLKALLSRRKSKKDDMLYTYLDEY >OIV97679 pep chromosome:LupAngTanjil_v1.0:LG14:15332166:15335432:-1 gene:TanjilG_12436 transcript:OIV97679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSMNDSNNITDDGNNHHNWLGFSLSPHMKMDHVTSVPSHHHYHHQQQQQPQSSAAAASISNTVPTSFYLSPSHISTNSGFSYGVGENSIFHSPLTVMPLKSDGSLCIMEALTRSQTQVMLPSSSPKLEDFLGSGATMGTHEYADREREAMALSLDSIYYNHQNDHDSQANRDHSLDLLSEPFSQQDHHHITNIQSHPYYSGLTYQGMYQTPLHEEPNKETHVAVCGSQIAEYSAQMAQQMNSNMGSDDRGASESLGSVGCGELQSLSLSMSPGSQSSCVTIPRQISPSGTDSVVVETKKRGSGKLGQKQPVHRKSIDTFGQRTSQYRGVTRHRWTGRYEAHLWDNSCKKEGQTRKGRQVYLGGYDMEEKAARAYDLAALKYWGPSTHINFPLENYQTELEGMKNMSRQEYVAHLRRKSSGFSRGASMYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDVAAIKFRGVNAVTNFDISRYDVERIMASNTLLSGELARRNKDNHPRSEAIEYSNNNGVPNPTNVEAVQARSNNENGSDWKTVLFQQQQQSNNNYDQKTNCGSYRNSSFSMDLQDLIAIDSVGSGQPMLGDSNKIGTHFSNPSSMVTSLSSSREASPDKTGPSLLFPKPQMETKIGNNTIGSNGVSSWFTTQMRPAATIPLSHLPLFDAWNDT >OIV97586 pep chromosome:LupAngTanjil_v1.0:LG14:15930438:15930791:1 gene:TanjilG_12343 transcript:OIV97586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNISDTVARNLTKIYVVVLILIKTYDVYYGRRYSGCFMVFLSTTLVGSILIVTLMWDVSRKATYIFMNNNNHRQEICKGGICWHGVAVKSPASQLRFRLPNRMPIANDNDNADANAL >OIV97838 pep chromosome:LupAngTanjil_v1.0:LG14:14029578:14033442:-1 gene:TanjilG_12595 transcript:OIV97838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLTRTCIYFDCFSDRPIPASNIDLHYAHCSRNLQKCKVCSDMVPKQHAEDHYFSTHAPVACSLCSETMERDILDIHKGENCPQRIVTCEFCEFPLPAIDLAKHQDVCGNRTELCHVCNKYVRLREIYSHSDSCNRIQDNSVGSSRDVRAAERDEGAQRRPQNEFSKRNLLFTIAITGVAVIVGSIFFQRKAEPSDVH >OIV98489 pep chromosome:LupAngTanjil_v1.0:LG14:499390:501340:-1 gene:TanjilG_18773 transcript:OIV98489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNEDRNEKTSRGNEWEVVSLTASTYAAAPGPDEVELKDDDKVDLYLQGEAETSNALFMSGHFLFPPRQHENLPLEPQYNEIYDESGGKDVASEVTYEDDTRPSQKGEESLSFAALNVSEELEDIQYFDEEVNKLFVHGKQFDEVTDLPGFSLTAKEENMFDSAKYTSFHSETAIGGVTAYGESIAESNTLELTEQGPNVCPDLSQSKSASKDDNNSPPNLPCGAWWKRRASSLYAHAKEANTFWSVFIAATVVGLVMLGQRWQQERALQLKWQISVNEEARSRVLAPLFRLKDVIVRGNRRGPLIRGSSSVEG >OIV98175 pep chromosome:LupAngTanjil_v1.0:LG14:7575338:7582642:1 gene:TanjilG_11572 transcript:OIV98175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAAFKSTTKRTPVKANSSTDDSITSTSTSTSVHRQRRSRSLSQFSRPLHAPDTKGKFVNTVRGSGFPEISLDDLAIEFFDSADRGRSGSRSFEPKVSPSAGTASQRRGRSVSRKSSGGVDDRRSSIGGSGRAISDANSRRRRSVSVIRYQISDSESDIDHSQNSRSRMNLKNTDNGNKVMQKLVSSDRRPVLRKSLSQKDFKTYDGYSSQSSVLTDDEGAGAAYFNKDGTKKLRPIYKPKKVELPDMDNGLHKTTGNESRHMRTEQAVVRPRTSTSSKGDRMLSNNSDVIKAVSSIRRNYESELEQSEKRKQDLLAEVVFEEQRGRELSKIVNDLIPATKNDSIQKPSRTRKRSNDRSRMSMRLTEEAEKYIEDFISNVEDTDISSLDGERSDANSSIGGLLKSEAFNSPPMLRSLPDITDGVALPWLQWESGNGATPTTGPDKAQLTPIPDTASSTQEITKAQDQNSHSVFSHGRWSPDLLQEYIGKDVFSKSGEPDPSLSAKSKWLSYDMDDYLKVKSTEDLIIERWMQQQRINSGTLLLCNLRLF >OIV98150 pep chromosome:LupAngTanjil_v1.0:LG14:8422322:8425522:1 gene:TanjilG_12186 transcript:OIV98150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFWKWGFVGILCATFVFTIGAVEHGQNHPTERISGSAGDVLEDNPVGRLKVFVYELPSKYNKKILQKDPRCLTHMFAAEIFMHRFLLSSPVRTLNPEEADWFYTPVYTTCDLTPNGLPLPFKSPRMMRSAIQLISSNWPYWNKTEGADHFFVTPHDFGACFHYQEEKAIERGILPLLQRATLVQTFGQRNHVCLKDGSITIPPYAPPQKMHAHLIPEKTPRSIFVYFRGLFYDVGNDPEGGYYARGARAAVWENFKDNPLFDISTDHPTTYYEDMQRAVFCLCPLGWAPWSPRLVEAVVFGCIPVIIADEIVLPFADAIPWEDIGVFVDEEDVAQLDTILTSIPPEVILRKQRLLANPSMKQAMLFPQPAKPGDAFHQVLNGLARKLPHDRSVFLKPREKVLNWTAGPVGDLKPW >OIV97667 pep chromosome:LupAngTanjil_v1.0:LG14:15420282:15420917:1 gene:TanjilG_12424 transcript:OIV97667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNTFVCGNFHHEDEDIVPCSSPKKSKRSKDHSRDNNPYSTRGLDKFSELLADLDQRRQKVYSTMNPQEISIVRFTYSNTDDFVPIVIKKNDKKKHKSQELQQVTKDNNKSETEATVVVEVEKRKQQPKLESDKKNKIKINKNKSLSRKMLKMPSFYVPMVLILILVLLTVFGRSFAILCMSVMWYLVPTLNDSSKPRKSLKRRRNIMLEG >OIV97922 pep chromosome:LupAngTanjil_v1.0:LG14:13171982:13175642:1 gene:TanjilG_12679 transcript:OIV97922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQALVPTVSNKDPSQPVHLPGRTRSQTGLRKVTPTTEPDVVTVDNVLPNGDYYMGSFSFNAPHGSGKYLWTDGCMYEGEWKRGKASGKGKFSWPSGSTYEGEFKSGRMEGFGTFIGSDGDMYRGFWSSDKKNGYGQKRYVNGDLYEGNWKKNVQDGEGRYVWKNGNEYVGEWRNGVICGNGVLIWSNGNRYQGEFENGVAKGQGIFTRCDGRCYVGIWNKDLKIQNLIGNDKCLDLNGNDKCLGLVEKNVPRIYVWESESETGDITCDVVDNVSLLYKEAAIGTVSDRKEINQFQSNPICFSNEVKRPGKIVSKGHKNYNLMLNLQLGIRHSIGKEASIFRELKPSDFDPNEKLWTRFPPEGSKITPPHQSGEFRWKDYCPVVFRQLRKLFQVDPADYMLSICGDMTLRELSSPGKSGSFFYLTQDDKFIIKTVKLSEVKVLLRMLPNYYRHVSQYENSLVTKFYGVHCVKPIGGPKTRFIVMGNLFFSEYPIHRRFDLKGSSYGRTTAKTGEEVDETTTLKDLDLNFAFRLQRSLFKDFIKQIERDCEFLEAEGIMDYSLLVGLHFRDDNTWDKMGLSPFLLRTGSHDSYQGEKFRRGYRFLEAELQDRDRVKSGRKSLIRLGANMPARAERMTRRSGFDQYNTVGVSHFTPYPSGETYDVVLYFGIIDILQDYDISKKLEHAYKSWQVDPSSISAVNPKSYSKRFRDFVGRIFIQDR >OIV97645 pep chromosome:LupAngTanjil_v1.0:LG14:15579949:15584308:-1 gene:TanjilG_12402 transcript:OIV97645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGYRLMEDGEIEEGSATMPDEQGSTNSEQQRIIDESPYEILQNTKASVENIISELLSIKREGKSKSHVPELVTQMFLHFITLRQANRSILLEEDRVKTETERAKAPVDFTTLQLHNLMYEKSHYVKAIKACKDFKSKYPDIDLVPEDRFFRDAPQDIKDSVVSNDSAHNLMLNRLTFELFQRKGLCKLHEKLEQQKKSLLETIANRKKFLTSLPSHLKSLKKASLPVQNQLGVLHTKKLKQHHSAELLPPALYVIYSQLLAQKEAFREPIDVEIIGSLKDAQTFARNQAHKDTGIPTNVDSSKLEDDAPDEEEDGQRRRKRPKRVQGKDSADRAGVFQVHPLKITIHVYDDEASDPKPAKLITLKFEYLVKLKVVCVGIEGTNDGSENDILCNLFPNDTGNELPHQSAKLFVGDDILFDSQRASRPYKWAQHLAGIDFLPEVSPLLLAGRETSDNGEAARGEDVISGLSLYRQQNRVQTVLQRIRSRRKSQLALLEQLESLSKLEWPLLSCKSVPWALHSPLCNLVGWSVIRALPVPSEASSVAVTDKEEHVQESMDVDVIECPGDTKDELESITEDGELPTLRPNMSKFDHSKQLSLISKSITPLNKVRSQSFKKSDDNSDFLLDIESDLDEPAQVEREDESMSNYCVKKSVSWMDYGVKEFSLVLRRKISADERNVNLEAKIKISMEYPLRPPLFALGLCCISSGENHKGKDGLEWYNELRAMEAEVNLHMLKMLPNDQQNYVLAHQVCCLAMLFDYYLKDAYPSSERINCTSVFDVGLCNPISGSGKFLAATFRVRDHRKAMSWKDVKFTPSCLPSSVQAPAVKPGFIELVPIMNIVWMREFYFWNMHSANNGLSL >OIV98375 pep chromosome:LupAngTanjil_v1.0:LG14:1592397:1598049:1 gene:TanjilG_16702 transcript:OIV98375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVWLDQVELFKHPHLLLLQVRNSTFKLPGGRLRPGESDINGLKRKLTRNLSENEDGNGTQWEVSGCLGMWWRPDFEASLYPYLPPNVKQPKECTKLFLVKLPVSRKFIVPKNLRLLAVPLCQIQDNDKVELFKHPHLLLLQVRNSTFKLPGGRLRPGESDINGLKRKLTRNLSENEDGNGTQWEVSGCLGMWWRPDFEASLYPYLPPNVKQPKECTKLFLVKLPVSRKFIVPKNLRLLAVPLCQIQDNDKTYGPIISGVPHLLSKFSFNMIES >OIV97734 pep chromosome:LupAngTanjil_v1.0:LG14:14945759:14948679:-1 gene:TanjilG_12491 transcript:OIV97734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPITIRNAIGAVKDHTSIGLAKVASNVAPGLEVAVVKATSHHDDLASEKYVREILNLMSYSRSYVHACVSAVSKRLAKTRDWIVALKALMLVHRLMNEGPVLFQEEILYARRRGTKLLNMSNFMDQAHSTSWDYSAFVRTYAMYLDQRLELMLFDRKIGSGSGSGGSAADDRFWGRDHFRSPRAFEYEDGGEFRGGNGGHENGKRRTRSFGDMNETMTNEENRFVSVTPLGDMKLERIFGKMGHLQRLLDRFLASRPTGLARNSRMVVIALYPVVKESFQLYADICQVLALLLDKFFDMEYQDCIKTYDVYTRSAKQIDELIAFFNWCKETGVARSSQYPEVQRISGKLLETLEDFIRDRAKKLKNPERKELETPPVVNEEEEPVPDMIEIKALAPPENYTPPPPPEPEPEPKLQFTEDLVNLTYDADTADDQGNKLALALFAGGAANNGNGLWEAFPSDGQKEVASAWQAPAAEPGKADWELALVETASKLSNQKATLGGGMVRQHVSTAQLSGGSASSVALRGPEATTTPVLALPAPDGTAQRDMKLERIFGKMGHLQRLLDRFLASRPTGLARNSRMVVIALYPVVKESFQLYADICQVLALLLDKFFDMEYQDCIKTYDVYTRSAKQIDELIAFFNWCKETGVARSSQYPEVQRISGKLLETLEDFIRDRAKKLKNPERKELETPPVVNEEEEPVPDMIEIKALAPPENYTPPPPPEPEPEPKLQFTEDLVNLTYDADTADDQGNKLALALFAGGAANNGNGLWEAFPSDGQKEVASAWQAPAAEPGKADWELALVETASKLSNQKATLGGGMVRQHVSTAQLSGGSASSVALRGPEATTTPVLALPAPDGTAQAVNQDPFAASLSIPPPSYVQISDMEKKQQLLGQEQQLWQHYARDGMQGQSSLTILGGTGTYAGGPIPVMPYGMPPVNGIGPPTGYYHTPY >OIV98229 pep chromosome:LupAngTanjil_v1.0:LG14:4601603:4604023:-1 gene:TanjilG_09881 transcript:OIV98229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVRLMEHDMVHDLIKKSFLRGLEGDFVKAKTEVVAILRSVCSSVMLQARVRSFQIYAQAVTKLRDGDVNMKYVWYGTRGEDEIEDIVSHSFGLAHAYGHQVCLSPDHSPLQSVKNSVADKNGLPKYIIWSSQMNTHVLPAYVISSRVSSFTGVEKSEEPLRPSSPWMPFPTLISVLSKEKKISRHELINKVRQIAGDKLLIAAIKSHRGKV >OIV98451 pep chromosome:LupAngTanjil_v1.0:LG14:2450663:2453733:-1 gene:TanjilG_16778 transcript:OIV98451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKVANFHIPKNVSIFMRNNNTFQVFSKSKVTREEFIQQVLNRKWTLHNPESKIHQLIHTNPNNFILNNNPDFGNDAMIMNNKGNNFYIVRDDLLHPLINGNKARKLDALLPIIQHYSVTDVVTCGGCQSAHTAALAVLCAERGIASHLLLRGEQPEILTGYNLMSTIYGNVTYVPRNVYANREDMLQSYAKSVAGNNGSVLCFSDIIQASSATESSTSPNFVQLDVSRSEGNNVRKILIVNEGAGDSVALLGVIRLVEYLSQDHLLGKQRALKLVVDAGTGTTAVGLGVAALCLGLPWEVYAVMLADKIDGYRKQEERLISEFKNHFNVEFSTYNEHGEDDGIVHWVERGHPRKFGNVLEGEVEACQQIAQQSGVLVDPVYTLAAWETAMLLSSKEAEVGSGVVMLHTGGTLGMFGLAQRYKSYFGKLKKGT >OIV98086 pep chromosome:LupAngTanjil_v1.0:LG14:9871944:9880082:-1 gene:TanjilG_25951 transcript:OIV98086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIPSLTRCSNLLVAPLYSTAPTTTTTVRCSRAENIAIEGCNLNFSFTTNQANAVPVPILKDCSLRIPSGQFWMLLGPNGCGKSTLLKILAGLLNPTSGTVFVNKPKSFVFQNPDHQVVMPTVDSDVAFGLGKFNLTNDEVISRVSNALNAVGLSDYMKRSVQTLSGGQKQRVAIAGALAEACKVLLLDELTTFLDETDQVAVLKAVRNSLDTSTEVTALWVTHRLEELEYADGAIYMEDGKVVMHGDAASIRSFIEDKQSIYIKQINS >OIV97592 pep chromosome:LupAngTanjil_v1.0:LG14:15896451:15900784:-1 gene:TanjilG_12349 transcript:OIV97592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEREPQTQLSNEKEGDKRKHNHTKRRRINKKLSASSSSSSASGFKDKEKSKKENVRSLSAVAEALSTFQMSSYNKLSKNCNGVDHASVPRKIRSAMKKRSHESTLTDSVKVKGIESAEKDGIKKSKKPCWSTSQAVCGAITKDEQEVAETLFSLAGMFPHNASDASKTELECQSLPEESANASFEGATQDACPCPERSPEGAAKITSLNETVGQQQNDFSESAKLLMASHSTDKKKATSVLVNSSKVALHDSELYLAMGLNVPRQALNSQIGKQPDMELETVGIDSKPEQHVIKDQKENEGPALWPGLSSRASSGTNAPYLRSSAAKAPDWLNAAICASKQDVMESPSSGTIPEVVTHTKSWKSCAAHVHISHLIQSLEVSKGQVKNEANVYKLHQTRVQQGSKCGDLKEVDNLNGMRNGTTSAAGTVHSSTMRSSNEAKNSTLQKQCYYNDISQAPPTPRVYGPHNESFNFLSLSSGANGLKVNDNFNKGGSRLEPLSKYQVPNFQTLQQQHGLMPMPRPQSQYASTSYHDEHPFAGPQVRLQQPHYYGSSPLSGTHYSSTISNKQHQSFWTVQPATPQGRSADFQLRDSQYHNWQSGWHGSSALSSRAQVIVPHSPEALGSKITSISEQQLFALASSLPPSRTTGLNIHLPSVCEESRGRFHSSGTPSLQLLCDERI >OIV98025 pep chromosome:LupAngTanjil_v1.0:LG14:10835235:10838310:1 gene:TanjilG_12256 transcript:OIV98025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSFSGDEECEFFDAVEDIGSIADAKLDGKDNDSSAREGMSKGFDYDVWIRRPRSVRERRSRFMQRLGLGVTENGVKNSVDLGGGGGVEGEKVNNGVNGSDGAVDRNCGFQEEFCSSRSSMSCWSTMNSSEEFGLVENLPCHMDQEGQDRRMSYCGRDKDSDRSVVAEEPEDSENTFQRLASREFEEIDADALSKKSNGTGRGWLRRLQSITCIRDRQSESENVGQGDSCAFSGCRLQKVKVRQCKKQMKELSALYTRQDIQAHEGSILTMKFSPDGQYLASAGEDGVVRVWQVVVDDRCNEIDIPEIDPSCIYFTVNDLSELRPLFMDKEKLTKVKGLKKTSDSACIIFPPKIFRLLEKPLHEFHGHRGEVLDLSWSKNNYLLSSSEDKTVRLWHMKHENCLKIFSHSNYVTCIQFNPMDDNYFISGCIDGKVRIWAVPDCHVVDWIDTREIVTAVCYRPDGQAGIIGSMAGNCRYFNASENHLQLDSQPCLLGKKKLPGRGITSFQFLSQDSNKVMVTCAHSQVRILHEHNVIGKYKSLSGGSALSASFTSDGKHILSACEDSNVYLWNLSQDESCPTKVKKITSCERFFSNASVAIPWHGLKSQNTENELQVDVLDKRLPLGIRLSPPASFSLDQEFFLESFPKGSATWPEDKLPVSSSKAKTSVMHKSEYKFLKSSYVALIGWRIKSRFIAVLRQVVVLGKPHAANVLRFNSDVDFGSTSNKAG >OIV98309 pep chromosome:LupAngTanjil_v1.0:LG14:860361:867457:1 gene:TanjilG_16636 transcript:OIV98309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGVPEADMSAFRECLSLSWKNPYVLRLAFSAGIGGLLFGYDTGSVIMAAAKNPPILLVGRVFVGLGVGMASMASPLYISETSPTRVRGALVSLNSFLITGGQFLSYLINLAFTKVPGTWRWMLGVAAAPALIQIVLMLSLPESPRWLYRKGKEEEGKAILKKIYSPEDVDGEIQALKESVDIEVKDSESSEKISIIKLLKTTSVRRGLYAGVGLQIFQQFVGINTVMYYSPSIVQLAGFASNRTALLLSLITSGLNAFGSILSIYFIDKTGRKKLALISLVGVVVSLAVLTVAFHESETHSPVVSSIETLHFNNTCPEFKAAVNPGGWDCMKCLKSSSSCGFCAAASDKLLPGACLISDDTTKDMCGNDHRSWYTRGCPSKYGWAALIGLSLYIIFFSPGMGTVPWVINSEIYPLRYRGVCGGIASTAVWVSNLIVSQSFLSLTEAIGTAWTFMMFGIVAVVAIFFVIIFVPETKGVPMEEVEKMLEQRSVQFKFWKKRNSGSEKY >OIV98004 pep chromosome:LupAngTanjil_v1.0:LG14:11361341:11365484:-1 gene:TanjilG_26635 transcript:OIV98004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVFIFGSVPLKTYLPDGDIDLTAFSDDKDFKDSLVHEVRGVLEKEGKNENAEFRVQEVQYIEAEVLFRFLEFFSKFDWKNYIISLWGPIPVSSLPNIRGNFYRIKSAIALGAERLMRLFECTEDTIAAEFDYFFKNTWDRHGNGHWMNLNNYNLYLKNNPTPQENEIEKAHQASDSVHQNPIFGTFDVSSVNWSTRTSDMKKSLTDIGKTPMYPSNEVHAIMPQVPSQEWRSEEYGLSVDDAMRMQHEEYDLIHLTESYKNHSLHGYIQAPFNVNSNNLPFPSPAPPGFLTPSPGFSPIPPSGFPPPQPPPAAFPTQPPSPGFEHAQSSGVPPVIISSWSYCMPNSDHDNISEGLVSEQDMDGGSSSCNSPFWNSSGTSYLPKEYWDVTEHKWLARENDSDDSPSLSPQLVDATHLAQNKNGIVTSNAQDNRSAGHKRGPIVVPNSSRFSPASSQRGIENQGLSAFPFVQSTPTFPPNTKFTLNNIPILTYTPLNYMEMNVDLVNLNSTQKSGQPNHLLMHNYSSVDETSEPYVGRPWPDILKADFLSHWLNLQHGRRCENPQLQGHLPYLSANVVVPPFYFPPLPAYFPWDVAPRIPTDFMNNMPHCFPPLLFSMLPNLFPQHAFNPCFNNRNVDLTPGYRSAGIGTFLPDPVSYQVSNSIMCYLL >OIV98363 pep chromosome:LupAngTanjil_v1.0:LG14:1462907:1463539:-1 gene:TanjilG_16690 transcript:OIV98363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIILINFFILSLLSFTHASVVDFCVADYTVPNGPAGYSCKKPKTVTVDDFVYHGLSVSGNTSNIINAAVSPAFDAQFPGVNGLGISIARLDLSVGGVIPLHTHPGASEILVVVQGTISAGFVASDNTVYLKTLKSGDIMVFPQGLLHFQVNGGGSKALAFVSFSSANPGLQILDFALFKSDFPTPLITATTFLDAAVVKKLKGVLGGSG >OIV98226 pep chromosome:LupAngTanjil_v1.0:LG14:5554575:5557972:1 gene:TanjilG_18765 transcript:OIV98226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSNTAGKVIRCKAAVAWEAAKPLVIEEVEVSPPQANEVRVKILFTSLCHTDVYFWEAKGQTPLFPRIFGHEAGGIVESVGEGVTDLKPGDHVLPVFTGECKECQHCKSEESNMCDLLRINTDRGVMLNDGKSRFSINGKPIYHFVGTSTFSEYTVVHVGCLAKINPAAPLDKVCILSCGISTGLGATLNVAKPTKGSSVAIFGLGAVGLAAAEGARLAGASRIIGVDLNSTRFIEAKKFGVNEFVNPKEHDKPVQEVIVAMTGGGVDRSVECTGSVNAMISAFECVHDGWGVAVLVGVPNKDDSFKTHPINLLNERTLKGTFFGNYKPRSDLPSVVEMYMNKELELEKFITHRVPFSEINKAFEYMLKGEGLRCIIHMTE >OIV98311 pep chromosome:LupAngTanjil_v1.0:LG14:874027:880915:-1 gene:TanjilG_16638 transcript:OIV98311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSEAFMGSLLSIPVPKFKWVGGGDKRSFVQEDLAMSVALMMEALATKLESVFRACSDDASVSVLQQVREIHAHAIVDGISDNNTLSSKILGTYVLCGSIKDATNLFFRLQLCYSLPWNWMIRGFTMKGQFDFALLFYFKMLGSGVSPDKYTFPYVIKACGGLNNVPLCKTVHDMIRSMGFHVDLFVGSALIKLYADNGYVHLARCVFDELPQRDTILWNVMLNGYVKSGDFNNAIGTFQEMRKSHSETNSVTFTCILATCATSGILSVGIQLHGLVIGSGFEFDSQVANTLLAMYSKCGDLFYARKLFNTMPQIDTVTWNGLIAGYVQNGFTDEAVPLFKEMISTGVKPDSITFASFLPSIVESGSIKRGKEIHSYIVRHRLPFDVYLKSALIDIYLKGGDVDMALKIFQQNASVDVAVCTAMISGYVLNGLNIDAITVLRWLIQEGMTPNRLTMASVLPACAALASLKLGKELHCYILKKQLDSLCHIGSAITDMYAKCGRLDLAYQFFRRMSERDSVCWNSMIASFSQHGKPEMAIDLFRQMGVSGIKFGSVSISSALSACANFPSLYYGKELHGFVIRNSFTYDTFVASALIDMYSKCGKLALARCVFDTMDLKSEVSWNSIITAYGNHGCPKECLDLFHGMLEDEIHPDHVTFLVILSACGHAGLVDEGIYYFRCMTEKYGICARMEHYACMVDLYGRAGHMHEAFDTIKNMPFTPDAGVWGTLLGSCRVHGYVELAKLASKHLLELDPQNSGYYVLLSNIHADAGEWESVLKIRSDMKERGVQKIRGCSGIDVNGGTHTFSADDGSHPQSVEIYLTLNSLLLELRKQGYVPQPYLPLHPQIMSKNSMEKRNNKVACLVTWRSQVQILETISLLEKPPEFKQQNITSAITYRRLAAIPNIGEASSEPTDKTTLCHHHGFCGVR >OIV97636 pep chromosome:LupAngTanjil_v1.0:LG14:15627034:15627411:1 gene:TanjilG_12393 transcript:OIV97636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMATIVLSPQNITRAIMSSSRKTNKRIVHVIGLNSFGGLKANNGVISLGLPLSTEKCFSNIVSSLKTTSSSYKGKGGGALSSTCNAAGEIFTIASIINGLVLVGVAIGFVLLRIEGFVEEQEVE >OIV97648 pep chromosome:LupAngTanjil_v1.0:LG14:15558940:15560445:1 gene:TanjilG_12405 transcript:OIV97648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVHQLARGFWEHEHPSLTLGCKRLRPLVPKVSNTNNTHTPFDLNNFITPQSVPRKTLSYDNNNDINKDSPILLHTQVEANQGGTRWNPTEEQIGILEMLYKGGMRTPNAKQIEQITLQLSKYGKIEGKNVFYWFQNHKARERQKQKRNNLRLSHTPRTPTTVLSPTIHTLTLETTKDEVVERNVEDSSYKKCRRWGFEFLEEQSWTSIKEEEEEHRTTLELFPLHPEGK >OIV97751 pep chromosome:LupAngTanjil_v1.0:LG14:14817732:14819357:-1 gene:TanjilG_12508 transcript:OIV97751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSDAPYFDLRRDGFGIKEGSEEWRDMCLKVREASETHGYFLLMCDEVSNDLREEMFNQINTLFDLPLQTKMKYKKPKLFRSYNRRSPITPFYEGFGIDDAHLDVEAESFTNLMWPEGNPKFSETLKSMSSKMLDVGYLVLKMIMASYDIPNYASEAEKMKSTSDFKLLKYILPDGSSDETKVTMISHTDKGCISILYDNDVQGLQMLTKSGEWIDIKIPKGGFAIIIGDLLKAWSNGRLHAATHRVALTKAKLRYSFGLFPIIKEDMEIKVPNELVDDQHPLRYRPFIYRDYLNHYIATRNPEGLEVFAGI >OIV98475 pep chromosome:LupAngTanjil_v1.0:LG14:2621313:2628949:-1 gene:TanjilG_16802 transcript:OIV98475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSFPMTSNFVIAIAYTLPPYNLKLSSSSPFRVFCQSQSKTEKVHIRKCSPFLESSLLSGNGAVTSDEWKAVPDIWRSSAQKYGHKVAVVDPYHHPPTTLTYNQLEEAILNFTEGLRIIGVRPDEKVALFADNSCRWIIADQGMMASGAVNVVRGSRSSNEELFQIYDHSESVALAVDTPEMFNRIGELFYSKASMRFIILLWGEKSSLVNEGNKEVPVFTFTEVVELGRESRNALLDSQDGKQHCIYEEIKPDDIATLIYTSGTTGNPKGVMLSHRNLLHQIKNFGDVVPAEIGDRFLSMLPPWHAYERAGEYFVFARGVEQVYTSVRNLKSDLAHYQPHYLISVPLVYETLYSGIQKQISTSSLVRKLVALTFIRVSLAYMECTRIYEGKCLTQHQKEPSYVYSMLEWLWARVVAAILFPIHLLAKKLVYSKIHSAIGISKAGISGGGSLPNHVDKFFEAIGVKLQNGYGLTETSPVVAVRQLEYNVIGSVGHPLKHTEFKVVDPETGAVIPPGSKGILKVRGPQLMKGYYKNPSATSRAIDEDGWLDTGDIGWLVPNHSTGRSRNSGGVIVVEGRAKDTIVLSTEGENVEPGELEEAAMRSSLIQQIVVIGQDKRRLGAVIVPNKDEVLRAARELTIVDSTSSDISQEKLVSLIYRELKTWTSESSFQIGPVLLVNDPFTIDNGLMTATMKIRRDRVVSQYKDQIENIYN >OIV98168 pep chromosome:LupAngTanjil_v1.0:LG14:7717977:7718608:1 gene:TanjilG_18283 transcript:OIV98168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSVQRKMEQFYEGSDGPPLRVLPIGGLGEIGMNCMLVGNHDRYILIDAGVMFPDFDELGVQKIIPDTTFIRKWSHKIEALIITHGHEDHIGALPWVMLPSP >OIV98389 pep chromosome:LupAngTanjil_v1.0:LG14:1785434:1787315:1 gene:TanjilG_16716 transcript:OIV98389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNIGEEYKHYWETNMFLQTQELDSWGLDEALSVYYDSSSPDGPTSPATSKNIVSERNRRKKLNERLFALRSVVPKISKMDKASIIKDAIEYIQHLHEEEKNIKAEIMELESEVLMMNPNYDFEQELPVLLKSKKKKTEQQLYDSFTPINFPIEILELRVTYMGEKTIVVNLKCNKRTNTMVKLCEVFESLKLKVITANITSFSGTLFKTIFIEVSFL >OIV98338 pep chromosome:LupAngTanjil_v1.0:LG14:1205837:1208166:1 gene:TanjilG_16665 transcript:OIV98338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFQDFAILSERRRNERKEKVRKRIILGVVSSILIVCVIGAATFVLVNKTSSEHNANKRPPTSADTKLENYLKIVKTVCSSVDYKTKCEGPLVEEVEKDPKLAQPKELLKESIKLAQQEINKAFNKTTSMKFESEMEKGAYEDCKQLIDDAREELGFSISEISKNDLKKLSTRTPELNNWLSAVISYHQTCIDGFSDGELKTRLKQLFQDPQEFVSNSLSIVSDLSSFLSAFQPDATRHLLSEKSDGLPPWINGEDRRMLKAADDKPTPNVTVAKDGSGNFKTISEALTAMPQTYTGRYVVYVKEGIYEETVTVTKKMVNLTIYGDGSPKSIITGNKNFVDGVRTFQTAAFVVLGDGFLGKSMGFRNTAGAEKHQAVAARVQADRAVFVNCRFEGYQDTLYAQTHRQFYRSCVIAGTIDFIFGDAAAIFQNCIIQVRKPMENQQNIVTAQGRYQHQETTGFVLQKCEIKADEKLVPEKDKIKSYLGRPWKEYSRTIIMESEIGDIIHPDGWLPWEGDFALKTLYYGEFNNTGPGAKTNGRVNWVGHKVINKEEAAKFAAGPFLNGTWINGKGVPAQMGLYN >OIV98337 pep chromosome:LupAngTanjil_v1.0:LG14:1199173:1201303:1 gene:TanjilG_16664 transcript:OIV98337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSHKLFFFLLTIFFSHTFAANSSNATTTFHANLSSLRSICKTTPYPEICFNSLKLSISINISPNIITYLLKTLQVAISEATKLTNLLQNAGVNSNIIEKQKGTVQDCNELHQSTLTSLKKSLSWIQSKDSKNMVNARAYLSAAVTNKNTCLEGLDSASGTMKPVLVNSLINTYKHVSNSLSMLSKSRVKGPKGLKKSPLMSSTNTPSWFSRKDLSFVIGGGGDGEDYVPNDVIVVASDGSGNFSSINDAINFAPNNSYDRTVVYVKEGIYEENVEIPIYKTNIVLLGDGSDVTIITGNRSVVDGWTTFRSATLAVCGEGFLARDIAFENSAGAEKHQASALRVNADLTAFYRCAIYGYQDTLYVHSFRQFYRECDIYGTIDFIFGNAAVVFQACNIISKLPMSGQFTVITAQSRDSPDEDTGISIQNCSILATTDLYSNSSSFKSYLGRPWRVYSRVVYLETYIDDFIDQMGWTKWSNKNDEGGLDTLYYGEYMNYGPGSKTENRVAWNGYHVMDYNDAYNFTVSEFISADDWLQSTSFPYDDGI >OIV98382 pep chromosome:LupAngTanjil_v1.0:LG14:1716852:1718552:1 gene:TanjilG_16709 transcript:OIV98382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAKKPKIVIIGAGMAGLTAANKLYTATSSKDMFELCVVEGGTRIGGRINTSEFGGDRIEMGATWIHGIGGSPIHKIAQEIHSLHSDQPWECMDGNSDENNTTTIAEGGYQLHPSIVEPVTKLFKNLMDYCQGKKLTEDGELDSSYYYKLAAIAASKGGGGGGSGSLSVGSFLREGLDAYFGSVKEQDEVKGYGNWSRNLLEEGVFAMHENTQRTYTSAGDLLSLDYGAESEYRMFPGEEITIAKGYLSIIESLASVLPPGIVQLGKKVTKIKWHGDDEKKIVENGCFSRPVMLHFCDGSMISADHVIVTVSLGVLKAAISDDVDSGIFFCPPLPPSKVEAISRLGFGVVNKLFMQLSPSTQHDEEQHFPFLQMVFHSPQSEMRNKKIPWWMRRTATLFPIYNNSSVLLSWFAGEEALALESLNDEEILNGASTTFSSFMSYHKWQKGTTLHKLCNGNVNSDDKSHQNEVKFSKILKSKWGTDPLFLGSYSYVAVGSSGDDLDTMAEPLPKDNNFQKSATFPLQILFAGEATHRTHYSTTHGAYFSGLREANRLLQHYHCVGIYNN >OIV98210 pep chromosome:LupAngTanjil_v1.0:LG14:6120261:6122609:-1 gene:TanjilG_00082 transcript:OIV98210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECNKDDALKAKELAEEKLLKKDYGGARISAMRARDLDPNLAGLRELLAVIEVNFSAERRVNGQVDWYRVLGVHPLADDATIRRCYRKLARVLHPNKNKSAGAADAYKLITHACSLLSDKGMKVIYDQNRNSWGKYEEIPGGKPSIPAYQIGLCNNNILNTPNHKDRDHMNGTPPIPTPVFPATLKQTFWTTCESCGIEFEYHNVFINCKLICVICHQPFLAVEILSPSVYRNESSTSRITPMMEHNFNSTRTETYCHAFGRTPMYAVNSSLWPGHLSMLGGISSVLVPASSAAEALGVYGMSSENLKRRYEDSTPVIREEDIVGKTHAGARNVANSSSKSPCFGPNSVLIADSSRKIRRTDENQVHGDGRGMEAKIACQNGGRRFANEFASQDNAARYCKRNGTRDVSQLQWKIMLSKKARKDIRAKLEEWKAASIPTNLLKRKNTDVQIRVNKERTTSGVKHAAPELVDSETIGNKCFSADSEVTEFLTMSVADPDFHDFDGDRIENAFGKNEIWAVYDDDDGMPRFYALINRVISKKPFKMRISWLSSMTNDELAPIKWVSAGFTKTVGDLQVGRRDFSTSLNSFSHRVNCTKGSRGLIHIYPKKGDVWALYRNWSSDWNEFTKDEVVHKYDMVEVLDDYSADQGTNVAPLVKVAGFKTVFSRNADPGKFWNIPKVEMFRFSHQVPSYLLTDQEGHNAPSGCLELDPAAIPMELLQTATKAAEEEMTTEKPLADEPKPGVNSSEDAVELVAEKEVAKAMERKESCPEVKFVYKRRRPKK >OIV98357 pep chromosome:LupAngTanjil_v1.0:LG14:1416933:1419414:1 gene:TanjilG_16684 transcript:OIV98357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTKLPLESVYLEIRDSTDGWNAIRDMVVRGAPAIAIAGALSLAVEVFNLDNLSGSPSEAASFLQNKLEYLVSSRPTAVNLADAATKLKEVISKAAATTAEAKGVFQAYIEAAEGMLEDDVASNKAIGSYGASFIQNQTQKHKLSVLTHCNTGSLATAGYGTALGVIRALHSGGSLERAYCTETRPFNQGSRLTAFELVHEKIPATLIADSAVAALMKAGRVDAVVVGADRVASNGDTANKIGTYSVALCAKFHNVPFYVAAPVTSVDLALSSGQDIVIEERSPKELLNARGGLGEQVAASGISVWNPAFDVTPANLISGVITEKGVITKTSADDAFDIKAFVQKTA >OIV98386 pep chromosome:LupAngTanjil_v1.0:LG14:1759124:1760694:-1 gene:TanjilG_16713 transcript:OIV98386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMEHHRTLQEAASAGLKSMEHLIRLLSSQTQTQTQTSFKDNHNNVNLECSEITDFTVSKFKQVINLLNRTGHARFRRAPSRRLEPKPEPETQIQTQQLGLNLDFSKPDLVKAKTNNKDDTLSISTTTSSFMSTITITGDGSVSDGKIGPFLSPPAPAVSAGKPPLSSSHRKRCHDVSISGNCHCSKRRKSRVKRTINVPAISSKIADIPPDEYSWRKYGQKPIKGSPYPRGYYKCSTVRGCPARKHVERAQNDPNMLIVTYEGEHLHAQPCPPGTTAAGVNFSLKSS >OIV98397 pep chromosome:LupAngTanjil_v1.0:LG14:1850938:1851486:-1 gene:TanjilG_16724 transcript:OIV98397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGCFSSSSSSTSNIIRLVHLNGYVEDFDEPISVNQVIGNSPKHFVCTSIQLLSSTSQPLKGDSQLQLGNVYFMLPYSILHANFSPVGLASLAKRLTTIAKTRCGSKRILETSPSLPSQNELCNIWSSPSRSPRRLGVVAEQNGMTYGARNSLRLQPWKPLLDTIRERSFNRRSESDLHENH >OIV98478 pep chromosome:LupAngTanjil_v1.0:LG14:2666499:2670546:1 gene:TanjilG_16805 transcript:OIV98478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTSWADLAANSAADNASYANNAGSGNNTSAPPSRPAYVPPHLRNRPPPTAESPAPPPARTGPASGSGTGTGTGTGRWSAPRNEYRSGTGGSGHTSGWGNKSAGWDRGRDREVNPFADQDDSEPAFTDQENTGINFDAYEDIPVETTGENVPPPVNTFAEIDLGEALNENIRRCKYVRPTPVQRNAIPISLAGRDLMACAQTGSGKTAAFCFPIISGIMRGQPMQRPPRGVRTVYPLALVLSPTRELSMQIHEEARKFSYQTGVRVVVAYGGAPINQQLRDLERGVDILVATPGRLVDLLERARVSLQMIRYLALDEADRMLDMGFEPQIRKIVEQMDMPPPGDRQTMLFSATFPREIQRLAADFLSNYIFLAVGRVGSSTDLIDQRVEYVQESDKRSHLMDLLHAQRENGVQGKQALTLVFVETKKGADALEHWLYLNGFPATTIHGDRTQQEREFALRSFKSGNTPILVATDVAARGLDIPHVAHVVNFDLPNDIDDYVHRIGRTGRAGKKGLATAFFNDNNANLARSLADLMQEANQEVPAWLSRYAARSSFGGGRNRRSGGGDRFGGRDFRREGSFSRGGGSDYHSAGNSGGGYGASGGYAGGYGGPGVTSAWD >OIV97727 pep chromosome:LupAngTanjil_v1.0:LG14:14994829:14995101:-1 gene:TanjilG_12484 transcript:OIV97727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSPTTHPGSFRCSLHKNSNNGQADPYPSNRLSLNMRRSAMKNSLVRIGGVEGEWVKRALTALIRPSSHQQRRRTAFEPRPSRLSVMSKA >OIV98265 pep chromosome:LupAngTanjil_v1.0:LG14:2911330:2914813:-1 gene:TanjilG_09899 transcript:OIV98265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQNNFILSKALAILCLASIVVITQGQITPSSPSPLSKNLATKLHNDPETLSQVSTDYGHIVHVNASAVFEPTSVYDIIELIKYSNSLAKPFTIAARGQAHSVDGQAMAQNGVVVNMTHLGDFRNGSGIIVSDKYADVGGEQIWIDVLHETLKRGLTPLSWTDYLYLSVGGTLSNAGIGGQTFIFGPQISNVLELDVITGKGDLITCSAGNNSEAFYAVLGGLGQYGVITRARIALGPAPSRVKWLRLLYTDFSAFSRDQEHLISLNDTEAADYVEGLILINKPPFDLSFFPASDQQRITTLVSKYGIVYTIELVKYYNPNSEAKVKEEVAILVKPLNFVPTFLFEKDVSYEEFLDRVHSDELVLRSKGLWEVPHPWINFFIPKSQILDFNEGVIKGIILKQNIIAEVFLLYPMKRNK >OIV97545 pep chromosome:LupAngTanjil_v1.0:LG14:16163480:16164204:-1 gene:TanjilG_12302 transcript:OIV97545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEENQNKYETSEPEVETKDRGVLDFFGKKKEEEQAIPQEEVIVTEFEKVKVSEQDQGEKKETLLEKLHRSNSNSSSSSSDEEGEDGEKKKKKKGLKEKIKEKIEGDGDEKQEETSGTVVDVDVVDVNNDEKKGFLDKIKEKLPGNHKKTEEVVVIPPSTEYGSTTHEATTTTTTHHEGETKEKKGILEKIKEKLPGYHSKTDEDKEKEKDAAAH >OIV97559 pep chromosome:LupAngTanjil_v1.0:LG14:16097355:16106513:1 gene:TanjilG_12316 transcript:OIV97559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQFDAYFRRADLDGDGRISGAEAVSFFHASNLPKHVLAQIWMHADQAKTGFLGRNEFYNALRLVTVAQSKRDLTPDIVKAALYGPAAAKIPPPQINLAAIPPFQGNRPAAAPAPVSQMGVNTSPAPSQSFPYRGQQGLSGPVPNQQYFPSQQGTTMRPPQQPLPTPQTMPASNAPHPQQGFATGPDISRGGNMLGQTFSNPGFSSDWNSARPGMVATRPGMVATRPGIVATKPGGITPSSPLSTSTAVSPVSSMSQPTTVDIKALVGPGNGPSPNSALGNDFFSAASSTPKKEPAGQNFSFSVGPPSSATIPVSNDAQPKQNPLDSLQSSFSMQPVNSQFQQTQPEANRSNASQKISPPASSPHASSGISDGLGNTNSDNSQFSWPKMKPADVQKYTKVFMEVDTDRDGKITGEQARSLFLSWRLPIDVLKKVWDLSDQDNDSMLSLKEFCFALYLMERYREGRPLPQSLPSNVLFDETLLSMMGHPKPTYGNAAWGMGQGFQPQPGMQGARPLAPAAGLSSPVQGTSPPVSGTIQPNQQKSGAPVLEDSFLNPTDNGDQNILNSESQEAASAGKTVKETENVILDSKEKMVFYRDKMQELVLYKSRCDNRLNEITERASADKSEAETLGKKYEEKYKQVAEIASKLTVEEAKFRDIQERKAELQQAIVKMVQGGSADGILQVRAERIQSDLDGLFNALSERCKKHGIDVKSITMVELPAGWQPGIAEETFLWDEDWDKFEDEGFANDLTFDTKNASSKPAIIHEEQSFPDDHSAGSPVNANGKHENSTNGDYTVEDESSHAHSEDDLGRSPHDSPAGKTTVESQEFSNAHFGKSMEADAETHRSFDESTWGAFDNNDDVDSVWGFNPTKDSDLDHQRDFFTSGDDFGINPIRTGSRHEDGPFQTKSPFTFDDSVPATPASKFGNSPRYSEAGDFFDMSSRFDSFSMHESGFSPKAEPGFTRFDSISSSKDFSYNPGSLSRFDSISSSKDFGYTPGSFSRFDSISSSKDFGFGREVGHTRFDSISSTAGHARFDSISSAKDFGHSGAFSFDEPDPFGSTGPFKVSSQSHSPKKSSDGWRAF >OIV98179 pep chromosome:LupAngTanjil_v1.0:LG14:7395108:7406106:1 gene:TanjilG_11576 transcript:OIV98179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSNWKVSLQPTKLVVLADLNVDPPENDDDDDSPFLVPPPPPSISRLTIDDNTQDKSLLSKDTDNIEGEGKKLNKLGKCRSRLSKTDSSLDCGADAEGDQHVHGVPSTREEKVSSIKTGLVHVARKMPKNAHAHFILGLMYQRLSQPQKAVLAYEKAEEILLRPEAEIDRPEMLSLVQIHHAQCLILESSSEDNSDKELEPHELEEILSKLKESVRSDIRQAAVWNTLGFILLKTGRVQSAISVLSSLLAITPENYDCLGNLGIAYLQIGNLDLSAKCFQELILKDQNHPAALVNYASLLLCKYASVVAGAGASAAEGASADQVTAANVAKECLLAAVKADGKSAHIWANLAYAFSISGDHRSSSKCLEKAAKLDPNCMSTRYAVANHRIKEAERSQDPSELLSCAGNEMASIVRDGDSSLVELPVAWAGLAMVHKAQHEIVAAYEGEKHGLVEIEEHAVCSLKQAIAEGPDDAVQWHQLGLHSLCARQFKTSQKYLKAAVAYDKNCSYAWSNLGVSLQLSEEPSQAEKVYKHALSLATTQQAHAILSNLGIFYRHQKQYQRAKAMFTKSLELQPGYAPAFNNLGLVFVAEGLWDEAKYCFDKALQSDPLLDAAKSNLTATTIISISSASLTSKLQNNILKHKHNHYEQVPISSFMGTTTITLKISKPTTKQGIITSVTRSGRVSCLASSSSSNLPSALLFDCDGVLVDTEKDGHRISFNETFHEKELGVTWDVDLYGELLKIGGGKERLIDQALSQGINVAVCSTSNEKAVSAIVSFLLGPERAEKIKIFAGDVVPRKKPDPAIYLLAASTLGVEPSRCVVVEDSAIGLAAAKGAGMKCIITKSGYTADEDFVNADAIFDFIGDPPEESFDLAFCGSLLEKQYVS >OIV98164 pep chromosome:LupAngTanjil_v1.0:LG14:7639892:7647311:-1 gene:TanjilG_18279 transcript:OIV98164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTLPIEFAGQKESRKYSHSQVMGKSRKYSKGYATGFVPDFRHAVETMGESEGLGSSGRVDTEMTASANSFAPRRKGVNGSFDVPLQLFLLSKMSGSEKKDLKMRLSWELERVRELKKKVDSVNLNMITLSPSSDIRRGGVGQKRPQLESEQHITIEASVPHGKKRHFPGRSGPKPKKSTSGRFEYVKPAAPVSSSNATLLKSCETLLNRLMTHQYGWVFNTPVDVVKLNIPDYFKVIKHPMDLGTVKSRITSGEYSNPMDFAADVRLTFSNAMTYNPPGNDVHIMADNLSKFFGTRWKPIEKKILATGSQSEPSRPITALIETKIPDRNPPPKNNKITPHEINITPEPVKRIMTGEEKHKLSVELEAMLGELPESIIDFLKEHSRDAGQTDDDEIEIDIDALSDDTLFKLRKLLDDYILEKQKVPSKSGPCEIEILNESGFSNSSIQPCKGIEQVEEDVDIVGGNDPPVSNYPPVEIEKDGVNRNSKCSSESGSSSSDSDSSSSSSSELDTAKASEPPCTTKENVGSGLTLDQNRGDPPDSMTVGGHVEQSSETQPITIEQENHQEGESAPSQRQVSPEKLYRAALLRSRFADTILKAQEKTLEKDEKRDPEKLRIEREDLERRHKEEKARLQAEAKAAEEARKKAEAEAVAEAKRKREREREAARLALQKIEKTVDINENSQFLEDLEMLSYVNGKQLPNFKEETSPDHIQRLGSFNLPGNPLEQLGLYMKADDEDEEEPPPSAAGPSNDTEEEID >OIV98354 pep chromosome:LupAngTanjil_v1.0:LG14:1393235:1396275:-1 gene:TanjilG_16681 transcript:OIV98354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPMSWATPDDELLSTSLATYLDKKLLVLLRDGRKLLGLLRSFDQFANVVLEGACERVIVGDLYCDVPLGLYVIRGENVVLIGELELGKEELPPHMACVSEAEIRKAQRADRESSDLKGTMRKRMEFLDFD >OIV98378 pep chromosome:LupAngTanjil_v1.0:LG14:1617457:1623226:1 gene:TanjilG_16705 transcript:OIV98378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISDVPETGGATFGTDSDLDRSSLRRRHSATPGFDADNLVRDLGSSDSLNGKSNEEEDNDDDKKQQHATVDNGIQNDNGDRERVTDVKFTYRPYVPVHRRIKESPLSSDNIFKQSHAGLFNLCIVVLVAVNSRLIIENLMKYGWLIKYDFWFSSKSLRDWPLFMCCLSLAIFPLAAFFVEKLAYKKSISESVVVLLHIIITTTAFVYPVLVILRCDSAFVSGVTLMLLTCIVWLKLVSYAHTNYDMRAITVSNEKGEVLPSTLNMEYPYSVSFKSLAYFMLAPTLCYQPSYPRTPSVRKGWVFRQLIMLIIFTGVMGFIIEQYMNPIVQNSQHPLKGNLLYAMERVLKLSVPNVYVWLCMFYCFFHLWLNILAELLRFGDREFYKDWWNAKTVEDVCFLS >OIV97689 pep chromosome:LupAngTanjil_v1.0:LG14:15267213:15269695:1 gene:TanjilG_12446 transcript:OIV97689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASGKWVKSLIGFNKPHKDEQVKECGKNKKWWLWRSSTTTTTTKGYKGKQKAESEEEECDDAYTVAVATVVRAPPKDFRLVRQEWAAIRIQTTFRAFLARRALRALKAVVRIQALVRGRQVRKQAAVTLKCMQALVRVQARVRARRVRMSIEGQAVQNILNERHSKSDLLKQAEEGWCDSTGTLEDVQAKIQMRQEGASKRERAIAYALAQKQVSSTPSCNSRTKATFSSLKNHDADKANGGWSWLERWMAAKPWENRLIEQSHAEGSDKSKKPPPPRKKFPDSLATSSNSKPSSELGSVKVKKNNVTTRISARPPPNVGQSTRSSSSPSSEFLNDESSPSSICTSKTPFSGNTCDRTVYNCNTRPSYMNLTQSTKAKHKTSNQMYNRSQRQKSMDEFQFLKKAAFFSNGDSKSNAGSDHSINFSRPLYLPTQTHLYKISTQSR >OIV98305 pep chromosome:LupAngTanjil_v1.0:LG14:809979:812208:-1 gene:TanjilG_16632 transcript:OIV98305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLVAGSYERFIWGFTLKSQSQSLTLTPLFSYPSHLSLIKSVAISGSVVASGGADDTIHLYNLSASSSLGSLHHHSATVTSLSFYTPPELLFPRNLVSADAEGTVCLYDADGFVHLKTLPSVHRKAVNDLAIHPSGKLALTVGRDECLAMVNLVRGRRSFCCRLDKEASLVKYDSDGGKFFLAMEEKVSVHEAEDARLLLEFECQKRVLCAAYAKGCISTYYECEPLCNGNVARLVMWRSWVEILETTFSLVRNGLLYTGGEDRNITAWDINSGKVAYSIEEAHATRVKGIVVLTDNDGSAGGEDPYLVTSASSDGVIRVWDVRMAATEKPNPLSECKTQSRLTCLAGSTLKWYTCLAFTQGPLSLSYELR >OIV98070 pep chromosome:LupAngTanjil_v1.0:LG14:10532327:10533274:1 gene:TanjilG_09563 transcript:OIV98070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTNGVDPCASEAFSISATSEDQKMNLKEKNAKSEQEQASISNTPSQLLDFMKLNSNGDSVSDSKVELDLLNQTGNNNMVGSSSRVHNNNNNTAEGTDENTKNEAKSFSCNFCKREFSSSQALGGHQNAHKQERALAKRRQGLDASGGGFGHPYYPSYPTLSTHSFYGSYNRALGIRMDSMIHKPSYPSWASPNGFKYGGSPWFRQGGQEMLSSSTSSPLDRLRIEGLQQLQSHNGDSGGLGFLGGSDVSSRLEDNDGNNNCNVGTLSLSKNIATTSSIQVMNKPIQSTVFDPPPPQGTSKGESSDDLDLSLKL >OIV97654 pep chromosome:LupAngTanjil_v1.0:LG14:15494938:15495462:1 gene:TanjilG_12411 transcript:OIV97654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRALSDEVEDRKMKRVKVEEEEGEEKSGYGVGYFDENLLFEVLKHVDARTLGMAGCVNKQWQKTANDERLWELICTKQWPNTGCAEQQLRSVVLALGGFRRLHALYLWPISKPQKPSSSSSSSSSSSYWPSSPSPQVVRPKPLPRLGKDEVHLSLSLLSIRYYEKMNINNRAP >OIV97630 pep chromosome:LupAngTanjil_v1.0:LG14:15650851:15654149:-1 gene:TanjilG_12387 transcript:OIV97630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEAMESSVNGGGFSHLQSYGDSSEEELSVLPRHTKVVVTGNNRTKSVLVGLQGVVKKAVGLGGWHWLVLTNGIEVKLQRNALSVIEAPTGNEEDDDLEFEHVHWNGSDMASDDTHKSHKSRHRMHRSLGSSHKSRSFSGDSQSKGSVSMPHGSKVDLSKLEMAALWRYWRHFNLVDAVPNPSKEQLVDVVQRHFMSQQMDELQVIVGFVKAAKKLKTVCK >OIV97946 pep chromosome:LupAngTanjil_v1.0:LG14:12802421:12806625:-1 gene:TanjilG_12703 transcript:OIV97946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCGGSKAEESPLVTLCRERRDFLKAASEQRYALAAAHVSYFHSLRDIGDALRKFVDNDLIIATASSTTSSPRLTLPSDEGKPHKKKNHSSSSTSISHVVEEEIEGSHLNLSSGSDFSDSGHIHVKDSTGHVASEPSSSSPSPFGYEHRDFEDWNQPQTFAYYMKRSAPHGKSMVYQEPENHVASSSPYGYQNGGGYGYQNGGFFGFQIGSSPPPPVRDYGFYSQPGPSNTTPSPPPAPPSPPRASAWDFFNLFDSNENGYQPGYLNGYRSNTSSPDSKEVREREGIPELEDETENEVVKVKQQVGNVNKNEKNKKKIYMEKHSRERDFGEGSSNSKAVPLSQEQKQSSSEGSSKTVRFHGSDDDNHDDVDDDDSSLSVSGHEEIKIKSSPDSVISKSSLKEERGRKKGVSFEVDEVPVVTMVDVESSKSSSITTLSAHGTRDIHEVVEQIRDEFETASNFGREVAVLLEVYKPPYHSRLAALKVIFSRILQMVAPSSLPSHPPSRPPIQLSSKAMRLAKAYCGEPGKDYKTNPENISSTLEKLYAWEKKLYKEVKDEEKLRTLYEKQCKRLKTLDDRGAESSKIDATEASIRKLLTKINICIRTVESISGRIHKLRDDELQPQLAELINGLIRMWKFMLKCHQKQFQAIMESKTHSLRINTGLQRDEGLTAILELEKELLNWSSQFNNWVKTQKSYVENLNQWLMRCLHDEPEETADGIAPFSPSRVGAPPIFIICNDWHQAMTRISERRVAGAMHEFAQKLHELWERQDEEQRQRIKAEFLTKDFEKQLRTLRTEIGSSEHEHDKDSNKTALSNSPSDGVSPLDDLKVDLDSMKKKLQEERARHKEAIKLVRDAASNSLQAGLVPIFKTLESFTSEVVKAHEQVRLQNNQDAGDS >OIV98513 pep chromosome:LupAngTanjil_v1.0:LG14:134056:140168:-1 gene:TanjilG_18797 transcript:OIV98513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASAGLVAGSHNRNELVVIHGHEEHKPLKNLDGQVCEICGDGVGLTVDGDLFVACNECGFPVCRPCYEYERREGSQLCPQCKTRYKRLKGSPRVQGDEDEEDVDDIEHEFNIEDQKNKHGYVAEAMLHGKMSYGRGPEDDEHSQFPPVISGSLSRPVSGELSVASHGYGDQMLASSLHKRVHPYPMSEPGSARWDEKKEDGWKDRMDDWKLQQGNLGPEPEEDPDSAMLDEARQPLSRKIPIASSKVNPYRMVIVARLVILAFFFRYRILNPVHDAMGLWLTSIVCEIWFAFSWILDQFPKWFPIERETYLDRLSIRYEREDEPNMLAPVDVFVSTVDPMKEPPLVTANTVLSILAMDYPVEKISCYISDDGASMCTFESLSETAEFARKWVPFCKKFSIEPRAPEMYFSEKIDYLKDKVQPTFVKERRAMKREYEEFKVRINALVAKAQKVPEGGWIMQDGTPWPGNNTKDHPGMIQVFLGTSGGLDTEGNQLPRLVYVSREKRPGFQHHKKAGAMNALVRVSAVLTNAPFMLNLDCDHYVNNSKAAREAMCFLMDPQTGKKVCYVQFPQRFDGIDTHDRYANRNTVFFDINMKGLDGIQGPVYVGTGCCFRRQALYGYNPPKGPKRPKMVSCDCCPCFGSRKKLKHAKSDANGEAASLQGMDDDKEMLMSHMNFEKKFGQSSIFVTSTLMEEGGVPPSSSPAGLLKEAIHVISCGYEDKTEWGLELGWIYGSITEDILTGFKMHCRGWRSIYCNPRRAAFKGTAPINLSDRLNQVLRWALGSIEIFFSHHSPLWYGYKEGKLKWLERFAYANTTVYPFTSIALISTFAGLYFIGLFSSIIATGILELKWSGVSIEEWWRNEQFWVIGGVSAHLFAVIQGLLKVLAGIDTNFTVTSKATDDEDFGELYAFKWTTLLIPPTTILIINIVGVVAGISDAINNGYQSWGPLFGKLFFSFWVIVHLYPFLKGLMGRQNRTPTIVVIWSILLASIFSLLWVRIDPFVMKTKGPDTKLCGINC >OIV97927 pep chromosome:LupAngTanjil_v1.0:LG14:13079004:13081692:1 gene:TanjilG_12684 transcript:OIV97927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLRVQNVASLVNGAIPEMFVRSETEQPGVTTVQGKNLQVPIIDFSNPNEEKILKEILEASCEWGMFQIVNHDIPSELIRRYQGVGKEFFELSQEEKEKYAKDPSSQSLEGYGTKLQKELDGKKGWVDHLFHKLWPPSDINYRFWPEKPPSYREVTEEYAKHLHGVVDQLFRALSLGLGLEGHDLRETVNGDKLVHLLKINYYPPCPYPELVLGVPAHSDMSFLTLLVPNEVQGLQACKDDQWFDVKYVPNAIVVHIGDQMQVISNGKYKPVWHRTTVSKTETRMSWPVFIEPQPEQEVGPHPKLVNKDNPPKFKPKKFKDYAYCKLNKIPQ >OIV97854 pep chromosome:LupAngTanjil_v1.0:LG14:13888686:13889615:1 gene:TanjilG_12611 transcript:OIV97854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVANKGEAERLLGIAEKLLQNRDLSGSRDFAILAQETEPLLEGSDQILAIADVLTAAEKSLNNNLDWYSILQVDRRCEDLDLIKKNYRRLALLLNPEKNCFPLSDHAFKLVSDAWSVLSDPVQKPLYDQNIAVLVQQQEKLPFRRGNSNSNCYGYSYGSNSSGSNFWTACPYCYYMYEYPRSCEGYCLRCQNCEKSFHGVAIPSLPPLVPGQEAYYCTWGYFPLGFVFGTSGSETKGPLMLPNMNPNLNQAEVPSWNLQPQSQLQPQVHFARVDLEDEARVDGDDGLNGVDLEMRSNGKKRGRPRKLV >OIV98509 pep chromosome:LupAngTanjil_v1.0:LG14:213488:216865:-1 gene:TanjilG_18793 transcript:OIV98509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGIFACHLWLWISVFFLLIVNVKHGTCFYLPGVAPEDFHKGDFLMVKVNKLTSTKTQLPYSYYSLPYCHPEHIVDSAENLGEVLRGDRIENSPYVFKMREPQMCNVVCRLTLNAKTAKEFKEKIDDEYRVNMILDNLPLVVPLRRPDRESSLVYLHGFLVGLKGQYAGNKEEKHFIHNHLTFVVKYHKDPVTETSRIVGFEVKPFSVRHEYEGEWDKTTRLTTCDPHARKIVSGSESPQEVEDKKEIIFTYDIEFQESDVKWASRWDSYLLMADDQIHWFSIVNSLMIVLFLSGMVAMIMLRTLYRDISKYNQLETQEEAQEETGWKLVHGDVFRPPSNSDLLCVYVGTGIQFFGMILVTMIFAALGFLSPSNRGGLMTAMLLLWALMGLSGGYSSVCLYRRFKGTEWKQIALKTAVMFPATAFAIFFVLNALIWGQKSSGAVPFGTMFALVFLWFGISVPLVFLGGYMGSKKPVGEDPVKTNKIARQIPEQAWYMNSVFSILIGGILPFGAVFIELFFILTSIWLHQFYYIFGFLFIVFLILIVTCAEISIVLCYFQLCSEDYLWWWRSYLTSGSSALYLFLYAAFYFFTKLEITKPISGVLYFGYMVLLSYGFFVVTGTIGFYACFWFTRLIYSSVKID >OIV97629 pep chromosome:LupAngTanjil_v1.0:LG14:15661401:15663587:1 gene:TanjilG_12386 transcript:OIV97629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISEEATSMMATSNRRKPSWRERENNRRRERRRRAIAAKIYSGLRAQGNYNLPKHCDNNEVLKALCAEAGWSVEPDGTTYRKGCKPAAGAVDGAGSSTRNTPFSSQNPSPLSSSFPSPIPSYQVSPSSSPFPSSSHFDLNNVAASNNLFPYIHNVYPPSLAPLRISNSAPVTPPMSSPTSRNPKPIPTWDSIAKAASFNLNHQPFFAASAPASPTHRHLYTPPTIPECDESDTSTVESGQWLNFQQAFAPSVASALPFCPNLSFSMGPPVQQQQHSLPDNSGKMQQMTISEAGFGVQVKPWVGEKIHEVGLDDLELTLGSGKN >OIV97836 pep chromosome:LupAngTanjil_v1.0:LG14:14077116:14077379:-1 gene:TanjilG_12593 transcript:OIV97836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFSTRSLIQASMIHFTDSSMDFDHSLLAGGCKLRMKFICVLHYFSIICYYSQIMRLVEFTFAEEAAVLVRLRRKHVFHLLYPFDC >OIV98044 pep chromosome:LupAngTanjil_v1.0:LG14:10021412:10022263:-1 gene:TanjilG_09537 transcript:OIV98044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMLFEGFPTAIAMSEREVVSVIEFLVEFGIPRDEIDRVVRYYPRVLGFGVEDRLKPLIHELRGLGFSGREVRKEIVRDPRILGMEVGEFSRCLQLLQSLKCREAIKERIIGEGGMLRACFEVKLRVDCLCGHGLIRRDALKVLWKEPRLVTYDLENIEKKIEFLVQRMKYSVDCLPHVPEYLGVNLEKQIVPRYTVIEYLIAKGAIGHEVGLKDLIKPSRLRFYNLYVKPYPECEKMYGRFSAALEVKSKHPAGLWKLFKPQKFTETDEDVKNMKSFMELLV >OIV98084 pep chromosome:LupAngTanjil_v1.0:LG14:9900363:9903706:-1 gene:TanjilG_25949 transcript:OIV98084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMEMPKGLPFSVDTWSQSSKATKRHHFLTHAHKDHSSNITSYSSFPIYSTLLTRTLVLQHYPQLVDSLFVSIEVGQSLIVQDPDGSFTVTAFDANHCPGAVMFLFEGKFGNILHTGDCRLTPECLYNLPGKYVGKQGKQPRCSLDCVFLDCTFGNFSHGMPSKHSSIQQVINCIWKHPDASTVYLTCDMLGQEEILVNVSQTFGANIYVEKAKDPECFKNLAVTAPEILCEDPSSRFHLFDGSPGLYERARMKLVEAKAALQPEPLIVRPSAQWYALEDDASDMENSKKKRMGEAVKDQFGVWHVCYSMHSSKEELDWALQLLAPSWVVSTTPGCRAMDLSYVKKHCFNSKVSLNNSILKLLDMAVETSDNIDTLVKPVSCYPVLDRTLQPCVQTKSPVKHCTESKAPVELSPPGKSLPVTLFGRARLGLQDVAFSRGCNNLPTNICSQTVSSDAGQELSSSVSDAETKWEKSPEKKEDLDEVKYMQSEVQETRVHKSASYLNVGSSGLSESVRKLYRSMNVPVPQPLPSLVNLMNSYKRAKRGND >OIV98018 pep chromosome:LupAngTanjil_v1.0:LG14:10945652:10954506:-1 gene:TanjilG_16855 transcript:OIV98018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMESLELSRVLLLTSSLSLSLIPPTTKTIRQLGFRSLVKKANKYANLRLTRSFSGHTGNGAAHAASQGKGEDEMGLLLAREIDEFGSIVGFNLIPGSGKITEDATSNEVEVPEARGVEEHKVQARVSYSIVFVTAEAAPYSKTGGLGDVCGSLPIALAARGHRVMVISPRYIHGTPEDKKFSGAVDLDCRIKLYCFGAVQEVGFFHEFRDGVDWIFVDHPSFHRPGNLYGDKFGTFGDNQFRFTLLSHAACEAPLVLPLGGYTYGDKCLFLVNDWHAGLVPILLAAKYRPHGVYKDARSILVIHNIAHQGVEPAITYSNLGLPPEWYGALEWVFPNWARMHALDTGEAVNFLKGAIVTVDRILTVSKGYSWEITTSEGGYGLHELLSSRKSVLNGITNGIDENEWDPSTDKHIAYNYSADDLSGKVKCKISLQKELGLAERPECPMIGFIGRLDYQKGIDLIRMAIPEIMENDVQFVMLGSGNPEFEDWMRATESTYRDKFRGWVGFNVPVSHKITAGCDILLMPSTFEPCGLNQLYAMRYGTVPVVHETGGLRDTVQNFNPYAEGTNGEGEGEGTGWTFSPLTKESMLVALGYAIRTYNEYKSSWKGLMKRGMAKDYTWVNAATQYEQVIEWAFMDPPYC >OIV98076 pep chromosome:LupAngTanjil_v1.0:LG14:10595153:10595402:-1 gene:TanjilG_09569 transcript:OIV98076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANNPSQLLPSELIDRCIGSKIWVIMKGDKELVGTLKGFDVYVNMVLEDVTE >OIV97591 pep chromosome:LupAngTanjil_v1.0:LG14:15904756:15905181:-1 gene:TanjilG_12348 transcript:OIV97591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVWPLKERRGPAWKQGWTKNTLSSISAPPLQLVAIVSIVMFLLLVPSFINFKSTMHTATINFNIFLLVLPLILIFIAHFISRYGGNLVVPVPRSIFRLARAGTGGGGSPWGVAALVVLLLVLALCRSNFRSMWSPLVWRSY >OIV97565 pep chromosome:LupAngTanjil_v1.0:LG14:16072678:16072965:-1 gene:TanjilG_12322 transcript:OIV97565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEQNLVDCKKSSLTYKKKLKRIMDPSMCGKYSIASAFQIAQLILKCLDSDHKKRPSMEEVLHILEKAQSIKYKPNIKKVNSRCQTSNSTNKTLV >OIV98182 pep chromosome:LupAngTanjil_v1.0:LG14:7276557:7279540:-1 gene:TanjilG_11579 transcript:OIV98182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDATKTNPFRVTRVLPEPDLYLHTSRGKPIPVHATILASASTVLENIIMSNRTVKIHGVPYDAVTAFLSFLYTSRCTEEEMDKYGMHLLALSHVYLVPQLKRRCIKGLSQRVNTENVVDVLQLAKMCDAPDLYVKCFKLLTDNFKEVENTEGWRFLQHHDPRLELDILRLMDEHESRKKKCRKQREEEGLYMQLSEAMDCLEHICTKGCTDVGPYDVELSKEKRKPCSKFDTCQPIQVLIRHFATCKKRVNGGCVRCKGMWQLFRLHSFICQQDSCKVPLCRQIQLKMQQENKKVDAKWKLLARKVASVKAMSSLSVPKRKRNEEIRDTIIKSGIRNFKLI >OIV97755 pep chromosome:LupAngTanjil_v1.0:LG14:14792672:14796391:-1 gene:TanjilG_12512 transcript:OIV97755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPVSGHLCSTTHHHIVDITPPSYEASTSTSNQDANFDVPNNSAATAPVSQLSDESSSRNSSLLRRGDSSRRLRSPLNSGFWISIELVVTICQIVASVVVLSLSRHEHPSTPLFEWIVGYASGCAATLPLLYWRYYHGNRLQQQDTSQSHQSSPSISGPSGRTLRSTSRTNGGEGIQVAAASPRSNQASWLMNARLKLLVEYIKMAMNCFFAVWFVVGNIWIFGGHSSANEAPNLYRLCIVFLTFSCIGYAMPFIFCATICCCLPCIISILGVREDLIQTQGATSESINALPTYSFKMKTNNSRDENNSVADEGGIVAAGTEKERLVSGEDAVCCICLVKYENNDELRELPCSHLFHKDCIDKWLKISALCPLCKCGVGEKVAGSVSGEGSSQQHG >OIV97674 pep chromosome:LupAngTanjil_v1.0:LG14:15359802:15366498:-1 gene:TanjilG_12431 transcript:OIV97674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSDSGETPSRYELLSMVKKHSNLIGKTVVEEQDASDVEMDMRFWRDVFDLYFVCGKESRGRQDDDLVFFVRKFGSQGSGGNSNTESVDPYFVRRWAPELSNLVDETSVHVDWRRSFYLNLIAHTSFSVTVAICSYQILQNHQAGQNTPLSPIYKVVKTVYASPSRVNFKLDSKKEVETTPAYPDICFAIDDFDSTFDAVVLTEKDHCFCVVLNAHDGAAFPSEKVSSDCSTSDESTLNSDTSSSKKKDTKLTLFSGFVSYQMVRDAYDAGKSRFQSLLSVGHSSGKTDRIYMKGPAGRGEVEVAVSGVADQNQLDSGPFSPVISKKGFGLGVIVRRAVSVASVATKHAYASASASNHDEMIPLKCSLMSISLPWEYIAYDLLFKGAPPVNM >OIV97564 pep chromosome:LupAngTanjil_v1.0:LG14:16073448:16076150:-1 gene:TanjilG_12321 transcript:OIV97564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCFTKPSNNDNKIKQRLSNISTNNPETTTPSENVIKVGEAEKKRGDGKNNNKIGSEKNVRGNMKMFTLAELKSATRNFRPDTMVGEGGFGRVFRGWIDEKTLKPSKVGVGIPVAIKKSSPDSLQGLQEWQCEVKFLGKFSHPNLVKLIGYCWEENQFLLVYEYMQKGSLESHLFRRGVEPLSWDTRIEIAIGAAKGLAFLHNSENSVIYRDFKSSNILLDEDYNAKLSDFGLATFGPINGISHITTRIVGTHGYAAPEYLATV >OIV97696 pep chromosome:LupAngTanjil_v1.0:LG14:15200614:15202773:-1 gene:TanjilG_12453 transcript:OIV97696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVPSEVAVPLRVADLVCDKSTIAAHMDVSGLKMMTDTSFLYNSITKFSSETVIGSDEDHNNGCNMNGELGITAVTLVPSKQGGEREVPFLDMISQNKGTLVVGDEVLTPETEDDSLSLEGDPTPIIDISCSFSVASSICGDELATYEAIADLGISSSFDIEKSICVVSVVAEAYTADLAESNDMADIMTEPLAVAVTLEEETRIRSAPNPTAVVLHQLPLEKRVNGTVGRSVFELEWTPLWGFTSVCGERPEMEDAVAVVPRFSKIPIQMLIGDRVIDGMDKCFSQQTTHSFGVYDGHGGSQVANYCRDHLHLALAEEIEFVKEGLIVGNTKDDCQDQWKKTFTNCFLKVDAEVGGKAYCEPVAPETVGSTAVVAIICSSHIIVSNCGDSRAVLCRGKEPMALSVDHKPNRDDEYARIEAAGGKVIQWNGHRVFGVLAMSRSIGDRYLKPWIIPEPEVTFLPRAKKDECLILASDGLWDVMTNEEACDIARRRILLWHKKNGSTLPSVRGEEIDPAAQSAAEYLTNHALQKGSKDNITVIVVDLKAQRKFKTKT >OIV98452 pep chromosome:LupAngTanjil_v1.0:LG14:2457006:2458888:-1 gene:TanjilG_16779 transcript:OIV98452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIPLSFMSLFFLLLLPSFISSSLLQDPELVVQEVHKSINASRRNLAFLSCVTGNPIDDCWRCDTNWEKNRKRLADCAIGFGKHAIGGRDGKIYVVTDPSDDPVSPKPGTLRYGVIQEEPLWIIFKHDMVIKLKQELMMNSFKTIDGRGVNVHIAGGPCITIQYVTNIIIHGINIHDCKQGGNAYVRDSPIHYGWRTLSDGDGVSIFGGSHVWVDHCSLSNCRDGLIDAIHGSTAITISNNYMTHHNKVMLLGHSDSYTKDKNMQVTIAFNHFGEGLVQRMPRCRHGYFHVVNNDYTHWRMYAIGGSAAPTINSQGNRFLAPNDITFKQVTKRENTPQNKWVNWNWRSRGDLLLNGAFFTPSGVAASSSYARASSLSAKPSSLVSSITASAGYLNCRKGSRC >OIV98491 pep chromosome:LupAngTanjil_v1.0:LG14:489443:492563:-1 gene:TanjilG_18775 transcript:OIV98491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSFNLCEITDADYDPSPSVVNSSPFSTLSGDSLAYCPTWISSDDSSYASEPSPSRSTAAKLRAKQSPLSKLGMKMLKNSVDEKLDDCDPLNSAELETMKERFAKLLLGEDMSGGGKGVSTAVTISNAITNLYATVFGQNLKLEPLKPEKKAMWRREMKVLLSVCDYIVEFAPTAQYLEDGTIVEMMKSRPRTDIYMNLPALQQLDTMLIEIFDSFQDTEFWYAENISVNSARLHAASFRRIVHQRNDEKWWVPVPCVLPGGLSDKSRKHLIEKRDCANQIHKAAMAINSNVLAEIDIPETYVENLPKLKFYCQVSEDFIKCDPIKLMSWDLSSNFISFLWKPIIAAEACRKRDDIISDKNGRASLGDTIYHYMYATHEFSPDRLLDYLKISSEHEALELADRVESSMYTWRRKSCMSHSKSSWNEVKDLMADTDRKDKNYTLAERAESLLFCLKLRYPELSQTSLDSCKIQYNRDVGKAVLESYSRVLEGLAFNLVAWIEDVLYVDKSMWNRDV >OIV98483 pep chromosome:LupAngTanjil_v1.0:LG14:552397:553913:1 gene:TanjilG_18767 transcript:OIV98483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLSKDVSAQSCGCTEGLCCSQYGYCGTGDAYCGTGCKEGPCYASPSEPNDVNVADIVTPEFFNGIIDEADSSCEGKNFYSRDAFLNALSSYNEFGRTGTTDDSKREIAAAFAHFTHETGHFCYIEEIDGASKDYCEESNTQYPCAPNKGYYGRGPIQLSWNFNYGPAGESNGFDGLNSPETVANDPVVSFKTALWYWMQHVHPVINQGFGATIRAINGALECDGGNPATVQARVNYYTQYCSQLGVATGDNLTC >OIV97840 pep chromosome:LupAngTanjil_v1.0:LG14:14005993:14023330:-1 gene:TanjilG_12597 transcript:OIV97840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTLRSSWPSRLRQLLSNEGTIAPSIKLTSEPPPKIKTFIDKVIQCPLQDIARPLSDFQWEYSKGNFHHWMPLFLHFDTYFKTYLSCRNDLTLSDNLEDDTPLPKHAILQILRAMQIILENCPNKSSFDGLEHFKLLLASTDPEILIATLETLAALVKINPSKLHGSAKMVGCGSVNNYLLSLAQGWGSKEEGLGLYSCIMTNEKAQDESLCLFPSDVDNSCDQSNYRIGSTLYFELYGPSAQSKEHSVDTVSSSLRVIHMADMHLRKEDELSFLKQCIEQYSVPPDLRFSLLTRIRYACSFRSLRISRLYSRICLLAFIVLVQSSDAHDELVSFFANEPEYTNELVRVVRSEETISGSIRTIAMLALGAQLAAHTSSHERARVLNGSSMTFAGGNHMILLNVLQKAILSLKSSNDPSSLAFVEALLQFYLLHVVSTSSSGSSIRGSGMVPTFLPLLEDSDPAHIHLVCSAVKTLQKHMDYSSSAVSLFKELGGSELLAQRLQLEVHRVIGLVGENDNVMLTGESTRNCTDQLYPQKRLIKVSLKALGSATYVPTNSSRSQHSQDSSLPATIALIFRNVDKFGGDIYYSAVTVMSEIIHKDPTGFAALHEMGLPDAFLSSVVSGVLPSSKALTCIPNGLGAICLNAKGLEIVRETSSLRFLVDIFTSKKYVLAMNEAIIPLANSVEELLRHVSSLRSTGVDIIIEIIHKIASFGDGNDTGSSGKADEGSAMNTDSGEKESENHYCDVGTVSSSSEGISDEHFVQLCIFHLMVLVHQSMESSETCRLFVEKSGIEALLKLLLLRPTIAQSSDGMSIALHSTMVFKGFAQHHSAPLARAFCSSLRQHLKKALNGFGASSRPALLDPRMTTDRSIFSSLFSVEFLLFLAASKDNRWVTALLTEFGAGSKDVLEDIGHVHREVLWQLALLENKKPDYEDDGACSAANSQQAEVDANETEEQRLNSFRQLLDPLRRRTSGWSIESQFFDLINMYRGLGRATGSQHRSSIVGPSNTWLDSSNNFHHSGSSEASGDIDKKDSVKRRTYYTSCCDMVRSLSFHTIHLFQELGKVMLLPSRRRDDMMNVSPASESVASTFAHIALDYMNFGGHEISLGTETSISTKFRYFGKVIDFMDNIVMERPDSCNPVLLNSLYGHGVIQSVLTTFEATCQLLFPFNQIPASPMETDDGSAKHYDKEDAHYSSINASLASYGKFMDHLVTSSFILSPLTKHLLVQPLTSGIIPFPRDAEMFVKVLQSMVLKVVLPVWTHPQLVDCSYEFISTIISIIRHVYSGVEVNNVSSSSGVHIARPPPNETTISTIVEMGFSSSRAEEALRQVGSNSVELAMEWLFSHPESEDTEEDDELARALAMSLGKSDSDTEDGIANDNAQQLEEEMVQLPPIDELLSICTKLLQKETLAFPVRDLLVMICSQNVGQCRSIVVTYIVDQIKECGLVSSNGNYTVLAALFHVLALILNDDSVAREDASKSGLIKIASDLLYQWDSCLDRRGKLEVPKWVTTAFFALDRLLQVDQKLNSEVTEQLKKEVVNSQQTSITIDEDKLHKLQSVLGLPSKYADIHEQKRLIEVAYSCMKNQLPSDTMHSILLLCSNLTRNHSVALTFFYAGGINLLLSLPTSSLFPGFDNVAASIVRHVLEDPQTLHQAMESEIKHSLAVPSNRHPNGRVNPRNFLLNLASVISRDPIIFMQAAKTVCQVEMVGERPYIVLLKDRDKYKAKEKEREKDKSLEKGKAQNNDGKVGLGNTNTEASGNGHGKIHDSKSVKCHKKPVQSFINVIELLLESICNFIVPPLKDDIGSNVLPGTPTSSAMDIDISIDKGKGKAVATTSEGNETSNQEASASLAKIVFVLKLLTETLLMYSSSVHVLLRRDAEISSTKSTHQKSNADLSTRGIFYHILHNFLPYSRKLKKDKKVDGDWRQKLASRANQFVVATSVRSTEARRRVFTEISHIINEFVDSCNGFKPLGNEIQVFVDLLNDVLSARTPAGSSISAEASATFMDAGLVKSFTRTLEVLDLDHVDSSKVATGIIKALELVTKESVHSVDSGVCKGTKPSDLSQPGRTDNVGDMSQSMETTSQSNIDSLQVDLVGSYNAIQSYGGSVAVTDDMEHDQDFDGGFAPANDDEYMHETAGARGLDNGIENVGLQFEIQPHGQENHDDDEDDMSGDEEDEDDEERNGLEEDEVHHMPHHDTDQDDHEMDDDDDFDEAMEEEDDEDDEDEVILRLEEGVNGINVFDHIEVFGRDNSFPNEAHHAMPVEVFGSRRPGRTTSIYSLLGRTGDTATPSRHPLLVGPSSSFHLPTGQSDNILENSSSLDNIFRSLRNGRHGHRMNLWSDNNQQSSGPNTGVLPQGLEELIVSQLRQLTPEKSSDNNIAEEGPHSKVDVSQMQDPGDSRLDVTVESNAVEEGGMVTRLSIDNNNDTADIRLAGNGSLPVDVPSTHSQTVEMQFEHTDAVIRDVEAVSQESGGSGATFGESLRSLDVEIGSADGHDDGGERHVTADRIAGDSQAARTRRANTPFGHSSPVGGRDASLHSVTEVSENSSRDADQNGPEVDHQVNSDAGSGAIDRAFLDALPDELRAEVLSAQQGQVAQPSNAESQNTGDIDPEFLAALPPDIRAEVLAQQRAQRLHQSQELEGQPVEMDTVLLTSSDAVLANLTPALVAEANMLRERFAHRYSRTLFNSRGRRGETSRRNEGIIGLDGAGGSISSRRSGGAKVVEADGAPLVDTKALHAMIRLFRIVQPLYKGQLQRLLLNLCAHSETRTSLVKILMDLLILDVRKPSSYFNKVEPPYRLYGCQNNVMYSRPQSFDGVPPLLSRRILETLTYLARNHPYVAKNLLQFRLHHLASREPNNADVALGKAVMVVEDEENIGENIEGCVSITILLSLLKRPLYLRSIAHLEQLLNLLDVIIDSAGSKSSSSNKSQISTELESAPQTSVMEADVNTDSVVISHGLDASPKVDDSSKPTSSGNKESETEQVLSNLPQAELRLLCSLLALEGLSDNAYGIVAEVMKKLVAIAPTHCQLFVTELAAAVQKLTSSAKDELRVFSETIESLLSTTSSDGAAILRVLQALSSLLTSLTEKESDGITLNLSEVWEINLALEPLWHELSCCICKIESYSESGSELLTPSRTLVSKPSGVMSPLPAGSQNILPYIESFFVVCEKLHPAQSGAAQGSSVPVTSDVEEASTSATQQKTSGPAVKVDEKQSAFVKYPGVPPLLSRRILETLTYLARNHPYVAKNLLQFRLHHLASREPNNADVALGKAVMVVEDEENIGENIEGCVSITILLSLLKRPLYLRSIAHLEQLLNLLDVIIDSAGSKSSSSNKSQISTELESAPQTSVMEADVNTDSVVISHGLDASPKVDDSSKPTSSGNKESETEQVLSNLPQAELRLLCSLLALEGLSDNAYGIVAEVMKKLVAIAPTHCQLFVTELAAAVQKLTSSAKDELRVFSETIESLLSTTSSDGAAILRVLQALSSLLTSLTEKESDGITLNLSEVWEINLALEPLWHELSCCICKIESYSESGSELLTPSRTLVSKPSGVMSPLPAGSQNILPYIESFFVVCEKLHPAQSGAAQGSSVPVTSDVEEASTSATQQKTSGPAVKVDEKQSAFVKFSEKHRKLLNTFIRQNPGLLEKSFSLMLKVPRFIDFDNKRSHFRSKIKHQHDHHHSTLRIPVRRAYVLEDSYNQLRMRPAQDLKGRLNVHFRGEEGIDAGGLTREWYQLLSRVIFDKGALLFTTVGNELTFQPNPNSVYQTEHLSYFKFVGRVVGKALFDGQLLDVHFTRSFYKHILGAKNDISDVLDLTFSIDADEEKLILYERAEVTDYELIPGGRNIKVTEENKNQYVDLVAEHRLTTAIRPQINAFLEGFSELIPRELISIFNDKELELLISGLPEIDLDDLRANTEYSGYSVASPVIQWFWEVVQGLSKEDKARLLQFVTGTSKVPLEGFSALQGISGSQKFQIHKAYGSPDHLPSAHTCFNQLDLPEYPSKQHLEDRLLLAIHEANEGFGFG >OIV98236 pep chromosome:LupAngTanjil_v1.0:LG14:5045089:5053546:1 gene:TanjilG_09888 transcript:OIV98236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQENNRDTLHDYDAQTKQKKKLRKRKRARKTEQVNHHLEEQEEKESEEEEANEAGKSVVSGINNEDENLNEDDNTNDEDDEGGNNFASGIMSDESFESLGLSEPTYKAIMDMGFDRMTRIQARAIPPLLLGKDVLGAARTGAGKTLAFLIPAVELLYKIQFTPRSGTGVVVICPTRELSIQTHAIAKELLKYHSLTLGLVIGGSARKGEAERIVKGVNLLVATPGRLLDHLQNTKGFIYKHLKCLMIDEADRILEANFEEEMKQIINILPKSRQTALFSATQTKKVKDLARLSFQTTPIYIDVDDGRTKVTNEGLQQGYVVVPCAKRFVVLYSFLRRYQSKKVMVFFSSCNSVKFHADLLKCTGLDCLNIHGKQNQHARTTTFLNFCKSEKGILLCTDVAARGLDIPDVDWIVQYDPPDEPKEYIHRVGRTARGEGGKGNALLFLIPEELKFLRYLKAAKVPVKEYAFDDKKLVNVQSQLEKLVAGIYHLNNMAKDAYRSYLLSYNSHSMKDIFNVHRLDLLAVAASFCFSNPPKVSLNIDSSASKHKKKIRKVEGSRHELQ >OIV98162 pep chromosome:LupAngTanjil_v1.0:LG14:8222615:8225832:-1 gene:TanjilG_22722 transcript:OIV98162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADKYGPIFTIMLGLHRAVVLNNWKVAKECFTINDLAVSTRPRLVAVQQMSYNQAMFAFAPYSPYWREMRKIATLELLSNRRIELLSHVRVSEVETSIKELYKLWNDKRNHSDQVQVEMMQWFGELTLNVILRIIAGKRNYDNACEADQEEAQRWLKAMREFFHLMGLFVVGDSIPWLRWLDLGGHEKAMRANAKELDTILGEWLDEHRKKRASGETTVDQDFINIMLSVLDGIKIIEYNTDTIIKSTLLILVAAAIDTTTATLTWAICFLLNNPCVLKEAQNELEIQVGKERIVKESDISNLVYIQAIVKETLRLHPAAPLSGPREFTEDCIIDGYQIQKGTRLITNLWKIHTDPSIWSDPLEFKPERFLTTHKDVDVRGHDFELIPFGSGRRICPGISFALHTIHLALARFLQSFEISKTSDEPIDMTEIFGLTNMKATPLEVLIKPRLTSNLYG >OIV97649 pep chromosome:LupAngTanjil_v1.0:LG14:15533383:15543673:-1 gene:TanjilG_12406 transcript:OIV97649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGEDCCVKVAVHARPLVADEKLQGCKDCVAVVPGKPQVQLGSHSFTFDHVYGSTGSPSCAMFEECVAPLVDGLFQGYNATVLAYGQTGSGKTYTMGTGFKDGYQSGIIPQVMNVLFNKIGTLKHQTEFQLHVSFIEILKEEVRDLLDPSSMSKLDTANGHVGKVTSPGKPPIQIRETSNGVITLAGSTEVSVATLKEMAACLEQGSMSRATGSTNMNNQSSRSHAIFTITLEQMRKINIPNGSSLNDTMSEEYLCAKLHLVDLAGSERAKRTGSDGLRFKEGVHINKGLLALGNVISALGDEKKRKEGLHVPYRDSKLTRLLQDSLGGNSRTVMIACISPADINAEETLNTLKYANRARNIQNKPVINRDPMSNEMLKMQQQLEYLQAELCARGGGSSEEVQVLKERIAWLEAVNENLCRELHEYRSRCSVVEQCDKDVYDGSTCITKTDGLKRSLPITRPDYPMNETAGDSREIEEVAKEWEHTFLQNSMDRELHELNKRLELKESEMKLFGAPDAELLKQHFGRKIMELEDEKRVVQRERDCLLAEVENLAANSDGQTQKLEDIHAHKLKGLEAQIMDLKKKQESQVQLMKQKQKSDEAAKRLQDEIQSIKAQKVQLQQRIKQEAEQFRLWKASREKELLQLRKEGRRSEYERHKLQALNQRQKMVLHRKTEEAAMATKRLKELLEARKTSSRDTLVTMNGCGTNGQNNEKSLLRWLDHELEVMIKEHEVRFEYEKQSQVRAVLAEELAMLKQVNEFAAKGLSPPRGKNGFARASSMSPNARMARIASLENMLSISSNSLVSMASQLSEAEERERAFTNRGRWNQLRSMGEAKNLLQYLFDSVADARCQLWEMDMEIREMKDQIKELVGLLRQSEMKRMEAEKDLKLREQAVANIMAKPASGNSPNSLKHYAEDMNGSLSPMSVPIPKQLKLMPGIANGLVKKSAAFINQSSRMVPIEQLSMKKLATIGRASGKLWRWKRSHHQWLVQFKWKWQKPWRLSELIRHSDETIMKARPRSQALLRTR >OIV98461 pep chromosome:LupAngTanjil_v1.0:LG14:2529463:2531565:-1 gene:TanjilG_16788 transcript:OIV98461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLVRNISTNPEVRSILELQEIEKILTDVKADDVKVIPVPEHCDWVDFMVVATGRSTWHVKNIAQALIYQAKQKQKGAERMFLPSVEGQAGGKWIIVDSGKVIVHALDEKARAYYNLEGLWTPETLQNDPIDEDLQKALVKVRRINNSKKKPAQRNA >OIV97964 pep chromosome:LupAngTanjil_v1.0:LG14:12457208:12460311:1 gene:TanjilG_12721 transcript:OIV97964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYAKGVMVVADDNDEIDDNPIEQVRLTVSIYDDPTQPVLTFRTWVLGMGSCVLLAFVNEFFGYRTNPLGISSVAAQIVTLPLGKLMAATLPTKSFHVPLTKWSFSLNPGPFSMKEHALITILAGCGSSGVYAIGIVTIVKAFYHRSINPIAAFMLAISTQMLGYGWAGIFRKFLVDSPYMWWPGNLVQDNDIIFAIDKYLLNDHRAFHEKERRPIGGHTRLQFFFLVFIASFAYYIIPGYFFQGLSAISIVCLIWKKSITAQQIGSGMYGLGIGSFSLDWNAVSGFLGSPLAVPGFAIINTLVGFVVILYIVIPIFYWNNIYDAKKFPFISSRTFDSTGARYNVTRILNNKNFDIDMNSYNNYSKLHLSVIFALNYGLSFANLTATLSHVALFHGKKIWSLWKKTTRTTTKGENEGDVHTRIMKKNYEHVPEWWFVTILALMIVMSLVACEGFGKQLQLPWWGFLLSLGIALVFTLPIGVIQATTNMQTGLNVITELIIGFLYPGKPLANVAFKTYGYISMAQALGFLADFKLGHYMKIPPKSMFIAQLVGTIVASTVHFSTAWWLLTSIPNICDETLLPEGSPWTCPNDTVFYNASIIWGVVGPQRMFTKDGVYPELNWFFLIGALAPVPVWLLARKFPNHKWIELINMPIIFGGAGGIPPVRSVNYIMWGIVGIFFNFYVYRKFKAWWARHTYILSAALDAGIAFTGVLLYFALQNYNIYGPQWWGLEADDHCPLAKCPTAPGVVVAGCPVL >OIV97917 pep chromosome:LupAngTanjil_v1.0:LG14:13239768:13240214:-1 gene:TanjilG_12674 transcript:OIV97917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQVKRNNKIAGIGFSSSHRLSLTSKSSTSTSSSNSPIVQYSKLKKLFSSKNLKSTTTPTTTTTATAATTISRYRERKVGKNHRCGRSDENVVSINIENMDPPLPVIKKMNKLEEGSLWQRRSGGHGLKGLQVQQIHHTRICLQPTSV >OIV97585 pep chromosome:LupAngTanjil_v1.0:LG14:15932860:15935835:-1 gene:TanjilG_12342 transcript:OIV97585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLEDFFTLSELNDGLTDPSRVQELVSVMQKEQDCVVKNAGDATRQWAAVASIIAATENKDCLDLFIQLDGLWFINKWLKDTQKVALDANNSFIEESITAMLGAVEQLHLDSQKSISSGIHETASNLLDHHSSRVQNKARVLFDSWKEGGNGDAESCDIAEVKNASSQIIREEGHPTSVTEAGNDDAIASGLVGSEKSLLRSPDNSLPERIDNVQIKSSGNASVECEESKGRSPNYLAIVLSSVQEVGSVHEGLPSCAPDENTPVGTCNLPVPKEGIFEGKPDVVHSSDFAKNEQQEQNVNGPPEKLDAPEICLVSTKLEPEPVSMDASETKAPETLKEPTLKHNVENSELGVCHEIVTSVDVRTPVSDRKSEVDHIVAVSRASENNDDSNSNVLWDSSVGKSELGKTESTSNGYDSNVIAVKGGKGHVSSEGESTSNGYDSNKPGKGSRSPSIVEKKGSTNEFDNGIVDAIEITRQIALEIEREVCSSSSEKIAEGGIRQPGSPDSVKREDEPTLVPPKEVSSRESHSTGVCSDEEQRASNSNNIEVTPECRPNMESMQVTEAAQDSGGNSEKRLCMFDLNEDGSDDMDVSVNAVSTPLPIVSASKPAPNLGLPGAPLQFEGTRGWKGSAATSAFRPASPHSSERNISSDGNSDISKPEQDWLDFDLNVAEGDEGSAKQIDESSCLPSGQLSMEFSPKRSSRLELDLNSIGDDCDAQPSDQRMKGQVFLGRNGYWSPSLASSTALMQPSVRNIDLNDRPCLQTDLVDQGPGQSSHFIDAFGRFKSDAPVISILGAKVEIGRREYVPQTSFLPNGKAMEPAIDLTMTRPGSILGMPPTVSYNHSSVYGYNGVASASIPPLSFSSAMCGSGGMMPYMVDSRGAPVVPQIAAGSSSTLLPSYSQPPFIMNMTGTQLALNGVGPSRPPSLDLNSSFMMEGGGNRDALTARQFFFPGHGTMPQPSTSGVSGKRKEPDSGWESYPFNYKHQQPPWK >OIV98302 pep chromosome:LupAngTanjil_v1.0:LG14:800741:802077:1 gene:TanjilG_16629 transcript:OIV98302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANEQLKPVATLLLGLNFCMYVIVLGIGAWAVNRAIDHGFVIGTGFDLPGHFSPIYFPMGNGATGFFVIFALIAGVVGVGSAIAGLNHIRSWTSESLPSAASVAIIAWSLTLLAMGFACKEIELNIRNARLRTMEAFLIILSATQLFYIAAIHGAATYRRSI >OIV98447 pep chromosome:LupAngTanjil_v1.0:LG14:2416238:2425588:-1 gene:TanjilG_16774 transcript:OIV98447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPEVSIEGSSMIQVAVIPIGSLPPNVVRDYYSMLLPLHTIPLSSIRSFYTQHHKSPFSHQPWDSGSLRFKFVLAGAPPSPWDDFQSHRKTLAVIGILHCPSSPDLDHVMDLFTASCKPYNSSLVHRCFAFSPNDSQLEEGGKKEGNLRLFPPADRATLEFHLNTMMQELAAYLLMEFEKWVLQAESSGTIIKTPLDSQASLSSEEVIKAKKRRLGRAQKTIGDFCLLAGSPVDANAHYSTALELARLTADYFWYAGALEGSVCALLIDRMGQKDSVLEEEVRYRYNSVILNYKKSQDNAQRVSPITFELEATLKLARYLCRRELAKEVVELLTTAADGARSLIDASDKLILYIEIARLYGSLGYQRKAAFFSRQVAQLYLQEENRLAAISAMQVLAMTTKAYHVQSRSSISDNSLHNKGIGSFNADNGKISHQSAVPLFESQWSTLQMVVLREILLSAVRAGDPLTAWSAAARLLRSYYPLITPAGQNGLANALSNSAERLPPGTRCADPALPFIRLHSFPLHPIQMDIVKRNPAREDWWVGSAPSGPFIYTPFSKGEPNNIKKRELIWIVGEPVQVLVELANPCGFDLRVDSIYLSVHSGNFDAFPVSVSLLPNSSKVITLSGIPTSVGPVTIPGCIVHCFGVITEHLFREVDNLLLGAAQGLVLSDPFRCCGSPKLKNVSVPNISVVPSLPLLVSRVVGGDAAIVLYEGEIRDVWITLANAGTVPIEQAHISLSGKNQDSVISYSCETLKSHLPLKPGAEVTFPVTLKAWQVGLVDADTGAGKTFSGTNMRRSKDGSSPSLLIHYAGPLKSSGDPPTDGSNVPPGRRLVVPLQICVLQGLSFVKAQLLSMEFPANVGENLPKLDGVNNVFNDGLVDSETKMDRLVKIDPFRGSWGLRFLELELSNPTDVAFEIDVSVKLENSSSEDNHFSDQDATEYGYPKTRIDRDCSSRVLVPLEHFKLPVLDDSFFIKDTRADGISGGRNASFSEKNTKAELNACIKNLISRIKVQWHSGRNSSGELNIKDAIQAALQTSVMDVLLPDPLTFGFRLVRNGFKSEKPDPEIESKNVESPAASKGSVLAHEMTPMEVLVRNNTKDVIKMSLNITCRDVAGENCVEGTKATVLWTGVLSDITMEIPPLQQIKHSFCLHFLVPGEYTLVAAAVIDDASDILRARARTSSAAEPIFCRGPPYHVRVLGTA >OIV98074 pep chromosome:LupAngTanjil_v1.0:LG14:10561463:10561900:-1 gene:TanjilG_09567 transcript:OIV98074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQVQRIEEKPHMYHTTYIGFHTCKDTLMTPQMVTYSDTLDSFLVNSNSDSKVPNEKDAPFCSQIIKQEYPKEDIPNDLKDNLDPTLWSDLKDLELYKPAIVLSKVDNADTVYSCTDDSQSLDLDFGVFPSHFSTDFHFDESHLL >OIV98415 pep chromosome:LupAngTanjil_v1.0:LG14:2070056:2070712:-1 gene:TanjilG_16742 transcript:OIV98415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSSLQYERFNPNTDATASAAIATGINCRRQPQTPPPEAAARRLTVPLSLKSLADTVACSHAHVVGPNQCCSVVTQHISAPISAVWSVVRRFDNPQGYKNFVKTCHVVAGGDGVRVGTVREVTVVSGLPAASSTERLEILDDERHVISFSVVGGDHRLRNYRSVTTLHADSEGNGTLVVESYVVDVPQGNTKEETCAFVDTIVRCNLQSLAQIPHKNH >OIV97830 pep chromosome:LupAngTanjil_v1.0:LG14:14137533:14139796:-1 gene:TanjilG_12587 transcript:OIV97830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFRRTLSPAFHDRHHHNGVVSISSPSHKLFSPNNSKYTFPLQSLFTRVAGAFSRRYGRKSQFRKTLFRCLLFFFVGFLLGMFPFSHVAVDEDIKITPPRATINNNIKAQLPPEDVTGFTIDPLSLTVENHNSEAVTNFRFDFVPRKQLIVVTPTYNRAFQAYFLNRLGQVLRLVPPPVLWIVVEMYPASTETAELLRKTGVMYRHLGCTKSYTDVKDREVHQRNTALEHIENHRLNGIVYFADDDNIYSLELFETMRHISGFGTWPVAMLAPSKNKVILEGPVCNGSQVIGWHTNETSKKFRRFHVDMSGYAFNSTTLWDPKTWRRPTSNPIRQLDTVKEGFQETTFIEQLVEDESHMEGSPPGCSKVMNWHLHLDSHNVVYPKGWLLPKNLDAVIPAK >OIV98487 pep chromosome:LupAngTanjil_v1.0:LG14:523113:525195:-1 gene:TanjilG_18771 transcript:OIV98487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHIIEKYERATGTPIMEQDHFGDMFNEMAMLRQETLRLELGIQRYLGDDINCLQYEDLTKLEEELEKSVAKVRDRQNELVQQQMDNLQRKERILEDENSNLSNWEQRAVLEFQQAAVEANKPVQHQLMDHFSFFEDQPASTLLQLAAPVLPHLHPYLQLAQPNIHHSPEP >OIV97882 pep chromosome:LupAngTanjil_v1.0:LG14:13605140:13606508:1 gene:TanjilG_12639 transcript:OIV97882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAPSPREEYVYLAKLSEQAERYEEMVEFMEKVSAAADNEELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEESRGNDDHVSIIRDYRSKIESELSNICDGILKLLDSRLIPSASSGDSKVFYLKMKGDYHRYLAEFKTGAERKDAAESTLGAYKSAQDIANTELPPTHPIRLGLALNFSVFYYEILNSPDRACSLAKQAFDEAIAELDTLGEESYKDSTLIMQLLRDNLTLWTSDIQDDGADEIKDAAPKPDEQQ >OIV97593 pep chromosome:LupAngTanjil_v1.0:LG14:15893642:15895150:-1 gene:TanjilG_12350 transcript:OIV97593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKEENVIMLRKAEIDTRAPFRSVKEAVSLFGDKVLAGELYANANKLKQEELQRTKEELQQLKQRETINHHHHHHSVESEIEDVKFVENLTTFESKSCRFNDEEFHKKRYVTFANQPSMSHVMIPPHHALGFEKLERHASLRNKNKKKSFIPLIGSIFSRKKGSHQVP >OIV97868 pep chromosome:LupAngTanjil_v1.0:LG14:13723155:13733816:1 gene:TanjilG_12625 transcript:OIV97868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKKRKGSEADASSDLPDIVRSNHGSTTSSKLKSHYSLEDCLRLKKRCKEDADTELTGSYKSRLAGIVTAPLCGTSSLNTPKRGIKRNIGCIEVATQTGRKKKIEDDYVSGETIGQGKFGSVLLCRSRVSGAECACKTLKKGEETVHREVEIMQHLSGHSGVVTLQAVYEEAECFHLVMELCSGGRLIDQMVKEGPYSEQRAANVLKEVMLVIKYCHDMGVVHRDIKPENILLTASGKIKLADFGLAMRISEGQNLTGLAGSPAYVAPEVLSGRYSEKVDIWSAGVLLHAMLVGGLPFQGDSLDAVFEAIKNVKLDFQTGMWESISKPARDLVGRMLSRDVSTRISADEVLRHPWILFYTARTLGTLPIRTKLKHQNSATCSRQIFATPESRLGGSRVDDNGSISKVSSSESCNSDDEEDECVSIDALATAISHVILKPQFRALSTKFSGTQQAMASFDSFSYLTQREAVEVDESLMGPLGFSVDQLMELAGLSVATSIAEVYKPSDYSRVLTICGPGNNGGDGLVAARHLHHFGYKPLVCYPKRTQKPLYAGLVTQLEALSIPFMSVEDLPSDLSDDFDVVVDAMFGFSFHGSPRPPFDDLVNRLVSLHNQISQKRSVIISIDIPSGWHVEEGDVDGTGIKPDMLVSLTAPKLCAKKFIGPHHFLGGRFVPPAIAEKYKLVLPPYPGTSMCVRIGKPPQVDISALRENYISPEFLEEQVEADPINQFHKWFDDALAAGLKEPNAMALSTAGKDGKPSSRMVLLKGVDKDGFVWYTNYESQKARELSENPHASLLFYWDGLNRQVRVEGSVRKVSDEESEQYFHSRPRGSQIGAIVSKQSSVVSGRHVLHQEYKELEQKYADQSLIPKPKNWGGYRLIPNAFEFWQGQKSRLHDRLRYSPHDVNDQRLWKVERLAP >OIV98041 pep chromosome:LupAngTanjil_v1.0:LG14:9981408:9982881:-1 gene:TanjilG_09534 transcript:OIV98041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTMARAKLLMCALLYLILVQLQGTQATIEGLGVNWGALASHPMEPNIVVNMLKSNGIKKVKLFDSDSWTVSAFSNTDIEVMVGIPNDQLSKFAGSSGDAEDWVKANLTKHFGDGGVNIRYVSVGNEPFLSSYNGSFVKTTFPAMQNIQKAIDKAGLGDKVKVTTAMNADVYESSSNKPSDGEFKSQSYDEMIKIVGFLNEKKAPFVVNIYPFLNIYQNKDFPEELAFFDGGGRTIDDKNVQYSNVFDANLDTLIWSLKKAGYPNVEIMVGEVGWPTDGHISANPKNAKRFYQGFLKKMASKKGSPLHPEPFDVYLFSLFDEDLKSIAPGNFERHWGIFRHDGRSKFPIDFSGQGQEKWPEEAQGVRYQENKWCVLNSDVKNMSLVPAALDYACAVADCTSLGQETKVLRHVISMDWEVL >OIV98204 pep chromosome:LupAngTanjil_v1.0:LG14:6422209:6431024:-1 gene:TanjilG_28717 transcript:OIV98204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQLRLLRLCVLCCVFLVLCSFNKCAGSDVYVKFLKAPHAFSHLNSANFAFAVLDSGNGVPCSNCSLSCKLDDGIQSVCRNRKISYTNLRDGNHTFEVCNNGTQGLGCASYNWTIDTIPPTANVTASTSFTSSLNVSVNISFSEPCIGGGGFGCKSVNACNLLVYGAGEVIPSSFSILQPNLKYSLLVRLSSSVQYGRAILVMDRNFCTDIAGNSFTRKPNSSVYIHFDRRKVYVDLRTHIPERLLQLNSETRTVQATNKYNKLQVYLYFSVPVLNSYLEIMNSLNISQGSLLPTNAETLQNRRFGFMIANISSTAIISVDFNSESILSRQGSQVSPVAPVTFLYDSKRPTVMLSTYSMRTREHNLHILITFAKPVFGFNTSFISISGGLLISFHQIRRSTYIIELQADDDIVFVSVPENVTRDVAGNKNLASNVLQVRHYSIPLVAAVISAFAAACFVLTSLAAGFLTISTASLQSFDTFMRSSSFLIVDPARSLFRILCYIQVFALSRWLTVKLPVEFYEFARHLRWTVPYFCVPWETGHMDLFMVGSIPFGSSNDFTKASATTPMKLLEKKMNFAASVYGLPLTSSEYQQYFESQNMKPEAEYILDSQHSSGWTDFSRSMLWLAVICGGLMVLHAFLLIILKFGKRNSENHRKYGALTFPRFEIFLIFLALPSICKASAVLIRGGAPSAMAVGIILLVFVFIMLLALFMFLSVGITLGKLLQYKEVHQEGLKFHWYQELVRVTLGPGKRGQWTWKEHPKSIYLTIFGPLFEDLRGPPKYMLSQISGGKLPSSGSIIASDDENEDAEAPFIQKLFGILRIYYELLETVRRVLLGILAGVFVKTQTSKTPVIIMLSITSFQLFFIVLKKPFIRKKVQLVEIISLTCQVALFATFFILLKNDFSVRTETNFGIFLLVLFIVGYCAHITNEWYALYRQTKLLDPEEKSFLTGLKIASIGFLLYFIPKKCIKNLENKLPQNGHANDDTRDTTLRTERLRGSGSSSSGTPDKPWLKQLQEIAKASFGREKSGTINDPSTSGTTRWSEFWGTKRSGSSSSDFKSSKQSSLYKDLEAIFASK >OIV98141 pep chromosome:LupAngTanjil_v1.0:LG14:8568003:8568479:1 gene:TanjilG_12177 transcript:OIV98141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFRVLNWDTPFYNNLHNLMDLRDETPSNKNVSVPTQTYMRDAKAMAATAADIKEYTNSYVFVIDMPGLKRGDITVQVEDDNVLLISGERKREEEKDGVKYLRMERRVGKFMRKFVLPENANTDAVSAVCQDGVLSVTVQKLPPPQPKKPRTIEVKVV >OIV98272 pep chromosome:LupAngTanjil_v1.0:LG14:3317719:3319068:1 gene:TanjilG_09906 transcript:OIV98272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEGLSFVIARDLPSSCEQETEWIYNSLSVMELTNNKRRPELEEEAVLRKSCKLSNAPKEGEESKTKMTILDLSRSLTLNLNQGNDHNHARYQSDSSSLIHQLGRDISINCLLRCSRSDYGSIALLNQSFRSLIQTGEIYRLRRQVGMIEHWVYFSCNLPEWEAFDPNRGRWMRLPRMPSNEWFICSDKESLAVGTELLVFGKEILCPVVNRYSILTNAWSSGMEMNVPRCLFGSASFREVAILAGGSDPHGNILSSAELYNSETGIWELLPNMNKARKMSSGVFIDGKFYVIGGIGVGNSKQLTCGEEFDLRTRKWREIPNMFPRRNRGSGVTEVPAAAEAPPLVAVVNNVLYAADYAQQEVRRYYKDSNSWVTIGRLPERVASMNGWGLAFRACGNRLIVVGGPRAMDGGRVIEVNACVPGDGALQWNMLANKQSGNFVYNCAVMGC >OIV98421 pep chromosome:LupAngTanjil_v1.0:LG14:2151580:2155562:1 gene:TanjilG_16748 transcript:OIV98421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDHSDSSPLVPPKPITDPSEIDLEAGPTEQIQCRICLETDGRDFIAPCMCKGTSKYVHRECLDQWRAVKEGFAFAHCTTCKAPYHLRVHVAADRKWRTLKFRFFVTRDIFFIFIAVQLVISSLAYLVYLIDAYQQSWLRILWGFDSEISFYYICGALLFFALLGLSGCFITCYDRRIRNDLAQPCRELCLCCCQPGVCADCHLPGTLCMWTDCTTCFESCGTMATECGGCLGGAGEAGLPLMFIMALIVLGLFTVIGIFYSVLVATMVGQRIWQRHYHILAKRMLTKEYVVEDVDGEMTGSDWSPPPLPPEHVQQLKTLGLL >OIV97803 pep chromosome:LupAngTanjil_v1.0:LG14:14404201:14407186:-1 gene:TanjilG_12560 transcript:OIV97803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQKKLFLFRLKTNRLIWLIGITVAAIVVYRYFQFPYGNNTLPNGFYVDKIAKSGSSGFQAADLPFEPEIFNNVTIFNQANYTGAHGPETVTKTKTSEKKDTISTPGFVSESEIASNKSSGFHESDERSLVDSVRLSGDKSTIEKAKNLGPSSYNNTTGANLTKEVDISSGSEHKLAAPPDFTPSISPSTEVSTNFTPPVLSNGPNKTDSVNGERFKTSKGDVNILGKNSSTTILPKENKDSNIPVPEVTSISEMNKLLLQYHASNRSMRPRWSSAADQELLQARSEIENAPVVENVENLYAPLYRNVSTFKRSYELMEQTLKVYVYREGSKPVMHSPHLLGIYASEGWFMRLMEVDKRFVTKDPKKAHLFYLPFSTRMLEHTLYVKNSPSYSNMIEYLHNYVDLIAGKHPYWNRTEGADHFLVACHDWAPSETQDHFANCIRALCNADVKEGFVFGKDVSLPETKVYSAQNPTKRVGGQPASRRTTLAFFAGGMHGYVRPILMKHWENKDPDMKIFRNLPRSRANRIYVDYMKSSKYCICAKGYEVNSPRVVEAIFYECVPVIISDNFVPPFFEILNWESFAVIIPEKDIPNLKSILLSIPEKRYLEMQMMVKKVQQHFLWHRNPVKYDIFHMILHSIWYNRVFTAIAR >OIV97650 pep chromosome:LupAngTanjil_v1.0:LG14:15528399:15532024:1 gene:TanjilG_12407 transcript:OIV97650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTHTVLLLFLFSNAYLSFSLSPSQPDSRALLAFKSKADLNNILQYHSSPNSTTLSFCHWQGVQCNHHKVTRLILQHLDLCGVFGPNTLSRLDQLRVLSLQNNSLTGPIPDLTSLYNLKSLFLHNNRFTGLLPPSLFSLHRLRTVDFSYNNFSGPISTGFINLDRLIYLRLSYNRFSGSVPPLNQSSLKTFDVSGNNLTGAIPVTLTLFRFEPSSFSSNPGLCGEIVHKECHPTAPFFSNTTSSSSPAAAETLSQSAQMHGGVNGLIRQPYSKKHTRKSVIIGLSTGIFVLIISLVFFVATVMKNRKKKRGSGLDDLNSDAMAKEEVAKVMQIEHERELEEKVKRVEVAKSGNLVFCAGEVHVYTVEQLMKGSAELLGRGNLGTTYKAVLDNRLIVTVKRLDALKMAAGANKEVFQRHMESVGGLRHPNLVPLRAYFQAKEERLIIYDYQPNGSLFSLIHGSRSSRARPLHWTSCLKIAEDVAQGLSYIHQAWRLVHGNLKSSNVLLGADFEACITDYCLTVLANPSSFDEGADSAAYRAPETRNPNHQPTPKSDVYAYGILLLELLTGKSPSELPFLAPGDMLSWVRSIRDDNVGEDNRMDMLLQVATTCSLTSPEQRPTMWQVLKMLQEIKQIVLLEDSDLELRTNVIP >OIV97617 pep chromosome:LupAngTanjil_v1.0:LG14:15733270:15741594:-1 gene:TanjilG_12374 transcript:OIV97617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCLKVVGLCSVPPMIGSMNATCIRTQFLGIAPSKAKPNLSTRKCNTVHCSFNSSSNGSGSMPQNFNEKDDDYVNSSVVEAVEVKSGADGFMMKMRDGRQLRCIHNNPHGGILPDYAPHPAIVLKMEDGTGLLLPIIVLEMPSVLLMAAVRNVQIARPTLYQVVKEMVDKMGYEVGNEAEFMSFDLRPSDAINIAVRCKVPIQVNKYLAHSDGMRAIESGRLLTNSPGSDGPLITEMDRPSGKPCTETKEFNLLHKMLKAVVEERYQDAGFEEFSGHNERREQKSDFGNLEDEKCTRIGSLKKKAMNASTKFRHSLNKKRSRKSGSLSNSLSIEDIRDVEELQAVDAFRQALMLDNLLPPRHDDYHMLLRFLKARKFDVEKAKHMWANMIQWRKEHGTDTILEDFEFMELSEVLQYYPQGHHGLDKEGRPIYIERLGKVDPNKLMQVTTMERYLRYHVQSFEKTFAVKFPACSIAAKRHIDSSTTILDVQGVGFKNLTKSARELIIRLQKIDSDYYPETLSRMFIVNAGPGFKLLWNTVKTFLDPKTTSKIHVLGNKFQSKLLEIIDESELPEFLGGSCTCVDQGGCMISDKGPWQDPTILKMVLSSEVQFSTQTVRASKRRVIGGGKTSYPAIKASDTLNVESGSEVDDITSPKASGTYISSTLTPLHEEAKLVGTGGHAGGSSECDECVPMVDKTVDSGSKRKQESSQNPSGPTEKFLLSDLLWHPRKLFPDGNYYAYIWAVIVAFFLALLTSARTIAFCVTKRIRSMSIDSIPKEEYLQSALKRIGELEDKVDILQLKPTMMPHEKEELLNDAVCRMDALEVELIATKKALYETLIRQEELMAYIDRHKKSKCKVSEDI >OIV97782 pep chromosome:LupAngTanjil_v1.0:LG14:14538792:14541880:1 gene:TanjilG_12539 transcript:OIV97782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNALKPCAEKLQFQNMNTKKRKDIEDSYNHFTSFPIPIPPSTKSRRLDHELYAIIEEEDRDHNVTVMEPTPNEEKSLVIYQPTDIVKSHISTDFSIIVKSDLIPGLKDYLLSWGITKPYNSVEDEMGEKNSEVSNDCLAVVPWVAYRPPMASEEIVPESGQPLEDKQDEMMELDEPYANDNNENPMEISEMVEAAGTSSLWQQQRRQHCMMVNTHKVNMNTKKRKDIEDSYNHFTSFPIPIPPSTKSRRLDHELYAIIEEEDRDHNVTVMEPTPNEEKSLVIYQPTDIVKSHISTDFSIIVKSDLIPGLKDYLLSWGITKPYNSVEDEMGEKNSEVSNDCLAVVPWVAYRPPMASEEIVPESGQPLEDKQDEMMELDEPYANDNNENPMEISEMVEAAGTSSLWQQQRRQHCMMVNHSQNTSTPIHWCYHS >OIV98225 pep chromosome:LupAngTanjil_v1.0:LG14:5602518:5605934:1 gene:TanjilG_18764 transcript:OIV98225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIMDHDMPEMVVFIQEDNDESIKDITVDKGQSQEGKCILEDCEFHHNIIPCLFDPNMNRSSGSNLQTTEIMSPNSNGSKYVSQSPFIKDGMKNYDCDYIELMLEAEVDIDSREEGSTNYARKEISSQTCREVFRKEPDISRSIKNGQINSYLGSIDSIVDFAHCADYLQVTDGTNMSMPKMGNPQNLTSSGTSQVDYPENTSSCVICPIATPTSYIGHAKTSSGASLQSDDSTSSTHSFAFPM >OIV97943 pep chromosome:LupAngTanjil_v1.0:LG14:12847647:12849625:-1 gene:TanjilG_12700 transcript:OIV97943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDLTRVFGINPVHCVSKAYKSIIRKWFVRKKSSFSKEDKQKRQSQTQQEPVEHNKAGKTMNGIHSCEFNGDPLRGNDPTSSRRGGICRPRRLDGSCFPCIKDNMSRNENRKNHTPPRKSRVSYDSMPSPSSSWNNNSGSLKPPHLQSRTASRKSNPIMYSNSSGMLKPPPIEKKLECTLEELCYGCQKKIKITRDVLTDIGGIVQEEELLTINVQPGWKKGTKITFEGKGNERPGAYREDIIFSVSEKRHQLFRREGDGLELGVEIPLVKALTGCTISVPLLGGDKISLKVDEIIYPGYEKIISGQGMPIPKEAGLRGDLKVTFLVEFPTQLTHDQRYKVFSILQDSC >OIV98240 pep chromosome:LupAngTanjil_v1.0:LG14:5323345:5324241:-1 gene:TanjilG_09892 transcript:OIV98240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLSAILVMFVLVLVQASARDVPGGGGHDEETMAVHASALHETSNGLDKKTHFIFGGVGGFAGMGGFGNYGGIGGGIGKFVGIGGGIENFGGIGGGMGKIGGGIGNVGTIGGYPHIGGTVGGLGGAGGVGGLVGAPGGGDGGIGSGVGGLGGPGGVGGIGGNGGGAGGVGSAGGLGGGGSLGGGPGGVGGIGSNGGGAGSLGGGGPGYVGGIGSNGGGAGSLGGGGGSPSGVGGIGSNGGGAGSVSGEGGGPGGVGGIDSNGGGGGAGSLGGGPGSVGGLGCGPEVDAGVFHNCHDP >OIV98213 pep chromosome:LupAngTanjil_v1.0:LG14:5895219:5919112:-1 gene:TanjilG_18752 transcript:OIV98213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAEVKIWNPVEIAEQARKDYASQIHASHLTIDPSLSFPHMQPLIIKLCKDIFNSWSNLDDSCFQVEKISGGITNLLLKVSVKQENCIDDTITIRLYGPNTEYIIDRHRELQAVKYLSAAGFGAKWLGIFGNGMVQSFIDAHTLTPSDIRESKIAAKIAMELKRFHHVEIPGSKEPQLWNDIWKFFEKASVLEFDDSEMQKTYETISFTEIRDGIVQLKGLSDHLNSPVIFSHNDLLSGNMMINDEEDKLYFIDYEYASYNYRGFDIANHFAEYAGFECDYNLYPNKNEQYHFFRHYIQPDRPHEVSEKDLETLYVETNTYVLASHLLWALWGLIQAKMSPIDFDYLSYFFLRYNEYKRQKEKCLSLAQSYLSGFKNE >OIV97861 pep chromosome:LupAngTanjil_v1.0:LG14:13823776:13825577:-1 gene:TanjilG_12618 transcript:OIV97861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIDLIDATTMRMETKIFFNNKKNNKPVDLYTESRYLIIRVPNSQFSKICYRLLLLALVFASFQGSLSFVDRYSSSTTQQVVERVHYSSSMDQLLSILFHDLINEGVMKQTQQKAVFISNDKEGEVSQVVNDYNMDLVPLNDIVKQNSVLDNTLDFVFTTNFPESLEFIERTLKTDGVVTILLNNNPSATFYKPENYRIVYKRRFDFIAVALKKTSGVDEVNFAAPRKLFGYASEAKKAALLNLEDVLLEPPRAASGKSRVYLKRTRYLPDLMGDSLESYSRRVFIDVGLREKDRGSESDWFEKNYPTRNKNFEIYKIETTTDEASGKEVPQIGMSDWVRNNVKEEEYVVMKAEAEVVEEMMRNKLIGLVDELFLECKPHGPKQKNSKSRRAYWECLALYGKLRDEGVAVHQWWG >OIV98342 pep chromosome:LupAngTanjil_v1.0:LG14:1227253:1230482:-1 gene:TanjilG_16669 transcript:OIV98342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALCNRVRSGIFNKLQILTSQRSNLQRSLIAPSTSLASRNYADFPGQKEKKVKIPKALYGGSGNYATALYIAAVKANAVEKVESELLTFAEAVKNSVVFSDFTKDPSVAKDIRVKAIQAICGEAKFSEVTKNFLVIVSENLRLKNIDTIAKRFVELSMAYKGEVKATVTTVFPLPAEEEKALKDTLQEILGIGAKVHLEQKIDPSILGGIVLEFNQKVFDMSIKTRAQQMERILREPVNIGSI >OIV98351 pep chromosome:LupAngTanjil_v1.0:LG14:1372875:1373842:1 gene:TanjilG_16678 transcript:OIV98351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGKLSQILVFGSRAAAWATIGSSRTPFDINLKMQSSKGLKSYDLGGVGLGIVVALDEKSSEIGHEVLPKHAVCTTKSNRSRPIPVHNIKNQHGFHQKGVNEIDVGSSEDYTYVTKHVANKTITRVYYDNGGEGGIVRHNNVGVFTRTLPTTIIVEPEPSFPTSDFLSSCHLCRKKLHGKDIYMYRGEKAFCSMECRSREIMMDVCGSDSSMSMNLSNSPYTKDQMFSTGIVAF >OIV98364 pep chromosome:LupAngTanjil_v1.0:LG14:1468510:1479144:1 gene:TanjilG_16691 transcript:OIV98364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGMAKEEESGSPSWGVSFFTQTTEDVARAVAAAMSSPRPSVTYSSKDDQGGSQLKRLQSQVTKMIKGFSHTPEVKYTNYNPEILTSQKRQWAANFQLQNMDHKSWKEPKRLFESVVVVGLHPCCDIQALQRQYIFRKSEGSGKLRSALGYQNPSRVESNIEPQVLFVYPPEKHLPIKDKDLLSFCFPGGLEVRAVERTPSMSELNEILYGQEHLKQRDLSFVFRLQGADNSTLYGCCVLVEELVQKPSGFLSLISDKQSSFSSLRRHILTTQRCYCILSRLPFFELHFGVLNSIFTQERLERLTKSVGDLNLEFIEGGDEQEKSEGLLVNDTLIEDRLDGNQRISQSRLRNSSPEFFQGDDDHTEQQMVNGELYTFNETVQEDNVVPIDPVTERKTAKEESFPTNSEDSDLYVDSFVTNKQSEDRRLPNAILPLLRHCQYESSESSCSFQGSPCEDRSFRSDADDTETEDASISGQDDLNELHDVLEWAKANNCGPLQIIIKYYRLSCPARGSAIRFHPLEHLHPLEYHRPDEAVLDLAGTKGDLKSCGASLELAQVYNSLLAEEEATALSIWAVACICGILRLEHVLTFFAAALLEKQIVVVCSNLGILSASVLSVIPMIRPYRWQSLLMPVLPNDMLGFLDAPVPYIVGIRNKTNEVQSKLTNVVLVDANINQVKSPTIPPLPRHKELISSLRPYHVTLVGESYLGRRRPVYECTEVQVEAAKGFLSVLRSYLDSLCCNIRSHTITNVQSNDDKVSLLLKESFIDSFPYRDRPFMKK >OIV97950 pep chromosome:LupAngTanjil_v1.0:LG14:12769139:12773789:-1 gene:TanjilG_12707 transcript:OIV97950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASASDFALAVPSELESVLRLKTVEYFVTRRPWLDLYGVNVRPVAPFGSASRKPYVDSALIHRCLPDELLFEVFARMTSYDMGRASCVCRKWKYTIRNPVFWRNACLKAWQVSGVVENYKILQSKYDGSWRKMWLLRPRLRTDGLYVSRNTYIRVGVAEWKITNPVHVVCYFRYLRFFPSGRLLYKVNSSQKVKDVVKCMNFRSSKTDSVFGGNYTLSDDKVEAAVLYPGSQPTVLRIRLRLRGTTTGANNRMDLVSLVTSGVDSSEASAYEDDILGVVEGWQDDETHNPDVPAVSHKRGMTPFVFVPFGEVETSVLNLPVEKMDYYVPG >OIV97756 pep chromosome:LupAngTanjil_v1.0:LG14:14784206:14789724:-1 gene:TanjilG_12513 transcript:OIV97756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMAQLESIVNNVDSSGKQMEMGLLGSSVPVSQQQATSNDHVGMSWSQGLPTSIMQSGHRVGAHLNNLGMTHLLLPQKQSLQMGTMPSNMGPQQLLSAPKRKSPMEFSSGSSVSKRVAHVGHRPWLQEVSNTSNKGSMQMQSKSNASKSQHLAAPGKRKTQLESTSGKTGTPRSTNTKNQNAEIKQTSKVQRESSETVRSKMRESLTAALALVSQQDKPVATNSNTLTGASETEDKLKNSSPGTGPASSSIDTALDQKQEISQSVHSSFTAADSVDHVMGEQGKGTTSNEDLSDKCNDYEAGSTNVFNNESILSSMQVLNCDKPDFQSSYTLTTDDVPFSDSFFVKDDLLQGNGLSWVISDMMDMEDPRDCQTAIEQKPEPEELGGGCRETVLLPELLASRIEEELFKLFGGVNKKYKEKGRSLLFNLKDRNNPELRERVMSGEIPPEQLCSMTAEELASKELSQWRIAKAEEHEKMVVLPDSDVDIRRLVKKTHKGEFQVEVEHEDNIPMEEVSGGTTSVARNQRVKKNVEASSSLTQPDLVKGNMSTDGEKNNLQNDNTFSITISSNDGSDPMEGLMTDDALKDPNFLPPIVSLDEFMESLDSEPPFANLPGEPGKVTPISDKDSKVGSKSVSSDLTPAEQVDVNHDKPESEKLQTPGAAEEKRVNSESRGTSSDTIRGESQADMKPTNGHTKERSTDEMKPASSDAELRASQSRSVERFSNNNIYSKTTVPTKDECFWEGVLQVNSNYSVISIFKSGEKTSAKDWPGLLEIKGRVRLDAFEKFLQELRLSRSRAIMVLHFVSKSSSPNTDQSTLREVADSYIVDERVGFAEPVHGVELYFCPPHNKTVEMLSNILPREQTEAVNSIDNGLIGVIVWRKTNLTTSTISPTTSSHHKYTFKRQNSSRRQQDTNVNVNAHPTHNLDPSAETRPMCDDVDDDVPPGFGPPVARIEDDLPEFNFSGSSNPSHVIQKPMGPSIVPYHSVKQSLPSRPAEQMRELVHKYGQNKANVSSVNWQDKFGGTIQPWNDDDDDDIPEWKPQNSQNQIPTQMSMQNFHLRPQMMDQSFPTSHQQPNIPTQYLQPPMNVTHGHGQSNFGTPWNPSAQGSNLQPSVGHPYGVPRQGQGTTWPQNVSRSRGF >OIV98098 pep chromosome:LupAngTanjil_v1.0:LG14:9642338:9644245:-1 gene:TanjilG_25963 transcript:OIV98098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNDHCDSSLLLSLPDDVFAIVARFLSPRDVCNLGLCCRSLNALVASEKIWLTECDALGIVPHEDLVEWRKGVSSYKALCRFLVSVQPLIGIWVHQNPELGNVVYVMPGFISVVGCRIIPQELGPLGIEEGPILWASVFEVIGDFDGSATFLLHGREKEIDYIYPGLVKPIEKSCNLLLLEVEPELQKNPGTLLPSKTLAHNSGKELLRKVCRSNSELSRSQRVNNEATVSFSKLAFTDRRKLLEVTTSQIRQKVPDTVVEPLFPRLRDDENNFKKDLVFLWERRSLLSEMFNLGYSQIDYKASSQEEVSSKQLELDDIRKSLVYSRPIYNPLQEVDDRTQHIKRKSIGGYFWNSFKQILGRSNSINGSHSNSNKLTSSSEIRHAQLQEFLRSSDTIRLLLNASTVELFSYRAWPSMHDNQFALYKLPLRVPREDQEYAGLWGGTFGWPPGKPSEDKPGKALFLLLLSYEESQGQQLVIATKILEGTHYVLHPNGSAMFIVNINEPSSESFPWDTDADSNPVDIKDAFMGEGIASGYGFRYPGSKPGSLFVFQNGVIAFIWKESRAILTLQRINLQELLKKGERIPSLPPVANFSYLTKSYSNVFAGFPSSSNCLSSPRFASTLTCLLTSLAFSP >OIV97786 pep chromosome:LupAngTanjil_v1.0:LG14:14514898:14515650:-1 gene:TanjilG_12543 transcript:OIV97786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPETGVKSTFSKSTGLPRKRFYRARAHSNPLSDSHFPVPISPSHVDYSIHFPQIFPDSSKKIQFADVGCGFGGLLISLATLFPETLMVGMELRDKVSEYVKERILSLRVANPGQYQNVSVVRTNSMKYIPNYFEKGQLSKMFFLFPDPHFKEKNHRRRVISPFLLDEYAYVLGVGGIIYTITDVEELGDWMKSCLENHPMFEALTEKELEADPVVKLLSSATEEGQKVARNEGQTFQAVYRRIVLTEQTS >OIV98271 pep chromosome:LupAngTanjil_v1.0:LG14:3202465:3205218:-1 gene:TanjilG_09905 transcript:OIV98271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANNAKVPGRSTRSLAHLGPTVLGYSGEGKHGTLPSPRSEGDIISSQHLKAFTFNYLKYATRNFHPDSLIGEGGFGNVYKGRIDEQLLGASRPGSGIMVAVKKLKPEGFQGHKEWLSEVNHLGQLHHLNLVKLYGYCLDGNNLLLVYEYMPKGSLENHLFCRGAQPLSWATRIKVAIGAAQGLTFLHDSKQQVIYRDFKASNILLDLEFNAKLSDFGLAKAGPTGDCSHVSTRVLGTQGYAAPEYIATGRLTTKCDVYSFGVVLLELLSGRRAIDNTKPGVEQNLVEWSRPYLHDRRKLLRIMDTKLAGQYPKRAAYTTAILALQCTSEAKIRPQMAEVLATLELLPTMRHSISGSPYRSERKSALIPTRDRMSVPSSPMTKSPLRHNHHRYSVDRKSMSSFISDISVRSPLASPTILSPMGMKTI >OIV97658 pep chromosome:LupAngTanjil_v1.0:LG14:15472624:15475762:-1 gene:TanjilG_12415 transcript:OIV97658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFPPLSSSSLVFNEHGSRFSSSSSQNQNPFHNQTDHPLEDVFSLLSLSPFDFSYSPSIGDGFSTLNGSLNNHSFENPTFRTPQRSNLYDQTHDNNAVIGGGLPLLHNCLAEYYTERGCMNLNNRSCGSYAASTSSGSSSRNNNGFLDSEAFVRETGFGGILVDDQFMLGNRLNLNNGMSNQHCLNNLRGRVVLLAKDQSGRRLLISIMKRLKVTSNETSFIFLELLDHVAELMVDPFGNYVIQKLVEICTEEQRAIIILKLIQSNLMLVRICLNTYGTRSVEKLLENITSQQQRSLVLSALSPGAAVLAVDANGHRVLLHCLKNFSPEDNKCLLRVVANHCFRIATDKTGCCVLKECVNYAHGETKKRLISEIIVNAPLLAEDAYGNYVLQHLVSPKTPIVTECLLRHLEGKFLSLSRNKYGSNVVEKFFEEAGDQHRIRIVLELLRDPDFARLLVDPFGNYVIRSAILGSKGEIQDTLLQLIQINSPVMQTNVYGRKLLEKFGSGRLRRSKTSII >OIV98129 pep chromosome:LupAngTanjil_v1.0:LG14:8908181:8908360:1 gene:TanjilG_25994 transcript:OIV98129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMKKITCAVLIAAISMSAAFATDVEVPAPSPDPSSGASNTVVGSLIGASVLSFIALFH >OIV97846 pep chromosome:LupAngTanjil_v1.0:LG14:13955515:13956380:-1 gene:TanjilG_12603 transcript:OIV97846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNSDFLRAIESALGVSLGDSVSDSLVLIVTTSVAVIIGLLVLLWKKSLDRSKELKPVIVPKTFVKDEDDDVDFSDGKTKVTVFFGTQTGTAEGFAKFCLMVRVLIPGYGEANYIATAL >OIV98003 pep chromosome:LupAngTanjil_v1.0:LG14:11347086:11349137:1 gene:TanjilG_26634 transcript:OIV98003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDCNKDEAVRAKEIAERKFSEREYVGAKKFALKALNLYPELEDLSQFLTTIDIYISAETKISGDLDWYGILGVSPFADDETVRKQYRKLALILHPDKNKTLGAEGAFKLVSEAWSLLSDKTKRLAYNQKRTGNNPNHARSQSDAPGSNGIYNFKKNVTSNVRTGTNARAPSAPAPPPQKRGETFWTICNGCKTHYEYLRLYLNHTLLCPNCNEAFMAVERGPPPNVFKSPNWSSQQNQHTRHHAGTNNTNAQWDSHRSSSVAAQIVQQASEKVKREGAPSLSEWERKRTDGLKKRRTDDIHINGYQGHMANHMGMGHGAAGVGSFSEPGKANMGIQRNYGFSGVTGKHYSTRELSMFELRNMLMDKAKIDIRKKLQQWRSVAEAKDTNKDRGNKRQKSALNDKASSEKHAESTDNGHRRLVIDSFPVTSDNADKKSQAYITINVPDPDFHNFDLDRSENAFEDDQVWAAYDDDDGMPRYYARIHKVISTKPFRMQISWLNSRSNSELGPIDWIGSGFYKTCGDFRAGKHEPSESLNSFSHKVRWTKGNRGVIHIFPGKGEVWALYRNWSSDWDEHTPDEVIHKYDMVEVLEDFNEEQGIVVTPLVKVAGFRTVFQRHMDPDKVRRIRKEEMFRFSHQVPNYLLTGQEAPNAPKGCRELDPAATPLELLQDRTEADEASSDNA >OIV98445 pep chromosome:LupAngTanjil_v1.0:LG14:2402908:2410682:1 gene:TanjilG_16772 transcript:OIV98445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWVFYLISLPLTTAMVLLTLRYFAAPHVPRYVLFTVGYTWFSSVSIIILVPADIWADPPLGASIWAVVPLIQGFEDAGDFTVSERLKTSVHVNLVFYLIVGSIGLFGLILLILMHKTWSGSLLGFAMACSNTFGLVTGAFLLGFGLSEIPKSIWQNADWTTRQKVLSHKISQMAVKLDDAHQELSNAIVVAQATSKQMSKRDPLRPYMNIIDDMLTQMFREDPSFKPQGGRLGENDMDYDTDEKSMATLRRHLRGASEEYYRYKSEYTTYVLQALELEDTIKNYERRNSSGWEFNSSIRSPRGGKLGSLLDTLEFFWRCILRKQVEKGLAVILGIISVAILLAEATLLPSVDLSLFSILIKSVGTQEVFVQVFAFIPLVYMCICTYYSLFKIGMLMFYSLTPRQTSSVNLLMICSMVARYAPPISYNFLNLIRLGPHKTTIFEQRMGNIDNAVPFFGDKFNRIYPLIMVIYTALVASNFFDRVFDFMGSWKRYIFKTEAEDMDGFDPSGLIILQRGMKCGIPVLLKLIVQVQRSCLEQGHKIGEQVVPLARNFNGVDIEPGNNFMETNGVEMKTTSNLITEEPYGSMSKHLKEETNRQGSSREAISNKYAAIREQSSRPAEKNVPYANSMLDEGNTSSSNTAVMPSSGLASTWLNMKNGFQNFKANVGAKKFLPIRQTLEDKISPASSSESLDAIFQRLKKPSSDQVIYHDED >OIV97533 pep chromosome:LupAngTanjil_v1.0:LG14:16209615:16214296:-1 gene:TanjilG_12290 transcript:OIV97533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIKSSLSSVETGLCRASDDGKVVLCDRVPSGQTWKVYLKRNKYHLDWSTKSQLMEENERNADDPYSSNCLSSSGGPSPVSIMTENTAPQMVYRRKKLRNSTTSPLKLGLTNVQTSGNCPSVISSFVHLPSADQPVGFQAKHENEMIKDSTMPSVLCDGVAKEKMQNILVIASVNDSCSSSKSNMELVLDSIDTEMDETGECSSSSVIVMDVTREDVTEKDFCINILRIHGLLGGDSLADSVASMEDAVTTGNSYCSRSCKTCGHLDHSLNMLLCDHCEDAYHPACYNSRLKKLLVDEWFCHSCLKKRQKILKETIIRSPGIHSEMGKCRSASVNPELNHILLMLRDTKSYTTSVRVGKGFQVQVIDWSGPIRSDDDELLEPFEVNPSEFDRLQEGNLRNPTRLSSISNWLQCREVTDRTKGTICGKWRRAPLFEVQTEDWECFCAIHWDPSHADCAVPQELETDQVMKQLKYIETKSLKRSLNSKVEIESM >OIV97921 pep chromosome:LupAngTanjil_v1.0:LG14:13203330:13204226:-1 gene:TanjilG_12678 transcript:OIV97921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKVRKERCKSHSRGHRATPSLLSCARNNLKDVNVKKSFSKASEKKDWEDAMCSVCMEVPHNAILLLCSSYNKGCRPYMCATSHRYSNCFEQYKKAYTKAISVRSSQLDTENSNFELSTGEPNDNTEVPELLCPLCRRQVKGWTVVEAARKSLNAKKRSCVKDDCSFVGNYKQLRKHVRSKHPFSRPREVDPVREEKWKRLECESERNDVISTILSSTPGAMVLGDYVLEPNDHTFYGGEYNFDDVQDDFFSMSSFDNFRLHHVAPRSSAAVAGRRLHRLLIGRARRGRRPGTLNAGR >OIV97632 pep chromosome:LupAngTanjil_v1.0:LG14:15643700:15646265:1 gene:TanjilG_12389 transcript:OIV97632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPPIETHFPTGSRNCAGCNSEIYYGQYLTCFNACWHLQCLLCHACNQPIYDKEFSIFGSYPYRSSCYKDNYLKCDVCMGNVLTNYGGYFGYMTRPFFMQKFCTSHIHDGTPICFSCERMESRERGGYSDLNDGRNLCLECKDSAIMDSKECQNLYIEIQKFYESLHMNLDHKIPLLLVERQELIQVVERINNGNNEIFEPRGICLFSEKVTINTITRGPEFEAGNRASGIRTKPRKLIQRPCGVTAILIVFGLPRLLTGSIIAHEMMHAWLHSDEGYHGLSDEVEEGICQFMAHMWLEAKRPYYEIKLWVFFKFKIEQNTIPAYGDGFRAARRAVYKYDLQQTLQHIRTTGNFPF >OIV97884 pep chromosome:LupAngTanjil_v1.0:LG14:13588702:13590189:1 gene:TanjilG_12641 transcript:OIV97884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGVSDLPNWDELIPDALGVIFTKLSLQERVTVIPRVCKSWAKAVTGPYCWQEIDINEWSNGCKPDKLDRMLEMLITRSCGSLKKLCVSDVQTEKIFTLIAENACSLRTLRLPRCGMSDSIMGQIAGRLSMISFLDVSYCIKIGARALEIAGKNCLVLEGLCRNMHPLDTAGKPLQDDEAYAIASTMPKLKHLELAYHVISTSGVLKILSNCPKLEFLDQRGCWGVTLDNMFVKQKYPKLKVLGPFVLDTYGNDAWDDYSDISDSSEWDFVDGGMGEYDVVDSDSNDGMWDDEGRLDDELQFRFYEGIEDAGMYWPPSP >OIV97852 pep chromosome:LupAngTanjil_v1.0:LG14:13899597:13903173:-1 gene:TanjilG_12609 transcript:OIV97852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCFSTLLEGSYDALRGSPVLLRLIPALGLIAFTVYGLEPLLRLGRILFLQPLLLWSGAMLICRALDPLVLPSEASQVVKQRLLNFGRSLSTVISFAYCLSSLIQQAQKFFMEANDSSDARTMGFDFAGKALYSAVWVAAMSLFMELLGFSTQKWLTAGGLGTVLLTLAGREHVGWWSPTIVRGDDREAVHIPNHKFTVNVVRNLSQKSHWRIKSYIAISHLDVNKINNIVADMRKVLSKNPQVEQQKLHRRVFLENVNPENQALTEAMLLDLLRVVSHHRARLATPIRTVQKFYREADSENIPFGDTIFTRSRAASNRFLLIEPPYKVNADDKVKPSTRPTRTDEEKVAKINETLASDSKGDESSAVTSTSSPGVNSKSVSEAQSQTMGSDSSVEKNPKILQPKKESVGDAGKGASVPVPKNPAQSHVPEVSPVASHESSRETASAISSQPKQDEEKFAVSSPSTRPSLEENILLGVALEGSKRTLPIEEDTPSPILQNRKNCCPTEWEWTSC >OIV98250 pep chromosome:LupAngTanjil_v1.0:LG14:4004998:4005420:1 gene:TanjilG_14839 transcript:OIV98250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIKPSHGPIHFRAPSKIFWRTVRGMIPHKTKRGEHALARLKVYEGIPPPFDKQKRLVVPDALKVLRLQKGHKYCLLGQLSSEVGWNYYDTIKELEKKRKERSQVVYERKKQLNKLRVKAEQVAQEKLGSQLHILALVKY >OIV98327 pep chromosome:LupAngTanjil_v1.0:LG14:1068253:1073697:-1 gene:TanjilG_16654 transcript:OIV98327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTTLQMSPQLEQIHGETRDNFRAIANGFQKLDKIKDSNRQSKQLEELTEKMRECKRLIKEFDREIKDEEGRNPPEVNKQLNDEKQSMIKELNSYVALRKTYMNTLGNKKVELFDMGAGASEPTAQQNVQLASELSNQELINAGTKTMDETDQAIERSKQVVHQTIEVGTQTATTLKGQTEQMGRIVNELDSIQFSIKKASQLVKEIGRQVATDKCIMLFLLLIVCGVIAIIVVKIVNPKNKDIRDIPGLAPPAPSRRLLYVRTGKHFD >OIV97994 pep chromosome:LupAngTanjil_v1.0:LG14:12085193:12093960:1 gene:TanjilG_14094 transcript:OIV97994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPPGPPTPIGGAQSVNASLLRSNSGMLGGQGGQMPSQNSFPSLVSPRTQFNNMNILGNMTNVTSMLNQSFPNVVSNHGLSSSGSTQRGVIDTGAETDPLSGVGNGMNFSNSSSSFVQANAVNGGGSSGQGQGQQFSNPSGNQLLSSQQHSQQLEPQNFQHIQQSVQQFSAPPNTQQQHFQSIPGGIGGMGSVKLEPQVNNDQLGQRQQQQLQSLRSLPPVKLELQQLQTMRGLPPVKMESQHSDQPLFVHQQQQQQQFLHMSRQPSQASAAQFNLLNQHRILQLQQHQQQQLLKGMPQQRPQLPQQFQQQQNMPIRSPVKPAYEPGMCARRLTNYMYQQQHRPEDNNIEFWRKFVAEYFAPNAKKKWCVSLYGSGRQTTGVFPQDVWHCEICNHKPGRGFEATVEVLPRLYKIKYESGTLEELLYVDMPREYHNASGQIVLDYAKAIQESVFEHLRVVRDGQLRIVFSQDLKICSWEFCARRHEELIPRRLLIPQVSQLGAVTQKYQSFTQSATPNISVPELQNNCNMFVSSARQLAKALEVPLVNDLGYTKRYVRCLQISEVVNSMKDLIDYSRETGTGPMESLTKFPRRNSGSSGPRGQAQQHEDQLQQKQQQQQQQQQQHQQMLDHNSNGDQTSSQGTAMQFASKNGMVNVNNSNNTASAPTTTSTIVGLLHQNSMNSRKNSMNNASSPYGGSSVQVPSPGSSNTMPQAQPNSSPFQAPTPSSSNNPQQTSHHSLTSANHMGTTNSPANISMQQHQPSLSGEAGPTDTQSSVQKIIHEMMLSSQMNGTGEMVGAASLGNGMKNVNGVLPTGTNTGRNSGNGLMGNGALNSNSSAGINSYGTMNLGQNVMANGMRAAMGNNSVMNGRGGMAFIARDQAMNHQQDLSNQLLSGLGTVNGFNNLQFDWKPSP >OIV97760 pep chromosome:LupAngTanjil_v1.0:LG14:14716843:14749261:1 gene:TanjilG_12517 transcript:OIV97760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKETEILSRLAVNHLHLAQFEPLRAVLLALRTRNRDLARHFLQTIVSRSARFHNVLYSSSSLSPALLTYLSTLELLQFDHASSAWSFDTETLRLRAEFQLLVQNLIDLVDGDGGGEVRKVLDVVLELGVKRLRVDGEIESVVSVEEGELVCLKKLILDHASVFDALCVNIHRQIRRWECEDSGLAVTVSNEGERGESSGVELSEEEEDVRVLGGIQRINQIVHLDAMKESVNVGDAEGAVSHIRFLHFDYGVDQSEYRIVLKDLLKVVLSRSESFGDSWHIMRNQLLQIYSEALSSDCGNIVQMIQSIHDELLSQEIEMDRVQTENFIPRPLVRFQRYLAELEHGTQIDDKALFFNEAIRSCKTEMYHYARVSGLHVLDCIMDTALSAVKRGHLEEASNVLQLFPQLQPLVAAMGWDLLPGKIAARRKLMQLLWTSMSQAIRLEESSLYGNKSDEVSCVEHLCDTLCYQLDLASFVACVNSGQSWNTKFSVLLSRKKQVAYGDEDRYSDHFVENFVLERLSVQSPLRVLFDVVPGIKFQEAIEMISMQPIASTLEAWKRKQDIELMHMRYALESTVLALGAMERNVSDEIETHGDVPLFHLKDLQNHFDAISNLPRKILMVNVIISLLHMDSVSVNMMHCGAPGSGSKSSNASYWEDGHPIRIEGGNKVVISFTGLLLEILRHNIPSSVIELENMLDEGVSTASRQALEWRISISKRFIEEWEWRLSILQHLLPLSERQWRWKEALTVLRAAPSKLLNLCMQKAKFDIGEEAVHRFSLSVEDKATLELAEWVDSACKKTSVDDVVSRIQDLDFSSLRSQLGPLATILLCIDVAATSAKAAQMSRQLLNQAQTMLSEIYPGGSPKVGSTYWDQILEVGIISVSRRLLKRLHEFLEQDNSPSVQAILSGEIAITSSKESHRQEQRDRALALLHQMIEDAHMGKRQFLSGKLHNLARAVADEETELSTSRGEGLYSGRGVIYNSDKDIVLGLGLRVVKQTPLSSSGEETGLQSAVYDIKDSGKRMFAPLSTKHMTYLSEFILHVAAIGDIVDGTDTTHDFNFFSVVYEWPKDLLTRLVFERGSTDAAGKVAEIMCADFVHEVISACVPPVYPPRSGHGWACIPVVPTFPKSSSENKVLSPSSKDAKPNCFSRSSATPGVSLYPLQLDVVKHLAKISPVRAVLACVFGSSILYSSSSSSISSSLNDELVQAPDTDRLFYEFALDQSERFPTLNRWIQMQTNLHRVSEFAVTANQTNDNDNLEARTSIKRIREHDTETESDVDDIVSSNTIPVTLTDLNNQGVEAADLWHDSSKSEPSQIDTTVFLSFDWDNEEPYEKAVERLIDDGKLMDALALSDRFLRNGASDQLLQLLIERAEEFHSNSTQRQGYGGHNMWGNSWQYCLRLKDKLLAARLALRCLHSWELDAALDVLTMCSCHLLENDSIRKEVLQMKQALQRYSRILSADDHYTSWQQVEADCKEDPEGLALRLAGKGAVSAALEVAESAGLSIDLRRELQGRQLVKLLTADPLNGGGPAEASRFLSSLRDTEDALPVAMGAMQLLPNLRSKQLLVHFFLKRREGNLSDVEVSRLNSWALGLRVLAVLPVPWQQRCSSLHEHPHLILEVLLMRKQLQSAALILKEFPSLRENNVISTYAVKAISVSISSPPREHRVSVSGSRPKQKTRSGAQPRPSFTSSLSNFQKEARRAFSWAPKIAVDKSAPKDVYRKRKSSGLSPSDRVAWEAMTGIQEDRISSFSTDGQERLPSVSIAEEWMLTGDPLKDESIRLSHRYESSPDITLFKAVLALCSDESVSAKNALDLCISQMKNVLSSQQLPENASMETIGRAYHATETFVQGLLYAKSLLRKLTGGNELSSNSERNRDADDTSSDAGSSSIGSQSTDELSEILSQADVWLGRAELLQSLLGSGIAASLDDIADGESAAHLRDRLVVEERYSMAVYTCRKCKIDVFPVWNAWGHALIRMEHYGQARVKFKQALQLHKGDPGPVILEIINTIEGGPPVDVSAVRSMYEHLAKSAPTILDDSLSADSYLNILYMPSTFPRSERSRRSQLSANNNSISSRDFEDGPRSNLDNVRYSECVNYLQEYTRQHLLGFMFRHGHYHDACFLFFPPDGVPPPPQPSILSGVSSSSPQRLDSLATDYGTIDDLCELCIGYAAMPILEEVISTRIASADSQDAVASQYTATALARICLYCETHKHFNYLYRFQVIKKDHVAAGLCCIQLFLNSSSQEEAIRHLEHAKMHFDEGLSARYKGGESTKLVTKGLRGKSASEKLTEEGLVKFSARVSIQVEVVKSFNDSEGPQWKHSLFGNPNEPETFRRRCQIAEVLVEKNFDLAFQLIYEFNLPAVDIYAGVASSLAERKRGGQLTEFFRNIKGTIDDDDWDQVLGAAINVYANKHKERPDRLIDMLTSSHRKVLACVVCGRLKSAFQFASRSGSVADVQYVAHQALHANALPVLDMCKQWLAQYM >OIV97583 pep chromosome:LupAngTanjil_v1.0:LG14:15954196:15956662:1 gene:TanjilG_12340 transcript:OIV97583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAEELGIKDLLPAYLDPNLKPSDLLTGVSFASGASGYDPLTPKIASVISLSDQVEMFKEYIGKVKEIVGEERTKFILSNSLIIVVAGSDDIANTYFLARVRQLQYDIPAYTDLMANSATDFVKELYELGARRIGVLSAPPIGCVPSQRTLAGGILRECPEGHNEAAKLFNSKLSKNLNSLNQNSPNSRIIYIDGLKLQTKVAVAQEN >OIV98294 pep chromosome:LupAngTanjil_v1.0:LG14:727561:729776:1 gene:TanjilG_16621 transcript:OIV98294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICLFVVFLVFVVSSPCLSTQITNQKDSIFNVLHYGALGDGNTDDTHAFKAAWKEACGATKDTPTLLIPIGKTFKVQPVSFEGPCKSQSVHVKLLGTIIAPSKVGNWKWNSNDRDTWIRFYNINGLVIGGGGVIDGQGNTWWSCKSNGNCQKPSGGSGYARKITFKDIILQHVQNPVIITQQYVDNHSFGSSSPKKNKRNYGSSSAVALSDITYNNVRGTSESEEAIQLICDKNVGCTNIVLEEINITSSNGGKTHATCQNAHGTSSTCMPNVDCLH >OIV98361 pep chromosome:LupAngTanjil_v1.0:LG14:1448092:1449807:1 gene:TanjilG_16688 transcript:OIV98361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQLNANYTAHVSSSSLSNKNVSIISDIKTCNDLFAHESYTNKCHFLKENPGCISGNTAADYFCCSLEKLSGLLNLPPTVAGVTLLPLGNGAPDVFSSIAAFMGKGNSGEVGLNSVLGGAVFVTCVVVGVVSLSVSDRGVKIDNKCFIRDICFFLFTILSLAVILIGGKVVVVAAVAFILIYVVYAFSVTANEVSKKHAQKLELSTTPLLLCEEGDDEQMLVEKVPHVQSKVSQWIWTPNVAIYANHSVKYGSEGTKFVWGWIDEELTEQECSYSSFFKHFSFLEVPLTLPRRLTIPIVEEERWSKGYAVASASLSPILLAFLWNTRDNTTSFRDMIVYFASAIIGCVLGILAYVYTRPDKPPQKFLFPWVFGGFLMSIVWFYIIANELVALLLSFGIIFGINPSILGVTILAWGNSMGDLVSNVAMAMNSQDGVQIAMSGCYAGPMFNTLVGLGISLLFGSWSNRPKPFIVPKDGTLFYTMGFLVLALIWSLIMLPRNNMCLNKTMGIGLILLYLVFLFFRITTSIDVVSLGDG >OIV98194 pep chromosome:LupAngTanjil_v1.0:LG14:6868315:6872734:-1 gene:TanjilG_11591 transcript:OIV98194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASCDDDDDFSLLHHHHHQPYPQHHHFSSAASTAVPVQSKSVSVDAEDYENPFGDENIEKRKDREEIGDETTLSYGYNKRSKTNSIVSGDGGSGRSGASGEYRKDREEWSDAAIVCLLEAYTDKFTQLNRGNLRGRDWEEVATVVSDRCENQSKSVEQCKNKVDNLKKRYKLERHRVNSGCISISHWPWFKHMEQIVGNSLPSKFSDEDKAVVVSSAGNSPRQSKRYGVATPSNGAHLNSMKSKPLPNLRWRRVILKISGAALTGSDTCNIDPKVAMLVSREVAIAARLGVEVAIVVGGRNFFCGDAWVTATGLERCTAYQVGMMATMMNSILLQSTLEKLGVQTRVQTTVAMQEFAEPYNRQRAIRHLEKGRVVIFGGIGFGTGNPLFSTDIAAALRASELNAEAVLKGTNIDGVYDCNSRDNNFTFEHISFRELVSRGATSMDMLALTFCEENAIPVVVFNLLEPGNISKALCGEQVGTLIDQTGAIS >OIV97655 pep chromosome:LupAngTanjil_v1.0:LG14:15486531:15486845:-1 gene:TanjilG_12412 transcript:OIV97655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKKGLWIVVIVMFVVSSQLSFVHSRVLRSEALKAEVTEDCEDFITFKSSSPEINSQTNSTGSNSSRGMVSFVVDSNNSSTRPSKRSLSFVLASGPSKRGRGH >OIV98102 pep chromosome:LupAngTanjil_v1.0:LG14:9626140:9630668:-1 gene:TanjilG_25967 transcript:OIV98102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIIIVTPIKELGPLGIEEGPILWASVFEVIGDFDGSATFLLHGREKEIDYIYPGLVKPIEKSCNLLLLEVEPELQKNPGTLLPSKTLAHNSGKELLRKVCRSNSELSRSQRVNNEATVSFSKLAFTDRRKLLEVTTSQIRQKVPDTVVEPLFPRLRDDENNFKKDLVFLWERRSLLSEMFNLGYSQIDYKASSQEEVSSKQLELDDIRKSLVYSRPIYNPLQEVDDRTQHIKRKSIGGYFWNSFKQILGRSNSINGSHSNSNKLTSSSEIRHAQLQEFLRSSDTIRLLLNASTVELFSYRAWPSMHDNQFALYKLPLRVPREDQEYAGLWGGTFGWPPGKPSEDKPGKALFLLLLSYEESQGQQLVIATKILEGTHYVLHPNGSAMFIVNINEPSSESFPWDTDADSNPVDIKDAFMGEGIASGYGFRYPGSKPGSLFVFQNGVIAFIWKESRAILTLQRINLQELLKKGERIPSLPPVANFSYLTKSYSNVFAGFPSSSNCLSSPRFASTLTCLLTSLAFSP >OIV97540 pep chromosome:LupAngTanjil_v1.0:LG14:16184418:16185332:-1 gene:TanjilG_12297 transcript:OIV97540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSYNFHKLKGDKPSMIHKHRLLRKIANLFRYIEFCVVLVLISRLSFQLPVAVKNSSEYLCSFSISPCFVFLIGNVIIISLFAQSGHFTPQSYKRDNHEPDFYQEFIQSSTKYQLIQEEQKKQLAKQSIETEHPEKQNLKTEDSKKSQRINSNLIKHPEKQSNGTKCSEKHVMKEEDRKKSEGINNNLIKCSQKQSMKRITKTEDRVKGVEKQRTKSGETNIVLEVKGYRRCQSEIISMVKSENPCVVLKRCDTEISIEHGERVGRNSYPEDGMSSDQFRCTIEAFIARQKRLREQEQCLALTF >OIV98152 pep chromosome:LupAngTanjil_v1.0:LG14:8384432:8386889:-1 gene:TanjilG_12188 transcript:OIV98152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVVNHEAMKSSKLLSSEQEMSREEVRAKMVLDDPSLLKDNTDVELTKIHLMRAFVESRDPSSKEVDDLTLRRFLCARDFDVEKGSAMFLKYMKWRHSFVPNGFISPSEISDELSQGKMFVQGLDKNNRPITVVFAAKHFQNKDSPDTFKGFVVYALDKLCSRMPPGQEKFLAIADIKGWGYANSDISGYLKALTILQEYHPERLGKLFIVHAPYMFMKVWKVIYPLIDNNTRKKMVFVENKNLKETLVQDIDESQLPEIYGGQMPLVPLQDC >OIV97923 pep chromosome:LupAngTanjil_v1.0:LG14:13149233:13154852:1 gene:TanjilG_12680 transcript:OIV97923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPFCCVSTVSDQCSPVKPSPNPFTDFTATMAPLPSTAAAIRSDAIPRVSHNSHGSILSVDYTRRSQREEKQLPAVVVTDVQINDLVGNGISGILYKWVNYGKGWRPRWFVLQDGVLSYYKIHGPDKIIVNLETEKGSKVIGEESMRRISHNSNSYSYQNRRKPFGEIHLKVSSIRESKSDDKRFSVFTGTKRMHLRAETREDRVAWMEALQAVKDMFPRMSNSELMAPVDNVKVSTEKLRHRLLEEGVSEPAIQDSEQIMRTEFAALQNQLVLLKQKHSILIDTLRQLETEKVDLENTVVDESQRQWNDQEASSTLRHENLSEGTASDSEDDNERHDAAEEDTDDDENAFFDTRDFLSSSSFKSNGSDYRVSSFSSDNEGVYAFESEEDINPSITIAVPNYPHVKRRKKLPDPVEKEKGVSLWSMIKDNIGKDLTKVCLPVYFNEPLSSLQKCCEEMEYSYLLDQAYEWGRRGNSLMRILYVAAFAVSGYASTEGRICKPFNPLLGETYEAKFPDKGLRFFSEKVSHHPMIVACHCEGTGWKFWGDSNLKSKFWGRSIQLDPVGTLTLEFDDGEVFEWSKVTTSIYNLILGKLYCDHYGTMRIQGNQDYSCRLKFKEQSIIDRNPHQVHGVVLDRNGKTAATLFGKWDESMHYVNGDYSGKGKGLESLSEARLLWKRSKPPTDPTRYNFTRFAITLNELTPGLKEKLPPTDSRLRPDQRYLENGEFEMANSEKLRLEQRQRQARKMQERGWQPQWFSKDKASGTYRYIGGYWEAREEGNWDSCPDIFGQILSDHLSDEGQITA >OIV98394 pep chromosome:LupAngTanjil_v1.0:LG14:1831875:1833785:-1 gene:TanjilG_16721 transcript:OIV98394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLISKKFLFQNATVSHSFFSLRHRSSSSSSSSSSEYDVTLKLFDDLIQRILVKKATPDWLPFVPGSSFWVPPRPTPSNVVDLVHKFTNDFSQQESLSLATIQGCPSSKFFIQECESARGGDTGVDLNIPDGMEDTVEVKVLKTPGNVAHSEDEEG >OIV98201 pep chromosome:LupAngTanjil_v1.0:LG14:6654513:6654912:1 gene:TanjilG_28714 transcript:OIV98201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVMIGEDEKEVVGSVVHPTHHHLLKPKNHGAQPRPEEKTLPYLTDRAMKTPPPSAKSRVGHFLEVAGPCSGTHRYLWMATVEGGRESDSLAASARRVITIKAANRR >OIV97863 pep chromosome:LupAngTanjil_v1.0:LG14:13812221:13814428:1 gene:TanjilG_12620 transcript:OIV97863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTLGLSNLRTGLVHSLPLFRSKLGYSTNTVQSHSIRMEANNNTVPSIVVYVTVPNKESGKKLAESIVKEKLAACVNRVPGIESVYQWKGEIQTDSEELLIIKTRQSLLEALTEHVKANHEYDVPEVISLPINGGNLKYLEWIKESTRE >OIV98145 pep chromosome:LupAngTanjil_v1.0:LG14:8473452:8473928:-1 gene:TanjilG_12181 transcript:OIV98145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFRVLNWDTPFYNNLHNLMDLRDETPSNKNVSVPTQTYMRDAKAMAATAADIKEYPNSYVFVIDMPGLKKGDIKVQVEDDNVLLISGERKREEEKDGVKYLRMERRVGKFMRKFVLPENANTDAVSAVCQDGVLSVTVQKLPPPQPKKPKTIEVKVV >OIV97731 pep chromosome:LupAngTanjil_v1.0:LG14:14971491:14975854:1 gene:TanjilG_12488 transcript:OIV97731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFISGMIIGLIIGIGLIIAFARYGTIRSKRRTDLAKTIAAFARMTVEDSRKLLPPKFYPSWVVFTRRQKLTWFNSQLEKIWPYVNEAASDLIRSNVEPILEQYKPVILSSLTFSKLTLGTVAPQFTGISIVEEDSGSNGVTMELEMQWDGNPNIVLDIKTRVGVVLPVQVKNIGFTGVFRLILKPLVDEFPGFGAVCFSLREKRDLDFTLKVIGGDLSSLPGVSDAIEETIRDAIEDSITWPVRKVIPILPGDYSNLELKPVGTLDVKLVQAKDLANKDLIGKSDPFAVLFVRPLRDRTKTSKTINNQLNPVWNEHFEFTIEDSSTQHLTIRIFDDEGIQAAQLIGCAQVPLKDLEPGKVKVVWLKLVKDLEVRRDNKYRGEVHLELLYCPIGDDRNSFKNPFDPDFSLTTFEKTLKSGAEEAHAENLSRSSSLRKRSNVIVRGVLSVTVISAEDLPVVDLMGKADPYVVLNLKKSEKKLKTRVVNESLNPVWNQTFDIVVEDGLHEMLILEVWDHDTFGKVISLCFASV >OIV97749 pep chromosome:LupAngTanjil_v1.0:LG14:14840381:14841553:1 gene:TanjilG_12506 transcript:OIV97749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFMDRSSSTGTFTEGSLEFQRKILERSGLGESTYLPEAVLRVPPNPSIAEARKEAEAVMFGAIDELLDKTKVKPKDIGILIVNCSLFNPTPSLSAMVINRYKLRGNVLSFNLGGMGCSAGLISIDLAKDLLQVHPNSYALVISMENITLNWYFGNDKSMLLSNCLFRMGGAAILLSNRRSDKRRSKYQLVHTVRTNKGADDKCFSCVTQKEDSTGSVGVSLSKDLMAVAGDALKTNITTLGPLVLPMSEQLLFFATLVARKLSKMKVKPYIPDFKLAFDHFCIHAGGRAVLDELEKNLQLTDWHMEPSRMTLYRFGNTSSSSLWFELAYTEAKGRVRKGHRLWQIAFGSGFKCNSAVWKALRKVNSENEKNLWIDEIDQFPVHVPKFSTI >OIV97549 pep chromosome:LupAngTanjil_v1.0:LG14:16147198:16148885:1 gene:TanjilG_12306 transcript:OIV97549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFSSFSITLLLACSICLFPSLASARDFSILGYSSEDLNSMDNLIQLFQSWMSKHDKIYETIEEKLLRFEIFKDNLKHIDDRNKVVSNYWLGLNEFADLSHQEFKNKYLGLKFDSSRKRESPQEFTYRDVHFPKSVDWRKKGAVTPIKNQGSCGSCWAFSTVVAVEGINQIVTGNLTTLSEQELIDCDRTYNNGCNGGLMDYAFTYIVQNGGLHKEEDYPYIMEEGTCEMRKEETEVVTISGYHDVPQNNEQSLLKALANQPLSVAIEASSKDFQFYSGGVFDGHCGTELDHGVAAVGYGTSKGLDYITVKNSWGSKWGEKGYIRMKRNIGKPEGICGIYKMASYPTKTK >OIV97892 pep chromosome:LupAngTanjil_v1.0:LG14:13492162:13500606:1 gene:TanjilG_12649 transcript:OIV97892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGNIYHCQNQQHSLPIFFNLSSDFGSLIGTSGLFLEPQVPDSCVRLLRVYPESNPQRRVRNGLFLSVSLPSEEGKFVVPGHKLYVVEQQRKVHKVNRVRGGNAVNITKHLWAGAIAAMVSRTCVAPLERLKLEYIVRGEKRNILELIKTIAITQGLRGFWKGNLVNILRTAPFKAVNFYAYDTYRKRLLRFSGNEETTNFERFIAGAAAGITATSICFPLDTIRTKLVAPGGEALGGVIGAFQYMVRTEGIFSLYKGLVPSIISMAPSGAVFYGVYDILKSAYLHSPEGIKRIQNLNRQGAELSAFDQLELGPVRTLLYGAISGACAEAATYPFEVLRRKLQMQVQSTKLSTLATFVKIVEQGGIPALYTGLIPSLLQACKFLLSWHIVPLIDHKLLSLLYGPSLLIL >OIV98484 pep chromosome:LupAngTanjil_v1.0:LG14:544216:545712:-1 gene:TanjilG_18768 transcript:OIV98484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQTEKAFLKQPKVFLSSHKSGKGKRPGKGGNRFWKSIGLGFKTPRDAIEGTYIDKKCPFTGNVSIRGRIIAGTCHSAKMTRTIIVRRNYLHYIKKYQRYEKRHSNIPAHVSPCFRVKEGDHVIIGQCRPISKTVRFNVLKVIPAGSSTGAKKAFAGL >OIV98075 pep chromosome:LupAngTanjil_v1.0:LG14:10567096:10567527:-1 gene:TanjilG_09568 transcript:OIV98075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSILFPESVLLSNTKRVIRELLQGHECATKLRFLIQNPIGSDGSTLSAEELLSNVERSFTQTISVLTSSDAEVFDEVGQIVNYGENGSHVGANSCNDLRSEDSTESKKRSLTTTIKDRRGSYKRRFSSSFPLFDLLFYSDMCC >OIV97779 pep chromosome:LupAngTanjil_v1.0:LG14:14564106:14568784:1 gene:TanjilG_12536 transcript:OIV97779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWLPKNNTEMKDGVNGLIAVAIDKEKGSQNALKWAIDHLLNRSSIVFLIHVKLKPASLSPSPSLYSLRSGANSGDEGSVARTDPDVLNKTIFLPYRVFCTRKDIQCKDVLLENVDVPKALIEYVSNTGIQHLVLGSSTKTGLLKRFKVSDIPGTISKGAPDFCTVYVVAKGKIQSMRSATRPAPVVPTILSNVSEGSVRSEPLEPNVPVAAASVKEYEWRSSNATNESQEVIESFRSPFTRKGYSGRSYAESSIPDSDISFVSSGRPSIDCMFPSLYSNSDTTTSNSRLSYSSDNDGNNSFESMHYDRRSVEVGTPSEFSSVSFESDKNSGSTSQAMDDVEAEMRRLKLELKQTMEMYNTACKEALTAQQKAVELQRWKLEEERRLEEARLAEEAALMIAEKEKAKSRAAIEAAEAQKRIAELEAQKRLNAEMKALKEADAKKRALDALGNIDVRYRRYSIEDIEAATEFFTESLKIGEGGYGPVFKCLLDHTPVAVKVLRPDAAQGRSQFQREVEVLSCIRHPNMVLLLGACPEYGCLVYEYMANGSLDDRLFRRGNTPPLPWQVRFKIAAEIGTGLLFLHQTKPEPLVHRDLKPANILLDNNYVSKISDVDPEYQQTGMLGVKSDIYSLGIIFLQILTAKSPMGLAHHVEGAIEKGTFSEMLDPTVPDWPEEETLSFAKMAVQCAELRRRDRPDLGKVVLPELNKLREIAECSNLHSVLGGCVNVHQQSQVSLQLYIPPNGDKCLVVVILEYSTILLL >OIV97577 pep chromosome:LupAngTanjil_v1.0:LG14:15988148:15991216:-1 gene:TanjilG_12334 transcript:OIV97577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLCRERCKFVNQALSQSCILADAHVKHIESLQTLGSALLSFFTQFEETHVSYDTHIHFVSDSEAQEDNKELQISSPCDDVAFMNYEQTHCASFSPPPPNRGGFYGSKPPPPPPPTSSVWDYLNFFEPYERYEVTYNTNRDSYIENVTEVREKGKVACERVQKGEEYDLRGKKLVSEKKWKESEECSNSDSVKVESKKVPCEAAKEIQILFQKASDSGKPILEMLDVGKFHYHSNFAFNQVSCKMMQVFTPSIRSFFGLRIGIEDEVVDKDNDNSYGNLRSTLDKLCMWEKKLYHEVKAEKKLRILHQKKCRQLKSMDKNGADAGKVETVQTSIGLLDTKMKMSIQVVDNISITISKLREEELWPHINNFIHMFLKMWKDMQECYKEIAEAKALDASTFNRKLSSGQIDAAIKLKSVLQHWNLTFSNWIHAQKSHVKALNGWLVRCLMYEPEQVPDDSPPLSPHNIGVPPVFVICDKWTRVVDNISEKNAIEAVNGFILRVSELLEKHILDLQQKFTLERELERKVQILERQEQKMQKVVHAGERNIVPNPIAREESEALVQRDVVHHGDFFDIDGIQSDLKMIFSAMERFTASTAQLYEELCQQIKLHNPVLGESNKIL >OIV98339 pep chromosome:LupAngTanjil_v1.0:LG14:1211797:1218125:1 gene:TanjilG_16666 transcript:OIV98339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPSSAVTGNGFHIDDDGKSNDCKDSNGCICVSSSTSLSLRSFCSRIIELIPPKDYNKLWRIITASVKGFTVGFGLKGGLGFFAILARLTRKKPQRKEIVVTNGEVIVTALKETLRYGLFLGTFAGTFVSVDELIGAIGGHRRHFRTAKWRALLAGALAGPSMLLTGLETQHTSLALYILMHASVLASRCGIKSKRSAYILKQESLPPSYKSFLNKHGGKDMAILQGIKDIANGKPFSNLEAVEKYYKTMGVDMKLDPNMKVPCSIVHGNQSCTEHIFSFLLQAYRRALPVYLPVYLIPALIVHRQGLSKRPFSILAKGLVGTGRSSLFLSVYCTSAWLFKGCNIPLVAMGTFPTGLALAIEKKSRRMEISLYCLARAIESFFTCLADEGYLPQSRKIKRADVVVFSLSTAIIMHCYAEEREVFRFKYLNVLDWVFGVPPPPCESPRCKDT >OIV98345 pep chromosome:LupAngTanjil_v1.0:LG14:1304033:1312806:1 gene:TanjilG_16672 transcript:OIV98345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAIPVGRGGTGELNSPKTQGYSSYGAMLPKFLNDLRSNHHQELVEITLELEDNAVVHCNVAQSTGNGSPSPSSNHVAGDEVDSGGGSVGGSFSRSHSIASRIRMKLPWLRSMSLRSSASSESVATVEDPVVTARNARRMIWKLERTKSRAQRALKGLRFISEKEEATEEMWKKVEERFRLLGKEGFLAREDFGECIGMENSKEFALGIFDALARRKERKVSKITKEELHQFWLQISDQSFDARLQIFFDMADSNDDGRITREEIQELIMLSASANKLSKLKEQAEGYAALIMEELDPENLGYIELWQLEMLLLQRDCYMNYSRQHSTTSISWSQNLTELKTKNVMQRLNKKLQSLASQYWRRGWILILWLLTIACLFAWKFYQYRKKSTFQVMSYCLPIAKGAAETLKLNMALILLPVCGNTLTWLRSTRARKFVPFDDNINFHKMIAVAILVGVAVHACTHLACDFPLLINSSPEMFSLISSEFNNKKPTYKSLLAGIEGVTGITMVSLMAISFTLASHYFRKNAVRLSQPFNRLTGFNAFWYSHHLLGLVYVLLLIHGSSLNLTHHWYQKTTWMYISIPLLLYVGERALRTCRSEHYSVKVLKVSVLPGNVFSLIMPKPNGFKYKSGQYIFLQCPKISPFEWHPFSITSAPGDEYLSVHIRTVGDWTQALKLHFTKDNNLPSANGSATLDVVEMDKRGQPRLLVDGPYGAPAQDYQNYDVLLLIGLGIGATPFISILRDLLNHTRTSDEHAESNIETSRSDGSLSSFTSSNLTPERNKRSQRTTNAYFYWVTREPESFEWFKGVMDEVAEMDHKGQIELHNHLTSVYEEGDARSTLIKMIQALNHAKHGVDILSGTRVRTHFARPNWNEVFTKLASKHPCSTVGVFYCGMPVLAKELKKLSLEQSHKTTTRFDFHKEYF >OIV98203 pep chromosome:LupAngTanjil_v1.0:LG14:6508543:6509648:1 gene:TanjilG_28716 transcript:OIV98203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENMCGFHYSEPSSMAPPPLPETSLNFISSDLQFVGSSSSSSSDEVSSNAVFQQKELEKDVIVSLRAKVASHPLFPQLLHAYIDCHKVGAPPEMAQLLDEMTSGENSGVCQISTFFGVDPELDDFMVFTHSCENSKLLNIFPLQTLSFCS >OIV97665 pep chromosome:LupAngTanjil_v1.0:LG14:15432918:15440459:-1 gene:TanjilG_12422 transcript:OIV97665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNRHSQSRNEDNNNNNNKGFIKTHQKFIPKNPNPNHAQPGSSNQNPTLSTSLRDRPPSKSNVPIARVQLGHSGEWVPNRDYGSNGNFVKYLPQDEAVAAGLGAEDGALDPLESQRVVDLLNRELSLLLKLKPKEFWKQVATDTSLHEFLDSFLQFRSRWYDFPHRGVKGIVAGVIVGELDLSRRVFMVLYRISSNKDPGARPGDTLSLRDHEVLLQEKKLLELPKLLDICAIYNHENEELTGLLVRNALNAQPWIHNNLTTVISHFLGIVSTMHERCVSSLEVLFSSGKPDYQNATFLQDDLLEVMDFINDAIVSVDAFVSAYEPATIFFSSPVEMSYGNEELLSVLARLHDSLIPSLQKGFHILFGDRQDVAVSNIFVSLKMLRMRLVKFGWQLFQSCYLSDEVFRDGIPLPFAMTMFPANVEDPIIRAEILVQTFKKINSVSLSFQEIHQKGTFLQDIERTFNILSRLERLKDDGWIFIDDEQLQYISGILGSQKEISKESISAIAPVPSKALQMGEDAVIIESKISQIRDLFPDYGKGFLAACLEVYDQNPEEVIQRILEGTLHEDLQRLDTSLETVPLPKSSTSSRNDKGKGKLVDSTPISSNTKAVGGKQITEGLSSVSVGRFVRKPIANSPDMNILDKRDEIDTSKTAAMVSQYEYDDEYDDSFDDLGLSVADSGVEENELLGGGMNAKFGKSWGTETGNSVQSAPNSKWGSKKKPQYYVKDGKNYSYKVAGAIAVSNSDEASLVTQAQEELIHGLGRGGNLPLGAVKKLTDSYKEGGNQFHSSETEGRGTMGNPGGRGRKERGQQIEPRQQPENQSVSEVEGNGNVSNPRGRGRGRGRGGGRNNHNQKDRALKKHFSGVGGF >OIV97598 pep chromosome:LupAngTanjil_v1.0:LG14:15860824:15862054:1 gene:TanjilG_12355 transcript:OIV97598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKFLSRVKIEFNALDARTASCMEFLAQCNSRKAKESNPACEVEVKRRTDEFKPQITVTFVNGVEEVFDATSTPAQNIRSMILEKGLNLETEQMFREAGESWPVIIPKEELTQHAPGTKPRKAEEKKQ >OIV97807 pep chromosome:LupAngTanjil_v1.0:LG14:14363490:14364875:1 gene:TanjilG_12564 transcript:OIV97807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSDHFVVEEFLDFPNDDDPSLFSDSVQGNSTPLNSTNSSNSLSASAHTNFQPENVADTNFSGHLSVPYDDLAELEWLSNFEEESFSNEELQKLQLISGIKAASNDAASENCELQRQPNNPSNPVFESHVSVPAKARSKRTRGPPCNWTSRLLVLSPTTSSSSLHPEGHVIIPTKKPAPRKRDSGDGGEGRKCLHCATDKTPQWRTGPMGPKTLCNACGVRYKSGRLVPEYRPAASPTFVLTKHSNSHRKVMELRRQKEMMQAQHQHFMQHHQNMSMMYDVSSNGDDYLIHQHVGPDFTQMI >OIV97865 pep chromosome:LupAngTanjil_v1.0:LG14:13776195:13777313:-1 gene:TanjilG_12622 transcript:OIV97865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEDCGTWIPHLQFGWQSPNLSPIGVMKHNGISEAMNPAINMASRNETMPVYASSALPRMQLGHSNEPHGWFYCLPRFRQGFTPAPDFTAEEKLPTDHVKHFRDDIVSAPYGESISSQKQFVVIDQTAGQTTVFYSSRFGSPNACLSSLHSKLHDANNLNGNEPLLRTYLNHMTEPILVDKVDENQGTGIESEMHEDTEEINALLYSDSDVYPTEEDDEDDEVTSTGHSPSTMTTHNDIHETLKKTAEEVASSAGKTKKRRLSDCYYDDIQLMDTASSLNQQNQSFSMENDAESRCSGSKGEGSGEMGSLPGSKKMRKEKIRDVLSILQSIIPGGTDKDPVMLFGDAIQCLKSLKLKAKSLGLDAFCKLKKS >OIV98328 pep chromosome:LupAngTanjil_v1.0:LG14:1082825:1087073:1 gene:TanjilG_16655 transcript:OIV98328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKKASEIFSKSMIEDMHKWGCLKQTGVSLRYMMEFGSEPNDRNLLISAQFLHKELPIRIARRAIELENLPCGLSHKPAVLKVKDMYLDSFRDIRSFPDIKNMNDERTFTEMIKAIKVRHNNVVPSLALGVQQLKKGMDPKIDYEDLDEIHQFLDRFYMSRIGIRMLIGQHVELHNPHPAPHCVGYIHTKMSPVEVARNASEDARSMCCREYGSAPNVHIYGDPNFTFPYVPAHLHMMVFELVKNSLRAVQERFMDSEKVTPPIRIIVADGLEDVTIKVSDEGGGIARSGLPRIFTYLYSTARNPLDEHADLGIADNIVTMAGYGYGLPICRLYARYFGGDLQIISMEGYGEKDKLQSKPKTASEALVSEPRNENILKFAQSRTMQDIGNVVPPDESPASHKDNVLSQPEDMSDGREASVSKMKIDENIHIASRSTNDKIPNGSCYRMGRSRKSRTNNQDDDSKSVSKLDEGILSPLLKETSLESPGVWLEKEPEARKDAEQVNNDEKTAIAPSWLFLRLKLWLLVTIRDLVLLQNKMSMNHLLFMS >OIV98026 pep chromosome:LupAngTanjil_v1.0:LG14:10797309:10798469:-1 gene:TanjilG_12257 transcript:OIV98026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQFKSSAMSTHTDSSPIPSITTLPLNLHFNLHHVLPLLLLLFILIQFPPPATAQLPNTLTPPPPDNTISNVQFNKSMVMALVILVVVFVLLGFVSVYTRQCTERRMRGRHDLSIPIIGSNHRPRGLDREIIETFPTFIYSTVKSLKIGMATLECAVCLNEFQDDEKLRLIPVCNHVFHAECIDAWLVNHSTCPVCRANLVSTPSEVVPFMTIQLPDQTDPEPDPVHVDEFSGRQGTVMKESPKLSNNNSVNQNRPRRSRSTGFRFTNLLPRSHSLVQRGENLERFTLRLPEEVRNQLVTSTLSRTKSLGVAFTPESSERRGYRTRSVGSGCGRNNLERLDQSDRRMFRWMSRAGSNISKKVTEFNKDDVGERSSDRLFSGKENDM >OIV97815 pep chromosome:LupAngTanjil_v1.0:LG14:14276858:14279447:1 gene:TanjilG_12572 transcript:OIV97815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIATETQQQNQHQHKDSSEVSAGEQRRWTLNDFDIGKPLGRGKFGHVYLAREKRSNHIVALKVLFKSQLQQSQVEHQLRREVEIQSHLRHPHILRLYGYFYDQKRVYLILEYAPKGELYKELQKCKYFSERRAATYVASLARALIYCHGKHVIHRDIKPENLLIGAQGELKIADFGWSVHTFNRRRTMCGTLDYLPPEMVESVEHDASVDIWSLGVLCYEFLYGVPPFEAKEHSDTYRRIVQVDLKFPPKPIVSSAAKDLISQMLVKDSTQRLPLHKLLEHPWIVQNAEPSGVYRG >OIV97822 pep chromosome:LupAngTanjil_v1.0:LG14:14230672:14234877:1 gene:TanjilG_12579 transcript:OIV97822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLREELPCRNGDGGDEKDEVVDEIQNQWCPVLNSGFSCPKRVVVVGYALTSKKIKSFLQPKLQGLARNKGILFVAIDDNRPLSDQGPFDIVLHKLSGREWRQVLEDYRQTHPEVIVLDPPDAIQHIRNRQYMLQAVADMNLSDSYGKVGVPRQLVIKRDASAIPELVNKAGLTLPLVAKPLVADGSAKSHELSLAYEQYSLQKLEPPLVLQEFVNHGGVLFKVYIVGDAIKVVRRFSLPDVSKWELSKDAGLYHFPRVSCAAASADDADLDPNVAELPPRPLLEKLAKELRWRLGLRLFNLDIIRECGTRDHFYVIDINYFPGYGKMPEYEHIFTDFLLSLGHGKYKKKSG >OIV98402 pep chromosome:LupAngTanjil_v1.0:LG14:1907396:1908765:-1 gene:TanjilG_16729 transcript:OIV98402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVMDQSEIIHPVPAMNMVDTQEVQMVVELPPVLKKRAGRPRKGRKSKASNASAGSASSSARRSSFVMRRCSICNIYGHNKRTCELASIARPSIRKSARINTDVGVNAEEPANEGALNEPAITSSSINNMESPYAAFYSSNI >OIV98359 pep chromosome:LupAngTanjil_v1.0:LG14:1430717:1434680:-1 gene:TanjilG_16686 transcript:OIV98359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNVILAFSSLVLAALVSLILQVFYFSPIDPLPLQILPHSSSTFSTKNNQLQNVIKLGEGELKDPEDVCVDKEGTLYTATRDGWIKRLPRNGNWENWKHIDSHTLLGITTTKGGIIVCDTIKGLLKVTEDGVSVLVSHVNGSQLSFADDVIEGSDGSVYLSVASTKFGLHNWHLDVLEARPHGQLLKYNPTSNEIDIVLDNLAFANGVALSKDEDYLVICESWKFRCLRYWLKGVKKGTTDIFIENLPGGPDNINLAPDGSFWIALIQVCPKGLEFVHTSKIAKLLLASSPRLFNLFNGAKKKATVVKVATDGKIIQRFDDNNGKVVRFVTSALEFEDHLYLGSLSSNFVGKLPLHNTSI >OIV98321 pep chromosome:LupAngTanjil_v1.0:LG14:1004751:1006190:1 gene:TanjilG_16648 transcript:OIV98321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRIELVFIATPAIGNLVPVVEFANLLTKQDPRFSATVLIITVPHRPLITTYSNSISSSSTNFRFLHLPTVDPPAPDQYQSNVGFFSLLVEKQKHHVKHALIDLTKTESPSDSDSVQLGALFVDMFTTAAIDVAAELNLPSYLFFASPATFLGFTLHLSQIETELTESKTESTESKTESFPFPCFKNSLPRSVFPKLLSNENNGSSWISHHARRYRETKGIIVNTIEELEPHALESLHMDSQLPRVYPIGPVLDLDGSARWDPNPVQYKRIMEWLDMQAKSSVVFLCFGSMGSLSAGQVAQIAIGLEQAGFRFLWALREPPKAQLEDPKDYTNYSNILPDGFLERTAEIGLVCGWVPQAKVLAHKAIGGFVSHCGWNSILESLWYEVPIATWPIYAEQHLNAFEMVKELGLAVEIRLDYRDGGDLVKGEEVERCVRYLMNGCDEIRSKVKEMSEKCKGSLMKNGSSYVNLVSLIEELTS >OIV98282 pep chromosome:LupAngTanjil_v1.0:LG14:3656963:3662195:1 gene:TanjilG_09916 transcript:OIV98282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLSDLINLNLSETTEKVIAEYIWIGGSGLDLRSKARTLPGPVSDTSKLPKWNYDGSSTGQAPGEDSEVILYPQAIFKDPFRRGNNILVMCDAYTPAGVPIPTNKRHAAAEIFSNPDVVSEEPWYGIEQEYTLLQNHIQWPLGWPVGGFPGPQGPYYCGIGADKAYGRDIVDSHYKACLYAGINVSGINGEVMPGQWEFQVGPSLGITAGDEIWVARYILERITEIAGAVVSFDPKPVKGDWNGAGAHTNYSTKSMRNDGGYDIIKQAIEKLSKRHKEHIAAYGEGNERRLTGKHETADINTFLWGVANRGASIRVGRDTEKAGKGYFEDRRPASNMDPYVVTSMIAETTILWKP >OIV98514 pep chromosome:LupAngTanjil_v1.0:LG14:126429:132228:-1 gene:TanjilG_18798 transcript:OIV98514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASTGLFTSSHDSNELVAIQGHDEPKLVKNLDGQLCEICGDSVGVTVDGDLFVACEECGFPVCRPCYEYERREGTQVCPQCHTRYKRIKGSPRVDGDEDEDDVDDIEQEFKMVEEKYKLKHGEILPGKKSSGDDYENAKSTLVNEDLPISSYSIGEPGRVKLDDKVRTHDWKLNQGNLLIETDASDDPEKAMKEETRQPLSRKVAIPSGKLSPYRMMVVARLIILLLFFQYRIFHPVSDAVGLWITSVVCEIWLALSWLVDQLPKWFPIDRETYLDRLSIRFEPENKPNMLSPIDVFVTTIDPIKEPPIVTANTVLSILALDYPADKISCYVSDDGASMLTFEALQETAEFARKWVPFCKKFSTEPRAPEKYFSEKIDYLKDKLQPTYVKERRTMKREYEEFKVRINALVAKSKRVPAEGWTMKDETPWPGNNAKDHPSMIQILLAHGGGQEGNELPCLAYMSREKRPAFQHHSKAGAMNALLRVSAVLSNSPFVLNLDCNHYVNNSKAVREAMCFFMDIQLGNSIGFVQFPQRFDSLDRNDRYANKNTVLYDITMRCLDGIQGPVYVGSGCIFRRKALYGFDPPKASKRPRLVQVHSKQDEDVDDASITVTGADEDNKQLLKSEMNFESKFGKSELFANSSLTEEGGIDPSSGQAALLKEAIHVMSCSYEDRTLWGYEVGLNYGSIASDILTSLKIHTHGWRSIYCMPKRPAFRGTAPINLTDRLNQVLRWAVGSLEILFSHHCPIWYGFNEGRLKGLQRIAYINSTVYPFSSIPLLIYCLIPAICLLTDKFIISSVGTLAGLIFISLFLSILASAILEVRWSGVSLEEWWRNQQFWVIGSVSGHLFAIIQGLMTAFSKFNTNLSVVSKAPNDDGEFHELYTIKWTALLIPPTTIIIANIIGIVAGFTDAMNSGEHSWGALLGKLFFSLWVIVHMYPFLKGLMGRQNRTPTLVVIWSVLLASIFSLVWVRVDPFVLKTKGPDVKQCGISC >OIV98228 pep chromosome:LupAngTanjil_v1.0:LG14:4571196:4574593:-1 gene:TanjilG_09880 transcript:OIV98228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSNTAGKVIRCKAAVAWEAAKPLVIEEVEVSPPQANEVRVKILFTSLCHTDVYFWEAKGQTPLFPRIFGHEAGGIVESVGEGVTDLKPGDHVLPVFTGECKECQHCKSEESNMCDLLRINTDRGVMLNDGKSRFSINGKPIYHFVGTSTFSEYTVVHVGCLAKINPAAPLDKVCILSCGISTGLGATLNVAKPTKGSSVAIFGLGAVGLAAAEGARLAGASRIIGVDLNSTRFIEAKKFGVNEFVNPKEHDKPVQEVIVAMTGGGVDRSVECTGSVNAMISAFECVHDGWGVAVLVGVPNKDDSFKTHPINLLNERTLKGTFFGNYKPRSDLPSVVEMYMNKELELEKFITHRVPFSEINKAFEYMLKGEGLRCIIHMTE >OIV97651 pep chromosome:LupAngTanjil_v1.0:LG14:15520516:15522019:-1 gene:TanjilG_12408 transcript:OIV97651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAHDLYCSEENMGLDELLEYNVCVSDSTTTTLINSNSNSNSNSNYPSMMLEHDMFWDDEELTSLLAKEQHNPLRTCVQIDTVLEGARREAVLWILKVNAHYSFSALTTVLAVNYLDRFLFSFRFENGKPWMTQLAAVACLSLAAKVEETQVPLLLDLQVEDCKYLFEAKTIKRMEILVLSTLGWKMNPPTPLSFLDYIIRRLGLKNDYLLCLEFFKRCEAVLISLILDTKFMSYLPSELATATMMHVINSVEPCLGIKYKTQLLSILEINKEKIDECCKLMVDKQCKKRRFGSIPGSPNGVMDVSFSSHSSNDSWAISASVSFSPEPFSKKARTQEEILLNNPTSDFLTIPR >OIV98198 pep chromosome:LupAngTanjil_v1.0:LG14:6740563:6746079:-1 gene:TanjilG_11595 transcript:OIV98198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSTPTRTPIRTPPSSNKTNTVASGSSSFQRTLTLYDWWLIKSENNFQGKRKGATRVFVSAPVIKRYDVFSLETADGIYIIIKGFLNEQRTLENGFPSEVFNCFLFGFPANWEKYAVHCFREESVTTNDSSCALPDNVPATDQEILCHGVEKTTPITSLVSPEDASEDHQKALHDDEMNASKEIAGDDVVCGSSGSFPEDECNASKKVDDVNVVSRRITRSLSMKVCQQKNKPASGFPPKHTDREQLSTSVVMDLENPDGEGLKSPEVLAQSQSLGQVSASSEQLVKESATRISRALSTSTEGSRKEKRVPDKMKVISTNMKNPEVPVQSQSVGEVSASSEQLVKETATRISRALSTSTEGSHKKKRVIDETKAISTNIKRTKSASAVTYPQERDGSPLVKATTDKISSVCRKALSFGRPAGEGLKGPVTRAQSQALGKVNTSSEQHVKNSASGISRSLSRKTEGSYNKKKVTDKTKATTPKIKTTNSASAVKSPQKGNGSPLIKGVTDKNSLVSPISSSLGKSRSGRLLLPRLEFWRNQIPVYNVDREVTEIQDGASLVSPFKGPSPSVNSKSNKQKAAA >OIV98315 pep chromosome:LupAngTanjil_v1.0:LG14:925491:929749:-1 gene:TanjilG_16642 transcript:OIV98315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVKFGSKADAFQRQGQAWFCTTGLPSDLVVEVCEMSFHLHKFPLLSRSGVLFRLITKASESEEEEECVIHLSDIPGGVKTFELVAKFCYGVKLELTASNFVNLLCAAEHLEMTEEHGEGNLISQAETFFNQVVLRSWKDSVRALQTCDDVLPHAEVLHIVKRCIESLAAKASTDPNLFGWPVLERGGPMQSPGGSVLWNGISTGARPKNPSSDWWYEDVSNLSLPLYKRLIAVMESRGIRQDIIAGSLAFYAKRYLPGLNRRHAYGDSSTHLAPVTSGSPPSEEDQKILVEEIDQLLPMEKGLVHTKFLFGLLRTAMILRVNHSCMSNLEKRIGMQLDQATLDDLLIPTFSYSMETLYNVDCVQRILDHFLAMDQVTGAASPCSIDDGRLIGSPSLTSITMVAKLIDGFLAEVAPDVNLKLPKFEALAAAVPEYARPLDDGLYRTIDIYLKSHPWLVESEREQLCRLMDCQKLSLEACTHAAQNERLPVRTIVQVLFFEQLQLRTSIAGCFLVSDNLDGSRQLRSGLIGTNEGGWTSAVKENQVLKVGMDNMRMRVSDLENECSNMRHEIDKLGCTKGSSTWGAVSKKLGFKIKSQMCSAEEGSVRNQNKNGNSKIEKLKGRHIVKQKKSSSISDKESVSSIVSS >OIV98189 pep chromosome:LupAngTanjil_v1.0:LG14:7050265:7053362:-1 gene:TanjilG_11586 transcript:OIV98189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGVTSSMAAKLAFFPPNPPSYKLVKEEATSLLLLNPFPHRENVEVLKFPNRRGNEIVAIYVRHPMAKTTILYSHGNAADIGQMYELFVELSIHLRVNLMGYDYSGYGQSSGKPSEHNTYADIEAAYKYLEENYCTKQEDIILYGQSVGSGPTLDLASRLPRLRAVVLHSPILSGLRVMYAVKRTYWFDIYKNIDKIPLVKCPVLVIHGTADEVVDCSHGKQLWELCQQKYEPLWLKGGNHCNLELYPEYLRHLRKFISTVEKSPSRRLSFRRSTEQSRGSIDCIETPRKSTDQREKPRKSTDQRDNPRKSTDQNEKPRKSTDQRDKLRKSTDRTEKLKIHENKVPNPEKLEKLRAHFDQMERSRRSIDYDKSRTIEFQDKSRRSVDVQFERPQKSIDWLDKTRAG >OIV97940 pep chromosome:LupAngTanjil_v1.0:LG14:12906031:12908360:1 gene:TanjilG_12697 transcript:OIV97940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQSIMLMSSVSSSYSVSLKKDPLLQLQSQKLRPKFSHLSFNPLPNNSLHFSSHTFTTLALFKSKTKAPPKKVEKPKQKVEDGIFGTSGGIGFTKQNELFVGRVAMIGFAASLLGEGLTGKGILSQLNLETGVPIYEAEPLLLFFILFTLLGAIGALGDRGKFVDDEPATGLDKAIIPPGKGLRGVLGLQEGGPLFGFTKSNELFVGRLAQLGFAFSLIGEIITGKGALAQLNIETGVPVNEIEPLVLFNVLFFFIAALNPGTGKFVTDEEED >OIV97829 pep chromosome:LupAngTanjil_v1.0:LG14:14147541:14148227:1 gene:TanjilG_12586 transcript:OIV97829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVEEEWFQHFFEGWLLQMQNLLDQLLNVLATPDSVTKTEHQNHLIKKVLSHYEQYIEAKAKVAEADVLLMLSPTWLSAYEKSLLWIGDYKPSLILRLANDAVEGLNVRQREMLEKMMSAIRRVEREIGTEMARVQESVASPEILGLVRKVGRVMNGEICQMDSTVEGIKIALVGVVKKADEVRVSTVREVVEMLTPPQTVHFLAAVTEFQLRVRRLGLERDQVVESC >OIV97890 pep chromosome:LupAngTanjil_v1.0:LG14:13509408:13510994:1 gene:TanjilG_12647 transcript:OIV97890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSPCCDENGLKKGPWTSEEDQKLIQHIEKHGHGSWRALPKLAGLNRCGKSCRLRWTNYLRPDIKRGKFSQDEEQTILHLHSILGNKWSAIATNLPGRTDNEIKNFWNTHLRKKLIQMGFDPMTHQPRNDLVSTLPYLLALVNMTDIMDHNQSLDEHALRLQAEAVQLAKFQYLHYLLQSSNSSSTNSYDQNVITNMETSFTMLNSMKENQVMELPQLCNDNPAPSFHQGIIAASSSQPLYHQSNMVSDFLDPQVSFNSQSCLNNEQGQGTNYAIISQGDHTISDHDSSWIIPSPAPSSINPPNATSNVPGDASSRTSSYGGGISSYWPELFFEDPIIMHELS >OIV97541 pep chromosome:LupAngTanjil_v1.0:LG14:16178159:16181896:-1 gene:TanjilG_12298 transcript:OIV97541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRVLKAQSKNFVVANTGHESEHSEDEKKKRMGSFKKAAISASSKFKHSFAKRGRKHSRVMSISIEDEIDTEELMAVDAFRQVLILEELLPSKHDDHRMMLRFLKARKFDIEKTKQMWSDMLKWRNEFGADTIMEDFEFNEVDEVLKYYPQGHHGVDKDGRPVYIEKLGQVDSNKLLQVTTMERYLKYHVREFERTFNVKLPACSIAAKRHIDQSTTILDVQGVGLKSMNKTARDLLQRLQKIDGDNYPESLNRMFIINAGSGFRLLWNTVKSFLDPKTTSKIHMVQNGKGKAKRKTLSELNEKTITEDEIAQQKGCKESCTDVQCLSSHVEQTKSCTVPGANQCGVYQYNAFVDKSVDSSWNKSVENDKYAFSQGYVPNKVSKTSDGFSKQFVGGIMAMVMGVVTMIGMTRNMPRRITEAALYGSSVYYDGTMIKAPPAITNNYHMTIMKQMAELEEKVAALSMRPSMPPEKEEMLNNALCRVSALEQHLAVTKKALDDALARQVELLDEINKKKKKKLFCCKW >OIV97570 pep chromosome:LupAngTanjil_v1.0:LG14:16029754:16033039:-1 gene:TanjilG_12327 transcript:OIV97570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAMAALRREGKRFATLLPSHSIRSSLSSPSLDQAPVGARSISTQIVRNRMKSVKNIQKITKAMKMVAASKLRAIQTRTENSRGLWQPFTALLGDTPSVGVKKTVVVTISSDKGLCGGINSTSVKISRVLSKLNSGPDKETKYVILGEKAKAQLTRDSKKDIEIIITELQKNPLNYTQVSVLADDILKNVEYDALRIVFNKFESVVSFLPTVSTVLSPEVVEREAEAGGKLGELDSYEIEGGDTKSEILQNLAEFQFSCVMFNAVLENACSEQGARMSAMDSSSRNAGDMLDRLTLTYNRTRQASITTELIEIISGASALTG >OIV98284 pep chromosome:LupAngTanjil_v1.0:LG14:2699727:2706055:1 gene:TanjilG_28666 transcript:OIV98284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPSSAVTGNGFHIDDDGKSNDCKDSNGCICVSSSTSLSLRSFCSRIIELIPPKDYNKLWRIITASVKGFTVGFGLKGGLGFFAILARLTRKKPQRKEIVVTNGEVIVTALKETLRYGLFLGTFAGTFVSVDELIGAIGGHRRHFRTAKWRALLAGALAGPSMLLTGLETQHTSLALYILMHASVLASRCGIKSKRSAYILKQESLPPSYKSFLNKHGGKDMAILQGIKDIANGKPFSNLEAVEKYYKTMGVDMKLDPNMKVPCSIVHGNQSCTEHIFSFLLQAYRRALPVYLPVYLIPALIVHRQGLSKRPFSILAKGLVGTGRSSLFLSVYCTSAWLFKGCNIPLVAMGTFPTGLALAIEKKSRRMEISLYCLARAIESFFTCLADEGYLPQSRKIKRADVVVFSLSTAIIMHCYAEEREVFRFKYLNVLDWVFGVPPPPCESPRCKDT >OIV98349 pep chromosome:LupAngTanjil_v1.0:LG14:1341492:1350803:1 gene:TanjilG_16676 transcript:OIV98349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVPTNGYLTNSGEGERKTINSELWHACAGPLVSLPPAGSLVVYFPQGHSEQVAASMQKEADFIPSYPNLPSKLICMLHSVALHADLETDEVYAQMTLQPYDKEAMLASDTGLKQNQQPTEFFCKTLTASDTSTHGGFSVPRRAAEKIFPPLLNAKIARTLTTGQPKRHLLTTGWSVFVSTKRLFAGDSVLFIRDEKQQLLLGIKHANRQQPALSSSVISSDSMHIGVLAAAAHAASNNSPFTIFYNPRASPSEFVIPLHADLETDEVYAQMTLQPVNKYDKEAMLASDTGLKQNQQPTEFFCKTLTASDTSTHGGFSVPRRAAEKIFPPLDFSLQPPAQEIVAKDLHDNTWTFRHIYRGQPKRHLLTTGWSVFVSTKRLFAGDSVLFIRDEKQQLLLGIKHANRQQPALSSSVISSDSMHIGVLAAAAHAASNNSPFTIFYNPRASPSEFVIPLAKYNKALYTQVSLGMRFRMMFETEDSGVRRYMGTITGISDLDPARWKNSQWRNLQVGWDESTSGERPGRVSIWGIEPVVTPFYICPPPFFRLKFPKQPGMPEDETDVENAFKRVMPWLGDDLGMKDASSSLFPGLSLVQWMSMQQNNQFSPPQSGFFPSSMLSSDTLHVTSNQIPNQCIQQQVPYSQLQQQQLLSGSVPPQQSTQPVSKNTSLVTFLPQDSQFQHQIGQQANLLQRQQQAQMQQSSLQLLQQSMSQRASQQPQVTQMSQQSPSEQQLQLLQKLQQQQQQQLHSTTPSALLQSQFLHQQNQQLPQHPLSPHWSQQLGNNAFSTEKLLNTNNNFSSSLMQLQQPPANQSLYTHKSLTTTICPPSLTDVDALSCSTSPSDNNCQISPNFLKRNHQVPSTLGGTSVVEPTSNLMQELHSKSDMQIKHELSGMKGPDQLKYKGTITDHLEASSGTSYSIDPVNIHQNFQLPNFCMDGDVQSHPRSNLPFSSNLDGLTPDTLLSRGYDSQKDLQNLMSNYGSAPRGIETELSTADISPQSFGVRNMPCKPASSNDMAINDAGIMNNGLWANQTQRMRTYTKVQKVGSVGRCIDVTRYRGYDELRYDLASMFGIEGQLEDPQRTEWKLVYVDNENDILLVGDDPWEEFVSCVQSIKILSSAEVQQMSLDGDLGGHVPISNQACSGTESGNAWYDDNSAGSYNR >OIV98460 pep chromosome:LupAngTanjil_v1.0:LG14:2525883:2527316:-1 gene:TanjilG_16787 transcript:OIV98460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNRWLRPEVYPLFASVGVAVGICAMQLVRNISTNPEVRVTKENRSAGILDNHEEGQKYSQHFVRKFVHGKAPQIMPSLNNFFSDPNLE >OIV97742 pep chromosome:LupAngTanjil_v1.0:LG14:14883812:14891525:-1 gene:TanjilG_12499 transcript:OIV97742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGETKDEGYEEELLDYEEEEEKAPDSVGAKVNGEATKKGYVGIHSSGFRDFLLKPELLRAIVDSGFEHPSEVQHECIPQAILGMDVICQAKSGMGKTAVFVLSSLQQIDPVPGQVSALVLCHTRELAYQICHEFERFSTYLPDLKVAVFYGGVNIKVHKDLLRNECPHIVVGTPGRILALARDKDLSLKNVRHFILDECDKMLESLDMRKDVQDIFKMTPHDKQVMMFSATLSKEIRPVCKKFMQDPMEIYVDDEAKLTLHGLVQHYIKLKEEEKNRKLNDLLDALDFNQVVIFVKSVSRAAELDKLLVECNFPSICIHSGMSQEERLKRYKGFKEGHTRILVATDLVGRGIDIERVNIVINYDMPDSADTYLHRVGRAGRFGTKGLAITFVSCSTDVDVLNNVQSRFEVDIKQLPEQIDTSTYRYGQSTFDVLHYGAKGNGQIYDSGTFTKAWDAACGVTGDTIPTLLVPEGHSFKLQPTNFKGPCKSKNINFQLMGTILAPQKSDLKNWIVFSMVNGLSLYGSGLIDGQGFAWWDNTNKPIKALRFNSCNDLNMNGVNHINGPGGHINVHECKNVTISDLNIISYSSQVEICNSFIGVGTCATDIAILLDCGTKYCSNITMNQINIISADPAKEASTFCNNAQGNFTNVIPNVNSS >OIV97560 pep chromosome:LupAngTanjil_v1.0:LG14:16089581:16091307:-1 gene:TanjilG_12317 transcript:OIV97560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNMMAQENKKELSEGREVIPLLTSYSMGKFNLSHRVVHAPLTRARSYNYIAQPHVATYYAQRTTKGGFLIGEATGISNTAPGFRNTPGIWTREQVEAWKPVVSGVHEKGGIFFCQLWHAGRASLCECQPNGQAPISSTDKRIINGGMLEYPDPRRLLVDEIPMIINDFRMAAKNAIEAGFDGVEVHGAHGYLLEQFLKDKVNDRDDEYGGSLENRCRFPLEVVKAVADEIGAEKVGVRLSPFADYKDGGDSNPVALGVYMAKALSELGILYCHVVEPRMITMYDKFESSESLIPIRNAFKGTFIVAGGYNRSEGNNVIRSGAADLVAYGRLFLANPDLPHRFEVDAELNKHDRSTFYTSDPVLGYTDYPFLT >OIV97641 pep chromosome:LupAngTanjil_v1.0:LG14:15601502:15603923:-1 gene:TanjilG_12398 transcript:OIV97641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHHHHSQRHYQPQSQPSDSFRNINSIHFDDQMPQPPSYSYPNPTDFPHHPFHVVGLAPPEGSDGGADLQWNYGLEPERKRLKEHDFMESNSKISCVEFMKPRSVSTGLGLSLENSRMSSVGNSALLSNLGNDIDRELLQQDVEIDRFLRAEGKRLRETIIEKVQATQLESISIIEDRVLQKLREKEAEIEGINMRNIELEERLEQLAVEADAWQQRASYSENMVAALKFNLEQVYAQSRDSKEGCGDSEVDDTASCIKGGTIDFHLLSKGNNNMNEMMTCKACKVNKVNMLLLPCKHLCLCKDCESKLSFCPQCQSSKFIGIEVYM >OIV98442 pep chromosome:LupAngTanjil_v1.0:LG14:2385569:2387137:1 gene:TanjilG_16769 transcript:OIV98442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSKYEYVKSFEVEDEVMFPNLIVVCINGRNFSRFSQLHHLEKPYDLNALKLMNSCAVSVLEEYADVVLAYGFSDEYTFVFNKSSKFYQRRASKVLSIITSFFSSMFVTKWSDFFPQKELHYAPSFQGHVISCATIQVLQSYLLWRQNICHVNNQYDQCFWRLVKRGKTETEVRNLLKVAEKSNLNERLFHEFNINYNTLEEIYRQGSCVLKTMVEDIVKYADNGAPVRRRRRKIITVHKKKVASTRFWNEQTILLKELGGFTEEIDNVKSEYVHSFEFDSKLMPYTWIVVRLDGCHFHRFSEIHEFVKPNDERALNLMSSCAMAVLEEFRQDIVFAYGVSDEYSFILKRTTDLYQRRASKIISAIVSFFTSTYVIRWKDYFSNRMLKYPPSFDGRAVCYPSTEILRDYLSWRQVDCHINNQYNTCFWKLVASGKSKREAQQSLKGAQLQAKIEELAIDYNKLPIMFRQGSSVFWDRVDNVLIHPENGKSSECYGKVIVEHIDIIGSAFWLEHPGILDEKQ >OIV98464 pep chromosome:LupAngTanjil_v1.0:LG14:2548952:2551143:1 gene:TanjilG_16791 transcript:OIV98464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFASFSILRHTPPFTATTFLRRRISIVTSATRPQNPKQYQNPNNKNILKAKQSLKKDLSSSHSLSPTLSLEHKPSLSPSQAIGTVAAAQANFMRVIINNPNNDQVLEPSNSNNDQVLEPSNKNDVVLEPHNNSGMELLCVVRALLKKIKRRVMVGDKVVVGSIDWVDRRGMIENVFQRNTEILDPPVANVDHLLVLFSLDQPKLEPFSLTRFLVEAESNHIPITLALNKMELVDKETVSSWKARLRSWGYEPIVCSVESGHGLDLLAFKLRDQTTVVVGPSGVGKSSLINALRSNPRVSDTADGENWFEPMLGSKWSETQRVGEVSTRSGRGKHTTRHVSLLPLSGGGYLADTPGFNQPSLLKVTKQSLAQTFPEIKKVLSMNESAKCSFNNCLHLGEPGCVVKGDWERYSFYFQLLDEIRIREEFQLRTFGTKREGDVRFKMGDLGVQQAEPRLEPKKHRRQSRKRVNQSILDELDDDDDDTLLDEEDDPILRALRNENS >OIV97886 pep chromosome:LupAngTanjil_v1.0:LG14:13575067:13575993:1 gene:TanjilG_12643 transcript:OIV97886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFFKIFFLLCLFQLSFAARNLNELVQDQSQLLHYHNGPLLYGKISINLIYYGNFKPSQKAIISDFITTLSSQTPQSNQPSVATWWKTTEKYYHLTSKKNPSPLSLSLGKQIYQNYTLGKSLTNKNLLQLASKGDHRNAINVVLTSSDVTVEAFCSSRCGTHGSSGSAIMGKSYKFAYIWVGNSETQCPGQCAWPFHQPIYGPQNPPLVAPNNDVGVDGMVINLATLLAGTVTNPFGNGYFQGPAEEPLEAATACPGVYGKGAYPGYAGDLLVDPTTGASYNANGSNGRKYLLPALYDPSTSSCSTLV >OIV98171 pep chromosome:LupAngTanjil_v1.0:LG14:7784190:7804556:1 gene:TanjilG_18286 transcript:OIV98171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRKMRSDTQFERVLRYFDEDGDGKVSPSELRHKLSMMGGELLLKEAEMAIEALDSDGDGFLSLEDLIVLMESGGEEENLEDLIVLMESGGEGEKLENLRDAFEMYDTGRCGFITSKSLKNMLKRMGESKSIDECKVMINQFDLNEDGMLSFEEFRIMMH >OIV98408 pep chromosome:LupAngTanjil_v1.0:LG14:2009009:2013419:1 gene:TanjilG_16735 transcript:OIV98408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTPVSTARQCLTQDASLALDEAVSVARRRGHSQTTSLHAVSALLSLPSSTLLRDACSRNSTYSLRLQFKALDLSLSVSLDRTPSTTTTAAAVTEPPISNSLMAAIKRSQANQRRNPHNFHLYHNGNGNHQNAAFSVSALKVELRHFVLSILDDPVVSRVFSEAGFRSSEIKLAIMRPIRYRAPPLFLCNLPPPERNLSPPSPLPGRSFFNFPFSGDSESENLKSIGEILCRSKGKNPILLGACAKDALKSFIESTEREKQLPLELCGLKVLSVENDVVEFCAGNCGIEVLKKKFEEIELILEKSVGPGVVLNFGDLKGFIVNDNNNNNLIGYVVEELGKLLKVHSNKLWLIGAVASYEIYLKFVGMFPSVDKDWNLQLLPITSLQSYHRPRSSLMNSFVPFGGFFSSPSESKGSLNGSYYCVPSCHQCGERCEHEVPAASKERFSASAPDPCHSNLPPWLQIAEFGKTKGFNLKTNGDDRLLDSTESRPPDKNLDKTCQHLHQTSPDTNTCKTVVGFHCIDNKKADADNHSSKITDTPPAECINFNSEVPVDVQMTTSQSSSPFPVIFKAKQDNYTSKLSEMSQKVEDLESGDLRSCNMSNSSVCDGSQMFPASVTSVTTDLGLGICSSPTSNKSKKPTAQQTTEPPKEIPSRFSSNFNLDDGNFLKHQSQSSSCLSFDYCVQLDAKNTNILFEALSKEVNWQDEALRVIVKTILSSPTKGVKQHGANRRGDIWMNFVGPDRHGKRKVAVALAEFLYGSRESFIFVDLSSEEMKGCNVKFRGKTTVDFIVGEYCKKPLSVVFLENVDKADMVVQRSLSQAIKTGKIADSHGRVVSLNNATFVTSFLGYHNSLMPTTEPSKYSEERILSVNGGSIKIKVEHVIGDIRSQSGIAANSSTDAIPNIIFVNKRKLIGENEFHDRHLISDAAKRAHTTSNWLLDLNLPAEENELQQLDDGNSENASTENRNRWLQDLYNQVDETVVFKPYDFDTLADRVLNVIRNNFNKILGPEYALQIESEVVDQLLAAAYVSDRDAEIENWVDQVLCGGFVEVQRRHNLTTCSIVKLATCPEQASSVYLPPRIILE >OIV98089 pep chromosome:LupAngTanjil_v1.0:LG14:9838600:9846079:1 gene:TanjilG_25954 transcript:OIV98089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFITESFLERTPCYHVLDDNGQTIPGSNFAQVSEEVAVKMYHDMVTLQTMDTILYEAQRQGRISFYVTTNGEEAINIASAAALAMDDIIFPQYREPGVLLWRGFTLQEFANQCFSNKYDNGKGRQMPAHYGSNKHNYVTVASTVATQIPHAVGAAYSLKMDKKDACAVTYFGDGGSSTGDFHAALNFAAVLEAPVIFICRNNGWAISTPTSDQFRSDGIVVKGQAYGVRSIRVDGNDALAIYSAVQAARQMAITEERPILIETLTYRVGHHSTSDDSTKYRPANEIERWRLARDPVARFRKWIERNGWWNTNAESELRSSLRQQILHAIQVAENEEKPPIKDMFSDVYDVPPSNLKEQEQWLRESIRKHPQEVILSECEEYKPGEGGGLVLGPSVLGQSSAFAEAVFPLRSVMVIETMANVGLLYFLFLVGVEMDITILKSVGKKAVSAAIAGMILPFMIGAAFSFILHRRYDNHMSQGTYILFLGVALSVTAFPVLARILAELKLINTELGRLALSAALINDVCAWILLAFAITLAENRTTSLASIWVLLSSAAFVAFCAYAVRPAASWIVKKTPEGESFSEFYISIILAGVMFSGVITDAIGTHSVFGAFVFGLAIPNGPLGVTLVEKLEDFVSGLLLPLFFAISGLKTNIGLVRGYCTWTILVIIIFLACIGKIAGTVIVAFFCQMPIREGVTFGLLMNAKGLVEMIVLNVGKDQKVFDDESFAIMVIITILMTAIIVPSITIIYKPSRGSTYYKRRTVQMPKPDGEFRILVCIHSPRNVPTMINFLEASNPTKKSPICVYVVHLVELSGRTSAMLIVHNSRKPDYPAINRTEAQSDHIINAFEIYEQHASFVSVQPLTAISPYSTMHEDICYLAEDKRVSLIIVPFHKQQTVDGGMEAANMGFRGINQNVLENAPCSVGILVDRNLSGSNRFSSNQVSHHVAILFFGGPDDREALCYGWRMLERPRISLTVMRFVPGKLVASGHTKKHSSIDMDEPRVLTVETDKDMQKQLDDKLIRDFRTSNGNDDSVSYVEKVVNNGEDTVAAIRTIVGIHDLFIVGRGQGMMSPLTAGITDWSECPEIGAIGDLLASSDFAATASVLVVQQYVEVESNREGMEITENTMQTNEEFIRVKTTFSTNIKGT >OIV98412 pep chromosome:LupAngTanjil_v1.0:LG14:2043836:2047547:1 gene:TanjilG_16739 transcript:OIV98412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDTLSSQTLKKNLPKFLRQCTHTFEVDRRYRNDMRYLRVWLQLMDYVDDPIALLRTMEVSHIGTKRCQFYQAYALYYEKRKKYDEAEKMYHLGVKNLAEPMDELQKSYEQFLQRMERKNNKRNQDLLQQEEVRATRKPLSTKSIPIHTKTEGSNVANDKHNVKTKTDEGKRVCGDNTVVVKFVDTAIVGKSETEDACHHGLVDPTINMKEAMNVINSMFRAPLETVPVARKSHKNHSKVDCSTQNGFEIFVDENVDTGFKPRQESLQIYIDDDGHSETSDVNVNLSEDSLPPSSQRSGFIFTQPKDIPSKKYNDKDAENSRNSRLFREDTVVRRFVGSAISNEPEVENVCHHGLVEPTVNMKEAMDDINNMFGKPIDFVRRKRSRKQETAPESNSGKDFGGFSILADDDCENQACLPPPPPPKLQGKKSKESDLFEPTFVTKEAMDDINKMFNMPLDF >OIV98090 pep chromosome:LupAngTanjil_v1.0:LG14:9831096:9833639:1 gene:TanjilG_25955 transcript:OIV98090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQREIMGNGSFNLPPGFVFSPTDEELVLHFLYSKATLLPCHPNIIPDLDLSLLDPWDLNGKALSSGNEYYFFTKVKEKWATENGYWREIGVTNHIFSALDEKVGMKKYHVFHVGEDPQVTETNWVMHEYHICTSGFDTSYQSARRKRIQDKKWSKWVLCRVYEKNKSQNCYRHEDDSGSELSLLDEVYLSLDDDLEEISMPSYINQI >OIV98424 pep chromosome:LupAngTanjil_v1.0:LG14:2174661:2177303:-1 gene:TanjilG_16751 transcript:OIV98424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVHTHRVRDNSPNRGKICRIQKKVKPAKKVQVVYYLSRNGLLEHPHYVEIKLLANEPLRLKDVFDRLIALRGSGMPLQYSWSCKRNYKSGYVWYDLALNDIIHSAEGAEYVLKGSELVEGCSERFQQLQVGNKQTIHQQQEGHYNYNSKGKAFNSSPHTQREGEEYEDFEEQEEEYEDGEKTSYTSSTTTPHSRCSRGVSTDEILVLDEDQDTTKTKPHDALKIKDQNKTTTSDKEETTATLAEKLNQEAQITNGRNTHSKRFEEESESGSRSGASRNSVLLQLIACGSSGVDAKNEPRRVSNVGTTNRIDKCVERTEKKVLCEAEMINYVSENPRFGNLVSEEKEYFSGSLVESIKANRVGSQIEPVLKRSNSYNEERRSKLGMEEVKVKGMEEEKRETKVGVKGKCIPRKKSSKDNKK >OIV98435 pep chromosome:LupAngTanjil_v1.0:LG14:2333721:2340967:-1 gene:TanjilG_16762 transcript:OIV98435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGILAVLGCVDNSQAKRSRIIELSRRLKHRGPDWSGLHCHGDCYLAHQRLAIIDPTSGDQPLYNEDKTVIVTVNGEIYNHKQLRQNLSSHQFRTGSDCEVIAHLYEEQGEEFIDMLDGMFSFVLLDTRDKSFIAARDAIGITPLYLGWGLDGSIWFASEMKALSDDCERFISFPPGHIYSSKQGGLRRWYNPPWFSEQIPSTPYDPMILRETFEKAVVKRLMTDVPFGVLLSGGLDSSLVAAVANRYLADSAIAQQWGSQLHTFCIGLKGSPDLKAAKEVADYLGTRHHELHFTVQEGIDALEEVIYHTETYDVTTIRASTPMFLMSRKIKSLGVKMVLSGEGSDEIFGGYLYFHKAPNKEEFHEETCRKIKALHLYDCLRANKSTSAWGIEARVPFLDKEFISTAMSIDPEWKMIRSDLGRIEKWVLRNAFDDEKNPYLPKHILYRQKEQFSDGVGYSWIDGLKEHANNQVTDGMLMHANYIYPENTPTTKEAYHYRTIFEKYYPKNAARSTVPGGPSVACSTAKAVEWDAAWSKNPDPSGRAALGVHEAAYEDTVDTKLAEPKNGSL >OIV97657 pep chromosome:LupAngTanjil_v1.0:LG14:15480797:15482565:-1 gene:TanjilG_12414 transcript:OIV97657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METRAAKRKSTSVNVSDFQDENSMKKQRVVLGEISNVPNFTEEPRGVKNPRIKRVAASRRPFSSLDSDFDDEKKAFVSVTAKSTTNVKFSDPQNVEPMVNDICSYLFRMERENKRRPMVNYIEKVQCEVTPHMRGILVDWLVEVADEYKLLPDTLFLSISYIDRFLSLNRVAKPKLQLLGVSTMLIAAKYEEINPPNVEDFVLITDNTYDKSEVVKMEADILKSLSFEMGNPNAKTFLRSFVAIGCENTKVKKLQFEYLCNYLAELSLLDYGCLKFLPSLVAASATFLARYMIWPKLHPWTSNLCKSTGYDVAELKECVLILHELYLARRGGSFTAIREKYTQQKFKNVAHLPSPPQLPSPLFEED >OIV97561 pep chromosome:LupAngTanjil_v1.0:LG14:16086893:16087878:1 gene:TanjilG_12318 transcript:OIV97561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGGKSKTESKRADPKLAVNKKGGATAKGTRKPAKAKAAKDPNKPKRPPSAFFVFMEEFRKQFNKENPNNKAVSAVGKAAGAKWKTLSEADKAPYVAKAEKRKQDYEKSMKAYNKKQEEGPTAAEEESEKSLSEVEDDDEDDDDEVCFG >OIV97567 pep chromosome:LupAngTanjil_v1.0:LG14:16047574:16051562:1 gene:TanjilG_12324 transcript:OIV97567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPYKYRPSSAFNSQFWTTNSGAPVWNNNSSLTVGTRGPILLEDYHLVEKIANFDRERIPERVVHARGASAKGFFEVTHDISHLTCADFLRAPGVQTPVIVRFSTVIHERGSPETLRDPRGFAVKFYTREGNFDLVGNNFPVFFVRDGMKFPDMVHALKPNPKSHIQENWRIVDFFSHHPESLHMFSFLFDDLGVPQDYRHMEGSGVNTYTLISKAGKAHYVKFHWKPTCGVKVLLEEEAIKVGGANHSHATQDLYDSIAAGNYPEWKLYIQTIDPDHEDRFDFDPLDVTKTWPEDILPLQPVGRLVLNKNIDNFFAENEQLAFCPAIVVPGVYYSDDKLLQTRIFSYADTQRHRLGPNYLQLPVNAPKSAHHNNHHEGFMNFMHRDEEVNYFPSRYDPVRHAESFPIPPAIFNGKREKVSFDQLLNADMEHLLTRSNPGNNFFVCEGNATYIYPPRSHECIIEKENNFKQPGERYRSWEPDRQDRFIRRWVDALSDPRVTHEIRSIWISYWSQADRSLGQKLASHLNVRPSF >OIV98263 pep chromosome:LupAngTanjil_v1.0:LG14:2745523:2748005:1 gene:TanjilG_09897 transcript:OIV98263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGNHRMGMVIIESSTGSLAEYACEVEITECEPLPDGRFYIEIESRRRFRIIHSWDQDGYRVAEVEWIQDIMPPEGTSESETLLELTHNASESVQSWIRRAKEAARLDQRRLERLVSVEAMMPSPRDPERFSFWLATLSNRRPSERLDLLRIRDTTERIRRGLIFLRAEEQGCRIQ >OIV98292 pep chromosome:LupAngTanjil_v1.0:LG14:688845:691685:-1 gene:TanjilG_16619 transcript:OIV98292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFTGGSTFNGGSSREPKYKIEFHQKDSPFHPDDDQESIVIPDKNGQKFICYLPKVENEKSEKFVIQPNISNMIVETEKRIKQKTPDELLEVLKGQCFIRQEGWWSYELCYQKKLRQIHLEDEKVVQEFVLGVYDPEATSAFNQNLSDISTLKDPRSKDLSQRYHAHIYTNGTICDLTNKPRETEVRFVCLEPRAMVSSITEISTCKYALTVQSPALCKHPLFQEERPVWHTIDCNVLPQDYKDDKVIQENRNREIVMVTDSEVDDSEQ >OIV97914 pep chromosome:LupAngTanjil_v1.0:LG14:13265253:13268390:1 gene:TanjilG_12671 transcript:OIV97914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCPPPSTPPPPPLPPSQPSPMDVKIWRACAGASVQIPVVNSRVYYFPQGHIDQASSIPEGLSRNVYSNPCILCRIVDVQFLADHNTDEVFVKLILHPINRNSDFQNYLSSSPPPPPAIAGGGDSRSVSNNSSSGDGDENAVVSFAKILTPSDANNGGGFSVPRFCADSVFPPLNFNEDPPFQTLRIIDVHGNVWEFRHIYRGTPRRHLLTTGWSKFVNFKKIVAGDSVVFVKNSKGEVFAGVRRSKRASTRSCGRGGNDTNWSAMMLAVRGTRKRDDDVEKKQEDTVVKEGFSRNGKGKLAPEEVAGAAELAAQGMPFEVVYYPSAGWSDFVVKAEIVDAAMRIMWSPGMRVKMAVETEDSSRMSWFQGTVSAVCVPENGLWQGSPWHRIQVAWDEPEVLQNAKFFSPWQLEPVSSTPTLRTAFPLTKRFRAAQGSVGLTDGKGDPFFPMTGYTNSTMGQLNQTLSSYGTFPAGMQGARHNLFSTTDYYNFFSDMDNLRLGNSFGNYTAPSLKNVSTELNIGSSQSDNLSPDSHCSLHSFGTECVGIHNCNATKPGSGSIQLFGTTIETKQPVESVLHLTGCTGNDSCNCHNEIKDMDNLEQALAYSKMLNRLDDDRHYL >OIV97575 pep chromosome:LupAngTanjil_v1.0:LG14:15993613:15995886:-1 gene:TanjilG_12332 transcript:OIV97575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSLSHHRHCRLLFLIVLSLSSIIFFSLDASFSPFFLNFPFRKAGNLVFDKDELIRSRIAVCLVGGARRFELTGPSILDMVLKEYPNSDLFLHSPLDKNTFKFSLLKLAPNNVAAVRLFYPAPLPENQSYVRVLTAQNSPNGIQGLLQYFNLVEGCLTMIKSHQQKNNFTYDWIIRTRVDGYWNGPLGPENFVPRHYLVPPGSSYGGLNDRLGIGDITTSTVALNRLSLIPQLDSLGFEELNSESAFKAQLTTQNISYVTKRLPFCIVSDRRYDFPPDRFSVPVGSLSSPGPLSGAKCRPCTRICHGTCVNDVMVNLDKDWSWTHYENGALELCDAREEWEDGWEKIFDRLVGKKFAKARKKVHSMKFDECVEDLLRFKKRTAYWDAPSVEDICKLGFSES >OIV98119 pep chromosome:LupAngTanjil_v1.0:LG14:9261859:9266176:1 gene:TanjilG_25984 transcript:OIV98119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKETLFTIFSNHTNQNDTVSRNNKPGEEEEEEEEEEEEEENEDIELIVVPPHSWKPKLVQTQPSSQRVSSTLEKSLSNGDVYAGTLAGNLPDGAGKYLWSDGCIYEGEWKNGKACGKGIFSWPSGATYEGEFLCGRIHGTGTFTGVDGDSYRGSWVADRKHGFGEKRYGNGDVYKGSWRFNLQDGEGRYWWCNGNEYFGEWKNGTISGNGVLVWKNGNRYEGWWENGVPKGEGVFTWRDRSSSKGNWGKEFVNEERVVKKRMSFDSNSKSVGFPRICIWELDGEAGDITCDIVDNVEASMFYKDGSEFENGVGFGKLQKSNCSSVEGDVKKPGYIVSKGHKNYDLMLSLQMGIRYSVGKHASVVRDLRPGDFDPKEKFWTRFPPEGSKFTLPHQSVDFRWKDYCPVVFRHLRKLFDIDPADYMLAICGNDALREMCSPGKSGSFFYLTQDDRFIIKTLKKSEVKLLIRMLSSYYQHVSQYKNSLVTKFLGVHCVKPVGSPKTRFIVMGNVFCSEYQIHKRFDLKGSSHGRITDKPHEEIDETTTLKDLDLNYVFHLEQSWFQELMWQLDRDCEFLEAEGIMDYSLLIGLHFCDEYSTDKIKSSPHNLYSGNMGKDGKHDFRWIPIGRGPIIRLGTDMPARAERVCKAGFDQHTGSGSNHSTPSDSSGGEISDVILYFGIIDILQDYDISKKLEHAYKSLQADPTSISAVDPKLYSKRFRDFIHRIFVEDK >OIV98095 pep chromosome:LupAngTanjil_v1.0:LG14:9711001:9714472:-1 gene:TanjilG_25960 transcript:OIV98095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIGKYTRVDGRRSSLSYCSTVTIVVFVALCLAGVWMMTSSSVVPVQNRDAHENKNEVKEQSDIKEQASEINNSNTRQFEDNPGDLPEDATKGDSNVSSEDNSNLPEKQEDKSLEDDKIEDADKKTEDEDSKTENNDSNSEATENVKDSDDTSTKESDSDENERKSESDDNNKSDKEESEKNSDNSNETANSNIEEKGEQSDNKDSDESSSEKNTDDNAKNQSSNEVYPSGAQSELLKESTTQTGSFSTQAAESKNEKESQESSKKTTAYTWKLCNVTAGPDFIPCLDNWKAIRSLQSTKHYEHRERHCPEEPPTCLVSLPEGYKQSIEWPRSREKIWYYNVPHTKLAEVKGHQNWVKVTGEYLTFPGGGTQFKHGALHYIDFIQGFVPDIAWGKHTRVILDVGCGVASFGGFLFDRDVLAMSLAPKDEHEAQVQFALERGIPAISAVMGTQRLPFPGKVFDAVHCARCRVPWHIEGGKLLLELNRVLRPGGLFVWSATPIYQKLPEDVEIWNAMKSLTKAMCWELVSISKDRVNGVGIAVYRKPTSNDCYEKRSKSEPPVCPDSDDPNAAWNVPLQACLHKAPVSSSERGSQWPEKWPARVAKVPYWLLSSQVGVYGKPAPEDFTADYEHWKNVVSKSYLKGMGIQWSNIRNVMDMRSIYGGFAAALKDLNIWVMNVVSTDAPDTLPIIYERGLFGIYHDWCESFNTYPRTYDLLHADHLFSKLKERCNIAAVVAEVDRILRPGGKLIVRDTVEIIEELESMIKAMQWEVRMTYSKDKEGLLCVQKSMWRPKDSETLQYAIV >OIV97915 pep chromosome:LupAngTanjil_v1.0:LG14:13251651:13255284:-1 gene:TanjilG_12672 transcript:OIV97915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLSQKMKTRPSTKISAKWIPIFSVFSFLIGMLITTRVWESPESNGMFISQHGHDKELQVISEDCATTKKQPKDAMNELYKTHEAIQHVRALDKQVSTLQMELAAARSTREISDSDSSNKTSGEEAPTRKKAFIVIGINTAFSSRKRRDSVRETWMPQGEQLLQLEREKGIVIRFMIGHSATSNSILDRAIDSEESQHKDFLRLEHVEGYHELSAKTKIFFSTAVAMWDADFYIKVDDDVHVNLGVLATTLARHRSKPRVYIGCMKSGPVLSRKDVKYHEPEFWKFGEEGNKYFRHATGQIYAISKDLATYISINQPILHKYANEDVSLGAWFIGLEVEHIDERSMCCGTPPDCEWKAQAGNICVASFDWSCSGICKSVEKIKYVHSKCGEGDGAVWSALI >OIV97796 pep chromosome:LupAngTanjil_v1.0:LG14:14462926:14466838:1 gene:TanjilG_12553 transcript:OIV97796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHDYDDARAVATGPGYDEQLGYDPNFVPDSVKSFVVHLYRHIREKNVYEIHQMYETSFHTLSDRLFKDTPWPSVDAVAHYVDNDHVFCLLYKEMWFRHLYAGLTPTLRQRIDSWDNYCSLFQVVLHGVVNMQLPNQWLWDMVDEFVYQFQSFCQYRAKMKNKTEQEIALLRQFDQAWSVYGVLNFLQALVEKSNIIQILENEKEGLEQFTSTDGYDYNGGSNVLKVLGYFGMVGLLRVHCLLGDYHTGLKCLQPIDISQQGVYTLVIGSHITTIYHYGFANLMLRRYVEAIREFNKILLYIYKTKQYHQKSPQYEQILKKNEQMYALLAICLSLCPQNRLVDETVNSQLREKYGEKMIRMQRYDDEAFAIYDELFSYACPKFITPSAPSFEEPLVNYNQDAYRLQLKLFLYEAKQQQLLLGVRTYLKVYSTISLAKLASYLEVDEPTLRTILITYKHKTHAVDSDGKVISNADVDFFIDDDIVHVVESKHAKRYGDYFLRQVVKLEGVINELDAIKLD >OIV98215 pep chromosome:LupAngTanjil_v1.0:LG14:5853902:5855240:-1 gene:TanjilG_18754 transcript:OIV98215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKKRIAVPLVCHGHSRPVVDLFYSPVTPDGFFLISASKDYSPMLRNGETGDWIGTFEGHKGAVWSCCLDTNALRAATASADFSTAVNSGRIGYYCTAADGSRASPPPILVEEHFTMWHDGATSCLQLE >OIV98335 pep chromosome:LupAngTanjil_v1.0:LG14:1182196:1183347:1 gene:TanjilG_16662 transcript:OIV98335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSEPVLMNELIEEILSWLPVKTLVQFSSVCKYWKSLLSDPYFIKLHLQRSSKHDNLILTIITPSGRDRCVTPCSIGSLLRNRYIAPEDGHLQLNCKYSVLGSCNGLVCLIGSTHENQIGQHWVRFWNPAIRLKSRKSPFLQVDLHANELGSTKFGFGYDKSSDTYKVVAVLCNRNAMEDSERTQVKVYNMGDQCWRDIQTFPAFPTNFRNGGRFLNGTLNWLAIRNYAAGCDWDTVTIDQLVIVSLDLGKETYKQLSLPVGLDEIPHFEPTIGVFRDCLYLFHDYKMTNFVVWQMKEFGVESSWTRHGSIRYQYLDVQRRIKFFSLVPLCISENGDVLLMLNHSFELIIYHPRGKRVECAKCQNKVWIDAKDHVQSLVVPY >OIV97692 pep chromosome:LupAngTanjil_v1.0:LG14:15245724:15249204:1 gene:TanjilG_12449 transcript:OIV97692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWESIVLTVIATAGNNIGKVLQKKGTIILPPLSFKLKVIRAYALNKPWMIGFLMDIVGALLMLRALALAPVSVIQPISGCGLAILSIFSHFYLKELMNTVDWVGITLAGFGTIGIGAGGEQQEAADLSILRIPWLAFVILILFILLNGWLRICKRQRREQEMIGYDVVEEIIYGLESGILFGMSSVISKMGFLFYEQGFNKLLVPMCLIISVFSSGTGFYYQTRGLKHGRAIVISTCAAVASILTGVLAGMLALGERLPSVPKARLLLLLGWLLIITGVILLVGSARLMRLLKFPSHRFRRSSADKNYGHRRSGSSRIREPSPTAVIHAATLNNLLSSSKEKA >OIV97581 pep chromosome:LupAngTanjil_v1.0:LG14:15971402:15972478:1 gene:TanjilG_12338 transcript:OIV97581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFFSLFFTGALLAGGLYWFVCILGPAEQKGKRATDLSGGSISAENVLDNYNNYWSFFRRPKEIETADKVPDFVDTFYNLVTDIYEWGWGQSFHFSPSIPGKSHRDATRLHEEMAVDLIEAKPGKKILDVGCGVGGPMRAIAAHSRANVVGITINEYQVNRAKLHNKKAGLDSLCEVLCGNFLKMPFSDNSFDGAYSIEATCHAPNLEEVYAEIFRVLKPGSLYVSYEWVTTDKYNSENEEHVEVIQGIERGDALPGLRSYVDISKTANKVGFEVVKEKDLAKPPAQPWWNRLKMGRVAYWRNHIVVTVLSALGIAPKGTVDVHEMLFHTAHHLTRGGESGIFTPMHMILCRKPNSSS >OIV97644 pep chromosome:LupAngTanjil_v1.0:LG14:15587108:15588051:-1 gene:TanjilG_12401 transcript:OIV97644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMMMMQFPEFSAAYGQNDTVEKFPQPEFSGGAVNTNPPMPWSSFTNSPSVSISFTNNPTQVLHQHEQNRPPMLSNSVKESWKPSNMLEAANPYEKRNSMAAMREMIFRIASMQPIHIDPESLKPPKRRNVKISKDPQSVAARHRRERISEKIRILQRLVPGGTKMDTASMLDEAIHYVKFLKSQVQSLERVSNGSNTRPVHASGIGFPVAMNPLPKPYQA >OIV98001 pep chromosome:LupAngTanjil_v1.0:LG14:11321684:11325303:1 gene:TanjilG_26632 transcript:OIV98001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGAGRGLECQKIMDGKASNGNGTKNEIPSCCLKAKTSDPEFEAKCHSTVVSGWFSPSQTCSGKSGKVVYFNNPMWPGEAHSIKVEKVLYKEKSEYQEVLVFESSTYGKVLVLDGIVQLTEKDECAYQEMIAHLPLCSIKSPKNVLVVGGGDGGVLREISRHSSVEHIDICEIDKMVIDVSKKFFPELAVGFEDPRVHLHVGDAIEFLRSTPEGKYDAIIVDSSDPVGPAQELVEKPFFETIAKALRPGGVLCNMAESMWLHTHLIEDMISICRETFKGSVRYAWASVPTYPSGVIGFLLCSTAGPPVDFVNPINRIEKLEGADKHGRELRFYNSEVLCFAAAESDVIILMQMHSAAFVLPTFLRREVRLLRD >OIV97736 pep chromosome:LupAngTanjil_v1.0:LG14:14936750:14940629:1 gene:TanjilG_12493 transcript:OIV97736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKWAPTLLAQKNKKHSVKLNELSLELGVSEAKVVSKNNKFAIEINGIGTRKGKPCTQTTSSRRIITTKVPFILWNSNDLYDFHLLIKDGSFDLAFHVLYGDCAKMTVVGKVSMSVVTAELLAGEEMKMVSNSHNLHRSLPIKLKLNGLFIQATLSVSLKLSKVGNSEDDPQGSFGNLVKSEKKGGFIGKVKFFTNLTKKNNGKFHQLSPYESDDSPVFDSDDSPNYSTTSSGSSNNSRRIIHNRGSRFNNRSERFMSSVHWNWPELSWNRSFRKWIFKTTTHSCPFKKPHDHELSPQFQDNNQGPSSRWEKKKIVSRDGLARVKTKVFFGSFDQRSEEASGESACTVLVALIAHWLHSNMDMPTRAEFDSLIRQGCSEWRRLCNNDYYLNLFPDKHFDLETIIEAKLRPLIVVPQRSYTGFFSPEKFQCLKGAMSFDEIWDEINNANMDDYIYNPRIYIVSWNDHFFVLNVEADAYYIIDSLGERLFEGCKQAFILKFDESSVMYGKVKKEEEFEIVCSGKECCKEFIKRFLATIPLGQLEKEENKGIVSIPYLHRQLQIDLHYSSSLSSSPSSSASSSSSLLSS >OIV97710 pep chromosome:LupAngTanjil_v1.0:LG14:15123789:15128242:1 gene:TanjilG_12467 transcript:OIV97710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTLVSRLIHSTTSVSRLNAMAMSKSVRNLSTDSNKVDEPFKVEEAETVNVPPPPTERLLVLGGNGFVGSHICREALDRGLTVASLSRSGKSSLHDSWANNIAWYKGNILSTDSFKEALNGVTAVISCVGGFGSNSYMYKINGTANINAIRAAAEQGVKRFVYISAADFGVVNYLLQGYYEGKRAAETELLTRFPYGGIILRPGFIYGTRSVGSAKIPLGLIGSPLEMVLQHAKPLNQVPLVGPLLTPPVDVTAVAKVAVRAATDPVFPPGIVDVYGIQRYSQHKSK >OIV98078 pep chromosome:LupAngTanjil_v1.0:LG14:10603459:10605943:1 gene:TanjilG_09571 transcript:OIV98078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNISLLSRLYKQHQPSDHSDPLMILQEEKEEEATTPFWNKGIRLCGLMEKDLLKLYEAARKAADAASSGDGESEESRCIDALEHLKKFPVNYKILVSTQVGKHLKSLTKHPRPKIRAFAIDLIEIWKDVIIKETCKNKNGSSDNKVEPANGERAKVAKFQKSPSVKVEKTETIKDEKIDRNGTPRSSSESTKKAQTVDVRIEKSGGAANGKVEKEVSGVKRTSSISVPPPKLKTMIKSNDSVRDKIRELLQEALSKVPGEADEDVMDEVNACDPIRVAVTLESLLFEKWGPSNGAQKVKYRSLMFNLKDQNNPDFRRKVLLGVIEPERLINMSTAEMASERRKQENKKLEQKALFECERGAQPKATTDQFKCGRCGQRKTTYYQMQTRSADEPMTTYVTCVVCNNRWKFC >OIV98521 pep chromosome:LupAngTanjil_v1.0:LG14:7896:8144:1 gene:TanjilG_27612 transcript:OIV98521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAAAGDTIWNNGGACGQMYTITCTGATNQGVPEPCKGGSVTVKIVDRCPSPGCQGTIDLSQEAFSTIADINAGKIQIDYTQ >OIV97798 pep chromosome:LupAngTanjil_v1.0:LG14:14447549:14452100:1 gene:TanjilG_12555 transcript:OIV97798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSYIQIDSIYIDLTNSDGKREAGKCENFSIRGYVSEIHKKDWKACWPFPIYESEENTSCPQLDDPKYRCWCCPNCPQESASEDINKDDTNCSTAALQSATQQDPMPNTLERREIDLNTYPGCDSDFLPFSNEKEKKAGVALNKRIGCKDNGVSGVERASNHKCMDKSSSEIYNERTPSADNQCQTELIKVCSVLGDIPTATKADKTTNHTTGPPPLYSVACNRSVPSGSTRKIVESDFQGLHLEKKTAFSRRRPRKVRLMTHLLSEKSDLKTDKLTIQGSPSHGTSNASEGSQSHSMIPGKVDTQGESTLTNMCHSKKRKFILDEAPGPEDMCVQGVAIEVQKGDATKYKDVMAGIGLQDVTRGFQSKSEIERNHIMGKKSRKIKVIDNHLIPEPHQGKQRVNEDTVYPADKAYASKTLYSRFTPRAITEKGMDKFPFHAPRTENEFSLSKGKGKILQTHREMGSLSCQKNATLVKNPFAYSGGKIMSNMPVTIPIPSAQGTLNGKGVEEGLQHSLNSQLAVQIYDKKCIHQTEIRLPFGLPLQEDTSNVHQQKRKDSETIVYGGVHCEETTGARNKEKTVEAVEKLGVLKRCSEQTVLCEEGALDDIPMEIVELLAKNQYERCLPDAENRSSIFEKSTVKRKIQMTDGCSAYGKGNLSLLKKGQKKKHEGAHKKSFTTTKREIVKPSKRKSVHYYSPFDGNNLGTNNARPLGPPFPHMGSSHLGSARNCNFNGIIEECGSSNATLQANVGCSLHNTILQQDNEASRIWASLTSNHVSLGYDVPKMGVFQPTSASVDITSIQSGALHKQRMRRDIDLNCRNLHVAGPEMLNRNKGPGTVSRTTGRFPFPEKHNGMEPYQNLRGSVDVYSAETISAMHLLSLMDAGKQPHTSFNEGAKAQMLRRPYHGNCSTKLEIGTSKTHNTPKKQSFDYYSRSYLSDKSGGCFLGSPTFVPSSSTQRDMKILRDDGAFAGQNSIEFGKKEKAKSSNSFLHDRLDVRGTRKIETPVQHKLDFRGTRETVLPVRVTLGSSCMVNKNPADFTMPETGNVYMINGVDLKFEKIISKKRPHFPTPRGCKKQRNLKVTKAKEHSKH >OIV97783 pep chromosome:LupAngTanjil_v1.0:LG14:14534739:14536007:-1 gene:TanjilG_12540 transcript:OIV97783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAYLPATTESIALALEAKDPSEAISILYRVLDDPSSSPEALRMKEQAITNLSDLLRQESRGEDLRSLLTQLRPFFSLIPKAKTAKIVRVIIDSVAKIPGTSDLQIALCKEMVQWTRAEKRTFLRQRVEARLAALLMESKEYSEALSLLSGLVKEVRRLDDKLLLVDIDLLESKLHFSLRNLPKAKAALTAARTAANAIYVPPAQQGAIDLQSGILHAEEKDYKTAYSYFFEAFESFNALEDPKAVFSLKYMLLCKIMVNQADDVGGIISSKAGLQYVGSDLDAMKAVADAHSKRSLKLFEVALRDYKAQLEDDPIVHRHLSSLYDTLMEQNLCKLIEPFSRVEIAHIAELIELPIDHVERKLSQMILDKKFAGTLDQGAGCLVIFDDPKTDAIYPATLETISNVGKVVDSLYARSAKIVA >OIV98028 pep chromosome:LupAngTanjil_v1.0:LG14:10775062:10775253:1 gene:TanjilG_12259 transcript:OIV98028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIVTGPKEDRHLITGLHTVADVYCGDCREVLGWKYERAYEASQKYKEGKFILEKSKIVKENW >OIV97793 pep chromosome:LupAngTanjil_v1.0:LG14:14482765:14486207:1 gene:TanjilG_12550 transcript:OIV97793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLLSNGVSTLSPLHNFKPYNNHRNQTKLLKVRANVGYDQPNISTTTTSDDKIREILRNRDYDKKFGFTFDIDSFSIPKGLSVETVRLISTLKEEPDWMLQFRLNAYEKFLRMKEPNWSDNIYPPINFQDLCYYSAPKKKPSLNSLEEADPELLRYFDKLGVPLNEQNRLANVAVDAVLDSVSIATTHRKTLEKSGVIFCSISEAVREYPDLVKKYLGRVVPSEDNYYAALNSAVFSDGSFCYIPKDTKCPMQISTYFRINALETGQFERTLIVADDRSSVEYLEGCTAPSYDKNQLHAAVVELYCGEGAEIKYSTVQNWYAGDEEGKGGIYNFVTKRGLCAGARSKISWTQVETGSAITWKYPSVVLEGDDTIGEFYSVALTNNYQQADTGTKMIHKGKNTKSRIVSKGISVGHSKNCYRGLVQVQSKAENARNSSQCDSMLIGDNAAANTYPYIQVKNPTARVEHEASTSKIGEDQLFYFQQRGIDYEKAMAAMISGFCRDVFNELPDEFGSEVNQLMSLKLEGSVG >OIV97908 pep chromosome:LupAngTanjil_v1.0:LG14:13308338:13314662:1 gene:TanjilG_12665 transcript:OIV97908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEAISHADGKLEVDAIDVQSEHQDKQSKEAKKLDIDHNGSEFDNEQGDKVDASGDFEPKEKDDNENEIRSEDPNLEPVFDGTEVPGMEACQNSSTVKLESDQETPLVVEKAVALKNFVKEKSLVAVSTMLRRFSGESDEGAVSNFDDEGKYVSGFSKDGEPNAVASEKSGDKFDWNPLNYIKKLSDVDLENKTEWRDSLTTGPPPPIAMKGRIILYTKLGCQESKQIRQFLYMKRLRYVEINIDVYPSRKMELSKISGSNSVPKVYFNEILIGGLSELKTLDESGKLDEKIEYVITEAPISEAPSPPFPGEDEESSSGELDEMALVVLKLKASIAVKDRFYKMRRFTNCFLASEAVDFLSEDQYLERPERELVEMKEAISHADGKLEVDAIDVQSEHQDKQSKEAKKLDIDHNGSEFDNEQGDKVDASGDFEPKEKDDNENEIRSEDPNLEPVFDGTEVPGMEACQNSSTVKLESDQETPLVVEKAVALKNFVKEKSLVAVSTMLRRFSGESDEGAVSNFDDEGKYVSGFSKDGEPNAVASEKSGDKFDWNPLNYIKKLSDVDLENKTEWRDSLTTGPPPPIAMKGRIILYTKLGCQESKQIRQFLYMKRLRYVEINIDVYPSRKMELSKISGSNSVPKVYFNEILIGGLSELKTLDESGKLDEKIEYVITEAPISEAPSPPFPGEDEESSSGELDEMALVVLKLKASIAVKDRFYKMRRFTNCFLASEAVDFLSEDQYLERPEAVEFARMLASKFFFNHVLDENVFEDGNHIYWFLGDDPTVASQCHNIPRGIITVKPKPITEIASRLRFLTSALFEAYVSEDGRHVDYISMHGSEEFARYLRIVEELQRVEIWDLSREESLAFFINLYNMMAIHAISVLGHPAGALERRTLFGEFRYVIGGSTYSLSAIQNGILRGNQRPPYNLKKPFGAKDKRSMVALPYPEPLIHFALVNGTRSGPALRCYSPKTIDEELIHAARNFLRSGGVVIDLTAKTAHASKILKWYSIDFGKSEVEILKHVSNYLDPAVSEKLLILLATSDLKVTYQPYDWGLNN >OIV98497 pep chromosome:LupAngTanjil_v1.0:LG14:402978:406606:1 gene:TanjilG_18781 transcript:OIV98497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIFKCFHFNLLATTVMFTAVLAIDPYSEALLSLKSELVDDDDTLHDWVVPIGGNLTEKSYACSWSGIKCNKESTIVTDIDLSMKKLGGVMSGKQFTIFTKLSDLNLSYNFFSGQLPLEIFNLTSLQNLDISRNNFSGHFPGGISRLQNLVVFDALSNSFSGPLPAEFSQLEYLKVLNLAGSYFKGPIPSEYGSFRSLEFLHLAGNFLSGNIPPELGNLKTMIHMEIGYNFFQSSIPFQIGNMSKLQYLDIAGANLSGPIPKQLSNLTNLQSLYLFRNNLTGLIPSEFSKIKALVDLDLSDNHLSGSIPESFSELKNLRLLSLMYNDMSGTVPEAIAELPSLETLLIWNNRFSGSLPQSLGRNSKLKWVDVCMNNFTGSIPRDICVSGVLFKLILFSNKFSGDLYPLSNCSSLVRLRLEDNSFSGEIPLKFRHLPDLSYVDLSMNNFVGGIPSDISQAIQLEYFNVSYNPQLGGTIPPQIWSLPLLQKISASSCGILGNLSSFEPCKSISAIELDRNKLSGTIPNSVSNCQALKQMKLSNNNLTGHVPEELASIPVLGVVDLSNNKFNGLIPGKFGSSSSLQLLNVSFNYISGSIPTGKPFKLMDSSAFVGNSELCGAPLRPCPDSVRILGGKGAWRLTRIVLLSIGLLIILLGLAFAIRYFRRGVKNQWKMVSFSGLPQFTANDVLTSFSATKITEVPSPSPLISKAVLPTGITVLVKKIEWEARSTKVVSEFVMQLGNARNKNLIRLLGFCFNQHQAYLLYDYLPNGNLAEKIGMKWDWAAKFRTVVGIARGLCFLHHHCFPAIPHGDLKSTNIVFDENMEPHLAEFGFKHVLNLSKGFSLTSAKWEKETEHNEAMQEELCMDIYKFGEMILEILTSGRLTNAAASIHSKPWEVLLRETYNENEVSSASSLQEIKLVLEVAKLCTRSRSSDRPSMEETLKLLSGLKPLKDDTTQEGY >OIV98423 pep chromosome:LupAngTanjil_v1.0:LG14:2171812:2172909:1 gene:TanjilG_16750 transcript:OIV98423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSESHRSESQTNPLSDQFSSVNDLCHDLSSLQDLATRGAWRSIIDKVARARALSLLHKPHDHITYLAFNALAFTKLRRFNEASSELDSMEDLDSSHYRYETYPKIYANRVGSMVPFCLRWIHALIPIKLGHRQQGLDRLYKLLDFTRTKITEKEKVNLTASLSAWRKREGFVMNCIIGEHLSHKEFGVCLSLIKDLLTNDANDPVLISQLGYIQLQIGDLEGAKVSFSNVENLMRESKSNGSLSEVELKNIVNRNKALVYMVEKDYVSAVREYDECIERDDSDIVAINNKALCLMYLRDLSDSIKVLENALERVPTMALNETLVVNLCSMYELAYVNHSDIKRTLSNWIARVAPDDFDASCTRT >OIV98060 pep chromosome:LupAngTanjil_v1.0:LG14:10332673:10335708:1 gene:TanjilG_09553 transcript:OIV98060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDPTTRESGSGADSSQCLVLDLNLPSNGRDELSDLVMQLDQTFKRRNGGRNKHGRGHVKFICCSNCGKCCPKDKAIKRFLVRNIVEQAVVRDVQEACVYERNILHLFKLLHHLSFYRVLTQRVHSRTDRRKRDPPQHFIRRRDDAPRPGQPGQAPRPAAGVKAPAPRA >OIV97825 pep chromosome:LupAngTanjil_v1.0:LG14:14185163:14189105:-1 gene:TanjilG_12582 transcript:OIV97825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADFSLPQDSYFLGFDSSTQSLKATILDSNLNIVASELVHFDSGLPHYKTKDGVYRDPSESGRIVSPTLMWVEALDLILEKLSKSKLDFGKIAAVSGSGQQHGSVYWKNGSSQILSSLDPKKSLLDQLESAFSINESPIWMDSSTTVQCREIEKACGGAMELAQVTGSRAYERFTGPQIKKVFDTQPEVYKNTERISIVSSFMASIFIGDYAAIDHSDGSGMNLMDIKERAWSKLALEATAPGLESKLGKLAPAYAVAGNIAPYFVERYHFNKNCLVVQWSGDNPNSVAGLTLNVPGDLAISLGTSDTVFMITKDPNPGLEGHVFANPVDAEGYMTMLVYKNGSLTREDVRNHCAEKSWDVFNKFLQQTPPLNGGRLGFYYKDHEILPPLPVGYHRYVIENFSGDSLDGLKEQEEEFDPPSEVRALIEGQFLSMRAHAERFGMPSPPKRIIATGGASANESILSSIASIFGCDVYTVQRPDSASLGAALRAAHGWLCNKKGGFLPISDMYMDKLEKTSLSCKLSANAGDQELVSKYATFMKKRIEIENSLVQKLGRC >OIV98255 pep chromosome:LupAngTanjil_v1.0:LG14:4142588:4160959:-1 gene:TanjilG_14844 transcript:OIV98255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESEKNMELPFSHLKQSREEKYKGSTMTRKGIHTALSYMAAAVLLVMFNKAALSSYNFPFANLITLCQVVTMEAVRRVNVPMYTTLRRTTVAFTMIVEYFVSGQRYSTFVVASLFYRIYDKMGINEIRTWEHAVERKPKHPYHKVVILLSCLLTFFMNYIVVLNTIVNSALTQAICGNLKDVFTSGIGWLLFKGLPYDLVKTNPVAILNS >OIV97784 pep chromosome:LupAngTanjil_v1.0:LG14:14529430:14533301:-1 gene:TanjilG_12541 transcript:OIV97784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPNLFLLLVLSVSLIFSHVRAEAVVVDDEDVLIQESSIVEKSQEVKKKDEVIVEKERIIQDRSNTIESLQNEIASLQKKGSLVAEEEVGKAHARAGELQKQVEKLERDLETQKKENTIWETRAAEAEKKVHDLNSKLEDLQNINEEHKVNIRKIERALKVAEEEMVKAKFEATSKAKELTEVHGAWLPPWLDAHYIRSKSLVESHWNKHGKPALEVVTQKVLEKKAQAGKWAEPHVETITTKWVPAVKEQWSVVKTNAEPHVQLLTTKTVEAYEASKNAITPHVSRAKEVVDPYYQEAKKISKPYVDQVATVAKPHVDKVQVVLKPYTKKVVIAYGRFLESATTYHRQVQATVQETLKKHELTRPLATKELEWFAVGIKRLSCPDQYYQNIMMIK >OIV98096 pep chromosome:LupAngTanjil_v1.0:LG14:9657987:9700546:1 gene:TanjilG_25961 transcript:OIV98096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRTVTVLNVAEKPSVAKSVSAILSRNQGLRVREGRSRYNKIFEFTYSIRGQPCQMLFTSVTGHLMELEFEDRYRKWHSCDPADLYHAPVRKFVPEDKKDIKRTLEEEARRCQWLVLWLDCDREGENIAFEVVDVCTAANRYVTLKRARFSALIDREIHNAVQNLVEPNKWFADAVDARQEIDLRIGASFTRFQTMLLRDAFIIDTPTDDRNLVLSYGPCQFPTLGFVVERYWEIQAHEPEEFWLINCSHRSDEGVANFSWMRGHLFDYTCAVIIYEMCTEEPNATVTNVKQQEKLKYPPYPLNTIELEKRASRYFRMSSEHTMKVAEELYQAGFISYPRTETDSFSSRTDLHTIVQEQQGHPEWGIYAQRLLDPGTGLWRNPRGGGHDDKAHPPIHPTKFSAGEAGWSQDHRKLYELVVRHFLACVSKPAVGAETIVEIDIAGEQFSVCGRVILEFIPTALTLDSGVTRPPPLLSEADLLSSMDKEGIGTDATMHDHIKKLLDRFYVTKDSSTRFTPTNLGEALVMGYDDMGYKLWKPDLRAVMERDMKSVSEGVKSKDEVLANSLQQMKACFLDVSSPVYLIQFKFRQLEIPPNYSVNHLARARGPNTPTSHANQSNTRQGLCTNCQQTGHSSNDCPSQNLGSRNAEHRRMNQHNGNVGPVYLIQFKFRQLEIPPNYSVNHLARARGPNTPTSHANQSNTRQGLCTNCQQTGHSSNDCPSQNLGSRNAEHRRMNQHNGNVVSSDSVLAMVFELPLWM >OIV97624 pep chromosome:LupAngTanjil_v1.0:LG14:15699407:15699760:1 gene:TanjilG_12381 transcript:OIV97624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKELSVAIAEASSIQGGEKGQKQVQSQAPRKRGRPRKIIVMERTQEKKIEAASTAEKSKESSMKKEQEKQQQQQEESATATEAACISINRKEEEFQLPKEEPSRNRARRKSKPRKST >OIV98427 pep chromosome:LupAngTanjil_v1.0:LG14:2229484:2231002:1 gene:TanjilG_16754 transcript:OIV98427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNIGIPNSKPTKLYRGVRQRHWGKWVAEIRLPKNRTRLWLGTFDTAEEAALAYDNAAFKLRGDLARLNFPHLHHHGAHVYGEFGNFKPLPSSVDAKLQAICETLDTTKHRKTEKTCSNVVVTKPKVNAKLELDVSQIDSEDFKVVIIPKLSSIFDESSSSSYDGSASSPESDITFLNFSESNEIDNFGLDLGKSGLGEEPPGSLALKFFDLSLERQMSLRRKTSSLER >OIV97926 pep chromosome:LupAngTanjil_v1.0:LG14:13083518:13087179:-1 gene:TanjilG_12683 transcript:OIV97926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERTTSSLGSSMRRYSLSISSHESHHHNIDNDAECESVVSEAGDIGDRALRSRRFSESNSIHLSFDNRSENGVVDVVYNQEEQRLQPHTTFSHPNSSVRPLPQELTSISPLSTDAIVGSEDPNQEPHKGLLVLLDYASCMVQLAVFGILGVFTRYLLQKLFGPGNAAVTSNHTLLYLDLPSNMVGSFLMGWFGVVFKGDIISNVSEHLAIAITTGYLGSLTTFSGWNQKMLELAVSGHWLFSALGFLIGMFLVAYSIIFGIKTAKGFRWLLNRLNMSSGNGNFKIKSKLDSCSCQFKVMVFFLVILVILWGVSGALVKAEFKHGGSAAQLWFACLVSPIGVWIRWFLARLNGRGLGKAGLFKWVPFGTLIANVSAASIMAALASLKEAVNTRDCDTVVAGIQFGLMGCLSTVSTFASEFNAMSESNQPWRADAYAIITICVSFSLGILIYGVPVWTRGFDIEA >OIV97906 pep chromosome:LupAngTanjil_v1.0:LG14:13329005:13329358:-1 gene:TanjilG_12663 transcript:OIV97906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSNNFTKLPLNNDEYIGTESCIDLQNEIDFNHFDKSKTNTSVLIKSGSKKKEKREFPPTIPLLVGSHNNNNMLWIMKRYYTSDGRLVLKEEKVKYHEYFRAHRANGRLTLELACSP >OIV98288 pep chromosome:LupAngTanjil_v1.0:LG14:662952:663482:1 gene:TanjilG_16615 transcript:OIV98288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATDSRKSTKPLLRPLSPSGRFFNSRPPPSSYSSAGSVIPSARFTLDWCSISPNRSISVNTSAGSGQVVRRQSNRHQKRTCMCSPTTHPGSFRCSLHKNFGSHSTAAVRYSPNWLNARRSAMTNSLVRIRGVEGEYVKRALAALIRPSSHNQRRRCDFRPRRSRLCFMSKAEDDS >OIV98017 pep chromosome:LupAngTanjil_v1.0:LG14:10966820:10969287:-1 gene:TanjilG_16854 transcript:OIV98017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKEEKWQHEAAVVVSLEHFPVESSSPDITNEDSTTTYTPVTEDRYHVIAFAEATAMAAEAAVAAAQAAARVVRMAGYGRHTKEERAATLIQSYYRGYLARRALRALKGLVRLQALVRGHNVRKQAQMTMRCMQTLVRVQARVKARRLQLTKENLQRTLKEYKHREIKELVPYYKAMSPMKKLHANGWDNRHQSSHKIQRNDLRKHEAAMKRERALAYAFNCQQQCLQIDPNGYDIERYANEHEAAQWSWEWLEQWTSQPNHVMHLGAPHEMTLTTTTTTTDDMSEEKTVEMDMVAPSDSTHSNMGLMGQDFLDSSPMSDSYHQRQYSSGVPGYMTPTQSAKAKVRNQSPLRQRASVGPHWKSSTRRNSLNGMGYDSPSYGRATAGYQFPKSPSPQINGVQFQLRQILNGNMNIGN >OIV97819 pep chromosome:LupAngTanjil_v1.0:LG14:14242207:14242704:-1 gene:TanjilG_12576 transcript:OIV97819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKQTPNRNQRTKGFKLKQGFKILILMALCIWLLYQLKNSSSEKKPYEESSSKIFKMLKAGHEIKKFGRKALEPWIKKPYELIDDTEDSKGEEIEDLIDEEDKDENEDEDDMPKEIEDDNLLEDQGHNEGGKNTQATSENHYKENGASRVAMRGTQSTVVENVTI >OIV98042 pep chromosome:LupAngTanjil_v1.0:LG14:9998689:10002526:1 gene:TanjilG_09535 transcript:OIV98042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFWSERDSGSLCGQIRVLVVGDSGVGKTSLVNLIIKGSSISRPSQTIGCTVGVKHITYGNSSSSSNSLKGDSDRDFFVELWDVSGHDRYKDCRSLFYSQINGVIFVHDLSQRRTKTSLHKWAAEIAATGTFSAPSRSGGPGGLPVPYILIGNKSDISAKEGTQGSSGNIVDVARQWVEKQGLLPSSEELPLYENFPGSGGLIAAAKESRYDKEGLMKFFHMLIRRRYFSDEIPAPMWSVSPVQRNSQRIDDTLIDEDDHSYNSSFMAPFTKFIVDYSSRSSDPYKYNTLPPLPAQSSVTPPPTLYHQPPVSISENYSFPRFSFSDSSETSAETRTKRSYLNL >OIV98099 pep chromosome:LupAngTanjil_v1.0:LG14:9638435:9640313:1 gene:TanjilG_25964 transcript:OIV98099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLGNPKPNREVFDEYGKELVKLCGKLIKVMSINLGLEEEYLENAFGGEDIGACLRVCFYPKCPQPELTLGLSSHSDPGGITLLLSDEHVYGLQVRKSNNWITVKPAPHAFIVNIGDQIQVLSNAIYKSVEHRVIVNSDKERVSLAFFYNPKSDIPIEPAKELVKPNRPALYTAMTFDQYRLFIRLRGPCGKSQVESLKSPR >OIV97578 pep chromosome:LupAngTanjil_v1.0:LG14:15986277:15987021:1 gene:TanjilG_12335 transcript:OIV97578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITRSNLAEQLRQYQIRSKHDWASVSFFSSTSSSSFFTSSTSRVDVVVFVIWEIAVLAFLIFSVVSLYFKHNQLAFILVCITVLLLLCMKVTKQVRLAKKKKRRMLLPLSM >OIV98413 pep chromosome:LupAngTanjil_v1.0:LG14:2048048:2048572:-1 gene:TanjilG_16740 transcript:OIV98413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRNFSILSLLLLIVAFPYTKASENVTHAIEKKLEVVVEAKVYCQNCEHFGTWSLTGAKPIPSAKVSVTCKNHKGQVSFYKVYETDKSGYLYAPLEGFRLQHYILDHPLHSCYVKPVWSPLESCSLLSNVNYGLNGAKLRYEDKVLRGSRYEAVIYAAGPLAFRPSGCTQTHT >OIV97714 pep chromosome:LupAngTanjil_v1.0:LG14:15092474:15093355:-1 gene:TanjilG_12471 transcript:OIV97714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSPNHTSRSSNSDSGSADSGSTDSVDWISDSIHGGSLCHLDLDSGTNGWTSPPGDLFSLRSQSYFTKRQKSPAGEYLLSPAGMDWLKSSAKLDNVLSRADNRVANALRKSQANGTSMKSFIFAVNLQVPGKEYHSAVFYFATEEPIQSGSLLSRFIEGDDSFRNQRFKLVNRIVKGPWILKKAVGNYSACLLGKALTCNYHRGPNYFEIDVDIGSSAIANAILHLALGYVTTVTIDMGFVVEAQTEEELPEKLIGAVRVCQMEMSSATVVDSPLPTPPRGISCNKVNHHNKS >OIV98410 pep chromosome:LupAngTanjil_v1.0:LG14:2030795:2033114:1 gene:TanjilG_16737 transcript:OIV98410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKNRLQEFTQKYGLQLPEYRIVNEGFSHAPKFRSMVLVNGKEFKSRLTYRHRKDAEKDVAELALKSITEDIKNEGYTALPDLVYSKSILIEYAVKKNIENPQYKTTTEGVLHHGFSSALQFNGKSYIGQVGKSKKEAEQLVAFAAIQSLLGSNPCFDLLQIIKSKTKISKNATRTRVNHSSRNHSHSSLIMKPVGSSKDTLDTQHPTSIPGDKNKRKLDNVNLGNKTHYMERCIMENYYGFSYYGDID >OIV98043 pep chromosome:LupAngTanjil_v1.0:LG14:10009750:10012582:-1 gene:TanjilG_09536 transcript:OIV98043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGAWAQDYTEPSDPYTNKIGGIPDWPLPNDSVNVDLLCCDVCSTKLCHIAQLYAPISIQSHHLQERFLYIFACLTPTCATTSKSWRVLRVQKIPEQTSVQDEAFATESSSGIQNNSLLEDLNDENEDDMDLEELGKALFEAGTLASKTKTKKKKTPKKRQDKVPASSNGRVAVVDTKFPVMPCFYIYTQEEPSSGDLSSMCSNYSSLSIKENGTEVEDHSQTEETWEKEHYEYDKALMADRTYLKFKKRLDANPEQCLRYSYGGKPILAAAVELDPGRKRLMMTEDRWWKTGIG >OIV97529 pep chromosome:LupAngTanjil_v1.0:LG14:16230819:16245759:-1 gene:TanjilG_12286 transcript:OIV97529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELAQLEALCERLYNSQDSVERAHAENTLKCFSMNTDYISQCQYILDHSFTPYALMLASSSLLKQVTQHTLALNLRLDIWGYLINYLATRGPELQPFVTASLIQLLCRLTKFGWFDDDRFRDLVKESMNFLSQATPGHYAIGLKILNQFISEMNQANAGLPATNHRRVACSFRDQSLFQIFQISLTSLSQLKTDVISQLQELALSLSLKCLSFDFVGTSVDESSDEFGTVQIPTPWKTVLEDSSTLQIFFDYYAISKPPLSKEALECLVRLASVRRSLFTNDASRSMFLAHLMTGTKLSELVNVEGYSDWIRLVAEFTLKSLQSWQWASNSVYYLLGLWSRLVSSVPYLKGDAPSLLDEFVPKITESFITSRFNSVQAGLPDDLSDNPLDNAELLQDQLDCFPYLCRFQYESSSLFIINIMEPVLQMYTERARVQVSDNSDLAVNEDKLAWIVHIIAAILKIKQCTGCSVESQEVLDAEISARVLRLINVTDSGIHSQRYGEISKQRLDRAILTFFQHFRKSYVGDQAIHSSKQLYARLSELVGLHDHLLLLNVIIGKIATNLKCYTESEEVIDHTLSLFLELASGYMTGKLLLKLDTVKFIVANHTREHFPFLEAKRCSRSRTTFYYTIGWLIFMEDSTVKFKSSMDPLQQVFINLESTPEGLFRTDFIKYALIGLMRDLRGIAMATNSRRTYGLLFDWLYPAHMPLLLKGISHWTDSPEVTTPLLKFMAEFVLNKAQRLTFDSSSPNGILIFREISKLIVTYGSRVLSLPNAADIYTYKYKGIWICLTILSRALAGNYVNFGVFELYGDRALSDALDAALKMTLSIPLSDILAYRKLTRAYFAFMEVLFNNHIVFILNLDTNTFMHIVGSLESGLKGLDTNISSQCASAVDNLAAFYFNNITMGEAPNLPASINLARHIAECPNLFPEILKTLFEIILFEDCGNQWSLSRPMLSLILVSEQIFSDLKAGILSIQPMDQHQRLSLCFDKLMADVSRSLDSKNRDRFTQNLTIFRHEFRAK >OIV97721 pep chromosome:LupAngTanjil_v1.0:LG14:15037140:15038550:-1 gene:TanjilG_12478 transcript:OIV97721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCNKLVMVPRKKNRGTVPVYLNVYDLTTINGYAYWFGLGVYHSGVQVHGIEYGFGAHEHDTTGIFEVQPKNCPGFTFRKSIFIGTTDLGQKEVQEFMEKLAQNYSGKTYQIILKNCNHFCNDVCLKLTGKSIPRWVNRLARIGLLCNCVLPPGLNDTKVRHATLDKVQEGEKRKTRNWSNKYRPSSDPPMSSCPQGSSIKSSSQRHCISASSSLINASSTSTLTVT >OIV97602 pep chromosome:LupAngTanjil_v1.0:LG14:15834245:15835398:-1 gene:TanjilG_12359 transcript:OIV97602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYAARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >OIV97988 pep chromosome:LupAngTanjil_v1.0:LG14:11866035:11869781:1 gene:TanjilG_14088 transcript:OIV97988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRYGLRPVAIFGSCTCIINISKQHRLSSHTTIHKLALMDFLKASNLTLSSPFLTTSTFSFLPPSPTKFSFHFCPNPFPCIPIKPLTLRAIRNRSYDSDPIPESTIVQEVSFDEEEEEEEEEEDDDGDDLLDDDASLDVEDDGYEVDNEDDDYEVNEEEVEEEDYVGDGGAGGGVSLSGTWWDKKALAIAREVTTSFDGDLQIYAFRTLVNSTIQVRIEKLSNKSGSPSMEDIEAFSTTYRAKLDEAELAKFVPENLYLEVSSPGVERIVRIPDDLDRFKDRPMYVKYAIVDDSNNTSGERDGIFKLESFDMETKCCTWGIADVKVNRGKGRPLNKKQREWRLSTPFDSLRLVRIHSDC >OIV97642 pep chromosome:LupAngTanjil_v1.0:LG14:15598173:15600196:1 gene:TanjilG_12399 transcript:OIV97642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASIASSFFSSSINTVKCLPSITTSTNRIMLPSLSLSYIVEPLSIGTISHKLWDPKVSVAVAEQEVVVAAEVDVEEKVEVNTKLYFGNLPYNVDSAQLAGLIQDYGSAELIEVLYDRDTGKSRGFAFVTMSCIEDCNAVIENLDGREFTGRTLRVNFSNKPKPKQPLYPETEHKLFVGNLSWSVTSESLIQAFQEYGNVVGARVLYDGETGRSRGYGFVCYSTKAEMESALASLNDVEIEGRAIRVSLAEGKRSQG >OIV97960 pep chromosome:LupAngTanjil_v1.0:LG14:12670187:12672049:-1 gene:TanjilG_12717 transcript:OIV97960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIPVIDFSKLNGKEKAQSLAQIANGCEEWGFFQLINHGIPEELLEKVKKVSTECYKLEREENFNNSKLVKLINELAEKKSSEKLEHVDWEDVFTLLDDNEWPLKTPGFRETMAEYRSEVKKLAEKVMEVMDENLGLPEGYIKKVLNGGESDNAFFGTKVSHYPPCPHPELVNGLRAHTDAGGIVLLFQDDKIGGLQMLKDGHWIDVQPVPNAIVINTGDQIEVLSNGRYKSCWHRVLSLSDGNRRSIASFYNPPLKATIYPAPKLVENENQQVDETYPKFVFGDYMSVYAEQKFLPKEPRFQAVRTL >OIV97938 pep chromosome:LupAngTanjil_v1.0:LG14:12912837:12919762:-1 gene:TanjilG_12695 transcript:OIV97938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLFRNSNNRKRRGLPVDVGGDPGMAIRNHRFTSPLSSAWEGVTTLAEMFERACKVHHDRLLLGTRELIASEIETVNGRSFEKVHLGEYKWLTYRKVFEAVTAFASGLSKIGHVRGERIAIFAETREEWFIALQGCFRRNATVVTIYASLGEEALCHSLNETEVVTVICGRKELRALALISGQLDSVKRVICMDDDIPSEASSVQHGWTITSFSNVEKLGRENPVDADLPLPADVAVIMYTSGSTGLPKGVMMTHRNVLATVSAIMTIVPNLVDKDVYLAYLPMAHILELVAENLIASVGSAIGYGSSLTLTDTSNKIKKGTKGDATALMPTVMAAVPAILDRVRDGVFKKVNSKGGLSKKLFDIAYARRLHAINGSWFGAWGLEKCLWNFLVFRKVKAILGGRIRFILCGGAPLSGDTQRFINICLGAPIGQGYGLTETCAGATFSDFDDTSVGRVGPPLPSSYVKLIDWPEGGYSVNDSPMPRGEIVIGGPNVTLGYFKNEEKTRESYKVDERGTRWFYTGDIGRFHADGCLEIIDRKKDIVKLQHGEYVSLGKVEAALLGSHFVDNIMVHADPFHSYCVALVVVSHQALEEWASKQGIAYSDLSELCRKDETVKEVYASLFKEAKKARLEKFEIPAKLKLLSDPWTPETGLVTAALKIKRDIIRKAFSEELSKLYAS >OIV98123 pep chromosome:LupAngTanjil_v1.0:LG14:9111559:9112055:-1 gene:TanjilG_25988 transcript:OIV98123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANTRFGIFFMLLIVLSSHMMVQSEGRHCESKSHTFKGKCFSNHNCASVCHVEGFTGGKCSGFRQRCFCTKVC >OIV97936 pep chromosome:LupAngTanjil_v1.0:LG14:12938205:12939531:-1 gene:TanjilG_12693 transcript:OIV97936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLFLRQLSAKEALKSTSNQLSGKNRFRDNISSGWGVSVGGCEARRVNQMEGFNSNIMYGNEDNGVVVRKRVMVVVDDSSYSKHAMMWALTHVANKGDLLTLLHVVSPLRGDESSSSTYLVNYLGSLCKECKPEVEVEALVIQGLKLGTVMSQVKKLEVSVLVLGQKKPSSIFNCLCGSSSSSTEEFVEHCINNAECLTIGVRKRSQGTGYYISTRWQKNFWLLA >OIV97732 pep chromosome:LupAngTanjil_v1.0:LG14:14959824:14970244:1 gene:TanjilG_12489 transcript:OIV97732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHTSSDAVSSLSSTPDQSHAATSDNKSITLTSSSSSSAAPEDLTIASRDGGGAAAQETVVVDRRGEYSAVCRWTVQNFPRMKARALWSKYFEVGGYDCRLLIYPKGDSQALPGYISIYLQIMDPRGTSSAKWDCFASYRLAIVNVVDDSKTIHRDSWHRFSSKKKSHGWCDFTPSSTVFDSKLGYVFNNDSVLITADIIILNESVNFTRDNNELQSSSMSSSLSTSSVVASPVSDVLSGKFTWKVHNFSLFKEMIKTQKIMSPVFSAGECNLRISVYQSSVNGIEYLSMCLESKDTDKAVMLSDRSCWCLFRMSVLNQKPGSNHTHRDSYGRFAADNKSGDNTSLGWNDYMKMSDFVGADSGFIVDDTVVFSTSFHVIREFSSFSKNGAIIAGRSGGGTRKSDGHIGKFTWRIENFTRLKDLLKKRKITGLCIKSRRFQIGNRDCRLIVYPRGQSQPPCHLSVFLEVTDSRNTSSDWSCFVSHRLSVVNQRVEDKSVTKESQNRYSKAAKDWGWREFVTLTSLFDQDSGFLVQDTVIFSAEVLILKETSIMQDFTGHDSELNSNGSIDNSEKRSSFTWKVENFLSFKEIMESRKIFSKFFQAGGCELRIGVYESFDTICIYLESDQAGSSDPDKNFWVKYRMAIVNQKNPAKTVWKESSICTKTWNNSVLQFMKVFDMLEADAGFLVRDTVVFVCEILDCCPWFEFSDLEVLASEDDQDALTTDPDELIDSEESEGISGDEEDMFRNLLSRAGFHLTYGDDPSQPQVTLREKLLMDAGAIAGFLTGLRVYLDDPAKVKRLLLPAKLSGSCDGKKATKTDESSPSLMNLLMGVKVLQQAIIDLLLDIMVECCQPSEGGTVADSVDACSKPSRDSSGAASPLKCDRENGATVSAQYPVNERLESVVEDSSTTSAVQSSDLNANGIQEKALPGQPICPPETSATDSENMPVWSKTKWPEQSEELLGLIVNSLRALDGAVSQGCPEPRRRSQSAQKIALVLDKAPKHLQADLVALVPKLVEHSEHPLAACALLERLQKPDAEPSLRIPAFRALSQLDCGSEVWERILFQSFELLADSNDEPLAATIDFIFKAASQCQHLPEAVRSVRVRLKNLGLEVSPCVLDFLSKTINSWGDVAETILRDIDCDNDYGDSCSALPCGVFLFGEHGIAPPGLHVIDEQAFRACRHFFDIYILFEMLSIPCLAIEASQTFERAVTRGVIDAQSVALVLESRLSQRFNNSARYVSENFQHSDGVAEGDAGEQLGVQRDDFTSVLGLAETLALSRDPCVKEFVKLLYTILFRWYANESYRGKMLKRLVDRATSTKDNGREVDFDLDILVNLVCEEQEVIRPVLSMMREVAELANVDRAALWNQLCASEDEIIRIREESKTEISNMAREKATISQKLSESEATNIRLKSEMKAEMDRFTREKKELSEQVQEVESQLEWLRSERDDEMAKFLADKKVLQDRLHDAESQISQLKSRKRDELKKVVKEKNALAERLKNAEAARKRFDEELKRFATENVTREEIRKSLEDEVRRLTQTVGQTEGEKREKEEQVARCEAYIDGMESKLQACQQYIHTLEASLQEEMSRHAPLYGAGLEALSMKELETLSRIHEEGLRQIHTLQQHKGNPVGIPLMSPHTLQHNHGLYPPPSIIANGVGIHSNGHMNGAVGPWFNHS >OIV97717 pep chromosome:LupAngTanjil_v1.0:LG14:15060710:15069569:1 gene:TanjilG_12474 transcript:OIV97717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLEEETQITAPPTAISDPELEEQLLDFGEKLVDPPSSVEDLLSLLDQVDTLLSRVEQSPSVSMQNALSPSLKALISDKLLRHSDADVKVAVASCISEVTRITAPEAPYGDDQMKEVFQLIVSSFENLYDKTSRSYAKRTTILETVAKVRSCVVMLDLECDALISKMFQHFLKEIREHHPENVFTSMEIIMTLVLEESEDISLELLSPLLDSVKKGNEEVFPIAQKLGERVLESCATKLKHYLLQAVNSLGISVDDYSKILASICQDASDSLEQNDACVTREQMEDESKSAKQSLEESKQAVKEDETEVVPSHQDNAVRDISPKSLMSNGAAKVGEDFALADSKSPKKQESTDCSDKSKDVDVSGHEETNDLDTEKVDNREENPEQPSKRRGRKSSSSTKLAEPFEGQIAADVKEDEKSLDSENHSKDVPSLLHEDHSVEAGSSQNDKEIDAKILSPEAGHDESEVIGSSPVESLHGRNRSKKIGHAKTKDVSSKEVTTDGVSKKVTDVTSDSEAKPAKQSVKKAFGRKSDVKKTSVVDSDKKGSATTSDTEAKKHSAKKPDKSNKGEVGSSSKQPEEKKKLGRGKASFEKAVTKSSAEDVDEEMVSPPRSGTKSTKNESLVETPKTNMKRKHPSGTENESDIKEYGENLVGLRIKVWWPADREFYKGVIDSFDPANKKHKVNYDDGEVEILRLRKEKWKIVEPADSDSDGEEGSDHESLDASTDMPPKKKGKTSAGDSTNQRKMDASSKSAGAEASSKSKGASTKSSGKSKDGRKSKDSKTISKSEDERDKKSKDNTPRSGGSKSITAAQKMTGKSKNTDTSKTSKAKNDDSSTPKPSKLKEETPKSGKSKQETPKAVASKGKPPKGGGKSNGSGSKVKSGLLKRKDSQNENSDASETEVEDKKGKASSSSKAQGSEARSGKKRRKT >OIV97726 pep chromosome:LupAngTanjil_v1.0:LG14:15001422:15004719:-1 gene:TanjilG_12483 transcript:OIV97726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYNNHNHHLSQNLPLHHFTDQQQQQPSTSNLTTKTVSHPNQPLQTAPTWLNNALLRTQYNNNNNNNNNNVNNNNNNVNNNVNNNSDFLNLHTTPPSDSSTQWLSRSILHRNHSEVINDVTVGESIIAGAGKESEAVEEGGGGEGLVNWENGKYKAEILAHPLYEQLLSAHVSCLRIATPVDQLPRIDAQLGQSQNIVAKYSTFGHMLGHDNKELDQFLSHYVLLLCSFKEQLQQHVRVHAMEAVMACWEIEQSLQSLTGVSPGEGTGATMSDDEDNQVDSDADLFDGGLDGHDNMGFGPLIPTENERSLMERVRHELKHELKQGYKEKIVDIREEILRKRRAGKLPGDTTSVLKAWWQSHSKWPYPTEEDKARLVQETGLQLKQINNWFINQRKRNWHSNPSPSTVLKNKRKRY >OIV98516 pep chromosome:LupAngTanjil_v1.0:LG14:102740:104659:-1 gene:TanjilG_18800 transcript:OIV98516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETNTFSAIARTQSDVEAMKGALNAQQQLLQKLCIELDKEREASASATSEALDMIMRLQGEKAAVKMEASHYKRMAEEKIGHADATLEFFEELMYKKEMEIASLEFQVQAYKHKLLSLGCDLNASEFEFSDDLLLNGSDQQNGENGTVRRQNSLPPLPFKNSLLAARNDERSTSPVSIPASDVISRVVDESIDKEVSPSSTSLELTQKSVEFAYGTHDSYLEQIKKLDQKVKVISDCTEGEKSASLCNRRGRSCSIFSQANTKIPCTNFDKVIHGEGTQDRKEAVNPPCSPNVHDVFEVSHASEKLKLGEHGRERLAKLYSEAENRLTKPDSLSEGMAASHVKHDAEKLKGILRVHSEVKIPSPNDMMTITGQKKHGMCVESDAQAEFQKLHRRIEQLERERNRRRQEIIHEEDGQGQLRLLRDIQSQLTLIQSEMRIWKTKKSTPIDDVPLGPLQEAMLHFWL >OIV98149 pep chromosome:LupAngTanjil_v1.0:LG14:8440009:8443091:1 gene:TanjilG_12185 transcript:OIV98149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQVKAPTKCPLSLATTSNAGLKRPFNPFAFKSSSFTGSLSLLLHPNQHHLITSSSPRISMRVASKESYICRDCGYIYNERTSFEKLPDKYFCPVCGAPKRRFRPYAPAVSKNANATDVRKSRKVEIQKEEAIGKALPIAIAVGVAVLVGLYFYLNSQF >OIV97619 pep chromosome:LupAngTanjil_v1.0:LG14:15720868:15724422:-1 gene:TanjilG_12376 transcript:OIV97619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTSIHLNIGNGRNIGNGFWGETTLRSLTTRTFLKIHTCSQTHSTNVATNFKAVLTPEIDQDSKVYESYETPKADPKSVASIILGGGAGTRLFPLTSRRAKPAVPIGGCYRLIDIPMSNCINSGIKKIFILTQFNSFSLNRHLSRTYNFGNGVNFGDGFVEVLAATQTPGEAGKKWFQGTADAVRQFFWVFEDAKNKNVEHILILSGDHLYRMDYMDFVQRHIDTNADITVSCVPMDDSRASDFGLMKIDRTGRVIQFAEKPKGSDLKAMHVDTTLLGLSAEDANKHPYIASMGVYVFRTETLLNLLRSNCSLCNDFGSEIIPSAVSDHNVQAYLFNDYWEDIGTIKSFFDSNLALTEQPPKFEFYDPKTPFFTSPRFLPPTKVEKCKIVDAIISHGCFLRECSVQHSIVGVRSRLESGVEFKDTMMMGADYYQTESEIASLLAEGKVPIGVGENTKIRNCIIDKNAKIGRNVIIANTDDVQEADRPNEGFYIRSGITITLKNATIKDGTVI >OIV97984 pep chromosome:LupAngTanjil_v1.0:LG14:11813501:11816476:-1 gene:TanjilG_14084 transcript:OIV97984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTLRLSSSFVQLPTITTTFSHSHSVSRLGFHPLRLHLNTPKRLMIRAARTESKGVTLGFRAPQFQLPEPLTGKVWTLEDFEPHPALLVMFICNHCPFVKHLQKGIVNLTKFYKEKGLAVIAISSNSVATHPQDGPEFMAEEAKLLGYPFPYLYDESQDAARDFGAVCTPEFYLFKKDGRRPFELAYHGQFDDSRPNSNVPVTGRDLSLAIDQVLSGQPVSAQQKPSVGCSIKWHPGKKF >OIV97535 pep chromosome:LupAngTanjil_v1.0:LG14:16200773:16204796:1 gene:TanjilG_12292 transcript:OIV97535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASHAENEEPISNILIVIAMQTEALPVVNKFNLTQDPQSPFPEGVPWVRYHGTYKDLNLNLIWPGKDPALGVDSVGTISSALVTYAAIQALQPDLIINAGTAGGFKARGASIGDIFIVSDCAFHDRRIPIPVFDLYGVGFRKAFESPNLVKDLDLKVAKLSTGDSLDMTEQDESSIIANDATVKDMEGAAVAYVADLLKVPAIFIKAVTDIIDGDKPTAEEFLQNLAAVTASLDLAVEKVVNFINGKCLSEL >OIV97970 pep chromosome:LupAngTanjil_v1.0:LG14:11638763:11643552:-1 gene:TanjilG_14070 transcript:OIV97970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVSTQPQFRYTQTPSKVLHLRNLPWECTEEELIELGKPFGKVVNTKCNVGANRNQAFIEFQDLNQAIAMISYYASSSDPAQVRGKTVYLQYSNRQEIVNNKTAADVAGNVLLVTIEGEDARLVSIDVLHLVFSAFGFVHKITTFEKTAGFQALVQFSDAETATSAKDALDGRSIPRYLLPEHLGPCTLKITYSGHSDLSVKFQSHRSRDYTNPYLPVAQSAMEGNGQYMVGLDGKRLEAESNVLLASIENMQYAVTLDVLHMVFSSFGPIQKIAMFDKNGGLQALIQFPDIQTAVTAKDALEGHCIYDGGFCKLHISYSRHTDLSIKVNNDRSRDYTIPLTPVVNVQPSILGQQPVQNPMMGPPAQQQYNPYPPISEQGLMPQSQPGWGTAPSVPPQSMPMQMHNNVYMPPGTMAPGMPYPSHGAQQSASTMPPYGPGRIQ >OIV97885 pep chromosome:LupAngTanjil_v1.0:LG14:13580587:13581549:1 gene:TanjilG_12642 transcript:OIV97885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELTNTFTLTFLLTTFIFFLPVLSNPPPKATPFKRMLALVQQEPVILKYHNGPLLKGNVTVSLHWYGHFTPTQRSIIIDFIQSLNSSSATHQHRHPPSPSAFSWWQTTATYRGGPCTLTVGDQTLDDNYSLGKSIKTTELISLASKPKKTSNSNKIHVVLTASDVEVEDFCMNQCGTHLPGRVEKGKTVYAWVGNPVSQCPGECAWPFHQPLYGPQSPPLVAPNGDVGVDGMVISLATVLAGAVTNPFSNGYYQGVESAPLEAVSACTGIFGKGAYPGFPGNVLVDNTNGASYNAVGVSGRKFLLPAMWDPLTSTCKTLV >OIV97864 pep chromosome:LupAngTanjil_v1.0:LG14:13800565:13802610:-1 gene:TanjilG_12621 transcript:OIV97864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSFDCVSSLLCAEDSNSVFDDKSSMYEDTWHHRRNHQRNHFDESDELPLQDDECFAMMVEKEHEHWPGVVYLNRLHSGDLDFGARNEVVDWIEKVRVQFGFGPLCAYLSINYLDRFLSAYRLPKGRAWTMQLLAVACLSLAAKMDESDVPMSVDLQVGESKFVFEAKAIQRMELLVLTKLRWRMQAITPFSFIDRFLCKINDDQSQLRSSILQSIQLILSTAKGIDFLEFKASEIAAAVAISIVGKTKTLDTKKTISVLIQQVEEERVLKCIKMVQELSLNTSIAKDSSASVPCVPQSPIGVLDALSFSYKSDDTNAGSCANSSHNSPDAKKRKLNKTCGSELL >OIV97661 pep chromosome:LupAngTanjil_v1.0:LG14:15458270:15459532:-1 gene:TanjilG_12418 transcript:OIV97661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWWWAGAIGAAKKKLDEDEPPRSSFQNVGLIIGVTGIVGNSLAEILPLADTPGAPWKVYGVARRPRPSWNADHPIQYIQCDISDPDDAESKLSVLTDVTHIFYVSWSLQKTEAENCKVNGAMLRNVLRSVIPNAPNLRHVSLQTGGKHYIGSFEVIGKIKSHEPPYTEEMPRLDSPNFYYTQEDILFEETEKREGLSWSVHRPQTIFGFSPYSLMNLIGTLSVYAAICKHEGVPLRFPGSKAAWECYSTVSDADLIAEQHIWAAVDPYARNEAFNISNGDVFKWKQLWQVLAEQFGIEDHGFEEGSNLKLSELMKNKGPVWDEIVKENQLLPTKLEEVGDWWFSDLVLSGEPGLDSMTKSKEHGFLGFRNSKNSLITWIDKTKAYKIVP >OIV98080 pep chromosome:LupAngTanjil_v1.0:LG14:10611241:10613132:1 gene:TanjilG_09573 transcript:OIV98080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGRKNLKRASEEEHVTLQDGQTIMQVLSLRGSNLIEVMDAHGEKSLALFPAKFQKSMWMKRGSFVVVDESAKEKALESGCKVACIVSQVLFYEQVRELKKSPEWPEIFKSGIDDSNERTTPQQEKKMDKSDDDDDDSDSDGLPPLEANTNRIRPVELQPDDDSESSSDTDT >OIV98051 pep chromosome:LupAngTanjil_v1.0:LG14:10151652:10157578:-1 gene:TanjilG_09544 transcript:OIV98051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPFDDILPVAPARGSKFMPKAKLKQPPRKETSVSDHAIPSKDGEKEQAVLSSASRNTEGISQDECHNAVASTLNASAEESPNLCHSPQVEPPNLEDDTKSEMGNPPQVSAERDTSVLVDDPSSVITMSEVDADWNFTDHTKSACEVESMDFELHPFSNVLPDPGAKNAQKFLPKIKPRPRVVTTPDIASHSNVMIEKSDELPTSCANEVQSFKPSDDGSSGLNQSTNLPLPVSEDNGSFEEVIPPQLDSPDAMLSEVANHNGETADIFCGLESLDDFLTHAATDTDPTSEIPVHEEPTNAADSPTLADFLRADVSGEKEDASERREDGLSSPSLSKEKRSSIAVEKDKGSNSSRQLRKHASRRPANNPANGDVDNDDDIDPPYNPNRDELEDNDDEFEVDGSSKKERPASSSKKKSAPKNGKTSRKRKKANDDLEKATEQPRKKFSHSTRRKKRCVDKALLEIPEDELDPLTLPIKDIILLAEYRERLAKKEASTSKTPPTKQSGGDSHHEAAYNNEEEMLGSEDDRDPDDVEARESIPTAASLFNYQSFMDKAPRGKWSKQDTELFYEAIRQFGTDFSMIQLLFPDRTRHQIKLKYKKEERQHPLELSDAVSSRTKDHSHFKRLIEQLQQASTKAEDQDQCRDASDFMTGNEVEDLTPETNNEVGKTEPEANAEDQEDANFKDQEEANVKEQEDSAAFNSPEPDDDDEDDFSWYKSAV >OIV98094 pep chromosome:LupAngTanjil_v1.0:LG14:9766426:9771046:1 gene:TanjilG_25959 transcript:OIV98094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSKGRSMRSKVEKRMQKESGKTLREIRRAAKLKKKLMTEEERLIYNLKRAKKKVALLLQKLKKYELPELPPPRHDPELFTPEQLQAYKKIGFRNKNYVPVGVRGVFGGVVQNMHLHWKFHETVQVCCDNFPKERIKEMASMLARLSGGIVVNVHNVKTIIMFRGRNYRQPKNLIPINTLTKRKALFKARFEQALESQKLNIKKFEQQLRRMGVNPEDPAAMASIQRVASTFFNAIDKKDGSPYVFHDGKQSITEPAEGSEESDLEPSLDSDQEELDQFIAEIEDAADKEYEAEEAKEQEELGRIRYRNKDEFGGRFRGSETFRNNNDYDDDGRSLRAQPTTHAKHRANVSNDEDYDDHSDNDDDDEWQSDNVAYASDLDSGSDGSNEARGRFKGSRVEREKRNNISIGRGPVNESSNRHGHHAEAKFKRNVAVENSESEGMFSDVDNAMWESEVEEIDLAHSKKVRDNYKSSSSSEDDDDGDENAYQIKRDKRNGGKVVGSAKYHNRFGAPNNVRGRQERISKSGSDSSESEDLFSNSEKWMLHSDSEEDSRAEISNVVKSDIHKRRTPKDADEAWDSD >OIV98064 pep chromosome:LupAngTanjil_v1.0:LG14:10386601:10387412:1 gene:TanjilG_09557 transcript:OIV98064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLGTLPLTPTYSSFPSNSGLAQNITNRRVNRSLKFSVSATQEKEEPKKNKQSLFSSVTEALDFSQVRSAEDAQLIEDAREATRSGEKMNREQYGALRRKIGGTYKDFFKSYVEVDGEYVEEGWVDKTCKVCKKDTKGEPRQVDKLGRYVHVACAEKSKNGNFFTRLFSW >OIV97639 pep chromosome:LupAngTanjil_v1.0:LG14:15615018:15615311:1 gene:TanjilG_12396 transcript:OIV97639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSKLVHMVISILFAFMIFNGSIVSALGRPLKIENKEQLVTTYGNNVQEMTTVVENIGWLRRHTIEFDADDFRPTEPGHSPGAGHSSPHGNLVPKP >OIV98425 pep chromosome:LupAngTanjil_v1.0:LG14:2195081:2196117:1 gene:TanjilG_16752 transcript:OIV98425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLGKRSRPSSIMRRTTSMSEGISNNLDSNHEEVVVITPDSNIIDPPPQDSAFVGLVMSPTTSKTHPQNKTTLNHVMQIPHFLTTCCLCKTHLTPGRDIYMYRGDTAFCSLECREHQIRQDHRKWKMASKKEDHRAPPPAATAANNSETAACN >OIV97694 pep chromosome:LupAngTanjil_v1.0:LG14:15220761:15222140:-1 gene:TanjilG_12451 transcript:OIV97694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDNNATVRKRRRINSDADDGSTQKKKKNEDEEVNDTLTSFLDKEDQPEFERKNAMLDYYSNNHDYCSDVEESEQIKRKRSRATATEDGADSKDAKSNGGGGGGGVQRRLWVKDRSGAWWDECNKPQFPEQEFKKAFRMGKATFEAICEELNSVIVKEDTTLRNAIPVKQRVAVCIWRLATGDPLRLVSKRFGLGISTCHKLVLEVCTAIKNVLMPKYLNWPDEVSLRKIKNEFESISSIPNVVGSIYTSHVPIIAPKISLAAYFNKRHTERNQKTSYSITVQGVVDPRGVFTDVCIGWPGSMNDDQVLEKSALFNRANGGLMKGVWIVGGSGYPLMDWVLVPYTQQNLTWTQHAFNEKTGEVQKVAKDAFARLKGRWSCLQKRTEVKLQDLPIVLGACCVLHNICESRNEEIDPELMFDIVDDDMVPEVALRSVNSMKARDAIAHDLLHHGLAGTKFL >OIV97551 pep chromosome:LupAngTanjil_v1.0:LG14:16137937:16139330:1 gene:TanjilG_12308 transcript:OIV97551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METSLRYGGDSKALKIYAKQKLPIDSNTFFQVHGELDTRFGLPSSYSALIRHLYPNLSASLGLGLHYDKHDKLRYTVSAKKTFPVTLDDRFNFKIKGRCVVDKDFKERKSTGAAELSWSILNFQKDQDLRLRLGYEVFEQAPYLQIRENNWTFNADHKGRWNVRYDL >OIV98261 pep chromosome:LupAngTanjil_v1.0:LG14:2727065:2727283:1 gene:TanjilG_09895 transcript:OIV98261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANKKQTRKYEIQFKFDDISDDDEWIMNNNEDDENIERDNDDLDTPLEEVLLEGGDGATTCRVREELEIPQF >OIV98027 pep chromosome:LupAngTanjil_v1.0:LG14:10785657:10786487:-1 gene:TanjilG_12258 transcript:OIV98027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSSNPPQPPMLERSRARPQKDQALNCPRCNSTNTKFCYYNNYSLSQPRYFCKTCRRYWTEGGSLRNVPVGGGSRKNKGSTPSSSSTTPTSSQQGPSKNQLPDLSTQQPNNLPNSASHNPKIHQGQDLNLAYPPLSEDYNTISKFIEVPFSPEDKGHTHHLQNPSSSTIEPLKTGITTTSFMPMSDSTTMYNSTGFPPLQEFIKPGLNFSLEGFENGYGGGLHGIQQGGTSGARILFPPENLKQQVQNTDEFHDQNRNQGDSSGYWNGMLGGGSW >OIV97971 pep chromosome:LupAngTanjil_v1.0:LG14:11650971:11653570:-1 gene:TanjilG_14071 transcript:OIV97971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKLASAREFRTYGPSLSKNRCEYMNAGLYLFATIVLCCAFASQLSSEARSGLVLFLISFAIMILVNLHDLVAHLAGIDFRLPLMSFDLQLFFVEFAVPVVQVLGTLLSFLGILFLLIQDGKGHVYFKLEQHALNMLIAGSVLWVVGSIHNACQIYERADGHVQILQQCVYIPFLMGSLSFMLSAILNYQEQHSGIIHHGIHLLGKTWIWLGILGSLFFLIGGLTNVIKVFKMQQMNGLRLEKLRGGAHERLVNAREGQVPLILEHHHQTRSHPVEETKVTLPVPTPYKDVLIGQTGS >OIV98406 pep chromosome:LupAngTanjil_v1.0:LG14:1966770:1971957:1 gene:TanjilG_16733 transcript:OIV98406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATHNYKIFSCFNRNFTITEPGPPSDIKQAFSHFSAASSTFSADHLRRFLSDHQLEDLHSIASRSDQIIHTIVESRKHDHETVANDVQGISVDEFFHFLLHHDDEFNCPLISQVHHDMNAPLSHYFIYTGHNSYLTGNQLSSDCSDLPIIKALQQGVRVIELDLWPNSTEDDIDVVHGRTLTTPVSLKQCLKSIKQYAFVKSPYPVIITFEDHLTPDLQAKVAEMTIQILGDLLYYPETDYQTEFPTPESLKGRILISTKPPKEYLESNQSNDKDNEGELPEKGSSSPDPTNELDTDDRGAPEYKKLITIHAGKPKGDDVQEDLKVDGQVKRLSLSEQKLEKASESYGPDIVRFTQKNILRVYPKGTRITSSNYRPHIGWMYGAQMVALNMQGHGKSLWYMQGMFSANGGCGYVKKPDFLLEKGLHNEVFDPKRTLPVWKTLKVKLYMGTGWSADFDQTHFDTYSPPDFYTKIGIVGVPADKGKKKTKVISNNWFPVWDEEFEFPLTVPDLALLRIQVKEHDTEKDDFGGQTCLPVSELKQGFRSIHLYDEEGKKLKSVKLLMRFQFI >OIV98144 pep chromosome:LupAngTanjil_v1.0:LG14:8506980:8507297:-1 gene:TanjilG_12180 transcript:OIV98144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLLPIVYKAIKKNRTRRHYECLSSGDNALTYNISMAEMYPQTQGHVFENQTPKTTHKVGHRRSQSVPDFTNGLAQMRNDADSPSSKQVLKFKSQRICSCITGA >OIV97699 pep chromosome:LupAngTanjil_v1.0:LG14:15188792:15189844:-1 gene:TanjilG_12456 transcript:OIV97699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSTTKNDSSSSSEVHIPAEIDWHMLDKSKFFFLGAALFSGVSAALYPMVVLKTRQQCSSAQYSCLNMSCAIMRYEGFRGFYKGFGTSLMGTIPARALYMASLEVTKSNVGTALIDHGFSDTTATAIANAAAGVTSAMAAQLVWTPIDVVSQRLMVQGCNSTTNTKNIVTNLNSESYRNGFDAFRKILCADGPRGFYRGFGISILTYAPSNAVWWTSYSMVHRLIWGTFGSYIGKKNEGNLVNRGCDFRPDSKTMVAVQCLSAVMASGVSAIVTMPFDTIKTRLQVLDSEENGRRKPLTFVQTVKNLVNEGGLHACYRGLGPRWVSMSMSATTMITTYEFLKRMSTKSN >OIV97725 pep chromosome:LupAngTanjil_v1.0:LG14:15018335:15021418:-1 gene:TanjilG_12482 transcript:OIV97725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTDKQVLLKLKSYLEKQTLADRGGYRSWNGTSSNPCEWLGISCSVLNGTMWRVIGVDLKASGIAGEIFKNFSQLTELTHLDLSRNTLSGVIPNDLRSCQKLAYLNLSHNILEGELNLTGLTQLRTLDLSVNRILGDIGLNFPAICDSLVTLNVSDNGFTGGIDSCFDQCHRLQYLDLSTNKLSGGLWAGFARLRQFSVSENYLGGIIPSQAFSENCSLEKLDLSVNGFGGKAPKEVASCKNLVILDLSSNNFTGEIPSEIGSISGLRSLFLGNNSFSRDIPATLLNLTKLFILDVSRNPFGGEIQKIFGKFNQLKFLVLHSNSYTGGLNTSGILRLTNLFRLDLSFNNLSGLLPAEISQMSGLMFLSLTYNQFTGPIPSELGKLTGLQALDLAFNRFTGPIPQSLGNLSSLLWLMLAGNSLTGEIPPELGNCTSLLWLNLANNKLSGNLTPELTKIGRNATATFESNRRSIYKVSGNSECLAMKRWIPADYPPFSFVYTILTRKNCRSLWDRLLKGYGIFPVCASGSSFRPNISGYVQLSGNQLTGEIPPEIGNMQNISILHLGHNNFSGKLPPEMGSLPLVVLNMTENKFSGEIPWEIGKLLCLEALDLSVNNFSGMFPTNLVNLVGLSRFNISYNPLISGMVPSTGQFVTFNTDSYFGDPILNFTKFIDNTIDNKNKSSRIDLKRCKKMPFLFVFLALTLTILVFGLLSLVVFFLVRKTSSVEPGYLLKNDPSPWLNDTVKVFHLNKTVFTHADILEATRNFTEERVIGKGGYGTVYKGVFPDGKEVAVKILRREGIEGEKEFRTEMEVLSGQGFDWPHPNLVTLYGWCLSGSQKILVYEYMGGGSLDDIVTDRTMLTRKRRIEVSIDVARALVYLHHECYPCIVHRDVKASNVLLDNDGNAKVTDFGLARVLEAGNSHVSTIVAGTVGYVAPEYGQTWQATTKGDVYSFGVLAMELATGRRAVDGGEECLVEWARRVTGSGLVEEGAEEIDELLQIGVKCTNDSPQARPNMKEVLAMLTIIYK >OIV98515 pep chromosome:LupAngTanjil_v1.0:LG14:118976:121603:1 gene:TanjilG_18799 transcript:OIV98515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYIRVKHSKTTYFIKCKPSDKVLDIKEKLQELIDQPANDQRLVLPGTREVLEDSKTLAEQKVENDAVVALTLRKDDNEFEEVNIVRPNDFYQSRDGDGGNW >OIV97937 pep chromosome:LupAngTanjil_v1.0:LG14:12933320:12936783:1 gene:TanjilG_12694 transcript:OIV97937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESVIFQWSVIRSVLSILQWWAFNVTVIIVNKWIFQGEPRHNGKGHLRCDVEVTSSNSGNNLYVCKTKAVYICLPKSQEIPLGAYVVIKVLKVKPLITVDPEDRWRRIFPMSLVFCINIVLGNVSLRYIPVSFMQTIKSFTPATTVVLQWLVWRKYFDWRIWASLIPIVGGILLASVTELSFNMFGFCAALVGCLATSTKTILAESLLHGYKFDSINTVYYMAPFATMILALPAMLLEGSGIIEWFSTHPYPWSALMIIFSSGVLAFCLNFSIFYVIHSTTAVTFNVAGNLKVAVAVFVSWMIFRNPISYLNAVGCAVTLVGCTFYGYVRNMLSQQPPVPGTPRTPRTPRSKTELLPLVNDKLEDRI >OIV97769 pep chromosome:LupAngTanjil_v1.0:LG14:14655257:14656686:-1 gene:TanjilG_12526 transcript:OIV97769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDIVFDTSWEDVVCPICLDFPHNSVLLQCSSYDKGCRPFLCDTNQLHSNCLDRFKVACGMPSMYDEISPTSNATSVESNELVVSDCQGKLTCPLCRGDVSGWIIVDKARVHFDEKKRCCDEYQCKFMGSYMELQKHAQVEHPHAHPSKIDPARKLDWENFQQSSEVIDVLSTIQSEIPRGVVLGDYVIEYVDDDSGDEFEDFPGDEGNWWTSCILYQACGNFRRSRNRRRTRDGDASRGSRHSSYDTSNSDEGSVEYAEYRLDETDDDFLSTISPSGGSSGFRRSQRRRSRFFDN >OIV98381 pep chromosome:LupAngTanjil_v1.0:LG14:1684694:1690668:1 gene:TanjilG_16708 transcript:OIV98381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISPQTTNQSENVVTKKKETRGRKPKPKDDQQQQTKKKQQQQHQEHEPTVDEKYTQWKSLVPVLYDWLANHNLVWPSLSCRWGPQLEQATYKNRQRLYLSEQTDGSVPNTLVIANCEVVKPRVAAAEHICQFNEEARSPFVKKYKTIIHPGEVNRIRELPQNSKIVATHTDSPDVLIWDVESQPNRHAVLGAANSRPDLILTGHQDNAEFALAMCPTEPYVLSGGKDKSVVLWSIADHITSAADPTSGGSIIKQDSKSGEGNDKSADSPSLGPRGVYYGHDDTVEDVAFCPSSAQEFCSVGDDSCLILWDARAGSSPVVKVEKAHNADLHCVDWNPHDVNLILTGVLRVGHPGSFKGSSVRIHVPNSLLVAPPCSCLHALFRECSSWSIIRFRSADNSVCMFDRRKLTSDGIGSPIHKFEGHKAAVLCVQWSPDKSSVFGSSAEDGLLNLWDYEKIGKKIERSGKRAPPGLFFQHAGHRDKVVDFHWNASDPWTIVSVSDDCQSTSGGGTLQIWRISDLIYRPEEEVLAELEKFKSHVVACASKSEK >OIV97621 pep chromosome:LupAngTanjil_v1.0:LG14:15709833:15714717:1 gene:TanjilG_12378 transcript:OIV97621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGNDGIDVHDGRSVELSGSDSGKKPCVLSSLSPRHGCKTDIGKQIFCNRSLNMKNIVAVGFDMDYTLAQYKPETFESLAYQGTIKKLVYDLGYPRELLNWTFDWKYMVRGLVLDKKRGNILKMDRHKYVKVAYHGFKELSKEDKVGTYGNTLVRDSFDEPDYALIDTLFSLAEAYLFAQLVDFKDGNPGKIQEGVDYARMYKDVRAAVDLCHRDGTLKQMVAKDPKRYINEDTAIVPMLEMLRESGRATFLVTNSLWDYTNIVMNFLCQSKMADGTNNFNWLQYFDVVITGSAKPGFFHEENRANLFQVVPETGMLLNTDNGSPMPQVGDNSARLIIDDGKHACPVFQGGSVGHLHKLLSVESSSQGIIVAVVDLGILVLQDCWFIEASCNNLIALRVGWRTMLVIPELEKEIKLLWESRDTRKEDFYMNSLVQELQFLRSERNCIEDGIHHLRFKNPSPDAKQNLSSELDKLELERERVRLSHQEAQRKLHQRFHEPWGQLLKTGYQNSRFAHQVERFACLYTSKVSNLALYSPDKYYRPSEDFMQHEFGILAYEPGEM >OIV98108 pep chromosome:LupAngTanjil_v1.0:LG14:9550147:9550847:1 gene:TanjilG_25973 transcript:OIV98108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNSIVAETSSFETSEVLATCLASTPLLSESWRLCSVANDTAPWSFVTEQGGGGGGVTYVAFSSAQMMASGWECSWRNMIPLESMGELPLFWWHRNKELDEPVMVHQGILKLFWTFFNSFQNQDLNSIS >OIV97594 pep chromosome:LupAngTanjil_v1.0:LG14:15888136:15891618:-1 gene:TanjilG_12351 transcript:OIV97594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEKSRSRGRCCCWFIVIVIVAVAVVAIVYTVKKKIGHSNNPDPVPGPPGAVEQKYSSALKISLQFFDIQKSGKLVNNKIPWRGDSALKDGSQANLDLSKGLYDAGDNMKFGFPMAFTATVLSWAILEYGDQMDHVGQLEPAQVSLKWITDFLINAHPSENTLYIQVGDPVADHDCWQKPEVMTEKRPLIQVNTSCSGSDVAAETAAAMASASLVFKKSDSTYSSTLLKHAKQLFTFADKNEGSYSENIPEIATYYNSTGYGDELLWAASWLYHATGDDSYLDYVTGQNGEDYANWDSPTWFSWDNKLAGTQVLLSRLIFFKEKDISNAYSSGLQKYRKTAEAVMCSLLPDSPTATKDRTDGGLIWVAEWNSLQHPVASAFLAVIYSDYMLTTQTPNLDCSSFSFTPSDLRDFAKSQADYVLGKNPMEMSFLVGYGDKFPEFVHHRGASIPKDSKSGCKEGFKWLDSTDPNPNVATGALVGGPFLNETFIDARNNSMQTEPTTYNSAIIVGLLSSLVTTSSAVQSFT >OIV98178 pep chromosome:LupAngTanjil_v1.0:LG14:7407021:7410516:-1 gene:TanjilG_11575 transcript:OIV98178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGFISRLATSYTKTEKLLNDAVSRSFVGRYFKLEARESCFTKELRAATATFVTMAYIITVNATIIAASGGTCSARDCSGTVGPECVVKGNGGYERCVAKTKSDLVVATAVVGMVASIAMGILANLPFGLAPGMGPNAYLAFNLVGFHGTGPLSYQTALAVFCVEGCAFLLASALGIRGKLAKLIPQSVRLACAAGIGLFIAFVGLQSNEGVGLIGPNQSNLVTITACKMTDPETGSCLGGRMQNPKFWLGLIGFLITSYGTMKNIKGSMIYGIVFVTLVSWFRHTDVTYFPDTPLGDANFNYFKKVVGFHKVKSTAGVLKFNDFNKSETWVALATLFYVDVLGTTGVMYTMAEIGGFLDEKGNFEGEYMAYMVDAAGTIIGSTLGVTTTATFVESSSGLREGGRTGLTAMIIGLFFFLSLFFTPLLSSVPSWATGPSLVMVGVMMIKVVKDIDWNNMQEAVPAFVTMLLMPLTYSIPNGIIGGIGVYVALRIFEYVRCFINRLGKIRRMVVKEQNQVSATIGVDPAVEMI >OIV98165 pep chromosome:LupAngTanjil_v1.0:LG14:7684833:7688778:-1 gene:TanjilG_18280 transcript:OIV98165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTSSPNLEDVPTLNLMSEVLRRLKCDSKPDKRLILIGPPGSGKGTQSPIIKDEYCLCHLATGDMLRAAVAAKTPLGIKAKEAMDKGELVSDDLVVGIIDEAVKKPSCQKGFILDGFPRTVVQAQKLDEMLDKQGVKVDKVLNFAIDDAILEERITGRWIHPSSGRTYHTKFAPPKVSGVDDVTGEPLIQRKDDTAAVLKSRLEAFHKQTEPVIDYYSKKSIVANLHAEKPPKEVTSEVEKVLSS >OIV97873 pep chromosome:LupAngTanjil_v1.0:LG14:13675938:13680193:-1 gene:TanjilG_12630 transcript:OIV97873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNETEHPLLQSTLPKEQHQHQLQVSNNDSLIQQTCLESKKLWQIAAPSIFSRLTMFSITVVTQSFAGHLSNLDLAAITTACTVIISISFGFLLGMASALETLCGQAYGAKKYHMMGIYLQRSWVVLFLSSIVLLPMFVFATPILKFIGQPDTVAERTGLVAVWLIPFHLSFPFQFTLQRFLQCQLKTSVIAWVSGVSLLIHVLLSWVFVWKMNIGIAGTSLTIGFSWWLSVVGMLGYTLFGGCPNSWNGFSSEAFVDLWEFFKLSVASGIMLALENFYYRMLLIVSGYMPNSEVAIDALSVCVTIYGWESMIPLGFLAATGVRIANELGAGNAKGAKFATLVAVANTVLVGFIFWLIIMVFNEKLALIFTSSSSVIQMVNELAILLAFTILLNCIQPVLSGVAVGSGRQAIVAYINIGSYYAVGMPLGFFLGWLLPSSIVGMWTGMMSGTIVQTLILVIITMRYDWGKEVRKVQILIKHAH >OIV98069 pep chromosome:LupAngTanjil_v1.0:LG14:10526049:10526783:1 gene:TanjilG_09562 transcript:OIV98069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSLGIPCGVRNCVTAKHGTFQNRCGLAVVSSPCSVAITGYSRSTVRKGRICAVSVAASTAAGVLENTKNYRSGGANDAIEAMERLDVWMRESVVEIVKNLKEAPLLVQVYTKNKNVEGETSTSVTTEKKVVVEDWTAVKERWETGETPLPEGVIFVEEIGSDESPENGSDEVREERTTRVWGIVVQGKGFRCDPICYLLKTCRVGSGPGMGMGLCSTHFCLVRVKSFKETVQSQLKNCWLLQ >OIV98477 pep chromosome:LupAngTanjil_v1.0:LG14:2653457:2663176:1 gene:TanjilG_16804 transcript:OIV98477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLRKAASNGKRAATGGHSYLYEPFWWAGMISMIVGEIANFAAYAFAPALLVTPLGALSIIFSAVLAHFILKEKLHMFGVLGCALCVVGSTTIVLHAPHERDIHSVKEVWYLATEPGFIVYFCAVVILVSLLIFRYAPKYGQSHMIVYIGICSLMGSLTINYLNKALDIFNTAVISPVYYVAFTSFTIFASIIMFKSSSSSSSSSSSSSSSSSSSSTTTTTTTSSQKISIFGAASAAAKSGFVIPKNKLSGSLVPVFQKQQHGPIIHRITKWGTDLTHDPQVTKGKALALQIRVHQITKQLESGILEAENTQDLNPDKTNSDTQINIMTEILQLEKREAIGEILKLDPSYKPPPGFKPLFKEATVPLPVQEYPGYNFIGLIYGPEGDNQKRLEKETGAKIKVYGTKADTGEKGEIKPGADNKGSYDEMHVNVSADSFDKVDVAVSIIELLITSVIGNLAANSTPAVSVSEDSTNVLSQSKDGSPSHAVSVALANQAMVQPGPAAVSQIHGDHFQYSGPWFSAVPSHAPVFVSSANIATPNPSGLTGTHFPSQTLNPASMASTFGAQPGFHPTFPNQHVSMQAPLPRQISQHSHITQASPLGHIGPPRNPSIIYAQNLSAPRNASPIGQPQISMPSAQNSAPVAGKMSLGLSNMGPMPPPATPVSLHQQLDIAFKPPQSNMSMMPRSAAFPTHQAGFPLGLPSSLGSMPRPPTHSSVNHLSGPGSFPLRQQSGIPNSASRVAPYHTNVKPPILMSSNSGNFTFHSQRPNTEFQFVSIPNSQATQELPPSGPQPLPFGFPRTQVPNQVDQTQARASTVPFAGRSGSVSIPPRNAAFPYAGQSPIPQMGMKNFISAPQMPTLPNPGVPRSMHIQHNYPAQRPWTLNQKFGSNHTMASGKPAYPVDQIYDPFSPTSVATPQQKDLLHLAPNSSEAKVFEGFEKSTKTNSSVPSVSSGGSGEKGSRSSSSSSASSEEKSGGGGWGEKWGEKWVFGLLPFLFISHFFCLL >OIV98264 pep chromosome:LupAngTanjil_v1.0:LG14:2801578:2803966:1 gene:TanjilG_09898 transcript:OIV98264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSTTSAKTLKNHGHKSKPNIAPVENNCYEGERLSNLLHMIQREIKSARQLDGSSLPEKIWLKQQFSIGVNDVTRVLERMKPRTEFGRSAQPLPSISSNHKAPSVKLQAVLVASDCNPRWLTKHLPNLASSRRVPLIFVRYNKEGSLRLGELVKLKTTIAIGIKDRGNNINKFFEDIIR >OIV97673 pep chromosome:LupAngTanjil_v1.0:LG14:15369318:15369677:-1 gene:TanjilG_12430 transcript:OIV97673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTTSSNCSTFFSLRSSNPIRSRVRDPSSLSHGSSGCGTGKLDGVAMWLINGVTMVFFASLNHCSCIRIATEDDGDAEDANDLPLMLNDGNLRAGGGTTSRRRIGKGKKSGSMLVDEE >OIV98318 pep chromosome:LupAngTanjil_v1.0:LG14:971867:972529:1 gene:TanjilG_16645 transcript:OIV98318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYNERRRRPPPPPPLLVHWFGNHNQPRTRLRLPTTFPVTIIVFTTRISVPIIVTNDSITINVNGAIISPIPTLDGHLIFSLNLPTELNLPVIITIATTTTLIHFLVHPNGSIYNITIFINNIIKIFLFFHSTHSYPLLHPPSPSDNVHENTTNQAITIPITITLNLNNNNSNTFIAIPIYITMDFILISAHSIVGLGTTDMEACFWFSNPRIHHHPWNL >OIV97614 pep chromosome:LupAngTanjil_v1.0:LG14:15760856:15761329:1 gene:TanjilG_12371 transcript:OIV97614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSTGNSSTCTKFQSSGSEEDLKVLMDQRKNKRKVSNRESARRCRMRKQNHIDDMMNQVSQLTKDKSEILTSVNITTQHYLKVEAENSILRTQIVELNQRFESLNGIINLINTSTTNGNGAYERDCYVNSDENFMNPINMLYLNEPIMASEDNFLW >OIV98161 pep chromosome:LupAngTanjil_v1.0:LG14:8057380:8059680:-1 gene:TanjilG_22721 transcript:OIV98161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSQHENENENEKMNLIKTITSILTTKPNPNLSSLQPFLPHLTLPLLLSLLSSKPLYSRPCTVLSFFKPLHSLFPPPSFLSSPEPLLSLLPSLLRHHKFSDAKSLLSSFISRDHPRHSLHCLLLHPNRSLPSVSKPLLDTSVGAYVHAGHPHLAYQIFSKMKRLRFCPNLLTCNTLLNALVRSPSSHSIQLSKQVFKDSVKLGVNPNTNTFNILIYGCCVDNKFNEAIELMNRMSNFGCFPDNVTYNTILSALCKKSQLSKVRDLLHEMKNSGLVPNRNTYNILVHGYCRLKWLKEAAEVIELMTLNNMLPDIWTYNIMMRGLCDEGKIEEAFRLKDEMENLKVVPDVFTYNTLIDGCFEWQGSAEAFKLVEEMKEKGVELNAVTHNIMVKWLCKEGKTDEASNTIRKMVESGFSPDYFTYNTMINVYCKTGKLGEAFRVMDEMSRKGLKTDIYTLNTILHTLCTEKKLEEAYELTVNARKRGYILDEVTYGTLMIGYFKDDKADKGLKLWDEMKERGIVTSVVTYNTIIRGLCLSRKTDQAVDKLNELLEKGLVPDETTYNIIIHGYCWEGAVEKAFQFHNKTVENSFKPDIFTCNILLQGLCKEGMLEKALKLFNTWVSKGKPVDVVTYNTLISALCKERRIEDAFDLMNEMEVKILRPDKYTYNAIISALTHAGRTVEAEKLMSKFVETGQDLKTHDTAHGDGSGDMMFSEQISNLCTQGKYKEAMKLFQEAEHKGVALNKSTHMKLMDGLLKRRKSISKAA >OIV97677 pep chromosome:LupAngTanjil_v1.0:LG14:15351084:15351512:1 gene:TanjilG_12434 transcript:OIV97677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFTKYNVNNYTYNDVYYQQKVINIPFSWEHKPGFSKLTPQLSNNNTIRHTNFVLKPPPSKTTIHKLQCSVQPSTLIRVNSYPTGSLKNNDPFIEAYKKCTSSPTMVQRSRKSEKYNGSWPNVMKYLHILSCKYSTDVMSTD >OIV97595 pep chromosome:LupAngTanjil_v1.0:LG14:15882118:15882414:-1 gene:TanjilG_12352 transcript:OIV97595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRKSIIHPQKALIKKILKRCSSLGKKQQDYDVPKGHFVVYVGENRSRYIVPISFLSHPEFQNLLHQAEEEFGFDHEMGLTIPCQEHVFESLTSMLK >OIV97707 pep chromosome:LupAngTanjil_v1.0:LG14:15141857:15143188:-1 gene:TanjilG_12464 transcript:OIV97707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLTRMLKSMKKHFNAPTIQYPAPTRSGFQSRWMTTSKRVQDRSKKKRVHDLEVATEKWKITSKIFFLLEILKQEPEMVIPVRSLNQYRRQINLPKPHRISDFLRKTPKLFELYKDHNGVLWCGLTRKGEDLVEEEERVINNHADKAAEHVTRFLMMSIDKRLPLEKIAHFRRDFGLPMDFRTNWVHNYPHNFRVVKSLDEVEFLELVNWNPNWAITELEKKVKGIPEITEITTPCMLSLPFPLKFPANYKRVSSYGKNIQLFQQRPYLSPYADAKGLKAGSLEFDKRAIAVMHELLSFTIEKRLVTDHLTHFRWELVMPQKLMRLLLKHCGIFYVSERGKRFSVFLTEAYEGSELIEKCPLVLWREKLLSLVGYRGRKKKFETFSDESDPEDDDGLIQSDSEVGNLDVVLEQQDTMDYEDPLLDDSEMNVEEITCAYQNLKS >OIV98029 pep chromosome:LupAngTanjil_v1.0:LG14:10764049:10767720:-1 gene:TanjilG_12260 transcript:OIV98029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEGYWRYGEPRHAPPSIAGKRSRNEYDASGVHDLASYYPHDDERGGLQSIRDTESLEASYERYLHSAQVSSYGAGQSTRTIGARIPSHSVDDSHVTSIGGGVDPGKDKILGLGSGRPDHSLPPDATNTLFVEGLPPNCTRREVAHIFRPFVGYKEVRLVSKGSRQPGGDPLVLCFVDFVSPAHAATAMDALQGYKFDELDRNSVSLRFQFARYPGSKSGVVHRGKR >OIV98303 pep chromosome:LupAngTanjil_v1.0:LG14:802438:803134:-1 gene:TanjilG_16630 transcript:OIV98303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQILDKAKNYVAEKVANAPKPEASITDVDFTRVGRAGAEYLAKVSVKNPYGTSIPICEIKYCLKSADREIASGTIPDPGSLKASDTTILDVSVKVPHSILVNLARDIGADWDIDYQLDISLIIDLPVIGNFTIPLSQKGEFKLPTFSDIFA >OIV98507 pep chromosome:LupAngTanjil_v1.0:LG14:229281:232782:1 gene:TanjilG_18791 transcript:OIV98507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELHASIFQPSSSLLSRFHTVKHAADFVSPFSLFISNSLRPSFFTFTTPKVPNCFSKGLSCSSTPGCNGSDEKNNDDDNDTWFLDDYQLISDCDKLIEAFVLDKSALIDWRRVLVLNKKWNNIRHHFFKHCQDRADNEKDPMMKNKLLWLGMKLKEIDEDVQRYSELMKMIKGTPSDISEVVSRCHKDFTKEFLVHLHTVAESFDDPRVQNDLVKLRDACFTAIKSYDAAAESTGALKTAELNSPHIISSHLDTVCRNIDNLDGRSQCFNPDSVARLLRSCYNVKEIGMIHAVVLKRFRDSIIYVDNNLICSYLRLGKLAQARRVFDGMSRRNTVTWTAMIDGYLNFNLVDEAFMLFQDSTKHGVQENSTMFVCFMNLCRKRMDLKLGKQIHARVLKSNWRNLIVDNTIIHFYAKCGEISSAFQTFDCMAERDLVCWTTMITACSQHGLGHEALLMLSQMLGDGFLPNEYTICSALKACGENKALKFGTQLHGALVKKICKIDVFIGTSLLGMYAKCGETVNSKKVFDRMRIRNTATWTSIISGYARNGLGEEAISVFRLMMRRKVHVNKLTIVSVMMACGSIKALLFGREVHAQVIKSINHTNLHIGSTLVWFYCKCKEYSHAIKVLQHMPFRDVVSWTAIISGCTKLGLKYEALECLQEMMEEGVLPNSYTYSSALKACANLESPTQGKLIHSNASKTPALSNVFVNSSLISMYVKCGNVADAFQVFDNMPKRNLVSWKAMILGYVRNGHYKEALKLMYRMEAEGFVVDDYIHANVFTACGGIDAVDID >OIV97833 pep chromosome:LupAngTanjil_v1.0:LG14:14108519:14110370:1 gene:TanjilG_12590 transcript:OIV97833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELYDHDFLEELMALRRETWHTNPTTEQNQFLSNSYSFDCFDQNSLPFLQNNTCYGQEFPQSYNNDYNTINEIYGSLLEEPSAPQVLDSYYGTLDTPLNTSPFLAQEDFSLSMIEEEGNTVLLDEVVQNLELQNTCKMETTQSSPEMPVFNMGSGLESKHRAKKLQGQPSKNLMAERRRRKRLNDRLSMLRSIVPKISKMDRTSILSDTIDYMKELLDKINNLQQGIEVDANMGAIFKDVKPNEILIRNSPKFEVEKSLDTKIEICCAGKQGLLLSTFNTLEALGLEIQQCVISCFNDFSMQASCSQELEQRTMFSSEDIKQALFRSAGYGGRCL >OIV98262 pep chromosome:LupAngTanjil_v1.0:LG14:2729514:2733437:1 gene:TanjilG_09896 transcript:OIV98262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTTPSSLDHALRDVQDYVSANEPEGLAWDTFRDVYELVQNGNAAFRENIMEEAISFYSRANNIKYGDPIILSNRSAAYIRISQYLKNRAPSASEHRPLSGLDPTTLAELGLKDADKLVELQTNSVKSYILKANALFLLDKYAEARDVILSGLQVDPFSNSLRASLQNLERESCRSTGSNMHEQPDRNDDFDCTLCLKLLHEPVTTPCGHSFCRSCLFQSMDRGWEQMPIVQDSSVY >OIV98035 pep chromosome:LupAngTanjil_v1.0:LG14:10683774:10685231:1 gene:TanjilG_12266 transcript:OIV98035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISSIFFILVFSFLILRSFRKTSTLHILNKWWFSFENRFHVYQSFKVPLYNQNFQENQLYRKIITYLDSLHSVQDSDFTNLFSGDNPSDIFFQLDANQVVDDTFLGAKLRWVKHAVATVGGDSDSAALVLRIKKRDKRMIFLQYFQHVLSVADEIEQRRKEIKLFINTGAGETSRWRSVPFNHPASFETVVMDNELKNKVKSDLEQFLKSKHYYHRLGRVWKRSYLLYGSSGTGKSSFIAAMAKFLNYDVYDIDNSKVTNGSDWKTLLMQTTTKSMIVIEDLDRLLSSKSTAVNGSISSVLNFMDGVVSCCGEERVMVFTMNGSKDEVDETVLRPGRVDVHIHFPLCDFSTFKILANSYLGLKEHKLFPQVEEVFSQTGPRLSPAEVGEIMISNRNSPSRALKTVIAALQVQSNGRLNGRGSGQISYDRPEPAAVICRESVHTVREFKKLYGLFSLGSRKKDGSNYTGPIEKEASRNSGWFDKKD >OIV98287 pep chromosome:LupAngTanjil_v1.0:LG14:642386:647528:1 gene:TanjilG_16614 transcript:OIV98287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQCFTSLLFISLFSLFPILAVTSHFSSLPLQDGVEAFAERELNKELQWKESKRFLAEEKVTTKASLILAENRTNRKDPSDNFKHYTGGWNITNTHYLLSVAFTAVPFIAVAAAWFVIFGISLSIICLCYCCCPTEPYGYSRFAYALSLIFLILFTIAAIAGCIVLYTGQGKIHGSTTSTLEYVVRQADTTAKNLSDVSDYLSAAKNIGFEAVFLPSDLQKNINNVVSKIHSASITLSTKTADNSDKINKGLDVMRLALIILAAVMLFLAFIGFVSSIFGLQCIVYFLVIIGWILVALTFILCGVFLFLHNILCSSPWDKSRVPLFEYGVEAFAERELNKELQWKESKRFLAEEKVTTKASLILAENRTNRKDPSDNFKHYTGGWNITNTHYLLSVAFTAVPFIAVAAAWFVIFGISLSIICLCYCCCPTEPYGYSRFAYALSLIFLILFTIAAIAGCIVLYTGQGKIHGSTTSTLEYVVRQADTTAKNLSDVSDYLSAAKNIGFEAVFLPSDLQKNINNVVSKIHSASITLSTKTADNSDKINKGLDVMRLALIILAAVMLFLAFIGFVSSIFGLQCIVYFLVIIGWILVALTFILCGVFLFLHNVVGDTCVAMDEWVQNPTAHTALDDILPCVDNATAQETLFRTKDVTHQLVDLVEKITSTITNKDFPPAAAPLYYNQSGPLMPHLCNPFNRDLSSRSCASGEVTLNNAKEVWKNYTCKVSSSGICQTPGRMTPTLYGQMSAAVNVSFALYHYGPFLVELQDCTFVRKTFTDISHDYCPGLRRYSEWIYVGLVMVSAAVMLSLIFWVIYARERRHRVYTKLMYISA >OIV97663 pep chromosome:LupAngTanjil_v1.0:LG14:15449019:15450048:-1 gene:TanjilG_12420 transcript:OIV97663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVHSFCALFFVFGAALVFSTFSFPIDDDGSWFPGLFATNYGERRTVIVKGRKLKENDKGIIGSNYKGDMGSVTTNDYNPTNPMPDAKNYVKGGTIEHDQPIGPYKPKPMPPPADNGDQS >OIV98419 pep chromosome:LupAngTanjil_v1.0:LG14:2134381:2135718:-1 gene:TanjilG_16746 transcript:OIV98419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKRGSVLMQKYEFGRLLGQGNFAKVYHARDLKTGDNVAIKVFDKEKVLKVGLVDQTKREISIMKLVKHPNVLQLYEVLATKTKIYFIIEYAKGGELFNKVAKGRIHEDIARKYVQQLISAVDYCHSKGVYHRDLKPENLLLDENGVLKVADFGLSALVESHHQESMLSTVCGTPAYVAPEVISRRGYDGAKSDLWSCGVILYVLLSGHLPFYDLNLMALYKKIGKAKYKCPNWFSFESRRLIAKILDPNPHTRISTTKVMESSWFKKGFNSKPDKMENRLINVASSSSSVDSDNVFGVCENQSSDVEENQALVKPTYLNAFDIISLSAGLDLTGLFANNGDEDNVKFTSMNSASSIMSTLEDIARVLKMTIAKKDGGLLKLERSKEGRKGQLSIDVEIFEFTESFYLVEMKKLCGDTLEYQKILKEDIRPNLKAIVWVWQGEKQ >OIV97548 pep chromosome:LupAngTanjil_v1.0:LG14:16149686:16151045:-1 gene:TanjilG_12305 transcript:OIV97548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKRGLAKQNEANASNGSPSRPFAVITGDASKKPGNKKQRVNEPGSSMATHVMIVNVGECISTEILALSRDLGRNICVLTANGEISRVKLRLPSNEHVTYEGGFVIQSLGGSLVLFDGGSGPSGRSGGLTVSFFGPSGHLVGGAVAGEMIAASTVQVVLLSFPGEFGNASKEPNKTGNSSAPAPPKVDVVDGE >OIV98196 pep chromosome:LupAngTanjil_v1.0:LG14:6811450:6818590:-1 gene:TanjilG_11593 transcript:OIV98196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRLHKLKSPKSGDRIEFRFSNFKAIKVPKGWDKLYVSVFSVKNGKTIAKSSKVPVRDGSCQWSDTFSESIWVSRDNNSSKEADDCLLKIIVAMGSLRSGILGEATVSLASYMSSNAAIPLSIPLKKCNHGTVLQVTMQCTQGKKPREETSETNSHLKALNENGYNVAVKSNGSDCSYVQGVESSVEDFDSTFSLGEVETRDTSFSGSLSNFSYNSAEGSTGRDTFSPSINDVHSPAGRQDSPSSQKSVSCNGYSDNNSSQSNHSSKVTNSCNNHLEAAQDKSGEVRAEAKMWEMNARKLVGDLDMLRTGFSDQSKKLADLEMDLSAAYIERDSLKKEVEQLKSSLEDPIVRQKALEDSTSQGECIPVIENTLKDELKFQIESNANLSLQLKRSQEANIELVSVLQELEETIEQQKVEIENLSSLNSRFSDLEKSFQLSIEGNRSLVHQLEQLEESKKSLLVKMQELEEALEDKMHDIDHAKIPPKENTLSDIEMEYERKLSTKEEEILSLKAKLVEFLQESCNAETISRNEGDADLMREIEVLKEKVQELEMDCNELTEENLDLLFKLKEAKKGASEDLDSKNPKNQSFFTFDSDVRNNVFRVFHLEDMLQGEKADKITNGDHILTQEFEPLKLALEVKITEMANLEANLSSKEKEIGVLQKQQIELDAKVYQLEQEKNQLEEHIEVIIKERDINFKCLYQLQDDFATLSKNMDSHLSANENLARRSIELEQGKLELELYLSGIEQEKEQLSIHISVLEARLRDLTNEQESHLSELEDFRSQAARLEEDILEMQSVMDSSKEDLKQREEYCSRMEARLRGAEQRFVDYTERVELLEKEFDLMLEEITSKEKHLDLELDGLLDENRKKMEEGQSLLNQMHIEKMEEVQNLKQEIESLILKLSATYDEKERIASNALLEISAMRSDKAKMESAFEEIQCKMTLSRTEINMMQTEYEQNRKDLTTELAEFKIKKEMMMAELDKLLKLVEDYKSRELKFKSTINSLELKLTDAEYERQQLMDESGNLKIQLQQTYQFENEIVALKDDLNSANSEKERLEASLRLTSELCDDMKAEKTSLGVKILTMEKAASELEDCKRNRASLEERIMQLESGLKARETRFVEDTELSHFKRITMQHQQTIQLLEQEKSEFQIKSQALEEELKLIKEQKRNQVSKLNRKGLPAHEAMKASKNLMVKSTNQHRSNRKRPSLKNDREIMKDQQDLHSSSRHQSEVETEHGLLDETVYDVEVDPVSKVQLLETEVDKPNETNNMFEIQLNRSPQDRNNQANGRIISLAERGLVTKERFEHTKSMLEEELTDLQERYFHMSLKYAEAEAKREELVMKLKEAKNKKGWFS >OIV98434 pep chromosome:LupAngTanjil_v1.0:LG14:2319763:2331397:1 gene:TanjilG_16761 transcript:OIV98434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSRGISFCIFSLLTIRGAISTLELFGKGEDRLIFCYAFPSAVATNSAWPEFPGFKEFKLLETGGNYKKLPLEFGPYRLAFLIVVNDRKTLLLATGILAAGGAAAYMQSRFRVSKHDLLGHCNGHNNGKEVTKDGIEKDAAASKNKQKKGGLKSLQVLAAILLSEMGQLGARNLLALVGVVNMVYYKIAHVDGRITNPEQRIASDVPKFCTELSEIVLDDLTAVTDGLLYTWRLCSYASPKYVFWILAYVLGAGTAIRNFSPSFGKLMSREQQLEGEYRQLHSRLRTHSESIAYYGGERREESHIQQKFKALVRHMKTVLHDHWWFGMIQDFLLKYLGATVAVILIIEPFFSGHLKPDSSTLGRAEMLSNLRYHTSVIVSLFQSLGTLSISARRLNRLSGYADRIYELMAVSRELSLVDENPSLQRKGSKNCISEANYIEFSGVKAITCTLIQVVTPTGNVLVDDLTLRIESGSNLLITGPNGSGKSSLFRVLGGLWPLISGYIVKPGIGSDLNKEIFYVPQRPYTAVGTLRDQLIYPLTSDQEVEPLTDSGMVELLKNVDLEYLLDRYSPQKEVNWGDELSLGEQQRLGMARLFYHKPKFAILDECTSAVTTDMEERFCAKVRAMGTSCITISHRPALVAFHDVVLSLDGEGGWSVHYRREDSSTEMGIDTMKTSETKRQSDAKAVQRAFAMNKKDSAFSNSEAQSYITEVIASSPSTNHSISPSVVPQIRGNTRVLPLRVAAMCKVLVPTVLDKQGAQLLAVAFLVVSRTWVSDRIASLNGTTVKFVLEQDKASFIRLIGLSVIQSAASSFIAPSIRHLTARLALGWRIRLTQHLLKNYLRNNAFYKVFNMSSKSIDADQRLTHDLEKLTTDLSGLVTGLVKPSVDILWFTWRMKLLTGQRGVAILYTYMLLGLGFLRIVTPDFGDLMSQEQELEGTFRFMHERLCTHAESVAFFGGGAREKAMVESRFRELLTHSKYLLKKKWVFGILDDFITKQLPHNVTWMLSLLYAVEHQGDRASISTQGDLAHALRFLASVVSQSFLAFGDILELNRKFVELSGGINRIFELEEFLDSAHSGNFISDGAMLPVRDIRSKDAISFSKVDIVTPTQKMLARELTCDIELGESLLVTGPNGSGKSSIFRVLRGLWPAASGRLSRPSEDVDQEVGSGHGIFYVPQRPYTCLGTLRDQIIYPLSREEAEFRALKMYGKGKVHPDTGNLLDMHLQVILENVRLNYLLERDERGWDANTNWEDILSLGEQQRLGMARLFFHEPKFGILDECTNATSVDVEEHLYGVAKDMGITFVTSSQRPALIPYHSLELRLIDGEGNWELRKIKQ >OIV98220 pep chromosome:LupAngTanjil_v1.0:LG14:5718128:5729763:-1 gene:TanjilG_18759 transcript:OIV98220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIELKSHSSNRKYDEEEALKWAALERLSTYERARKGFLHGIAGDLKEIDIKKLGFQEKKELLESVVKHIDQNESYLKRLKRRIDRVSLNLPTIEVRFENLKIDTEAYVGKRALPSILNVILNVAETLGNYLHIFPNKKQKFSILSDVSGIIKPGRMTLLLGPPGSGKSTLLRALSGRIDSKLKVSGKVTYNGHELHEFESSRTSAYISQNDVHLPLLTVRETLAFSARCQGVGTGYDMLVELLSREKQLNITPDPYLDALMKASMVSGKKEDIVTAYILKILELEVCADTIVGDEMRRGVSGGQKKRVTTGEMLVGPVNVLFMDSISTGLDSSTTFQIVNCIRQSIHILGKSALISLLQPPPETFDLFDDVILLSEGQIVYQGPREHILEFFEFMGFKCPERKAVADYLQEVTSKKDQGQYWFNKEKPYRHISVDEFAKTFMSFHVGSAIQRELATPFDRSKSHPAALTKSKYGTNKKELLMACLEREFILTKRMAFVHIFRIIQMQISALCLAAIFSNVRKDHNTVEDGANYLSAIFFVVNTITFAGFFEVPIMVDKLPVFYKQRDQQFYPSWAFSLPASVLGIPKSIVEIFFWVAITYYTLGFDPSPTRPVVNGSTETLGVAVLKLRGLQTQSYWYWIAVVVLVAVFFLFNVISALALTYLNEYGKSQSVFIPQETSDDEETKHRRNQDNRLLQSEASTSRTVDSMAHENPVQTVKSGTKRTILPFTPLCLTFENITYSVDMPKGMKSQGMLEERLELLKGLSGAFRPGVLTALMGVSGAGKTTLLDVLAGRKNTGYIEGNIMVSGYPKNQSTFARVSGYCEQNDIHSPLVTVHESLLFSAWLRLPADIERKTRELFVEEVMELVELTPLRDALVGFPNLNGLAIEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFESFDELFLLTRGGEEIYSGPIGPQSRHLIEYFQRISQVGRIRDGYNPATWALEVTTRAQEDLFGVKFSDIYKNSDLYRTNIALVRELSNPPPDSQDLHFPSKYSQTYLNQLKACVWKINKSYWRNTSYNAVRLLLSVAMSIMFGVLFLRLGSLRSTKEEIMNGVGSMYMAILFMGRNNAGTVRPVLLAERTVFYRERAAGMYSALPYAIAQVVIELPYTVVQVTIYAIIVYAMMGFQWTAAKFFLNLFFIFITILYFTYNGMALSAISPNQPFASILSSLMSTVWTLFAGFLIPTQKIAIWLRWLAWLCPTLWSMYGLVASQYADLQSKLYSGETVSEFMKDHYGFSYDFLWVVSLVLIGFSFVFAFAYIYGTAALNFQKR >OIV97961 pep chromosome:LupAngTanjil_v1.0:LG14:12657229:12663402:1 gene:TanjilG_12718 transcript:OIV97961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDKKLPSSSSVKKGDRIMFTVELRPGETTIVSWKKLMKDANNNKINNGNNDNNNNNGSVSSLAPPHVHPALESRIAPGQPVEAEEKDPSQPNRFSAVIEKIERLYMGKDSSDEEDMRDFPDDDQYDTEDSFIDDAELDEYFEVDNSAIKHDGFFVNRGKLERINEPPVLPNQQLKKRRRKDISKNPGENNDDQESSKHVKVSRPVSGKTTSLKGKNTSNSSQDLVAPGEHYKDLKVQNKLDVPGISSKKKTADTKSTLDPSVSLKASKDDVPSSVTEAKDADRQKTGVIHSKKISDKYKDASGLLGASHQKYQEKGAHAHSKSQPGRSSSNIDDLKISSHSKEKKVMHELPDLNLSEGNSTMQVTKSEYTHKKDGSSVKPKTSTLEKAIRELEKMVAESRPPAMENQEADTTPQSVKRRLPREIKLKLAKVARLAQASQGKISKELLNRLMSILGHLMQLRTLKRNLKEMISMGLSAKKEKDVRFQQIKKELVDMIGTQALTLESKQQKSGASGDFQEFGPDGKAITKIPFRMDSTLEDKICDLYDLFVDGLDESAGPQIRKLYVELAELWPSGCMDNHGIKRGICRAKERRRALHTKDKDQEKVKRKKLLVPKLEENVLPDTSSIAPQQSSREKLAPESSIHAFTSVNNPVSNVSTTARIPSPSMNGQKQEKAKKNPSNSLDNVKVENDGPPKKKVKRKPEHDFEGAHFGPEKKKLSSLQGEAKPKSQKYSTGLHTKSKLQPASIPGLEQPS >OIV97806 pep chromosome:LupAngTanjil_v1.0:LG14:14373690:14374403:1 gene:TanjilG_12563 transcript:OIV97806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESIASSSNSQTNHHQNHHHRFKPSQPISDRIVRALRHRLRLLHRTCSTFFILGATGNVYTVSLTTTPSCTCPDRTTPCKHILFVFIRVLGVSLDDVCLRRRTLRPCQLQRLLAMPTLPEAVAGGILRQRFHQLFLDGGEGGSSGASIEMEDGATCPICLDEMGKEEKLVACGTCHNPIHEECLMRWKRSKGRRTASCVICRARWRDRTEQDKYVNLSAFVSEDDNMAQPVGGLCTG >OIV97834 pep chromosome:LupAngTanjil_v1.0:LG14:14094991:14099884:1 gene:TanjilG_12591 transcript:OIV97834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKSVVGEETRFKPVEDRLSQTAIPSEVGLVIGKFSSALDRAFVFDLIPTPLNDSSQPASSITDSQNKKPNNSKSKSQSSDSSSLFIDKDWVAEHARQVSRMLVGGIKVLGIYIWVGDAAFKNSTIMICQTVKGVSEAAPLLEADWDERLLLHISYSPRRWNCRNCSLSSNITSSSLRPCDFKMGKVLSSLQTFKCMHNFSLRLPILYDNVSKFPTLSDVLRQAISIHAKELKGAKVLIDGKLVIDGETFSSDGVHEVELLLPFMNNSSIEACRQGDAVGILSFSGLICSFAYLNSKEPISQAVTDIKEDIIMSLQSRLDIICDEADGDSGINLGVESDETSAEKPISQFELQLLRKGCSLPFPRRVFTPWLGGAFVCDYLQPSETVEVLKDHCMELLSMKAPTDISTILEPEKEVLTLKTKSFWDVAVPINSKLHLIEDKNKHEGLGESSDKSVKPGHINVVPACLILLLSILVGFVLFFLKA >OIV97977 pep chromosome:LupAngTanjil_v1.0:LG14:11747429:11751023:-1 gene:TanjilG_14077 transcript:OIV97977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEQSAVVPAIEMNGQDLLLCESNDTQGCICSPPPQPVEASGGDYKLGFVDRSFSAAGAAFISAIIVNPLDVAKTRLQAQAAGVSYSHPLSNVTSRMAYFGPTMMFADLRCSPSCTRAGIEGTVSICPPECFRYKGTLDVFYKIVHQEGFARLWRGTNASLALAVPTVGIYLPFYDIFRNWLEEFTSKSAPSITPYVPLVAGSLARSLACATCYPIELARTRMQAFKETQIGKKPPGVVQTLLGVVSQVKSTNSAQNSLQGYRALWTGMGAQLARDVPFSAICWSTLEPTRSELRRLVGGDDANALGVLGANFTAGFVAGTIAAGATCPLDVAKTRRQIEMDPVKALRMTTRQTLMEIWRDGGFKGLFTGFGPRVGRAGPSVGIVVSFYEVVKYVLHHQYSPS >OIV98439 pep chromosome:LupAngTanjil_v1.0:LG14:2366646:2371426:-1 gene:TanjilG_16766 transcript:OIV98439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVEMDFIFALLLLTNICSFALPDSDSEVEALYALKLSLNASADQLCNWNNNQVNPCTWSNVNCHNNSVTQVSLAFMGFTGTLTPRIGVLKNLITLSLQGNRIAGGIPKELGNLTSLNRLDLENNSLTGEIPSTLGNLKRLQFLTLSQNHLSGTIPESLASLRNLKNVLMDLNDLSGQVPEQLFNVPKYNFTGNKLNCGITYHHVCESDNTDPGSPHKTKIGLVVGIVVGIVVFLFLGGLLFFWYKGYNEEDFVDVSGEVDSRISFGQLQRFAWRELQIATDNFSENNVLGKGGFGKVYKGILADGTKVAVKRMTDYESPGGNAAFQREVEMISVAVHRNLLRLIGFCTTLTERLLVYPFMQNLSVAYRLRERKPGEPVLDWPTRKRVALGTARGLEYLHEQCNPKIIHRDVKAANILLDEDFEAVVGDFGLVKLVDVRKTNVTTQVRGTMGHIAPEYLSTGKSSERTDVFSYGIMLLELVTGQRAIDFSRLEEEDDVLLLDHVKKLKREKKLDAIVDRNLDKNYDMEEVEIVVQVALFCTQDSPEDRPAMSDVIKMLDGERWEEWRHAEIAQRQDHEKLQRITSFGEDSAYKQKAIELSGGR >OIV98148 pep chromosome:LupAngTanjil_v1.0:LG14:8445185:8447437:-1 gene:TanjilG_12184 transcript:OIV98148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTPQKPLSLLTTIFFLLLLSPSISTNPPTPSPWPHQFHALLFMNRTNTLQKLDLWYDWPNGRNFNIIQDQLNGVVKYDLEWNNGTSFIYTLDPYKKECEVVHVEVGILRPDWLEGGNYLGQERVDNFLCNVWEKVDFIWYYEDVVTKRPVKWIFYTGYTAHVMTFEVGAVLDDPNWQAPLYCFSEAEKERVSPILEPTMKGGSFSRLMRGIDHAADDM >OIV97748 pep chromosome:LupAngTanjil_v1.0:LG14:14843394:14847905:1 gene:TanjilG_12505 transcript:OIV97748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLGKPFRGIIQDFKGRTACYKQDWACAVSGVSILAPTFYIFFASALPVIAFGEQLSRDTDGTLSTVETLASTAICGIIHSIVGGQPLLILGVAEPTVIMYTYLYSFSKNTPGLGPKMFLPWAGWVCIWTSLFLILLAIFNACNVITRFTRIAEELFGMLITVLFFQEAIKGVIEEFKTPKSENPLSEEFQFQWRYANGLLAVIFSLGLIAASLKSRRARTWRYGTGWLRGFIADYGCPLMVVFWTALSYGKPGKVPHGVPRRLFCPLPWEPASLYHWTVIKDMMKVPVIYILAAILPALMIAGLYFFDHSVASKMAQQKEFNLQKPSAYHYDLLLLGIMTLICGVLGLPPSNGVLPQSPMHTKSLAVLRSQIIRKKVVESAKECIKQQRTKSEMYGKIEAIFIEMNTDPTDKELQTLKKAVMESDSKDGDKENFDPEKNIDEYLPVRVNEQRVSNLLQALMIGVAILGTSVIKMIPTSVLWGYFAYMAIDSLPGNQFWERILLLFITPSRRYKVLEGYHASFVETVPFKTISAFTGLQLAYFLLCFGVTHIPIGGILFPLPFFLLIVLREKLLPKLFNSRHLQELDAAEYEEIVGAPDAALSMKKSLKLKEKQPLVGDTDDNSEEDYFDAEKLDEMTTRRGELKLRSFNDSNRMRSRKSFSYDQEHIQAKVENKNMEELQNAFTELRLKKHELEVAAMKENMELKGTIENLGAINGLLEDGIRAFEEKYTNLSLRVARLEQERMELLRRVATINDAAT >OIV98400 pep chromosome:LupAngTanjil_v1.0:LG14:1862560:1867948:1 gene:TanjilG_16727 transcript:OIV98400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDMQILPGSRHRPPMKKPIWIVVLVLFVSVFLICAYVYPPKNSLGCYVFSSSRCNGIADWLPPVTARVYTDDEIASHVVMKDILNTPPVLSKNPKVAFMFLTPGSLPFENLWDKFFQGHEGKFSVYVHASKTKPVHESRYFVNRDIRSGQVVWGKISMVSAERRLLANALQDPDNDHFVLLSDSCVPLYNFDYIFDYLMHTNISFVDCFKDPGPHGNGRYSEHMLPEVEMKNFRKGAQWFSLKRQHALMVMADNLYYSTFRAHCQPGLHGKNCIADEHYLPTFFHIVDPGGIANWSVTHVDWSERKWHPKSYRTEDVTYELLKNITSIDVSVHVTSDEKLSLVPRTGFSCCMRIVNGSLMRLDHLPAADAR >OIV97680 pep chromosome:LupAngTanjil_v1.0:LG14:15324351:15326907:1 gene:TanjilG_12437 transcript:OIV97680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLEGTKAYRKENGQIQQFRPQQNAIRMKNGAERMCMTSPSIHEFVHALKQTVLANKRWVPPPGKGSLYLRPLLLGTGPVLGLAPAIEYSFLIYASPVRNYFKEGSAPLNLCVDEDFDRAYSRGSGSVKTISNYAQDKCISTPAANGTILPGITRKSVIEMARDQGYQVEQRTIAVDELIEADEVFCTGTAVGVAPVGSVPYQDRRVEYITCPRTICEELNTTLLGFLFALGSKTIFEGGKMFFRYSLFRPHAI >OIV98493 pep chromosome:LupAngTanjil_v1.0:LG14:473583:480189:1 gene:TanjilG_18777 transcript:OIV98493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFHHNDHVPHEINFQSYADEQQHLTQNRDMQRLLPFSGGVSGGEPPSWLNNAASNRQQNFLHLQPDSTAQNDEVRGMMVKNMDRNQRTESNMMESEELMEYKADILRHPLYEQMLSAHVSCLRIATPVDQLPRIDAQLQQSQRVVDKYSGLGNAVVDDKDLDQFMTHYVLLLCAFKEQLQQHVRVHAMEAVMACWELEQSLQSLTGVSPGEGTGATMSEDEDEQAESNGNLYEGSMEGGDSLSFGPLVPTENERSLMERVRQELKHELKMGYKDKIVDIREEILRKRRAGKLPGDTTSLLKAWWQSHSKWPYPTCFTMKH >OIV98254 pep chromosome:LupAngTanjil_v1.0:LG14:4073268:4073462:-1 gene:TanjilG_14843 transcript:OIV98254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSYHFNITAQRKDARSMKIKRSKDVVKFKVRCSNYLYTLSVFDTENADKLKQSLPPGLIVQDL >OIV98212 pep chromosome:LupAngTanjil_v1.0:LG14:5980598:5981674:1 gene:TanjilG_18751 transcript:OIV98212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGNKDDALKCLEIGKEALEAGDSSRALKFVSKARRLDPTLSVDDLLSAIEKDAGDQLPKAASAEPSEPASPSKPSDQPSIRRRVSAPGSSSSSAASYTEEQITVVREIRRKKNYYEILGLEKSCAVEDVRKAYRKLSLKVHPDKNKAPGAEEAFKAVSKAFQCLSNEESKRKYDVSGEDESVYERRETRSRTRSFNGYYEADVDAEEIFRNFFFGGGMAPATNFGGFSFGAGMGQRPAEHGSGGFNARALIQLLPVLFILLLNFLPSSDPIYTLSRSYPYEHQFTTPKGVNYYVKSAKFAQDYPPGSSERTTMEGKVEREYFSILHQNCRLEMQRRQWGYVREMPHCDMLRKFDSVS >OIV97966 pep chromosome:LupAngTanjil_v1.0:LG14:12252631:12253134:-1 gene:TanjilG_12723 transcript:OIV97966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADALKPKITISLKPCDSDVVEVSPAIAKQMQTIQSFIEDDSFFATTTVIPLPNVTAFQLTKIIDYLNYHRNGKAVTNDGKDSAKKLDEQFLKELDQDQLKELLLAANYLNVKDVLDFLCQAVADLIQDKSVKFVRNFFGVVNDYTTAEEKEIRRTRAWAFEGVDEE >OIV98441 pep chromosome:LupAngTanjil_v1.0:LG14:2381389:2383335:-1 gene:TanjilG_16768 transcript:OIV98441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQHHPMEEYDKKLNQMKEQMRIVEEEKGRAFNELKEMKKVAEEANVMVDQVLATKRNIPEPHARNQMLMQQNNNNNTNIETLRQHGSIKGLELQLSEKDVLLKNLKNEMENHKLSEANAMAMLFDYKRKVQELEVELDKRKESEANLFDTLVMQTKQLEQSKISLEESKLEISNLEEKVMVLQNMNNLGESKDGIENVISTMEIEKGMENEDEIEQKKLDNDTQEGEDLTMMDVKAFLEELNLLKNELKAATLAEENSKKAMDDLAFALKEVATEANQVKAQLTLSQVELEHTKGDAERWRAMLGSTEEKYKELLDATRKEADRFKNSAERLRLEAEESLLAWNGKETEFVNCIRRAEEERLNTQNETARVFELLKEAENKIKVSKDENQKLRDILKQALNEANVAKEAAEIAKAENARLQDSLTLLVQENEMLKIHEAASFENIEELKRLLSESSMKEFKHEDNEKSSSTKESSSSSSSKEDKESGKKAKTHHNNSTDKEHKDSKNLSKTFSLNLKEMISPHKENHKQQQQQQQQQQQQQQHKVGNEEANGNSKETEDDTLRGSIFDEVNSTDSESSHHDVDDFDHLDESHFDDCEGDRNSRKRRALLRRFGDLIRRRGNHYHHRKDSSNEEHLQQQVTNITQVAK >OIV97765 pep chromosome:LupAngTanjil_v1.0:LG14:14678378:14681100:-1 gene:TanjilG_12522 transcript:OIV97765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNGIGLQTPRGSGTNGYIQTNKFFVKTKTSRVSDNTRGFDSDQGTAGVTRKPNKGILDHDWKRQIQLKLIILEEKLIDQGYTEAEIAEKLEEARSNLEAAANADQEFDVNGKNKISETQTHQIAARKEKQMETLKAALGIVSASEVDETNALQNDEGPKFYGKNGSSGEGKHQLKEHSFLDRDFSWKKQTVEDQKDENADKKVVKDSRRQKKGGKYRKYKDDSSNSDSSMDEGKGDRKKRSKGKKESSDDSDSDDSDSDDSDSYTERKVKATRKRKICKQHKKHQVKDSDESASGSDGNIAIRKSNNKHKKSGKGHDLDDNSDLHKGFSKQKLSKLRKPHESKSEYHADSEKEYGSRLEKKQVGSGAGWGEEKKYGGRLEKQKHGRHDSSDDDSGRDYGKRVSRQDRYVGGGSSSSTSDSGSNCDSDSSPSYHRNERRKSTHREANHGRDGDDRIGREDRGDADYHGQKKHVRDDGRKHRKDEDNQGERQHLKDDENLGERKHSRNDGSHGERKYPRDEDNRGERKLVREEDIHVERKHTREEDICGERKRATREEDIHGERQPATREEDIRGERKHTREEDNLGERKPARDEPNRGERKRTGDEDNRGERKRTGDEDNLGERDHRRNEDDFRFGRREHDEERHPARKEEEVGGDNYERDRHRDYAKRSKYNDFVSSERKRHESKHDEVRSRR >OIV97678 pep chromosome:LupAngTanjil_v1.0:LG14:15346101:15347339:-1 gene:TanjilG_12435 transcript:OIV97678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFNMDSDKAKLFIGGISRETTHDILRDHFSVYGTVLDSTISVDRITRYPRGFGFVTFSDLSAAEKALQDTHVILGRTVEVKKAIPRSEQQHQNQLYSRGVSNYSSSKNDCSSDHIRTKKIFVGGLSAGISEEEFKRYFERFGRITDVVVMQDSVTHRPRGFGFITFDSEESVEHVVAESFHDLSGRQVEVKRAVPKEGNNVGDGYNKFRYNKSERGTPQSYPPHGPRYMSPGFAPMPYYGSGGVYAYGSNPYGYCYTTGGYGGDVYAIPSDASRNFWYGPMIAGPQPCQIPYANASPNVVYAGGRVGIVECGPGTRGYNGLLGSATNWKYDQCFTGNGFVPDYVIPLQSEKHSVDPSRLKGNK >OIV97691 pep chromosome:LupAngTanjil_v1.0:LG14:15252070:15254840:-1 gene:TanjilG_12448 transcript:OIV97691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEESPRSSNFKRICVFCGSNSGNREVFSDAAIQLGNELVNRKIDLVYGGGSVGLMGLISQRVYDGGCHVLGIIPKALMPLEISGATVGEVRIVSDMHERKAAMAQEADAFVALPGGYGTMEELLEMITWAQLGIHKKPVGLLNVDGYYNCLLSLFDNGVKEGFIKPGARDIVISAPSAKELMMKMEQYTPMHEHVAPHESWQMKQLGNYQGQENAE >OIV98128 pep chromosome:LupAngTanjil_v1.0:LG14:8953817:8982330:1 gene:TanjilG_25993 transcript:OIV98128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKGPRYKIDHDTKAKRQKALEASREPRRPKTHWDHVLEEMAWLSKDFESERKWKLTQAKKVALRASKGMLDQATRGEKKMKEEEQRVRKVALSISKDVKKFWTKIEKLVLYKHQMELDEKKKKALDKQLEFLLGQTERYSTMLAENLVDAYKPQKQNSPELQMCTEYKDVDGDNTNEAKEVNVEEYPSDAPDNDEEFDVQSDDESVDDERTLEEDEALITEEERKEELAALRDEIDLPIEELLKRYAEEKGEIFFFEGVDGGKGEPVRQESSPEHSKDAGQINRADDGDENGDDILSVSKVVSSNSSMFPGRRCDESNGDEGTQASNLSQYEDHPPENTCEVPSEMANESIVYDFNDEELLTSCVIVFLTYMLSNIGRKMLTSCLEQKISVVLYLLEECVHRHQRMEIFQCCQRDAVMAETLAQTTQSNLGRWACRESKVKMDDETTLSEEEKLERVDAIDPRDEIALLHKESDMSVEELLARYKKDLGDNEDWEDDSDASSLSEDHRDLPIHEEAGADVSMNEDIKSGEHLVILQSQTEEQWEAPPENSEKTESEYIIADAAAAARSAQPTGNTFSTTKVRTKFPFLLKYSLREYQHIGLDWLVTMYEKRLNGILADEMGLGKTIMTIALLAHLACEKGIWGPHLIVVPTSVMLNWETEFLKWCPAFKILTYFGSAKERKHKRQGWLKQNSFHVCITTYRLVIQDSKVFKRKKWKYLILDEAHLIKNWKSQRWQTLLNFNSKRRILLTGTPLQNDLMELWSLMHFLMPHVFQSHQEFKDWFCNPISGMVEGEEKVNKEVVDRLHNVLRPFLLRRLKRDVEKQLPMKHEHVINCRLSKRQRNLYEDFIASSETQATLASANFFGMISIIMQLRKVCNHPDLFEGRPIVSSFDMLGIDIQLSSSVCSILLPSTFSTVDLRGLGFLFTHLDYSMTSWESDEIQAIETPTSLIMERTNVGDLEVIKPGLKSQKKLQGTNIFEEIQRAIWEERLRQAKERAAAIAWWNSLRCKRRPIYSTTLRDLVSIKHPVYDIHQVKANPVSYSYSTKLADIVLSPVERFQKMTDVVESFMFSIPAARVPSPVCWCSRIETPVFLDSSYKQKCSELLSPLLSPIRPAIVRRQVYFPDRRLIQFDCGKLQELAILLRKLKSEGHRALIFTQMTKMLDILEAFISLYGYTYMRLDGSTQPEERQTLMQRFNTNPKYFLFILSTRSGGVGINLVGADTVIFYDSDWNPAMDQQAQDRCHRIGQTREVHIYRLISESTIEENILKKANQKRALDDLVIQSGGYNTEFFKKLDPIELFSGHRALSVKNIQKEKNQNNGEASVTNAEVEAALKDVEDEADYMAYKRVEQEEAVDNQEFTEEAIGRLEDDEYVNEDDMKDDEPVEFGESVPSLSKENLSMLNGNDPTEDRPPSVPHKEDDVDMIADVKQMAAAAAAAGQAITVFESELRPIDRYAIRFLEQWDPIIDKTTLESEVRIEDTEWELDRLEKFKEEMEAEIDEDEEPLVYESWDADFATEAYRQQVEALAQHQLMEELEYEAKQKEKAEEENCDSKMTQTPSDSKPKSKKKPKKAKFKSLKKGSLTSGLRSAKQEPQAETMTIDDEDVNSLTFVSPESTSQKKRKMLKPTTDGEEKRLKKSKKMKRKSPDICASDLDSNSLVVLDEHAESKLGESLVDFEQRMAGRSKMGGKISITPMPVKRILVIKPEKLKKGNLWSKDCIPSADFWLPQEDAILCAIVHEYGSNWNLVSETLHGMTAGGTFRGRYRHPVHCCERFRELFQKYVLHSTDNANHEKISNTGSGKALLKVTEDNIRMLLDVASEQPNRELLLQKHFFALLSSVWKVSAHVDRRQNPSPTCNGLYFDQSFFTSKCQQSQNSLKKPSGRMMFTNLAQSKKLIAAALDDTISRPESDKILLSNQGEDMPLNADKLDITLEFQKEESDVLSSFPSVINLSIDGIEASPSLNKQTGECDHLRGCLSAAENRFREASKTCEEDSSGWASSAFPTNDSRSQPGSRIQSLGKQKTLICDTTKPSKSKSKRVSMDPSDMQQAEPSLQSMPPLKELRFDLTASTMDIVGIDDRDTNPPFGLHLENSFERESSEAIPHDYLDGLIFGLDDLIEFPEYTDIR >OIV98066 pep chromosome:LupAngTanjil_v1.0:LG14:10407737:10409728:-1 gene:TanjilG_09559 transcript:OIV98066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIATTSAIFLLFIVLNLCFQGTFGDYGGGWEGGHATFYGGGDASGTMGGACGYGNLYSQGYGTNTAALSTALFNNGLSCGSCYEMKCDNDARWCLPGSIIVTATNFCPPNFALPNDNGGWCNPPLQHFDLAEPAFLQIAQYKAGIVPVSFRRVSCVKKGGIRFTINGHSYFNLVLISNVGGAGDVHSVSIKGSRTGWQAMSRNWGQNWQSNSYLNGQSLSFQVTTSDGRTITSNNVAPSNWQFGQTFQGGQF >OIV97634 pep chromosome:LupAngTanjil_v1.0:LG14:15633278:15635263:-1 gene:TanjilG_12391 transcript:OIV97634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQASGCSVILSLLLVSSFSSFSFALSDVEASFIARRQLLHLHEHDELTEAYVENYITDLKFDNPRLKRAYIAFEAWKKAIYSDPSNITSDWVGPDVCSYKGVFCAPALDDPKIEVVAGIDLNHADIAGYIPPEFGLLTDLALFHINSNRFCGVLPKSFCNLKLMHELDISNNRFVGPFPQSVLCLQDIKYLDLRFNDFEGELPSELFNKTLDAIFLNSNRFVSVIPENLGNSPASVIVLANNHFNGCLPGSIGQMDKTLNEFVLVHNNLSGCLPSEIGKLSSVEVFDVSHNMFVGVLPKTLNGLRKVEELSIANNKLTGSVLHGICSLPSLVNFTFSYNYFNGEEEGCVPRSRKEIELNDERNCISDRPKQRDESECNAVISKPVDCNKAQCSHSSTPSHSNNPPSHTPSEPKPTPSTSNPPTETPSEPESSPQTPETPETQAPPTPEMPKAQSPPTPEAPKAKPPPTPQTPKPEPPPTPKAEPPPKPQTPKPEPPPTPKSEPPPTPQTPKLEPPPTPRVESPSTPMPEQPSTPEVEPPQAPKLQPPPTPETPKLSPPEEDPHREAPKGRLRTPPPPVQSPPPPTNSPPPPVHSPPPPVHSPPPPVHSPPPPINSPPPPVHSPPPPVHSPPPPVHSPPPPVHSPPPPVQIWKNLHVHANLY >OIV97574 pep chromosome:LupAngTanjil_v1.0:LG14:16002847:16008888:1 gene:TanjilG_12331 transcript:OIV97574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASSRGFSTTQFDFKLRVRKLNASSFLLPNNNIHLFDLVGPRKRRKFICFNEIHQLGQLGRLGNCNLRCSCSHSVTPIRRRTTGPGNDNQELRFDQKKKPQTHVNRVRVQVSPSTMPFASPPSFLKQEKFFPRCTPRNSGPQSRDTPPKRDTGIANEKDWGISLLNENVNESGTNEDGSTWYRESAEELGENGFRCRWTRMGGQSHDGSSEWKETWWEKSDWTGYKELGVEKSGRNSEGDSWWETWQENLQQDEWSNIARIERSAQKQAKSGTENAGWYEKWWEKYDAKGWTEKGAHKYGRLNEQSWWEKWGEHYDGRGSVLKWTDKWAETELGTKWGDKWEERFFKGIGSRHGETWHVSPSSERWSRTWGEEHFGNGKVHKYGNSTTSESWDIVVDEETYYDAEPHYGWADVVGDSIQLLSIEPREMPYGVFPSLDFGSLTPSPQLDDHDDDDDLPHSQ >OIV98286 pep chromosome:LupAngTanjil_v1.0:LG14:620153:622042:-1 gene:TanjilG_16613 transcript:OIV98286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSANKSLLKIFNKGVSLPSNKHEQLAHNIVNILINSRFAPNMELQSLIPGINLNVTYHVLSSPSLPPQSTLAFFHFLRTQHSPTAQTFDLKAHVILFSRLFEARKFASMKSILSNVVTEVGIHCPVKGIVSLLDECDFNRHFVEKLCDMLFRVCSDNRLFEEAFGVYDYAERKGLVIEERSCFVLLLALKKCGEMELCLGFFRRMVESGSLEIKVQSLTLVLDGLCRRGEVERAMVLMDETTSKGIVKPNVYTYNTLLNAYVGRKDQKGVNEILKLMEKEKVVRSVATYSILIQWYASCGDFGEAENIFEDMRRRNIKMDVYVYSSMISWNCRSGNIKRASALFDEMCQEGIVPNAHTYGALIDGLCKAGQMNAAEILLEEMQTKGIDLNIVIFNTMMDGYCKRGMVEEALRLQVIMETKGIEGDVFTYNILASGLCKLHRYEEAKWTLSTMVEKGVAPNVVSLTTFIEIYCKEGNLAQAEWLFRDMEKKGEVPNVVTYNTLIDAYSKKENVKNAHILKSEMVEKGLLPDVYTYTSLIHGECIVGRVDEAMKLFNEMLLKGITGNVATYTSIISGLSKEGRSDEGFKLYDEMIEKGLTPDDRVFTALVGSLHNSSSNGAMQQSENREQK >OIV98374 pep chromosome:LupAngTanjil_v1.0:LG14:1583758:1585758:-1 gene:TanjilG_16701 transcript:OIV98374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHRDTSPPFISPPLLIILFPIIILILLFLTVPPLLSATSHILRPTSVVKNSWDSLNILLVVLAILFGVFAKPNNDDNTSPLPRQDENINDTVQRNKVNNNNNNNTSSFEGEGGGYVSNQWFEFSEEKNLPIRSPATGVSRLRRTSSSYPDLRSLETGDDRYKFRFFDDFEIDKFRSTPARDEVNAVVDHRKRWPEAEELQEDRDSYKFRFINDFEVDKFGSTPVRDQVPAVVDHRKRWPEAEEFREVRDSYKFRFINDFEVDKFRSMPVRDQVPAVVNHRKRWPESEEFQEDRDHYKFGFVNDFEVDEFRSTPAKDQVPAVVDHRNRLPEDNEFQQDRVKLIPVDTFEVQSSSTTPPPQQTSPAPPAPPPPPPPEQTPRRPRRTHQKLESSSEITEAEITRINQTPAPPPPPSVKTRSEHKRRKSNVKREIAMVWASVLSNQRKRKKKQTTKRDNVNELTRNTTAPPPPPPPPPPTPPHSVFQSLFRKGLGKNKKIHSVPTPPPPPPPPLTSSRRWSKRKSQIPSQSAPPPPPPPEGPFRRRNSGRPPLPNKVFNYNYETLNNSGNQSPMIPVPPPPPPFKMPAMKFSIRGDFVKIRSNQSSLCSSPEREDIDESTAFENDGVPIFCPSPDVDVKAATFIAEHKGKWKLEKINSMKVKKNNANGP >OIV97788 pep chromosome:LupAngTanjil_v1.0:LG14:14509322:14509915:-1 gene:TanjilG_12545 transcript:OIV97788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLVPNGSVFALFYVLLVTGSLVVADVKDDKHLIHPPRLFGRRILNIGAGFGAGGGIGVGGGAGGLGGGAGGGFGHVGGLGHGIGGGAGGGSGGGLGGGGGLGHGVGGSAGGGLGHGVGGGIGGEACVGGGGFGVGGGVGGGAGVGGGFGAGSGVGGGIGGGGGGGYGFDVGGGIGGGGGGGFGFGGGVGGGAGGGQ >OIV98223 pep chromosome:LupAngTanjil_v1.0:LG14:5677392:5678120:-1 gene:TanjilG_18762 transcript:OIV98223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQSQQQYFINQYESIAAPLPPPTLPLPPTLPLPPPPPRPVQSHRRILWSKEEHKLFIHGLHQYGRGKWKSISINAIIPIEPQKLPHATTTNAETMNNLADTHAASTNADTINTLAYTHATTINAETLNSLVAQQIDDVHATATSADPVNSLGQEIDDDIDWDSINWNVKPEIQFQEGWDMINIDEEDHNQFMNFIA >OIV98063 pep chromosome:LupAngTanjil_v1.0:LG14:10353155:10353496:-1 gene:TanjilG_09556 transcript:OIV98063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTKSISHLIPQIKHYRHKIFSIILSNSQSSLSHYKMTNYNHQHALLLLLFLFLISSSSARLLNGDISHAATKTTLNIALPNSGKYMPLILNMLPKGKVPSSGPSKRVNNFNN >OIV98047 pep chromosome:LupAngTanjil_v1.0:LG14:10074132:10076513:1 gene:TanjilG_09540 transcript:OIV98047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNFADENYRIPWLIWIQLIVFILLLLLLFSFILLPLDPDHDAAAVTAAAATTVLATASTSNNDIIQLVDKQSLSKQDSTVTVVTNHRHHSQSLRVKGEIEASSSMRREEITEEEEEASLSFHPCHYFQLATVAFLKCFGLDSTCDTPPTQKHMKRKES >OIV97952 pep chromosome:LupAngTanjil_v1.0:LG14:12758666:12761583:-1 gene:TanjilG_12709 transcript:OIV97952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDSRVDTIARLAQWKIDNFGPCSYKKSDPFKVGIWNWYLSIERNRYLYIHLFPEPCRVSKENPPVAKFILRVSNASSSRKFSVSPVQEKVLRTYDDFAWAVDTTFVGRIIIDVEFLDLKMSPLNGGEASSVWPCDGKLQSIAEQSTLGCLSRMLDEAIHADLTIITADGNLRAHKAILSANSPVFHSMCHHDPDEKESSTIHIKDMSPESCMALLSYLYGTIKLEGFWKHRLALLGAANKYDIGSLKDACEESLIEDLNSGNVLERLNEAWLYQLQKLKKACFTFLFEFGKIYDVRDDMNSFFQHADRELMLEIFQEVLTIWKPI >OIV98056 pep chromosome:LupAngTanjil_v1.0:LG14:10286225:10289192:1 gene:TanjilG_09549 transcript:OIV98056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEGERFQLGTIGALTLSVVSSVSIVICNKALMSSLHFIFATTLTSWHLLVTFCSLHVALKMRFFEHKPFEQKVVMGFGILNGISIGLLNLSLGFNSVGFYQMTKLAIIPCTVMLETLFLGKKFSRRIQFALGILLLGVGIATVTDLQLNTLGSILSLLAVITTCVAQIMTNTIQKKFKVSSTQLLYQSCPYQAGTLLICGPFLDNFLTNLNVFAFKYTTQVTFFIVLSCLISISVNFSTFLVIGKTSPVTYQVLGHLKTCLVLAFGYILLQDPFSSRNILGILIAMIGMFLYSYYCTLENQQKAVEAAAQASQAREAESDPLINVENGTTVATDTVGQKSQAWSKDKD >OIV98380 pep chromosome:LupAngTanjil_v1.0:LG14:1645281:1661544:-1 gene:TanjilG_16707 transcript:OIV98380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKNNYPFSTYFILLLITITRLISTVSKTEQWKTLLPPKLATLESISHKLQDDPEAIKSASKDYGNLVHNFPLAVFHPSSIYDIVSLVKHSYNSSNPFNIAARGQGHSTRGQAMASDGVVVDMAGFRERRNGVGISVILDPFVESYYYYADVGGEQLWIDVLYKSLEYGLAPVSWTDYLYLTVGGTLSNAGISGQTFHYGPQISNVHEMDVITADHPRIISLVTKHSVLYCLELAKYHHAQSHNNVDKDDIQTTLRSLFPEEFYRQTQPIIDPNQPSSSSSSLRSLSTGSPEYSSLIFNIHGTSQPNFPETLRGVITTMPLVTPNITTSSIHQQVPQITLSPIHQLPTPENEQDAIMRAMLYVLTSPTSSTSHQKQTHQNLPYTNPETSSAFKSYRPSLGPNNMSLIGSNIRKQSMMNRSFVFFRKLNLSRMREHIQATHSNSTQVHHMISERRRREKLNENFQALRALLPPKTKKDKASILTSAKETLKSLMVEIEKLSLRNQELVSLVATKESSTQETKASFSSNERLNVRVSHIPQSNSSEERMVELKVNLRGQVSQVDILIGLLEFLKQTQNVSLISMEATQGNALHQLNFTLRIVEVCIYL >OIV97722 pep chromosome:LupAngTanjil_v1.0:LG14:15034609:15035601:1 gene:TanjilG_12479 transcript:OIV97722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPFPSCFRPSPTTDDNHYSPPPPPSTNPNLTTYLYYTDIGLLSLTWSRSVLGHSLHVNFHNHPFDSPPLSTLSSFNLHIKPFLFWKKHGTKKLSRNTNLFWNLSKAKFGSGPEPNSGFYIAVVVDNEMTLLIGDSKREAYAKSKAFEPKKSQFLVLKREHVLVNKVYNTSVRFGGRMREIKIDCGGDSSRLCFSVDGENVLQIKQLKWKFRGNERVEIDGVSFQISWDVYNWLFEKDNSDGHAIFMFKFEEEDDEERGDKSSMNTWMQQNWNLGCYEWGKLRSNSSVSMTSSAGSFGGSSSVLEWSSVEENELVVPIGFSLLVYAWKH >OIV98390 pep chromosome:LupAngTanjil_v1.0:LG14:1794966:1799590:-1 gene:TanjilG_16717 transcript:OIV98390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGPRKCSHSKERTESKLDSSSRFDANNTKGRAKKNRLKSKLDKHRVGTNTSRMEVNNSSIEGPIKDYSNKNLIIGQNLCKTDGKSSQMQSSMMLHGKKAPLCSRNKGEDIDREAKIHKMKRRRKTKRRRDNVDLDDASRLQRRTRNLLIRMKQEQNLIDAYSGEGWKGQSREKIRPEKELQRATKQILKCKLSIRDAIRQLDSLSSVGSIEGSVIAPDGSVYHEHIFCANCKLREAFPENDIILCDGSCNRAFHQRCLDPPLDTENIPPEDQGWFCKFCECKIEILEATNAHLGTQFSLDITWQDVFKEEATLPDGDNAILNQEEELPSDDPDDDDYNPERREDSLSTNVKGTDDNASFDSSSFASAWSLNSECSLVDEDIFREYCSVNSCIDSDDSGKIVCGHRKRKAVDYKKLHDEMFGKDAPLFEQVSEDEDWGPGKRKRREKESDAANTLMTLHEIENKYSNNDSIREGSSDRHNKRPCFRIPHDAVEKLRQVFAQNELPSRSMREALSKELGLDFAKVNKWFKNARYTALKTRKCQSEGEQLKSFTSKASKDFKSQNVEKDELLRSKASKISAVHCQKNGKNVAGREKIKSCRIPLQERRQEKSNKILSKNENGDKDNEFSDDVCLKKLLKEKKRRVNSIYEGDFHAAELEFERLSKVKYKLDSIKQRLSEVQNCRVKGSDESCSNESSVTYVPIAELREKV >OIV98458 pep chromosome:LupAngTanjil_v1.0:LG14:2493111:2496060:-1 gene:TanjilG_16785 transcript:OIV98458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMLGESISFGRFMSESLDWERWSTFTQKRYVEEAEKYSKPGSVAAKRAYFEAHYKRKAQEKTAILTQEANAHANGTSDSETWEGNNDGRSVEKKSKADNIMEHSAKDAVSFQVVVDTNQCKLDVGQTDLDISDVEGVAEDMAQTCVDTSLNVENQVLDDNPNKEIAVVPVEERIPDPGPDSHEIFALPVKGTEVNSSTKLSTKTAMANPSHSLHKRKDIAALPRLKSGTIVKKSDISSFEKTGLTARSPHMSINLPSGTSKRNKTASAAEQSRNDINGVLKSKKSNRNPFEKKGLATQSLHMSISIPSGTAKRNKTVAAVEQSRNSVSRVLISKKSVGGSIEMKGLTALSLNMPANLPSGAGKTSKTATAADKPRNGINRASKIMKVEGESVEKRPTSRSLHMSINLSSSAGFTSKTLKSPVFEQNRSKKLDSSMLSVSNHHPMASLPKASHGFLNQASANPPSQCRRSERLLNKSVSGGVASNAKFSSISAEFSKSSSTIKSNLRPLTTSTIKGLTSFSISIFQFSQRADETKSNEEEKLQRIPKVKTDHDHKKQQQISGGKSKLREDAYGGSQCPNKQIRKDKNLGNSCKPPIHTNSTKHVAEKNKRATRQSATSLSNMTRENASPNIQH >OIV98030 pep chromosome:LupAngTanjil_v1.0:LG14:10749903:10758578:1 gene:TanjilG_12261 transcript:OIV98030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMDLVVQASLAPVSELLSHTIFTIFDTVKAANEVVIHKENFKKFSIHLEKISFILKSLSKENTHNSESFGNAMNALNREVEVAKKLVLECSSRSKVYLLINSRRIVSRLKCCIEDISRAVNLIPLSSLDINIGLHKEISELCWNMLDSEYQTAALDEEILGKIETAIQEGNADRSYVNQLLLCIADAIGVSTEHAALKREFEELKSDIEIAKSRKDMAEVLHMEQIISVLEKSDSITSAREKEKKYFEKRNSLGRRPLLPLHSFYCPISLDIMVDPVETSSGKTFERSAIERWFAEGNSHCPLTMLPLDTSILRPNKTLRQSIEEWKDRNTIITISTIKSQLETDEEEEVLESLDKLQDLCLEREINREWLKMENYIAVLVGLLGSKNREIRKRVLIILSLLAVDNEENKEDIAKVDNALGSIVRSLARRIEESKLALELLLELSKSEMVRGLIGNIQGSILLLMTMLNSDDVEASKNAHELLENLSFLEQNVIEMAKANYLKPLLLNLSTGPENMKLIMTETLSKIALTDQNKLSLVKDGALQPLLRLLVNDNVEIKKVVVKALLQLSSLPENGLQMIREGVDQPLLELLYFHSLQSPALRKEVVATIMHLAISTTHQQIEEERVSLLDSEEDIFKFFSLISLTGPEIQIMILKAFHALCQSFSGFTIRKRLKQISAAKVLVHLVELNAQTVRVNALKLFYCLTEDSDYSNFSSHITERFVKLLVTIIDTSDEAEEMVAALGTISKLPQESHMTQWLLDSGALQTILACLTDQNKHASHKKQVIENSVQALCRFTVSTNVEWQKRVAEEGLIPVLVQLLVSGTPISKQNAAISIKQFSESSYRLSKPIKKPSIFKACFIAREIGCPAHKGTCSIESSFCILEANALDPLVRMLSEQDVGTCEASLDALFTLLDAEAPQSGSKVLEDANANTPLIKLLNVQATRLQEKTLIALEQIFQLDEMKNKYKTVATMPLVDITQKKDSRLRSLAAKNLAQLGYPGLELVMDDILPKKSPLIVAKCQNHLNLVIVNNVPLFFNIRDGPYMPTLRLLHQYPDIMKKLQVDRGAIRFVLSGANIMCPGLTSPGGALDEEVEAESPVAIIAEGKQHALAIGFTKMSAKDM >OIV98246 pep chromosome:LupAngTanjil_v1.0:LG14:3807168:3809083:-1 gene:TanjilG_14835 transcript:OIV98246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPENLLHLRTQKCSVGCPTLNHFLNGGIPCNSITELVAESGSGKTQLCLQLALSAQLPLSHGGLSASSLYIHSEFPFPIRRLRQLSLTLRSTYPHLFRSIDPCDSVFVRGVYSADEFVQLIPIIELHILNSKFRLPVRVIVVDSIAALFRSDFENTGSDLRRRSSLFFKISGALKSLAKRYGLAVMVTNQVVDMIGGACQGITGMRIGNLSELYSSGRQICPALGLAWANCINSRLFLSRDQAVNGIGEVYQRRRLSVVFAPHLPASSCEIVIKGEGVFGVEMQQA >OIV97744 pep chromosome:LupAngTanjil_v1.0:LG14:14870713:14874364:1 gene:TanjilG_12501 transcript:OIV97744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYNNSSSMGFGSGNVRRAFEFGRTHVVRPKGEHQATIVWLHGLGDNGSSWSQILETLPLPNIKWICPTAPTRPVAIFGGFPSTAWFDVSEISEDAADDLEGLDASAAHVANLLSTEPRNVKLGIGGFSMGAATALHSATCHALGHYGNGNVYPINLSAVVALSGWLPCSRTLNNRIEGSRDFIRRASSFPLFLCHGRGDDVVAYEYGEKSARTLSSAGFRNLSFRSYNGLGHYTIPEETDEVCTWLTSNLGLEGYRLN >OIV98291 pep chromosome:LupAngTanjil_v1.0:LG14:682924:687508:1 gene:TanjilG_16618 transcript:OIV98291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKLKIAGLWVGVIEDVELENWTIPMLKEEIANRSNCTSDSIKLICGGKVLKEDTLDDEGKVQNLIQLGIKNNSKILANRVTSPQEGNSIKQHMIAEEERSNRLTRLKTAVSALAERHADGSLPIEDFNIEVEDQNGQKVRLGSETDQRAVMMGLMLLAKAKRLIRQGDYKDSLEVLSMGEEAFSLCDPKVLELVDNVPILQIDIVWCYFMIRDIRWLSDAGKRLEIARAGIERAHGKDLLRLRLLQGGRYPELALHLRLELLEGVVAYHNGQLEKSRKALASAKAKFVKLQVSDEALSFVMSMGFGEREAKRALRMNNQDVSSAIDFLAEERTKKRQQEEEDIRRRDEIKEQKRYGMTPSKKAVDIERLNELVSIGFDKELAAEALRRNENDTQKALDDLTNPETSSAIQVHIESRKRKRQKQARDSEIEKVVGMGFERSKVVAAFQTGVPLETVLQILTAPEADLASAADNMQPAVNSPTAPQDGASSSATLPDDVGADIPDLMNDVEGRDVEMEDELSKDIANADALDDYDIEVTIEGEAITEYLTLVGSASSCGKMVLSK >OIV98235 pep chromosome:LupAngTanjil_v1.0:LG14:4935835:4936215:1 gene:TanjilG_09887 transcript:OIV98235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDVKHQMNTFAVSVTATLAVLAKQASRLPRKLKTAVTEPALKNSFKFDLKSPKLLFRKPKNLLKKMSNKTMSFIHKKKKKNKEGEEWGDGGVWQKEIMMGDKCEPLDFSGLICYNSKGKQVNKIL >OIV97572 pep chromosome:LupAngTanjil_v1.0:LG14:16019143:16022250:1 gene:TanjilG_12329 transcript:OIV97572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVLPPHLTTPFLSLHFPTRHRHHHHQPPLSAVRPIITTDGPNDILSLQSRRYDFSPLLSFLSTDDSNSKNYSPPTSLAQTEFQLAESYRAVPAPLWHALLKSLCLSSSSVSLAYAVVSWLQKHNLCFSYELLYSILIHALGRNEKLYEAFLLSQKQVLTPLTYNALIGACARNGEMDKALSLMSRMRCDGYQPDFVNYSSIIQSLTRSNKINSPILQKLYTEIESDKIELDGHLMNDIILGFSKAGDPNRAMQFLAMAQGSGLTPKSATFVAVILALGNLGRTAEAEALFEEIKENGLEPRTKAYNALLKGYVKAGLLKDAEFVVSEMERGNVLPDENTYSLLIDAYVNAGRWESARIVLKEMEASNLQPNSFVYSRILASYRDKGQWQKSFQVLKEMRMSGVKPDRHFYNVMIDTFGKYNCLDHAMSAFERMLSEGIKPDTVTWNTLIVCHCKWGRHDRADELFQEMQQHGYSPCVMTYNIMINSMGEQQRWDEVTNLLTRMKSQGLLPNEVTYTTLVDIYGKSGRFNDALECLEVFKSMGFKPTSTMYNALINSYAQKGLSEQAVNAFRMMTAEGLTPSLLALNSLINAFGEDRRDAEAFAVLQYMKENHLEPDVVTYTTLMKALIRVDKFHKETVFAPKLSCPEAMMHVPAVYEEMVMSGCTPDRKSRAMLRSALRYMKQTLKS >OIV98416 pep chromosome:LupAngTanjil_v1.0:LG14:2078388:2083389:1 gene:TanjilG_16743 transcript:OIV98416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTLFMITTTTTTSQLHHAVNHHHHHFRYVCTSKRRRISVPSTRQSHRVTAARNSEHSNYSDGTTVNSEAPRSTRRTVLVAPFLAAAASFLLSAKAEERNSPAPPSTPPAATELTVPKPEEQKEKEKEKEEVITWRIYDATVIGEPLAIGKDKGKVWEKLMNARVVYLGEAEQVPVRDDKELELEIVKKLHKMCLENDKLLSLALEAFPSNLQQQLNQYLDNKIDGEALKSYTLHWPPERWQEYEPILNYCRENGIRLVACGTPLAILRTVQAEGISGLSKADRKAYAPPAGSGFISGFTSITRRSKVDSTLNPSVPFGPSSYLSAQARVVDEYTMSQTILRNVLEGGATGMLIVVTGASHVTYGSRGTGVPARISRKIQKKNQVVILLDPERQFIRREGEVPVADFLWYSAARPCSRNCFDRAEIARVMNAAGRRRDALPQDLQKGIDLGLVSPEVLQNFFDLEQYPLISELTHRFQGFRERLLADPKFLHRLAIEEAISVTTTLLAQYEKRKENFFQELDYVITDSVRGSVVDFFTVWLPAPTLSFLSYADETNAPGNIDSLIGLLGSIPDNAFQENPVGTNWNLNHRVASVVFGGLKLASVGFISSIGAVASSNSLFAIRNFFNPAVVTNQQVLRSPILKTAVVYACFLGVSANLRYQIIAGLVEHRISDQFASETFLVNMLSFVTRTINSYWGTQQWIDLARFTGLQVRKTESPAQESLIAVACNKTEEASIDEIKNE >OIV97729 pep chromosome:LupAngTanjil_v1.0:LG14:14982819:14986413:-1 gene:TanjilG_12486 transcript:OIV97729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKPTWKKKETASTNSKAKRNSKPFDEDTAVFINMSQELKEEGNKLFQKKDPEAAMLKYEKALNLLPNNHIDIANLRTCMATCYMQLGLGEYPRAVNECNLALQVSPRYTKALFKRAKCYEALNRFNLAIRDVHLVLTVEPNNVSALDFCEGLRKMMEEKGISVDEENDNVLSSNVQVEQPLASVVQKVVKEKVKKKRGKKSEEKVVVVVEENVNVVKDKEVVTETIQAENLMVESVKEEKAVTTTVKLVFGEDIRWAQLPVNCSMKLVRDVVRDRFPGLKGVLVKYMDEDGDLVTITTTDELRLAESSCHKVGSIRLYIIEASPEQEPSYDGINKELDVRTNSRKSGDGIVKGDVEEGKGIANRMTTVEDWLIQFARLFKNHVGFDSDYYLDIHELAMKLYAEAVEDTVTSDDAEELFEITADKFQEIAALALFNWGSVHLSRARNQAFFSQDESRESSIERVKAAYEWAQKEYKIAEMRYEEALKIKPDFYEGYLALGYQQFEQARLCWYYVMACKMDLETGRADEILRLYNKAEDCMEKGILMWEEIEEQRLNGLSKSDKYKEQVEKMGLDNIFEEISSDEASEQAAKMKSQVYILWGTLLYERSVMEYKLGLPTWEECLEVAVEKFELAGASATDIAVIVKNHCSNETALEGFKIDEIVQAWNEMYDAQGWQFHAPSFRLPVNCSMKLVRDVVRDRFPGLKGVLVKYMDEDGDLVTITTTDELRLAESSCHKVGSIRLYIIEASPEQEPSYDGINKELDVRTNSRKSGDGIVKGDVEEGKGIANRMTTVEDWLIQFARLFKNHVGFDSDYYLDIHELAMKLYAEAVEDTVTSDDAEELFEITADKFQEIAALALFNWGSVHLSRARNQAFFSQDESRESSIERVKAAYEWAQKEYKIAEMRYEEALKIKPDFYEGYLALGYQQFEQARLCWYYVMACKMDLETGRADEILRLYNKAEDCMEKGILMWEEIEEQRLNGLSKSDKYKEQVEKMGLDNIFEEISSDEASEQAAKMKSQVYILWGTLLYERSVMEYKLGLPTWEECLEVAVEKFELAGASATDIAVIVKNHCSNETALEGFKIDEIVQAWNEMYDAQGWQFHAPSFRLEPLFRRRVPKLHDILEQF >OIV98446 pep chromosome:LupAngTanjil_v1.0:LG14:2411598:2412077:-1 gene:TanjilG_16773 transcript:OIV98446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEMHYLERRGCKNHPNDNKVPGVCSFCLRDKLSLLNNYNNINNNNLIDCPSSCSPQGQPPFSPPTNASSNHATLPHVRRLGRNASHATNHVSCMISFKHELNLKKSKSHAFASRTRIRERDVGGVQGRKKDGFWSKVIKLTKKDSKEASINSRIVRGV >OIV97733 pep chromosome:LupAngTanjil_v1.0:LG14:14951816:14957416:-1 gene:TanjilG_12490 transcript:OIV97733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRRSPPKHRHDGTSPLPLGMDWSPAPRKWNGRDTIWPHNHRSGWSYCVTIPSWVFLPKSKNSDPIVGQFYRVQVGIQSPEGVTTLHGVLRRFNDFLKLFADLKKQFSRKSIPPAPPKGFTQLKSRALLEERRCSLEEWMMKLLSDIDISRCAAVASFLGLEAAARSSFQDSSQQNSETDPDSNNIIYSVQPPLHSSPSLVAGSSSVASDYGSDTAYEPSELGTPRIGRDDNSEVGTDDLTLDEDMTSPMERLVKYGISNIGEGLFIGQNILDQLEGLPRHKVNVRHDNYVAEKGKSNGNSYNSSPLANNSTELYSEHEHPKAVGHVRMLSNESVGSEGSSIRGSGVSNSWIPNSYGDGSPDLPGGALVPRATDIMNHTELQFTGDAQVVLPLDQRNKLNRILLTMQRRLVTSKTDMEDLIVRLNQEIAAKDFLATKVKDLEVELEATKQKSKENLQQAVLIERERFTQMQWDMEVLRQKSLELEMKLKSEGGGNSSQNSTKESIVQPKDVLLQNLSTTKEQLEILSKQYGELEAKSKADIKVLVKEVKSLRNTQKVLKKELSESVKEKCEAEELLQHEREKREQAETACRNLLQKCRLLFNQLQECNVNLTSEDKYRKTMNSSSSSTDTFNQLAKSDDQIDILLEEVENLGKDYGCAATNVDKTNDINGGVICDDELTKFLADLFMDNVRLRKQTNSITRHALKWDMRASDDSTLMESVTSN >OIV97703 pep chromosome:LupAngTanjil_v1.0:LG14:15164571:15169336:-1 gene:TanjilG_12460 transcript:OIV97703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSSTTTTLCIPCNNIPTTQTSKLFGFSSTISFTVKPPRLCIRSSSDFSAETTATEVDSEESSIEVPKEPYSLISALNVERALRGIPITDADHYGRLGIARGCAFDKVAVAYNNKVQELKSQGLEEEELNKKLELVKESYTILSSEDERRIYDWSLARSENTDKYIWPFEVDITQIQKGGDPPPKEPEDVGPTRLVGYFLLAWVMLSFVLSIGGYHVETDITLIHPLSLRSTSVFCSSETITMVKIMCMCYVLVTPFFFRAASADTQIKVTDNPADKLVAVLNENRTAHKVSTLSDNPGLACLALQYIKAYQGDCGAVGGSDAKKPPESQFAEVFAPNCGVKASTLAPITGRFLGCQTKYVHAPEAFSDILIRNQRSLDILYSKNHTQVGAAVTGTDGGSPYFWCVLFSSGKPNSTFAFEGGVAKLTKPGCFSGANDVCSGANSWSSLTGIWLFATSFLLAFLL >OIV97974 pep chromosome:LupAngTanjil_v1.0:LG14:11703545:11705418:1 gene:TanjilG_14074 transcript:OIV97974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNMGDSSNNLIHELLQGLELAKQLQKNLHMPSSSSSSSQETCDLLIQKIISIFEKALQMMNWQRGPLGEPSSQQSLGVTIRMSESPPLSSSPHSEDSDRDLMEQDHNASRKRKTLPRWTKQIRVNPGVAVEWPLDDGYNWRKYGQKDILGAVYPRGYYRCTHRNVRGCLATKQVQRSDEDPNVFEITYRGKHTCTMASNTIPTSNPNENQESNLNINQNVLQGLEQQPNPNELLLNLREGLRVQTEHLESSHYQPFSSFHFPSSSNIKTENQILTPPMLENFSPPSYISPATSGISHFSVSPSVVNNLGGYPSMVSSGFQINDMISATTSAANSPTFGIDFPFDQFEFDGHNFTFDNPQFFS >OIV97912 pep chromosome:LupAngTanjil_v1.0:LG14:13278314:13279784:-1 gene:TanjilG_12669 transcript:OIV97912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSSTNSVNGFYSFLTRGIDDLERVFLNNNFMSIQFLQRTLSLLRSFHTQLTLLVQKLHLPVGDKWLDEYMDESSKLWEACHVLKSAISGIENYYSAAINITSSLDSHRHITPQLCRQMIRAISGCRREAVGLEEDNRALMETRIQPLSLRFDERVSIESKLNGFNGFRGVLYAMRNVSSLLLIILLYGLVYCWPESSDSVLGGHEGCLFLGSAFMISTARLQQRVAAEIGQMSDGGGAHGILMYEFRRSKVSMEELKGELERKGGLVMEWEEEVGVRERVENLRVWFGVLRSGTDNIVGQLDDFFDEIVEGRKELLDFCSHR >OIV98512 pep chromosome:LupAngTanjil_v1.0:LG14:192918:193271:1 gene:TanjilG_18796 transcript:OIV98512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALADSSSVRFAEEERRREHRSHGSSAFNQKWCPVLGKLSNSDSLAASGNKLIMDRNYDDRVGRLVIPRKMKSLDTPYWWVDREVLRSLIVYMPYHKPVMEVLTCYSNDVMWYGPTD >OIV97860 pep chromosome:LupAngTanjil_v1.0:LG14:13828764:13830077:-1 gene:TanjilG_12617 transcript:OIV97860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVKFITTLILLYLIYLTTTTALDPCASQTDGSDLNMIPIYGKCSPFSSPNTDSWANTLINMASKDPARLKYLSTLVGQKTVTSAPIASGQAFNIGNYIVRVKIGTPGQLLFMVLDTSTDEAFAPCLGCQGCAATTFLPNVSSSYGSLDCSVPQCGQVHGLPCLAEGTSPCSFNQSYAGSSFSATLVQDSLRLATDVIPNYSFGCVNAISGGSVPAQGLLGLGRGPLSLLSQSGALYSGVFSYCLPSFKSYYFSGSLKLGPVGQPKNIRTTPLLRNPHRPSLYYVNLTGISVGHVLVPIPTEYLAFDSTTGSGTVIDSGTVITRFVEPIYNVVRDEFRKQVSGSFSSLGAFDTCFVKSYETLAPPVTLHFQGLDLTLPLENSLIHSSSGSLACLAMAAAPNNVNSVLNVIANLQQQNLRILFDTVNNKVGIARELCN >OIV98032 pep chromosome:LupAngTanjil_v1.0:LG14:10695301:10697668:-1 gene:TanjilG_12263 transcript:OIV98032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFTSIALHYTSTPSLQHLVRSVEAKEALRLQKENNFVILDVRPEAEFKEAHPPDAINVQIYRLIKEWTAWDIARRAAFAFFGIFAGTEENPEFIKIVESKLDKSAKIIVACSSGGTMKPSQNLPEGQQSRSLIAAYLLVLNGFTNVFYLEGGLSAWFKEGLPTVSEE >OIV97603 pep chromosome:LupAngTanjil_v1.0:LG14:15829652:15831286:1 gene:TanjilG_12360 transcript:OIV97603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCSPFLLPFLLLHVLLATTVEAAGGNGEWNLLQKSIGIVAMHMQLLHNDRVVIFDRTDFGLSNLKLPGGKCRNDPTELVVKTDCTAHSIEYNVAVNKFRALFVQTDVWCSSGSATQDGTLVQTGGFNDGDRAVRTFKPCPTCDWQETKLGLSGRRWYSTNQVLPDGKQIIIGGRRQFSYEFYPKNDAASNTLHNLPFLSQTNDAAENNLYPFVFLNVDGNLFIFANNRAILFDYRNDKVVRTYPTIPGGDPRSYPSTGSAVLLPLRNLEAKNVEAEVLICGGAPKGSYQNTFKGVFTGALDTCARIKITDPNPNWAMETMPSGRVMGDMVTLPNGNVLIINGAGSGSAGWESGRNPVLSPVLYKPNNAAGSRFELQKPSAIPRMYHSTAVLLRDGRVLVAGSNPHIGYNFTSALFPTELRLETFSPSYLEPGFNNVRPTILFPASQSKLTYGQKIKIRFHVAATLVKNAVLVTILAPPFTTHSFSMNQRLLVLEPNVFNVVGKSTYETEVTTPGSSTLAPPGFYLVFVVHQDIPSQGIWVQIM >OIV98221 pep chromosome:LupAngTanjil_v1.0:LG14:5682873:5683949:-1 gene:TanjilG_18760 transcript:OIV98221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVWGFSPNVVTYNTLVDGYCKKGNAGKMYKADAIMKEMLANKICPNEITFNTLIDGFCTDENVLAAKKAFEEMQRQRLKPNLVSYNSLINGLSNNGKLDEAIALWDKMASSGLKPNIVTYNALINGFCLCRKQNIIAAKALLNDLGSKGLKADTVTYNILIDGQCKNGESIRAEDLLNEMRNVGLKPNHVTYNTLMDGYCMEGNLKAALNVRRRMEKEGKRGNVVTYNVLIKGFCRRGKLEDANRLLNEMLEKGLIPNRTTYDIVRLEMLDKGFILDIDGHLYNISGMS >OIV98370 pep chromosome:LupAngTanjil_v1.0:LG14:1535266:1536663:1 gene:TanjilG_16697 transcript:OIV98370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPFLSLNHPDTVFNCGQVDSNHSMAHASYPYGDPFYDGSLAAYGPQAINQSQILPQMLGLATTRVALPLDLAEDGPIYVNAKQYHGILRRRQSRAKLEAQNKLIKSRKPYLHESRHRHAVNRVRGSGGRFLSTKQLQHSNAEHVTGAHSGSDPVNIYQKNDTPEVESHPTRTGENASSITTCSDRTFLSNNSFNFRQTEHMFLGNSTNIGEDHSGSGLTFGGTQQRAPIVR >OIV97929 pep chromosome:LupAngTanjil_v1.0:LG14:13060923:13063107:-1 gene:TanjilG_12686 transcript:OIV97929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPEAEGRRTTTTTTRPVVVRISWHGKDRLSSINEDDFNNDVVEDDKKNKTNNNKTGRTGFVKMNPVLAFASLGFFTSCLFFYLYYFFYAVPTSENVLFALIFIAVALYFTSKNKGLINHTFSSIKHSWDENLKRFGFSKTQSKPVQWVIGDNTDTESGSVKKKNKMIKEGVEFYSNGDFYEGEFHRGRSNGSGVYNYFVNGRYEGDWVDGRYDGYGIESWSRGSKYRGQYRQGLRHGYGVYRFYTGDSYAGEWCNGQSHGVGVQTCSDGSCFIGEFKFGVKHGLGCYHFRNGDRYAGEYFGDKIHGFGIYRFANGHCYEGAWHEGRRQGIGTYTFRTGDRKCGEWDAGNLKHPLPPITDVALRAVQAAKKTADSAINLRRVDDRVNKAVIAANMAATAARVAAVKAVQNRMDGKFCDIDV >OIV98187 pep chromosome:LupAngTanjil_v1.0:LG14:7111583:7114171:1 gene:TanjilG_11584 transcript:OIV98187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVILYKIDALAYGCRYCYAFSSFTRTRALSLRTFFNLHHHRSVSSISPFRYSIIPNFSFFPNPNLLTRNTHFLKIKGVCSGSSMSKNKEMVESLRRFGVISSNKVAEVMETVDRAFFVPNGAEPYVDSPMAIGYNATISAPHMHATCLQLLEENLQPGMHALDVGSGTGYLTACFALMVGPQGRAIGVEHIPELVSFSLENIKKSAAAPQFEDGSLSVFASDGRQGWPEFGPYDAIHVGAAAPEIPQPLIDQLKPGGRMVIPVGNIFQDLQVVDKNSDGSISVRTETSVRYVPLTSREAQLRDQ >OIV97780 pep chromosome:LupAngTanjil_v1.0:LG14:14554736:14562932:1 gene:TanjilG_12537 transcript:OIV97780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METRVLIAPDPGTSGNGLGSTITLRHPKSGNATQYLFVNGVLQEFQWFKNLYGSWFLGDYVNEDGRLYLSTPVDPVFLMLPTFEEARMKKGDDPGKFRQLDEILFIDGYPGYQQLMSIVENYMQVVCEVKVVDAVSILGEYLKEEPWLQLLCNHLKLNIREVTGKAQGSAEGSNSDFCNEIQFYQEKSDDKKAPIAKKVPMAGLDFEAKYGDSHDIRTIFADEGVKFLLSREGKVPLSECNGKMICLFFSANWCRPCRGFIPHLVELYETLRKRGVNLEIIFVSFDREEDGFKEHLKSMPWLAVPFDVNLHRRLIDRYQVDRIPSFIPLCSDAIAVDEDLIGCIEDYGADAFPFTKKRHDELKAIDKRKREEANLEELLAQEGCNFLISGDDKKVLVSELAGKTIGLYFSANWSPPCRSFTVPLADVYNILNAAKDHCFEIVLISTDRDLKEFNVSRNSMPWLAIPYEDRVRHDLCRIFNIKGIPALVLIGPDGKVISENGKFMISSYGSEAYPFTESRIRDLEAALRKQGEALSKQVEDVKHEHVLKLDMAKAYVCDFCKNQGKFWAFSCDVCDYDLHPSCVEKVNKD >OIV97761 pep chromosome:LupAngTanjil_v1.0:LG14:14710503:14713935:-1 gene:TanjilG_12518 transcript:OIV97761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSVEEQRRCGEENLVNAEILKLRNRWELASVLNFLEVFSPILGKDLKASAEEIEIGLVKPDVSLAKLHIQLLKGIPPVNRTLNDPDKWVTVLCKKLVTWWQWVAVGKIPLMPSKGEEISKYKELDSSDRLLLLKALCEVRADQHDAVSYINDALKEGTHITSIRKEALGRDGTGTSYWYDANAKCQSHRLYRGRITKRKVSPGSDQDRNKGLEVKSDGEDVSSDSGSEGGMLQISDTDGDDSGYEIENPGEIEYHVDSSEEDNNADDSEQGNPETHSSNAVSYHPKGSRCSMRLAGVSSHCILESRGLTSKQRLRQRPTPNSAIDSVVVSDSDDEAAQEGKAGLPEST >OIV98371 pep chromosome:LupAngTanjil_v1.0:LG14:1540880:1544844:1 gene:TanjilG_16698 transcript:OIV98371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAAHYNPRSVEAVFRDFKGRRTALVKALTTDVVEFYQQCDPEKENLCLYGFPTEKWEVNLPAEEVPPELPEPALGINFARDGMQEKDWLSLVAVHSDAWLLSVAFYFGARFGFDNADRKRLFAMINDLPTIFEVVTGTAKKQSKEKSSVSNHTSNKSKSGSKGRGSESRKYSEQAKDEEEEEVVEELDEDEGQCGACGEIYTSESVEFWICCDVCEKWFHGKCVKITPAKADQINHYKCPLCSSNKRARP >OIV98000 pep chromosome:LupAngTanjil_v1.0:LG14:12150531:12150758:-1 gene:TanjilG_14100 transcript:OIV98000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVSCGDGNYAGRTTGEEEEEEVGGGGVGSMRHLSHLVVGAMEALPPRPNRVQAQMRVILAAKALNGGTVVARQH >OIV97590 pep chromosome:LupAngTanjil_v1.0:LG14:15906320:15908749:1 gene:TanjilG_12347 transcript:OIV97590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSEDSVTVKKELDTNNNNNKSSGSKKERVTSSNVKPSKVKKEDTNIDYDDDDDDKPIIKRVSSNASKMTEVKKKKIKEEEKKKIKEEEEKKKGKGGEKKKIVKKVYDLPGQKRDPPAERDPLRVFYETLYEQIPTSEMSQIWLMESGLLPKDLAMKVYQKKQKKGPQQKLTSPAKAVAAAKSSTKSVTVKKKSSTVVVRSVEKKTTNSTSKQTKKRKTENDDSDGDDDDDFVPLAKRRKAA >OIV98501 pep chromosome:LupAngTanjil_v1.0:LG14:341403:348985:-1 gene:TanjilG_18785 transcript:OIV98501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDDEDEFGDLYGDVLLPYTAPHSNSDAPLLSTNQITLPHRPESTRAAAEEESDDGARVVDGVAVSVAKSEDRALLDSNKEPVAAIDGEGVDLMDKEVKFDIEDDDDDGGGEIGEVGTELVIPGILYDDDDGGGGGGGDGDDWDSDSDDDLKIVLNDNNHMAAMERGGMVNDNDDDEDDDGGLVIVANGDPNHGVEEQQWVENAALPVDGERKDEGEPGKTAVSGGMIVAPKVGYSSHGYHPFRSQFKYVRPGTSSIPGAATSAPGGPPGQIRPLVNMAGRGRGDWRPPGIKGASMQNVFHAGPGLPGWGNSVAGRGFGGGLDFTLPSHKTIFDVGIESFEEKPWKYPNVDASDFFNFGLNEDSWKDYCKQLEQLHLESTMQSKIRVYESGRKEQDYDPDLPPELAAATGIHDVTTENANSAKLNVGQSGVTKGSGHVRPSLPTGRAIQVGSGYGERLPSFDTRPPRNRDSDAIIEIVLQDTEDDGSSAGNCVQDQAEDVEPRREDFREDYVDEPPRLEPEYFDGFPREYIGRKKELAGRVPFMNSNSANIPNGGENLFFPQEELFSYSGSRGPNPRPCGVNVSSSSEERLTQKRVQAQSLPITPIRELATIDDKKEESVESMEVRHSALLSSPVIKDTRESSVEDINTELEDTGAADESSRLEKEEVSIDTVEKVDTLKDRRQMLTSDVEQPLLDEVDDREDSKAARGSDNSKAISSSSRDTQKQQEGFEEVVQHPRSARLGSIRQHPDENERGLYRREHDVKQEPERNRMIPKGREGSYPYRDRHPNSARHLPTNMDGLDRQKHRHNSDMDWTERDDLFSRRIRNDEPRKRDRARVQENERSDKDDSLHSRTYFDNGSYRVPYDKDVASRDSRHRERDDDLRIRYEAMEDYHSKKRKDEEYLRREHIDKEEFLHGYRENASRRRRESELLDPRKRDDLKRSRDNFDDQHVARQKDETWLLRERGDRLREREERHRMKQSHEEHIPKRERDEGRSSVRSGRRAEEKSLVGHVRAKDEVEIFDKEYQSKEAMRHIDQLKRRGRVQDQSTHHKGRDDAYASGNQYNSEERRSRQERSSSRDHAHATDNRRANERKHNEGSGKSKEPDVNNLNNLGLSRRTQENLSGPTSEKDLKGSSEEDNSDDEQQDTQRGRSKVERWTSRKERDFSIGSKPSSSKFKGIDKDNNIKPSEAGKPVDDPAKRVDVDNQHLLLAEGRDTVDMDGKNADIKGSGDQQLDTVQRLKKRSERFKLPMPSEKETLAIKKLDDVPLPSVKSEIPVDSEVKHERPPRKRRWIGN >OIV97817 pep chromosome:LupAngTanjil_v1.0:LG14:14261050:14272562:1 gene:TanjilG_12574 transcript:OIV97817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRFRFFFSITIIFFILAVSASADDRDFSITDFDFDFDWSLSHQDYSPPAPPPPPPHPPSVSCVDDLGGVGSLDTTCKIVNDANITGDVYIAGKGNFNILPGVKFHCEIPGCMITVNVTGNFSLGANASIVTGAFELEADNVVFENGTLINNTGMAGDPPPQTSGTPQGIDGGGGGHGGRGASCLVDSTKLPEDVWGGDAYAWASLDIPDSFGSKGASTSKESDYGGLGGGRVWMVVHQVLEMNASLLADGGDGGTKGGGGSGGSIYIKAYRMTGSGRISACGGDGFAGGGGGRVSVEVFSRHEEPKIYVHGGDSIGCPENAGAAGTLFDAVPRSLSVDNFNLTTDTETLLLDFPNQPLMVNVYVRNKARATVPLLWSRVQVQGQISVLQGGVLSFGLRHYATSEFELLAEELLMSDSVMKVYGALRMTVKMFLMWNSKMLIDGGEDVAVSTSLLEASNLIVLRESSVIHSNANLGVHGQGLLNLSGPGDWIEAQRLVLSLFYSIHIGPGSVLRGPLENATTDDVTPKLYCDNEDCPYELLQPPEDCNVNSSLSFTLQICRVEDILVEGLIKGSVVHFHRARTITVESSGIISASGMGCTGGLGSGNSTSNGIGSGGGHGGKGGDACYNDNCIEGGISYGSASLPCELGSGSGMGSSADTTAGGGIIVIGSLEHPLSSLSIHGSVSADGEDFEPAIRKEKNVIFDNFTGAPGGGSGGTILLFLHSVAIGGSAILSSIGGYSSFNGSGGGGGGRIHFHWSDIPTGDVYQPIASVKGDVQTGGGKGEGQGGSGQNGTITGKACPKGLYGTFCEECPSGTYKNVTGSDRSLCRQCPVNELPHRAVYISVRGGIAETPCPYECISDRYHMPDCYTAIEELIYTFGGPWLFGLFLMGLLVLLALVLSVARMKFVGVDESPGPGPTQHGSQIDHSFPFLESLNEVLETNRVEESQSHVHRMYFMGPNTFSEPWHLPHIPPEQIQDIVYEGAFNTFVDEINAIAAYQWWEGAIYSILSVLGYPLAWSWQQCRRRLKLQRLREFVRSEYDHACLRSCRSRALYEGIKVNATSDLMLAYVDFFLGGDEKRPDLPPRLLDRFPMSLVFGGDGSYMAPFSLNSDNILTSLMSQSVQPTTWYRLVAGLNAQLRLVRRGRLRVTFQPVLRWLETHANPALSIHKVQVDLAWFQATSSGYCHYGLVVYALEDGHPTTGGSIDGGLKIEERSRVQSVKEHPSGLPRSRAHVNPQGRLEDNYMKRRTQVAALDINNLQMLDEKRDIFYLLSFILHNTKPVGHQDLVGLVISILLLGDFSLVLLTLLQLYSISMVDVFLVLLILPFSILLPFPVGINALFSHGPRRSAGLARLYALWNLTSFINVVVAFLCGYIHYSSQSSSSKRHPQPWSIGMDENEWWIFPGGLVLCKFLQSQLINWHVANLEIQDRSLYSNDFEVFWQS >OIV97758 pep chromosome:LupAngTanjil_v1.0:LG14:14762308:14764456:-1 gene:TanjilG_12515 transcript:OIV97758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVKSTHSSYRDRTHEFESIAERLKKSRSAPNSTNTTITTSSSSSRSDEHRSAIAIQSEFNKRASKIGYGIHQTSQKLAKLAKLAKRTSVFDDPTMEIQELTGVIKQDINALNSAVLDLQSLSNSRNQSGDFSADTTTHSTTVVDDLKTRLMSTTKEFKDVLTMRTENLKVHENRRQLFSSSASKESANPFVRQRPLATRSAASSPNAQAPPWANASGSPSSSQLFPKKQEDRESQPLLQQQQQQQEIVPVQDSYMQSRAEALHNVESTIHELSNIFNQLATLVSQQGEVAIRLVLL >OIV98116 pep chromosome:LupAngTanjil_v1.0:LG14:9333830:9334150:1 gene:TanjilG_25981 transcript:OIV98116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEEKKMKVKKGWLVVQVGLEDDQFEEGDSTTQRFVIPISYLYHPLFKHLLDKAYEVYGYHTDGPLKLPCSVDDFLHLRWRIEKESGHYRHNHHHSLHHALYFHSC >OIV97766 pep chromosome:LupAngTanjil_v1.0:LG14:14673562:14676966:1 gene:TanjilG_12523 transcript:OIV97766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDEKVVGEGEKKETSPNANEKNKRKGFFSRIWNVFRLQGDDFEKRLKYISKEEASVISRMTRRSRSWRQISRQLIIFSVIFEVIAIGYAIMTTRTMDMDWKMRAIRVLPTFLLPALAFAAYSTSARFTIMCDRRDQRILERLRGERQAKIDELKEKTNYYITQQLIQRYDPDPAAKAAAATVLASKLGADSGLKVYMGDESNLGAAMAKNNDAELMQSTGLRNRRHVQSRSTSPGTSTPNYSDQQLVGSSGTDQTRTSDHNQLLVVEHHEPQSSTTQDGGWIGKLAALLVGEDPTQSYALICGNCHMHNGLARKEDFPFVTYYCPHCHALNKPKQSDDRISGNTSPNMGSPPKSEADQAIKDAITSVVESMITSKNGPEIEEVLEASSLEEKAS >OIV97963 pep chromosome:LupAngTanjil_v1.0:LG14:12530894:12533942:1 gene:TanjilG_12720 transcript:OIV97963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVADENNEIDDNPIEQVRLTVSIKDDPTQPALTFRTWVLGLASCVLLAFVNQFFGYRTNPLGISSVAAQIVTLPLGKLMAATLPTKSIHVPLTKWSFSLNPGPFSMKEHALITILASCGSSGVYAIGIITIVKAFYHRSINPIAGFMLALSTQLLGYGWAGIFRKFLVDSPYMWWPANLVQVSLFRTFHEKEKRPVGGYTKLQFFILVFVASFAYYIVPGYFFQGLSAISIVCLIWKNSITAQQIGSGMYGLGIGSFSLDWNAVSGFLGSPLAVPGFAIINMLVGYVVVMYLVIPIFYWNNIYDAKKFPFVSSRTFDSTGARYNVTRILNTKNFDIDMDSYNNYSKLHLSVIFALNYGLSFATLTATLSHVALFHGKTIWNLWKKTTSTTVKGDNEGDVHTRIMKKNYEQVPEWWFVSILALMIVMSLVACEGFGKQLQLPWWGFLLSLGIALVFTLPIGVIQATTNMQTGLNVITELIIGFLYPGKPLANVAFKTYGYISMAQALGFIGDFKLGHYMKIPPKSMFIAQLVGTIVGSTVQFGTAWWLLTSIKNICDETLLPEGSPWTCPGDTVFYNASIIWGVVGPQRMFTKDGVYPELNWFFLIGVLAPVPVWLLARKFPNHKWIELINMPIIFGGAGGIPPARSVNYTMWGIVGIFFNFYVYRKFKAWWARYTYILAAALDAGIAFTGVLLYFALQNYDVYGPLWWGLDGDDHCPLARCPTAPGVVAVGCPVL >OIV97555 pep chromosome:LupAngTanjil_v1.0:LG14:16120953:16122660:1 gene:TanjilG_12312 transcript:OIV97555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRLIGMLIITMMLAVSYSNAIDDKCAACTAVAERPRNHLDMRHRLDSKGQRQGKLIDYRVSELRVVELLDGLCEKMQDYTLKIDQNTHKWFKVDNWDNLTSNKQEAKAYSKDISSYCGRLLEETEDELSELIKKGSVKVGGVSKVLCQDLSKHCRQTSVSHEAELDDEDNDEL >OIV98244 pep chromosome:LupAngTanjil_v1.0:LG14:3751211:3758218:-1 gene:TanjilG_14833 transcript:OIV98244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMEAWKMFLLLLCLQVLSYPVIGAPQTCPADKASKSDGSDGWEGEFFPGISKIKYEGPSSKNPLSFKWYNAEEEILGKKMKDWFRFSVAFWHTFRGTGADPFGAPTKQWPWEDGTNSLNMAKRRLRANFEFINKLGVDWWCFHDRDIAPDGETLEEANANLDEVVALANELQTKGKKRVLWGTAQLFMHPRYMHGAATSSEVGVYAYAAAQVKKALEVTHYLGGENYVFWGGREGYQSLLNTDMERELNHLARFFESAVAYKKKIGFNGTLLIEPKPQEPTKHQYDWDAATAANFLRKYGLIDEFKLNIECNHATLSGHSCHHELETARINGLLGNIDANTGDPQVGWDTDQFLVDIQEATMVMLSVIRNGGIAPGGFNFDAKLRRESTDVEDLFIAHIIGMDTMARGLRNAAKLIEDGSLAELVRKRYQSFDTEIGAQIEAGKADFEYLEKKAKEWGEPKVASAKQELAEMILQNAM >OIV97537 pep chromosome:LupAngTanjil_v1.0:LG14:16191580:16195238:1 gene:TanjilG_12294 transcript:OIV97537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDTNSLVARCIAPVNKDFLRPPPPPLRNDNNNDGYHKNPINDKKSKRQQKRERREEQKSAKNLCPVVGKTGDVTCCIYKDKCRFSHDIDAFNAQKPPDVEGDCPFFKTQQGYCPYGLVCRFSSTHQPATTTTTPPLNNTSEVNGLTKNVQKLLWKNKITFPKADAKLKTLGLKVMSNSKRNDLENKDDGGNTAVNKSDPSYDNASHEVTSDSSSKLEEGIKDDGIDEKFEFDVCCPQKRRKTSENVGSAEPVTVITEAGVGVTEEIDDRSCIKAEPEAQTDTVTTETGVSDAEVIVQGSCIKAEPEARTDTITAETGVHVTEESVERSCIKAETDTITPETDLSLKLHPREKKLIDFKDKLYLAPLTTVGNLPFRRVCKVLGADVTCGEMAMCTNLLQGQASEWALLRRHESEDLFGVQICGAFPDTVARAVELIEQECSIDFIDINMGCPIDIVVNKGAGSALLTKPMRMKSIVEVASGTVDKPITVKVRTAYFEGKNRIDSLIGDMNSWGASAVTIHGRSRQQRYSKLADWDYIYRCVKKAPNTLQVVGNGDVLSFVDWNNHRTEAPELATCMIARGALVKPWLFTEIKEQRHWDISSGERLNILKDFVHFGLQHWGSDTKGVETTRRFLLEWLSYTCRYVPVGLLDVVPQRMNWRPPSYYGRDDLETLMASDSAADWVRISEMLLGKVPEGFTFAPKHKSNAYDRAENG >OIV98167 pep chromosome:LupAngTanjil_v1.0:LG14:7711768:7714137:1 gene:TanjilG_18282 transcript:OIV98167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLRRLRYFIADMPEKVQWAAEAAWILALSYFVAYLETLAISSFPYYEFVDRDSMYKVGSLFYAIYFIVSFPMFIRIDEKSHDKWDLARVAIDALGAAMLVTILLDLWRIFLGPIVPISDAKQCPQAGLAWFSGHTNQT >OIV97613 pep chromosome:LupAngTanjil_v1.0:LG14:15762304:15765266:-1 gene:TanjilG_12370 transcript:OIV97613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDTEDALRRRNAVTGYRKKLLQHKELESRVRSVRENLRASKKEFNKTEDDLKSLQSVGQIIGEVLRPLDNERLIVKASSGPRYVVGCRSKVDKEKLTSGTRVVLDMTTLTIMRALPREVDPVVYNMLHEDPGNVSYSAVGGLSDQIRELRESIELPLMNPELFLRVGIKPPKGVLLYGPPGTGKTLLARAIASNIDANFLKVVSSAIIDKYIGESARLIREMFGYARDHQPCIIFMDEIDAIGGRRFSEGTSADREIQRTLMELLNQLDGFDQLGKVKMIMATNRPDVLDPALLRPGRLDRKIEIPLPNEQSRTEILKIHAAGIAKHGEIDYEAVVKLAEGFNGADLRNVCTEAGMSAIRAERDYVIHEDFMKAVRKLNEAKKLESSSHYNADFGKE >OIV98260 pep chromosome:LupAngTanjil_v1.0:LG14:4521144:4525709:-1 gene:TanjilG_14849 transcript:OIV98260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEMSTRMLLHGVLHITILEVDKLYGKDCCNCFPKLIEEIVPFGKGAARLYATIKLGNVTVARTRVINNKNSQLHWHESFQIYTAHTANDVVFSIKGDDPIEAKVIGRAYFPVGELGHGQKLDRWLRILNKKNRALEGGPRIHVTLQFIDVTQDINWSQGIKSLEYHGVPNTYFTQRSGCRVTLYQDAHIPYNYFPKISLTGGDYYETQQCWEDIFDAISKAKHLIYIAGWSVNTKINLIREFSRKKIGGDLSYLTLGELLKIKADEGVKVLMLIWDDRTSIKFLKKDGVMSTHDVDTESYFHGSQVHCLLCPRNPDNEESIVEDLEVATMFTHHQKIVVVDGEMPSGNSESRRRILSFIGGIDLCDGRYDTPLHSIFRTLGTTHHNDFHQPNFKGASIAKGGPREPWHDIHCRLEGPVAWDVLINFEQRWKKQGKKDVLPVQLGQLDDIFLPQSLEMFAGDLESWNVQLFRSIDGGAAYGLANTHEDAARYGIQICKGQVVDRSIQDAYINAIRRAKNFIYIENQYFIGSSFSWNSDDLRVEEVGALNLIPKELSLKIVTKIEAGERFTVYIVIPMWPEGIPESASVQSILNWQKRTMDMMYSDIVKALQAKGIKDDPKDYLSFFCLGNKEKKNNGEYEAPKKPDQESDYFRAQQARRFMIYVHAKMMIVDDEYIIIGSANINQRSMDGARDTEIAMGGYQPNHLATNQPARGQIHGLRMALWFEHLGFLDDSFLDPERLECVRKINQISERYWDLYCSETMDCDLPGHLLSYPIRVTESGEVTTHPGLECFPDTNAPILGSKSELPVILTT >OIV97811 pep chromosome:LupAngTanjil_v1.0:LG14:14309052:14311690:-1 gene:TanjilG_12568 transcript:OIV97811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSVETGMEPLTSGASNRIIPILKTLKVSLFFVYTFFLSFLLFVLPRRRRLSSPVTVLPPSSPSKYMKRRWLVLEEEDTLRRRALAEYVGMGRDDGWFRWSTFIFYGLRNNALFCRSWFPVTGNLKGILIIIHGLNEHSGRYAEFARQLTSCHFGVYAMDWIGHGGSDGLHGYVPSLDHVIADTEAFLEKIRSENPGIPCFLFGHSTGGAVVLKAASLPHIQLMVEGIILTSPALRVKPAHPIVGAVAPIFSLVAPRFQFKGANKRGIPVSRDLAAMLAKYSDPLVYTGPIRVRTGHEILRISSYLTRNFKSVTVPFFVLHGTADKVTDPLASEDLYNKAASEFKDIKLYDGFLHDLLFEPEHEEIAQDIINWMEKRLSTI >OIV97907 pep chromosome:LupAngTanjil_v1.0:LG14:13318373:13319080:-1 gene:TanjilG_12664 transcript:OIV97907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDILNKCLPCKMVYIATSMYIIGTIILWCLWTHKVKNNNTKPNPSQTKKKDFLGLKTLIIELKSKFPYPVPKTLKPSLPLPLPLPQPPRRLSSLWETYPPPLLPTVRDELIGTESSDCMMSETEDFTEFPNLEAMVESCRRNREKNGVRRKFPPPISLLREALVLKRECREDGRVVMNLIPKDDGDDVELEFNGEPQEWKYDNDGEREEEEEKGVGSNGVFFFWERIRRRERGVI >OIV97530 pep chromosome:LupAngTanjil_v1.0:LG14:16227159:16229502:1 gene:TanjilG_12287 transcript:OIV97530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEEGPKTKQNEKNGSRRSLKSDSHFNNYQSWKQKLRDNCFKRVQQDRAHLLWKLRLPSTNSIPNSNHLQDIVSSAFQDIVSDEIKKMKDSPLDNSFNVPISDCEMDDLLWDYDGLHSTYQGECEEIMLEMQRIFYQDLTSKPVIKVLGYARIGNGNGRNNKAIQKIYTSDMWLYVDLAVKTALTFSMEIILVSADLESGFEIWEDEVDEHLAKAVFEHMQLNADKVHGEEIWCPICKQGELKEADKLIYCTCCELQLNKDSELRLDFLRQRLAEVHSEHLDRGCS >OIV98050 pep chromosome:LupAngTanjil_v1.0:LG14:10134625:10137511:-1 gene:TanjilG_09543 transcript:OIV98050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPTPSSSSITTDTTFNVDSDEIEKPIRKRKVKTLIKGNANLDSTEATSSFSSSLQKGKIKRRNPRVHVVRRIKGDVSAIGLPLGMSFAAVMAQVLYRRDAAAGTMSPDHLSAMCTSAIKKSLSNVYGDKLDGLTRNFEQSFGSTLSTLRLIYESSASNEGKKINTMKMEIPSFILSNDKGDRTSDVLKEDSHSEEFFSAQIEDQFCDEEIKGNFHVDSVSRGLTLHEPSNLMVPFSSTSSECVINKPMISTFEKSVVEQCRSNDLKTFELGLAMKKLKLKETQLALHYDLNNLERSRLAMGVSKASFKAEKFKTQLEDMRYGELKRKCIDCLIAAADNDISNYANYFHSFATGNWLWLFWQAVCSYTGRERLRMAFILGRSVFAAFLMYCFHIHFVPNPSRTSHSIEDNKGEYIFSILDSPDFVLCYFVHVSTSVLWYHAFCYSKSMERPLFVQGIRFRRTRVVRHCLVMENIVATQTIA >OIV98061 pep chromosome:LupAngTanjil_v1.0:LG14:10339070:10344137:1 gene:TanjilG_09554 transcript:OIV98061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFKRRNGGRNKHGRGHVKFVRCSNCGKCCPKDKSIKRFVVRNIVEQAAVRDVQEACVYEQYALPKLYVKLQYCISCAIHSHVVRVRSRTDRRKRDPPQRFIRRRTFKRRNGGRNKHGRGHVKFIRCSNCGKCCPKDKAIKRFLVRNIVEQAVVRDVQEACVYEQYTLPKLYVKMQYCVACAIHSHVVRVRSRTDRRKRDPPQRFIRRRDDAPRPGQPGQAPRPAAGVKAPAPRA >OIV98290 pep chromosome:LupAngTanjil_v1.0:LG14:673134:676247:-1 gene:TanjilG_16617 transcript:OIV98290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDDVFDTHKIRNMCILAHVDHGKTTLADHLIAAAGGALLHPKLAGRVRFMDYLDEEQRRAITMKSSSISLHYKDHSINLIDSPGHMDFCSEVSTAARLSDGALVLVDAVEGVHIQTHAVLRQCWIEKLSPCLVLNKIDRLITELKLTPSEAYTRLSRIVHEVNNIVSAYKSEKYLSDVDSIVAAAGASVDEEFVEDDNDDEEDTFQPQKGNVAFVCALDGWGFRVHEFAEFYASKLGASVNALQKALWGPRYFNPKTKMIVGKKGIAGVGGSGGKVAKPMFVQFVLEPLWQVYQGALEGKGLVEKVVKAFNLSVPARELQNKDPKVVLQAVMSRWLPLSDAVLSMVVKCMPDPIAAQSFRVSRLLPKREVVVNVGNEVEVEIDRTVVEEAEHVRKSVEVCDLRPDAPCVAFVSKMFAIPVKMLPPSENGYGDEGEGDSVECFLAFARVFSGVLNAGQRVFVLSALYDPLKGESMQKHVQEAELKSLFLMMGQGLKVVKYAKAGNIIAIRGLGQHILKSATLCSTRNCWPFSSLEFQVAPTLRVAIEPSDPADVGALLKGLRLLNRADPFVEVHVSARGEHVLAAAGEVHLERCIKDLKDRFAKINMEVSPPLVSYKESIEGEVSNMLENLKALRKSSDYVEKTTPNGRCIVRVRVMKLPLSLTKVLHESSDLLEDIIGLKSGQTVKSLEIPGMSILKNDNPTEVFKKRVIDAVESDIMSRIENDKDHTDKDHTDKCKLQWLKLLRRIWALGPRQIGPNILFTPDIKAAECGDGSVLIRGCSHIAERLGFTADSSSAHSVIETPSDTSHALYTDVEYLESSVVSGFELATAAGPLCDEPMWGLAFVIEARIFPFTGQSDESETHQQSEQYRILAGQVIATVKDACRAAVLQNKPRLVEAMYFCELNTPTEYLGPMYAVLSRRRARILKEEMQEGSPFFTVHAYVPVSESFGFADQLRRGTSGAASALLVFSHWDALSEDPFFVPKTEEEIEEFGDGSSVLPNTSRKLIDAVRRRKGLPVEEKVVQHGTKQRTRARKV >OIV97939 pep chromosome:LupAngTanjil_v1.0:LG14:12910476:12910721:1 gene:TanjilG_12696 transcript:OIV97939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLDEIECAPHFLKNSCGLFRRRTKLLCRKCCNHIGYAYNGYTSTFSLVSESEATSYTKYDIRIRALQPSSTGESGISVFA >OIV98432 pep chromosome:LupAngTanjil_v1.0:LG14:2294831:2296249:1 gene:TanjilG_16759 transcript:OIV98432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSGNSGSMQSSSTGGGGDEEYDSRVQSSLSAFLDNDSSLSLPSSLPLVRHVSRFTSAVNTDNGHMLEYPLSNYIDQMQRSHQNSNLVWSKTDISEANQYNVVDLIPSSVTSSSSNQQGFLSSLGQTSGVGVGVGVGVATFPTTHHSSMHPPQESASRGLLLSQDQGHNNNKRNNSNMVRNPKKRSRASRRAPTTVLTTDTTNFRAMVQEFTGIPAPPFTSSPFQRTRLDLFGSSSTIRSLDTLNHGFVEHPHVSVSDTYLLRPFAQKLHPFPPSSTSSIPISMLNNNNKNTLLGNSNHSSSNISTNPTQFMMNMHNNVNPILSLESILQGQQVPQNSKYPLDNSSKTQPPIEIPNTSADNSHLKMSVLEELGLSQAHVNNIASSSSGAALSSRINNNNNNNMGDPSSSSSNWVQRTGTSTIINNNNNNGGDDDDDHGNYTNNIHHGEKKPECGVAARNEGMVESWINCSSD >OIV98511 pep chromosome:LupAngTanjil_v1.0:LG14:195884:199502:1 gene:TanjilG_18795 transcript:OIV98511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEQLLIFTRGGLILWTCKELGNALKGSPIDTLIRSCLLEERSGAASYNYDVPGASYTLKWTFHNELGLVFVAVYQRILHLLYVEDLLAMVKREFSQVYDPKRTVYNDFDETFRQLKMEALARAEDLKKSNPVGKPVGGNNGKKQGQGNNKSGLEGGSKKKSEGGLKDDGSGDGNDNKGDDNKGRKLENGYSNGNFVTKDSNVDSNVNGRDYASSGNGAFDVNKLQKLRSKGSKKTDIVPKASKADPNKKATKKNRVWDDAPVEKKLDFTDNAGEDGDRKIDFVAPVQGESMMDKEEIISSESEDEEEEEEEDDVGKKSKPSAKNKGWFSSMFQSIAGKANLEKSDLEPALKALKDRLMTKNVAEEIAEKLCESVAASLEGKKLASFTRISSTAHAAMEEALVRILTPKRSIDILRDVHASKEQRKPYVVVFVGVNGVGKSTNLAKVAYWLLQHNVSVMMAACDTFRSGAVEQLRTHARRLQIPIFEKGYEKDPAIVAKEAIQEATRNGSDVVLVDTAGRMQDNEPLMRALSKLIYLNNPDLVLFVGEALVGNDAVDQLSKFNQKLGDLSPSSNPRLIDGILLTKFDTIDDKVGAALSMVYISGSPVMFVGCGQSYTDLKKLNVKSIVKTLLK >OIV97684 pep chromosome:LupAngTanjil_v1.0:LG14:15297915:15300097:1 gene:TanjilG_12441 transcript:OIV97684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGMYNTALNIPGQDSAVLEEISQHSNSNPLTQCYSFVDLNNQAHIINGISMLAGEQGEPPISNNILSDVCFLNPACIADSSSLVKSQGKTAMGDYSNHMHNTEFQEHLAAGVPITPISLAAILATRIGLEENLENSTAFAPSIGALGQYTFNNWQATSNPLSATFQDHGYEEVPDRGYDEVHGGSKWNNVNEFPRAKEVDENVCQPYSSIGNMDPNGWTSSSIANLTNHAYNSRNFSNELSLSLETSPTTGHCSEVSCPDVSSSRELSMGSKRYVQFSPLVLGSRYLVGIQDILAQIARYSFENVDQINGSPAGSRASGNKSNSAFSTMRSVLVNDNENSMFEEPLSESPLQRHAAESKKSQLLSLLQLVDDRYSQCLDEIHTVVSAFHAATELDPQIHAHFALQRISILYKELRERISNHIIGMGSDLNKSCSEENNEWCVDTSFIQKQWDLQQLKRKNHQLWRPQRGLPERSVSVLRDWMFQNFLHPYPKDAEKHLLAVKSGLTRSQVTTTTFTSLFKEEQSAMVDSGLTKVSNWFINARVRLWKPMIDEMYAEMNKRKASRYEQGTESSHGNMRKA >OIV98304 pep chromosome:LupAngTanjil_v1.0:LG14:806053:807876:1 gene:TanjilG_16631 transcript:OIV98304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEKSMYPDEESFSFVLRCCFSLSHEQGVMILGQIVKLGMDEFDLVANTSKELYENNGFFTFLNEHKSFERMSIRELNYWNNLISEACGSGKIEESFQLFCGMRKENIQPNSVTVINLLRLSIDLNSLKIGQALHSVAIVSNLCEELSVNTALLSMYVKLRSLEDARLVFEKMPEKDLVVWNIMISAYVTNGYPKDSLELLYCMVRSGFRPDLFTAIPAISSITQLKYTEWGKQMHAHVVRNGSDYQVSVHNSLIDMYSACNALNSAQKVFGMITEKTVVSWSAMIKGYAMHDQSLEALSLLSKMKLSGTRVDFIIVINILPAFAKIGALHYVRYLHGYSLKANLDSLKSVKTSFLSSYAKCGCIEMARKLFDEEKRKRKDLIAWNSMISAYSKHGEWFQCFQLYNQMKLSDIIPDQVTFLGLLTACVNSGLVDKGKEIFKEMVEIYGYQPSQEHHACMVDLLGRAGKVDEARKIIDNISLKPDAKVYGPLLSAYKIHPDTRFAENAAQKLISMEPKNAGNYVLLSNIYAAAGKWDKVAKMRSFLRDRGLKKIPGCSWLELNGQVHEFRVADQSHPSSTDIYSVLKVLEFEAGDMEDYLELFDPPII >OIV97965 pep chromosome:LupAngTanjil_v1.0:LG14:12412213:12415903:1 gene:TanjilG_12722 transcript:OIV97965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVVDEVPEHHKLGITDDNNEIDDNPIEQVRLTVSIYDDPTQPVLTFRTWVLGMGSCVLLAFVNEFFGYRTNPLGISSVAAQIVTLPLGKLMAATLPTKSFHVPLTKWSFSLNPGPFSMKEHALITILAGCGSSGVYAIGIVTIVKAFYHRSINPIAAFMLAISTQMLGYGWAGIFRKFLVDSPYMWWPSNLVQVSLFRAFHEKDKRPIGGHTRLQFFFLVFIASFAYYIIPGYFFQGLSAISIVCLIWKNSITAQQIGSGMYGLGIGSFSLDWNAVSGFLGSPLVVPGFAIINALVGFVVILYIVIPVFYWNNIYDAKKFPLISSRTFDYTGAKYNVTRILNDKTFDIDIDSYNNYSKLHLSVIFALNYGLSFANLTATLSHVALFHGKKIWSLWKKTTRTTSEGENEGDVHTRIMKKNYEQVPEWWFVTILALMIVMSLVACEGFGRQLQLPWWGFLLSLGIALLFTLPIGVIQATTNMQTGLNVITELIIGFMYPGKPLANVAFKTYGYISMAQALGFLADFKLGHYMKIPPKSMFIAQLVGTIVASTVHFSTAWWLLTSIPNICDETLLPEGSPWTCPNDTVFYNASIIWGVVGPQRMFTKDGVYPELNWFFLIGALAPVLVWLLARKFPNHKWIELINMPIIFIGASGIPPTRSVNYIMWGIVGIFFNFYVYRKFKVWWARHTYILSAALDAGIAFTGVLLYFALQNYNVYGPQWWGLEADDHCPLAKCPTAPGVVVAGCHIH >OIV97843 pep chromosome:LupAngTanjil_v1.0:LG14:13981662:13988555:-1 gene:TanjilG_12600 transcript:OIV97843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHRTTYIFPRQFPDESSKHLLDHENQKLFNATKSDYTFRVQNDFSKKAQFQTENNDDVVVSSGKKHSAVSDTFAAGTGGKFRITKTKQVDLLRDWLNEKREYRSGYRRISSSSEEERGLLHTPEKRTAVDWQVSLPRVSSESSYAGSLFSGTTTLDGNFSSDVKDDTSSSRVSVTVKEEEEDKRERLAKKYEESYILQMMFAKRVTCLASLVTEPVLGTETWDAETVSYRLWLSGCLSYSDKISDGFYNILGMNPYLWVMCNEVEEGGRNRIPTLMALKGVEPSETTMEVVLVDRHGDSRLKMLQDKAQELYYASENTLVLVEQLGKLVAICMGGTFPMEQGDMHKRWKLVSKRLRNFHKCVVLPIGSLSTGLCRHRAILFKRLADYIGLPCRIARGCKYCAADHRSSCLVKIKYDKQLSREYVVDLVGEPGNVHGLDSSINGAYVSSMPSPFQISHLKESQSPYLDGAESSQSKSSNHNSVIPETHLCSECRQKDQPVKETDLPNNQKAHIYASVDQTYEGTEPPLNLFGLKGNDEECTVLGSILPSIHEDVVSKALHPVTEASLDEYPRLSEDSVVVQETSNTEIIVTGSSVVKSTFKQSIINSSCQSELTQVDESIENLCCLPAGSIPRYVNLEPSLAMDWLEISWDDLRIKECVGAGSFGTVHRAEWHGSVAIMKRVRHPNVVLFMGAVTKRPHLSIVTEYLPRGSLFRLIHRPASGEILDHRRRLRMALDVAKGINYLHCLKPPIVHWDLKSPNLLVDKNWTVKVCDFGLSRFKADTFIPSKSVAGTPEWMAPEFLRGEPTNEKSDVYSFGVILWELVTMQQPWSGLIPAQVVGAVAFQNRKLAVPQNISPALASLMESCWADDPSQRPSFASIVDSLKKLLKSPAEKIKMGET >OIV97588 pep chromosome:LupAngTanjil_v1.0:LG14:15916718:15921008:1 gene:TanjilG_12345 transcript:OIV97588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAVCSAGMVEGNARHDGKLKKDNSFMNRKGEGLSDSKSDGQGKKQKKQDHRLSIDSNLSTSTTEGRKQVNLRGSFLGRASERAVEVLDTLGSGMTKFNTSSGFVSGMASRGNRISILAFEVANTITKGATLFQSLSEENIWFLKNEVLQSKGVQQLVSTDMEELLSLAEADKREEINLFSREVARFGNMCKDPQWHNLDRYFSSLDLDDVGDKQLRVEAEKIMQEFSSLIQHTSELYHELNSYERFEQDYQQKIKEMESLNIPLKGESITIFQSELKHQRKAVRILKKKSLWSKHLEEIVEKLVDIVTYILQAIHEFLGNNGISSAKDGKGPQRLGQAGLALHYANIINQINTIASRPTSLPPSTRDSLYHSLPNNIKSALPSKVQTISTMKELSVTKIKAEMNNTLQWLVPFATNTIRAHQGFGWVGEWANTSHDLSDDSTARESSLIRLQTLYYADKQKIDNYIIELLAWLHHLISFVRSRQNTMNPMPTRSPPKGLNFQSNKMIQFLSVDRNKNRFRAQLSKEDRRLLEEVIAKRKNPGISKSENFGLPNKKEARDLHRTRSVGSSPIKDFFANSTTLIMEHQNVLDVMDGLAY >OIV98520 pep chromosome:LupAngTanjil_v1.0:LG14:20361:22045:1 gene:TanjilG_27611 transcript:OIV98520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIEGRRFALLQAAKDSDYVKKIYGGYFNVYVEAFGEKGENWDLFKVYEGEFPDFNELHIYDGFVVSGSPNDAYANDYWILKLCFLLQTLDAMKKKVLGICFGHQVLCRALGGRVGKAYTGWDIGTRQVSFVKGVAPYSYLQHLEEIPASLTIIEVHQDEVFEVPLGAEVIASSDKTAVEMFAISDHILGIQGHPEYTKDILYNLIDRLLNLDLIQKDYAEDVKCRLEIAEPDRKCWEKICRNFLKGI >OIV97972 pep chromosome:LupAngTanjil_v1.0:LG14:11661210:11663248:-1 gene:TanjilG_14072 transcript:OIV97972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVETIRLGVAVMGNIASVSLYAAPITTFRRVIRKKSTEEFSCIPYIIGLLNCLIFTWYGLPVVSNKWENFPLVTVNGVGIVLELSYVLIYFCYASSKGKAKVAMIAIPILLVFCITVTVSAFAFHDNEHRKLLVGSVGLCVSVAMYGSPLVAMKKVIQTKSVEFMPLPLSLCTFLACSLWLTYGVLIHDVFVGGPSVIGIPLSILQLALHCKYRKRSVQESNKEDLEKGNLEKVELCELGNGGCGKECDNS >OIV97835 pep chromosome:LupAngTanjil_v1.0:LG14:14081469:14088361:-1 gene:TanjilG_12592 transcript:OIV97835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTEIENAQFTKINDEDDDDISPIEQVRMTVSNDDDPTQPVWTFRMWFLGLISVVLLSFLNTFFSYRTEPLTVTMISVQVATLPIGRFMARVLPTRKFRIGNKQFSFNPGPFNMKEHVLISILANCGGNTAYAISIIDIIRAFYDRKISFFASWLLVTTTQVLGYGWAGIMRKLVVEPALMWWPSSVAQVSLFRALHEKDDGHGLSRGKFFLIALICSFTWYLVPGYLFETLTTISWVCWIFPNSVTAHQIGSGSKGLGVGSFALDWNTIVAFLDSPLITPLSATLNILVGYILLIYIVMPTCYWGLNVYNAKNFPIYSSHLFTAQGMEYDVKGIVNKKFELDMEEYQKQGHINLSMFFAVSYGIGFAAIAATLSHVAIFNGREIYQQWSSRSRKEDIHTRLMKNYKDIPNWWFHTMLIISFVLALILVIFMKDEIQLPWWALIFAASFALIFTPFISVIKATTNQSPGLNVISEYLIGLVYPGKPIANVCFKTYGYISMQQAVFFLNDFKLGHYMKIPPRSMFIVQTIGTLIAGTINVCVAWWMLGSIKNICNTDLLPPDSEWTCPGDRVFFDASVIWGLIGPWRIFGPTGNYPQLNLFFIFGLIGPGIIWLLYKAFPNQKWLLSIHLPVLLGATANMPPASTVNFNSWLVVALVFNYFIYKHYRKWWSKYTYVLSGALDAGMAFMLVLLYFTLNMEGKSLDWWGQSEHCALAKCPTAKGISVPGCPVF >OIV98237 pep chromosome:LupAngTanjil_v1.0:LG14:5132852:5142506:1 gene:TanjilG_09889 transcript:OIV98237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYKLLSSITVSDIESLGISQEAATSLHERVSEIINTHGDDSPATWQSITRSILNPELPFSFHQMLYYSCFKDYGPDPPAWIPDPENAALTNVGQLLERRGKEFLGSGYKDPITSFSDFQKFSVSNPEVYWKIVLDEMNISFSKPPQCILHESLPGESQVSYPGGQWLPGAYINPAKNCLSLNSKRSLDDTIIIWRDELHDDLPVQRMTLKQLREEVWLVAYALEALDLVKGSAIAIDMPMNVKSVVIYLAIVLAGYVVVSIADSFAPREISTRLKISNAKVIFTQDLIIRGDKSLPLYSRVVDAQSPMAIVIPTKGSEFSMKLRNGDLSWHDFLEGVNNLRGKEFIAVEKPVEAFTNILFSSGTTGDPKAIPWSNISPLKAAADAWCHLDIRRGDIVSWPTNLGWMMGPWLVYASFMNGASMALYNGSPLGPGFAKFVQDAKVTMLGVIPSLVRSWRNANSTSGYDWSAIRCFGSTGEASNVEEYLWLMGRANYKPIIEYCGGTEIGGGFVTGSLLQAQSLAAFSTPAMCCTLHILDDDGHPIPENVPGIGELALGPHMFGASNTLLNADHYSVYFKGMPLWNGRVLRRHGDVFERTARGYYHAHGRADDTMNLGGIKVSSVEIERICSGVDSNILELAAIGVPPSGGGPELLVVAVVLKCSDKADLQELRISFNSALQKKLNPLFRVSRVVSLPSLPRTASNKVMGLDSVYTFHCSTYERRQCVGNRAFEKDVND >OIV97687 pep chromosome:LupAngTanjil_v1.0:LG14:15279704:15282005:1 gene:TanjilG_12444 transcript:OIV97687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPDSLNPPGPSIKDQNKLLCVPNNHDSTSFPCRAFFQIHDQRQSGDIRFFGHDDQKGYKRVFHGESSSTHQVYNNLSFVSSHEPVMADPSSACDHNLSMYKIELQEENKSSYESARYMNSKIRLTRKMMSSSTNPSSYKSNSINTRVCADCNTSSTPLWRTGPNGPKTLCNACGIRQRKARKAMAEASNNFTASTDASIASKTKVHHKEKNNKKKNKCKASPTSSVTTTRGTSQGERKLHFKDFDINIRNNSPIQLLRDEEVAQAALLLMDLSSGFVHF >OIV98308 pep chromosome:LupAngTanjil_v1.0:LG14:823837:828234:-1 gene:TanjilG_16635 transcript:OIV98308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKKEIEKKGYGSRWVWMVFALIVVFIAIAMSSKTSQKIASCPCSRGTPTYSGMVEDCCCDYETVDNLNEEVLNPSLQELVKTPFFRYFKAKLWCDCPFWPDDGMCKLRDCSVCECPISEFPESFKKPNRLSKNDLVCQEGKPQAAVDRTLDSKAFRGWTEIDNPWTNDDETDNDEMTYVNLQLNPERYTGFSGPSARRIWDAVYSENCPKYPSQESCQEEKILYKLISGLHSSISVHIAAEYLLDEAKNLWGQNLTLLYDRVLQYPDRVGNLYFTFLFVLRAVTKAADYLEQAEYDTGNPIEDLKTQSLMKQLLYNPKLQAACPVPFDEAKLWKGQSGPELKQNIQQQFRNISALMDCIGCEKCRLWGKLQVHGLGTALKILFSDDGRQNLGQTLQLQRNEVIGLMNLLNRLSESVEFVRKIGPTAERIIEGHPFSYKTLIRSWKRIQSYVLRT >OIV98333 pep chromosome:LupAngTanjil_v1.0:LG14:1147950:1148156:1 gene:TanjilG_16660 transcript:OIV98333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLFRLLKACFSSGNRYDEGSENGRRIFASDEDRGYWIAEPGIDRKASDFIARYYATRLTHSQHQFAS >OIV97635 pep chromosome:LupAngTanjil_v1.0:LG14:15629548:15631892:1 gene:TanjilG_12392 transcript:OIV97635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTGTSIASVVVLATNQSMFASCGRYPYHKSCYRPKCDVCKNIVPSNPLGSLTNPFWKQESCPSHAHDGTPRCTCCFRFQPRGTKGYIDLHDANRKLCPECMDSAIMVTNKCQPLYAEIHQFYEGLHMPVNKRVPLLLVENEQLYAMVSNKSLDIKGVCVRYMESTINIVTKQHTTPEKRTRPFKLTLDNGIVSGILILFGLPRILTGFMIAHEMMHAWMNLSGYEPMSEEVEEGISQVMGHMWLECELSSSSSRNGRWSNYERKLGEFCKYQVEVSPCPIYGNGFRKAHQAVQKFGLKKTLQHMRRTGNFPF >OIV98177 pep chromosome:LupAngTanjil_v1.0:LG14:7417185:7426487:-1 gene:TanjilG_11574 transcript:OIV98177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKTKKAGKGKEKTEKKTAKAEEKKARRDSKKLSPEDDIDAILLSIQKEEAKKKDVHVEDNVPAPSPRSNCTLTVNPLKETELILYGGEFYNGNKTFVYGDLYRYDVEKLEWKLVSSPNSPPPRSAHQAVAWKNYIYIFGGEFTSPNQERFHHYKDFWMLDLKTNQWEQLNLKGCPTPRSGHRMVLYKHKIILFGGFYDTLREVRYYNDLYVFDLDQFKWQEIKPKPGAMWPTGRSGFQLFVYQDEIFLYGGYSKEVSSDKSTSEKGIVHADMWSLDPKTWEWNKVKKSGMPPGPRAGFSMCVHKRRALLFGGVVDMEVEGDVMMSLFLNELYGFQLDNNRWYPLELRKEKSTKDKVKKNEQKCTPREETEDPEYEESNIDDISHNIASNMTIVDSETLIKSEGKPTESGAKSDTQSSSPEVVKPCGRINSCVAVGKDTLYIYGGMMEIKDQEITLDDLYSLNLSKLDEWKCIIPASESEWVEASEDDEDDEDEEDDSEDESEGDGTSDENEEDDEEGEEAPNASVQVGEAVSLIKGGGKNLRRKDRRLRIEQIRASLGLSDSQRTPLPGESLRDFYKRTNMYWQMAAHEHTQHTGKELRKDGFDLAESRYRELKPILDELALLEAEQKAEEAEGPETSAKKRGKKKTRN >OIV98466 pep chromosome:LupAngTanjil_v1.0:LG14:2555075:2558699:1 gene:TanjilG_16793 transcript:OIV98466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTKKKTSKQNASQECGSPRVSRALGKKVTDLITSSARKSKPVSTLGSKTGDSIPSIDLSTGYEFMDHGISNVCLENDEVDGTSVDFLGCNKQAVNLESGTIFSPGFHLSRGSGGKPVDRVDFVTIFKNEDQKRISPGQEMELSRENFLDVHVSEDTAMEVDINNSSNYSNIPRCDDDLVALGTKDMNDCNSDFGGNGGVSMEVSAIYLATKNSKLECVDEHGQDSISELCEEDDEFEDIDDFDPYLFIKTLPDLSTVVPTFRKLLLPKQTRSCPRTTLVLDLDETLVHSTLEPCEDVDFTFPVNFNCEEHIVYVRRRPHLQDFLERVAGLFEIIIFTASQSIYAEKLLNVLDPKRKIFRHRVYRESCVYVEGNYLKDLSVLGRDLSRVIIIDNSPQAFGFQVDNGIPIESWFDDRSDQELLLLLPFLESLVGVDDVRPLIAKKFNLREKIAVAAQPLNTNGRDFLSV >OIV97839 pep chromosome:LupAngTanjil_v1.0:LG14:14025219:14027811:-1 gene:TanjilG_12596 transcript:OIV97839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATFELYRRSTIGMCLTETLDEMVQNGTLSPELAIQVLVQFDKSMTEALETHVKSKVSIKGHLHTYRFCDNVWTFMLQDALFKTEDCQENVGRVKIVACDSKLLTQ >OIV97702 pep chromosome:LupAngTanjil_v1.0:LG14:15173616:15176046:1 gene:TanjilG_12459 transcript:OIV97702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGELFLVNKFSMSGHETAIDKNHDVCWYEEVIDDDLKWSFALNSVLHKGISDYQQIALIDTKRFGKALVIDGKMQSAEIDEFIYHECLIHPPLLCHPNPKTVFIMGGGEGSAAREVLKHKSLEKVEVVDFCRKYLTENKEAFSHKKLDLVINDAKAELEKRNERFDIIVGDLADPVEGGPCYQLYTKSFYENILKPKLNANGIFVTQAGPAGILTHKEVFTSIYNTIKHVFKYVVAYTTHVPSFADTWGWVMASDQPLFIGAEEMDKRIEARINGELLYLDGNWFHSSTTMNKTLSRSLLNETLIYTEENARFIPGHGVAYNL >OIV98073 pep chromosome:LupAngTanjil_v1.0:LG14:10552606:10556532:1 gene:TanjilG_09566 transcript:OIV98073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKEKKLETMEEVISSINHACTLARNLLDLPYLANQAATLSLSIGEIIKTLSDTKERLMILSQHDHTSKPSFAHEIVHQPQMGATSMQEWLSSSYTLTMDALLQAPRSTIPDDVKTLTETNMMDKDAMEALPSRSRKSRKVDLEKRTTMVPAPQFGNTEIPPEDGFTWRKYGQKEILGYKYPRCYYRCTHQKLYGCPAKKQVQRLDDNPSIYEVAYRGNHTCHMSSTAPLSLPPQQGGGGLAPAAADAGGGPSTSRFGADYPVVDMADAMFNSGSSSGNSMESLFPPTD >OIV98266 pep chromosome:LupAngTanjil_v1.0:LG14:2978053:2982805:-1 gene:TanjilG_09900 transcript:OIV98266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMNNSIVSKALILLCLTSIVVLTLGHAHPWLPSETPKKLALKLSLDNQTLSLASSDFGFIVHETPLAVFQPSSVNDIIDLIKYSNSLPIPFTIAQRGQAHSTHGQTMTHNGVVLNMTRLGDIKNGSRIVVYDEYVDAGGEQLWIDVLSATFKHGLTPFSWTDYMYLSVGGTLSNAGVNGRMFRFGPQISNVLELDVVTGKGDLVKCSPVNNSELFYAVKRLRLLYNEFSAFTNDQEHLISFHERNDTRSADYVSGYIIVNQAPLDLSFYPAHYQPRITSLVTKYGIIYSIELVKYYDNNSQTHIKEEVASLLKGLNYIPRFAFEKDESYEEFQNRLHTTELEFTSKGFWDVPHPWLNLFIQRSRISDFNEGVLKGIILKQNISVGTPSFYPTNRNKWDYRMSAVTPNEDIFYVLGLYRSSGFDKVEVEAHEAQNQQILQFCKDVGIEIKEYLASYKTHEEWMEQYGSKWQLIEDRKAKFDPKRILSPGQRIFN >OIV98431 pep chromosome:LupAngTanjil_v1.0:LG14:2279929:2282197:-1 gene:TanjilG_16758 transcript:OIV98431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFKRRNGGRNKHGRGHVKFIRCSNCGKCCPKDKSIKRFVVRNIVEQAAVRDVQEACVFEQYTLPKLYVKLHYCVSCAIHSHVVRVRSRTDRRKRDPPQRFIRRRDDAPRPGQPGQAPRPGQPGQAPRPAGAVGAPPRA >OIV97933 pep chromosome:LupAngTanjil_v1.0:LG14:12990512:12995430:-1 gene:TanjilG_12690 transcript:OIV97933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEQNGSGKFSTGLHKLKPYLGMVSLQFGYSGMYIITMVSFKHGMSHWILSVYRHVIAAILIIPFALVLERKIRPKMTLPIFLRIVALGFLEPVLDQNLYNMGMKMTSTTFASATTNVLPAITFVMALIFRLETVNMKKFHSVAKLIGTAITVSGAMVMTLYKGPAFRIIKGGGAISNYASATATEPSGQHQVLGTLELIASLCGWAGFFILQSFTLKKYPAELSLTAWICVMGIIEGSIASFIFERDLSVWVIGWDSRLLACVYSGVVCSGMAYYVQGVVTRERGPVFVTSFSPLCMIITAALGSLVLAEKVHLGSIFGAILIVLGLYTVVWGKSKDNPIDIGKEGQELPIKDGTKSGSENLGIIEINSHGDHMLKKEARKNDPSAPRP >OIV98453 pep chromosome:LupAngTanjil_v1.0:LG14:2466049:2470646:-1 gene:TanjilG_16780 transcript:OIV98453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSLLPNIITSAPLLNRKNNPLFHRPHSNAFPGSTKHFLRGSLSVARFGFHPGFLPEPDNAGHVITQLFGRAENLLYTIADAAVSSSDTVAATTTTAKQSNDWLSGITNYMETILKVLKDGLSTVHVPYAYGFAIILLTVLVKAATFPLTKKQVESGLAMRSLQPQMKAIQQRYAGDQERLQLETARLYKLAGINPLAGCLPTLATIPVWIGLYRALSNVADEGLLTEGFFWIPSLAGPTTVAARQSGSGISWLFPFVDGHPPLGWADTSAYLVLPVLLVVSQYISVQIMQSSQPNDPNMKTSQALTKVLPLMIGYFALSVPSGLSLYWLTNNILSTAQQVWLQKLGGAKNPIGKIQDDIVKDELSQVQKSVAKLDSVKTEAVKQTEEKLTSNGPQPGERFRLLKEQEKLRKQQREEEKRRVEEAVAKATKTVEEGNQAGDDLVVEKSQVVAADTDPSTSGVVVNGNSLSKSLEENQNPTSTPQTENNEGSAHVNVVGINEQSQDKVLLDISIGHFS >OIV97704 pep chromosome:LupAngTanjil_v1.0:LG14:15160521:15163923:1 gene:TanjilG_12461 transcript:OIV97704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMQVSGLTRAVKRASALFESRKSDDEEEEEACYSTTTSSSSIGNDSDADATSSETENEENEAHSSYNGPLDMMDSLEEVLPIRRAISKFYNGKSKSFTSLADSSMEDIVKPENGYTRRRRNLMAFHHGWDKNRNFPLRSNSVSGGICKRTISSSRSTLALAFALNYDSCSSCTSEGSTSSSNSRSPSPCLPPLHPRIRVSGASAGPSSPFHQSLSSSWRSFSFADLQHCATAATIKLPASQLANRRGSKFSSYERIAAIGLVVLAVASPLFIDRKPEGDLEEDEQAIGIAFPLPLLLFLLISAIAVSTVLDRDFTRFDREWIHRVGGSSAGIVVVLTVLFLILKCKSSL >OIV97715 pep chromosome:LupAngTanjil_v1.0:LG14:15080434:15084533:-1 gene:TanjilG_12472 transcript:OIV97715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNQIAPTSNNDRSSTRMCWIPSMERYFIDLMLEHLHKGNRVGHTFNKQAWTDMVTMFNAKFGSQYDKDVLKNRYTNLWKQFNDVKNLLCQSGFSWDVSRQMVVAEDVDWDNYLKAHPDAKCYRTKPLLNFDDLCVIYGHTVADGRYSLSSHDVCVDDEVNGMNFGDGMGSIAVSNNERPRTDWSVAMDQYFIELLLDQLSKGNRVNNLFNKKAWTDMLAMFNAKFGTRHAKRILKNRFRKLFKYYRDIKVFLKQGISWNEQERKLSADDDVWDAYVKAHPHARTYRFKTLPNYRDLELIFKDLSDDEISSLHQEQNLKDVTSEIKAGEGKGRRNPSGTDRTRTYWTPPMDRFLIDSLLEHVKSGNKLGQTFFTQAWNDMVTSFNEQFKSQYDKDILKNRYKHLRKQFSDVNNLLQQTGFSWDDTREMISAKDHVWDAYIKAHPEVRSLRVKTLPGYNKLCVIFGEEPSDTRYIRLSHKAQPISESPMLIMDEQKNDTFPNVYDNASTMEWTESMQRCFVALMIEQVNRGNRNGYLFNEQAWTDMTQAFSAKLGLQFDKQFLLDQYFRLMKQHDDITILLSHTGFAWDETLQVIIAENDTWDAYIKDHPDVFSYKNRFLHLYQDLCKVFGNKVSDIRVSDLEHLHLMDMKDITFEMDGTSGDFVVLNNAGTSTPVIDNSRGMDMDGSSGNLVVNGNTEISYQDKESPTEILMFGSSGNFDVTSNNEVSNQDTERPREMDKDGITGNLVMTGNAQILSGDKKRLNQMNRNLVASGSNTKISDGVKKRPTTTPLDSGPPKKNVRMKEALSEMTSAVKALMNKKEKNNTSLENALTALQALPDIDDELVMDACDLFEDERKANIFLALDISLRKKWLLRKLRQ >OIV97558 pep chromosome:LupAngTanjil_v1.0:LG14:16108034:16111389:-1 gene:TanjilG_12315 transcript:OIV97558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQEYQIQNEENEENEENEENEENEENEENEENEENEENEENEENEENEENEENEENEEQLLRLQSLPQNDKLVLQFMDSLHNYLSLFDALSSTLRQGWFDLASARHLMGASRINSRLLDLNFHSASTTFKITDYDGTQPSFTLRKWISSEHESAQFEDENKQSQDNNEVTIAKLSNDEQGWFDLASARHLMGASRINSRLLDLNFHSASTTFKITDYDGTQPSFTLRKWISSEHESAQFEDENKQSQDNNEVTIAKLSNDEQVQKERAKSLSVFGIFISPKLRDSQLSFEKALETLVEIANVRSSLLYSFHQLHQEVEDTKE >OIV98300 pep chromosome:LupAngTanjil_v1.0:LG14:779273:781491:-1 gene:TanjilG_16627 transcript:OIV98300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAITHPTSYNSIFLFLATFNFFFFFEYSSATYINVINYGANPNGQFDSTMSFLRAWSSACKSKEPSTIYVPQGIFLLKQVTFWGPCMNKIDFRIDGTLIAPLDYWSLGNSGYWILFMKVNRISIYGGTLDGKGPSYWRCRRGRRNCPVEARSISFSWSNNVMVNGLTSLNSQTVHIAIDHCNNVVIQDVKIRAPSGSPNTDGINVQFSTGVTISHSTIMTGDDCISISQGSTNVWIERIACGPGHGISIGSLGTNVKEVGVENVTVTDSVFTKTENGVRIKSWAQPSNGYARDIVFRNLIMHNVYNPIIIDQRYCPGRQCPHQSSGVKISKVSYEHIRGSSASPASINLDCSESNPCLGIKFEDINLTYLKGSAKSSCRNAAGNTIGVVIPRGCL >OIV98449 pep chromosome:LupAngTanjil_v1.0:LG14:2441587:2442501:-1 gene:TanjilG_16776 transcript:OIV98449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRQKNLEEKDLKRKHPEEVDESSFSSFLRPKTSYPNDLTPHRHIFPSCPLTLEPSFQDHVIISPRLGFSPSVEELLSTKLPTKPQVTVIDFMGLSSPPPPQEAVAVTVTDPPNSTSILNPPPSPRSSRRNPTQGLRQQGKSETITPPFPWATNKRAVVHDINYLMRNQIHTISGDVYCKRCDQKFKMEYNLMEKFREVWKFIAENKNAMHDRAADKWMNPMLPTCMHCGQENSVRPITQDVKKKSMNWLFLLLGQMLGCCTLGQLKYFCKHTKNHRTGAKDRVLYLTYLALCKQLYPQGPFDR >OIV98251 pep chromosome:LupAngTanjil_v1.0:LG14:4011293:4015660:1 gene:TanjilG_14840 transcript:OIV98251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVISVPTRRKSLAEKVFKRRYNNNMRQRIKCGHETQGMELLQIGAEKTKNVLILMSDTGGGHRASAEAIRDAFLMEFGDQYKIFIKDVWKEYTGWPLNDMERSYKFMLRHVQLWNVAFHSTSPKWIHTIYLAAIAAYYAKEVEAGLMEYKPDIIISVHPLMQHIPLLVLQWQGLLKKVIFATVITDLNTCHRTWFNPRVNRCYCPSQEVAKRALLDGLKESQTRVFGLPIRPSFARAIISKDQLREELEMDPNLPAVLLMGGGEGMGPVKETAMALGESLFDEKTKKPIGQIIIICGRNKSLASTLQSLEWNNIPVKIRGFETQMEKWMGACDCIITKAGPGTIAEALIRGLPIILNDYIPGQEKGNVPYVVNNGAGVFTRSPKETAKIVTEWFTTKSDEMKRMSENALKLAQPEAVFNIVKDIHELGQQCRKPLVTFPYKLTTSFTTIV >OIV97197 pep chromosome:LupAngTanjil_v1.0:LG15:7040586:7059006:1 gene:TanjilG_28948 transcript:OIV97197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKHKKIDSYFKRKPSDKDNEDDIASISLPQQNSSFGQVVQPDQQPSKVQKVSIGEHDINSLERDPGKRPQIWEYPVNQRDEIRRAYLNWGPYQCDVEDYPLNKDKNPRRFQSTWLKMFPSWLEYSIVNDAAYCLPCYLFSNKPSAQAGSDVFTRKGFRAWKKVNAGKNCAFLIHLGGSPHNNAIKACFDLLNQSGHIRNIFNVQSSDQIKQNRLRLRSSIDSIRWLTFQACAFRGHDETPQSKNRGNFLELIKLLASYNDELAKVVLENAPYNSKYTSHIIQKEIMHIMSSKVRRYIRDEIGDSKFCIVIDESCDESQREQMAIVLRFVDKNGYIQERFFDIVHVKDTTSITLKKAICGVLSQHNLDVSNIRGQGYDGASNMRGEWNGLQALFLKDCSHAYYTGPYEITTRWTMVMKFRLLPWKPALVFTGISVMGVNPENGKFCSHVDFWDSIQKNDYFSLEGLLEVIKQVRQYDPFIVVETNGDKLSGSTGFNDVAGYIFGKNSTTEKIPMTTPVFTEAIDTELSKVSIQIVLPSDKERESLPDPKQETVSLRKVEGGIAAVAKFSGKPADDIVREKEKTLRSNIIKDGLKPQIGCLFARYNDPGRTWSFIMVWYKTCLNAVN >OIV97150 pep chromosome:LupAngTanjil_v1.0:LG15:4972581:4976670:1 gene:TanjilG_28901 transcript:OIV97150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPNAGTIPVVSHVRLPSLPVSTSATDSGEFDIEQLHTPPPSEITDPGLLASITQAISDVSQARSVLSLLGPRPIHEDVDNARAKLAEIEAELAIEKYEEIVLQPTPGEIEGDNWRAQQDQKERERRELAEEEKQIYKSLVQLDEMHDALEKLLRDAEKRLEKIYESADGRDGGNGVDDGDGNDVDEVVVGILQEAHGKGIERVILSGRGLRLLPEAFGRIPGLVVLDVSSNLLSAIPDSIAGLENLEELNLSSNLLESLPDSIGLLQKLKFLNVSGNKLNALPDSICQCRSLVVLDASFNNLSYLPTNIGYELPNLEKLMIQLNKIRYLPSSVCEMKSLRYLDARVNELHGLPIAIGRLTTLEVLNLSSNFADLKELPETFGDLTNLRELDLSNNQILALPDTFGRLDNLTKLNLEHNPLELPPLEIVNQGVEAIKTFMAKRWIDILAEEERKSSHEMQVQEQSSWLTRSTSWLKSISGNVIGHFGSSKSSYKPPSDAFLHQQL >OIV96279 pep chromosome:LupAngTanjil_v1.0:LG15:20867072:20868411:-1 gene:TanjilG_05119 transcript:OIV96279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVGLCVVVSPPKCSISSSSSSSNNNNNYRPAVILPGLGNNSSDYKKLEETLKDKYGVTTVVTKVSRLDWLRNASGLLDSNYWRGTLKPTPILLWYLNRVHDAVEEAIQLSSSNPTPHISFIGHSAGGWLARLYIQQFPHSLSHISLLLTLGTPHLPPPKGLPGVIDQTRGLLHYVQQNCPKPLHNPHLKYVCVAGRYIEGAPLFGKSNPNIDSLLPTNAESAIISTTTTSPPDKITLRARFVGQGYKQVCGKAQVWGDGIVPQVSAHLEGAINISLDGVYHSPVGSDDDFRPWYGSPAVLDQWVQYLLN >OIV96889 pep chromosome:LupAngTanjil_v1.0:LG15:13000741:13003443:-1 gene:TanjilG_00471 transcript:OIV96889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALVCRELGDPTLSIEDKSSPIIVSKNHPIPQLNSPTSVRVRVKATSLNFANYLQVLGKYQEKPPLPFIPGSDFSGYVDAVGLKVSNFKVGDPVCSFAALDSFAEFIVVDETQLFQVPEGCDLVAAGALAVASGTSHVALVHRAQLKPGQVLLVLGAAGGVGLAAVQIGKACDAIVIAVARGAEKVKLLKSLGVDHVVDLTSENVIPSVKEFLKTRKLKGVDVLYDPVGGKLTKESLKLLNWGANILIIGFASGEVPVIPVNISLVKNWTVHGLYWGSYRIHRPAVLDDSLKELLSWLAKGLISIHISHTYRLSEANLAFTAIRDRKAIGKVMIVFDEKSTRSKL >OIV96803 pep chromosome:LupAngTanjil_v1.0:LG15:15847843:15850329:1 gene:TanjilG_08664 transcript:OIV96803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCKFLIGVLSLLWLTWVSSGGGGGDDENNIILIDCGSTNDTLVAGRRLFLADHNTTTNSSYFLSTSSHFILAKANFTTIPSSSSFDSQLYLTARILTTVSQYTFPIKTHGRHWIRLYFFPFTFQTYNLSTAKFSVSAQTTTLLKNFQIQSGSSLREYSLNITSDQFVLTFTPSFNSFAFINAIEVLSIPDKVIAKNVSIVRPQENDGNDKNLWTKALETVARVNMGNQSVSPLNDTLWRLWLSDDRYVMHNNLVDFVSNVAPVNFTETNMTEDIAPSKVYGTATRLSTGSDPRTNANVTWHFDVDPGFDYLVRFHFCDIVNLPANSLFNVYVNSWLVSTVDLDKETSNKIGAPYYMDAITRASSGSRSFNITVGTYSISEASSPEAILNGLEIMKLSNSKDSLVFDSDSGKSTKTKVGIIVGIVTGSVVGFIAITVMALLLLCRRRNSIDVGMSKEAGNTYDGTAIFSSSKIGYWFPLAVIQEATNNFSEDLVIGSGGFGKVYKGVLKDETKVAVKRGTSQSQQGLAEFRTEIEMLSQFRHRHLVSLIGYCNEQNERIIIYEYMEKGSLKNHLFSGSPCLSWKQRLEICIGAARGLHYLHTGSDKSIIHRDVKSANILLDDNLVAKVADFGLSKTGPEIDKTHVSTAVKGSFGYLDPEYLIMQQLTEKSDVYSFGVVMFEVLCGRPVIDPSLPREKVNLLEWVMKWQQERNTLIEEIIDPCLLAVSGNQTKLESVQKLVEIAKKCLSENGINRPSMGDVLWHLEYALQLEAVGERSNHVGDESPSQMYQRSDTRLSSMECSMGSIADLSGVSMSKVFAQMVKEEMQ >OIV96696 pep chromosome:LupAngTanjil_v1.0:LG15:17453368:17454987:-1 gene:TanjilG_09238 transcript:OIV96696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWTNFQALEEISLIKVEAKAGQTSSQDCFSHPLQSNMRNFFGFRVAGFLVVVLHGININSYCNVECIPSTSWHCKDRETISETMVSNEQMTMTCMDNGNFRVFPFTNISSARFNDLGSLSFSFHPIFKARVIAMSPKTVGTTFPAPLNFGSFSF >OIV96539 pep chromosome:LupAngTanjil_v1.0:LG15:18982910:18983395:-1 gene:TanjilG_24192 transcript:OIV96539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTLMATTSILLSSGLAALISSTYSVKKPLQLNETVYGAHGEFIVALKYVTLLTIFLFSFFCHSLSIRFINQVNILINIPQDPMSLVTPEYVNEILEKGFILNTVGNRLFHCALPLVLWIFGPVLVFLCCLIMVAVLYNLDFVVTSGKRNMDVNDNRDHV >OIV96603 pep chromosome:LupAngTanjil_v1.0:LG15:18278308:18283522:-1 gene:TanjilG_28460 transcript:OIV96603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKPNKNPKKLFFSEEDAATLIQRNDATTVLTLLQEIAHSPNSKIDWNELVKKTSTGISNAREYQMLWRHLAYRDALPENAAAPAEPLDDDSDLECELEALPPTSADSVSEATACAKVMIASRMPTIPSSSTIEAPLTVKVPVSHSSRTHNESSEPSNLMQGMNIIFPVTVQRTPLPTVSSTEGIETRGLGGGNMASKRKRWTEEEDNQLRAAVQRWGEGNWETMAKRDSFTVKRTPPQLSQRWTHLRKKDGCTTTNPGTNSVQNRRSTAEQLAMNNAVSCALDPPSNTLFKKLNAPGMTNPASYNKSIKSPAQPTGTAEVPIVLNNLAPTQNPSQKVVLGSCDYPAKSKSILEETRVKCNPTPDSTIKANTVASGTQIVSSLNNVSQFKVAQTTTSSLAKSTIPVALHSNSKLPNVRTDSSVSPALFPSKSAAFVTSPASCSSTIKYVSSTLKNSPVALSRLSEQDKHLTSVVNKVPLPLKQEAIVTELKVPVASPTTIVKVQADETCTITTNNHHMLVHSNLNKGRQDMIQDKSINLPFNKGGETSMKSISGEISKDKAVSQNSGECQDQGTVKTENHQIATDSNLDRGVKV >OIV96924 pep chromosome:LupAngTanjil_v1.0:LG15:13542125:13544936:-1 gene:TanjilG_00506 transcript:OIV96924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGINVLDELFRSMQIEKKKVKVPPNWLNHLRAKKGKATTIVVVVVDDEVEVVDPVALANLIAPSDLVASIYLATPAEGDHHQGEDPPVPMVEVPPHFLIQPIQPTFQQVEEEGEDEEEEEEEGGGGGGGEEEEEGEEEEEGEEEEEEEEGEEGEEEEEEGEEEEEEEEEEEEEKGEEEDEEEEEEEEEEESDIIELEGIDHALLDQLDEEKEEEEEGEEEEEEEGEEEEEEDEEEEEESDIIELEGIDHALLDQLDEESESSVNG >OIV96688 pep chromosome:LupAngTanjil_v1.0:LG15:17360737:17369130:-1 gene:TanjilG_09230 transcript:OIV96688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASVHNRQYTVLQPPINVVSRTFNPKMSPQPLLHSDNMDNDSSSDDENDDVVYLNDLVSKGTTEIETSIYDPRDDGTPDHWIQRNTTLIRLTGKHPYNSEPPLPRLMHHGFITPVPVHYVRNHGPVPRIRWDDWTMEVTGLVNRPTQFTMDQLINNFPSRELPVTLVCAGNRRMEQNLVKQSIGFNWGAAAVSTSVWKGVPLYSVLKQCGIYNRTKGALYVCFEGADDLPGDGGGTKYGTSIMREAALDPSRDIILAYMQNGERLIPDHGFPVRIIIPGFIGGRMVKWLKRIIVTTQQSDSYYHYRDNRVLPSHVDAELANAEAWWYKPEYIINELNINSVITTPCHDEILPINSWTTQRPYVLKGYAYSGGGRKVTRVEVTLDGGETWHLSTLNNEEKPNKYGKYWCWCLWSLEVEVLEILGAKEIAVRAWDEALNTQPQKLIWNVMGMMNNCWFRVKSNMCKPHKGEIGIVFEHPTQPGNPSGGWMAKERHLEKSSYTSTTYLKKSVSSPFMNTTSKTFSISQVNKHSNPDSAWIIVHGQVYDCTTFLKYHPGGKDSILINAGTDCTEEFDAIHSNKAKEMLESYRIGELVTTGYTSDSSTSSPNNTVHGNSEFTHLATIKEVTVPSQSIALNPREKIPCKLVSKTSISHDVRLFRFALPSNAQLLGLPVGKHIFLCATINDKLCMRAYTPTSAVDELGYFDLLVKIYFKNVHPKFPNGGLMSQHLDSLPVGSFLDVKGPLGHIQYTGKGNFLVHGEHKFAKRLMHNDSLDFHHDPAASVRLSQHNSLTSVKDLDMLHQLDSQGSVTMSIMTHHSRSDGMDVGHHSDLDTTYHSQSEGLDQAHHSRFGVAHHSRKEELNMVHHDGHLTRSRCIGNVDHDRPREQVISRARREARHDQKFQGMMNICWFRVKKNVCKPHKGEIGIVFEQQPNHESKSGGWIAKEGHLEKLSNTSTPSLK >OIV97499 pep chromosome:LupAngTanjil_v1.0:LG15:304078:307700:1 gene:TanjilG_11023 transcript:OIV97499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYATEFPHSSMDRRPRKKPKLAWDLHQSNSKAHSGIYYGQEVANVTSFETPRVLPEHAGLFVKGLAEKGSPQWRDDDKDGHYVFALGDNLTSRYKILRKIGEGTFGQVLECWDRETRELVAIKVVRSIKKYREAAMVEVDVLHLLGRYDRNGSRCVQIRNWFDYRNHICIVFEMLGPSLYDFLRKNNYGPFPVDLVLMHDLRLIHTDLKPENILFISSEYVKVPDYKVTFRSPKEGTSFKRLPKSSAIKVIDFGSTAYEHQDHNYIVSTRHYRAPEVILGLGWNFPCDIWSVGCILIELCSGEALFQTHENLEHLAMMERVLGPLPQHMLKRADRQAEKYVRRGRLNWPEGAISRESIKAVLKLPRLPNLVMQHVDHSAGDLLDLLQGLLRFDPSSRLKAHEALRHPFFTGERYQRLR >OIV96875 pep chromosome:LupAngTanjil_v1.0:LG15:12790327:12792638:-1 gene:TanjilG_00457 transcript:OIV96875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLPVGLNKSSSTFESLTESSLELSFTKSKSCTGNLRLKLDLNFQICVHDVRLKLERETFALNATAKDHVWAIKKRLRSNGGTDSSAKIPTPLIDGKEKITGAITVVNENLSSPIKSNYDLELMAKNKEFSILSATQSLGPALNDVSGAEFLHGNKGKATLMHSTPMHLHVKTPHTNLSTRFSRVDGVDFLEFGEIDERENGKESMEDMVASDKANEVCDNDRMLDPMDNLDEMVGLNSKRSRVAPVWMADYVSK >OIV96861 pep chromosome:LupAngTanjil_v1.0:LG15:15024906:15029378:1 gene:TanjilG_08722 transcript:OIV96861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNSTQLNNNNDDTPFLSGGGGVRATFSSLMAATAIRSSSVVIFSAVAGIAVVFFYGANSYNLDSTKAERDVIKNQNRKEYEKLRRQCRQLLKQSNGSFKLNESGEISYEGDGASLVQDSGSPNSEDATSARESLSSEERSPDTEYSDDPSSALLEGYDVLNISNADISALDTDSSDSDSSEGLEVIQALPSDGVRGENNSKRISKVNSSLSPRKATSKLRTNEDFPTWQRIIRLDALRANAEWLPYSPSQATVTDSRAHRSAEAVGLKDYGHLEASRIFHAARLVAILEAYALYDPEIGYCQGMSDLLSPIVSVIPEDHEAFWCFVGFMKKARQNFRLDEVGIRRQLDIVAKIIKFKDAHLFRHLEKLQADDCFFVYRMVVVLFRRELTFEQTLCLWEVMWADQAAIRAGIGKSAWSRIRQRPPPTEDLLLYAIAASVLQRRKLIIEKYSSMDEIIKECNGMAGQLDIWKLLDDAHNLVSTLNDKMKLRRNSDE >OIV96675 pep chromosome:LupAngTanjil_v1.0:LG15:17218743:17222419:1 gene:TanjilG_09217 transcript:OIV96675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKKNRFYVVSALADTKVDLKVLSQRLGLGKGGLRMAPEEALGEILQVPLGSVTPFALVNESARDVSLLLDQGFKTQEHCFFHPLSNDVSISLNARDLDKFFKAIGRDPSYVDLEANPKVGKDEPPDLAALVPSGSIVLPDQPEKQPSQVPKDGNHVSGDNKSKTGSAKVVKPSGSEKNTKGTPVKNVHSPSPVADAEQLVQEILRKTSELLLSQIKEETIKQHGDQLGTVLSDNLKKSLGSDFKNLCTMFKNTAYTEGFHAGTQHHPRH >OIV96722 pep chromosome:LupAngTanjil_v1.0:LG15:17761025:17761372:-1 gene:TanjilG_09264 transcript:OIV96722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSNIKGVTNGFPLRIEVVKVEEKTVEMNTDFLKNMFVKIDWKVLVEASRALGYAELPEEGDSSFLESDEFLSRFHHALLELHLEEGALICPETGRRFPVTKGIPNMLLHEDEV >OIV97450 pep chromosome:LupAngTanjil_v1.0:LG15:583343:587199:1 gene:TanjilG_16211 transcript:OIV97450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSPSISSSHLQLHHHLQPFSSFPSNLSLLKLKRLGLVKNRLVARCSSGSVNDFQFTPNKLFMQEAIGAEYGEGFETFRADGPLKVDVDYLNEKLQDSFLKRIRYAMKPDEAYGLIFSWENVVADTRVLKRKAWKQLASEEGKDIPEDGDTQRLMLYGGADQVLHKHFLSDKAENELDRLKSRFSQLYYDNLLKLERPKEGLKDWLEAVNTACIPCAIVSSFDRRNMVEALERLGLSNYFQAIVTEEDGMESIAHRFLSAAVKLDRKPSKCVVFEDDPRGVTAAHNCTMMAVALIGAYPAYDLGQADLAVANYSEISVINLRRLFANKGSTFMELQKQIIEKTPPKRKLTIDTIF >OIV97284 pep chromosome:LupAngTanjil_v1.0:LG15:2640461:2646140:1 gene:TanjilG_07036 transcript:OIV97284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKKEIEKKSYGSRWVKVVVVLVAVFVAIAMSSRTSSNIAFLRAIDRACPCSRATPKYSGMVEDCCCDYETVDHLNEEVLNPSLQELVKTPFFRYFKAKLWCDCPFWPDDGMCKLRDCSVCECPESEFPESFKKPYRLSLNDLVCQEGKPQAAVDRTLDSKAFRGWTEIDNPWTNDDETDNGEMTYVNLQLNPERYTGYTGPSARRIWDAVYSENCPKYPSQESCQEEKILYKLISGLHSSISIHIASDYLLDEATNLWGQNLTLLYDRVLQYPDRVSNLYFTFLFVLRAVTKAADYLEQAEYDTGNLNEDLKTQSLMKQLLYNPKLQAACPVPFDEAKLWKGQSGPELKQNIQQQFRNISALMDCVGCEKCRLWGKLQVLGLGTALKILFSDDGQENLGHILQLQRNEVIALMNLLNRLSESVKFVREIGPTAERTIEGHPSSYNTLIRSWKRILSYVLKT >OIV97462 pep chromosome:LupAngTanjil_v1.0:LG15:61412:63756:1 gene:TanjilG_10986 transcript:OIV97462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLVELEEVEGLRWSWNSWAVTRKSEESLIIPLSIMCSPLSKRNDLIPFLSYDPLLCSVCGAVLNPYARLDYQSRIWHCPFCFNRNPFPRSIHHTSLPAELFPTYSSVQYLNLQSSTTTTTTTTPAPAFVFLVDMSSSQDEILSLKNELLFVLDQLPDNVLVALLTFDSNVYIHDLAYSQCSRVLMLHGHRQLSYHQTRQFLNITHTHQQQQQQQGFLLPISECEFNITSAIEDIHSTSNVSSATRPLRSTGAAISAALGLLESCAINTGSRIMLFTSGPCTVGPGAVVGSDFSHSMRTHQDIINGNAQARHHHKSCAFYKQVSNRLSDASVVLDLFACSLDQVGAAELRDPVEHSGGLMILADSFESDQFRKCLRHLFKRDDDGYLKMNFDATIEVVTTKDVKICGALGPCVSLRKKNSLVSQTEVGEGGTYMWKLNTLTDKTCIAFFFEVNDEHKIQPGSAFLIQFITRYRQGNMMGLRKRVTTAARRWVGDHSTDIAAGFDQEAAASVMARLAILRAETCYARDVIRWLDDTLIHFASKFGDYVPEDPSTFRLSTNFSLYPQFMFHFRRSQFIDVCNTTPDETAFFRLMLNREGVVGSLIMIQPTLFQYSFDGPPVPVLLDIRSISPDFILLFDSYFHVVIHYGSKIAQWRKLGYDKDPNHENFRKLLEAPELDAEQLVADRVPVPKIIICDQHSSQARFLLAKLNPSVTQNSTYTEGSDIIITDDLSLQVFLDHLQVLAVQG >OIV96914 pep chromosome:LupAngTanjil_v1.0:LG15:13354280:13355400:1 gene:TanjilG_00496 transcript:OIV96914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLVGPLVLSPDSGYRVWEDPSFIKWRKRESHVTLHCRDSVEGSLKYWYKRNQVDHLVSKSAVWNDDAIDEALDCCAFWVKDLPFVKSLSGHWKFFLADSPTLSLIKFLKVISRIWSGNFCLVCL >OIV97306 pep chromosome:LupAngTanjil_v1.0:LG15:2424503:2425378:-1 gene:TanjilG_07058 transcript:OIV97306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNGRVPSPLQPLSFYSYDRPNQSYRRVVADSTFPVTISVCNGIKLFFTITNHSLSIISPNDNEPYLHHLNNDHHNNAPQNSVPHYNNGLQHHNSNGAQHHDSNGAQYHDHNGAEDGFLFLDMNHFLNINGAQDEILFLSDQNNNGAQDNDLIHEEEDDDDDEEEDEEGDIEFHALVLPHLPALPAYIHITSNNRTFALLVTVNNTIHVINQALPIFPPHNNDGNYHVINKPIAPPFSMAILFNRANNNTFIDIRIEIDGNNSIIIRANSRIGTTDWAALIDFMPAHPYP >OIV96714 pep chromosome:LupAngTanjil_v1.0:LG15:17663797:17665877:-1 gene:TanjilG_09256 transcript:OIV96714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNQNVVAPNDVKLLNFNTIFVMATSISNSNSKLQSNSAVQEPLVMFGGLLSLTQRNVRKRLEGNKVHNWVDSIRASSPTRAKSTLQASENQDKFSWNLYHPSALDMFDQIISNSKGKQIVTFLDYDGTLSPIVADPEKAYMTSKMKGTLKEIARHFPTAIVTGRCRDKVYNFVRLAEVYYAGSHGMDIKGPQQNRSPKKGNDGKAVLFQPASQFLPMINEVYKILQEITKSVPGAMVENNKFCLSVHFRCVDEKSWAPLAEKVKLVLNEYPKLKLTQGRKVLEIRPTIKWDKGKAIEFLLHSLGYDNSDNVFPIYIGDDRTDEDAFKVLRSRGQGIGILVSRFPKETDASYTLEDPSQVEKFLRRLVEWKRSITVTRTSV >OIV97277 pep chromosome:LupAngTanjil_v1.0:LG15:2709407:2709976:-1 gene:TanjilG_07029 transcript:OIV97277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVVRVVIDSEPARSSDPAPSLAEALQAQNLAVRASSMLQSLPGEDICLLCDKVVEEVQKLTGYDRVMVYMFYKDDHGEVVSEIMRPIASYPFNYSTLDSYPMKRYRLYRPIRSSPLKGIGKAFLNLRSWLIYYKR >OIV96680 pep chromosome:LupAngTanjil_v1.0:LG15:17266476:17268419:1 gene:TanjilG_09222 transcript:OIV96680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISVYAKCSHFNDAQNLFDEMPHRDIISWTTMISAFTNSGRPHQALALYNHMLESKTEQQPNQFLYSAVLKACGLVGNVGLGKLIHDYICEAMLGFDTVLMNALLDMYVKCGNLRDAQQVFNEMSRKNLTSWNTLILGHAKLGLIGDALNLFDQMPKPDIVSWNSIIAGLANNNSPHALQFVSMMHVKGLKLDEFTLPCALKTCSSLDELTTGIQIHCYVIKSGFESSCYCLSSLIDMYSNCKLLDEATEIFYQFFRNSPTAESLALWNSMISGHIANGGYTGALNLIANMHLSGARLDSYTFSLALKTCIYFHNLQLASQVHGLVVPSGYELDYVVGSILIDLYAKQGNIANALRLFERLPDKDVVAWSSLIAGCSRTGLNMLAFSLFTDMVHLELEIDHFVLSTVLKVSSNLASLRSGKQTHAFCLKKGYESERVITTALIDMYAKCGEIEDALGLFHCLSEIDMMCWTGIIVGCAQNGRAYEAISLLLKMIETGTKPNEITILGVLTACRHTGLVEEAWAIFNSIETEHGLMPLPEHYNCMVDILCQAGRFDEATKLIRSMPFKPDKTLWFSLLDACGTHNNRYLANTVAMHLLATFPEDASVYILLSNFYATFGMWDSQSKVREAMKKVSKKEAGKSWIEILS >OIV96822 pep chromosome:LupAngTanjil_v1.0:LG15:15573504:15579785:-1 gene:TanjilG_08683 transcript:OIV96822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTLLEVTRASHEEVERLERLIVKDLQNEPASNKDRLFQSHRVRNMIDTVTSTTHKLVEIYEDSDNARKDEIAALGGQTASGINVFSAFYDRLKEIREYHRKHPVARGVVDPNDDGEALLKEEPPIEFSGEALAALGLKTGGTIQQRAERLFLTKDTPLEKLDKKHFAKVARGSEKNGVGAAPQQDENSKEIALMEAKMKKLCDLLEETIARTKDNVVKKQALTYEEIEAERQEEETQEDSESEDEEQQIYNPLKLPMGWDGKPIPYWLYKLHGLGQEFKCEICGNYSYWGRRAFERHFKEWRHQHGMRCLGIPNTKNFNEITSIEEAKDLWKKIQQKQGVTKWRPDLEEEYEDKEGNIYNKKTYTDLQRQGLI >OIV97430 pep chromosome:LupAngTanjil_v1.0:LG15:723657:728137:1 gene:TanjilG_16191 transcript:OIV97430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSDEQNQLPFPPNPNNATNTETKEEEEENDDVEEEEEEDIDDDDDDVVSNSPLSKLRQQRFNLETLSRRLSSELVPIRVHDVLINGNTKTKDWIIEAELKGIENVTTVQELLHASEIALARLQSLGIFESTKVRLEPGPPELPNTTNVIVDVVEALNSVSGEFGVFTKPGTSSWTAEGTLKYKNFLGYGDLWDASVAYGANQATELSVGVYAPRLKGSLTPLVARLSMLSQDWQEFSSYKERMLGLSLGLISTKHHDLAYTLGWRTLTDPSQMSSMSIRRQLGHGLLSSLKYTYKVDRRNSPIRPTKGYAFLSTTQFGGLTPDHRSLRFLRQEFDIRYAVPFGFFNTALNLGISAGAVFPWGHGFMDKTSPLPERFYLGGDFSPVCTLGGPMTLWGFKTRGLGPTEPRRQSRDGTLDEQDDSSRRDYVGGDLAVTAFADLSFDLPIRWLREHGIHGHVFAGAGNTAKLTQNEYKHFSPRKFLDSFRTSVGCGFVIPTRLLRLEGNFYYILKQDEHDRGKTGFRFSFSAPS >OIV97025 pep chromosome:LupAngTanjil_v1.0:LG15:11334739:11337376:1 gene:TanjilG_19572 transcript:OIV97025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKKKSMNATHKSGQSTSSFQLPQIRSDINQPSFLLAPNEQFMLSNFLGIGQLKQTVDLENSKTMQQSLEIRVPQHEKISKTNQQPNKRGVAENDIPSGGKKAKRVRMCASMSIDEFLKENEQFHEEEEVGDEIEEEMNDMEEGENVHQQEYGRINANAIEGTRKKRTRGPTQCLKIHLRSMEEREEVILDNDGEPIGPNDKTVSDLSLFLGTIARNAGFCPLIYTNFKALLKNHKEDMWEYVNHKFNIDKNGQKAVFSRINDAWRQYKSKIKKNHFLKYSTMEERLKHRPQTIPEAHFRILMSYWSNDIVQDIAKKNVINKAKQKYLHYTGPVNFARIRAKLRAMKNNSEEVNQAEMFIQTRKRRNGKKVDDETQRVIDKLQNSIQESSESAGQTFKLLFGKEKPGRVRCYGRTITPTQLKRNEEIATLKKKHENEVNTWKQKVQGMEVILRCLFKQNNPDFGDETFDIIMANVATNENGVASHSSTSTSFPNI >OIV96285 pep chromosome:LupAngTanjil_v1.0:LG15:20892380:20893079:-1 gene:TanjilG_05125 transcript:OIV96285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMKLLKNVMMEYSPPTWATLIGVVFLLLTVTLSMYLLFDHLSAYKNPEEQKFLIGVVLMVPCYSVESFVSLVNPSISVVCEILRDCYESFAMYCFGRYLVACLGGEDRTIEFMEREGRSVIKAPLLQHHHHHSSHNKGTVIHPFPMNCFFKPWKLGHAFYQVVKFGIVQYMIIKSLTAITAVILEAFGVYCEGEFKLGCG >OIV96292 pep chromosome:LupAngTanjil_v1.0:LG15:20663016:20664442:1 gene:TanjilG_09719 transcript:OIV96292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSLGIPVKLLHEASGHVVTVELKSGELYRGSMIECEDNWNCQLESITYTAKDGKTSQLEHVFIRGSKVRFMVIPDMLKNAPMFKRLDARVKGKGASLGVGRGRAVAMRAKAQAAGRGAAPGRGAPPVRR >OIV96457 pep chromosome:LupAngTanjil_v1.0:LG15:19656095:19658417:1 gene:TanjilG_07849 transcript:OIV96457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVAGKTPPPSLHPSSSNSPNRFWPRRLLARLLRLFRFKKHKLKNEITHSTNGTNKPGQLLRNNTSFKLGVGCGFLYLMAASKNELGKIVELRKEMEILIQNAKGELQNKDSLLKPLKPSDAFDSPLTDIPEVSCSNSQPKSKRNTVPNGSLEHKKIEKDESADEINELQAEFELELERLHMYLEGEEAAFGDADNEIVELISRMGEDRNSQGNVEDSCLASHSSSFFGEIIMDRQGASDGLSFGVPPFELERRLHELLEARLQERITELEYALECTKQKLIEKEMEVTWLKDSAPLISQNIPETTRFTFQLDPETALMFGEVEE >OIV97435 pep chromosome:LupAngTanjil_v1.0:LG15:695799:696984:1 gene:TanjilG_16196 transcript:OIV97435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGHSPCASCKLLRRRCTQDCIFAPYFPSNDPQKFAMVHKVFGASNVSKMLQELPIQQRADAVSSLVYEANARVRDPVYGCVGAISYLQNMVSELQMQLAVAQTEILCVQMQHEPMMPNTEIEPMIPQYLNNYASSSNVIHDSSLKREDIWT >OIV96788 pep chromosome:LupAngTanjil_v1.0:LG15:15950564:15951878:1 gene:TanjilG_18248 transcript:OIV96788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDSSNNIIDEVLQGLELARQLQMNLSVPYSCKETRNQLIQKIISTFEKALQMVKWKRESLGEPSSQHLAIRVSESPPLSDSEGYIDLKDHDHIVSRKRETLTIWTKTIRVKPGMGVEEPLEDGHSWRKYVQKDILEAVYPRGYYKCTHRNIQGCLATKKEQRSDEDPTVLEITYRGKHTCTMTTNIVTPSAPNENQESNLNTNPQNQNNSLLSLEQQPNPNEQVLNLRAGLKVQRDNLNSSPHDQSFPSTSNIKTENQIIPLSPMLENCSSPSYISPATSGISHFAVSPSLASSGFQINDMISAANSPTVGLEFPFDQFEFDGHNFTLDNLPFFF >OIV97131 pep chromosome:LupAngTanjil_v1.0:LG15:7409792:7412000:1 gene:TanjilG_00160 transcript:OIV97131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKFKAKPTGRRQFSTPEELIAGTSTRPKTFRQKEAENEEEESVETSGDESGEESDEETTKTKGTQGVIEIENPNLVKPKHLKAKDADVGKTTELSRREREEIEKQRSHEHYMRLQEQGKTEQSRKDLDRLALIRQQRAEAAKKREEEKAAKEQKKAEGRK >OIV97079 pep chromosome:LupAngTanjil_v1.0:LG15:10559883:10562967:-1 gene:TanjilG_14624 transcript:OIV97079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFPSLPCNVLSVDAIDMSGKHEVDLDTNIWKLRLNSHGHIIGTEYISDLVEKEHSAHKHDDGKDHHEDSEQKVHLQTFDESTENMIKKVKEALTNGEGCRVYGVLNVQRVAGNFHISVHGLNIYVAQMIFGGSNNVNVSHVIHDLSFGPKYPGLHNPLDETTRTLHDTSGTFKYYIKVVPTEYRYISKEVLPTNQFSVTEYYSPLNEFDRIWPAVYFLYDLSPITVTIKEERRSFLHFITRLCAVLGGTFALTGMLDRWMYRFVEALTKPNKSRSGLH >OIV96898 pep chromosome:LupAngTanjil_v1.0:LG15:13146977:13147150:1 gene:TanjilG_00480 transcript:OIV96898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EVRLEQIDAIKLLVKIIIENKSGSIKKLMEDMNLLGFELIDTNVITTKGALFIEDCM >OIV96811 pep chromosome:LupAngTanjil_v1.0:LG15:15725403:15728296:1 gene:TanjilG_08672 transcript:OIV96811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFQTSHATKRIAQPIFIAKEESSDANSTPFQRALNLNDNNITNANSISSCYDSWMAKHPSALHSFDTLMKAAIGKKIIVFLDYDGTLSPIVNDPDRAFMSDEMRAAVSEIASYFPTAIISGRSREKVKDFVKLSNLYYAGSHGMDIMAPSSMSVGSSNSEHCDMSHNINGNEVPFQPAKKFLPAIRELLRRLENEVKEIEGAKLEDNGFCISVHFRHVLEEDYGLLEEKVKCVLEMNPQFHLTEGIKVMEIRPSIEWNKGNAVEYFLDTLGLSSSSNILPVYIGDDRTDEDAFKVIQSRGQGYPIIVSSTPRETNAFYSLRDPSEVLIFLSRLAKWRKNSYSCRPLNLG >OIV97504 pep chromosome:LupAngTanjil_v1.0:LG15:337989:344831:-1 gene:TanjilG_11028 transcript:OIV97504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKFNSHNATNTTRHHHNSQLQPPPPPPPSPHGGNYSRPTRHSFYHWKPGVHPPGNNSTVRRPNFVITLLPGRRSFRRYDVAAVISECNSKPENTSFSGGDRVTAVLRFRQWCDALDAVGWFWQSRLKEIHDLTPELTSSVFARSHMHELERRLKNLFSYHVKCLMEGKEVKRLSVEMERLSKEIESVSKMLSKPLPIPVYNQRKEKKKGFETEKKLIERRVREFKNAMECLVKKFEEEEEEEEENSEVEDEESVASVFKFEGRLDWVKVHCMIMRERRRLEEGLPIYAYRTDIIQNVHSQQITVLIGETGSGKSTQLVQFLADSGIGANESIVCTQPRKIAAKSVSQRVQEESSGCYGDNSIVCYSTFSSSHRFDSRITFMTDNCLLQHYMSDKNLLGVSCIIIDEAHERSLNTDLLLALIKNLLSRRVDMRLVIMSATADAKQLSDYFYGCGILHVLGRNFPVDVRYVPSDNMGHAGSAVIASYVSDTVRIATEIHKTEKEGSILAFLTSQLEVEWACENFRDPSAVSLPLHGKLSSEEQFHVFQNYPGKRKVIFATNLAETSLTIPGVKYVIDSGFVKDSRFDPSSGMNVLKVCPISQSSALQRAGRAGRTEPGWCYRLYSEADYQSMEPTQEPEIRRVHLGVAVLRILALGVKNVQEFDFVDAPSFSSIEMAIKNLIQLGVIRLNKNVHELTHEGTYLVRMGVEPRLGKLILGCSRHGLGREGVVLAALMANSSTIFCRVGNEDDKKRSDCYKVQFCHCDGDLFTLLSVYKEWEALPREGRNKWCWENSINAKSMRRCQDTIMELESCLERELCLVTPSYWHWNPSKPSDYDKYLKMVILSSHAENVAMYSGCDQLGYEVAKTGQLVQLHPSCSLRVFAHKPTWVVFGELLSVSNQYLVCVTAFDFDSLYNLSPPPQFDVSKMEKRKLQMKRLTGLGSILLKKFRGKGNSRMIDLVSRIRKACMDERIFIEVNAGQNDIQIYATLHDMETALGFLNDVLEYEKKWLHTECVEKCLYHGVSPSVALFGSGAEIKHLELEKRFLSIDVCHPNINAIDDKELLMFFDENTSGGICAVQKFTGMVKDVEESEKWGKITFLSPDAAERAADLDGEEFCGSPLKVVPSQTAVGRDKTFLFPAVKAKIIWPRRPSKGFGIVKCDINDVNFMLGDFFNLAVGGRYIRCEVSTKHADCIAIGGIDKELSQNEVLDELRAATSRRILDFFLVRGDGIGNPPCSVLEEALLKEISPLMPKISPHISSCRVQVFPPEPRDIFMKAFITFDGRLHLEAAKALEQIEGKVLPGCFSWQKIMCERMFHSSLVFPVPVYSVIKKELEEVLARFNDVKGLELIQDRFPNGSFRVRITGNATKTVADVRRPLEELLRGKTIENDNLTPIVVQSMLTRDGFNLKNSLQEETGTYIFLDKHNLSIRVFGPPDKVTFAQQKLIQLLLSLHERKQLEIHLIGRDLPPDLMKQVVKNFGPDLHGLEEKVPGADPILDTRRQIIFLRGNKELKPRVQEIIFEIARSCHSLVQRLYTGPTCPICLCEVEDGVRLEGCGHLFCQSCLVEQCESAIRNQGSFPICCAHSGCGHPFLIADLRSLLSYVKLEELFNASLGAFVASSGGIFRFCPSPDCPSIYRVADPDEPGEPFACGVCYSETCTRCHLEYHPYVSCDKYGEFKKDPDSSLKEWCKGKEHVKCCPVCGFTIEKVDGCNHIECKCGKHVCWVCLEFFGCSEDCYSHLRNIHMAYM >OIV97046 pep chromosome:LupAngTanjil_v1.0:LG15:11075883:11080152:1 gene:TanjilG_11563 transcript:OIV97046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFWKWGLVGLVFSAFVFTVGAVELGRNQPTERISGSAGDVLEDDPVGRLKVFVYELPSKYNKKILQKDPRCLTHMFAAEIFMHRFLLSSAVRTLNPEEADWFYTPVYTTCDLTPNGLPLPFKSPRMMRSAIQLISSNWPYWNRTEGADHFFVTPHDFGACFHYQEEKAIERGILPLLQHATLVQTFGQRNHVCLKDGSITIPPYAPPQKMHAHLIPEKTPRSIFVYFRGLFYDVGNDPEGGYYARGARAAVWENFKDNPLFDISTDHPTTYYEDMQRAVFCLCPLGWAPWSPRLVEAVVFGCIPVIIADDIVLPFADAIPWEDIGVFVDEEDVAQLDTILTSIPPEVILRKQRLLANPSMKQAMLFPQPAKPGDAFHQVLNGLARKLPHDRSLFLKPREKALNWTAGPVGDLKPW >OIV96339 pep chromosome:LupAngTanjil_v1.0:LG15:20376160:20380287:-1 gene:TanjilG_09766 transcript:OIV96339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSPTEKWDLDFSDVFGGPPRRSSVNSNSGEEGPSSTVVGDYFYDDIFGGDESPRKHSSSSSLPAMFSLPTKLTKGSDLPTFGSPTRTRSPLNNNINEAFGASDSHLSRNDSKQPYRKSLLSQEFSDMSISDISDKGSSNMKQDPSTPQLLANAGNGQFHFSIYKWASKGVPLVMPLRADRNSRTKDKLKFGRCSSAKEWIVTEITTEIDSPIAYNGSSLTINRKQDCSNGVDSHQIVEQIASDKAKSNTLSSLQTVNNALPDSPISGDATAESSTRSISETGFSSKTDPESETRKIESKPLHSHFSGSDQQQDSNEMTRRERGEESKMKSKKKLSAILDVTVNPKNKKEQTTSLKSVEHSTATSQSSLSLGENMGKARVKGKVKEFVRIFNQESVTKPRVDSKSQLPDPTYKEQSASKTTSKEEAEHYKKENSTSELTNVSANNLSQQDDISEAATPDISFTAIGDKDGSFDENFMIQVLSQDENEALQNQENEENQVIDKKIQQWSKGKEGNIRSLLSTLQYVLWPESGWKPVPLVDIIEGNAVKRSYQRALLCLHPDKLQQKGAASHQKYTAEKVFDILQEAWNQFNVLGAL >OIV97521 pep chromosome:LupAngTanjil_v1.0:LG15:481038:486302:1 gene:TanjilG_11045 transcript:OIV97521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAPTNARELFPVLGSLQEYNIQKFKYDFMAGLTLAILAIPQSMGYATLAQLSPEYGLYSSIIPPLIYAMLASSREIVIGPIAVDSMLLSSMIRNLKDPVHDSAGYTQLVHTATFLVGVFQLAFGLFRFGFLVDYLSHATIVGFLAAAALGIGLQQLKGLLGIKHFTNKTDLISVMKAVFTSLSNQSEWQPFNFLFGLSFLFFILFTTHLARRNKKLFWLSTAGPLFWVIIFSVIAYRINLHKLEVEDYIVQVLGPIKGGSLKSSSLYKIHVGDKFMVPLIKIGFTIAVISTTMGISVGRSFASLRGYHLDPNKEMVSLGLMNIVGSFTSCYVTTGSLARTALKYNAGSETMVSSIVMALMVLLSLRFLTGLLYFTPKAVLAAIILSAISGLIDLKKAYEIWKVDKFDFLACIGAFIGVLFASVEIGLAIGVTISFLKIIIISIQPGIRIVGKLPGTYDFGDVEQYPMAVKIPGFFIVSIKSSVLCFANASLVRKRIERLVTDEEAEDGKGEITFKFVILDTSSLMSIDTAGIASLEELNKNLTTCGMKLAIANPRWQVIDKLRLANFVDKIGGRVFLSVGEAVELCIVVFSITTIDVDQSPIFQHLPKSQHGKTKFAY >OIV97204 pep chromosome:LupAngTanjil_v1.0:LG15:4793838:4800815:-1 gene:TanjilG_26776 transcript:OIV97204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAEVKIWNPIEVAEQARKDYPSQIFASHLTIDPSLSFPLMKPLIIKLCKDIFKAWSNLDDSFFHVEKISGGITNLLLKVSVKEENCIDDTIAIRLYGPNTEYIIDRHRELQAVKYLSAAGFGAKWLGIFGNGMVQSFINAHTLIPSDIRDPKLAAKVAKELRRFHHVDIPGSKEPQLWNDIWKFFEKASVLEFEESKMHKTYETISFREVHDEIVELKGLSDRLNSPVIFSHNDLLSANIMINDDEDKIYFIDYEYASYNYRGFDIANHFAEYAGFECDYNLYPNMNEQFHFFRHYIQPDRPHEVSEKDLETFYVETNTYVLASHLFWALWGLIQAKMSAIDFDYLSYFFLRYNEYKRQKEKCFSQAQSYLSGFKNE >OIV96835 pep chromosome:LupAngTanjil_v1.0:LG15:15438471:15442957:-1 gene:TanjilG_08696 transcript:OIV96835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKGSSWFSSVKKVFKSSSKDSTVPDKKDKEEKWQHEAQEVVTFEHFPVESSPDATNEGSITSTPVTEDRNHAIAFAEATAAAAEAAVVAAQAAAKVVRMAGYGRHTREERAATVIQSYYRGYLARRALRALKGLVRLQALVRGHSVRKQAQMTMRCMQALVRVQARVKARRVELTKEKLQRKLEEHEQRDLKMEKVPPNKPMSPMTKLHIDGWDNRHQSSQKIQRNDLRRHDAAMKRERALAYAFNSQQQHQYLKIDPNVDVIGSYGNEHEATELGWEWLQRWTTSQPHHVRHLGPPHEMTLTTSATTTTDDMSEEKTVEMDMVEPSSYSTHSNMGLMGQDFLDSSPLSDRHHQRQSSAEVPSYMAPTQSAKAKVRNQGPYRQRASPGPNWNSSIRRNSVNGLGCDSSGSGGATAARSFSKSPSPQINGVRIQSRRISSGSPDNIGSEDWAFGAHGWPCHD >OIV97136 pep chromosome:LupAngTanjil_v1.0:LG15:7504567:7511820:1 gene:TanjilG_00165 transcript:OIV97136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPSEEAAYIDKLYQYGEELNSATDKSQHVKDYQGIIDAAKTSVKANQLAAQLIPRFYKFFPDLSGPALDTHLDLVEAEELGVRVQAIRGLPLFCKDTPENIGKMVDILVQILGSEEFVERDAVHKALMSLLRQDVKASLTALFKHIGSVEEPSTDDVIREKVINFVRDKVFPIKGELLKPQEEMERHVTDLIKKSLEDVTGIEFRMFMDFLKSLNLFGEKALPERMKELIGIIEGQADLDAQFNVSDADHIDRLISCLHMALPFVVRGASTIKFLNYINKYIIPVFDQLPGERKVDLLRSLAEFSPYTTPQDSRQMLPSIVQLLKKYMTWKKTGEEMNFTYVECLLYTFHHLAHKVPNATNSLCGYKIVTGQPSDRLGEDFSEHYNDFTERLNNVEEFTRATIKKLTQGMAENNKSMANAKTDEEKEKIKTKKQNATTGLRTCNNILTMTKPLHAKVPSFIGDKRINLSWKEATKPASSITPAAGAKRPATATNGSNNIASKKGRGGGGLQNQLVNRALEGLSGGGRGGGRGGPRGRGRGWGGRGRGRGRGYR >OIV97053 pep chromosome:LupAngTanjil_v1.0:LG15:10904119:10908308:1 gene:TanjilG_11570 transcript:OIV97053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRMTSSEITMKGNGGGEGHNGNLSCIDAEAALYRELWYACAGPLVTVPRERELVFYFPQGHIEQVEASTNQVAEQQMPVYDLPSKILCRIMNVQLKAEPDTDEVFAQVTLLPESNQDENAVEKEPPPPPPARFHVHSFCKTLTASDTSTHGGFSVLRRHADECLPPLDMTKQPPTQELVAKDLNANEWRFRHIFRGQPRRHLLQSGWSVFVSSKRLVAGDAFIFLRGENGELRVGVRRAMRQQGNVPSSVISSHSMNLGVLATAWHAISTGTMFTVYYKPRTSPAEFIVPYNQYMDSLKNRYTIGMRFKMRFEGEEAPEQRFTGTVVGIEDSDSKTWPDSKWRSLKVKWDETSNIPRPNRVSPWKIEPTLAHSPLNPLPVPRAKRPRSNAVPSSPNSSALPREALSKVSLHPLPTNGFPRVLQGQEFSTLKGKFEVSNEFDTVERSVALPPAVADAKFDVPILRRHGSENWMSMGRHEPAYSDLLSGFGASGDPSHQPLVDQICHVAYSASKHSLDHEAKFHVHHPWPVMPSSLSLNLLDSNSKGSAHVDTTCQARGNLRCRTFDEYPVFHHGHKVEHPHGNLIPPPSPTQHESLSSREIMSKPMSLKTSEAMILKDGDCKLFGISLISSAIAPKPSLLQRNATSEPAGEMHLTSHQPQSSGNDQMSEHSKNSKPSDGPVVMGDHEKPKLLQAKPSCVSARSCTKVHKKGIALGRSVDLTKYCDYNGLIAELDRLFEFGGELMSPNMDWLIVYTDNEGDMMLVGDDPWQEFCTMVHKIYIYPKEEIQKMSPGTLCSNNEDNHSASECAEAKEVKSQLNQMLN >OIV96710 pep chromosome:LupAngTanjil_v1.0:LG15:17616666:17628100:-1 gene:TanjilG_09252 transcript:OIV96710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEENEELKTFKDLGLAEPLAEACDRLGWKNPLKIQVEAIPAALQGKDVIGLAQTGSGKTGAFALPILHALLEAPRPNHFFACVLSPTRELAIQIAEQFEALGCEIGVKCAVLVGGIDMVQQSIKIAKQPHIIVGTPGRVLDHLKNTKGFSLSRLKYLVLDEADRLLNEDFEESLNDILQEIPRERRTFLFSATMTKKVQKLERVCLRNPVKIEAASKYSTVDTLKQQFRFLPAKHKDCYLVYILTEMSASTSMVFTRTCDSTRLLALILRNLGLKAIPINGHMSQSKRLGALNKFKSGDCNILLCTDVASRGLDIPAVDMVINYDIPTNSKDYIHRVGRTARAGRSGVAISLVNQYELEWYIQIEKLIGKKLPEYPAQEAEVLLLEERVSEAKRLAVTKIKETGGKKRRGEGDYADEDIDKYLVPNSNQRIIFHSMALSPLFVSFVFLTLTFTLHSQPLPPPPSPPFACDWSNPSSRSYPFCNPKLSILERTRDLVSRLTLDEKLAQLVNSAPPIPRLGIPAYEWWSEALHGVAKSRGINFSSGAISSATSFPQVILTAATFDSHLWYRIGLAIGIEGRAIYNNGQAMGMTFWAPNINIFRDPRWGRGQETAGEDPFVTSTYAVSFVRGIQGDSFHGGKLMGHLQASACCKHFTAYDLDNWKGVSRFVFDARVSLQDLADTYQPPFKSCVKQGRASGIMCAYNRVNGVPSCADFNLLTKTVREKWDFNGYIASDCNAVAIIHDQQGYAKSPEEAVADVLRAGMDLECGNYLSSHAKSAVLQKKVPISEIDRALHNLFSIRIRLGLFNGNPTKLSFGMIGPNHVCSKKHQYLALEAARSGIVLLKNSDALLPLPKTNPAISLAVIGPNANDFTTLAGNYAGPPCRNMTVLQGLHHYVKNTVFHPGCDGGPKCPTAQIEEAVEVARKVDYVVLVMGLNQSEEMEDLDRVQLDLPGKQLELINSVAKASKRPVILVLLCGGPVDITLPKYNHKIGGILWAGYPGELGGIALAQIIFGDHNPGGRLPVTWYPKDYIKVPMTDMRMRADPSSGYPGRTYRFYKGPKVYKFGYGLSYSKYSYEFVSVTHDKLHLNQSSTHLMMDENSETIRYKLVSELGEDSCQSKMVSVTVGVKNHGSMVGRHPVLLFMKPGKHRSGSPMKQLVGFESVLLDAGERTQIEFELSPCEHLSRANEAGSMVIEEGSHLLLVGDVEYPIHITI >OIV96584 pep chromosome:LupAngTanjil_v1.0:LG15:18109724:18111238:-1 gene:TanjilG_28441 transcript:OIV96584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYFSCNAESAIATCDPNFWDLNNTKKNSTSRTIRKFHYTDLVAATNSFSADNFLGKGSHGNVYKATVDDGKLIAAVKTTKLTTKTPTSRHHITTCTGCGHCTSPAENEIEILSHVNSPRIVNLIGFSTDPNDNKLIVVQYMPNGSLHDLLHSPVQPPGWTHRIRFALQVAKAVHTLHSSNPPIIHRDIKSSNILIDYDRKARLGDFGLALRGHVEDVRVKCTPPAGTLGYLDPCYLAPADLSAKSDVFSFGILLLEILSGRNAIDVNYSPPSVVDWAVPLIKRGEFAGIYDRRIGPPMNDVVMRQLAVLAARCVSSTAEKRPSMAEVVEWVNNARKRMGAVPMWSGLKRRVARVERFEVFECGDVDRSEEVVKGVKGVSRRNGKVSSVAGVEYKNEPSNEVNRVVRCKSIGSGSIMKRGSDPNVGGYPTGIERKKGKIRFKKSKSMGFLHGPSLLHDGNGNENNYKSGPIHLVEISMTKLVITHKLEEKMLETPLVGNLSNPE >OIV97410 pep chromosome:LupAngTanjil_v1.0:LG15:889789:891655:1 gene:TanjilG_16171 transcript:OIV97410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRLISKKLFFRNATVSHSLSTTTTTLFLRHRSSKPPQNQLIEVDLCGEHDVTLKLFDQLIQRILVKKSTPDWLPFVPGSSFWVPPRTTPSNVVDLVQNLSDEETLSLVTLQGCPSSKFFMQELESARDGDTDMELNIPEGTEDTVKVKVPTIPGSAAHSEDEEG >OIV97002 pep chromosome:LupAngTanjil_v1.0:LG15:12099765:12100800:-1 gene:TanjilG_16851 transcript:OIV97002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALYGEKEWYFFSPRDRKYPNGSRPNRAAGSGYWKATGADKPIGKPKALGIKKALVFYAGKAPKGIKTNWIMHEYRLANVDRTASNKNNNSRLDDWVLCRIYNKKGKIEKYNSTAVNHKVPKCPENIHFHFDHENIETKPEIHNFGNNQLYMDTSDSVPRLHTYSSCSEHVLSPDVTWEKEVQSESKLNKLDLDDNAFDLQFNYMDDNNYNLNLSLEDPFGSQVQFQMNPLQDMFMYLQEPF >OIV97018 pep chromosome:LupAngTanjil_v1.0:LG15:11717577:11723540:-1 gene:TanjilG_03592 transcript:OIV97018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAQTLNHSAFPSTTPQLSFLHLHPFFKLNQPFPSTLPNFSIILSHATSNSHTGPLNKKRSSSSSSSSTANTNNKNNKNKKNTNTHTNNNNNNKNSYKVLNDDVAPLTSDFSPPTSLPKPPAGFVVDDTGKLLTVSTHRLATLVDPINNRPLECVIRRVFTSTQGHECMLLCPVDMPFQILKSTGVGWSDVNDEELESILPAASYALAKIRMYLVYSGYCYTARGGFCYSEEDIFDFHPGKEFFSHPFHYKNGKEGGGLSTEGVEVTHFNLEGAHYMIYTPSDPLLFVVVKDQNGVLQIADDELLEDPAVTSAVDEETEFNALVEEEAALLDSLLGKR >OIV96312 pep chromosome:LupAngTanjil_v1.0:LG15:20533346:20545051:1 gene:TanjilG_09739 transcript:OIV96312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKPVSCFKLVTCGGGASEKDDSEVKNSNDKSGWSFWKKSARHRVLSNTVITEAPSSANKQSTECDSFNFQRLPEPNVVEKIYTTHSSDEKPQLSSLESSQISETIGIETESMVYDSSPEYAIIIVQTAIRGLLAQRDLLQRKNVVKLQAAFRGHLVRKHAVGTLRCVQAIIKMQLLVRARRAQQSRLEENLYHTDDINDSAEKMENENHMTKSNATYTSIERLLSNRFARQLLESTPKRKHIHVKCSPSKNDSAWKWLERWVSVSSKDTAEHKKSSSLTDQSDRTNDRTSVFQFETCIPSEVFLQSADSKPAVEGSLLPSGDEEKLTSYDANNSGFQGSCSTASLVEDDLEQVHPEKSNAYYGYVKSAEIDIFQNENSASDSTAPPELNSLLEKPEIDGEQRKRSMKTFASDQLEAEGNKSVHGSRKVSNPAFTAAHSKFEELSSVTASGMTSILSNQEATVESQADISSVSTNTACRSKEFPSENPVPYISRVGDSECGTELSISSTLDSPDVSEAGAVENERDAKDVEGIGNLENTINHDVEANVPCIIPASSLATSVLDQTQILDGISGNMVHSVVAVDSEEPAIRSEKNSPDLQRELAEAAQQDFRPSPEEASPRSYMAFPESQGTPSSQVSIKPKESKIDKTGSSKKRRTLSVGNKSPANSNHDSGSRVSREKLPKDQQSGKRRSSIGLVKPNHIDQEPSDNISNNNSLPRFMQVTESAKAKINANSSPRSSPDVHEEDIQLKKRHSLPGATGRQFSQAQQNAKGNSVHPPQVGLSSQDHLQAKRSLPSHPDGAGSDDILPPGFEGTHASSQFEIDLSQIPVVQWINPPKFVLDLAWQVVAGEESKEVEEQHKREMRVLEAIYPRPSSIPPKFDSSHLIQSFFLYSIFCLRRDIYLFISFACSPAIAIDVEDSHSIDDGQTALIPITATEDEDVAVDAVSDSQSLELPPVIPRGPMQLAGVVSDVDAASVALTNIIKSNQHGNLFDHEVLNNILNNPEMIEKLVRDYGAANNLQYEHNHGSSSATYSHPHIPINQGETNIPSSVSLPATSYPPSTGGQVGPVATQWLPRSSISPVTVSPHVEVPSIKDANYYKSLIQQHGGDGKETLPYYSNRQMNQPVTTNHGTAHISRSRDSKPKIMKPCIFFNSSRGCRNGANCAYQHDVPLQPQGGGAMPRIQSSKRMKMDNS >OIV96807 pep chromosome:LupAngTanjil_v1.0:LG15:15779804:15781445:-1 gene:TanjilG_08668 transcript:OIV96807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQTLRLGVAVVGNIASVSLYAAPITTFKRVIRKKSTEEFSCIPYIIGLFNCLLFTWYGLPVVSKKWENFPLVTVNGVGIVLELSYVLIYFCYASSKGKAKVAMVAIPVLVVFCITAIVSAFAFHDNAHRKQLVGSIGLVVSVAMYGSPLVAMKKVIQTKSVEFMPLPLSLCTFLACTLWLTYGVLIRDIFVAGPSVVGIPLSILQLVLHCKYRKKSVVEEPNKEDLEKGNLDKVELEKLSLEMEDVEKNVTIHKNGNL >OIV96454 pep chromosome:LupAngTanjil_v1.0:LG15:19675473:19676172:1 gene:TanjilG_07846 transcript:OIV96454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAYSRSVSTSVLFFFLLLGFSAAKQVLVGGKIDAWKVPSSEADSLNKWSQKARFQVDDYLVWKYDGGKDSVLQVQKEDYANCNTSKPINEYNDGNTKVKLNRPGPFYFISGAKGHCENGEKIVVVVISPKRNRYIGISPSPSPSQSLEAPAIAPTSSATALKSGLVVMGMGVLAMYVGFLI >OIV96783 pep chromosome:LupAngTanjil_v1.0:LG15:16292872:16304832:-1 gene:TanjilG_18096 transcript:OIV96783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTAGQVITCRAAVAWEAGKPLVIEEVEVAPPQAGEVRLKILFTALCHTDVYWWEAKGQTPLFPRIFGHEAGGIVESVGEGVTHLQPGDHALPVFTGECGDCPHCKSEESNMCDLLRIIPDRGVMINDGKARFTIRGQPVNHFLGTSTFSEYTVVHAGCVAKINPAAPLDKVCVLSCGICTGLGATLNVAKPKPGSSVAVFGLGAVGLSAAEGARISGAKRIIGVDLVSSRFELAKKFGVNEFVNPKDHDKPVQEVIAEMTNGGVDRAIECTGNVQAMISAFECVHDGWGVAVLVGVPSKNAQFKTHPGNFLTERTLKGTFYGNYKPRTDLPKVVEQYMNGELELEKFITDKVPFSQINKAFEYMLKGESIRCIISMEEIVESVGEGVTHLQPGDHALPVFTGECGDCPHCKSEESNMCDLLRIIPDRGVMINDGKARFTIRGQPVNHFLGTSTFSEYTVVHAGCVAKINPAAPLDKVCVLSCGICTGLGATLNVAKPKPGSSVAVFGLGAVGLSAAEGARISGAKRIIGVDLVSSRFELAKKFGVNEFVNPKDHDKPVQEVIAEMTNGGVDRAIECTGNVQAMISAFECVHDGWGVAVLVGVPSKNAQFKTHPGNFLTERTLKGTFYGNYKPRTDLPKVVEQYMNGELELEKFITDKVPFSQINKAFEYMLKGESIRCIISMEE >OIV97149 pep chromosome:LupAngTanjil_v1.0:LG15:4963766:4964271:1 gene:TanjilG_28900 transcript:OIV97149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGTDMVYLLPFPLEHCYHFLFSSPFASKIDAGFSNEVADMQTFVRLVGFEAGQKEVDVVGKYKAEIEEGLGQGMVSELMMRSHYVVVQYVVAAVGEELPPDSDIVGTKEIDHVKMVETVLVSVA >OIV96510 pep chromosome:LupAngTanjil_v1.0:LG15:19238481:19241205:1 gene:TanjilG_07902 transcript:OIV96510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVDYYNILEVEKNAKEEDLKKAYRKLVMKWHPDKNPTNKKEAEANFKQISEAYEVLSDPQKRAIYDQYGEEGLKDRPPPGYEPSGFNPRNAEDIFAEFFGSSPFGFSSSGPGRSMRFQSDGGAGTFAGFNASDNLFRTYSERPHMQKKPPPAESKLPCTLEELYSGSTRKMKISRTVMDAKNRRAVPETEILTIEVKPGWKKGTKITFPDKGNQQPNQLAADLVFVIDEKPHDFFKRDGNDLIVSQRVSLAEAIGGTTINITTLDKRTLSIPVTDIVSPGYELVIAKEGMPITKERGYRGDLRIKFEVKFPTRLTPEQRAGLKRALGA >OIV96445 pep chromosome:LupAngTanjil_v1.0:LG15:19732873:19733730:1 gene:TanjilG_09872 transcript:OIV96445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEARREIVTALKYHRASMKQATEQKQQQQQQAQEEHQRPSVSLQSSQQPSFEQDGRYKSRRNPRIYPSCTQNFPNCMDGFSHSYSPHLSSLIPNSNTYPSASPHAPPPLMADNHNFILPNKTLGLNLNFHDFNNLDATTHLNNSSLSSYSSSPPLSVGTDQDVPSAQGEVEGSSSLVSSIQSCSTTYVAGGLHTAMDDEGMAEIRSLGEQYQIEWNDTMNFVKSACWFKFLKKMEHGAPETKTEGDGAHYSFEEIVEFPAWLDANESCLEHCSENYFQDSTLPL >OIV97183 pep chromosome:LupAngTanjil_v1.0:LG15:6287156:6292134:-1 gene:TanjilG_28934 transcript:OIV97183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYDDDHILKAKIELLNKTNMVDYAMDIHKSLYHTEEVPQDMVERRVEVVARLKSQEEAAAPLVAFLQNAAAVQELRADKQYNLQMLNEKYQIGSVQIEALYQYAKFQFECGNYSGAADYLYQYRALCTNSERSLSALWGKLAAEILMQNWDIALEELNHLKEIIDSKNFASPLNQVQSRIWLMHWSLFIFFNHDNGRTLIIDLFNQDKYLNVIQTSAPHLLRYLATAFVVNKRRRPQFKDFIKVIQQEQHSYKDPITEFLACVYVNYDFDGAQKKMRECEEVILNDPFLCKRVEESNFSTVPLRDEFLENARLFIFETYCRIHQRIDMRVLAEKLNLNYEEAERWIVNLIRGSKLDAKIDSQTGTVTMEPNHPNVYEQLIDHTKALNGRTYKLVSQLLEHAQAQAAR >OIV97101 pep chromosome:LupAngTanjil_v1.0:LG15:8936599:8983404:-1 gene:TanjilG_10047 transcript:OIV97101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRVPATQSSPPSPSMFSTIRAYALPIILFAGALYYQLVLIPNAFPPSHYDVLQIERYSSVEKVKEAYDKLESKWNSAVEASDTREFVKIRYAYELLTNPLWKRDYDIFGIDEQLHIIESASKHYAGKRVSELDLPLLDVPTPGSIDHSSKVISTSDFRSIFPDAKPWLIQLYSLGSNRSAHFSESWNKIAALLHAFTNIGVVELGEVELAVHLADKRSSGKPFFRNVYKAPLSVILGGQMFTIIASEESVSRNEPTTYRSQGALLRVGVAVRGCDEAMVMQLQSEGNELCLRSGFHGELTVDTVTNWFATTVLALPQIKYYSKESLVKVIFFSNGERAAPFIRQAAKDYWAYASFAFIFWREEESSYWQGAFGVESAPAIVFLKDPGVKPVVHHVSVNNSLLLNMMENNKQQELPQLRSVTSMELGCDPHGYSRAGYDTVIWYCAVAAGRPSRELNKMREDYCQFYLGQAGEHACGQPRGMTDIPQLFIIRYLRNSSNVETRTQETKNWKSLLVQDLATENDQAGQFVARYKGSDDISQITEWIASIIADGDTRDLPFFTLRTPKFSPEDTEPIWSRTAQNIPKNMKQGILGAVSGLSVYRDDPRIGPALLLGALLSLGTIWLRRNQKVQPPKSNQPSQPSSEAVPSQSERKQRPASGQVRRRPNKNPSPSITDLEPSDAYQMPLSDSD >OIV97124 pep chromosome:LupAngTanjil_v1.0:LG15:8162704:8166397:1 gene:TanjilG_04928 transcript:OIV97124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKDDEEMREETKNRRINEEYKIWKKNTPFLYDLVITHALNWPSLTVEWLPDREEPPGKGFSVQKMILGTHTCENEPNYLILAQVQLPVEDAENGVRHYEDDRTDVGGFGCINGKVQMIQKINHDGEVNRARYMPQNPFMVATKTVSDEVYVFDYSKHPSMPQDGVCNPDLRLTGHTTEGYGLSWSKFKEGHLLSGSDDAQICLWDINSNGKSKTLEATQIFKVHDVVQDVAWHSRHEYIFGSVGDDQYLLIWDLRTPSVYNPVQSVLAHQSEVNCLAFNPFNEWIVATGSTDKTVKLFDLRKIGAPLHSFDCHKDEVFQVGWNPKNESILASCCLGRRVMVWDLSRIGEEQQPKDAEDGPPELLFIHGGHTSKISDFSWNPCEDWVVASVAEDNILQIWQMSENIYHDEYDLPEEELAKTS >OIV96519 pep chromosome:LupAngTanjil_v1.0:LG15:19154402:19165446:-1 gene:TanjilG_07911 transcript:OIV96519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLGERGGDKTESRYCGVETEFNDDMPHVLAFNLSSAGFDFVIAPLMDPAYRPSLVQKDIFGSAVLPFAGSDLVLSPSQWSSHVVGKISPWLDLDSEDEILRLDSETTLKQEISWASHLSLQACLLPAPKGTTCANYARIPVVKPDDDSMDANTDTLVDTWEMWNSFRLQCEHHSQLSVALDILNTLPSENSLARWFGESVRAAIINTDIIISGNSVHPKTKVVADVSHNHSGADSQRHPLRPYLDYVGYLYQKMDPLPEQERFELGYRDFLQAPLQPLMDNLEAQTYETFEKDAMKYIQYQRAVSKALLDRVPDEEASVKVTVLMVVGAGRGPLVRASLQAAEETGRKLKVYAVEKNPNAVVTLHALVKLEGWEDIVNIVSSDMRCWNAPEEADILVSELLGSFGDNELSPECLDGAERFLKQDGISIPSSCVTSFRCICYFFTSVSRYTSFLQPVTASKLYNDVKAHKDLAHFETAYVVKIHNAARLAPSQPVFTFTHPKPDKESNQRYKKLHFVMPNDTGSAMVHGFAGYFDATLYKDVHLGIEPSTATPNMFSWFAIFFPLRSPICVGPGSTLEVNFWRCCGPKKVWYEWCVTSPSSSPIHNSNGRSYWVGL >OIV96759 pep chromosome:LupAngTanjil_v1.0:LG15:16393426:16395491:-1 gene:TanjilG_19918 transcript:OIV96759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGKENLKTEDLNLCFEKLMMMASGTGGNMKVGVITEWKDIPIELLVQILSLVDDQTVIIASGVCRGWRDAIYFGVSRLSLSWCSKNMNNLVLSLVPKFAKLQTLILRQDKPQLEDNAVETIANCCHELQILDLSKSFKLTDRSLYAIAHGCCDLTKLNISGCSAFSDSALAYLASFCRKLKVLNLCGCVRTASDTALQAIGHYCNQLQSLNLGWCDNVGDVGVMSLAYGCPDLRTVDLCGCVRITDDSVIALANKCPHLRSLGLYYCKNITDRAMYSLAQSKVKNRMWGTVKGESDEDGLRTLNISQCTALTPSAVQAVCDSFPSLHTCSGRHSLIMSGCLNLTSVHCACAVHAHHRAFTTFLHPAH >OIV96415 pep chromosome:LupAngTanjil_v1.0:LG15:19907881:19910033:-1 gene:TanjilG_09842 transcript:OIV96415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDMPTAVAVPLRVDNLVCDKSRIAAHMDVSRLKMMTNAGFLSNSITKFSTEAVIGSEEDHNGCNMDDGFCIVAVALMPPKQGGNREVPFLDTISQNKSSLVVGNEVLTPETEDDSLLLEGDPIIDSSCFLSVVSDNGSVCGDELMVTYEGIADLGTTSSIDIDKSNCAVSVVARAADLAESNVEADIMSEPLALAVSLEEETGIRSRPKPTIVVLHHQLPLEKGVSGTVCRSVFELDCIPLWGFTSVCGKRPEMEDAIAAVPRFLKIPIQMLIGDRVIDGINAFFSQQTTHFFGVYDGHGGSQVANYCRDRLHLAFAEEIEFVKEGLIVGKTKDDCQDRWKKVFTNCFLKVDAEVGGKANCESVAPETVGSTAVVAIICSSHIIVSNCGDSRAVLCRGKEPMALSVDHKPNRDDERARIEAAGGKVIQWNGHRVLGVLAMSRSIGMYFLIISFTLCDRYLKPWIIPEPEVTFLPRAKDDECLILASDGLWDVMTNEEACHIARRRILLWHKKNGSTLPSVRGEEIDPAAQAAAEYLSNCALQKGSKDNITVIVVDLKAQRKFKSKT >OIV96367 pep chromosome:LupAngTanjil_v1.0:LG15:20191310:20192811:-1 gene:TanjilG_09794 transcript:OIV96367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTFDRWEKDPFFNAAEEVQESSDRMESAYRTWIHAEKDPLSPWNNDELCRDVHTALGTAKWQLDEFQRAVGSSYSESSSEDAIIRHRDFISAIENKIAQIEHSLRESAHSGGKAHPTWVRLDEGECDELALFLSGMPSHEGKSPRKCIGSGSENPQSSDKDSFSKFSDNVRVSSGQGSYEAMEVKSHGHRRTASADANIPSWKIAVSGDVQIQNSSNNSSGPMHKVSSLSGFFNSMESVSKLKWPKNGYRKLQTVNHHEETDDMLLPTTQFNRTMKEVRVTLIVVVNVMISNCMGGMGLSKDSFKDLNTICNTVVLLK >OIV96391 pep chromosome:LupAngTanjil_v1.0:LG15:20054720:20056935:-1 gene:TanjilG_09818 transcript:OIV96391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKDQHHGLKIGTVLIMMLSLASTFGASSNNLHPLILIPGNGGNQLEAKLTSEYKACNWFCEKWYPLVKKKDGWFRLWFDSTILLAPFTECFADRMKLHYDTHRDDYYNTPGVHTRVPQFGSIYSLLYLNPRLKYVTEYMALLVGSLQQLGYSDGETLFGAPYDFRYGLASKGHPSQVGSKFLEDLKSLIEKASTSNGGKPVILLSHSLGGLFVLQLLNRNSPSWRKKFVKHFVALAAPWGGAMDEMLTYASGNTLGVPLVDPLLVRNEQRSCESNLWLLPNPKVYGNNTPLVITQNRSYSAYDMVDFLKDIGYPEGVYPYETRILPLIENIVAPEVPMTCIIGTGVRTVESLFYKNSDFDEQPEVLYGDGDGTVNKVSLLALQSLWKDEKNQSLEVIKIDGVTHTSILKDKVALGEIVGEINRINSHIQLRFSNLFVNNKVESDK >OIV96433 pep chromosome:LupAngTanjil_v1.0:LG15:19829182:19831651:1 gene:TanjilG_09860 transcript:OIV96433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIEKALRAIIVILFTLFGENLMLVNGDFNYKDALTKSIIFLEAQRSGKLPSNNRVPWRGDSALDDGKLANVDLSGGYYDAGDNVKFGLPMAFTVTTLSWAALFYKQELQATKELGHVQDAIKWGTDYFLKCSKHKKLYVEVGDPHEDHKCWVRPEKMKTKRTVLMIDNNTPGTEIAAETAAAMAAASIVFRPIDRKYARRLLNRAKLLFQFAKSHKGTYDGECPFYCSYSGYNDELMWAATWLYYATLKPMYMKYIQEDVISASVAEFSWDLKYAGAQILLTQLHFQGHKDLEEFKIHGDSFICSVLPDSPYNQIKLSSGKNPEGRSYMVGFGKNPPKQAHHRGASVPKASKDEVVSCAMSFTKWLNVDSPNPNELTGAIMGGPDKNDKFVDKRTASSYTEPCTYVNSLAVGPLAKLASLG >OIV97093 pep chromosome:LupAngTanjil_v1.0:LG15:9455917:9458602:-1 gene:TanjilG_15794 transcript:OIV97093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLETKDPVITLFGQKIPFPEETPTISGDKMASSVAVIDVNKDEEEEETQFDASESEEEEKDQETKDPQAEEVTEKKKEDDSLPSAAETNKNSGALPDAIQNPKTPSIDEETENSKKGKLETDQSDSTNNSQDKTLNKPDKVIPCPRCNSMDTKFCYYNNYNVNQPRYFCKACQRYWTAGGTMRNVPVGAGRRKNKNLASHYPHITISEELQVARFDVPNATHLPTLKKNVRVLGFGLDPPNCDSMASVINRSDKEILNGTESGFLSNENQRNPCTVGEKGGDCSTTSSITVSNSVEENSKSTSQESLQLPNNGYLPRVPCIASVPYPWNYSTPSPAFCPPGFPMPFYPAAFWNCSVPGNWNVPWLSSHSSAPTPNSPSSGQNLPTLGKHSRDDDMTEQDCSHDEPPKQRNGSVLVPKTLRIDDPSEAAKSSIWETLGIKNEYVGGGSMVKAFQSKKDGKNNNHVVETLPALRANPAALSRSLNFHENS >OIV97060 pep chromosome:LupAngTanjil_v1.0:LG15:10618932:10621223:-1 gene:TanjilG_08279 transcript:OIV97060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATTNIGLQFHTNYVSNKVNMNIAFEARDVLKMGLYSSDQINTLDNKRRFLSSNGGSHIVNKINVMKENVIDVPNESLEDAIVAISRGRFVEDKFVYRQNFVVRSYEIGPDRTVTMETLMSFLQETALNHVPNLGTGGPGDDFGVTLEMSLRKLIWVVTRIQVQVHRYSKWGDEIEVDTWFDVAGKNGMRRDWLVRDHNTKEIIARATSTWVVMNKETRRLSKLPDEVKQEGLPFNFSRRAIAIEETDTERIEKLTDDTAEGIRSGMAPRWNDMDANLHVNNVKYIGWMLESVPKEVLKEYNMSSMTLEYRRECTESDLLESMTSPAEKVTGDTNNNSIIQKSNLQYSHLLRLQDNKAEILRARTQWHLKQKKN >OIV96490 pep chromosome:LupAngTanjil_v1.0:LG15:19375494:19376156:1 gene:TanjilG_07882 transcript:OIV96490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLSFARIIMRLFPLPIFLCILLKQMSLVLGDVGTASSYGPPYIPTVCDGNRAEQFPPGNMFVAVNEGLWDNGAACGRRYRIRI >OIV97375 pep chromosome:LupAngTanjil_v1.0:LG15:1761984:1771795:1 gene:TanjilG_07127 transcript:OIV97375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSKFDLSSRSPDKPLYTGQRGSHIAASLDRSGSFHESVENPIPPSLPNTSRSSSSATQGDVMTFFNYVHFDHKLVAPEHKSNRQTDYKRHISAALGIPPDEPSSTSTKTKQLPSLVPEDIRRIKDGLHTNFRRGRERAKMFGEALSGFNKVFPSITSKKRSRAEGFSNDRSTFTLSDRSVSGQSIGKVGVQGHAVTGGFEHEQQKSDERIKNVVPNKRTRTSLVDVRMDVRNNSLVRPSGTVDRDKEMLRIANSGAVQGEERILPIGGDGWEKSKMKKKRSGIKPDGSPSTAMMKPVNSFHETKQGMQQRLGTDARSKFSNDSHSFRPVISNGSVGVGKPDSLSPQTGSGIRVTTPRSDQDNNSATNDKRDRPVNSDKEKVTFRAVSKATFCDDSNSASPNLSAKTNTSVRAPRSGSGVAPKLSPVVHRAAVSNDWELSHCTTKPPAGVGTNNRKRVASARSSSPPVAPWQRPQKSSRTARRTNFVPIVSSNDDSPASDSVSDVAANDLGLGFSKRMASTSPQKIKLKGDSFSSAALSESEEFGVAEIKPKERGRKQEEIDHKAGQNVQKVSNVVLPTRKNKLVSGEEHGDGVRRQGRTARSFPSTRSQIPIEKLGNIGTAKQLRSSRLGFEKSESKAGRPPSRKLSDRKAYARQKHTAISAAADFLVGSEDGHEELLAAVKGVINSAHALSSQFWRQMEPFFSLINEEDITYWKQKRILESSRLMSTPEAVANGFGLIDCERDVGPGGQRSAGIVTEQLQVAKGDHSAIPLCQRLISALISDECSSESEDLKFDTYDTEFETNGELELSGLDHYSQANYQFICHSAYNGYRMTRKLEHIETESDIVDIPRTGFNSSFGSSVNGLLHHKASMPIFTCSDSQYDALDLSDRLLLELQSIGIYPELPELPQADDEGLREDISRLEEHYLRQVSKKKGLLDGLLRSASVKKELQERQLHFNMDFEHHALDKLVVMAYHRYMACWGPIPSGGKNPSSKMAKQAALGFVKRTLERCHQFEDAGKSCFNEPLFKDMFLAASSQLSILRQLDGMEVESAKPYAASLSLEARIAFIGSQQSPLQFSQNMDNHDLNSSDICPAINNSSEQNNGKEDLWSNRVKKRELSLDDVGGTIAPLGIGGSLSSSTKGKRSERDRDGKGQSREVFSRNGTAKTGRPASSNAKGERKSKVKPKQKAPQNSVSVNGLLGKLSEQSKPVLSSVSVSNGMSTNNNAKEKDKFGLGELDDDHETIDLSNLPIPGMDVLGVPDDIDGQGQDLGSWLAFDDDGLHDNDCMGLQIPMDDLSDLNMMV >OIV96705 pep chromosome:LupAngTanjil_v1.0:LG15:17562164:17566214:1 gene:TanjilG_09247 transcript:OIV96705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSMGLPATENLLETKEINERSFSSDGKAHHHIYKVGIPPKQNLFKEFKATIKEAFFADEPLRHFKDQTKLRKLVLGIEAIFPILSWCRTYNLKKFRGDIISGLTIASLCIPQDIGYAKLANLDPQYGLYSSFVPPLIYAIMGSSRDIAIGPVAVVSLLLGTLLSDEIDPTKHREEYRRLAFTATFFAGITQATLGICRLGFLIDFLSHAAIVGFMGGAAITIALQQLKGFLGIKTKKFTKKTDIVSVMKSVFNTAHHGGKKNNKYFWVPAIAPLISVVLSTFFVFITRADKHGVDIVGLIERGINPSSVDKIYFSGDYLSKGFKIGVVAGMVALTEAVAIGRTFATMKDYQLDGNKEMVALGAMNVVGSMTSCYVATGSFSRSAVNFMAGCQTAVSNIVMSIVVVLTLFFITPLFQYTPNAILAAIIISAVIGLIDFEAAILIWKIDKFDFIACMGAFFGVVFISVEIGLLIAVSISFAKILLQVTRPRTATLGKIPRTSVYRNTQQYPEATKIPGVLIVRVDSAIYFSNSNYVKERILRWLIDEEEQVKGDYQTKVQFLIVEMSPVTDIDTSGIHALEELYRSLQKKSIELILANPGPVVIDKLYTSNFANLIGEDKIFLTVADAVAYCSPKMAEDP >OIV96903 pep chromosome:LupAngTanjil_v1.0:LG15:13188628:13193546:-1 gene:TanjilG_00485 transcript:OIV96903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANIFKSNSVRSLKQLSQTLASTHHRNPLSQFSSVRYLRTGRDPISRNYETIPPLNWGIRIVPERKAFVIERFGKYAKTLPSGIHFLIPFVDRIAYVHSLKEEAISIPDQSAITKDNVTILIDGVLYVKIVDPKLASYGVENPIYAVIQLAQTTMRSELGKITLDKTFEERDTLNEKIVESINVAAKSWGLECLRYEIRDISPPRGVKAAMEMQAEAERKKRAQILESEGERQAHINIADGKKSSVILASEAARMDQVNRAQGEAEAIIAKAKATAEGLAVVSQSLKENGGPEAASLRIAEQYIQAFSNIAKEGTTMLLPSSASNPANMMAQALTMYKSLIGNVPRDKHSGSSSHAIAGQLEGNDSSREVADQRSTTATTTNDIPDYHGKSGFSLQSPPKRE >OIV97058 pep chromosome:LupAngTanjil_v1.0:LG15:10603327:10611229:-1 gene:TanjilG_08277 transcript:OIV97058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDPPPSSSSSSTKARGSNDSNTSIGGLNLLNDDLVQNILARLPAPSFASAACVTKSWSFICNRILFRPKLSTALSLNPLLHDAVNEVVNKVLSDPIRPHFAIVNVGSGFELSKTLQLIRGRLGFNIPIIVSVANGIIGSDAVTDEFKEVKWGVLFSGFSGDGYTRNINEGIVLTVGYLPGLKVEAIPLVRPSKASQARYIDDFVMDINEYSASVSIRPFPVAVILIGEASSDMKPVMEKLDYAMPKDTVIVGDERGCFIYRSGNDSRNACGRRGDIEAVALVFAEDRDRISGKIKFHVALSNGVSAVGAKYKTASVRTSSTDSSTWLTARREGQQEHLDGQTILHDIHELLENHIESPDLYIGVIKHRKFSIGVEKPMPRTCIAYHGVVGGDEEYLYVDGIGIRTGDIFQFYRSDPNSASASLTKVHDSLRNIKLEGNSKTSKTDVDNNVDVFGGFIFACYGRGESFFGHVNVDSSPFLENFPGVPLAGIFCGGEMVRPCTTFFGECQEGSSISCCLHVYSTVYLVMSYTPL >OIV96934 pep chromosome:LupAngTanjil_v1.0:LG15:13697805:13700477:1 gene:TanjilG_00516 transcript:OIV96934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAENVGTKIDSSSQNLDNCVVSPETAEVEKSKPINGSINGDDQNLNGEFNHHDLAPKTVPVPNGNYKAEMGQVGNGFEGNGVQTQQIVVNNNGYGGVNGENGNESFQKDMRDLEEILSKLNPMAKEFVPPSVTNNLGYFAGPGAAGFVYPNNFLFPNNYGNVNAQNNRRRKNGYNQGKRRVNNKIDMERREEMVRRTVYVSDIDQLVTEEQLAALFLNCGQVVDCRVCGDPNSILRFAFVEFTDEEGARAALSLSGTMLGYYPLRVLPSKTAIAPVNPTYLPRSEDEREMCSRTIYCTNLDKKLTQADVKQFFESICGEVQRLRLLGDYQHFTRIAFVEFAVAESAIAALNCSGVILGSMPIRVSPSKTPVRSRVPRGPMH >OIV96968 pep chromosome:LupAngTanjil_v1.0:LG15:14814897:14819147:-1 gene:TanjilG_00550 transcript:OIV96968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDEIVKEGSVALDFVNSEPVREEQVENADSPSSVETAGISQDGQLKPSSNIQQQAYPQALQPSVSANTGVTTSLRTLSGFTFRWSHALIAVGLLVTSGAGTTILIKNSILPRLKSWIHVVVLEEDDLSKGKDSKPTLAEEAAQAAKAAAVAAADMAKASQEILASQSEDRRYYVEVVSLLDKQVQEMKLMTNAIRRLEVKSSSQPASAPHSKSYMEIMAMIQRGEKPSNIRVYQFCMAWYLYGKVLMQDILMIHHPTLISNHQKPRLVPRTKPWEVGQVQVNGKDFNSSVEDNGDIPAPWWQTKNVRTSEIDSGVEYNRTPNGAASGQ >OIV97145 pep chromosome:LupAngTanjil_v1.0:LG15:7732060:7737065:1 gene:TanjilG_00174 transcript:OIV97145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFGSERSDSDHGGEVKDEKRSDSGVGDETAVIKEPPMTNTKRTIPEDGPSASINKKEEVDELENAKAKMREIREENQRLKMCLNRVMNDYKTLQVHIHNITQQETPNSSDKENTNLQEIIKESELVSLSLGNFLSNPRNDEKAKTQMKEKEYENLSLGLECKFETSKSTSTTEHLLKPSPENSSEVPKEEEAGETWTPHKDEVSLENPAKKTRVCVRARCDTPTLNDGCQWRKYGQKISKGNPCPRAYYRCTVAPSCPVRKQVQRCAQDMSILITTYEGTHNHSLPLSATAMASTTSAAASMLLTGSSTSHYSSMPPSSATTTTSSNLHHGLNLYLPNDGSKPKQFYLSHPALSISSTSQSHPTVTLDLTSNIPLSSSSSAPNFGGRLFTSSNYNPITRPLLYPSSTSLNFTSSESNAMSWSNGFLSHNAQPYSNNNNRNILSNINLGRQPMENMYHSYMQRNNNNAPIPHQEAQHSMPDTIAAATKFIIADPSFQSSLAAALTSIIGTGNTQGNQSGGEDSGKNKKWGDLFPSSSSRDNNN >OIV96613 pep chromosome:LupAngTanjil_v1.0:LG15:18394161:18399921:-1 gene:TanjilG_28470 transcript:OIV96613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVRKVGKYEIGRTIGEGTFAKVKFAQNTETGESVAMKVLDRSTILKHKMVDQIKREISIMKLVRHPYVVRLHEVLASRTKIYIILEFITGGELFDKIIHHGRLSEAESRRYFQQLIDGVDYCHSKGVYHRDLKPENLLLDSLGNIKISDFGLSALPEQGVSILRTTCGTPNYVAPEVLSHKGYNGAVADVWSCGVILYVLMAGYLPFDELELTALYSYQLLWSTLFYLVSKVTENMLCLMINTAEYACPAWFPVGAKSLINRILDPNPETRITIEQIRNDEWFQGGYVPVSLLEYEEVNLDDVNAAFDYDEEPKANQLQENEDTGPLLLNAFEMIILSQGLNLATIFDRGQDSVKQHQTRFISQKPAKVVLSSMEVVAQSMGFKTHIRNYKMRVEGVSANKTSFFSVILEVFEVAPTFYMVDIQKAAGDANEYLKFYKNFCSNLEDIIWKPSNEASKSRISKTKNKRR >OIV97382 pep chromosome:LupAngTanjil_v1.0:LG15:1699290:1702721:1 gene:TanjilG_07134 transcript:OIV97382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSLSLLLSAWKEIVTHSLFGLSFNITLGSKNSAVFLRTPSFKKRESDTTTTSSRFKGHRPQKVTLQRNLPYNKENNMDYESVSEELLLQHKPVPILSLPESAVFSSPRPVSELDAAATKLQKVYKSYRTRRNLADCAVVVEELWWKALDFAALRRSSISFFDVQKQETAVSRWARARTRAAKIDPRHRYGHNLHFYYDIWFESQSTQPFFYWLDVGDGKEINLEKCPRNTLQRQLIKYLGPKEREEYEVIVENGKLVFIQDGRLVDTDGKSKWIFVLSTTRSLYVGRKKKGAFQHSSFLSGAATTAAGRLVAQQGLLKAIWPYSGHYHPTEENFKEFISFLEEHNVDLTNVKKCAVDDDDPTFSETNSFIATNESKQIMGPSQSSSETNNIQVNNNDKEINNAPIDKVVEAHSVFDYSKRLSCKWSTGAGPRIGCVRDYPEHLQLRALEQVNLSPGPASARNSSYGPIPSPRPSPNVRISPRLAYMGLPSPRA >OIV96344 pep chromosome:LupAngTanjil_v1.0:LG15:20335684:20348757:-1 gene:TanjilG_09771 transcript:OIV96344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSSADDAELRRACEAAIEGTKQKIVLSIRVAKTHGTWGKTSKLGRQMAKPRVLAISTKAKAQRTKAFLRVLKYSNGGVLEPAKIYKLKHLSKVEVVTNDPSGCTFTLGFDNLRSQSVAPPQWTMRNVDDRNRLLFSILNICKDVLDRLPKVVGIDVVEMALWAKENTPKVTTQNKQEDGHSVESTATETELKVNVEKDLVSQAEEEDMEALLGTYVMGIGEAEAFSERLKRELQALEAANVHAILESEPLIDEVLQGLEVATHCVEDVGEWLGMFNVKLRHMREDIESIEIRNNKLEMQSVNNRSLIDELDKLLERLSIPAEYSACLTGDSFDEARMLQNVEAVEWLISAMRRLEMNMDPTYAKMRGVKEKRGELQILKSTFVRKASEFLRNYFASFIDFMMNDKSYFSQRGQLKRPDHADLRFKCRTYARLLQYLKVLDKNCLGPLRKAYCCSLNLLLRREAREFSNELRTSTKAPRNATIWLEGSTGSGQNVNSADTSTVSDAYAKILTIFIPLLVDESSFFAHFMCFEVPTLVPPGGSGKKTGNEDDANDDDMEKDSKSGKNSAELAALNEALQDLLDGIQEDFYAVVDWAYKIDPLRCISMHGITERYLSGQKADAAGFVRLLLGDLESRISMQFSRYVDEACHQIERYERNVRQMGVLGYIPRFASLATRMEQYISGQSRDLVDQAYTKFVSIMFVTLEKLAQTDPKYADIFLLENYAAFQNSLYDLANVVPTLAKFYHQASEAYEQACTRHISMIIYYQFERLFQFARRIEDLMFTVQPEEIPFQLGQLGLSKVDLRKMLKSSLSGVDKSIAAMYKKLQKNLASEELLPSLWDKCKKEFLDKYESFAQLVAKVYPGESIPSVKEMKDLLASM >OIV96829 pep chromosome:LupAngTanjil_v1.0:LG15:15502950:15504960:1 gene:TanjilG_08690 transcript:OIV96829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTNLGADQLGSVSITNKVPQRNQLTGDFLLEPIIVNSNIKIPPVIQELIKITSEGLVKGSKPIQSTEGSGGAYLMQDSSGLKYVSVFKPIDEEPMAINNPRGLPVSVDGEGLKKGTRVGQGALREVAAYILDHPRKGPRLCHDKELQGFAGVPPTVMVKCLHEGFHHPGGYKNVSGNVKIGSLQMFMRNIGSCEDMGPGAFPVEEVHKISVLDMRLANADRHAGNILVAKDGVDGRTVLIPIDHGYCLPESFEDCTFDWLYWPQAKEPYSPDTIDYIKSLNAEEDIKLLKFLGWDLPPKCARILRISTMLLKKGAERGLTPFNMGSVMCRETLKKKSVIEQIIQEAEETALPGTSEAEFLDLVSVIMDNHLEELYP >OIV96823 pep chromosome:LupAngTanjil_v1.0:LG15:15564177:15571639:1 gene:TanjilG_08684 transcript:OIV96823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRSSKHKSSKHGSRDAREYSDSERDSVVKDRKSKDDSATAASKLEKRRVVMDSNVKEGNYSDEYGGGSSKRRKDGGDRWNGGGDDDRGGEVRNKDSKSSRGREDEGEEVKRSGGKHRDSTISAGRKESRESERRLKDVRSEGIVDAADDEELHQQRVNKQVLENNSDSRKIDELRSLELDNQLERRMRKRRDNYVDGGKLQDDAGDGYDRNQDDNAKDGKKKDDRRKDEKHRDKYREDMDRENKHRHDKQRDERPTKDHTSIRSDDKHSREEKNNLESRHKRTKLPDGDRDHSRNGERERNRDFEYVRDRERRSERGRDRDYDFDRDRDHDRDRDWDWDLDQYYDRDRDRDRERDRHRDGSYVDERSARSKEGGTKKRTLDDRDEFSDSKSRVVKSHYPDAEKRSLSNSKADSDIDRGRSQPRQVHADSSGTSNKYRSSPVSNSHIGKDEYRNANAEDPKYRDSTMEQRTKGSREGYSGISERAPKYKLMEKPTKLDEGPVGDLSTERSSGAKASPKGLMERSSSTSIERRHVNKSGARDDRLGRESTLEKPLLDEPSQPDSSFYGRTSQTNASLIPPPPSFRAALDRPYMGSLEDDVRDNPNNRYRRNGEPGFGRVHGGNSWRAVPNWTSPVPNGFVPFPPGPAHGGFQTMMPQFTSQSIFGVRPPMDHAGIPYHIADGDRFPGHLHPPLGWQNMMDGTRPAHLHGWDSNNGIFRDDPHMYGGTDWDRNRHSTNSHGWDSGSETWREHNSDSKKDLISPACKDESVPAVVNNGVIDQATKISPDEHNRDEFHNKSPETKLASLSSPAKVPLNSSSATILEKVHDTSTQSDSSSLLSRFYLLKLDISKDLVLPELYDQCMCVLNADKNESTDADVSKEPFLKNDRRAQQKFAATLSRQHPFPEIDNSVFQRAMDLYKKQRVKLPNERELGIIVPSNQMEVDESLPASSLENKQVSVSASDGTKDVLIPAVELEKMNAVSPAKQHLEETNQTCNQMEQDYDSTHSLKMDMTDKSSVRENQEEAIAALYENEGEVTSDKVKSSEEESYSMASENEAQLAPALQEGGDNMNSKAKTTGDDDTKVNPLILVDGSPKVCDSLMPGSNESESLILNRIHHSPESTH >OIV96679 pep chromosome:LupAngTanjil_v1.0:LG15:17262349:17264328:1 gene:TanjilG_09221 transcript:OIV96679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGKVSFKVTLTSDPKLPFKVFSVPEAAPFTAVLKFAAEEFKVPPQTSAIITNDGVGINPQQSAGNVFLKHGSELRLIPRDRVGAS >OIV96735 pep chromosome:LupAngTanjil_v1.0:LG15:17848485:17851708:1 gene:TanjilG_09277 transcript:OIV96735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQPASDNSPTLAEQYFVNETQEKTDIAAKHAEVKEVENPNEAASEEAPVEETVDAPVKEQETVEVPVVETVEAPVAETVEAPVSETIEAPVSETVEAPVAETVEAPVAETVEAPVVETVEAAVEKTVEATPTVVEESSEATLPAEQSTDPTSNTPEVINEATIEQSSGNTAEENAGDQVAAEETPGIKIETAPADFRFPTTNQTRHCFTRYVEFHRCVAAKGEDAPECDKFAKYYRSLCPGEWVDRWNEQRENGTFPGPL >OIV96819 pep chromosome:LupAngTanjil_v1.0:LG15:15642739:15644760:-1 gene:TanjilG_08680 transcript:OIV96819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNISSFPSDFLGKDNILELLWSEPNCKDCERHRNRCGWKNYGDNNETRCFVNHKGSTPVLVTTGSVLGSLFAVFLVCAVYHFYDSYTLRKEKQAIFDKFLEDYRALKPTRYSHTELKRITNNFTDKLGEGAYGVVFKGSISKEFIVAVKMLNNSQGNGEEFINEVNTMGRIHHVNIVRMVGFCADGFKRALIYEFMPNGSLQNFKNSPNNKKSFLGWQKLYEITLGIAKGIEYLHQGCDQRIVHFDIKPQNVLLDGNFSPKICDFGLSKLCSKEQSLVSMTTARGTLGYIAPEVFSRNFGNVSYKSDVYSYGMMMLETIGGRKITEDIEENTSHVYYPEWIHNLLEEGDEMRVHIDDEGDAKIARKLAIVGLCCIQWHAAHRPSMQMVIQMLEGTEDRLQVPPNPFASAGTKRKFGSAGIAAMQLNQGLEVIQELE >OIV96750 pep chromosome:LupAngTanjil_v1.0:LG15:16761796:16764851:-1 gene:TanjilG_11746 transcript:OIV96750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQDNTQNMVKIIEQSQLAPPHDSVPSTNLPLTYLDIPWFYCHPIKRIFFYEFPLPTQHFMQQTLPILKHSLSLTLKHFFPFVSKLIVPPQPSIPYIRYINGDSLPFTVAESDANFKVLISDSPQDVRVLHPFVPSMPSHIVEEDGTRVFPLMAIQVTVIADSGFSICLTFNHLAADGKSLHHFLKFWASVCKAKGDLASIESSLPLPFHDRDKVKDPKGLKLIYLNELRDPISKEMEFAGLVRDVSSNKVRATLILTRDQIEKLKKWVSIKCTTTYNSRTLLHISTFVVTCSLMWASMIKSEESKGNNNCVTKDYDELCYLILLADCRNIPELSLPSTYFGNCLATGIVAIKRGIIVGENGIIEIANAVEREVRDLKSESDVKKKFDTLMSDYKELGKGEKSKLVIAGSPKLNVYDTDFGWGRPKKSESVHIESSGSISLSDCKEKGSGIEVGLALERIQMNNFTNILEEFLNTIVV >OIV96604 pep chromosome:LupAngTanjil_v1.0:LG15:18285541:18295813:-1 gene:TanjilG_28461 transcript:OIV96604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNSDLVRAIESALGVSLGDSVSDSFVLIITTSAAVIIGLLVFLWKKSSDQSSEFKQLVVPKPLVTDEDDDVDLSEGKTKVTVFFGTQTGTAEGFAKALAEEVKARYEKAAVKVVDLDDYAMDDDQYEEKLKKETIAFFMVATYGDGEPTDNAARFYKWFTEGKDERGTWLQPLTYGIFGLGIVIDGDLTEQGAKRLVPLGLGDDDQSIEDDFTAWKETLWPELDQLLRDECDANTVSTPYTAVIPQYRVVIHDPAVTSSHDNQLNTANGNVVFDIHHPCRVNVSVQRELHKPDSDRSCTHLEFDTSGTGITYETGDHVGVYADNCDETVEEAGKLLGQDLDLLFSLHIDNEDGTSLGGAVPPSFPGPCTLRTALARYADLLNPPRKAALVALAAHASDPSEADRLKFLSSPQGKDEYSKWVTGSQRSLLEVMAEFPSAKPPLGVFFAAVAPRLQPRYYSISSSPRVSSQRVHVTCSLVYGPSPTGRIHKGVCSTWMKSAVPLEKSRDCSWAPIFIRPSNFKLPADHSIPIIMIGPGTGLAPFRGFLQERFALKEDGVQLGPAVLFFGCRNRQMDFIYEDELKSFVEQGSLSELIVAFSREGPEKEYVQHKIMDKAAYLWSLISQGGYLYVCGDAKGMARDVHRTLHTIVQQQENVDSTKAEAIVKKLQMDGRYLRDVW >OIV96274 pep chromosome:LupAngTanjil_v1.0:LG15:20844106:20846100:-1 gene:TanjilG_05114 transcript:OIV96274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQQCDLRPKGVSFPCDIIPRGVAIYCLVNYINIAPFVGNLSCKSKNDAHEKPRSSVLTLSFCAARLAKHLSQDVVSSNGKRAFLVDTLQLVRGLEAQGLPSNQAEAITSAITQVLHDSLENVSHSLVSRSELKMSEMLIKSDLSKFKSEVQSSQEHHFSLLQLETEKIRNDVEKMRSELRNDIEKMRSELRYEIDKVTAGQRLDLNLERGRTRDELANQSAETTALSNKVDREVHALKAQLEAAKYDVVKYCIGTLVSISAVGLAVIRILL >OIV97374 pep chromosome:LupAngTanjil_v1.0:LG15:1775906:1777893:1 gene:TanjilG_07126 transcript:OIV97374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGIFPTVAVPFSVGNLIQKDITGLEIVTNTIEAFILNPETENHTDIISPQCQNTVSEEVNVADLVSEMVIKESATLRTNCDEIVSPIGVEGDDNIHGSGSDGCDPKLTVEPPEKLPCRTSYQNAPELSGDYPLYGFLSVCGRRQEMEDAIAVKPQLFQVPSHMLMDDYVNGNTKYSPAHFFGVYDGHGGFEVANYCQEHLHSVLIKEIEVVEAMLAEKNERNNWQDHWKKAFSNCFHKVDDEANSGSNNGNESECSIESLATETVGSTAVVAVLTQSYIIVANCGDSRAVMCRGKEALPLSADHKPDREDEWTRIEASGGKVIQWNGYRILGVLAVSRSIGDRYLKPWIIPEPDIMFVHRKKNDECLILASDGLWDMMTNEEACDIARKRILIWHKKNGNNVSMGQGHGVDLASQYAAEYLSRVALQRGSKDNISVIVIDLKAQRKFKTKAES >OIV96766 pep chromosome:LupAngTanjil_v1.0:LG15:16519662:16524041:1 gene:TanjilG_19925 transcript:OIV96766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMGKNSRGEGRKSSRYCSIVSSIAVFVVFCFAGIWIVMSSIVQMPNLLDSVIQLSDLVNEGNHIVSENGSQQFKVNLGDLLKESMRGDSQTSKSQSASHPENLNDKKRIENVSDDTAEANQQEVVRDSFSEKHDLEKGSENTIEENYQIGKVKPRTEKKESGRNLNSEFVETETLDHQINNDELRGLAQALDEKKSDKSLNDYILGTMMDGVIEEDKINKLLPSKTKESTSESNMEIQEHSQVSEGVSITNQYETLNEGRKGHENLSTEAVESQYENESQKFSISINRGKYDWKLCKTSAGSEYIPCLDNVQAIKKLRSIRHYEHRERHCLDEASTCLVPLPEGYRIPIKWPKSRDMILYNNAPHTKLVEFKGHQNWVKVMGEYLTFPGGGTQFKHGAINYIEFIQKSLPNIAWGKRSRVVLDVGCGVASFGGYLLEKNVLTMSFAPKDRHEAQVQFALERGIPATLGVMGTKRLPFPSSVFDLVHCARCRVPWHIEGGKLLLELNRVLRPGGYFVWSATPVYRKDPENVGIWKDMSEITKSMCWDLVVIGEDKLNGVAAAIYRKPTDNECYNRRPKNEPQMCNEFDDPNKAWNVSLQDCMHKVPVGPSERGSIWPERWPLRLEKPPYWLDSQAGVYGRAASVEFTADYKHWKNVFYHSYLNGIGINWSSVRNVMDMRAVYGGFAAALKNLRVWVMNVVPIDSPDTLALIYERGLFGIYHDWCESFNTYPRSYDILHADFLFSNLKGRCNLVAVIAEVDRILRPKGYLIMRDNVETIGDASMVHFAFDAGILNVGYPDCV >OIV97468 pep chromosome:LupAngTanjil_v1.0:LG15:108020:119085:-1 gene:TanjilG_10992 transcript:OIV97468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHHPKLKFIFFFIFFCFFFFSINIATADNNDDSTTMSSLLKSLSPTPSSWSSTTSYCSWKGIKCDSSNRVTTINLASNSLTGTLPTTLNSLSQLTTLSLQNNALSGPIPSLSNLTNLQTVFLDSNNFTSIPDNCFSGLPTLQTLSLTQNLNLKPWTIPTDLTQSSNLVQLDFGTSNLVGSLPDMFDSLLSLQSLRLSYNKLSGSLPKSLSGSNIQNLWLNNQNDGFGFEGGIDVLSEMVHLNQVWLHKNKLTGSIPDLSNCSSLFDLQLRDNILTGVVPESLTGMSSLKNVSLDNNMLQGPVPVFGKSVQVTLDGINSFCSTKPGEACDPMVNTLLEVAKGFGYPVRLANSWQGNDPCNGWTFVVCAEGKIVTVNLAKQGLNGTISPAFANLTDLTNLNLSGNNLTGSIPDSLVSLAQLVVLDVSNNNLSGDIPKFGGKVKLTSDGNALLGKPGGGGGGSGTVPSTDSGNAPGGSPPKVGNSSSLSPGWIAGIAIIAIFFVAVVLFVFCKCYAKNRHRKFGRVDNPEFRKGEVKIDVSSVSNSNGYGGVPSELQSQGSDRSDLPVFEGGNVAISFQVLRQVTDNFSENNILGRGGFGVVYKGELHDGTKIAVKKMESVAMGSKKMNEFQAEIAVLTKVRHRHLVALLGYCINGNEGLLVYEYMPQGTLTQHLFEWHENGCAPLTWKQRVAIALDVARGVEYLHSLAQQSFIHRDLKPSNILLGDDMRAKVADFGLVRNAPDGKYSVETRLAGTFGYLAPEYAATGRVTTKVDVYAFGVVLMELITGRKALDDTVPDERSHLVSWFRRVLINKENIPKAIDQTLNPDEETMESIYKVAELAGHCTAREPYQRPDMGHAVNVLVPLVEQWKPTSNGEEGDDIDLHMSLPQALRRWQANEGTSSMFNDMSFSQTQSSLPANIADSFDSMDCRGTDTPNMCPYMENLGFGPNIHNIQGLFTNTSCTSTSTWYATNQFSLEVIFHNKMKKYECLTNDSSLANAIFVPYYAGLDVSQFLWVSNITIRDSSGKELLQWLVKRDEWKKMWGMDHFLVSGRISWDLRRQFDNESYWGSKFRFLPESMNMSMLAVEASSWKNDYAIPYPTSFHPSSKTEVLQWQSKMRLQERPYLFTFTGAPRPELKGSIRGIVIEQCLGSSACKFINCSYGSGEKCDDPVNVMKVFSNSVFCLQPPGDSYTRRSIFDSMLGGCVPVFFHPGTAYSQYKWFLPNNRTKYSVYIPVKDVKEWNVNVEKVLIGISQDEAVAMREEVIKLIPNIIYANHKSKIDKFEDAFDLAVKGILERIEEKPSFETFQLFSSTSAFGIFDDVSSQQPPSIPPPPTVEVHASQVPSSFNHNVDSVNIDDGVTLFKGRVNTQQVFGLSNSDLVPGKYEGGLKLWEGSLDLIRALLSDIKSDFISFGGKRVLEVGCGHGLPGIFALLEGASAVHFQDFNAEVLRCLTIPNLNANLPGKSHPSSSSSSTICNKAEVRFFAGDWSDVDKLLPHVGYDFILMAETVYSINSLQNLYNLIKKCLKHPDGVVYMAAKKYYFGVGGGSRRFLSLVEKDGIMSSSLVAEITDGSSNVREVWKLSVK >OIV96751 pep chromosome:LupAngTanjil_v1.0:LG15:16735347:16737033:-1 gene:TanjilG_11747 transcript:OIV96751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRTPCCDKNGLKKGPWTPEEDLKLINYIQTHGPGNWRALPKNAGLLRCGKSCRLRWTNYLRPDIKRGRFSFEEEEAIIQLHSVMGNKWAAIAAKLPGRTDNEIKNYWNTHIRKRLLRMGIDPVTHSPRLDLLDLSSVLRSALCNPSLMMNMQGLLNSSTQAPLVNPELLKLAATASLLSMKIENPHLVSQNIQQQINDGNFQVQQNQGTLPQEFMNQFDQTLNQSTNVNGLSENMVNMSNSSSLGNLISQQNQVGLLENSEFGQFLNNANQNIGYESVLSTPLSTPTSLNSSYVNSSTEEERETYCSDIFKIEIPESLDISDFL >OIV96894 pep chromosome:LupAngTanjil_v1.0:LG15:13077519:13083476:1 gene:TanjilG_00476 transcript:OIV96894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYAIDGDVPLDYASIQIFPNQNRYETFVFKGKQSEKVAAGQLEHLLPHIPALNDLYDKGCDASFDLKLPENLHGAEWFSKATVKRFLQIVSSPDLVNDVNIVMGEMCQLEDSKKFHVSIYGKPEVNNASSETSKNKLLRAMDLRLTALKSKLSETLNKAVGATCSTKDMAYLAKFSQHFGATNIELSMRKFIELNQKSEDVGPLNNETACDVTNGGANGVVKGLQISRSLHSDSPVKYGVSPAKAAQVERYSSTESEESSNSSDEGQTSTERSRSLIRSATPRRSASPMRRVQIGRAGPRKAAALTIKSLSFLPARERPISFRDAAEDYSDGEVSKQPHKKTEIDVRRITVQDAISLFESKQRDETTGTQQRKSLTDVSISTNKSVLRRWSTNSGMGESSFHGQPELVPEDPIPVSSKDVAHVEIPKNSEGGVVTDFISESHNNNETTDCDVKSERQEIVGSYNVDNQEETDPIVREEIVDKLEASEEWNKRKQAEFNQILKKMVESKPVLFGKSQPSRKQNIKFEQRGGSYDHYKEKRDAKLRQEKPGKRVEKEAQFQEMQQLLDKKKAEMLSKSGSETKKSSTRLPQKSLRNSTQPANSPKETAKPSVTKKTSSKTSVLPATRKSWSATPSPRAVATSPAKARSGISSASTTPIRRKPMSTAAVPQPIPQRDKSLQRNRNDKETQTSNAKSLKGTNEKRQPAVPTKSNPIKAKITTSSGETSVTSKIGLGNRGTKKSSVVPLESKPFLRKGTRTGPSTGNINKKKSPLKLDKPLRESEDLIEDQESESVVNASDLVSQHSDRNQNTATEPESQISNHLQCDGTENLDQDHTESGDILKDIEESSLKIANEEESTISPSAWVETEEDLEMPKLCEDITFQPAFASNVPAAGSTSPRVRHSLSQMLQDEISEPETCEWGNAENPPAMIYQKDAPKGLKRLLKFARKSKGDTGSSGWSSPSVFSEGEDDAEEFKNSNKRNADNLLRKAALNVKSYGQPKCSVHEGYERNSDDGKGSHKMQEGRDSGAGTTRGQFSLHK >OIV96678 pep chromosome:LupAngTanjil_v1.0:LG15:17256467:17259814:-1 gene:TanjilG_09220 transcript:OIV96678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEREEKDSKSNSSKISRLLWHGGSVYDAWFSCASNQVAQVLLTLPYSFSQLGMVSGIVFQLFYGIMGSWTAYLITALYVEYRTRKEREKVDFRNHVIQWFEVLDGLLGKHWRNLGLFFNCTFLLFGSVIQLIACASNIYYINDNLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLIMTTYTAWYMTIASLIHGQVEGVTHSGPTTLVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKMIYLIATLYVLTLTLPSASAVYWAFGDMLLTHSNALSLLPRTGFRDIAVILMLIHQFITFGFACTPLYFVWEKFVGVHETKSLFKRALVRLPVVIPIWFLAIIFPFFGPINSTVGSLLVSFTVYIIPALAHMVTFASATARENAIERPPSILGGWVGSYSMNIFVVVWVLVVGFGLGGWASMLNFIHQINTFGLFAKCYQCPPHKT >OIV96487 pep chromosome:LupAngTanjil_v1.0:LG15:19392096:19393282:1 gene:TanjilG_07879 transcript:OIV96487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPSLCLTPLSTSFTTTPAPECLAIGSERHTNQKHIRATSVFQVPSPIAKYHCIIAKAASGNRNTKPNSVICADCDGNGAVLCSQCKGSGVNSVDLFNGQFKAGDSCWLCGGRKEMLCGNCNGAGFVGGFLSTYDQ >OIV97337 pep chromosome:LupAngTanjil_v1.0:LG15:2121611:2130176:-1 gene:TanjilG_07089 transcript:OIV97337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTSHLTCSHSLKERLDEILTGQINEIITLLSRVEAKGKGIIQNPQLIAEFEEISKENKQKLIDGVYGEVLRSIQEVIVLPPYLALAVRPRPGVWEYLQVDVHEAVVDELCAAEYLKFKEELVDGSSNGNIVLELDFEPFNASFPRPTLNKSIGNGVEFLNRHLSAKLFHDRESMKPLLEFLKLHSYKGKTLMLNDKIRDLNYLQYVLKKVEDYLVTLTPETPYSEFEVKLQDIGLERGWGDTVESVLEMIQLLLDLHEAPDPFTLEKFLGRIPMVFNVVILSPHGYFAQDNVLGYPDTGGQVVYILDQVRALEIEMLNRIKKQGLDIKPRILIITRLLPDAVGTTCGERVEKVYDTEHCHILRVPFRTEEGIVRKWVSRFEVWPYLETFTEDVALELANELQGKPDLIVGNYSDGNIVASLLAHKLGVTQCTIAHALEKTKYPESDVYWEKFEDKYHFSCQFTADLFAMNHTDFIITSTFQEIAGSKDTVGQYESHTAFTLPGLYRVVHGIDVFDPKFNIVSPGADMSIYFPYTETKRRLTKFHHEIEELLYSSVENEEHICVLKDRNKPIIFTMARLDRVKNITGLVEWYGKNARLRELVNLVVVAGDRRKESKDLEEKAEMKKMYGLIETYKLNGQFRWISSQMNRVRNGELYRIICDIKGAFVQPAVYEAFGLTVIEAMTCGLPTFATCNGGPAEIIVHGKSGYHIDPYNGDHAADLLVEFFEKSKEDPSHWDKISQGGLKRIHEKYTWQIYSERLLTLTSVYGFWKHVTDLDQRERKRYLEMFYALKYRKLTLMLNDKIRDLNYLQYVLKKVEDYLVTLTPETPYSEFEVKLQDIGLERGWGDTVESVLEMIQLLLDLHEAPDPFTLEKFLGRIPMVFNVVILSPHGYFAQDNVLGYPDTGGQVVYILDQVRALEIEMLNRIKKQGLDIKPRILIITRLLPDAVGTTCGERVEKVYDTEHCHILRVPFRTEEGIVRKWVSRFEVWPYLETFTEDVALELANELQGKPDLIVGNYSDGNIVASLLAHKLGVTQCTIAHALEKTKYPESDVYWEKFEDKYHFSCQFTADLFAMNHTDFIITSTFQEIAGSKDTVGQYESHTAFTLPGLYRVVHGIDVFDPKFNIVSPGADMSIYFPYTETKRRLTKFHHEIEELLYSSVENEEHICVLKDRNKPIIFTMARLDRVKNITGLVEWYGKNARLRELVNLVVVAGDRRKESKDLEEKAEMKKMYGLIETYKLNGQFRWISSQMNRVRNGELYRIICDIKGAFVQPAVYEAFGLTVIEAMTCGLPTFATCNGGPAEIIVHGKSGYHIDPYNGDHAADLLVEFFEKSKEDPSHWDKISQGGLKRIHEKYTWQIYSERLLTLTSVYGFWKHVTDLDQRERKRYLEMFYALKYRKLVESVPLAVEE >OIV97313 pep chromosome:LupAngTanjil_v1.0:LG15:2380624:2385459:-1 gene:TanjilG_07065 transcript:OIV97313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLDVDVLRYLSKDDFRVLTAVELGMRNHEIVPSELIARIARLKHGGTYKVLKNLLKHKLLHHDSSKYDGFRLTYLGYDFLAIKTMVNKGVFVAVGRQIGVGKESDIFEVAREDGTILCMKLHRLGRVSFRAVKQKRDYLRHRSSFNWLYLSRLAALKEFAFMKALEAHGFPVPNAIEQNRHCVIMSLVEGYPLVQVKQLQNTDVVFETIIGIVVRLAEHGLIHCDFNEFNIMIDDDEKITMIDFPQMVSVSHRNAQMYFDRDVECIFKFFRKRFNVSFKECIDDIDGSDDGRDEGGKPSFSAIERSDGFLDRELAASGFSKKDEEHIQQFIEGGAESDINSDGEGVDSVEELDEANSTDGDSSHLLEQDDENKSGGKDESFEAGENSSSEKEDATSEKEEEENNEDDVENEAELVKSLNKQRRHAIKAANRGGRKSLASRNSYKDKGGRSSNNARIQNQLSNW >OIV97243 pep chromosome:LupAngTanjil_v1.0:LG15:3332513:3338169:1 gene:TanjilG_25829 transcript:OIV97243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGINSLINSSQLSLQDLNNQIQNPLMNNNHNQQLNPFDPTSHDDFLQQMLSNLDSSSSWLDPNNNINNKPMLWDQKHEETTLSNVENNVVGFAYDEHVGLASKLRNHQITATSPTNKAATAALLLHHQFLMARGVAGDSGILQMPLSLGGNDVVDGSSFKSHNLSGEDSLQAMHNGLAGSLHGTVQASNQTQHFQPPQGATNNQATPSSGAVGGIQGQPRQRVRARRGQATDPHSIAERLRRERIAERMKALQELVPNANKTDKASMLDEIIDYVKFLQLQVKVLSMSRLGGAASATPLAADMPSQGGGDCIQANGSGALQRFTNGNQTAASTSNNESLTMSEHQVAKLMEEDMGSAMQYLQGKGLCLMPISLATAISTCHTRNNNHHLTNGVPNHNGSAGANLNLTNGEGPSSSNVSVTTVQSGVVANVNAVKDSASVSKP >OIV97463 pep chromosome:LupAngTanjil_v1.0:LG15:66728:69500:1 gene:TanjilG_10987 transcript:OIV97463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVPRNATLFFSVIKVNESTNLVCFEAPPHIVSDGIFGGKTNGRTPMKSFLPMFEMQMLLIFVLTQICHFILKPLGLPQFIPQMTAGLILGSLGTTEISKSMMTVLFPYGTHGVISSISSFGYVLFIFTNGVQMDFSLITRTGRKAWAIAVIGIAVPLIAGHPFLYFLNHYMDTGFGGAYKDMYISLVSSTVTMFAVTATLLNELQIQNSELGRLALSSALAMDIISTTLTTAGSVIAKYSDNYLIWKNLLSLFAMAVLVPLVCRPLMVLVIKHTPEGRPVKNAFIYIIVAMVLLLGWLSVYINQDFVLGAFILGLSVPEGPPLGSALVKKLHFFGSWFLLPIFVTTSVMRVDLVMEYSHGVIIAITSIILFTHIVKIVACFVPAVYCNLPTKDALSLALILNCKGVVEIGVYCSLYDSKVISAQTYGILMVFVMIIASIVQVSVKVLYDPSRKYAGYQRRNIMGLKSYSELNIVACIHKPHHISPISDALDLCCPTTENPIIVDVIHLVELVGRTLPLFIPHGFQRQGSIGFQKSYSDDVILAFDVYEHENEGAAKVHTYTAISPSNLMYEDVCHLALDKVASLIILPFHQRWSSDGSVETDDKNIRALNNKVLEISPCSVGILVTRANNTRIKRSSCVRLAMIFLGGKDDREALCLAKRAIRNIRIHLVVYHVVLNVKEQMQKDGENIHDNAALRDIDMGMKNVTYQEIKTNDGPETASFLGDIVNEHDYFIVGRRHGINSPQTEGLSDWSEFPELGSIGDYLASPDFKTNASILVVQQQVSKLLKSTKTDGWLL >OIV96321 pep chromosome:LupAngTanjil_v1.0:LG15:20470647:20472752:1 gene:TanjilG_09748 transcript:OIV96321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRYPLLTLFLISAAVATAIYAERIDNNDLLIRQVVADTEDHLLNAEEHHFSTFKAKFGKTYATKEEHDYRFGVFKKNLLRAKSHQKLDPSAVHGVTKFSDLTHSEFRRQFLGLNKPLRLPNDAHKAPILPTNDLPTDFDWRDHGAVTPVKNQGSCGSCWSFSTTGALEGAHFLATGELVSLSEQQLVDCDHECDPEERGACDSGCNGGLMNTAFEYTLKAGGLVPEKDYPYTGRDRGACKFDKTKIAASISNFSVVSLDEDQIAANLVKNGPLAVGINAVYMQTYIGGVSCPYICGKNLDHGVLLVGYGAADYAPIRLKEKPYWIIKNSWGESWGEDGYYKICRGHNLCGVDSMVSTVAAIHVSNH >OIV96491 pep chromosome:LupAngTanjil_v1.0:LG15:19368185:19371469:-1 gene:TanjilG_07883 transcript:OIV96491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKGIQVFDDKRDGFFSVSNLGSQQWSENNEGQYFHPGGLFASVGQMGMGFGSNVQPNNNDSRNNDGNGNDGGLNLKLCFNELYFKYVKSQGKVQIVGVPLEEEEERVNSKKNGDGLKLKIKVKNPSLRRLFSGAIAGAVSRTAVAPLETIRTHLMVGSSGNSTTEVFNNIINTDGWKGLFRGNFVNVIRVAPSKAIELFAYDTVNKNLSPKPGEQPKLPIPASLIAGACAGVSSTICTYPLELLKTRLTIQRGVYDGLLDALVKIIREEGPAELYRGLAPSLIGVIPYSATNYFAYDTLRKAYRKVFKQEKIGNIETLLIGSAAGAISSTATFPLEVARKHMQVGALSGRQVYKNVIHALSSILEQEGIQGLYRGLGPSCMKLVPAAGISFMCYEACKRILIDDGYED >OIV97084 pep chromosome:LupAngTanjil_v1.0:LG15:10163329:10167101:-1 gene:TanjilG_25674 transcript:OIV97084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDRIEISRDQIDKAFSLAWKAHKTPEKHYLIEKIRKYNRSEIIISFPASGSIKDWYSKGPFGETKVNLNLFASLKSIGNNEAASVNEAFQSRFEAIVAKSSFEVKVEKAKKKGKQIVFTGHSSGAPMAILATLWTLEKYHTPKSNAGNHPLCVTFGSPLIGNKILSHATRRENWSIYFLHYVTRYDVVPRILFSPLSSFDKKFEAISQFFNPKSRSFMTQSTGKDAAISEFYFFVMSNTANVTSHDAYKQMEGMDATLETVANFIPLSPYRPFGTYIFCSGNGKEGRKVVIRNPDAVLQLLFFCAQLSTEAEVDQVSDRSLREHIINGTEFQQTLGMHNFVYLDQLLKVPLSFADSSGDINLALSDLGLSTSARLSLQAAVLIDERRSENEKKMKNKIDLVDEKMKELEKYKETWEHQKLGYYDAFKVQKDPDADFQANIKRLELAAIWDEIIELLRNYNLPDFLEGDEEWIKIGTRFRKLVEPLDISNYYRHLRHLEAGPYMDKGRPRRYRYTQRWFEHYKRKPEEQISESCFWAMIEDLWYKTSNTMNSFEDVKEDVEKIEGQIKKWVDDKKLDKDIFVEGSTLVKWWNSLPQQHRQGSCIRSFIETNML >OIV96702 pep chromosome:LupAngTanjil_v1.0:LG15:17511453:17513086:1 gene:TanjilG_09244 transcript:OIV96702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVQGTLEYFSNLLSRVKKKKKKKQIQTVALKIRMDCEGCARKVKHVLSNVKGAKSVDIDLKRQKATVTGYVEPKKVLKAAQKTKKKVEMWPYVPYTMVANPYISQAYDKKAPPNMVRKVADTSNVKETIVDDHYIQMFSDENPNACSIM >OIV96848 pep chromosome:LupAngTanjil_v1.0:LG15:15294587:15297449:1 gene:TanjilG_08709 transcript:OIV96848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANVSIPLPRHGVSELDESGNKSELFNFNGIKGVACGILAACAVNSAAFPVIAAGQRLPPLSTDPNRCERAFVGNTIGQANGVYDKPLDLRLCDFTNEKTNLKGKSLTAALMSDAKFDGADMTEVVMSKAYAVGGSFKGVDFSNAVLDRVNFGKADLQGAIFKNTVLSGSTFDDAKLEGVDFEDTIIGYVDLQKLCLNKTINDETRAELGCR >OIV96627 pep chromosome:LupAngTanjil_v1.0:LG15:18565340:18567519:1 gene:TanjilG_28484 transcript:OIV96627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRECISIHIGQAGIQVGNACWELYCLEHGIQPNGQMSSDNTVGGGDDAFNTFFSETGAGKHVPRAIFLDLEPTVIDEVRTGTYRQLFHPEQLISGKEDAANNFARGHYTIGKEIVDVCLDRIRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTVYPSPQVSTSVVEPYNSVLSTHSLLEHTDVSVLLDNEAIYDICRRSLDIERPTYTNLNRLVSQVISSLTASLRFDGALNVDVTEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSVAEITNTAFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTIQFVDWCPTGFKCGINYQPPTVVPGGDLAKVQRAVCMISNSTSVAEVFSRIDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAESGDGEDGEGDDDY >OIV97454 pep chromosome:LupAngTanjil_v1.0:LG15:557348:560777:1 gene:TanjilG_16215 transcript:OIV97454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSPSAQFVPSRSMSVYDPIHQINMWGEGFKSNGNLGATIPLIDEADLKFDSQSEDASHGILGTPNKYDQEANKPAVDKIQRRLAQNREAARKSRLRKKAYVQQLESSRLKLMQLEQELEQARHQGLYVGGGLDSNNIGFAAPVNSGITTFEMEYGIWVEEQNRQILELRTALNAHIGDMELRILVEGIMNHYSEIFRMKSAAAKADVFYVMSGMWKTTAERFFLWIGGFRPSELLKVLLPLIEPLTEQQRLDIQNLGKSCQQAEDALSQGMDRLQETLAESVAAGQLIEGSYIQQMGSAMEKLEALVGFVNQADHLRQETLHQISRILTTRQAARCLLVLGEYFQRLRALSLLWSNQPREPA >OIV96895 pep chromosome:LupAngTanjil_v1.0:LG15:13086104:13092518:-1 gene:TanjilG_00477 transcript:OIV96895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQKQVQNQWIPLPAEDDEDEEEMTDTIALEGKIPPHHKKYTTFSTGVTPPSSSSSFSSTSPISNGHSNGVSSGSVEEPLILKEEEKETPIQKDEEVEEIGNVVETGNHESEAIGLGIVAEFVGDAINGEAIHCNTATIDVAAFQNSSAVYFDKQQGNEVNALEGVKNGDGERSVLPESNGEGESEIQVENSDIQNGLLNEVNQNDKVHSTSEISPLHNSSKVQVTTSEAIGDETSAKAIPNLTKEIDQQLEDLNVEAVLAKQETHDLFCPNCNSCITKRVILRKRKRNIPKLDTETKPDKSDAKRISSSSAHDVNQGGNASTISDAGSQLAHDANQDGRATGISDAGSLLAPAGNYEPETEPEVFRCSTCLSFFIALRNGLFPCFADAREPETSQPLIIPASNLENPSNVAAPNANWFTSLFTSKGKKAREHDAASVENSRAGSSEPHNPSSTAANMQTTKGNDHPEGPLADRAISNNVKLAPDNKPEHGVNSPNPSSVKLVTTEAGKENDIKSRISPGGEPLDDQKRLKSSIQNNLSSIQPSTHSFSDVMNESQKVGVDFIDSSAKEPFLTENVKTDVGEKKNDSVDMIKTDMPSMNFPGDMASKSNNVPSATAAITEGSLIHRKSLKGVDKSPEISQNGYSSLVQEAQSPAQAYGSAVVASDTGALKSSTPQTADNVPVQSTVTEPHTQIDIEEQPRAEIGEPPLKLEILKSIVYGGLLESITSLGIVSSAAGSGAVPLNIVAMGFANLIGGLFIIFHNLIELRNDHSGGDLHQTNFMQEDRYQAQLGRRANFLLHVVVAILSFLIFGAVPIIVYGLLIHKNYSAELKLAIMAVTSITCIILLATGKVYTKGPPKSYTTTLLQYVTLALAASGVSYIAGGLIHNLLENFNGPESGFALTIPTTGTRSTVKPAWVPY >OIV96273 pep chromosome:LupAngTanjil_v1.0:LG15:20840303:20842516:1 gene:TanjilG_05113 transcript:OIV96273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGTHSTPPLQLNRFAEIGNKVADAAGEVIRKYFRNKFDIIDKHDLSPVTIADQSAEEAMLSIILHHLPSHAIYGEENGWRCKQNTAEYVWVLDPIDGTKSFITGKPLFGTLIALLQNGTPILGIIDQPVLRERWIGMAGKRTTLNGQEVSTRTCAELSQAYMYTTSPHLFSGDAEEAFTRVRNKVKIPLYGCDCYAYALLSSGFVDLVVESGLKPYDFLALIPVIEGAGGVITDWKGDQLHWEASPLSIATSFNVVAAGDKEIHQQALDSLLWK >OIV96926 pep chromosome:LupAngTanjil_v1.0:LG15:13558249:13558926:1 gene:TanjilG_00508 transcript:OIV96926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSIRVLDELYESMKHDKKIIKVSPNWLDRLRAKKGIPTGSSPDLGTFLLSQANSNPQIPHFPENSQNLPLRRRPRKQARPTKRVLGFPHPSTSSTSTVVDPVAAVDHIPPIAPAALVAPVDLVAHAEGDHQEEGDPPVPVVENPPPRFRVNMIQAIFRPRQEEEEEEEEEEDFNDEEEESNITDLDGFNSARVEYHQNQLDEESDSSVGDDDNSDTSGASVIVG >OIV97192 pep chromosome:LupAngTanjil_v1.0:LG15:6640918:6642460:1 gene:TanjilG_28943 transcript:OIV97192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHPWVQELHLRHLNIPVIIDNLFPVTPSRPIPVKPGDTLYLSNLDDMIGARVFTPTVYFYQSDSLSFSQRSVTKTLRDALADVLVPYYPLSGRLRETRNGKLEVFFGPEQGALMVEARSDIALAELGDLTAPNPAWEPLIFKFANEEQYKVLDMPLVIAQVTLFRCGGFSLGLRLCHCICDGLGAMQFLGAWAATAKTGTLVTNPEPCWDREIFRPRDPPVVKFPHIEFMRIDEGSNLTMTLWKTKPVQKCYSIKREFQNFLKSLAQSFDSEGCTTFDAMAAHIWRSWVKALDVRPLDYRLRLTFSVNTRQKLMNPPLKEGFYGNLVCVPCATSTVSNLVHGELPEITRLVREARQSVSEEYLRSIVDYVDVHRPKQLEFGGKLTITQWTRFSIYQCADFGWGRPLYAGPIDLTPTPQVCVFLPEVEKADSNSNGSMIVCICLPESAVQKFTQALLLDLQKDMIQTLC >OIV96748 pep chromosome:LupAngTanjil_v1.0:LG15:16793597:16793866:1 gene:TanjilG_11744 transcript:OIV96748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSCATSKAWIVATSVGVVEALKDQGLCRWNYALRCAQQHLKTHVRSLSQAKNVPSTSSLVARKKGAKVKQAEESLRTVMYLSCWGPSN >OIV96664 pep chromosome:LupAngTanjil_v1.0:LG15:17111427:17112676:-1 gene:TanjilG_09206 transcript:OIV96664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASELQLPPGFRFHPTDEELVMHYLCRKCASQPIAVPIIAEIDLYKYDPWELPGLASYGEKEWYFFSPRDRKYPNGSRPNRAAGSGYWKATGADKPIGHPKPVGIKKALVFYAGKAPKGDKTNWIMHEYRLADVDRSARKKNSLRLDDWVLCRIYNKKGTIEKQPNTFVDIHKTELSEIIDTKPDIQPRVNALPPPPPPQSAAAAMTDYMYFDNSDSIPKLHTDSSCSEHVVSPEFASEVQSEPKWNEWEKNLDFSYNYVNATAAVNNTGFEAQQQQFQSNNQMSPLQDIFMYLQKPF >OIV96315 pep chromosome:LupAngTanjil_v1.0:LG15:20511028:20512273:-1 gene:TanjilG_09742 transcript:OIV96315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEAARKRIPREGIPAPDGGLGGGVKKPHRFRPGTVALREIRKYQKSTDLLIQKLPFQRLVREIAQTMKTDLRFQSHAVLALQEASEAYLVGLFEDTNLCAIHAKRVTIMPKDIHLARRIRGEHS >OIV96275 pep chromosome:LupAngTanjil_v1.0:LG15:20847314:20849894:-1 gene:TanjilG_05115 transcript:OIV96275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSSSHHQTPDGLFSVSEIDLSKLSDKPRHLIIERNRSCDEKSLNELAIGFSPRLFTKNHETFDHSDNVPSPCQRSGINTPTSPIWDPHPILTQAWEALRRSLVYFRGHPVGTIAALDNNNNSDDKLNYDQVFVRDFVPSALAFLMQGEPDIVKNFLLKTLRLQSWEKKIDRFHLAEGVMPASFKVFHDPIRNHETLIADFGESAIGRVAPVDSGFWWIILLRAYTKSTGDTSLAERPECQKGMHLILSLCLSEGFDTFPTLLCSDGCCMIDRRMGVYGYPIEIQALFFMALRCALQLLKPDAEGKEIMELIVKRLHALSYHMRSYFWLDLKQLNDVYRFKTEEYSHTAVNKFNVIPDSLPDWVFDFMPRHGGYFIGNVSPARMDFRWFCLGNCIAILSCMATAEQSTAIMDLIESRWQELIGEMPVKVCYPAIESHEWRIITGCDPKNTRWSYHNGGSWPVLLWLLAAASIKTGRPQIARRALEIAETKLLKDNWPEYYDGTHGRYVGKQARKCQTWSIAGYLVARMMLDDPSHLGIISLEEDKLLKPLIKRSNSWTL >OIV96554 pep chromosome:LupAngTanjil_v1.0:LG15:18861315:18865529:-1 gene:TanjilG_24207 transcript:OIV96554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEWLRMRWIWMVFLSFASALVFVSSERGIIMKTEQVSWFNVTREPEHALLNRAMNFLWQSGESGYIHVWPDIEFGWQIVLGTFVGFCGAAFGSVGGVGGGGIFVPMLSLIIGFDPKSSTAISKCMIMGAAVSTVYYNLKLRHPTLDMPIIDYDLALLIQPMLMLGISIGVAFNVVFPDWMVTILLIVLFLGTSTKAFFKGVETWKKETIMKREASKQLETNGSGNEAEYKTLPSEPNGGTENDTKEKQVTIFENVYWKEFGLLAFVWISFLVLQIAKQNYTTTCSTAYWVLNLLQIPIAIGVTAYEATSLFTGRRVIASTGDQEKDFTVFQLAIYCVFGLIAGIVGGLLGLGGGFVMGPLFLELGVPPQVSSATATFAMTFSSSMSVIEYYLLKRFPVPYALYFTLVATIAAVVGQHILRRVITLFGRASLIIFILAFTIFISAISLGGVGISNMVHKIQNQEYMGFENICK >OIV97049 pep chromosome:LupAngTanjil_v1.0:LG15:11024327:11030923:1 gene:TanjilG_11566 transcript:OIV97049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSEITEQRGVSATSRFCDDISFPPEMNVGLQKLKSNHDKYTQGKSETAASPGGILSASSPLQMNAKTGLPLSQTSLSGEITENTHFGGEGITDVLKNTHERLNYNLRSLSGVHMQPSSGSYGLIGNKTLTNVASRESSLFSSSLSDIFSQKLRLLGNGVLSGQPINVGSLPEEEPYKSLEEIEAETIGNLLPDEDDLFSGVADELGGSLHARTSDDFDDFDLFSSGGGMELEGDAHLVSEKRTSYMGVDLGHYFKGKVPFGEQSSRTLFVRNINSNVEDSELKALFEQYGDIRTLYTACKHRGFVMISYYDIRAAQNAMKALQNRSLRSRNLDIHYSFPKVNVPDKDIGHATLMISGLNSSVLNDELKHIFGFYGEIKDIFESPEMDHIKFIEFYDVRAAESSLRELNKICIAGKQIKLEPCHPRIAECLLQQSQKGQDAPDIGHNLNENLLARPKATLASRVIASGGSLENGYNQGFQSATQLPLNAYIDNNTLFPMNSSIHKTARGASAGKLSGVCESSNAVDAMKFASIPRFQPHSLPEYHGGLGNGSPYTFSSTISNMAPNIGTGSTEVSGNRHIQGLGSTGNLPEFNAGGNGSRPHGLYHMWNSSNLHQQHPSNAMLWKNTPSFVNGAGTPCLQQMPSFPGTLPHVLRATHVDHHVGSAPVVTASPWETQRSYLGESPDASGLRLGSLGSPGFPGAWQLHYPDFSPRNMLSHVGGNGAELISNAGQGSPKQLSHVYPGRLPMTSMSKFDSTNERMRNFYNRRSDANTNNADKKQFELDLGRILRGEDSRTTLMIKNIPNKYTSKMLLAAIDEQCRGTYDFLYLPIDFKASNKCNVGYAFINMIDPGQIIPFHQAFHGKKWEKFNSEKVAVLAYARIQGKSALIAHFQNSSLMNEDKRCRPILFHTDGPNAGDPEPFPLGNNIRLRTGKSRASASEENRSQGNPLTSASGEESANGIESSRNSD >OIV97140 pep chromosome:LupAngTanjil_v1.0:LG15:7548385:7551213:-1 gene:TanjilG_00169 transcript:OIV97140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMIYSSLYNSNPSSEAFIQDGLTSTHSLVFESEKGELVKYPKETERVGKNEISEAKALAALKNHSEAERRRRERINGHFETLRGLVPSTEKMDKATLLAEVINQVKELKKNAMESSKGLTIPKDSNEVKVEPYDDEGEGDGSMSYKASICCDYTPDMLSDLRQTLDALKLKLMRTEISTLGKRMKNVFIFKCCKGDITNIEACQALQISVQQALSSVVDKASSSLEYLPRTSHPNKRRRLCSIETSTNSCNHESCSC >OIV96567 pep chromosome:LupAngTanjil_v1.0:LG15:17907683:17908222:-1 gene:TanjilG_28424 transcript:OIV96567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLRRPYSSKDKDKVGWFNPNGRCKKHLKHHQSPGICSLCLKDKLSQLSSSSSSSKKTISGACDSSSPSSSLSSYYSSSSASSLASPMHPFKERKSGSSSISIFLTSSSSKHGLVKSRSMAFLPRRRKDGEEDNTKNIVKKEGFWFKLLYPKNKRSMENKDIKRVHSKSLREAMTLAS >OIV96598 pep chromosome:LupAngTanjil_v1.0:LG15:18241234:18246291:-1 gene:TanjilG_28455 transcript:OIV96598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYPGSMQLSPDFRLHSTSGFCNSHRNLVGVGHLHLVTVNLSPLSLNKQKQDFSAPHLLSRVPPIRRVPSRCNMFVCRSVLIPGGGSVTPLLKSSAVILTRSYDALRGSPVLLQLIPALGLIAFTVYGLEPLLRLSRVLFLQKTDSSWKKSSSRYVMTSYFQPLLLWSGAMLICRALDPLVLPSEASQVVKQRLLNFVRSLSTVISFAYCLSSVIQQAQKFFMEANDSSDARTMGFDFAGKAVYSAVWVAAVSLFMELLGFSTQKWLTAGGLGTVLLTLAGREIFTNFLSSIMIHATRPFILNEWIQTKIEGYEVSGTVEHVGWWSPTIVRGDDREAVHIPNHKFTVNVVRNLSQKSHWRIKNYIAISHLDVNKINNIVADMRKVLSKNPQVEQQRLHRRVFLENVNPENQALMILISCFVKTSHFEEYLCVKEAILLDLLRVVSHHRARLATPIRTVQKIYGEADSENIPFGDTIFSRSRAAANRFLLIEPPYKVNGDDKVKPLTRSTRTNEEKDGKIDETSASDSKGDDKSSVTSASSPGVNSKDKSKSVSEAQIQQNMGSDSSVEKSPKILQPKKDSAGDAGKGTSVPSTKNSAQNPVPEVYPVASRESSRRETAFATSLPKQDEERSSVSSSPEKTSKTLHPKKESGPVQGAVPGVSQATTSHESSRVDTASAISSQSKQDEEKSAVSSSSIRPSMEENILLGVALEGSKRTLPIEEDTPSPIPAESQEFAVQRNGSGPPASKDKKDGQMSSFPTAKQND >OIV97163 pep chromosome:LupAngTanjil_v1.0:LG15:5647207:5649243:1 gene:TanjilG_28914 transcript:OIV97163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHLHLHLMKMFIFLIFTPYLTLSLHHNDTNALTLFRLQTDLHGSLLRNWTGINACSTAWRGVRCSSNNRVISLSLPSLNLRGTLDPLTALTHLRLLDLHDNRLNGTVSPILTNCTNLKLIYLAGNDISGEIPEEIASAKGLLRLDLSDNNLRGVIPKEILQLHYLQTLRLQNNVLTGFIPDLSASMVNLEELNMTNNEFYGQIPETMLKKFGDVSFSGNEGLCGATPFPACSFTNTSNPYPSSPEPDSTQTVPSNPSSLPLTSVVARPETQTHNKALSPGAIVAIVVANCVALLVLTSFIVAHCCARGRRSSNSMVANEGGGGKRKSGSSYGGDNKVYNANNGAGGVEDSDGTSGTDRSKLVFFDRRSGFELEDLLRASAEMLGKGSLGTVYRAVLDDGSTVAVKRLKDANPCARHEFEQYMDVIGKLKHPNIVRLRAYYYAKEEKLLVYDYLPNGSLYALLHG >OIV96990 pep chromosome:LupAngTanjil_v1.0:LG15:12639136:12640891:1 gene:TanjilG_31881 transcript:OIV96990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENNLHFQQQVREAGAAGTSSRGGGGPVISVSGGLKTEEEHGEEEDGDGNSTANRWPREETTALLKIRSEMDTAFRDISPKAPLWEQVSRKLLELGYNRSAKKCKEKFENIYKYHKRTKGDRFGKSNGKNYRFFEQLQALDNNTLSLPSHSSSSLDGQVGKTTTSYAVLNNVNVIKDAVPCSIRYPGGNRVDVDHNNSSSTNSSASEASREGKRKRLTQFFEGMMREVIVNQERLQRKLMEVMDKCEKERIAREEAWNIEELARIKRDHELLAHERAISAAKDEAVLALLKKITENTGTVLHLPERIAVQDEKEKNNKQENGHNVVVNNGGDVVDKDKQQECGNVSVGSFMQTSSSRWPKDEVEALITSRTEMELQCQGTGSKGPLWEEISSAMRSMGCDRSPERCKEKWENINKYFKTIREKDKRKPEDLKTCPYYHQLEALYSSKKPKEVDESDGNSSNELRPKELLLHIMGSQEERSQQLESSFEDRDREHG >OIV97497 pep chromosome:LupAngTanjil_v1.0:LG15:289636:297681:1 gene:TanjilG_11021 transcript:OIV97497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLGAVKLLVGSAERVNGVGIEPEPDWSFDALVAELNALETKLTSPPLFDKTISRGKNEEIKRGKPFILRADEYEMEDTESEDEDEKALVVGKRFICDELYLSDSDNSDVVSSFEVQPYLMEEVGEVEGALFEFTHEHQLRVKDEIRNKISALETALVNESLNSTSSLLRVEKYKESRQELDRKLDTQYQRRIAEALDNHLTAVQRDRELRSQIEERKIRSDAQYEEAKKKIALQEEKQRQEKAKAEAAAKLKAEEAKQAALESERKAAMEAKIRAATEAEKRAEKEATEAAARLTDNASSPSNAETKESGIVYRAAASTLNLEHGRLQKLKELYEQNQMIRSSSRQDYTRHESHISRLIRQIRGVRDNVRSKATDLTKLLNDPQCPQSISIEIFAKKIVSYCENPGNAPFASGYVIVLVTSQVPHAMDILLAELHRACLYTVPKHLVYRKSMGTDAVESGFLILYRQATFQSKEAYFRTIGYKEDDGKMESTDDYLKRLESYMKVYGALVQTEIQNVQNLHGLQEGWAWLARFLNALPANQYTAVSLNAFLQMAGFALFRKYKSQFVKLLKVISENFLVDLKARNVPELTRTVVEIQTYIEDKKFLKEPEGRSLQSNLLSNQAFNNYN >OIV96543 pep chromosome:LupAngTanjil_v1.0:LG15:18958271:18962478:-1 gene:TanjilG_24196 transcript:OIV96543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPNLFLLIALSVALIFSNVSAEAVVEDLPLEEHGGVSQHHQHDSSALKIQLDQLIFKIHTLETHISEKSEEVKKKDEVIAVKEKIIQDSSGTIEYLKNEIASLQKKGSSVSQEEAGKAHARAGELQKQVEKLERELATQKKEKVIWETRVAEAEKKVHVLNSKLEDLQKINEEQKAKTHKIERALKVAEEEMVKAKFEASSKANKLTEVHGAWLPPWLAVHYIHSKSHWNKHGKPALEVVTQKVIEIKGQAGKWAEPHVETITTNWVPAVKEQWSVVKTNAEPHVQLLTTKTVEAYEASKNAIAPHLSRAKEVVDPYYREAKKFSKPYIDQIAVAAKPHVDKAQVVLKPYTKKVIHAYGKFLESATTYHRQVQATVQETLKQHELTRPLATKELEWFAASALLALPIILLARVFSAVFCTKAKKPARSKNAHHSRRKAKRGHPEK >OIV97318 pep chromosome:LupAngTanjil_v1.0:LG15:2334843:2335529:1 gene:TanjilG_07070 transcript:OIV97318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGDAEPQPEPSTFYRHSNLNQPFESIAVGTTFPITITIYNPIKLYFTITENNATIITSVHNAPHLSIIPEHHNNHNNNHNDGEILLLNLEHLPHNENGNTELHSIFSEFHGLTVRNLPTLPSFVHMRSSSHIFALLLTVHNVIYVEDRARPIPPPPRNDDHNYHVINKPITIPLTLILLFNNPNDNTIISIFIEFKTNNAVFISGDSHIGDLEWAAILDFIPGARED >OIV96635 pep chromosome:LupAngTanjil_v1.0:LG15:18665037:18677217:-1 gene:TanjilG_28492 transcript:OIV96635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSIAAINDTDSKSQWEPLAPTKEAQEFHLSQTYHEGLLKLQAKEYDKARKLLESVLKDPIIANAKVDSSASDSHLLQLRFLALKNLASVFLQQGSTHYENALHCYLQAVEIDSKDSVVWNQLGTLSCSMGLLSISRWAFEQGLLCSPNNWNCMEKLLEVLIAIGDEVACLSVSELILRHWPSHSRALHVKSTIEESEPLPFAPRGIDKLEPKHVRLKFPNKRKRSESLDEDVAFKKLNQNKELHLTEGSWVALADALLEVLIPLNLQGSAMDPEKVCNSPDIRLSINLPGRSEAVLNTVEEKGPSGENSDFGDGNIERSSAKEKQFNTEEEQPHERRSSRLERLRSRKPGKEESDSSCVKDHAKVVIQYLEPFIAGGLGSQYPVQGDTTTSSWLGNSEYHKVSAFVRENSKNYGAYHVGHLLLEEVARQDLIYQDAFVKFLELEQLTRHWGKERTAECNIFLAELYYDFGLCSPPGSKQSEFMSESSYHLCKIIESVALDYPFHLSCALNEGGLLVDSFKETGGISMDTSTKSNPNLHSSLLMKNSSFWARFFWLSGRLSILDGNKAKSCDEFFISLSLLAKRENMEDSVCSVRRPHCKAVKELTVDRVLYEINILKVNFLMEKTVINMMEQNKYLECVSLLSPLLFSTQDVYIDSFSLTMADKKDEKTTSIDLMALDVLIEACQKTKPMNVEMYFNCHYRKLKILMAMMGFNRCITSFKSSDPLLDLSASPNFDIDSNESSIKQCSHLVVEEVKGLSDCISQVKKVINHGGDSEGLTIPVSSICQMQSLLLLIMSYLLNILVCNKDAEHVISNQAESTCFIDAAIVFCKLQHLSITTPIKTQIDLIVAMHDLLAEYGLCCAGEGGKGEEGTFLRFAIKHLLTLDMKFKSSFNLLNKESMRCEEVSQSSLVNVSVEDPKSDTLDICMDWTKIDEINSVKKDVSEGIISEGISSCRVHDEDSKEIECKNRGGVGTDSKFTKGENSSNQLIECGNELSEDEREELESKIENALDQCFFCLYGLNLRSDSSYEDDLVMHKNTSRGDYQTKEQCADVFKYVLPYAKASSKTGLVKLRRVLRAIRKHFLQPPEDLLAGNPIDKFLDDSDLCEDKLTEEAGSDGFLETITKTMFPDVGGLAQYKTTLLRRSEPYLEVYCNLYYFLAVSEETSATDKWPGFVLTKEGEEFVKQNTKLFKYDLMYNPLRFESWQRLGNFYDEEVDLLLNDGSKHVNVVGWRKTSTLSERVETSRRRSRRCLLMSLALAKTSAQQCEIHELLALVYYDSLQNVVPFYDQRSVLPLKDAAWTVFCENSMKHFKKAFTLKQDWLHAFYLGKLSEKLGYSHEIALSYYDKAIALNITAVDPVYRMHASRLKLLVKFGKQNLEILKVISANSFSHSVKETVISILDDVDSSFLNTMERCVKINSMEIKHEGLLKMDRAWSMLYNDCLSALETCIEGDLKHFHKARYMLSQGLYKRGESGDIDKAKEHLSFCFKSSRSSFTINMWEIDSTVKKGRRKAPGSAANKKNLEVNLPESSRKFITCIRKYLLFYLKLLEETGDRFTLERAYITLRGDKRFSLCVEDLIPVAVGRYLKALISTMHHCQNASFGPASSSDNVLDRIFALFIEQGSLWPEICSLPEIESPDTSESIVYGYLHEHIVLLEENGKMETLETINEKIRKRFKSLKSSNNSCAKVCSHASVAWCRSLIYNLAQITPLSCGFSSGIQDLNFTDIGADNSQLLCIDLHPHELWSTTFQDPTYLEKVQTKWSAVLSKLKSIKIKKASDENLETANNLLRACFNFYRESSSVVLSYGLSFYLVPSQLPIDTPLNPSMSGIEALDLSIPRKLLLWAYALLHGRYANISTVVKHCEEITKSKMKRGSGTSPALSNTPAASPSVTGSLSSTNTTSAGNPILSSDENGKNLFASPQRHLCTAFDAERSKNLMAHDENTKGD >OIV97269 pep chromosome:LupAngTanjil_v1.0:LG15:2809638:2812837:1 gene:TanjilG_07021 transcript:OIV97269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKALFLIFLLSYFVFLTHVFSQQIFPANLGTTFGGGSSHEPKYKIEFHQQHSPFLPDDDQESIVIPDKNGQKFICYLPKVEKEKSGKPVIQTNISSMIVGTEKRIKQKTPDELLEVLKGPCFIRQEGWWSYEFCYQKKLRQIHLEDEKVVQEFVLGVYDPEATSAFNQNLSDISTLKDPRSKDLSQRYHAHIYTNGTTCDLTNKPRETEVRFVCSEPRAMVSSITEISTCKYALTVQCPMLCKHPLFQEERPVWHTIDCNVLPKDQKDDKVRQENRNMEIVMVTDSEVDDSEQ >OIV97003 pep chromosome:LupAngTanjil_v1.0:LG15:12119147:12129115:1 gene:TanjilG_16852 transcript:OIV97003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EMEKWLPLVMLLVLLTLMEGFGVNADVTYDGRSLIIDGQRKILFSGSIHYPRSTPQMWPDLIRKAKQGGVDVIQTYVFWNLHEPQQGQYDFSGRNDLVRFIKEIEAQGLYVCLRIGPFIESEWTYGGFPFWLHDVPGIVYRTDNEPFKIENEYQNIQKAFGGAGSDYVKWAAKMAVGLETGVPWVMCKETDAPDPVINTCNGMKCGETFTGPNSPNKPTMWTENWTSFYQVYGGIPYIRSAQDIAFHVTLFIARNGSYVNYYMYHGGTNFGRTASAYVITGYYDQAPLDEYGLFRQPKWGHLKELHAAIKSCSTTLLQGVPVNFSLGQLQESYVFEEQNGGCVAFLINNDGVNKVTVQFHNRSYELLPKSISILPDCQNVTFNTANVNTTSNRRIYIPRQNFSSVNDWEQFEDVIPNFDDTSLRSNSLLEHMNATKDKSDYLWYTVRFEYNLSCKEPTLRVQSAAHVAHAFVNDTYIGAVHGNHDVKSFTLELPVTINQGTNNLSILSVMVGLPDSGAFLERRFAGLTRVELQCSEEESLNLSNSTWGYQVGLLGEQLEVYKNTSDIEWSQLGNTTNETHIWYKTSFNTPDGDDPVALDLSSMGKGEAWVNGQSIGRYWILFHDSKGNPSQSLYHVPRSFLNDSGNILVLFEEGGGNPLRISLDTVSVTDLQDQNFDKLSLPSFS >OIV96647 pep chromosome:LupAngTanjil_v1.0:LG15:16877707:16880758:-1 gene:TanjilG_09189 transcript:OIV96647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFLRPSALYHFLITYPSLKWMPCQSWSFLRWPGLDGFFRLFVVFLLWSMFSELRYIPSTSMYPTLRVGDRIIVEKASYYIRSPAINDLITFRDPTQLSGDNTDAVFIKRIVAKAGDTVEVHHGGLYINGVAQKEDFIAEAPTYTMELTSVPKGHVYVLGDNRNNSYDSHVWGPLPVKNIVGRYVTCYHRPTNT >OIV97364 pep chromosome:LupAngTanjil_v1.0:LG15:1836024:1836550:-1 gene:TanjilG_07116 transcript:OIV97364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGAKGCEVIVSGKLRAQRAKSMKFKDGYMISSGQPVKDYIDSAVRHVLLRQGVLGIKVKIMLDYDPKGKQGPKTPLPDIVLIHTPKEEEEYIRPAPAVIANEIEVPVVVA >OIV97121 pep chromosome:LupAngTanjil_v1.0:LG15:8034848:8043493:1 gene:TanjilG_04925 transcript:OIV97121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASTSFPVLYNGNVANQGAREVRSDSLDSILTESTSYEMMFAIKDMVKPLKDIVTRYIPDITEIPLEQGIEWLPSWKASPSKRKLSKDQKGSPFAILHRELEAFIAYMPDQSSFERFGPELLSATFEVFGVPLWTPGVRYPFSNEIKLSYRSEKDTRIFVEKARAELPLIPLDEAESPGRLAYTTDSGAGKRRVFAIGNFIFQRTLRPLNDFIMKVLRRIPMEASRPLTRLVPFDVPFMKWMSPQRLALEGGYFPAFFRTGQPLGYYASWPLFTLSHYYLVWWCAEQVYPSKRFTRYAILGDDICIADSKVAKVYRDALETLKVQVSLPKSLVSEIGCAEFAKKFRVRGLTVDLSPVSFQNLLNSHHIAGAMAVANTYSWLNGVQWYYTNALNLALPFERFLSAPVVQHHWKVRTEDPLLTRFGLMWRLFDEVGKWNRNMVGILPCSRIGHRTILFGRGISGTSFLVYASGLDQPKARSGSIHIECDSPKAISHELVR >OIV96883 pep chromosome:LupAngTanjil_v1.0:LG15:12928805:12932200:-1 gene:TanjilG_00465 transcript:OIV96883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGDFVSKFRLVKCPKCRVLLQENPKYNVYSCGGCGTILQANNRRRVAANSESSTQETTAAPRNAPVPPRENGLKAKANSSSSRDSSLDGNAGRGQRKNGKSNGELLEPFSLSDEELESELDIYKLTHRRRRVSNKGSSNKTSHCEIEEIHNASDENGTNEKSAQVGVKSEMEIIESGLEGSKLLDGNLSLERAQEVLVSGSDCEDANNDISDMVGANPEVEINRNDLEGSEDLSNGNLLPAGAEKQLNSGSDGEDADKDKLTLAGANPEMETAGSYSEGAKELNSGKLSLKGTEEELVSGLDGDVGNEKSALVGENLEVEISGRNIEEEELNSGKLLSDGTEEELSALALGVDDPNIEQLVSVGSNPEVDITVRASATKRSSNEIFVSEKRSILPVTPGKLEDGTSANPVSSNKQQRESQQNIQKSFDRVKFVDTLDSPKPSGIHGELYKSRTTRWYPGYDGSVSVCTAKDERFPIQQLDSFENTNMVANGVSEGRSRKGKVLVNSMLYGDHGTQHQPYLPNGKHNVVKDRIRNQNRLLETEKHGHQHWMRTKRDEFPSRIHVHQSGFQSHYERGTPSNQLHDETDSCEDNDQEKMKLLRMVHKLQDQLNRTRSASRKTNRRFPIGFSYKGKHVPAYHNHDLHGGIFSHDMDYPIPTCNGRCTHGSNLHQRHKFSRIPYSAEVTSSAHHADHSCFHYYPHKQHFSADLLQREELYRSYPGRDCCSLNSTPQRFKTSQLPVYDHETNSDDQRYMLPKVKKHLKRKPNSPKRYYKPVAGGAPFVTCHKCLKLLHLPADFLLLKRVDALAEKEKITSRHSCTSKAPVETDQSAELSSNMTFAESSNIFVLRKGGKVTTKKFITHKLVGENHFLKVKRSRKRGIILNRTKMFGESKLRMGEN >OIV96741 pep chromosome:LupAngTanjil_v1.0:LG15:16831621:16835148:-1 gene:TanjilG_11737 transcript:OIV96741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKNASSHSGRLFSDRKWVIPFFASLLVSVSLVLTAIVGSLSSSGGTVEQSPFDIISFATSEDSNAYFVESDFRRSFNANMVPKTEAPRFAYLISGTKGDSQRMMRTLEAVYHPRNQYILHLDLEAPPRERLELATTVKSDPTFREVENVRVMSQSNLVTYKGPTMIACTLQAISILLKESTEWDWFINLSASDYPLVTQDDLLHVFSNLSRDLNFIEHTEITGWKLKHRVRPIVIDPALYLSRKSDIAWTTQRRTLPTAFKLFTGSAWVVLTRSFVEYCIWGWDNLPRTILMYYTNFISSPEGYFHTVMCNTEEFRHTAISHDLHYIAWDTPPKQHPIKLTMKDFDNMVKSNAPFARKFAKDDPVLDKIDKELLGRTHRFSIGAWCVGTLGHEEDPCLLRGNDTVFRPGPGAKRMSELLQTLLSEDFQSKQCLR >OIV97409 pep chromosome:LupAngTanjil_v1.0:LG15:893210:898914:-1 gene:TanjilG_16170 transcript:OIV97409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTFSFKKAYDALKDSTKVGLAKVNSEYKGLDIAIVKATNHVEYPPKERHVRKIFHATSAYQPRADVAYCIHKLSKRLTKTRSWIVAVKTLIVIHRTLREGDPTFREELLNYSQRGHIFQISNFKDDSSPMAWDCSAWVRTYALFLEERLECYRILKYDIESERLTKSTSAATKAHSRSRSLANDDLLEQLPALQQLLYRLIGCQPEGSAYGNFLIQYASALVLKESFKIYCALNDGIINLVDMFFDMSRHDAVKALNIYKRAGKQAEYLADYYEYCKGLDLARNFQFPTLRQPPPSFLATMEEYIKEAPQTGSVNKRLEYKENDQSTTSEESSPKEPEKPQEKELQVEEVKVADEEKQPKQDEVEPPPLISIDTVDLLGLNEINPKALELEDSNALALAIVPPGGNNPSNLALCNIDGTIGWELALVTTPSNHTSQALDRKLGGGFDKLLLDSLYEDDTARRQLQLQNAGYGHGGIPIQNNPFDQYNHHDPFAVSNNIAPPPNVQMALMSHQQMMFQQQQQQNQMMFQQQQQHNPMMFQQTQHNMMMVPQQQQHSQYYPQHMQIMGTHNPFGDPLPAPTYPHSSMPQQGNYSLI >OIV97161 pep chromosome:LupAngTanjil_v1.0:LG15:5528549:5537342:1 gene:TanjilG_28912 transcript:OIV97161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGLVLYKIYRALSYGLSPLIRLHLRWRRFRGLEHPRRWPERLGHPSQSRYPGPLIWFHAVSLGEGMIAIPVIKQCIQKMPNLNVLVTTTTMSAFEVLSDRLPSEIIFQFSPLDTPASIHSFLHYWKPNAIVLMESELWPNLIMDASKNGIKLALLNARMSEKSFKIWSRSVVLPLISLMLSKFSLIAPLSTVQGIRFQLLQASPSIINFSGDLKYVIEDFGVNRGRKNLEDLRLQFAHKQVWLAASIHAGEEEIILGVHDALMQLQPNIMTIIVPRHPQHGRDIAKKLEKEGQSVALRSQHEKLKPGTNIYVVDTLGSHVGHFSHMVLEMQRLNPLSVLQVSGKLELEKALIKLLTNATLLQAHRRASKEAFGLLSNDIVSNIWNLLNFHIFSRQR >OIV96919 pep chromosome:LupAngTanjil_v1.0:LG15:13463106:13467205:-1 gene:TanjilG_00501 transcript:OIV96919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDLVMSGEKLKLVGVEEEKIKELKGLMRWEKFLPKMVLRVLLVEADDSTRQIIAALLRKCNYKVAAVPDGLKAWEILKGRPHSIDLILTEVDLPSISGYALLTLIMEHDICKNIPVIMMSSQDSVSTVYKCMLRGAADYLVKPLRKNELRNLWQHVWRRQSSTIGMSSPQEESTAQQKVEATAENNATSNRSTGSAACSQRNKEMMEKGSDAHSSCTKPDLEAESDPVETMREYSPLTCGEAYPNGTNTQEVETCIRLGQTLIKHDSHDGGLTMDISKNGEASTTSGKDGDHEHFRGADVSGEAHDNDYAQISSFKEAIDLIGAFQTRPNCSVKNSAVDCTGKFDFSPQLDLSLRRSQPSNFENELSEERHTLVHSNASAFKRYTNRPLLQAAPAMLVNFSDQQREQRTNCEKSMPHITTGCNSDSSTSSTQRCIMSPATTQSKESEFATSHSQQAHSLPIPVKGVRFNDICAAYGSVLPPMFCTQSGPQSMSSPSSVVFLEPTFQVNAFYPSNIRENSSEKLYEPHGPNGDSAPKHMVYTQGHRSEYAEDRECISPANNLSVSSSFCNENASHINHTGYRSNCGISSKFDQVATVRSASEGKNEDLTNNGSSHRPTLREAALNKFRLKRKERCYEKKVRYESRKKLAEQRPRVKGQFVRQAQPDPLSIEKVGNEYQ >OIV96962 pep chromosome:LupAngTanjil_v1.0:LG15:14534350:14535970:1 gene:TanjilG_00544 transcript:OIV96962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRIVETAKRIVGTTSLSSPIVRVLPRLYHERVVDHYSNPRNVGSFDKNDPTVGTGLVGAPACGDVMKLQIKVDDETGKIVDARFKTFGCGSAIASSSLATEWVKGKEMEEVLSIKNTEIAKHLSLPPVKLHCSMLAEDAIKAAVKDYEAKRTSATTSGQATTGEKPATA >OIV96342 pep chromosome:LupAngTanjil_v1.0:LG15:20363474:20364449:1 gene:TanjilG_09769 transcript:OIV96342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTLTTISKFPSLIFLLFLLGNVAEATVFTLRNRCDQTVWPGTLSGNGAALLGDGGFPLPPGSSIQLTAPAGWSGRFWARTGCNFDSDGNGKCATGDCSGGLKCTGGGVPPVTLVEFTIGTPSNNKDFYDVSLVDGYNVGMGVRATGGTGDCQYAGCVADLNGNCPKELQVTDEGGSVVACKSACAAFNTAEFCCTGDHSTPQSCSPTQYSELFKSACPSAYSYAYDDASSTCTCSNSDYHITFCPNATS >OIV96458 pep chromosome:LupAngTanjil_v1.0:LG15:19643124:19644434:1 gene:TanjilG_07850 transcript:OIV96458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRLLGKGTFAKVYYAKQIATGESVAVKVINKDQVKKQGMMEQITREISVMRLVRHPNVVELKEVLATKKKIYFVMEYVRGGELFAKVAKGKLKEDQARKYFQQLISAVDFCHSRGVSHRDLKPENLLLDHNENLKISDFGLSALPEQLRFDGLLHTQCGTPAYVAPEVLRKKGYDGSKADIWSCGIILYVLLAGFLPFQHENLMSMYSMVLRAQFQFPPWFSSDSRKLISNILVADPSKRTTIPAIMRVPWFRKGFEFLVIKVDEEEEEEVKEADGSRKSHKMSSPKFFNAFEFISSMSSGFDLSGLFESKKKKGSVFTSKCSATAIVDKIETAAEGLSFKVTGVKDFTIRMQGPPEGRKGRLSVTAEVFEVAPEVAVVEFSKSAGDTLEYAKFYEEDMRPALKDIVWSWQGDATCNPNITGSQIEESQNQVITN >OIV96906 pep chromosome:LupAngTanjil_v1.0:LG15:13217794:13220974:1 gene:TanjilG_00488 transcript:OIV96906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLSLNSLPLGFRFRPSDEELIDYYLRQKINGNGQEVWVIREIDVCKWEPWDLPDLSVIRNKDPEWFFFCPQDRKYPNGHRLNRATNHGYWKATGKDRKIKSGSLLIGMKKTLVFYTGRAPKGKRTHWVMHEYRPTLKELDGTNPGQNAYVLCRLFKKQDESLEIANCNEVGQTTSAPKVANSSPEGMQLAPAVASGSLSQITDDKHQAVVPESSEGTISNVRNSGDSHNGGYEAYDRQIQTPELAAKEEQRLNIDVIFDPKTELLDVELFPPAHAHIPTDFYCQPDSELDGLCGLQYGANETNISDFFDSVVNWDEVSYENSSSDKQKSNVGLFQNDWQMTSPPDISTGQVFNAASDYEQPININNTVPSSDTGIRIRTRQVENEQPNKYMGQVYNAPNDYEQPINYNNNDNNNNKHNNMVASADTGIKRRTRPVQNEPNRNFAGQGTAQRRIRLARNVAVPSSCAGEGHNLKPVTEGEMKASENHAADESSTATSNGKQSRKTSKSTDSTKISVPGLKDILLLRRVPFISKASSNLTMWSSVIVVSFIAVISLVLFVNIWGNV >OIV97404 pep chromosome:LupAngTanjil_v1.0:LG15:964336:966204:1 gene:TanjilG_16165 transcript:OIV97404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLEVFALLRDVVGYYNEAKYDTFELFSDLLDSPRHVERSAVVFDMLIKVFASNSMLENALDVFFNAKHVGIEPDVRSCNFLLKCLVEANRVKFVRRFFEELKSSGPSPNIYTYTIMMDFYCGGDLRKDTDITRANEILGKIYTSGLNPTVVTFSAYIHGLCKVGSVDLALNLVRNLCYKNQPLNNHCFNSLIYGFCQRGAVDEALEVMEEMKSFGVLPDVYSYSILMNAFCEKGDVERSLCLMSEMELHQIKPSIVTYTSLIHGLGKSKLMQSAVDIFRSIGASGFKYDQAIYETLIHGFCMKGDMDSAIKFLDEMISNNLLPTAFSCHSLIRGFHKLGLYDKALEVFNIMLQNGIQPDTITCNFILDGYCRAGYLKEALTLLEEFRCHGIDLNTHSYNAIIYRLSRESYPERALELLPRMFKGNVLPGVVNYSTLISGFAKQLSLKKAVRLFTRMVKAGITFNNITYTILINVFSSNCKVHEAYGIFKEMKKRDMRPDQICYTSLISAFCKTGEMKKAWALFEEMSREGHLPNVVTYTCLIDGFCKSNRIDLASSLFDQMNRDAVTPDVVTYTVLISWYHKHACVDQAHRLYDEMKAKGILPDARTHEVLGLQDGIIHEG >OIV97342 pep chromosome:LupAngTanjil_v1.0:LG15:2044130:2050955:-1 gene:TanjilG_07094 transcript:OIV97342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVPITGERKRINSELWHACAGPLVSLPPVGSLVVYFPQGHSEQVAASMQKEADFVPSYPNLPSKLICMLHNVALHADLETDEVYAQMTLQPVNKYDKEAMLASDMGLKQNQQPTEFFCKTLTASDTSTHGGFSVPRRAAEKMFPPLDFSMQPPAQEIVAKDLHDNTWTFRHIYRGQPKRHLLTTGWSVFVSTKRLFAGDSVLFIRDEKQQLLLGIKRANRQQPALSSSVISSDSMHIGILAAAAHAASNNSPFTIFYNPRASPSEFVIPSAKYNKALYTQVSLGMRFRMMFETEDSGVRRYMGTITGISDLDPVRWKNSQWRNLQVGWDESTAGERPSRVSIWDIEPVVTPFYICPPPFFRPKFPKQPGMPEDESDIENAFKRAMPWLGDDLGVKDASSSLFPGLSLVQWMSMQQNNQFNPAQSGYFPQSMISSNTLHGNLNTDDPSKLLNFQAPPSLQFNKPNLSNLVNQLQQSPTSWPQQQQQQKMQSGVRRYMGTITGISDLDPVRWKNSQWRNLQVGWDESTAGERPSRVSIWDIEPVVTPFYICPPPFFRPKFPKQPGMPEDESDIENAFKRAMPWLGDDLGVKDASSSLFPGLSLVQWMSMQQNNQFNPAQSGYFPQSMISSNTLHALMQSQQLPVNQSMNTQKSLTNVRGPSSLTDAEAPSCSTSPSTNNCQISPPNLLKRNHQVPSTLGGASVVEPTSNLIQELHCKPDIQIKHELSGMKGPDQLKYKGTIGDQLEASSSGTSYCIDPANIHQNFQLPNFCMDGDVQSHPRSNIPFASNLDGLTPDTFLSRGYDSQKDLHNLLNHYGSAPRDIQTELSTADISPESFGVPNMPFKPGCSNDMAINDTGILNNGPWANQTQRMRTYTKVQKVGSVGRCIDVTRYKGYDELRHDLARMFGIEGQLEDPQRTEWKLVYVDHENDILLVGDDPWEEFVSCVLSIKILSSAEVQQMSLDGDLGGHVPISNQTCSGTESGIAWRGQYDDNSAGSFNR >OIV96787 pep chromosome:LupAngTanjil_v1.0:LG15:16372663:16373279:-1 gene:TanjilG_18100 transcript:OIV96787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNPSASLPPRPPLSRVFEKQPSFDEYGPKTMSAKDLKSQTERRQRRLDAWMSNWG >OIV96377 pep chromosome:LupAngTanjil_v1.0:LG15:20147679:20147990:1 gene:TanjilG_09804 transcript:OIV96377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMKKGLCVVVIVMLVMSTQLCLVHTRVLPPEILKAQDFKVSEFYDYLDSPSKTNSQKNTNEKESSLRMVSFGVSSNNSSTRPSKRSLAFRLASGPSKKGRGH >OIV97218 pep chromosome:LupAngTanjil_v1.0:LG15:3994302:3998909:-1 gene:TanjilG_02926 transcript:OIV97218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLASDPSFVLRPKAPIPDPNPTRSSLFGARFCFDPRSWFRPSFAVGPKLSFNSVEMVVLRRIRCGCFKNHRLKVEADSGGEEFFDAATVKPVPYHLVIMVNGIIGSASDWRYAAEQFVKKLPDKVVVHRSECNSSKLTFDGVDTMGERLAEEVLSVIRRWPEVQKISFVAHSLGGLVARYAIGRLYEYSSTLEPLGTTRNYSSEEKTEYSKQFLEQRNEAKIAGLEPVNFITFATPHLGSRGNRQLPFLCGLPFLERSASQTAHLVAGRSGKHLFLMDNDDGKPPLLLRMVDDSDDLKFMSALRAFKRRVAYANANYDHGADREIDFMFCYFLTLPEDMVGWRTSSIRRQHELPKSNLLVIDAKYPHIVYIEGDTVDAIHNKASSNVIGQTVELEEEMIRGLTQVPWKRVDVSFQKSKQRLIAHSTIQHFSFEF >OIV96785 pep chromosome:LupAngTanjil_v1.0:LG15:16322209:16324887:1 gene:TanjilG_18098 transcript:OIV96785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVLSTICVLPLRNPQHMPIPPSRILCHSSVSKDSCTINSGESKKCSSLLVNRRALLASGVSLLGFQGESLAVVKQGLLAGRIPGLSEPDEQGWRTYRRPDEKSGGHGVGWSPIIPYTFSVPQEWEEVPVSIADLGGTEIDLRFSSSKEGRLFVIVAPVLRFSDDLGDDAKIEQIGPPDKVINAFGPEVIGENVEGKVLSASAEEHEGRTYYQFELEPPHVLITATAAGNRLYLLGVTGSGKSTFGYQHLILTSKDSVSFH >OIV96300 pep chromosome:LupAngTanjil_v1.0:LG15:20616283:20617362:-1 gene:TanjilG_09727 transcript:OIV96300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLSLFCTAALLAGGLYWFVCILGPAEQKGKRATDLSGGSISAEKVRDNYNNYWSFFRRPKEIETADKVPDFVDTFYNLVTDIYEWGWGQSFHFSPSIPGKSHRDATRLHEEMAVDLIEAKPGHKILDVGCGVGGPMRAIASHSRANVVGITINDYQVNRAKLHNKKAGLDSLCEVVCGNFLEMPFQDNSFDGAYSIEATCHAPKLEEVYAEIFRVLKPGSLYVSYEWVTTDKYNCDNDEHVAVIQGIERGDALPGLRSYSDIAETAKKVGFEVVKEKDLAEPPAQPWWTRLKMGRVAYWRNHIVVTILAALGIAPKGTVDVHEMLFHTADHLTRGGESGIFTPMHMILCRKPFHSSS >OIV97370 pep chromosome:LupAngTanjil_v1.0:LG15:1808311:1809117:-1 gene:TanjilG_07122 transcript:OIV97370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHNNQPPLPPLPPRQVRLLRPFPNDHNNRPSLSVLPPPLRLLHLFPTDHNVRPSLPALPLPLSQRLLRIYNKDLPYQSNRYITVNNWSFPINISITNSIALYFTVTNNSITISTPLDVNNEPPQLQMHDLSHVNEVQNSHHPLLHGLTLPNLPTLPEYIKITTNNRTFDLLIKPETTIFLIDPSRPSAHHAGNGNDEVVDQPIRLPFTLTLFFNNDNDPRTVVVVTINISSHDIFIMAFSHPGTIGWAAALDSTPAPAPAPAPAPRA >OIV96258 pep chromosome:LupAngTanjil_v1.0:LG15:20723560:20724712:1 gene:TanjilG_05098 transcript:OIV96258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGGKSKTESKRADPKLAVNKKGGATTKGARKPAKGKAAKDPNKPKRPPSAFFVFMEEFRKQFNKENPDNKAVSAVGKAAGAKWKTLSEADKAPYVAKAGKRKQEYEKSIKAYNKKQEEGPTATEEESEKSLSEVEDDDEDDDDEDDDDE >OIV96907 pep chromosome:LupAngTanjil_v1.0:LG15:13254695:13256488:1 gene:TanjilG_00489 transcript:OIV96907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEFIVKDEVTKSYNRNPGIAAGLVRMHFHDCFIRGCDASVLLDSTPSNTAEKDSPANKPSLRGFEVIDNAKARLEQVCKGIVSCADIIAFAARDSVELAQGLGYDVPAGRRDGRISLASDTRTDLPPPTFNVNQLTQLFAKKGLTQEEMVTLSGAHTIGRSHCSAFSNRLYNFSATSRQDPSLDPSYASFLKRQCPQGNTNQNLVVPMDPSSPGIIDAGYYNDILANRALFTSDQTLLTNTETASLVNQNARDPYQWASKLADAMVKMGQIGVLTGNAGEIRTNCRVVNS >OIV97186 pep chromosome:LupAngTanjil_v1.0:LG15:6359276:6359473:-1 gene:TanjilG_28937 transcript:OIV97186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMIPKKGAFICTEIDGSRTKTIVAFGTRMVTSLTRAHDNRWQRLRMSLEKSKGKKDEVVFGFAM >OIV97075 pep chromosome:LupAngTanjil_v1.0:LG15:10549429:10551120:1 gene:TanjilG_14620 transcript:OIV97075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRSATRAAMLVRVNTLLQGYSGIRFEILEAITKLLNHNVTPILPLRGTITASGDLIPLSYIAALLIGRQNSKAVGPSGETLNADEAFHLAGLHAGFFELQPKEGLALVNGTAVGSGVASMVLFEANILALLSEVLSAVFAEVMQGNPEFTHHLIHKLKYHPGQIEAAAIMEHILDGSSYIRKAKLQQPDPLQKPRKDRYALQTSPQWLGPQIEVIRYSTKSIEREINSVNDNPLIDVTGNKALNGGNFQGTPIGVSMDNTRLAIASIGKIIFAQFTELVNDLYNNGLPSNLSAGRNPSLDYGFKASEVAMAAYCSELQYLANPVTSHVQSAEQHNQDVNSLGLISAWKTAEAVEILKLMSSTYLVALCQAIDLRHLEEIFKNTVKNTVSRVAKKTLTTEDNEEINPFRFCEKELLQVVDREYVFSYIDDPFNVAYPLMPKLKQVLYEQAHISAINEKNVSLLIFEKIRDFEDEIKSLLAKEIESARVDYENGNPAIPNRIKECRSYPLYKFVREELGSGLLTGEKTVSPDQEFEKVFSAICQAKIIDPILECLREWNGVPIL >OIV97479 pep chromosome:LupAngTanjil_v1.0:LG15:186763:189036:-1 gene:TanjilG_11003 transcript:OIV97479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACRSMIRWAIMLQTFQFHKPSLLRFNIHSNSNSNSITPKPLSLRHFHYTKGPLRCSHNNNDNNNETPSFQDDQGPPQEAVLKAISEVSKTEGRVGQTTNMILGGTVTDDSTNEWLALDQKVNSYPGERGFTAIGTGGEDFVQAMVVAVESVIQQPIPQGHVKQKLSSGGKYVSVNIGPIQVVSSEQVQAVYNAMRRDDRMKYFL >OIV97359 pep chromosome:LupAngTanjil_v1.0:LG15:1875699:1876334:1 gene:TanjilG_07111 transcript:OIV97359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKITLINFFIILSLISFTHASVVDFCVADYTAPNGPAGYSCKKPSKVTVDDFVYHGLSISGNTSNIINAAVSPAFDAQFPGVNGLGISIARLDLAVDGVIPLHTHPGASEILVVVQGTICAGFVASDNTVYLKTLKKGDIMVFPQGLLHFQVNGGGSTALAFVSFSSANPGLQILDFALFKSDFPTPLIVQTTFIDAAVVKKLKGVLGGSG >OIV96727 pep chromosome:LupAngTanjil_v1.0:LG15:17794829:17795351:1 gene:TanjilG_09269 transcript:OIV96727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFKAQLLFMLMLNGGLSNCTLIKELYISGNSISDVAGLHRLLKLTVPDLRFNKITIKATGQLVANYNSLQVLNLIGNPIQRNISDNQLQKADSGLLPKLVDLNKPPIKPQMAQQVITHSVGKAALGNNCQNINRKALRKVAKDHQVPS >OIV97351 pep chromosome:LupAngTanjil_v1.0:LG15:1963603:1965835:1 gene:TanjilG_07103 transcript:OIV97351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAETYGLRGKGVSLISIVLICTTIIIWSWEKTPSLNVSIPSRATMQLPSENISIVSHKEAKTEAKRNEKDKTSKREHRPDFVNDSPQRSSLISEKEDNNWNNATDNKVCNYAKGKWVPDNHRSFYSGFGCKRWLSKMWACRLTQRTDFAYEKLRWQPKDCQMEEFEKSKFLRRMQHKTLALIGDSLSRQQFQSLMCMLTSGKKTHDVEDVGKKYGLARGKGALRPNGWAYHFLSTNTTILFYWSATLCDVEPIDASNPGSDQAMHLDRPPVFLRQYLHKFDVLVLNTGHHWNRVKFKANRWVMRVGGMPNTDKKLGTMGSAKNLTIHSIVSWVNSQLPKHPGLKVFFRSISPRHFVGGEWNTGGSCDNTTPMSVGKEILWEESTDQVAAHAVKGTGIKLLDITALSHLRDEGHISRFSISAKPGVHDCLHWCLPGIPDTWNEILFAQI >OIV96538 pep chromosome:LupAngTanjil_v1.0:LG15:19007055:19018693:1 gene:TanjilG_07930 transcript:OIV96538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFEKEEFEKKLVFAYYVTGHGFGHATRVAEVVRHLILAGHDVHLVTAAPDFVFFHQIEINSPRLFFRRVLLDCGAVQSDALTVDRRASLEKYSETAVKPRASILATETEWLNSIKADLVVSDVVPIACRAAADAGIRSVCVANFSWDFIYIDYVMDAGPTYRSMIWQIAEDYSHCEFLIRLPGYCPMPAFRDVIDIPLLVRRLYKSPKEVREELGIADDVKLVILNFGGQPSGLKLKEEFLPSGWLGLVCGASDTEDLPVNFRKLAKDAYTPDIIAACDCMLAQILQEAASGKNYASDKLSGARRLRDAIVLGYQLQTVIGRDIAIPEWYSVAENKLGPSPPASPVDNGNSVFNSHAQDFEILHGDVQGLPDTVDFLKSLSELNEKQKIRERKAAANLFNWEEEIFVTRAPGRLDVMGGIADYSGSLVLQMPIKEACHVALQRIHPSKHRLWKHAEARHEDEEKQKPAAVLQIVSYGSELGNRSPTFDMDLSDFTEGGKPISYEKARKYFAQDPSQKWAAYVAGAIVVLMNELGVHFEDSISMLVSSAVPEGKGVSSSASVEVASMSAIAAAFGLNISMRDLALLCQKVENHIVGAPCGVMDQMTSACGEANKLLAMICQPAEIVGLVEIPKNIRFWGIDSGIRHSVGGADYGSVRIGAFMGLKMIKSRASELLSEISSIELSSDETEQDDISLLKKEASLDYLCNLSPHRYEAIYADVIPKSIIGGTFLEKYKNHNDSVTVIDEKCTYGVKAPNKHPVYENFRVKTFKTLLTSATSSEQLTALGELLYQCHYSYSACGLGSHGTDRLVHIVQELQHSAASESEGGTLYGAKITGGGSGGTVCVIGRNCPKSDEQISQLQQRYQKATGYLPYVFEGSSPGAGKFGYLKIRSCSTPQNS >OIV96955 pep chromosome:LupAngTanjil_v1.0:LG15:14237946:14243306:1 gene:TanjilG_00537 transcript:OIV96955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAALRYLAGIAGPSGFGSNSTAEQVTQDCPSFVPSDLTALITGGTSGIGAETARVLAKRGVRVVIGARDMNKAREMKENIQKESPYANVIMLQIDLSSFGSVQRFCSQFLALQLPLNILIFNGTRAYAQSKLANILHAKEIARQLKERNARVSINAVHPGIVKTGIIRAHKGLLTDSLFFIASKLLKSTSQGASTACYVALSPKIEGISGKYFTDCNESNCSSLANDEWVAQKLWNNTHALLHKLLCQATI >OIV97453 pep chromosome:LupAngTanjil_v1.0:LG15:561794:565117:-1 gene:TanjilG_16214 transcript:OIV97453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMQMILKKNINLCKSLSLTHFSCSSFFTTSASTTTDNNSHNLHLPPFDYEPQPYKGPLAHEIFTKRNKFLGPSLFHYYQKPLNIVEGKMQYLFDENGRRYLDAFAGIVTVSCGHCHPEVLNAIMEQSKLLQHATTIYLHHAIADFAESLASKMPGNLKVVYFVNSGSEANELAMLMARLYTGNLGMISLRNAYHGGSSSTIGLTALNTWKYPIPEGEIHHVMNPDPYRGVFGSDASSYAKDVQDHIDYGTSGKVAGFIAETIQGVGGTVELAPGYLKLVYDIVHKAGGVCIADEVQTGFGRTGSHYWGFETQGVIPDIVTMAKGIGNGLPLGAVVTTPEIASVLAQKIQFNTFGGNPVCSAGGLAVLRVIDKEKRQSHCADVGSHLLEGLRSLMQKHDIIGDVRGRGLMVGVEFVTDRKKKTPAKAETAVMFEKLRELGILIGKGGLHGNAFRIKPPMCFSKDDADFVVDALDYAISKL >OIV96966 pep chromosome:LupAngTanjil_v1.0:LG15:14756448:14757257:1 gene:TanjilG_00548 transcript:OIV96966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMQQQHGKAYNQVSPSSSFTLTNYSSFETLSNIATRVINDLRWDPHHSTFNDDDMLQPWENNHDNAHEAVNDNHQNQNGTTNNNNDEEEFEFTFVPTNPNTSLVSADDIFYNGKIKPTYPIINPTLNDTVSRSNNTNKNDDVGENIKRRRPALRKLMFEERETALCLSSTDESVDMEGVMEGTYCVWTPHSVGVKERKKKINSTGSVSKRWKLQNLVLKSNSEGTEEVVKMTNKVVDEAAKPRSGSGNGGAWVRVIKEGEEMKRKWFA >OIV96812 pep chromosome:LupAngTanjil_v1.0:LG15:15707676:15709730:1 gene:TanjilG_08673 transcript:OIV96812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCNKDEAARAKEIAERKFSEREYVGAKKFALKALNLYPALEDLSQFLTTLNIYISAENKISGEMDWYGILGVSPFADDETVRKQYRKLALTLHPDKNKTLGAEGAFKLVSEAWSLLSDKTKRLAYNQKRTGNNPNHIGTQSAAHSSNGIYNFKKNVTSNVRTGTNARVPSAPVPPPQKRAETFWTICNRCKTHYEYLRVYLNNTLLCPNCSEAFMAVETGPPPNVFKSPNWSSHQQYHNNRQHAGTNNTNVQWDTHGSSSVAAQIVQQASEKVRKEGTPSFSEWERKRTDGSKKRRTDDIQINGYQGHMANHMGTGHGAAGVGGFSEPGKANMETQRNNGFSVKHYKTRELSMFELRNMLMDKARVDIRKKLQEWRSVAEAKITNKDRGNKRQKSTFNDKASSEKHVESTGNGNRHLVVDSFPVTSDDAVEKNQAYVTINVPDSDFHNFDLDRSENAFEDDQVWAAYDDDDGMPRYYARIHKVISTKPFRMQISWLNSRSNSELGPMDWIGSGFYKTCGDFRAGKHELSESLNSFSHKVRWTKGNRGVIRIFPGKGEVWALYRNWSPDWNEHTPDEVIHKYDMVEVLDDFSEEQGIIVTPLIKVAGFRTVFQRHMDPDQVRRIQKEEMFRFSHQVPNYLLTGKEAPNSPKGCRELDPAATPLELLQNMTEADEASLGNVSKTK >OIV97377 pep chromosome:LupAngTanjil_v1.0:LG15:1742597:1747905:1 gene:TanjilG_07129 transcript:OIV97377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECVGVRNFTSMAVCLTSSSRLWFRRKNVTRTSFCGNAIALRNLHLRRYRCNRHRLESFSFLDVKAGAGSESCVVTKEDFADEEDFVKAGGSELVFVQMQQKKSMEMQSKLAEKLPPISTGDDILDLVVIGCGPAGLALAAESGKLGLKVGLIGPDLPFTNNYGVWEDEFKDLGLEGCIEHVWKDTIVYLDNKDPVLVGRSYGRVSRHLLHEELLRRCVESGVSYLSSRVEKIVEVSNGQSHVVCEYDTVVPCRLVTVASGAASGKLLQYEVGGPKVSVQTAYGVEVEVENNPYDPNLMVFMDYRDYMKQNVQRPEENYPTFLYVMPMSPTKVFFEETCLASKDAMPFDLLKKKLFSRLNTMGIRITKTYEEEWSYIPVGGSLPNTEQKNLAFGYSVVRSLSEAPHYASIIATILNEDHARDIITHQRSKENLSMQAWQTLWPQERKRQRAFFLFGLALILQLDIEGTRTFFRTFFRLPEWMWQGFLGSSLSSTDLILFAFYMFIIAPQNLRMSLVKHLFSDPTGVPYIESWGQVKLH >OIV96440 pep chromosome:LupAngTanjil_v1.0:LG15:19776925:19782364:1 gene:TanjilG_09867 transcript:OIV96440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGDGGERWCVVTGGRGFAARHLVEMLIHSNTYSVRIADLGSTIDLEPSEQLGILGEALTSGRAQYVTLDLRNKEQVLKACEGAEVVFHMAAPNSSINSLQLHQSVNVQGTKNVIDACLELKVKRLIYTSSPSVVFDGIHGIHNGNETLPYPPSHNDHYSATKAEGEGLVIKANGKDGLLTCCIRPSSIFGPGDKLLVPSLVDAARAGKSKFIIGDGNNLYDFTYVENVAHAHICAERALASEGKVSEKAAGEAYFITNMEPIKFWEFLSLILEGLGYERPRIKIPASVIMPIAHLVELIYKLLGPYGMKVPQFTPSRVRLLSCSRSFDCSKAKDRLGYAPIITLQEGLRRTIDSYPHLRAENQPKTRREGPSKSSIYLGRGRGKIMNKNMLIDTQDVHLISRNIKFDWIVKVADTLLWKDRKQTFTTLLVLAAIYFNFIASGNTIITAVSKLLLFISIFLFIHGILPAKILGYNIEKIPPSGFHLSDNMSHQIALSVASSWNFAVNVLKSLAQGNNLELFSKVVFSLVILSFLGGFSLQSLYIIGIAFVFVAFYVYEKKEEDIDGIFITIHSFGCKLKSDFTRKFLLSKKID >OIV96943 pep chromosome:LupAngTanjil_v1.0:LG15:13857964:13858245:1 gene:TanjilG_00525 transcript:OIV96943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELDIKEENGVELLVDSKSAIDFARNHVSHGRSKHIETKCHYLRQQVAEGMIKLIHCKTKMQLADMMTKALRIGRFKEFRKQISVHSLKGVST >OIV97451 pep chromosome:LupAngTanjil_v1.0:LG15:576189:576785:-1 gene:TanjilG_16212 transcript:OIV97451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSDDTNHFSAEKSFRIKQNDRFFTRLMAKEISNANTSSRVLYYGETSLAVPFIWEAQPGTPKHPLSQTSLPPLTPPPSYYSNSKSISKSRRNYSKVNIIFSRFLQSFGGGFRKKDHVAPSSISSTWSPSSSLSTSTSSWSLAYSSSSPSFFMREKDYGDGEGNHSFSSSRSHLKHKCSNGFRGCYPFGNMKNATISY >OIV97386 pep chromosome:LupAngTanjil_v1.0:LG15:1672111:1677493:-1 gene:TanjilG_07138 transcript:OIV97386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEGRKRSKPSESDLKEENMSKKVFALQRAMDHINSAFGKGSITWLGRSVTQKNVHVMSTGSFAVNAPLGIGGLPKGFKQGTSGISQKLSFSTKGRRRSKSDGSDSCEENMSKKDLALQQAMDQITSTFGKGSIMWLGRTVSPKNIPVVSTGSFALDIALGVGGLPKGRVVEIFGPEASGKTTLALHVIAEAQKSGGYCAFVDAEHALDKALAESIGVNTENLLLSQPDCGEQALSLVDTLIRSGSLDVIVVDSVAALVPKGELDGEMGDAHMAMQARLMSQALRKLCHSLSLSQCVLIFINQVRSKLSTFGGFGGPTEVTCGGNALKFYASVRLNIKRIGLIKKGEETLGSQVQVKVVKNKLAPPFKIAQFELEFGKGISRDAEIIELSLKHKLISKHGSFYHYNGQNFHGKDALKKFLAQGDGAQVLTVKLREKLLSSETELVPEAQVLSTADVTEEMVSFDSTDEEAAAAVEA >OIV96826 pep chromosome:LupAngTanjil_v1.0:LG15:15535877:15538230:1 gene:TanjilG_08687 transcript:OIV96826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEYDFAAEGDLFKAPEPIIEESFMDLDPMTAAISMMSCGEGVSCRGLQSTDIDVLQKEQLLSDMLYECEKDLLEKAAIESPLSQILEIKVPSLNMDEYSIQEDKPFPDMPKSVSSVSLSSLDWMHGAAMKPAFLDFPGIDFNSDYGMRRSFSEGDIKALGNGSLNIVQSPRERPFLISNCTSEERQEKLSRYRHKKTKRNFGRKIKYACRKALADSQPRIRGRFAKTEESDVKRQ >OIV96397 pep chromosome:LupAngTanjil_v1.0:LG15:20018583:20021286:1 gene:TanjilG_09824 transcript:OIV96397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLFNWGVKEGNRATPVVVKMENPNWSMVELEGPSEEDFLITNPSASARDKGRGKNAKQLTWVLLLKAHRAAGCLTSIAPALLTLVSAVKRRVASGRTDADVDNDRVGREKENPTVKSKFYSCIKVFLVVSVLLLCFEVAAYFKGWHFGASNLQLDYLYWAPAFGVKDFFNWVYAQWVLIRVEYFAPPLQFLANACIVLFFIQSLDRLVLCLGCFWIRFKKIKPVPKEGVLDLESGEKGFFPMVLVQIPMCNEKEVYQQSIAAVCNLDWPKSKFLIQVLDDSDDPITVSLIKEEVEKWQQEGANILYRHRVIRDGYKAGNLKSAMNCSYVKDYEFVAIFDADFQPTPDFLKKTVPHFKDNDELGLVQARWSFVNKDENLLTRLQNINLSFHFEVEQQVNSVFINFFGFNGTAGVWRIKALEEAGGWLERTTVEDMDIAVRAHLHGWKFIFLNDVECQCELPESYEAYRKQQHRWHSGPMQLFRLCLPDIIKAKISTWKKFNMIFLFFLLRKLILPFYSFTLFCIILPMTMFVPEAELPAWVVCYIPATMSFLNILPAPKAFPFIVPYLLFENTMSVTKFNAMISGLFQLGSAYEWVVTKKSGRSSEGDLVSLVEKAKHQRGSSAPDLEEMKEEIQRQQEEKAVKKKKKKHNRIYMKELALAFLLLTASARSLLSAQGIHFYFLLFQGISFLLVGLDLIGEQVD >OIV96333 pep chromosome:LupAngTanjil_v1.0:LG15:20413777:20414731:1 gene:TanjilG_09760 transcript:OIV96333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLMAGWDSSTLDPKSARVERNRSLTKEEINAFWRTKKEIEEEHLRAVSKLSENIQVSKYKEIEKFHKSSTTVPLASIKESLDMDVNTSLEQLIKKNGWWTKSSWAFLNEPPIMEAASNKYASQFHVANLGSSNFNTGGGINV >OIV96329 pep chromosome:LupAngTanjil_v1.0:LG15:20424832:20427977:-1 gene:TanjilG_09756 transcript:OIV96329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIPGNRGLVFVGETLQFMAAINSSKGVYDFVRVRRLRYGNYFKTKLFGETHVFISRTESAKVILNNEGGKFSKKYIKSIAELVGSDSLLCAAQQHHKLIRCRLFSLFSTASLSSFVKLFDAMVLEAMSSWSCGSIVVIQDETLKLACKAMCKMLISVESSYELMVMQKEVSSICDAMLSFPLRLLGTRFYKGLKARKKIMDMLEKEISERRSGVGTRRVDFLQQLLENDDKLKEDEVPKLTDTEIKDNILTMMIAGQDTVANAMTWMVKFVDENQEVLNELMKEQVQIDKKGTRSGYLTLEALNEMQYASKVVKEALRMASVVQWFPRVALQDCDIEGFKIKKGWNINIDARSIHLDPIVHNDPDVFNPSRFSDESKPYSFLAFGMGARTCLGKNMAKAMLLVFLHRLITTYKWKVIDSDSSIQKWALFTKLKSGCPVRLTSMKKDTCKTI >OIV96284 pep chromosome:LupAngTanjil_v1.0:LG15:20888304:20889451:-1 gene:TanjilG_05124 transcript:OIV96284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIASIVHLYVFPAKPYELMGDHHPGSVSVLGDYSADCPLDPEEIRDSERPTKLRLPTPDVDSKSGMTIRESVRDVVIGGGGYIVNDVKFTVHQAVEPVEKGITRFNEKLHRISENIKKHDKDGRRTKDDSSIGSPSPARRVIRGIDDPLLNGSVSDSGILRGKTKKHSRKSGYTSGESGESSSDLSYGDYQIRGRRWVTKE >OIV96931 pep chromosome:LupAngTanjil_v1.0:LG15:13660785:13661388:1 gene:TanjilG_00513 transcript:OIV96931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSELACSYATLILHDEEIPITAEKISTVLKAANVNVESYWPSLFAKLAQKRNIDDLILNSGGGGAAVVAVAAPAAAAGGGAAAAAPAAVEKKGLKLKISS >OIV97387 pep chromosome:LupAngTanjil_v1.0:LG15:1656860:1658595:1 gene:TanjilG_07139 transcript:OIV97387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPMEGVFSLPEAARTDFLHSLVQYLGCTYICLWSSDPISNNRLIFLDGFYNQPSTSLGSVAQELFNHYQSLTFDINNDCVPGLAFKNQRPYLLLQQLDLLRLASTEIQTQFFQEARIKTVIFMGCNKGEIELGFSNMTQDDIQTALRSLFPEEFCRQIQPIDPNPPSSSSSSLRSLSKGSPEYSSLIFTSPGTSQSNFPETLRGIVPTMPPLLPNTNTHQQTLQALPQVTTTHQFPSPDNEQDEIMRSILYILTSPSSTSHHHHQNMPYNSAFKRYRPSLGPSIMSQMGSKFMKQNMLNRSFAFFRNLNLSRMREHIQATHPTSTQLHHMISERRRREKLNENFQALRTLLPPGTKVQN >OIV97299 pep chromosome:LupAngTanjil_v1.0:LG15:2449462:2450064:1 gene:TanjilG_07051 transcript:OIV97299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNIEQRRTINLTLPITLPITINAVSTTTLLPITITPDSITATGQWTPLYPNLDPSIGPTFMSLTLPTRLNFPTTITIATNTFTAAATITPHRTLFNLFVDNEPHHQPPPPHSHSGYHQHLTSTHSITTLPFILILILEHNNNNNNDKPIGVQITISDNLITIDGHPLSEPSIADTNPSLAAILLMPNTIANATTSPEED >OIV97198 pep chromosome:LupAngTanjil_v1.0:LG15:7080345:7081877:1 gene:TanjilG_28949 transcript:OIV97198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAKPDTPLMPQSSRNLPDLRKSVKLKYVKLGYHYLITHGMYLFLSPIVVLIAAQLSTFSLKDLYDIWTHLQYNLISVILCLTLIVFLSTLYLVTRPQPVYLVNFSCYKPEEARICTKKMFMDQSRKAGHFTEQNLEFQRKILERSGLGDNTYLPEAVLNLPPNPSMKEARKEAEAVMYGAIDELFAKTSVKPKDIGILIVNCSLFNPTPSLSAMIVNHYKLRGNIRSYNLGGMGCSAGLISIDLAKDLLQVHPNSYALVISMENITLNWYEGNDRSKLVSNCLFRMGGAAVLLSNKSSDRRRSKYRLIHTVRTNKASDDKCFSCVIQEEDDDRKVGVTLSKDLMAVAGDALKTNITTLGPLVLPTSEQLLFFGTLVGKKLFKMKIKPYIPDFKLAFEHFCIHAGGRAVLDEIEKNLQLSPWHMEPSRMTLYRFGNTSSSSLWYELAYSEAKGRVKKGDRTWQIAFGSGFKCNSAVWKALRTINPAKEKSPWMNEIHQFPVDVPRVSAI >OIV97488 pep chromosome:LupAngTanjil_v1.0:LG15:230508:234026:-1 gene:TanjilG_11012 transcript:OIV97488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSLEKPRPIDFYKEEEETPEMMIEVVPTTDNNNNHHLQQPPPPPPPLMLTESSGDDPELDQIKAPKKRAETWVQDETRNLISLRREMDALFNTSKSNKHLWDQISAKMRDKGFDRSPTMCTDKWRNLLKEFKKAKHQDNRGSSKMSYYKEIDEILRERSCKSVHYKTPIPPTLSKVDSFMHFADKGIEDTSISFGPVEANGRPTLNLERSLDHDGHPLAITTADAVAASGVPPWNWRETPDNGGESQSCCGRVISVKWGDYTRRIGIDGTAEAIKEAIRSAFRLRTRRAFWLEDEEQIIRSIDRDMPLGNYTLHLDEGMAVKVCLYDESDHISVHTEEKIFYTEDDYRDFLTRRGWTCLREFDGYRNIDNMDDLRPGAIYRGVS >OIV96533 pep chromosome:LupAngTanjil_v1.0:LG15:19044440:19046561:-1 gene:TanjilG_07925 transcript:OIV96533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRVYVGNLDSRVTERELEDEFHVFGVIRSVWVARRPPGYAFIDFDDHRDAQDAIRELDGKNGWRVELSHNSRGGGGGRGGGRGRPGGGGSDLKCYECGEPGHFARECRSRGGSGRRYSRSPPRFRRSPSYGRRSYSPHRRSPRRRSLSPRGRLSPRGRLSPRGRSYSRSPPPYRGREELKYGNG >OIV97393 pep chromosome:LupAngTanjil_v1.0:LG15:1410558:1415130:-1 gene:TanjilG_17577 transcript:OIV97393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGTILVSVLEFMDLPLSSSTSIRVSMGKIEYKISDKGNFSFPLTSLRDDLIVKIQDDDGNEISSTGFHIRLILEKVVWEDIFPLGTGHLHLRLQFILSDEERGRIRILRQTALKKKHDELLSIDRKGAESDSRASTGNAASPFQANDEVSESPKERFQQEAVSHIQSPVGFRNDEESGVRNVVGANLDKKQQKPNISDHYEETSSTKPVTQEVNLTQSQHKGKKPENQLPAVKQLQRVTSSEELSNYLRNSEQIDVVRNNPLQSNLEKDGEKYSEKKNPQGRTLTPSNVKKMISAFEGGLPQDMRPHIKPPPIKLQPSTIGTKETSKTQLLEQHKSLNTVSEELLQEKVKSREQIKLLQDQVLPKETMQLKELSTNDILNNQTDSNSRNKSKVTHKKDIEEEKYNKELISEGSCDLHIQRDSMEDLVNKYYSLESSQVWIFPDQQRKICRTSIGKGAMDILEIQDTKCLSHQRNLDFPKLETLEKEAYVVSETEGGKYEKIQEIGQSKTNNSNDNGNENSGGPINQIVPCEKSTTNDI >OIV96901 pep chromosome:LupAngTanjil_v1.0:LG15:13173588:13174418:-1 gene:TanjilG_00483 transcript:OIV96901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGCVSSPKDLELEEGEQAPIESPNSPKNAEGETLVQETKEGGDKEESEKKEEPLVDAAAETKEENKEEKVDALAETKEEAKVEQPKAEDKKDEPLVTL >OIV96416 pep chromosome:LupAngTanjil_v1.0:LG15:19905253:19906642:-1 gene:TanjilG_09843 transcript:OIV96416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCPSSRCIPYLLQQVVRREIHEGSLGQRGMCFRVAKENLEDNHLSRFLEAEGNVQNDHRKDTADAAEVG >OIV96756 pep chromosome:LupAngTanjil_v1.0:LG15:16669411:16675442:1 gene:TanjilG_11752 transcript:OIV96756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLIDMNPVTGVIFSPSPPPPLPFANARILGFRFHLCRKSAPSFVITAQLSKSFSLNFGLESQSQNLNSIPSYDPSQLPWMGPVPGDIAEVEAYCTIFRNYERFHSALMDALCDPFTGECSVSYDVPSYEKPVLEDKIVSVLGCMVTLVNNGKQEVLSGRSSLITPFRASEVSMIEDVLPPLAIFRSEMKRCCESLHIALENYLVPGDDGNLDVWRKLQRLKNVCYDSGFPRWEDYPCPALFANWSPVYLSTSKEDAESKDYEADFWTGGQVTEEGLKWLLDKGFKTIVDLREEIIKDNFYEAAVHDAITSGRIELVRIPVEVRTAPTMEQVDKFVSYVSDISKRPIYLHSKEGIWRTSAMVSRWRQQIARSASQFLYNQAVSSNYMLSDYTNRPGKLLDTSVTDEGSSLEKDINLLQESLGTTNSSVGSFQRSTTPNKESETIQRNEALSGISPDDRTSSQATAANGEGSFSSFSSKTSPFVAQVPPCDIFSKKVMSRYLRHLPDSWNMHTGRLQGGTIISNGANHLPEVVGPENSNGPAHVDYPSGELQSKVGSNWKPVNRNTSSFVRETVNRFGEEEMYYMTDANVSTSVNNGINNVRTNSQRGVRDDEDKSRLAIRDGDLGTTEGDMYSSSVGFVRVQSRKKAEMFLVRTDGFSCAREKVDESSLAFTHPSTQQQMLMWKSTPKNVLLLKKLGGQLMEEAKEVASFLYHQEKMNVFVEPDVHDIFSRIPGFGFVQTFYIQDICDLHEKVDFVACLGGDGVILHASNLFGAAVPPVVSFNLGSLGFLTSHNFEDYRHDLRQVIHGSKTRDGVYITLRMRLRCEIFRKGKAAPGKVFDILNEVVVDRGSNPYLSKIECYEHDRLITKVQADGVIVATPTGSTAYSTAAGGSMVHPNVPCMLFTPICPHSLSFRPVILPDSARLELKVPEDARSNAWVSFDGKRRQQLSRGDSVRISMSQHPLPTVNKFDQTGDWFHSLIRCLNWNERLDQKAL >OIV96938 pep chromosome:LupAngTanjil_v1.0:LG15:13775299:13777085:-1 gene:TanjilG_00520 transcript:OIV96938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQALSLTTASPMLSQKTVYFTKPCKNVVISPSFAFFPTSKRVLPKVRAQANSDNKDNSVDVHVSKREQGTSVERKPRRAATEISPFGVLDPWSPMRSMRQMLDTMDRIFEDTMAFPVRNLGGGEIRAPWDIKEEEHEIKMRFDMPGLAKEDVKVSVEDNVLVIKGGHKNEQGGGDSWSSKTYSSYDTRLVIPDNCEKDKVKAELKNGVLYITIPKTKIERKVTDVEIQ >OIV96476 pep chromosome:LupAngTanjil_v1.0:LG15:19456339:19465121:1 gene:TanjilG_07868 transcript:OIV96476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHTSSVAVSSLSSAPDQSHASTSSSPSSDHKSAVVASTSAAATEDLTVSSRDGGSGAAAQETIALERRGEYSVVCRWTVQNFPRIKARALWSKYFEVGGYDCRLLIYPKGDSQALPGYISIYLQIMDPRGTSSSKWDCFASYRLAIVNVADDSKTIHRDSWHRFSSKKKSHGWCDFTPSSTVFDPKLGFVFNNDSVLITADILIINESVNFTRDNNELQSSLSLSSSSTSSVVGSPVSDVLSGKFTWKVHNFTLFKDMIRTQKIMSPVFPAGECNLRISVYQSSVNGVDYLSMCLESKDTDKTVLLSDRSCWCLFRMSVLNQKPGSNHMHRDSYGRFAADNKSGDNTSLGWNDYMKMSDFIGTDSGFIVDDTVVFSTSFHVIKELSSFSKNGAAIAGRNVGGARKSDGHIGKFTWRIENFTRLKDLLKKRKITGLCIKSRRFQIGNRDCRLIVYPRGQSQPPCHLSLFLEVTDSRNTSSDWSCFVSHRLSVVNQKVEDKSVTKESQNRYSKSAKDWGWREFVTLTSLFDQDSGFLLQDTVIFSAEVLILKETSIMQDFTGHDSELSRNGSLDSCEKRSSFTWKVENFLSFKEIMESRKIFSKFFQAGGCELRIGVYESFDTICIYLESDQAVASDPDKNFWVKYRMAIVNQKTPAKTVWKESSICTKTWNNSVLQFMKVSDMLEADAGCLVRDTVVFVCEILDCCPWFEFSDLEVLASDDDQDALTTDPDELIDSGESEGISGDEEDIFRNLLSRAGFHITCGDNPSQPQVTLREKLLMDAGAIAGFLTGLRVYLDDPAKVKRLLLPTKLSGTWDGKKATKTDESSPSLMNLLMGVKVLQQAIIDLLLDIMVECCQPSEGDPVADSIDASSKPSPDSSGAATPLKCDRENGATVSSQFPVNERLDSVVEDSSRTSAVQSSDLNANSIQEKALPGQPISPPETSATGSENTPFRSKTKWPEQSEELLGLIVNSLRGLDGAVPQGCREPRRRPQSAQKIALVLDKAPKHLQADLVALVPKLVEHSEHPLAACALLERLQKSDAEPALRMPVFGALSQLECGSELWERILFQSFELLTDSNDEPLAATIDFIFKAASQCQHLPEAVRSVRARLKNLGLEVSPSVLDFLSKTINSWGDIAETILRDIDHDDDNGDSFTPLPCGIFLFGEHGIAPPGLHVIDEQAFRACRHFSDIYILFEMLSIPCLAIEASQTFERAVARGEIDAQSVTLVLDSRLSQRFNGNAIYVSEKLQHSDSVVEGDAGEQLGVQRDDFTSVLGLAETLALSRDPGVKAFVKLLYTILFRWYANESYRGKMLKSLVDHATSSTDNGREVDFDLDILVNLVCEEQEIIRPVLSMMREVAELANVDRAALWHQLCASEDEIIRIREEGKTEISNMAREKATISQKLNESEATNIRVKSEMKAGMDRFTQEKKELSENVQEVESQLEWLRSERDDEIAKLSADKKVLQDRLHDVDTQLSQLKSRKRDELKKVVKEKNALAERLKNAETARKRFDEELKRFATENVTRNEIRQSLEDEVRRLTQTVGQTEGEKREKEEQVARCEAYIDGMESKLQACQQYIHTLETSLQEEMSRHAPLYGAGLEALSMKELETLSRIHEEGLRQIHALQQRKGGHAGSPLVSPHNLPHNHVLYPPPSPPMAVGLPPFIVPNGVGIHSNGHVNGALGPWFNHP >OIV96713 pep chromosome:LupAngTanjil_v1.0:LG15:17648030:17648785:1 gene:TanjilG_09255 transcript:OIV96713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEERILPPPPPPHPQPYDPGSPKLQVPYIGPGTYVVQVPKDQIYRIPPPENARIAESYRNSPGKDKKRSCSCWCCVCLIVFIVILIIIGAIVGGLFSIVLKPKSPRFSIQRFQLKNSTNPIYNITLKVNNPNSNVGINYKEGGDVSLSLKQREIASGAYPSFFQARDNSKEFRLAMKASKGGLPKEVDKSMSNKKKKVNVKFTLSIKVHAQMKMWYFHSGTMTYDVMCQVKVDTLAKNTRILSQQCKTNRL >OIV97283 pep chromosome:LupAngTanjil_v1.0:LG15:2648920:2651778:1 gene:TanjilG_07035 transcript:OIV97283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSELLEKAKNYIAEKVGNFPKPEASITDVDVKGLNSDGMKYLAKVSVKNPYSTSIPICEINYTLKSSEREIASGTIPDPGSLKASDITLLDVPVTVAHSILLSLARDIGKDWDIDYQLDIYLIIDLPVFGNFTIPLSQKGEFKLPSFSDIFA >OIV96663 pep chromosome:LupAngTanjil_v1.0:LG15:17090415:17097181:1 gene:TanjilG_09205 transcript:OIV96663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFLFLLFSFPFTFIEANRPFFNLTTTPLNNNRPSSIVLPIRGTLGRYNVSLNIGDTPKSFELEFDTAGDLSWIECDAPCVNCKTPIDKRYKPHKNIVACADPLCAPVPKPRNFKCVKPNDPCNYFVKYADKDSTSGVIVQDNINLKFTNNVVKKTSIVFGCGYDQTYDADFSSGILGLEANRPFFNLTTTPLNNNRPSSIVLPIRGTLGHYNVSLNIGDTQKSFELDFDTGSYLTWIECEAPCVNCKMPIDKRYKPHKNIVSCADPLCAPVQPPNFKCVKPNDQCDYFIQYADKDSSVGVLVQDNINLKFTNNVVKKTSMVFGCGYDQMFKTEYASGILGLGNGKSSILSQLHNLGLIANVMGHCLREKEGFIFLGDKFNSAPGIVWAKMLRSSLEYPYTIGPANILFNEKPTSITGLELIFDTGSTYTYLDDKVYQAVLDLVTNDLPKTLTKTTRDESLPICWKGPQLFKSLGDVRNFFKPLALIFTSSKNVQLQLPPESYLIVNKEGNVCLGILKSSENGLRNFNVIGDISFQDKLIVYDNEKKRIGWVAGKCG >OIV96501 pep chromosome:LupAngTanjil_v1.0:LG15:19308723:19311896:1 gene:TanjilG_07893 transcript:OIV96501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLLQSLIDPKKNWLAALHMKTISRRLRNYGLRYDDLYDPYYDIDVKEALNRLPKEVVEARHQRLKRAIDLSMKHQYLPQDLQALQTPFRSYLQEMLAYALPNQQTVDYPSFKLVIVGDGGTGKTTFVKRHLTGEFEKKYEPTIGVEVHPLDFFTNCGKIRFYCWDTAGQEKFGGLRDGY >OIV97067 pep chromosome:LupAngTanjil_v1.0:LG15:10371737:10382019:1 gene:TanjilG_14612 transcript:OIV97067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPDLRRPFKRPAISDQERRRQQSLLRQAQNRRDAQHHARFLASTAFSLPSQTPELEPSLSQPEHVPDSQPEPSFKDLDVVEASKLKGAEARKWFAKQLMLPEWMIDIPDKLAIDWFVFARPSGKRCFVVSCNGTTISRLKNGSILHRFPSALPNGARRKDASGSSQSYSILDCIFHEVDQTYYVIDMICWKGYSLCDCTSEFRFFWLNSKLAETGACDPPSYYHKYRFSLVPVYSCDQDGLSAAYTAAMPYVKDGLLFYNKHAHYQTGITPLALVWKDRNCSQYVMDTDNKGLVPSQQQVVLELQEDGKVTTCDDPPVVFGCLDGNYTQQLELNSGCLIRFAIGEGGLVLVDGKLEKADLNYLSQANRARASADIFSKVMFQYSVRHSPLRIDDLLGSIISTLDQESKACDIDMDG >OIV97027 pep chromosome:LupAngTanjil_v1.0:LG15:11365964:11376510:1 gene:TanjilG_19574 transcript:OIV97027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKICGGSLFLSVKTQQLLLHHSPPPPTTTTKTTTRFYSSKPSFPSSRSFSSSFRSNTFTVFSQVASTASDLDSAANAGQQRLSKVPVSNIRNFSIIAHIDHGKSTLADKLLQVTGTVPQREMKEQFLDNMDLERERGITIKLQAARMRYVFENEPYCLNLIDTPGHVDFSYEVSRSLAACEGALLVVDASQGVEAQTLANVYLALENNLEIIPVLNKIDLPGAEPDRVIKEIEEVVGLDCSNAILCSAKEGVGIIDILNAIAARIPPPANTSERPLRALIFDSYYDPYRGVIVYFRVVDGTIKKGDRVYFMASGKDYFADEIGVLSPNQLQVDELYAGEVGYLSASIRTVADARVGDTITHYGRKADNSLPGYEEATPMVFCGLFPVDADQFPDLRDALEKLQLNDAALKFEPETSSAMGFGFRCGFLGLLHMEIVQERLEREYNLSLITTAPSVVYKVNCVNGDTVECSNPSALPEPAKRKSIEEPIVKIEMLTPKDYIGSLMELAQDRRAKFKEMKFITEIRASLTYELPLAEMVGDFFDQLKSRSKGYASMEYTFIGYKESELIKLDILINGDHVDPLATIVHRDKKINRGLYFQAYSVGRALTLKLKELIPRQMFKVPIQATIGSKVIASETISAIRKDVLAKCYGGDITRKKKLLRKQAEGKKRMKSIGKVDVPQEAFMAVLKLEKEVI >OIV96954 pep chromosome:LupAngTanjil_v1.0:LG15:14221454:14223429:1 gene:TanjilG_00536 transcript:OIV96954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEFASGVKGITLNLENENVRIVVFVSDTAIKEGDLVKRTRSIVDVLAGKPLLGRVVDALGVPIDGRGAVSDLEQRRVEVKAPGIIERKSVHEPMQSGLKAVDSLVPIGRGQRELIIGDRQIGKTAIAIDTILNQKQMSSRATSESETLYCVYVAIGQKRSTVAQLVQIFSEANALEYSILVAATTSYPAPLQFLAPYSGCAMGEYFRDNGMHALIIYDDLSKQATAYRQMSLLLRRPLGRAGSLTALPVIETQAGDVSAYIPTNVISITNGKICLEIELFYHGIRPTINIGLSVSHVGSAAQLKAMKQVCVGLDEYNTMDIIDCQVTIERAIEKALLSHGFSRDELGDPRKRDEIMGFLFYAKGELLSYRKSLEMQEELEYGTHRRKAYRDIIDAISSSKLFLR >OIV96364 pep chromosome:LupAngTanjil_v1.0:LG15:20210251:20215828:1 gene:TanjilG_09791 transcript:OIV96364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGYLNENFGDVKPKNSSEEALQRWRKLCWLVKNRKRRFRFTANLSKRFEADAIRRSNQEKFRVAVLVSQAALQFIHGLNLSSEYTAPEEVKAAGFEICADELGSIVEGRDVKKLRIHGGFEGIAEKLNTSASDGISTSEHLLNRRKEIYGINKFTESPVRGFWVFVWEALQDTTLMILAACALVSLVVGIIMEGWPKGAQDGIGIVASILLVVFVTATSDYRQSLQFRDLDKEKKKITVQVTRNGYRQKISIYDILPGDIVHLNIGDQVPADGLFVSGFSVLINESSLTGESEPVNVTDLNPFLLSGTKVQDGSCKMLVTTVGMRTQWGKLMATLSEGGDDETPLQVKLNGVATIIGKIGLFFAVITFSVLVQGLFNRKLKEGSQWTWSGDDAMEIVEFFAIAVTIVVVAVPEGLPLAVTLSLAFAMKKMMNDKALVRHLAACETMGSATTICSDKTGTLTTNHMTVVKACICENIKEVDSSMASPNFLSDLPDSGLGILLESIFNNTGGEVVKNKDGKIEILGSPTETALLEFGLSLGGDFLRERQKSKLVKVEPFNSLKKRMGVVLQLPDGSFRAHCKGASEIILAACDNFLDSNGEVVSLDEDSTNRLKDTIENFASEALRTLCLAYIDIGDEYSVESPIPIRGFTCIGIVGIKDPVRPGVRESVAICRSAGIVVRMVTGDNINTAKAIARECGILTDGLAIEGPEFREKSEEELLDIIPKIQVMARSSPMDKHTLVKYLRTTFGEVVSVTGDGTNDAPALHEADIGLAMGIAGTEVAKESADVIILDDNFSTIVTVAKWGRSVYINIQKFVQFQLTVNVVALIVNFSSACLTGNAPLTAVQLLWVNMIMDTLGALALATEPPNDDLMKRSPVGRKGNFISNVMWRNIFGQSVYQFVVIWFLQTRGKLAFQLDGPDSDLILNTLIFNSFVFCQVFNEISSRDMEKINVFEGILKNYVFVAVLGCTAIFQIIIIEFLGTFANTSPLSLKQWFGSVFYGVVSMPIAAAIKMIPVGST >OIV97508 pep chromosome:LupAngTanjil_v1.0:LG15:370640:370822:-1 gene:TanjilG_11032 transcript:OIV97508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFTCIVDPPANCKGRIAGCADDASCTELCGVHGKCYDAPPDRKRGPGHGISGGKVCCCD >OIV96805 pep chromosome:LupAngTanjil_v1.0:LG15:15828330:15829081:1 gene:TanjilG_08666 transcript:OIV96805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKGGMRLPPGFRFHPTDEELILHYLKPKLFSFPFPSSIIPHLLLSNSDPWDLSGDDDLEKEMYFFRTKEPKYPNGKRSNRATNSGYWKATGLDKQIIHNKEGVGMKKTLVFYTGKPPHGSKTDWIMHEYRLLTNTHSQSGVVPLQNWVLCKIFLKKRGATNIDKTKVRNTDSKVVFYDFLSQNKNNTTSSSSDSSGITQISTSTYELDKQHEGNSSCNTSSY >OIV97248 pep chromosome:LupAngTanjil_v1.0:LG15:3171444:3182749:-1 gene:TanjilG_10782 transcript:OIV97248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDDDEFGDLYTDVLLPFTSSSSSSSVPDLNLNLNVNLVSDIPYAAPHPNSDAPDPSSNQITPPDTIRVLDCGPTDAKSEDRVLQDLNAEPVKILEDSGCGEIEVKGVVLIDKDVKFDIEDDDDDGGGGDGIGDVGSGAVIPGLTYDAGGGGDGGGGGGGGDGDDWDSDSDDDLQIVLNDNNHMGGMERGGMVEDDEEDEDGGLVIMANGDPNLGGEEQEWGDNATLPIDAERKDVGESSKVAAVASGGMMVAPKIGYSSHGYHPIISQFKYVRPGSAPNLGAATSVPGGPPGQIRPLVNMAGRGRGDWRPPGLKGATLQKGFHAGPGLPGWGNSAAGRGFGGGLDFTLPSHKTIFDVDIESFEEKPWKYPNVDASDFFNFGLNEDSWKDYCKHLEQLRLESTMQSKIRVYESGRKEQEYDPDLPPELAAATGIHDVTVANSMKLIVGQSDAMKGSGHVRPPLPTGRAIQVEGGYGERLPSIDTRPPRNRDSDAIIEIVLQDMEDDGSSAGTGVQDQAVDGELQREDFREDHVDEIPRLEPEHFDGFPQEYNGRKKELAGRSMLFMKSSPANMPNGDENLFFPQEEPFSYSGSRGPNPRSYGINLSSSHEESRTQKRVHAQSPPITPIRELTSIDDQKEESVESMEVKHSALSSSPVIKDARESSAENKNTELEDSVAADESSRLEKEEMNLDTVEKVDTLEDRRQKLTPEVEQPLLDEVDDQEELKAVRGSDNSKARSSSSRDNQKRREGFEEEVVQDPRPARLGTNRQHPDENEQELYRREHDRKQELEKNRMVPKGREGPYPYRDRHPNSTQQLPTNIDGLERQKHKDNSDMDWTQRDDPYNRRIRNDESRKRDRAKVRENERSNKDESLHSRKHLDNGSYRVPYDKDVGSRDSRHRERDEGLKIRYDAVEDYHSKRRKDEEYLRREHIDKEEILHGYRENASRRRRDRDEVLDPQKRDDPKRSRDNLDDQYAPKQKDDTWLLRERGDRQRDREEWHRMKQSHEEHVPKREREEGQSSVRSGRRAVEKSWVGRVRAKDEPKVSDKEYQSKEAMRHNDQLKRRGRIQDESSHHKGRDDAYARGNQYNSEERRSRMERSSSRDHAANASDNQRVQDRKHKEGSRKSKEPDVNNLNSLGQSSQENLSGPTSEKDLKGSGDEERAEFEIPGHRLSRKRREDISDDEQQDSQRGRSKLERWTSHKERDFIIGSKTLKGIDKDDKIESSEAGKPVDEPAKPGDVDNQHHLLTEGRDSVDMDGKDQQLDTVERLKKRSERFKLPMPSEKETLTIKKIESEPVPSVKNEVPVDSEVKHERPPRKRRWISN >OIV96645 pep chromosome:LupAngTanjil_v1.0:LG15:18748597:18755246:-1 gene:TanjilG_28502 transcript:OIV96645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSSACKEGSKVIMDNGKYVRYTPEQVEALERLYHECPKPTSLRRQQLIRECPILSHIEPKQIKVWFQNRRCREKQRKEASRLQAVNRKLTAMNKLLMEENDRLQKQVSHLVYENSFFRQHTTQNATLATADTSSESVVTSGQRNLTPRHPPRDASPAGLLSIAEETLADFLSKATGTAVEWVQMPGMKPGPDSIGIVAISHGCPGVAARACGLVGLEPARVAEILKDRLSWFRDCRTVDVLNMMPTANGGTIELLYMQLYAPTTLAPGRDFWLLRYTSLLEDGSLVVCERSLNNTQNGPTMPPVQHFVRADMMPSGYLIRPCEGGGSIIHIVDHMVLEPWSVPEVLRPLYESSMLLAQRTTMAALRHLRQISQEVSQPSVTGWGRRPVALRALSQRLSKGFNEAVNGFADDGWSMLESDGIDDVTLLVNSSPSKMMGVNLGYNNGFPSVTSSILCAKASMLLQNVPPALLVRFLREHRSEWADSSIDAYSAAAIKAGPCSLPVPQEGVFGAQFMEVIKLENMGYYRDDMTMPGDVFLLQLCSGVDEHGVGTSAELVFAPIDASFSDDAPILSSGFRIIPLDSGTDAVSPNRTLDLASALDVGTTGNKAGGDNSGYLGSTKSVMTIAFQFACEVHLQDSIATMARQYVRSIIASVQRVSLALSTSQFGSQNAFHLPNGTPEAQTLTRWICNSYRFYLGVELLKCDGNEPILKSLWHHSDAVLCCSLKALPVFTFANQAGLDMLETTLVALQDITIEKIFDDSGKKTLCSEFPQIMQQGFMCIEGGICLSSMGRPVSYERAVAWKVLNEEETAHCILCGTVYASLVEKCHWALPNRVKWHRP >OIV96993 pep chromosome:LupAngTanjil_v1.0:LG15:12290547:12295987:1 gene:TanjilG_26770 transcript:OIV96993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPFLPKHSIIFSYSLSQSRAHVLQLFHFSSSPSSSSYPYSRRHDDESRNVRVSVWWDFENCNLPTGVNVCKIAPAITEAVRTKGIKGPLQITAFGDVLQLSRANQEALAYTGIQLTHIPNGGKNSADRSLLVELMHWVSQNPPPAHLFLISGDKDFAGLLHRLRMNNYNILLATTGKAPDVLCSAATITWQWSSLIKGEDLAGKHFNHPPDGPFGSWYGNYKMPLENPFSTVEQSTSSPAVEIYEPTPESKPGVIPKSVLRRVRHILSLHTKGISISDLRAELAKCDVYVDKSLYGHKTFSRFLLSIPNVQLRSLGDGNFFVRLIRPGSPEPAESTILLPTTSAVKGEEKGYVATLKSNGVVSDNARDADETHSISSLDERIMDDDSKSFQQVPSPDTSSGEYVDGKASYSPSIEGHVGQPPKELQKSSLDSEKVVGVADAQLSEIKPSPKNNQLPKTKTGSLKTSLRQSLVNDFVRSELVTQKFPEKYTTLENSADENYNTTVETNRIVNDESEKFKAEDKHEKPTRKEEDEVCRSPYSLPVDDSMADKSPGESAETYNKSPTFFGWIRSWWPFSKSRSKSDDLTFCQDKIVSHSEEPKLSELDKTVSRSEELKLSELDQTVSQSEEPKLSEQDETVSHSKESTLSVNHSEEPRLLKLDQTLGHAEELKLSKLNQNVSDSEKPVLFSSKSFWDDMESFVFSPRGSLLFSQSRSREDMAHKLQKGGPLFLRSLTEEGILKLVDLLMTEKKWLEERPSQSFPFKLTQPVQRGSPTALSHGANGLRSLFLNRTPQHSLQKTSEHDAEKQNQSAPHTAVSKPATEKKYKERSRDDILSDCQKLVTDVLREHPEGYNIGCFRKLFVQRYGYHLDVQKLGYKKLASLLQIMPGARLESTFIFPSVSAVLDSDGEAPIPKTAVTNAIHVVSNSDSEWSDSAPKDENIDSLWEELGPAVDKSNHSDLESRLSLKVEELYIPKHPNYEPVVSDDDSSESEGDSPFLTQPEQQAKLKHNEKDSSLLHFLDLYERKEAEKLDSVEHLGNSLADLLDKSTDSTRGTFSEIPSGNFKEKHRSQKSYSFVADPVLPNNKEKLIGEILDGCKESNMQN >OIV96565 pep chromosome:LupAngTanjil_v1.0:LG15:18795094:18804918:-1 gene:TanjilG_24218 transcript:OIV96565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNITVLKKEKIDGYVSEIRKTDWKACWPFPVDESEEQPSPPLLDAPKYRCQCCQNCPQVDKDDQADFGCCNTQCRSDTNCNNAALESGTQNDPMPETLERRDIDLNTNLSCVSDFLPFSNEEEKKAGVLLSKRIDLEIGLGDNLNHQVTSVSSPKISSGLMQVHTLKRGWEDNGVSDVELVSNHNCIDKSSVEICDGGTPPADNRCPKEKELIEACTILGDSLTAIEANNMTDHTTVHPPPKSVACGHTVPSRSMHNMVEIDFQDNHPENFTAISRRKPRKVRMMTDILSENGDLKTDKITRQGSSSHGASNATGASQAHSNFPKKVDNTRGESTLTNMSQSRKRKFLMDEAGRPVDICVHKNPTEVQKGDAAKYNDVMAGRGLQDTKKGYWSKSETEKNLIMGNKGRKIQAIDNRLVPDPHQDQQRENGDTLDTADEAYASKILSTRFAPYALTGKGMDKLPLHALRIEDEFNLSKGKGKMLQNDGELDSLSFQNNEMLLDNSFAYSGGRIVSRMPVAIPIPSAQGALSGKGVEEGLQLSLNSQLAAQIYSKKCIHQIENRLPFPLPLQEGTSNVHQPKRKESETNVFGSPSVPSKHITNAISGKGVRCEETNGARNTEKTFVAMEQPSIMKRYSEQRVEVSEQGTLDDIPMEIVELLAKNQYERCLPDVESRSSKLKNSNTRRKTQMTLGNTIHSKGQMSLLKEGQKEKHKGTHKKSSMVPRENVKPSKRKPVHYFSPFDGNNLRMNNLCPPQSPFGFEVSRSQNNQFSHMGSSHLGSAQNFKFNSSLEESGSYNAASQSQGGCSFHKTILQEDDEASRIWASLTLNNVSLGHDVPKKVVSQPSSAKPGITSPQSGALHRRSMRRDIDLNCINLHVASPEILNRSTGPGTLSRIDGGYPFPGNHNGIQPHQNMRGSPDMYSNETIPALHLLSLMDAGKQLCTPFNESFNAQMLRRPSYHGDCSTKLEIGTSKTHSTQKRQSSDYYSRNYSSDKAGGCFLGSPAFVASSSNQHDMEFIRTTGAFAGRSSIESGKKEKTKGSNSAMQKRVSNQFGWPRLETETPVQRKLEVRGTHETFLPVRANLGNSCMVNRNPADFTMPETGNAYMINGEDLKFEKSIPKKRPCFLTPHGCKHQRKILKGTKMNEHSKH >OIV96923 pep chromosome:LupAngTanjil_v1.0:LG15:13533764:13534435:-1 gene:TanjilG_00505 transcript:OIV96923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLIQNLELIRQDQTKPVTQTPSHHWLDRLRSRKGFPTSQHLDLGSFLLTNTNTNTFSLHTRPDNSNPTRTRTHTHASFLAQLFNGASFIRTHPYKKCPRKQPHPTKVHPTSSPSPASSTTTAATDPGIFPLNITVAVPEEEREQEEAEAVGFDDDDEYNDLKGFSKSEVNVIDTSSSAWKAVKFVYRKQNVWKLRERKRKSRLLAKKENNNIRMANEIGFSK >OIV96856 pep chromosome:LupAngTanjil_v1.0:LG15:15107717:15109192:1 gene:TanjilG_08717 transcript:OIV96856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIWHFALVNATSMISSIFFILVSSFLILHFFRKTSTLQILNTWWLSFENRFHVYQSFKVPRYNHNFQENQLYRKIITYLDSLPSVQDSDFTNLFSGSNPSDIFLHLDANQIVDDTFLGAKLKWTKHAVDDSDSAALVLRIRKKDKRRIFLQYFQHVLSVADEIEQRRKEIKLHINTGAGERSRWRSVPFNHPASFDTVAMDGELKNKVKSDLEQFMKSKQYYHHRLGRVWKRSYLLYGSSGTGKSSFIAAMAKFLNYDVYDFDISKITDGSDWKTLLMQTTSKSMIVIEDLDRLLSSKSTAINVSLSSVLNFMDGVVSCCGEERVMVFTMNGSKDEVDEAVLRPGRVDVHIHFPLCDFSTFKILASSYLGLKEHKLFPQVEEVFSQTGPRLSPAEVGEIMISNRNSPSRALKTVITALQVKYSGMLKDSGSGRSSDDGAEPGTVICRESVHTVREFRKLYGLLRLGSRRKDEPNYSGPIEKEAPRIEGRVG >OIV96869 pep chromosome:LupAngTanjil_v1.0:LG15:14890741:14897508:1 gene:TanjilG_08730 transcript:OIV96869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHCCSKGVAADNETAFTDNRFKPPHQLAPPSPAVPSVNSFTDSDTKTPGRQTPVSSFSANSPFPSHLPAGVAPSPARTPGRKFRWPLPPTSPAKPIIEALMRRRGKKAKPRDSLIPEEQQGGGGGGGEGERTLDKNFGYGKNFGAKFELGKEVGRGHFGHTCWAKGKKGELKEQSVAVKIISKAKMTSAIAIEDVQREVKMLKTLSGHKNFVKFYDAFEDVNNVYIVMELCEGGELLDRILDRGGRYPEDDAQAIILQILDVVAYCHIQGVVHRDLKPENFLFVSKEENAEMKVIDFGLSDFIRPDQRLNDIVGSAYYVAPEVLHRSYSVEADLWSIGVISYILLCGSRPFWARTESGIFRSVLRANPNFDDSPWPSISPEANDFVKRLLNKDHRKRMTAAQALTHPWLRNEKIAIPLDILIYKLVKSYVRASPLKRAALKSLSKALPEDQIIYLRAQFSLLEPKDGFISLENFSVALKKVATDAMKESRVSDILSLMEPLSYKKMDFEEFCAAAISVYQLEAHQEWKKIATTAFEHFQETGNRIISVEELAQEMSLGPSAYSLIGDWITTSDGKLSLVGYTKFLHGVTVRSSNNTRHR >OIV97170 pep chromosome:LupAngTanjil_v1.0:LG15:5853233:5856989:1 gene:TanjilG_28921 transcript:OIV97170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACKTHHLFLFSLIFLFSLSSFTIHANLNLHSSDLNSLNTLLNDLKVNGQLQTITTHPCNKEGVFCERRISNNESYVLRITRLVFKSKKLNGFLSSSIGNLTELRELSLSNNHILDFIPPQIVNCTKLEILDLRNNHFSGEVPSELSHLVRLRVLDVSSNILSGDLNFLKYFPNLETLNLADNLFIGRVPPSIRSFRNLRHFNFSGNGFLEGYVPSDSVPKRYKFIESSNGRRNGSAPAPEFSKSNLITAPSPAPSGAKKHRHKSTKRKVTGWFVGFVAGSFAGTIAGLIFSLMFKLALAFFKSGGKSSGGPAIYSPLIIKKKEDLAFLEKEDGLASFQLIGRGGCGEVYKAQLPGSDGKIIAVKKIVQPSKEAAELSEEDSKLLHTKMRQIRSEINTVGQIRHRNLLPLLAHVSRPDCHYLVYEYMKNGSLQDALNKVESGEGELDWLTRHKIAVGIAAGLEYLHLSHRNLTELRELSLSNNHILDFIPPQIVNCTKLEILDLRNNHFSGEVPSELSHLVRLRVLDVSSNILSGDLNFLKYFPNLETLNLADNLFIGRVPPSIRSFRNLRHFNFSGNGFLEGYVPSDSVPKRYKFIESSNGRRNGSAPAPEFSKSNLITAPSPAPSGAKKHRHKSTKRKVTGWFVGFVAGSFAGTIAGLIFSLMFKLALAFFKSGGKSSGGPAIYSPLIIKKKEDLAFLEKEDGLASFQLIGRGGCGEVYKAQLPGSDGKIIAVKKIVQPSKEAAELSEEDSKLLHTKMRQIRSEINTVGQIRHRNLLPLLAHVSRPDCHYLVYEYMKNGSLQDALNKVESGEGELDWLTRHKIAVGIAAGLEYLHLSHSPRIIHRDLKPANVLLDDDMEARIADFGLAKTMPDAQTHITTSNVAGTVGYIAPEYHQILKFTDKCDIYSFGVLLGVLVMGKLPSDEFFQHTDEMNLTKWMRNVMTSENPKRAIDTKLIGNGYEEQMLLVLKIACFCTMDDPKERPNSKDVRCMLSQIKH >OIV96881 pep chromosome:LupAngTanjil_v1.0:LG15:12920263:12922723:1 gene:TanjilG_00463 transcript:OIV96881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLPAKLRLQPSVVKSAALWGVAAATGGLYLVQPWGWIKKTFLEKPEPEQK >OIV96639 pep chromosome:LupAngTanjil_v1.0:LG15:18703722:18706313:-1 gene:TanjilG_28496 transcript:OIV96639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQLGWIAGIAIMIAFACISIYTYNLIADCYRYPHPVTGKRNYTYMQAVGAYLGGTMHVLCGLVLYVKLAGVTVGYTITTSTSLVAIKKAICFHYKGHEADCKFSNNPYMMAFGIVQIFLSLIPNFHKLTWLSTIAAITSFGYAFIGSGLSLAIVIQGKGEPTSISGIKIGPGLSAEDKMWNVFSAMGNIALASSFATVVYDIMDTLKSHPPENKQMKKANLLGITAMTILFLLCGGLGYAAFGDHTPGNILTGFGFYEPFWLVALGNVCIVIHIVGAYQLMAQPLFRVIEMGANIVWPHSTFINKEYSTKLGSVTFRFNLFRLIWRTVFVIVATIIAMAMPFFNEFLALLGAIGFWPLIVFFPIQMHIAQSHITRVSLKWCVLQLLSFVCFLVSVAAAVGSIHGIAKNINKYKIFQYKQ >OIV96798 pep chromosome:LupAngTanjil_v1.0:LG15:16121583:16122446:1 gene:TanjilG_18258 transcript:OIV96798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLPTPIIEKSSSRSWKPHIEVAPNCPRCASTNTKFCYYNNYSLSQPRYFCKGCRRYWTKGGSLRSVPVGGGCRKNRRGKLARHSPQGGRLANDNSGSDDRDSSSDTNILSNDIDMAVVFAKFLNQNPNSGEEFEGEANNNASMCSNKSPTRSSTPELSIEAEIDAVVQPQEKPSNDPIDADSGKIGGPLEELSFSGIDEFEGFLGEDVVQDVLWSNDVTMSSSVNDSWQQPMMQMQLQQLEESLMPLNEDGDQILPISSTINLLNESWSTWSSFDLPTMDLYSSTP >OIV96556 pep chromosome:LupAngTanjil_v1.0:LG15:18852981:18855195:1 gene:TanjilG_24209 transcript:OIV96556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRARLSGGHFRMINEKLYTCTGKEALDYFQEDPSLFDMYHAGYKTQMSTWPEKPVNVIIKWLKKQSPSMVVADFGCGDALIAKSVTNKVFSLDLVSNDPKVIACDMSNAPIVSSSVDVAVFCLSLMGTNYQSYIEEANRVLKPGGWLLIAEVKSRFDPTNGGADPEKFSRAISDLGFNSVKQDFSNKMFILFYFTKKDNKRKSKGKETEWPMLKPCLYKRR >OIV96793 pep chromosome:LupAngTanjil_v1.0:LG15:16071365:16074540:-1 gene:TanjilG_18253 transcript:OIV96793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQQNDQSSQLYTKLHDLESGVPPILTSTMHSSVFPCVLKTLNCLFSLGLIFFIDGFLKKVFAFVEVELFGDLMGMIFILILLITLYWASPSSASLFASLFEPGVFFIHKWLPLFYAPYLVILPLSLKDIPSSSGINVYLALGLGLVASLPVIGYIAILVTENLKTGFEESEDMENPSPDSAQPEQSLSTIYGEPMGKSSTFSSIGVWAWTGIFHVSFVASLFYPTALGTKARTCIPFLLASSVLGHIVGSRLPSKVKKVFNPVIFCAASTILTAYAFGCFTKSGLDSVLGHYVTNSSSNPGAGDILMGFLGPVLLSLPFCMFQTQLVERHYSKILTSMIIFQVNVMLSVFLVGPLLVLEPCLTDSILPKCLTVLLALFVISLFKGANSSVATASFVVSAVFGANYVQSTFLDSITGMPPFSVSDFGIAPSPAQEPRTLVTIIAYVLKCLVFVGERMNGVFG >OIV97029 pep chromosome:LupAngTanjil_v1.0:LG15:11388499:11394843:-1 gene:TanjilG_19576 transcript:OIV97029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGSIWKIELLVWLSQVIGGLCSKSSQDEQNVVVVDVNKEWQHGFTIAPQKMERNVQMQEVTDEEDLYDGIPRFTDSFLHKSRSIKSRQVAVAKVSEVSSRISRVGTVGFVKAVEVLDTLGSSMTNLNAGSGFVSGAAIKGNEISILAFEVANTIVKGYNLLQSLSRKSIRRLKEDVLLSEGVQDLVSNDVDELLRIVAADKRRELKVFSDEVIRFGNRSKDPQWHNLERYFEKINKESNAQGPLKDETESIMHQLVNLVQYTAELYHELHALDRFEQDYERESEEEDKWNAIERGNSLAFLRVEIKCQKKQIKYLKKRSLWSRSLEEVIEKLVDIVHFLHLEVNNVFGSADGYKPLIGHISSSQRLGPAGLALHYANIVLQIDNLVTRSNSMPASSRDSLYQSLTPSIKSALRSLLPSFHVREELTVADIKDEIEKTLHWLVPISAKTTKAHHGFGWVGEWANTDSEADKKTSRTSITRIETFYHADKDKVENYILQLLLWLHCLAIKSKAGGNAANVRSAIKSLAGATLQKINQQSTNTLLPLLTIDEKNMLQKVRKKKQMRGISKSLDLDYVKSRLKDNIRLTKSKSLSTISNSKELTFNKIYSKLHMIDFGIDKDRAMDVIDRLDEVR >OIV97125 pep chromosome:LupAngTanjil_v1.0:LG15:7326457:7335897:1 gene:TanjilG_00154 transcript:OIV97125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGDDVSEGGDNHKRGGSDENSNENNNGRNSKIANSNEGQSKPKRKMKTPFQLETLEKAYALETYPTEKMRLELSEKLGLTDRQLQMWFCHKRLKDKKDLMPKKLPRKAVEPSPEPSGEDLRLGPESGNEYGSGSGSGSSRFTQSESPSVVPRASYYESPQAVLELRAIACVEGQLGESLREDGPILGVEFDPLPPDAFGAPIAVTKQQKRPTLGYDSKMYERHDVRTNKFDITCAQFYATARTFHEYQFPSKQFGIRPDVFGQYAQPHLHDSMEGPARNPSFAHGDEHVPRSHATRGSRVRLPSKQDKQVITHPSPQDNVVAPQRESYTSMANSGFNSHYTDHQIIGPENPYASLDGQIVHDNEMQIEKNQKSDDARNAREVEAHEMRIRKELEKEDNLIRKSEERMRKEMERQDRERKKEEERLTRERQREEERIKREEKREIERREKYLLQENLKAEKMRQKEELRKEKETERRKAALEKANSRRLAKESMELIEDEQLELMELAASNKGIASIIHLDLETLQNLESFRDSLSVFPPKSVKLKKPFSVQPWINSEENVANLLMVWRFIINFADVLELWPFTLDEFVQSFHDYDSRLLGEIHIALLKVIIKDIEDVARTPTRLGMNQSGTANSGGGHPEIVEGAYSWGFDIRNWHKHLNRLTWPEIFRQLALSAGYGPQLKKGSITWPGSNDINEGRNIEEIISTLRNGSAAEEAVAKMRHRGLLAPRKSRHRLTPGTVKFAAFHALSIEGSKGLNVLELAEKIQKSGLRDLTTSKTPEASISVALSRDTKLFERIAPSTYRVRSAFMKDPVDAEFILAEARKKIQIFENGFIAGEGADDVEREEDSESDEVDEDPEVDDLVNPSSSKRTSEQLDDFSSNGNENLGDNVELIQDEFVKDPPFFPENVSMSADCAIAVVGLPAAFEDRNAGNIDEDNFEIDESKHGESWVQGLTEGEYSDLSVEERLNALVVLVGMANEGNSIRVVLEDRLEAANALKKQIWAEAQLDKVRQKDDYISKSDFPSTNGNKVETQYTCRIVENNQNPLFDINIDNNNETSTLTAENQKTPAAQSLSFQPWLVQDPSTSQDNPQAQLSAQYSKRSCSQLKSYISHIAEEMYVYRSLPLGQDRRRNRYWQFVACASCNDPGAGRIFVECIDSKWRLIDSEEAFDALLNSLDLRGIRESHLRLMLQKIENSFKENVRKNARVKVGSIGETSIKNEADETDSISDRHAGSDSPSSTLCGLNSDTSETSSSFKIELGEMKSGKKRCKPQLDICDTCLNPYFFEDSHCDCCHQSFPSNNGFNFSKHAFQCGDKLSKDICVLDSSLPLRIRLLKALVAFIEASVPPEALKSIWTEEIRRRWGLKLIKSASVEELLQLLTLFERALQRDFLSSNFSTTEELLGSSSLSRSAAHAADPESVALLPWIPLTTPAVCLRLFDFDASVSYIQIDKPESCQEKEAREYIQKLPSRYSPFKYSRVAEPSDLDHDEFMKVKSAPMKIVRSGNKRGRVTHGKGRSKKLSKGLHGSKQDTSCHTVKVTENLSQRLKQQGLASQGKGGGCGRRTVRNRRVEKRAVEDLLSSHRASTSHSSKIGGIEPSRNMEKESVDDEKASPMTPIHIGAADVSNSTEEVESDDNGQAVEYDKGNWEISFNDTPNRWNKDLVGMNDEDIEASEDDNDKSFLKVNEVEEDSEGVANGVVNEEDSDSSDSEDSSD >OIV97495 pep chromosome:LupAngTanjil_v1.0:LG15:274723:277927:-1 gene:TanjilG_11019 transcript:OIV97495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGHLDPTAQEFIPSNPSFPLPHIPLGLSYATPHLSYTTTSPPPNIATSPTRSLLITPFQIFITESLLRTELQVFGDVRGVQMENLLTNGTVTVHFYDLRHAQTALTAIQGQHIMQQTRLRNYYYYYYGGCGGGGSNLFNSPPLPPPARGLIAGRAVWAQFALPACNAVPDGQNQGTIVVFNLDREVSAKNVRQVFETFGPIKELRERPNKKNQRLIEFYDIRDAANALKNMDGKKIHGKVVVIEYSREGEHNRKFYHSNKPINLNDNVLSPPSPFSPPSSRFSFKKSSSVNKRSAKKVRNSAGTIEEAVSSMNLSEEVVDGVEKKRLQQQQQHSNGSSRKNSIKKHSSCESMIESTKHGQETYRGNRHWKGKQRKNHDTQFLIQEDAIVESGCRDTRTTVMIKNIPNKYSQKLLLNMLDSHCIQCNEQIGDCNNQPLSSFDFLYLPIDFNNKCNVGYGFVNMTSPEATMRLYKAFHHKHWEVFNSRKICQVTYARVQGLEALKEHFKNSRFPCELDHHMPVMFSPPRDGMKELTEPFPIVANSNNKTIPIPITISVTNSSEDSSSIRSRSVDDNSEV >OIV96544 pep chromosome:LupAngTanjil_v1.0:LG15:18952944:18954770:-1 gene:TanjilG_24197 transcript:OIV96544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGHLQNGDAEASLVLFSKMGRSAVKPNEFTLSTCLKSSGILGILENGMQIHGVCAKSNFERVLVVGNSIIDMYSKCGRISEAARMFNAMPVRNLISWNSMIAAYSHERNGEEALTLFRQMQEKGEVPDDYTYSSTLKACSCLGAIGEGAQIHASLLRQGFPYLAQSTIAGALVDLYVKCSRIDEARKVFDRIEQKNVISWTTLILGYAEEDNLPEAMELFQKLRESRHKVDGFVLSSLVGVFADLALVQQGKQMHAYTIKVPYGFDISVANSVLDMYMKCGLTDQADALFREMPARNVVSWTVMITGYGKHGIGKKAVELFSEMKVDGIEPDSVTYLAVLTACSHSGLIKEGQQYLSSLCSNQQIKPQVEHYACIVDLLGRAGRIKEAKDLIEKMPLKPNVGIWQTLLSACRKHGDVEMGKQVGEILLRLDGNNPVNYVMLSNIYADAGHWKESEQIRETVKRKGLKKEAGRSWVEIDKEIHIFYNGDGNHPLIEKIHQVLKDVEKRMKEEIGYVHNVHFALHDVEEESKVESLRFHSEKLAIGLVLVHGGVKRERVVQIFKNLRVCVDCHTFIKGLSKLLKIVFLVRDANRFHRFENGLCSCGDYW >OIV96595 pep chromosome:LupAngTanjil_v1.0:LG15:18221356:18222201:1 gene:TanjilG_28452 transcript:OIV96595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSLTFLLLLYIKHCNNVSNHNNNTTSYYNSTATNSRGRKNSGIDRSVIESLPIFRFGSLMGQKHGLDCAVCLNKFEPSEVLRLLPKCKHAFHVECVDTWLDAHSTCPLCRYRVDPEDIFLLHHQEHVIDIEKETNPSSQIVAEAQKTRRISGRHSSVEKGGIFQIITELKTASFFRRSLDSATARKRNENGVVGERKDEMLMVREMERRIEQRIIVCSPRDERWSDVEPLDLLYLTSEMIISGGGIETRSVSEMTGLKRFISSDSNNNRESGGQRHQQRR >OIV96999 pep chromosome:LupAngTanjil_v1.0:LG15:12211561:12213483:-1 gene:TanjilG_25824 transcript:OIV96999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKQGCEIEAIGINYNISTQTTKQPFRIFSKPPQLSNEENGAEAAEEAKAEQRLRSVKHVLKDVNCKVKPCEIMAIVGPSGAGKSSLLKILAGKVSPQSGSILMNNKPVDKAQFRKISGYVTQNDTLFPLLTVEETIMFSAKLRLNLPREQICLRVKSVIQELGLSHVARSRVGDERVRGVSGGEKRRISIGVEVIHDPKVLILDEPTSGLDSASALQMIDTLKVMSDSRGRTIILSIHQPGFKIVKLFNSMLLLVNGSVLHHGSVDLLDVNLRLMGLELPLHVNVVEFAIESIETIQRQQKYQQVQLRAPHRLPVTVQQKKGDDQGESRSSKFTLQQLFQQSRVTDAEIINVEMDFPYEYANSRFRETVILIHRFSKNIFRTKELFAFRTIQMLISGLVLGSVFCNLKYGIVGARERVGLFAFILTFLLSSTMEALPVFLQEREILMKETSSGSYRVSSYAIANGLVYLPFLLILATLFTMPLYFLVGLNKNFTAFLHFLLLIWLILYTANSVVVCFSALVPNFIVGNSVISGVIGSFLLFSGYFISKKEIPKYWLPMHYISLFKYPFEGFLINEFSNSKKCLGYMFGACVVSGEDMLKEEGYGGESSRWKNVGAMVCFILIYRFISYVILRYRCSQRW >OIV97074 pep chromosome:LupAngTanjil_v1.0:LG15:10535603:10538940:1 gene:TanjilG_14619 transcript:OIV97074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNAKSEFEFCKVCNLNHNRGLRHKYFPNHKTSLSTFLTRFRNKLSDVCFFLNNPSTLTPQLASRNRFWCVFCDKDIIELDSSFACANAICHLASVDHVKNLKHFFWKYGGPVDQLNAFTVSDDDLAKWEKRCTALKNEAMSLSEGCHSGTAFRPSTDIHNQHKNGNIDSFDNVYSHSVKSHPSNGVLPLQCYTNESQVSYSGLSGIGNADLVDNNVATSLPLETLCSGANSSVQNFAVERGSHSLPCNGRQWSSVGNSSNKGVSNNGGMVSGESSHQGLQMLTRISSMPIKIAGGIGNVHSGAPPPWLEAVEGVQMHSQTVLGNLFPHSNKSGKSKKLNPKRVGAAWAERRKIELEMEKRGETVQTDCDANWLPNFGRVWQSGSRKESRKEFEREKQKSSNIETQPEMPVKIQPYVSKRMGDNYGVLILKKRMDSDGDHASQ >OIV96276 pep chromosome:LupAngTanjil_v1.0:LG15:20852691:20858594:-1 gene:TanjilG_05116 transcript:OIV96276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLHNAMLVVTLVLCIVTIACAQGRAPAVRLHKPHSVSGIQNVSYDGRSLLINGQRHLLFSGSIHYTRSTPEMWPDLLDKARHGGLNVIQTYVFWNAHESEKGKWNFEGNYDLVKFIKLIQQKGMFATLRVGPFIQAEWNHGGLPYWLREVPGIIFRSNNEPFKQHMEAYVTKIIKMMKDEKLFASQGGPIILAQIENEYNHIQLAYDEDGPSYVQWAANMAVAQNIGVPWIMCKQKDAPDPVINACNGRHCGDTFTGPNKPYKPALWTENWTAQYRVFGDPTSQRSAEDIAFSIARFFSKDGILVNYYMYHGGTNFGRTSAAFTTTRYYDEAPLDEFGLQREPKWSHLRDAHRSLNLCKKALLTGKSSVQRLNEFHEIRVYEKPQSDICSAFITNNHTNEAATINFRGKNFFLPPHSISVLPDCKTVVYNTQQIASQHNTRNFRRSTLANNHKWEVFSETIPSLPVTQKLPAELYSLLKDTTDYAWYSTSFNLGAQDLPKNGAAPVLRIMSLGHSLLTFVNGEYIGSNHGTHEEKSFEFQKPVNFKVGNNRITILASTVGLPDSGAYMEHRYAGPKSISILGLTTGTIELTANGWGHKVGLKGEKQKIFSEEGSKRVKWRVAVGNAPALSWFKTRFSTPEGRDPIAVRMNGMGKGMIWVNGKSIGRHWMSFLSPIGKPTQSEYHIPRAFLNPSKEENLLVVLEEEIANPGQIEIVTVDRDVVCSFITENHPPNVNSFASKDMKVHSVIEPEKPAAILKCSNFKKVVAVEFASYGNPSGFCGSFALGNCNVAAAKNIVEQECLGKKSCKVSMESFSKNGNVCPETLMKKLIGLKSNVIVDNSGDSRLKKSILLKTLQSHVSTVKITESTLEALEGIEELHRCDASTLTPSITAAYCAVALECTLKYLQSDLSVENNPLYVSAVQRIWRDRFGYLEGGGSLLFSSELKQWKIQLEASLVDNKMVIELAELNTRRNAITKVKDYLANAWSDLGPSFIQLLTQQSNKEQPHKGTDTGGPSIPIPTNPGATPPPNQHKLLASASIQVQNETTADIVVEEMDPPKSCTKLGTDGKETDPPKTCGEVDSLPTRPQIQEETLVKDPPESRFHLPSPKRKIVSPLKKYQPVNITKRRKPKKWSALEEDKLKSAVNEIGEGNWKAILYSNLEIFSERTPTDLKDKWRNMARCGGI >OIV97180 pep chromosome:LupAngTanjil_v1.0:LG15:6115329:6118591:-1 gene:TanjilG_28931 transcript:OIV97180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSFTPQPTKPILRTPSSFITTSRPQSLPFHKPSRPLTVRGSAIAAPGAVKVSGESAVKSVKARQIIDSRGNPTVEVDLVTDRVYRSAVPSGASTGIYEALELRDGDKSVYGGKGVLNAVRNINEFLAPKLVGVDVRNQAEVDAIMLEIDGTPNKSKLGANAILGVSLSVCRAGAGAKGVPLYKHIQEISGTKELVMPVPAFNVINGGSHAGNNLAMQEFMILPVGATSFSEALRMGSEVYHVLKGIIKAKYGQDACNVGDEGGFAPNVQDNREGLVLLIEAIDKAGYTGKIKIGMDVAASEFYTKDGKYDLNFKKQPNDGTHIRSAQSLGELYKDFVKEFPIVSIEDPFDQDDWSSWSSLLSSVDIQLVGDDLLVTNPNRIADAIHKKACNALLLKVNQIGTVTESIQAALDSKAAGWGVMVSHRSGETEDNFIADLSVGLASGQIKTGAPCRSERLAKYNQLLRIEEELGNVRYAGEAFRSP >OIV96672 pep chromosome:LupAngTanjil_v1.0:LG15:17184521:17188197:-1 gene:TanjilG_09214 transcript:OIV96672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDQNGSAKISMIRKLKPYLAMVSLQFGYSGMYIITMVSFKHGMSHWILSVYRHVVAALLIIPFALVLERKIRPKMTIPIFLRIVALGFLEPVLDQNLYNMGMKMTSTTFASATINVLPAITFVMALIFRLEKVNFRKFHSVAKLIGTAITVSGAMVMTLYKGPAFRIIKGGGAISHHASATATEPSDQHQVIGTLELIASLCGWAGFFILQSFTLKKYPAELSLTAWICVMGIIEGSIASFIFERDLSVWAIGWDSRLLACVYSGVVCSGMAYYVQGVVTRERGPVFVTSFSPLCMIITAALGSLVLAEKVHLGSIFGAILIVLGLYTVVWGKSKDRPTELGKEGQELTIKDGTKSGSEILECIEINDNMLKKGAGNIAPPAPRS >OIV96890 pep chromosome:LupAngTanjil_v1.0:LG15:13006031:13009542:1 gene:TanjilG_00472 transcript:OIV96890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNCEQLGFLALPPYLPSHCSNNKCGYSYIWRINKYHSPLIFASSSSAPSVSGSGDEYSEQLVGVVTKKKKRIIAGIDQDELVDPKLLADLDSTFCEFKGVQIHHKIYDAVSQPQNSLQNQTVSDQIKKLGFPMILLHGFGASVFSWKQVMKPLAEVTGSKVLAFDRPAFGLTSRINLSSHRFAGAGDARPLNPYSMAFSVLATLYFMGLLNAEKAILVGHSAGSLVAVNTYFEAPERVAALILVAPAIFAPLTTPKSVKENQSGQDNQTKEDNSSITKNPIFGLYKLLSKISKHIAEAITQMTKGMIVMLNSLYRKFLSAILRSSLAIMLVRMAIDKFGTAAVRSAWYDPKLVSEHVLSGYTRPLRTNDWDRALVEYTAALLLDEESKTKPSLSQRLHEISCPVLIVTGDTDRIVPSWNAERLSRAIPGASFEVIKQCGHLPHEEKVEEFISIVENFLGKLVGDSTEQHLQSVM >OIV97407 pep chromosome:LupAngTanjil_v1.0:LG15:921390:921644:1 gene:TanjilG_16168 transcript:OIV97407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRKFMNEIKGLKVKEVPHHMKPYFSINFIKNSIEKGLHNYHIKYIQTNSAEPLYHLCFGGLIFSYLVALPQERRHHAHQQPH >OIV97229 pep chromosome:LupAngTanjil_v1.0:LG15:3771646:3773316:1 gene:TanjilG_27212 transcript:OIV97229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETSTRSVMAQTQGDVAAMKETLHAQQQLLQKLFIELDQEREASETAASEALDMILRLQGEKAAVKMEASHYKRMAEEKIGHAEATLEVFEELMYQKEMEIASLEFQIQAYKNKFLSLGCDLNASEFEFPDDLLLNRSDQQNGQSSTVRRLQSLPPLSVKSSFRVARHEERSTSPVPIPVSDVIPKVVDENANKEVSHSPPSLDVTRKSVDFAYGTLDSYWEQVKKLDEKVKVISDYTEGEKRASLFRRGRSCSMFSQASININSDQIDRLLSTNLDKVNRGEGIRDRKEIANPPCSPNVHDVFEVPQTSEKHKVGEPGRKRLVKWYSQAENRLTKPDSVSEGLVASHVKHDGEKQKGILRVRSEMRITSPDDMMNITSQKKHGMGVDSIAQAEFQNLNQRIDRLERMRTSRRQEISYEGVDEEQLRLLQDIQSQLTLIQSEMRSWKTKKSTPEDDFSLGCLKEVKFHPGNVALLALIK >OIV97148 pep chromosome:LupAngTanjil_v1.0:LG15:4954037:4959404:1 gene:TanjilG_28899 transcript:OIV97148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKLNVCILEAKDLPINNSYVKLKLGKFKSKTRILRHTCNPVWNEEFVFRVHDKKENVLVISVVNIDDDGYYDDESKVVTNGSVDFVLGEVRIEVAFVASQDKQSLAPTWFSLETTTKNGKFFKKYCGKILLTISLHGKDHSFINHTHSPNSTVAFEDSRELEDQHICSQVPCSKMGEGKQLLKVIANRLEKIFNKKEGRSKAEYSSELSNSLSDYEDSVEENPSPCSFEEAMVLMDSEDNKTEMPENLQGGIVVDHIYAVSPYDLNVFLFAPNSQFRKDLAALQGTINVQEGPWAWKSGETSCLTRVVSYTKAASKLVKAVNATEDQTYIRVTKEEFAVLVSVNTPEVPLGDTFRIELLYKIMPGGLSSGETSSHLVVSWGIVFLQSTMMKGMIERGTRQGMNESFDQFSNLLAQNFKVLDKTDLPDKENLLATLQTENQWNWWFAAKYFCNFTVLSTIFMFLYVFAHILRCGPSAHHGLEFKGLELPDSFGELVTTGILIIQLQRVYNMVSHFVQARFQMGTDHGLKAHGDGWIVTVALIDGVDLASLESTGLSDPYVIFTCNGQTRSSSVKLQTSDPQWNKILEFDAMVEPPSVLGVEVFDFDGPFDQDVSLGYAEINFLKHSSVELADMWVLLEGKLAQSAQSKLHLRIFLDNNKGDEIIKDYLERKEKEVGKKLNLRSPQRNSTFQKLFGLPPEEFLIKDFTCYLKRKMPLQGRLFLSARILGFYANLFGHKTKFFFLWEDIEDIQVLPPSLASVGSPALVIILQRGRGVDARHGAKTQDEEGRLRFHFQSFVSFSAASRTIRALWRTRTLNLNQKEQFAEENENQEGFVIPEDFGSVHDDEAKMSKIYSEELPIKATSELCLKEVVNMRSVMGIFEGGNFEHKIMLRTGCLNYETTAWELVKPGVFERRVNYKFDRHTSAFGGEITCTQQKFPNADTGGWIVNEVIALHAVPFADHFRIHIKYEIEKSAIGDCACKCDAYIGIMWLKSSKFEQRVNRNIIAKFTLRLKEIFEMVQKEILLMSQNPNG >OIV96373 pep chromosome:LupAngTanjil_v1.0:LG15:20165639:20168379:1 gene:TanjilG_09800 transcript:OIV96373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRAMHTTQWRLPHTPPSSQPCHQFWPNMLSSLTVWIFGTLFSATLRYGHYGDSHLLLGPTSSRLIKTSSIFVKQIQVSNKFNNQVTLHAFNQKPHLSSQTNWTSSNFYLVAPHSCKGISVWLNKGSTIRMMWKSRSRKSLKQVHGIVVKGERNFEKLQPKQTRFTYPILVHETARGKEAEYMVEEDNTYNIGVLNMNARNIILTMNVNISAKLDGDEWNVEVYFVSRALSYSLLLGCTMIVIYLIMKVLRVCDGNEGDTNEIIAITNRTRNSVATTQTEIEPFGVSNRSSYGTNEEDDEESEVSNSSSDELYDGKLCVICYDEQRNSFFVPCGHFATCYDCSQR >OIV96753 pep chromosome:LupAngTanjil_v1.0:LG15:16705673:16709224:1 gene:TanjilG_11749 transcript:OIV96753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSGSSMLYSILLFTVILSLQEMYRGKLASSELYTILGGFTSSLLFLVLLTFIGNFQESTGAKTGWGAVIVAEAVALIAASTVHRVCITTCFLFSAGLLYEVNKISASALSATESRTKKQGGRA >OIV97346 pep chromosome:LupAngTanjil_v1.0:LG15:2009113:2010461:1 gene:TanjilG_07098 transcript:OIV97346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEMNWVQRKIYLYNVTFGLYMLDTWERYAFNALVIVLIGFVLRYFAEIFKSVRYVW >OIV97434 pep chromosome:LupAngTanjil_v1.0:LG15:699846:705670:1 gene:TanjilG_16195 transcript:OIV97434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKKKVMSFFVTLIIFFFFSLSIAEEEEEKPFDVRQHLSTVSRYGAVKDIADNDFIPSNVPEGCVPIHLNLVARHGTRSPTKKRIKALDNFSARLEVLIRDAKERSLPMERVPSWIHGWKSPWQGRLTGGELIIKGEEELYQLGIRVREMFPNLFDEEYHPDIYPIKATQVPRASASAVAFGMGLFSNNGSLGPGRHRAFAVTSESRASDIVLRFHDCCHNYKDFRKRKEPAVDKLKEPILDEITATLVRRYGLNFTRQDTSSLWFLCKQVITSLLVFTIVLEATVLDITNQACSLFSPSEVTLLEWTDDLEVFILKGYGNSINYRMGIPLLEDVLQSMEQAIKAEEEKSRPGSFEKARLRFAHAETVVPFSCLLGLFLEKSEFEKIQKEQPLQLPPKPPQKRKWRGSTVAPFAGNNILVLYSCPARDKSKSKHFVQVLHNEHPIPMLGCGGSDLCPFEVFKEKIVAPHQKHDYSTVCNAKQEPKPSGGKFSQIFQWLLPSGKGNKFPKDEF >OIV97035 pep chromosome:LupAngTanjil_v1.0:LG15:11497988:11498290:1 gene:TanjilG_19582 transcript:OIV97035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEDKKMKVKKGWLAVEVGLENDQFQRFVIPISYLYHSLFKHLLDKAYEVYGYHTNGPLKLPCSIDEFLHLRWRIEKEYGHHQHNHSHNRLPHVLYFHSC >OIV96865 pep chromosome:LupAngTanjil_v1.0:LG15:15000486:15001438:1 gene:TanjilG_08726 transcript:OIV96865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQNPRKKKKNKIENNKKRFSDEQIRSLECVFESESKLEPWKKLQVAKDLGLQHRQVAIWFQNRRARFESLKNEKESLQLELQKLSDLMETSHEVKVGKENSTEDDGSGSGYNSWRVEVKPSFSNEGLEDREAMHCSNDQNDKSKSEKSEERGQLQHQVLRMDEYEEIPNLALLEKWYNVDPSGILNQSCSSSQWLDFWT >OIV96846 pep chromosome:LupAngTanjil_v1.0:LG15:15311795:15313959:-1 gene:TanjilG_08707 transcript:OIV96846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAICINPFTLSSSFPKIELRAGNSWSPRFSVSSKMMPQMRKQGPGRGRRIWRRRKLTKEDEYLEQKMERVPFLEEQVRMIKDQGKLLTMDIERLLLSEDNRFDFVNEIAAEANSYVENNMDDYGLEKKAILHVLSNRMNDAGFYRPEAYAESDPFKPGPHYMKKELP >OIV97363 pep chromosome:LupAngTanjil_v1.0:LG15:1837597:1838616:-1 gene:TanjilG_07115 transcript:OIV97363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTTQLSKKRKFVADGVFFAELNEVLTRELAEDGYSGVEVRVTPMRTEIIIRATRTQAVLGEKGRRIRELTSVVQKRFKFAENTVELYAEKVNNRGLCAIAQAESLRYKLLGGLAVRSRLVLLYQVCVGR >OIV97205 pep chromosome:LupAngTanjil_v1.0:LG15:4876465:4876812:-1 gene:TanjilG_26777 transcript:OIV97205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVELRRSATSFRRYGSSGLVWDDKLVLGANVENQNQDVDNRSTGVTQQKQSQNVKSISMFDRSKSQGAQPYIRVKVASTSKDLKCSKSTCWSCGILGNKVLSNINPSQLPKDIGN >OIV96917 pep chromosome:LupAngTanjil_v1.0:LG15:13443547:13444464:1 gene:TanjilG_00499 transcript:OIV96917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPPPQPLTNLLSQPLNLPSFPDLFLTALSVCYLFTSSSSSFKSTRHVTTTRCPFLSFPLNPRRFLKIPAMSHSSPVPNPNNKTLNLNGLLHPPRRHAFASPQSLSDWLKPRLPSDSFASWGVKPGTKNVHNLWLELSEGETSLADSTPPVRTVQVVTVRVTGKDGGILIESYQELSDGNVRKRGRPLSEKMKPGEDPESAAVRAVKEELGSMIGGEVGDVVKIDSNSYGMRVEERNSDSYPGLPGCYVLHTLNATVEGLPEGDFLTYEVDEYGDFDEKRVADQALSVKKHFWTWVSSVDSTKP >OIV96634 pep chromosome:LupAngTanjil_v1.0:LG15:18651373:18663221:1 gene:TanjilG_28491 transcript:OIV96634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQFRFFFTIFIIFLLVLVDSTASGDESTTLDELERDFSVTDFDWNLFHQDYSPPAPPPPPPHPPSVSCVDDLGGVGTLDTTCMIVNDANLTRDVYIAGKGNFNILPGVKFHCEIPGCIITVNVTGNFSLGTNASIVAGVFELEAENAVFENDTLVNNTGMAGDPPPQTSGTPQGIEGGGGGHGGRGANCLVDSTKLPEDVWGGDAYAFATLQTPDSFGSKGGSTSKESDYGGLGGGRVWMVVHQVLEMNASLLADGGDAGTKGGGGSGGSIYIKACRITGSGRISACGGDGFAGGGGGRVSVEVFSRHEEPKIYVHGGGSFGCPENAGAAGTLYDAIPRSLSVDNFNLTTDTETLLMDSPNQLLWVNVYVRNKARATVPLLWSRVQVQGQISLLQGGVLSFGLRHYATSEFELLAEELLMSDSVMKVYGALRMTVKMFLMWNSKMLIDGGEDIAVATSLLEASNLIVLRESSVIHSNANLGVHGQGLLNLSGPGDWIEAQRLVLSLFYSIHVGPGSVLRGPLENATTDNITPKLYCDNENCPYELLHPPEDCNVNSSLSFTLQICRVEDILVEGLIKGSVVHFHRARTITVESSGIISASGMGCTGGLGSGNIISNGIGSGGGHGGKGGDACYNDNCVEGGISYGSAILPCELGSGSGNGSSAGTTAGGGIIVIGSLEHPLSNLSIHGSVSANGENFEPSTRKEKIAIYDNFTGGPGGGSGGTILLFLHTVAVGASAILSSVGGYSSFNGSGGGGGGRIHFHWSDIPTGDVYQPIARVNGDIQTGGGKGNGQGGSGQNGTITGKACPKGLYGTFCEECPAGTYKNVTGSDRALCRQCPVHELPHRAVYISARGGIAETPCPYKCVSDRYHMPDCYTAIEELIYTFGGPWLFGLFLTGLLVLLALVLSVARMKFVGVDESPGPGPTQHGSQIDHSFPFLESLNEVLETNRAEESQTHVHRMYFLGPNTFGEPWHLPHTPPEQIQDIVYEGAFNTFVDEINDIAAYQWWEGAIYSILSVIGYPLACSWQQCRRRLKLQRLREFVRSEYDHACLCSCRSRALYEGIKVNATSDLMLAYMDFFLGGDEKRADLPPQLLDRFPMSLVFGGDGSYMAPFSLNNDTILTSLMSQSVQPTTWYRLVAGLNAQLRLVRRGRLRVTFQPVLRWLETHANPALSIHKVQVDLAWFPTTSSGYCHYGLVVNALEEGNPNTEGSVDGALRNEERSRVQSVKKEHPSGLPRSTAHVSPPGRIEDNYMRQRMQGAALDINNVQMLDEKRDIFYLLSFILHNTKPVGHQDLIGLVISMLLLGDFSLVLLTLLQLYSISMVDVFLVLLILPFAILLSFPVGINALFSHGPRRSAGLARLYALWSLTSFVNVVVACICGYIHYRSQSSSSKRHPQPWSIGMYESEWWVFPAGLVLCKLVQSQLINWHVANLEIQDRSLYSTDFELFWQS >OIV97173 pep chromosome:LupAngTanjil_v1.0:LG15:5911074:5914351:1 gene:TanjilG_28924 transcript:OIV97173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGVTSSMASKLAFFPPNPPSYNLVKEEVTKLLLLNPFPHRENVQVLKFQNRRGNEIVAMYVSYSMAKTTILYSHGNAADIGQMYELFIELSIHLRVNIMGYDYSGYGQSSGKPSEHNTYADIEAAYKYLEENYGAKQEDIILYGQSVGSGPTLDLAARLPRLRAIVLHSPILSGLRVMYPVKRTYWFDIYKNIDKIPLVKCPVLVIHGTADEVVDCSHGKQLWELCQQKYEPLWLRGGNHCNLELYPEYLRHLRKFISTVEKSPSQRLSFRRSIEQSRGSIDFFQTPRKSTDQRDKSRKSTDRKDKPRKSTDRTDKLKFHEHKVNNPENIEKFRVHFDQMEKSRRSIGYDKLRTIEYQDKSRRSVDVQFDRPRKSIDWLDRTRDG >OIV96625 pep chromosome:LupAngTanjil_v1.0:LG15:18519980:18524894:-1 gene:TanjilG_28482 transcript:OIV96625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNPNTETAPHPKRHRKPKPEPSSSSSAPPARVPLRQLLKVASVAGGIQFGWALQLSLLTPYVQQLGIPHAWASIIWLCGPLSGLFVQPLVGLLSDRCTSRFGRRRPFIFAGALSIIVSVLIIGHAADIGWWFGDTVNHRPWAVAAFVFGFWILDVANNVTQGPCRALLGDLTGKDHRRTRVANAYFSLFMAIGNILGYATGAYSGWYKVFPFTLTPACNISCANLKSAFFLDIIFIVVTTYISIMSAHEVPLSSSGATHAGEAAGESGSTEEAFMWELFGTFRYFSASVWTILSVTALTWVGWFPFLLFDTDWMGREIYGGEPNEGLNYDSGVRMGAVGLLLNSVVLGITSLFMERLCRNRGPGFVWGISNIIMAICFVAMLVLTYVASNIGYLGIDFPPTFIVMAALVIFTILGFPLAITYSVPYALISTHIQSLGLGQGLSMGVLNLAIVIPQMVVSVGSGPWDQLFGGGNSPAFAVGAVAGLVGGLIAVLAIPRPGGQKARSQV >OIV96464 pep chromosome:LupAngTanjil_v1.0:LG15:19563473:19564562:-1 gene:TanjilG_07856 transcript:OIV96464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCKLVAVQPKKKRGTVPVYLNVYDLTPINGYAYWFGLGVYHSGVQVHGIEYGFGAHEHNTSGIFEVQPKHCPGFSFRKSILIGTTDLGEKEVPAFMEKLAQEYCGNTYHLIIKNCNHFCCDVCLKLTGKSIPRWVNRLARLGLLCNCVLPPGLNDTKVRQVKLDKVHEGEKKKTRNQSKRYEASYNPTLLSGPQVSAIKNRSQRHCLLPSSSLINASSTSTLTVK >OIV96256 pep chromosome:LupAngTanjil_v1.0:LG15:20701476:20703432:1 gene:TanjilG_05096 transcript:OIV96256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLVDKDYEGAIVLFWKALNSGDRVDSALKDMAVVMKQLDISEEAIEAISSFRGLCSKQSQESLDNVLIDLYKKCGKIDEQIDLLKQKLKLIYQGEAFNGKLTKTARSHGKKFQVSIKQETSRLLGNLGWAYMQKMNYMMAEAVYRKAQMIDPDCNKACNLGLCLIRQARYEEAQLILEEVLKGKLPGFDDSKSMKRAQELLKELRSMIPEQYLSDFLGLDDELIKGLEQLVNEWSPVRSKRLPIFEEISSFRDQLAC >OIV96979 pep chromosome:LupAngTanjil_v1.0:LG15:12392091:12397890:-1 gene:TanjilG_31870 transcript:OIV96979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPVTSSQSPSASQRHVGFIHRTQAPQLLPHLLPESSSPSWFMYQSQTEIMVRAEYTMVESDSYSDLNCEKCGSGELPEELLLCDKCDKGFHMKCLRPIVVRVPIGSWFCPNCSGEKVKRVTSFSQKKIYDFFGIQVDPNVQEKGSSSQDAKRRKKRSGPRIVQKKKRRILPFVPSEDPAQRLKQMGSLASALTALNMEFSDHLTYLPEMAPRSANEASLESGGMQILTKEDTRTVELCKAMSKRGECPPFMVVFDSCEGYTVEADGPIKDMTFIAEYIGDVDYLKKRERDDCDSMMTLLLPSEPAQNLVICADRRGNIARFISGINNYTQEGRKKQNCKCLRYNVNGECRVFLVTTRDIAKGERLYYNYNGHEDEYPTQHFV >OIV96674 pep chromosome:LupAngTanjil_v1.0:LG15:17212837:17213490:1 gene:TanjilG_09216 transcript:OIV96674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKKELQIQTKLSSKEMPSLSLSSDSMKKKYKGVRMRTWGSWVSEIRAPNQKTRIWLGSYSTAEAAARAYDAALLCLKGSSANFNFPLTSNSSHYISHDTVMSPKSIQRVAAAAANSFIDGTAIVVNTPPSPDLPASSYSSSVSSPSMASSPSDQINDDVSLISSFEYDQQENESMALHMMEPWYTFDGLSPFFDIDSSQMLEDLYEESDIRLWNYC >OIV96843 pep chromosome:LupAngTanjil_v1.0:LG15:15350748:15351887:1 gene:TanjilG_08704 transcript:OIV96843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVEPDAESQIWQFTCDLEVDCGCEENASIIYAALVIDKELQPDKVKRLMTVSKEKLSVHFEATEARFLRASFSAFVDVLTLATKTIEEFGQGMEL >OIV97092 pep chromosome:LupAngTanjil_v1.0:LG15:9442712:9444470:1 gene:TanjilG_15793 transcript:OIV97092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVTTQASAAIFRPCASKSRFLSSSSGKLNREVAFRPVGSTPSTSFKVEAKKGEWLPGLASPGYLNGSLPGDNGFDPLGLAEDPENLRWFVQAELVNGRWAMLGVAGMLLPEVFTNIGIINAPKWYDAGKEEYFASSSTLFVIEFILFHYVEIRRWQDIKNPGSVNQDPIFKQYSLPPNEVGYPGGIFNPLNFAPTEEAKEKEIANGRLAMLAFLAFIIQHNVTGKGPFDNLLQHLSDPWHNTIVQTLSGN >OIV96840 pep chromosome:LupAngTanjil_v1.0:LG15:15383372:15384953:1 gene:TanjilG_08701 transcript:OIV96840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFHSHSQHAFPNIEVAQRDNWFFSPLQTKTDKMMEFSPWEDFLEFDFAPQFPYQRNQLSFNGSLELDNLNFDDINIPPLDENFGLEQKPMMNVIVPQPPPPYGFGDEGLVTCVKEEVMENNQDMLLLPNSNMQKRACAWEFEEIKKVVKENNEEMLCLPNTKSSSKKHRSSVLEFEDIKKHFGVPITEAAKELNIGVTLLKRRCRELNIMRWPHRKLKSLKLLIDNVKEMGLSNEVALLEQHIKMLEQVPGMELTEETKKLRQACFKANFKKRRCLVL >OIV96305 pep chromosome:LupAngTanjil_v1.0:LG15:20586668:20589017:1 gene:TanjilG_09732 transcript:OIV96305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPLMKLSSPLAFCSSMLLYFIVLLLVCCKIKGVVELPPNVSVPAVIVFGDSIMDTGNNNNNMQTWARCNFAPYGKDFPGGIPTGRFSNGKVPSDLIVEELGIKEFLPAYLDPNLQPHDLVTGVCFASGGSGYDPLTSKIATAITLPEQINMFKTYLEKLKDLVGEDRTNFILANSVFLLVQGSNDIYNTYFLSHARQVQYDVPTYTDLMVSSASNFLKEIYELGARRIGVFGAPPIGCVPFVRTVLGGIVRECVENQNEACKLFNNKLSEELDSLSQNLPNSRMVYLDVYNPLLDIIQNYQQYGYKVEDRGCCGTGEVEAAVICNSLAPPCSDVEHYIFWDSFHPSESAYKKLVASLLKKHVYQFF >OIV97315 pep chromosome:LupAngTanjil_v1.0:LG15:2356807:2357994:-1 gene:TanjilG_07067 transcript:OIV97315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATETFLFTSESVNEGHPDKLCDQISDAVLDACLEQDPDSKVACETCTKTNMVMVFGEITTKANVDYEKIVRETCRNIGFVSDNVGLDADNCKVLVNIEQQSPDIAQGVHGHLTKKPEEIGAGDQGHMFGYATDETPELMPLSHVLATKLGARLTEVRKNGTCRWLRPDGKTQVTVEYYNDKGAMVPIRVHTVLISTQHDETVTNDEIAADLKEHVIKPVVPEKYLDEKTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYIVRQAAKSIVANGLARRCIVQVSYAIGVPEPLSVFVDSYGTGKIPDKEILKIVKESFDFRPGMISINLDLKRGGNGRFLKTAAYGHFGRDDTDFTWEVVKPLKWDKVAA >OIV97224 pep chromosome:LupAngTanjil_v1.0:LG15:3649459:3651016:1 gene:TanjilG_27207 transcript:OIV97224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKKMKGVVFNHYPLYEDQRARLRHQSLLQDYEDLHKETNGMRIKLQVAKQKQSLLAAEVRFLRQRYTYLMKNPSPKPQPKQDVSQPRKLKTQAPIPSKGRSYHRKESALRPPIASHLKTKQKISNGVDFALQKPARKFDLNQSARSLGKQDASFLSSAPSLELNHNNRIYAGKETVKKSVAPFFDLNQISTEEEELLGNVEPSRIDESKRLTQIDEDEEQRNDIKLSVCRNLGNGSNRTGKRKISWQDQVALRV >OIV96537 pep chromosome:LupAngTanjil_v1.0:LG15:19020142:19023517:-1 gene:TanjilG_07929 transcript:OIV96537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVNFDLNAPHSMGTTIIGVTYDGGVVLGADSRTSTGVYVANRASDKITQLTDNVYVCRSGSAADSQVVSDYVRYFLHQHTIQLGQPATVKVAANLVRLLAYNNKNSLQTGLIIGGWDKYEGGQIYGVPLGGTIVQQPFSIGGSGSSYLYGFFDQAWKEGMTKDEAEDLVKKAVSLAIARDGASGGVVRTVIINSEGVTRNFYPGDQLPLWHEELEPQNSLLDILGGAPEPMNI >OIV97302 pep chromosome:LupAngTanjil_v1.0:LG15:2431289:2431507:1 gene:TanjilG_07054 transcript:OIV97302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENISRASKFQVHQPRVPPPIPPPPPRNPELSTVITMSEPVKVIIATTSINLDINIHGDFVTIYPYPFNRDH >OIV96536 pep chromosome:LupAngTanjil_v1.0:LG15:19025541:19028873:-1 gene:TanjilG_07928 transcript:OIV96536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLMWGAAYCFGGGPEKEKLAMQYLERRECEYDQKTLVSFYKEEDSLNPVLTGVIVFTSTADKANKYYLGPAPLEDMARQIATAHGPCGNNRDYLFLLEKAMHDIGHEDNYVIELANEVRKELGIVNIVPKDKKLVAHPTHVPIPTLQLHPIPITSDS >OIV96340 pep chromosome:LupAngTanjil_v1.0:LG15:20372414:20374941:-1 gene:TanjilG_09767 transcript:OIV96340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVVTKVQPCVKPKPATEPGGKRVTISEDPKWKKLKKPQRPIIKKEVAQIHESVVRSNVSLDSTCSSYSSASSNETNKKVMSESRRSVKRNGFNPVRVVPDAVDVATLSLSPKRCDWITPHSDPLYAAFHDEEWGVPVYDDDRKLFELLVFSQALAEHTWPAILNHRDIFRHFSEKKLQTLKINGNSLLSEPKLRAIVQNAKQLLKVQQEFGSFSKYCWRFINNKPIRNEFRYGRQVPSKTPKAELISKDMMRRGFQCVGPTVVYSFMQVAGLVNDHLLPCFRYRECNVTTKNEFKTEFKDKMMQNEILE >OIV97350 pep chromosome:LupAngTanjil_v1.0:LG15:1970627:1982436:1 gene:TanjilG_07102 transcript:OIV97350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEFSKAVEEGLRLSKRIYFGRDRAVSPPKPPPSMLKSSTAFLPTAPMVYAVIHDPGIVDNPDVPSYQPHVHGRCDPPALIPLHMSAIDLNAHCYFDTAFVTVSATWRLHCVTGCRSCDCRIAIPMGGKQGSIQGVEVSVHRKSYSTQLVDIEDTSDKESAIRAQNGGFLKPNIFILNIPQIDGGSNLSVKITWSQKVLYYDDTFSLNVPFTFPDFVNPAGKRMLRKEKIQISVNADAGSELLCKAISHPLKEVKRSAGSMGFSIDSEVISWSKVDFNFSYAVSSSHIHGGVLLKSPSVDDFDQREMFCMYISPGNLQRRKVFRKDIIFVIDISGSMRGKLIDDTKNALSTALNRLDRDDSFSIIAFNGESYLFSASMELASKDAVQRAIEWINMNFVAGGDTNILHPLKTAMEMLSSARNSIPIIFLVTDGSVEDERQICDMVKNHVTNAESVSPRIYTFGIGSFCNHYFLRMLAVIGRGQHDAALDVGKFYLVEPQMLRLFDKASSLVLANITIDIFDDLDEVEVYPSLIPELSSDVPLTLSGRYKGRFPENLKVKGVLADFSSFVIDMKIQEANDIPVQRICARDQIEYLTAQAWLLKSKELEQKVAKLSLQTGFLSEYTSMAILEDDQLKKEMSKKTKPRKGENAQGQRMIMLPNLGIGFGNLIATAENTPPGWEETRGPDGAEMFVKAATNCCGSICHYCCCMCCIQCCTRMNNQCATALTQLCVGIGCLGCLSCCSDMCCAESEN >OIV96291 pep chromosome:LupAngTanjil_v1.0:LG15:20668608:20672331:1 gene:TanjilG_09718 transcript:OIV96291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFSSMDDDDAVSAPGTTILDDGTISMDFDYIDELFFDGCWFETTAEGSDFPPFDSSFSWCALEEQHESQEAFFDDVKVDDVSSNQQYESEIQSFEGTSEGVTRWWIAPTPNNPGPGYSVMEKLMMALNCIQDLNRSKDMLIQIWVPVNRGGKQILTTNDLQFCLGTNSTNLAKYREISMRYQFSTEEEDSKGLVPGLPGRVFREKVPEWTPDVRFFRSDEYPRVDHAQEYEVRGTLALPIFEQGSRTCLGVIEVVMTSSQINYRSELESVCKALEGVDLRSSKLSSTQNVKACNKSYEAVLPEIKEVLRVACEMHKLPLAQTWIPCIQQGKEGCRHSEDNYLHCISPVEHACYVNDAPIRAFHDTCSEHHLLKGQGVAGGAFMTNQPCFSSDITSLRKTDYPLSHHARIFGLQGAVAIRLRSIYSSTDDYVLEFFLPVNCNDSEEQKKMLTSLSMIIQSVCHSLRVITDNELEEETNLSFGELIALADSRSARTEEKSSGTMVGKFSDLKQLQDLNENLDGVGECSTFGEGNLSSVGISKMGEKKRTKADKTITLQVLRQYFSGSLKDAAKNIGVCTTTLKRICRQHGIKRWPSRKIKKVGHSLQKLQLVIDSVQGASGAFQIDSFYSNFPDLASPNLSGTGLLSTLKQSDNPNSLSTTQPNLAGSLTPEDASKSRSSSCSQNSISSHPCSSMSEQQHQTINVVDDNKDLVVVGEDYTDVLLKRNRSEAELKRLSQQDNKAKLFPRSLSQETLVEQHPKTNSIMAPKKDDFHRVKVTYGDEKTRFRMLKTWAYEDLLHEICSRFNISDMSKYDVKYLDDDCEWILLTCDADLEECIDCCQSSECSTIKLSLQVSDLRMRSSLEFT >OIV96350 pep chromosome:LupAngTanjil_v1.0:LG15:20308842:20313158:-1 gene:TanjilG_09777 transcript:OIV96350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHHPNKQFSHSPLSHSDGSLRQTLQQRRLTRQRRLRYLTDQDAGIHSTSSLPASPIRSFNSGGSCSDHWSSSAIPQPLPLPETPLTHRPESTVHNSGYYANIGSPSFENQAFAFSRKSVGYDSVKDFRSSSVLGRSSFDAITANANYDLKVNIPATRVLAGNSSCKDPRELSHQSIYNMKLNFSAKSAPTSILSSPVTSPRRASNVDLFDPSVDFHQDFNDILKVSLAKTVRSPDNSPLRSPQSHSPYLNTNNQDGSKQPKYCSRVWPENNHVDAHPLPLPPKASSPAQTCTQHQSSIMHHSTENSPSMKGQWQKGKLIGRGSFGSVYHGTNLETGASCAMKEVDLIRDDPKSADCIKQLEQEVRILRQLDHPNIVQYYGSEIVGDHLYIYMEYVHPGSINKFMHDHCGAMTESVVRNFTRHILNGLEYLHSMKTIHRDIKGPNLLVDASGTVKLADFGVAKILTEKSYELSLKGSPYWMAPELMMAAIKKESSPNLAMAIDIWSLGCTVIEMLTGKPPWSEFQGPQAMFKVLHKSPTIPQTLSREGQDFLQQCFRRNPAERPSAAMLLKHAFVQNLHDQDVLVHSQGYPRADPGPEVHFRSPDHSPKKGRDVAPLSASIGARIISKIHNFIGWGHLLQYCIFSGGRSAMKTSTHNYMAVPSSSKSHQEPLKHVIS >OIV96932 pep chromosome:LupAngTanjil_v1.0:LG15:13664250:13664954:-1 gene:TanjilG_00514 transcript:OIV96932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMPLSFSYDVSSPPLDEDDVAFHQTLAEVLHGDYFFIKIHVTYRILCLTRAQLQQLPEDIDYYESSYRTVKLVSYPELLECDLFSGIPDAIVPLELKQTMISEAMSHARNLRESSCSDSNGERSGCRLFNLVLDIDVDGLYDEVVNMAMEESEGEVKMVPASKNAIDSLKKVNLGKDIAKEECSICLVEFIGEEEVSEMPCKHMYHQECITQWLRRSGMCPLCRYSMSKNSS >OIV97191 pep chromosome:LupAngTanjil_v1.0:LG15:6573955:6583570:-1 gene:TanjilG_28942 transcript:OIV97191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDDSKFEEHLQLWALRIPNQLCNVATRILNGYLLDKPRVKPITEDPSCQKNRYLLLSEEVKSLGHIAHLNLHDELLPFKDVIAKVIYDKNYPRIKTIVNKVGTITNEFRVPEFEVLAGEHDMITEVKQYGATFKLDYSLVYWNSRLEHEHKRLVSQFQAGEIICDMFAGIGPFAIPAAQKGCIVYANDLNPYSINYLRVNAKINKVGDHIFAYNMDARKFIFQMMEVPNSEIKLESNVPILETCETSKIENHIVLNSENDKLPDGLGYKIYSGVENLQSSTAGTATSVIAIKRSSSSSHEENGTKVSNNQRKRGSGISETKTWEHIDHVIMNLPASAIQFLANLRIDIALAFDMGCMVSDFYNHLL >OIV97139 pep chromosome:LupAngTanjil_v1.0:LG15:7541951:7543751:1 gene:TanjilG_00168 transcript:OIV97139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFTKKRKTEENGDAAESPPQSLSTVAAALTSDDILKILQPFTQDQLLDLLQSASLRHPDVLDSVRTLADRDPALRKLFVRGLSGDTTTDNLRAVFSAYGELDEAIVIMDKNTGKSKGYGFVTFKHVDGAIIALKEPSKKIDGRITVTQLAAASGTAAATDVSARKVFVGNVPFDISSERLLEHFLSFGEIEEGPLGFDKASGKTRGFAFFVYKTEEGARASLAEPAKTIDGHQVICKLAVDNKKAKPGGVPGQTLPSGFAGNGMAFQQQQQQPMQAPMLVSQYGGYVGGGNANNYGVQSSVPSFGNPIPASGGGYGHGVGSAYGNPQFGGPVSSDYGTRFPPNSAGAPSGGFPDGSHYGLPPNSQNPQPMPMPRPPPGGIYQGVPPYY >OIV96939 pep chromosome:LupAngTanjil_v1.0:LG15:13780245:13782855:-1 gene:TanjilG_00521 transcript:OIV96939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEFGVSHKEPNKSSVSTTHTTTSSVVGLGLKKLQHTLEAFPSNKNNMMMLHHNHHHHPLSQPFDNDLSGDGDVPVHTTYMSNLTNHMNFVSTTGSYSALGAGAIDGAASSVPVRTLQQQPFDISPYYTSGTTTLTYPAFKSSEVMAASLGFPFTSAQWKELERQAMIYKYMMASIPIPPDLLIPTVSRSTLNSGFNLRLSSNDPEPGRCRRTDGKKWRCSRDVAPNHKYCERHMHRGRPRSRKPVEVHINNNNNNNNQNQIKRARHDSNPFPASDVSVSISNNTTTKKDGCASQFVSSGASNRYLDTSSLSLHHNFGVKTGNFDSVASVSSNKEPRGLEWMLNGDPISLGASDSEFQCLMHNKVGNTEPQYLNSFGIYNSGVLDQQNRRSSMFLNALDFPMESLQSPKPRGYIDAWSIEESNGNTNNKSNAASIGKFSLDLSMGGGCVHEDIGTIDMGLGLMENGNNTKQNDTKTHLSNWLTPSSSSSSWVASTTLGGPLAEVLRPSTITNDAASNQSSPVITHAESSSNTLGTLVSSPSGVLHKTIASFSDSSSNSSPRVGSSRASNNSDIALLRFN >OIV96564 pep chromosome:LupAngTanjil_v1.0:LG15:18809158:18813376:1 gene:TanjilG_24217 transcript:OIV96564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQSEAAFDSAPGRSVRQLDFTAARGGTAHLKLPQWPSQSIIQPPCQSPPMQVKPPWLYQQIASQSQVMSQSQAAQPRQLVSPVRLTPHPVQKLPVKMLQVSKQESPESRPRPNVGLKESTPKKQKQCNCKNSRCLKLCLKRNQEDVSEIQVVGKHNKGCHCKKSGCLKKYCECFQNNILCSENCKCMDCKNLELSDQQRAVFNEEHNLVHTKQAANAALSGAFGSSGYGTHTTAKKRKAQKILSGNSATDQTVDQTAQYRQIFNQEIDPIASSPSPLSSSFVSDTANTRISGSLRSTYRSLSPETLALMCDEQDEMFLGNSLENRVASNINCQNMIQKLSNSDGCTDVYGEQERLVLTKFRDVLHELITLGNLKETMFTSLAKKDVGSKKEPAEYVNSGAETGIRMEKDIQSNCIANCIIPASIETSQTNYAVTNDHVNTDLSLKVACTLK >OIV96981 pep chromosome:LupAngTanjil_v1.0:LG15:12440374:12441834:1 gene:TanjilG_31872 transcript:OIV96981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPSEFNSTGGSRNPPPSSSLKYPKRNESHPIVPPKVSQESHKIKKPPLVPSSPAGIEHHHTRTANTQKKREPKIIYQTEPKVITVYSAADFKELAQRLTGLPRGEDEVPAARVASIERTTGMSIKGTEVGPFPGAISPVPTTMSPVVPSGFSMPEKETVPFSEVMWSSVSRPMDSQPVTETVPLRDELRSAHSRPIYSMPLPEPVPFRDEFRSAHSRPMGSLPVRFRNEFRSAYSRPMCPLRNEFRSAHSRPINSIPVPFRNEFRSAHSRPINSMLVPETVPFRDEFRSVHSRPMDSLPVPFRDEFRSAHSRQMSSLPVPFRNEFRSEHSRPINSIQVPETVPFRDEFRSAHSRPMGSMPVTETVPFRDEFRSVHSRPMDYMRVPEMVPFGDEFQSAHSRPMDYMRVPETVPFRDVLWPGNSSLPNQSSGSLSSAIVSSPPVTESDPFSTFLNSLSSPISCDVVSSSPPSPPISPTQEVPDWWFK >OIV97329 pep chromosome:LupAngTanjil_v1.0:LG15:2222145:2224521:-1 gene:TanjilG_07081 transcript:OIV97329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFQDFAILSERRRNERKEKVRKRVIFGVLSSILLVCVIGAATFVLVNKTGSENNVNKKPTTTSSEDAKVQHYLKTIKTICTSTEYKTKCEGPLSEEVEKDPKLAQPKELLRLSIKLAEDEINKAFNKTTSMKFESEMDKGAYEDCKQLFDDAREELGFSISEVRKNDLKKLSTRTPELNNWLSAVISYHQTCIDGFSNEELKNELKKLFEDPQEFVSNSLAIVNDMSSFLSTLQPGVTRHLLSEKIDDFPSWIGDADRRMLKAADDKPTPNVTVAKDGSGNFKTISEALASVPQTYTGRYVVYVKEGIYDEKVIVTKRMENLTIYGDGSQKSIITGNKNYADGVRPFQTSSFVVLGDGFLGKAMGFRNTAGPEKHQAIAVRVQADRTIFVNCRFEGYQYTLYAQTHRQFYRSCVIAGTIDFIFGDAAAVFQNCIMQVRKPTENQQNTVTAQGRYQKQETTGFVLQKCQIKADDTLVPEKDKIKSYLGRPWKEYSRTIVMESEIGDIIHPDGWLPWAGNFALNTLYYAEFNNTGPGANTNARVNWVGRKVINKEEASKFTVGSFLNGTWISGRGVPAQLGLYN >OIV97106 pep chromosome:LupAngTanjil_v1.0:LG15:8784400:8785964:-1 gene:TanjilG_10052 transcript:OIV97106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPEEVNLNRESGKNSDGFVDRSKVRILLCDNDSKSSQEVFTLLLGCSYQVTSVRSARQVIDALNAEGQHIDIILAELDLPMKKGMKMLKYIARDKELRRIPVIMMSAQDEVSTVVKCLRLGAADYLVKPLRTNELLNLWTHMWRRRRMVCIA >OIV96456 pep chromosome:LupAngTanjil_v1.0:LG15:19661820:19668451:1 gene:TanjilG_07848 transcript:OIV96456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHVGSSKDPKFSNIEKDLDLLDIDNDDYYTGFSSDENDDVEIDLPPNRALEGLGETTVKNFCHKASTLFFKENGLISHQINSFNSFTSNGIQSIFESFGELLVTPGFDPSKKGDSDHYRYAAVKFGKVTIDRPKFWGGEGSTEEFKLLPRHARIQRMTYSSKMKINVQIQIYVPKIIRSDKFKTGKDQYVDREILKEDSREIIIGRLPVMVMSDLCWMKDAGKPDCEFDHGGYFLIKGAEKTFIAQEQIYTKRLWVINSPYWSIAYKSPMKRNRLVIKLVGNSRIEEINSGEKMLTVYFLSVEIPVWILFFILGVTSDKEVMDLIDCGNGDVRLENILSASIRDADEKCEGFRKGKNALHYLEGFVKGVQFPPPESIEECLNLYVFPNIKGLKRKARFLAYMVKGLLLAYSGRKRSDNRDDFRNKRIELAGELLDRELRVHIAHARKRMSKVLQRDLYGDREVRPVEHYLDASIITNGLQRAFSTGAWSHPFKRMERVSGVVANLGRTNPLQTAADLRRTRQQVQYTGKVGDARYPHPSHWGKVCFLSTPDGENCGLVKNLAVTGLVSINISESILPQLIECGLEELADDTSTYLGKKDKVFLNGDWVGVCANSISFVDELRRKRRTKQLPHQSLLLIRAKKLTTPSGEKVEIKRDQSQQEVRVYSDAGRILRPLLVVDNLYKIKESKGSKWEPDSFQSLLENGVIELVGHEEEEDCCTAWGVQYLFRKAGKSSVKYTHCELDMSFLLGLSCSLVPFANHDHARRVLYQSQKHSSQAIGFSTLNPDIRVDTLSHQLNYPQKPLFRTMTSDCLGKPGYSEVQNRILPKAEFYNGQNAIVAVNVHLGYNQEDSLVMNRASLQRGMFRSEHVRSYKADIENNESSEKKRKPEDIVNFGKIHSKIGRVDSLDDDGFPYVGANLQSGDIIIGRCAESGVDHSIKLKHTERGYVQKVVLSSNDEGKNFAVVSLRQVRTPVLGDKFSSMHGQKGVLGFLECQENFPFTRQGIVPDIVINPHAFPSRQTPGQLLEAALGKGIALGGSLRYATPFSTPSVDAITDQLHSALLVFFGVFGRAGFSRWGNERVYNGRTGEMVRSLIFMGPTFYQRLHHMSEDKVKFRNTGPVHPLTRQPVADRKRFGGIKFGEMERDCLIAHGASANLYERLFTLSDSSQIHICSKCKNVANVILRPVSGGRKVRGPYCRGCESVDDIVVANVPYGAKLLVQELFSMGISLKFETKLC >OIV97201 pep chromosome:LupAngTanjil_v1.0:LG15:7235417:7246046:1 gene:TanjilG_28952 transcript:OIV97201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDFKKVTVMRNQNAAVQAVPSPMISPTIRCIQRYFNSLQSECFPICFHSDVNMVISAPTGSGKTVLFELCILRLLSRFINAEGRFVHVKGSLKTIYVAPSKALVQEKFRDWNQKFGTWGINCLELTGDNGTYNSRNMHDVDIILTTPEKFDAVSRYGVEGGGLSFFSGIALVLIDEVHLLNDPRGASLEAIVSRIKIVACNPKLKLNPIAQVRFLAVSATIPNIEDLAEWLKVPDNGIKRFGEEMRPVKLTTKVFGYAPAKNDFLFEKRLQNYIFDILMQFSRGKSALIFCSTRKGAQEAAQRLCQIVMTFGQSNPFIKSREQQDRLREASLSCSDKQMQSYILYGVAYHNGGLCLKDRNLVEGLFLKGDIQVLCTTNTLAHGINLPAHTVVIKSTQHFNKEKGLYMEYDRSTILQVSWMFFMSVSLCMEILLSCMREHLLAEIVQLTVSDISKAIEWIQYSYLYVRMKRQNPEHYTIKKGISGGRLEKHVQEICVQKVNELSQHQMVWIDEDGFLLRPLYPGRLMTKYYLRFDTMKKIMQTPENCSLEDGLRVVCCAEEIAWIQLRRNEKKVLNEINLDKDGRLRFHILGNKEKKKKRIQTREEKIFILANDCLTGNPSVHDLSLIQDMNSICSNGSRISKCMKDYFVHKRNYRGAVNSALLAKSLDQKLWDDSPYLLKQLPGIGMVTAKALHSMNVKSFEALAEADPRRIEIVTGRKYPFGNHIKDSLLSLPPKVDVKLVETENQRQGNSKLALTLTRISQSGQSVTRHYADMIVGSEEDNTVLFHEKIRVDQFCSPYSATVLVPIGQGRQTIKADFIFEEYIGIDVHQKLPLIKESNSNVLITRNRKQASFNPPKEVYVIEDDETTAPHKTTKELHTLCKDNEKSDSIPSFNLLDEMLEEGGHALEVGDDECKIITEKTVFEHIREKAKNFSLLSAFDNIRCPSLEVLLKRNHSREKRPDLRYEVVDLDDADRLEVPQQTHVNSPTELSKIEHNDINPYATLNDHNSNTAGNSNSMSSIVDTDVFVPEPEVGPHEKSTEEMVFDHIRRKSKDFPLISKVDCGESIVQKTELFSNIHPSSLSSLNTDFLKITKTNSPDMITDTRLTSFTETAEMEKYSSSIQGGTEVQCNIFEGFCGTAIDGVLNISKGVFSSNSVESSMHLPNFSASILKEKKRTSDTDSFAKTSKKQHCFSRESKEEKSGLSSTCQCKIAVIGMSVNLVMRDFSESGCMILRCLHDYAF >OIV97286 pep chromosome:LupAngTanjil_v1.0:LG15:2611488:2612405:-1 gene:TanjilG_07038 transcript:OIV97286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSRLTLKRIANDASRKTTFKQRRDVLLNKMQELSKLCNAEEGEAAKACLIVYNINGGDPQLVTWPENLKADHSLIQKYENEKNEEPPVMFGIQDYFKNKKDKVEADISKVRKEILKIQYPTSHPCLNSLGDEQIRNFIAVLDAKSKACNERMNMLKWQHQVEVINSAQTSSAALNSSQVNFTPNNFQTQLIPTPMKPFDDDSNHIASTMKHDMGSHSQVLHIDPNPMQLMANDNGVIDSANQIGLPLDRAIQLGSPNPVGVPVDCTEPSNPAIDSTNQLDELDWGSLFDGLIDGDFDIDFMNF >OIV97098 pep chromosome:LupAngTanjil_v1.0:LG15:9823118:9824643:-1 gene:TanjilG_15799 transcript:OIV97098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKRQVVMKKDVASNTSSSVIRNIRYGECQKNHAANIGGYAVDGCREFMASAGEGTNGALICAACGCHRNFHRREVQTEVVLTTASHHNCSVIPNHSEATAIGYNITASTAFGSHEAATQQNRGHIRLH >OIV97115 pep chromosome:LupAngTanjil_v1.0:LG15:7881383:7884514:1 gene:TanjilG_04919 transcript:OIV97115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEEDVFIGAIDQGTSSTRFIIYDGSAKPIGSHQVEFTQFYPQAGWVEHDPMEIVESVKVCVAKAVDKATADGFNVDKNLRAIGLTNQRETTLVWSKSTGAPLYNAIVWMDARTTAICSRLEKELSGGKSHFLESCGLPISSYFSALKLLWLMENVDAVKEAIQKRDALFGTIDSWLIWQLTGGVNGGLHVTDVSNASRTMLMNLKTLDWDASTLKELGIPSEILPKIVSNAEVIGNVAAGWPFAGVPIAGCLGDQHAAMLGQACNKGEAKSTYGTGAFILLNTGDEIVKSNHGLLTTVAFKLGKEAKTSYALEGSVAIAGAAVQWLRDSVGMISSSKEIEELALQVESAGGVYFVPAFNGLFAPWWREDARGVCIGITRFTTKAHIARAVLESMAFQVNDVLDSMQKDMGRVESSDYLLRVDGGATVNNLLMQIQADLTGSPVIRPADIETTALGAAFAAGLAIGVWKEEYIFDSKVKMKDATVFRPVMAEEVRAKKMNSWCKAVTRSYDLADLTL >OIV97415 pep chromosome:LupAngTanjil_v1.0:LG15:857472:857962:-1 gene:TanjilG_16176 transcript:OIV97415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLVVAVAGSMMLVVVEASKPEFACLEAMGDCGENGECDQRCKTQHSDGKGSCGFGHCICSYTQNCTNPTPLKINGAVQLDYGLVIAMVAVALQCVIAITILV >OIV96459 pep chromosome:LupAngTanjil_v1.0:LG15:19617741:19620176:-1 gene:TanjilG_07851 transcript:OIV96459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHNVPEFYCEPGRTVASSGSQSVSRRKTSSTQTFSQPTGSTRTVYRAPESEFTFWHHNCFIAFFFIMAIGFFALAIFLFLSLDSDTPHSPTSSVSAASSEGVEITYGSVLKVMHEKSKVRLHSHEVPYGSGSGQQSVTGFPGVDDSNSYWIVKPQLESSAKQGDTIKSGTIIRLQHMRTRKWLHSHLHASPISGNLEVSCFGGETESDTGDHWKLTIEGSGKTWKQDQKFRLQHVDTGGYLHSHDKKYTRIAGGQQEVCGVREKRAENVWLAAEGVYLPVTESK >OIV97097 pep chromosome:LupAngTanjil_v1.0:LG15:9695901:9696798:-1 gene:TanjilG_15798 transcript:OIV97097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSKLVCVLFLALLGISMISTQVMAKDAAQYHLDSGKYGPGSLKSYQCPSQCTRRCSQTQYHKPCMFFCQKCCAKCLCVPPGYYGNKAVCPCYNNWKTQQGGPKCP >OIV96436 pep chromosome:LupAngTanjil_v1.0:LG15:19806014:19808595:-1 gene:TanjilG_09863 transcript:OIV96436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSSKKIKWKSIVFILSCYKSKCTLEESEKQGSLGRLCLSDISISSSIEDLSISLVGSRLYTFSLEELREATHNFSWSNHIGEGGFGPVHKGFVDDRLRPGLKAQPVAVKQLDLDGLQGHREWLAEIIFLGQLRHPHLVKLIGYCSEDEHRLLVYEYMARGSLENQLFRRYSVALPWSTRMKIALGAAKGLAFLHEADKPVIYRDFKASNILLDSDYTAKLSDFGLAKDGPEGEDTHVTTRIMGTHGYTAPEYIMTGHLTTKSDVYSYGVVMLELLTGKRVVDKTRSQGQRSLVEWARPILRDHRKLQRIIDPRLEGQFPTKGAMKVAALTYKCLSHHPNPRPPMSDVVKILESLQDFDDDLIGPFVYVAVSESDQ >OIV96269 pep chromosome:LupAngTanjil_v1.0:LG15:20811811:20815221:-1 gene:TanjilG_05109 transcript:OIV96269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPASANFSGGALLPELTHRTHILGLKLYVIVLFFLLILLTTLLIFFICITKRRTPLKHSSGTIPLVSKDIIQVKTHRITVHDINNPKSEEEKEKVVSANIGWGRWYSLKELEEATRGFQEGNVIGEGGYGIVYKGILHDGSVVAVKNLLNNNKGQAEKEFKGEVEAIGKVRHKHLVGLVGYCAEGAQRMLVYEYIDNGTLEQWLHGDVGSVSPLTWDIRMKVAVGIAKGLAYLHEGLEPKVVHRDVKSSNILLDKKWNAKVSDFGLAKLLGSEKSYVTTRVMGTFGYVSPEYASTGMLNEGSDVYSFGILLMELITGRSPIDYSRPPAEMNLVDWFKGMVASRCGDELVDPLIEIKPSPRSLKRALLVCLRCIDLDVIKRPKMGQIVHMLEADDFPFRSEHRTRETDHDSSRTTVSIKVPYPTGHVELSSWR >OIV96888 pep chromosome:LupAngTanjil_v1.0:LG15:12995323:12999407:1 gene:TanjilG_00470 transcript:OIV96888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTGALSSRMSSSVSSSDRIFPPSSFGSFESPGIRASSCFSGPNADMNEYDETDLFSISWNQDYGCFAAGTSHGFHIYNCEPFKEIFRRELKNGGFKFVEMLFRCNILALVGAAANSSYPPNKVLIWDDHQSRSIAEFTFRSEVHGVKLRRDGIVIVLKHKIYVYNFMDLKLLHQIETLANPRGLCCLSHHSNTFVLACPGLHKGQVRVEHFGLNVTKLINAHDSQIACFMLTMDGLLLATASVKGTLIRIFNTMDGSRLQEVRRGVNRAEINSIALSPNVQWLAASSDKGTVHIFSLKVRVSGLDSITHPNSPQGPALFHQNSSTSIDPLVSPNTGANPNSLLSFMRGILRKYFSSEWSFAQLHLPGNTQFIVAFGSLNSVMIVGMDGSFYKCSFDPVHGGEMVQQEYVRFLR >OIV97417 pep chromosome:LupAngTanjil_v1.0:LG15:836604:841743:-1 gene:TanjilG_16178 transcript:OIV97417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLWRVATKFSLLTAAVGGGTAATLIATSDDPKTALKLTTTVPRRLFRDAVTAANIAFDYEYSLWGVPEGSTEREKIKHEVHLRSAQKLQELCFKNGGIYIKLGQHLGQLEYLVPEEYVTTMRNSMLNRCPVSSYEQICEVFEKEFGETPDKVFAEFDPVPIASASLAQVHVARTHDGKKVAVKVQHTHLTDTAAADNAIVEFIVNTLHRFFPNFDYRWLIDEINDSLPKASYVGILPHELDFLMEAKNSEKCLENFRKLSPHIANYVYAPKVYWNLSTSKLLTMEFMDGVHVNDVKTIQKLGINPHELSILVSQTFAEMMFKHGFVHCDPHAANLLVRPLPSEKSSILGRRKPQLILLDHGLYKELDFDTRTNYAGLWKALVFSDANSIKEYSLKLGAGEDLYALFAGILTMRPWDRVVDPSMDHLVIQGDESERLELQMYASEYVQEISDLLRRLPRVILLMLKTNDCLRAVNNALFQGSSLETFLIIGKVSSKAVIDAKMSQSKSFLAWFSVELDKIWLELRFWGMHIALWLLQLRKSLPY >OIV96773 pep chromosome:LupAngTanjil_v1.0:LG15:16612494:16625035:-1 gene:TanjilG_19932 transcript:OIV96773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCGTSAMVDDLSGGSVAENHLCSELLVQCVPLQQTCSKEACNELDSNVDVSGLMDYCMGSAVEGHVESASFVNVSEGSGDQLVSECKNADKLLLENTSEDDCQNQSGPCCENVEVSGLNSGGLYPEGNFQDEGHLDIPSESITVIGLPEHCAQQNEHKDDKSNILPLEGEDSALAEARNSGVDLLDDASNCVSVFSHFEMSLKSESIAHLLVDRNQQNDLDDVFRIADPMSNVVEKCDDSGGREADACKQVSPSQFMEVSSHALYTEVESTSDQQCDQKDCEDWDSEEKVKAFADIENDIIDSYARKHKHFTKVSSGGNSPVVESRCEPTLLGQGNKMNNSICQIEDSFCELKNCPSEETVICTLRKPFYPEPGQPSVVIITSSSSKDVPDSLPNGDNVSINNNAVNNPGHTDIVEIDCTAERTRLPSQRNSRSTKLGRKTQSKNASRRGKNNSNAMHLGGCMLEASRKKRSCISKPARSSMWGLLVNIQQFFEQDNELGASEAMSQELGKAKRKRQSCEVIKSGANSSSSDSVQKCSASTTRFRLRVKIGKGIDLSRSDVLIPEAVDALASTSHLGCGSGSPKVADNAEGKICEVAALGKSESFKNEPETDSIVLNGQTKNSHSGSTSITEKSEGDAEEPCLLVAPKIEVESLIEPTNNKGMDPGTSPDSEVINTIPEDQAGEGHEEDLYHAVLGSCKEFSSNLDVTITKRGEKKDMLICSSDCISEDGAKNNRAKNSKNPRRKKKFSAALCSLELPTSTEINASRESVSCKDLSTEPSLFSGEIELGDSAETLKVEVEVKTTCNRPVEHEFSESQGSENLLSPTKSLGRKLPRSLTPIKVSKTKSKASVSTSKKKTTYRCNEKQKKSTNKNEVKGKGVLSKVKCEVEDHPPTGVDVGNHKLDAVGEVNAGENRVSVNVSNLDMIPGAGIGEQRLSPRNAWVRCDDCHKWRRIPAVLADLINETNDTWTCKDNGDKTFADCAIPQEMSNAEINAELGISDEEDAYDDSKNYKLLEYRQPSDSDIENTIVIEYMGFFFDNRGRGHDSNKSDESYLLMETGRLDLRSESIASAAADSSVSIMVCHCKPPQEGKLGCGDECLNRILNIECVHGTCPCGDHCSNQQFQKRKYASLNWFKCGKKGYGLKALEDIAEGQFLIEYVGEVLDAHAYDARQREYAMKGHRHFYFMTLNGSEVIDASAKGNVGRFINHSCDPNCRTEKWMVNGEICIGLFAMKDIKQDEEVTFDYNYVRVFGAAAKKCYCGSPHCRGYIGGGDPLDDELIVQGDSDDEFPEPVMLTEDGEIKNSVTQSAKHVLKESYLLNKSTTSIDVDNIPEKESYVNPTSAVSLMHRSSKMDVSKDCLPPSVHAQDISQQMEDLKDKPMPDIQPGYAMGSDLANNKSHTQELDSTSLTTVSKVLPNPTGSNRESHLHVKAPKRSVSVKKGKICANPPNGLKAVIANQSQVSSFKLKKAVEGTSNGRFEAVQEKLNELLDGDGGVSKRKDATKRYLKLLLLTVALGDRSNGEAIQSNRDLSMILDALLKTKSRTVLNDIINKNGLQMLHKIMKQYRQDFKKIPILRKLLKVLEYLAEGKILTLEHINSGPPCHGMERESMLSLTEHDDKKVHQIARSFRDTWIPRPARKHHMDRDGNRVESHRSFNHNRYSSSHNHRHEQDLRPTEAIDRLQPPTPVTTSVDAGPQEGCSPLSHDGTENKGIKKRKRKTRWDQPAETNSYPIVVSGSIHGDQNIQEDVPPGFPCPAGSLPAPLNSGDPASRNACHTGFSFNTVIVHPKEKFNSGLPVLYGMPLSVVHQYGTPHAEITESWVTAPGMTFKPFPPLPSYPRDEKNFHPSSSTNAMSIDQPAKVRQCDSSGPVIYSADDMVPNTTGANSEDTNVPCEDNEHISKRLKGDSHDLGSEYFRQQEWNNSKIYRPWFRRNAWKCDVKLNNSSGDICSIGVGDDVPKQPKGTYSEDVVRRDEKGGNNI >OIV96465 pep chromosome:LupAngTanjil_v1.0:LG15:19558043:19559047:1 gene:TanjilG_07857 transcript:OIV96465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPFPSCFRPSQTTDDNHYFSPPPPPSPPSTNPNITTYLYHTDIGLVSLTWSRSILGRSLHVNLHNHPFDSPSLPSLSSFHLHIKPFIFWKKHGTKKLSSNTNLFWNLSKAKFGSGPEPNSGFYVAIVVDKEMTLLIGDSKKDAYAKSKALAPKNSQFLVLKREHVFANKVYNTRARFGGRMREIQIDCGGDSSKLCFSVDGEKVLQIKRLKWKFRGNERVEIEGVPVQISWDVYNWLFEKDNSDGHAIFMFKFEEEDEEERRDKSLMNVWTHQNLNLGSYECGKFGSTSSVSMTSSTGSFGGSSSVLEWSSVEENELVIPIGFSLLVYAWKR >OIV96834 pep chromosome:LupAngTanjil_v1.0:LG15:15462209:15466715:1 gene:TanjilG_08695 transcript:OIV96834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQPPPPPGAATTTNHNQQSVHPTPLSIQGSTALVPVNSNANNDSVQDEGFVQAQAIVQHSQKLQDDLHMLGMKIKQHEDNLNRLNTQRSKLDDLILHLQATIGKFESSSTPKPKISNGDNTHPMGDEDVNKQIMKHEKSAAGIFCQLKTRHGTQASNLQLTKDVVGIVATLGKVEDDNLSRLLSEYLGLEYMLAIVCRTYEGVEALEVYDNEGSINKGSGLHGLGASIGRALDGRFQVICLESLRLYPGKYVVDDPQRKLDILNPRLPNGESPAGFLGFAVNMINVDSSNLFCVTPGGYGLRETLFYNLFSRLQVYTTRAEMIQALPCISDGALSLDGGMVRSCGVFSLGKREDVNVRFPRPETSKGPDNQNETVRQMEDMKLQREKILEELKCERTLLDVAKFNFKKMKNDFVKHLAHSNSYATLVPEDGCILRARTLSWCISKASVPHMWFQRFKVELELNQC >OIV97285 pep chromosome:LupAngTanjil_v1.0:LG15:2617617:2636982:-1 gene:TanjilG_07037 transcript:OIV97285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHHEEECHIEGERTSKQDDEEAVARLEELKKSIEAKMALRQSNLNPERPDAGFLRTLDSSIKRNTAVIKKLKQINEEQRDALMDELRGVNLSKFVSEAVAAICDAKLRSSDIQSAVQICSLLHQRYKDFVPSLIQGLLKVFSPGKSGDESDADKNLKAMKKRSSLKLLLELYFVGVIEDGGIFINIIKDLTSAEQLKDRDATQTSLTLLSSFARQGRIFIGLSVTGPEIHEEFFKGLSITADQKKVIRKACYSFYDTAADILQSEHSSLRLMEHENSKILNAKGELSDENIASYEKLRKSYDQLYRNVSSLAEALDMQPPVMPEDGHTTRVTSGEEAISSGAGKDSSIVEPIWDDEDTRAFYECLPDLRAFVPAVLLGETEPKVSEQSAKSQDQPTEIVLESDKDLLATYESGEASTDSSTLPEGESTEKVKDKEEKEKSKELEKEKSKELDREKEKEKDIDKKGENEKDKLRTLEGTNFDALLQRLPGCVSRDLIDQLTVEFCYLNSKSNRKKLVRALFNVPRTSLELLPYYSRMVATLSTCMKDVNTILLQMLEEEFNFLINKKDQMNIETKIRNIRFIGELCKFKIAPASFVFSCLKACLDDFSHHNIDVACNLLETCGRFLYRSPETTIRMANMLEILMRLKNVKNLDPRHSTSVENAYYLCKPPERSARVAKVRPPLHQYIRKLLFSDLDKSTIEHVLRQLRKLPWSECEPYLLKCFMKVHKGKYGHIHLLASLAAGLSRYHDEFAVAIVDEVLEEIRLGLELNDYGMQQRRIAYMRFLGELYNYEHVDSSVIFETLYLILIYGHGTPEQDVLDPPEDCFRIRLNVTLLETCGHYFDRGSSKRKLDRFLIHFQRYILSKGALPLDVEFDLQDMFADLRPNMVRYTSIEEVNAALVELEEHDRTVSEKSPRSSKFAVGDGQSIDNGAEENGVQDDANDSETDSQSDTVDVEGHDDEELDEENHDDGCETEDDDDDDGPGPASDYEDEVHVRHKMTEVDPLEEANFDQELKALVKARESMEERSRDLRGRPTLNMMIPMNVLEGSTKDHHGKGIGGESGDEALDDESGGNKEVQVRVLVKRGNKQQTKQMFIPQNSSLVQSTKQKEAAELQEKEDIKRRVLEYNDREEEELNGLGTQPSNWLQSGGSKAGGRGHTLEGTSGRGGGSRHRQHNYHTGSGLYYSRRR >OIV96800 pep chromosome:LupAngTanjil_v1.0:LG15:15911170:15914490:-1 gene:TanjilG_08661 transcript:OIV96800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEARDRRAVPADVAAIYIRRRASVLFGSDIYNDSTGSGSTRFGGSGILVARDLGVRNENTPLSAANRRSSRRRVSTTRRSVSPSWYPKLLRDITAVVRTCPRHVPDMSPTCPRHVPDMSPTCPRHVPDMSPTCPRHVPDMSPTCPRHVPDMSPTCPRHVPHMSPTCPPHVPDMSQACPRHVPGMSQTCPRHVPDKAQTRPRLGPDMPQTRPRQGPDMSPTCPRHVPDMSPTCPRHVPDMSQTCPRHVPDKPQTRPRQAPDKPQTSPRQAQTSPRHAPDMPPTCPRHAPDIP >OIV96810 pep chromosome:LupAngTanjil_v1.0:LG15:15729654:15740951:-1 gene:TanjilG_08671 transcript:OIV96810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTFWMDNQERRAIVNGSIPNGLLSNKASSLTKELERKRCNELEKRTALLLNQFLPNQHLEDMRNSVVAYLRRLIMNSVPCQVFIFGSVPLKTYLPDGDIDLTAFSDNQEFKDSLVHAVRGVLESEQKNVNAEFRVKEVQCIEAEVTVLKCLVDKFVVDISFNQISGLCKLCFLEEVDNLIAHYHLFKRSIILIKAWCFHESRILGAQHALISSYALEVLVLYIFHLYSDKLTFAGPLEVLFRFLDFFSKFDWQNYIISLWGPIPISSLPNIKAEPPHSHCRELLLQGDFLIACKVHYGVTRRSQEPFIAKHMNIVDPLCENNNLGRSIRNFYRIRSAIGLGAQRLMRLFTCTEEKITAEFDYFFKNTWDRHGNGHWMDLHCYNQHVRNTNAKSSTHHESEFETIDVSSATLSIRSSDMKRALIGKDKGPMYLSNEVHAMHQVPSQDCQVSVDEAMRLHHKECDFMYLTQTSKNQSVNGYLQAPFNVMSSTPPPPGFTPAPPEPLPGFTPAAAPPGFTPVPPEPLPGFTPAPPPPGFTPAPLALLPGFTPAPPPPGFEHPKSPAVPPVNVTSWSNNMPYSDQDDNSSEGLWSEQDMDGGSSSCNSSFRNSSGTSYMPRDYWDTSDDSLPPNSTKGIVTSNVKDNQLANHMKWPMVVPNSSRFSPGSNQKGVDNPWLLSSAFGSNSDLVKLNSSPKSRHQNPFPMTNYSSPGATSEPCVVRLFPDILKADFLNHWHNLVHARLSENPQLQRHFPYLPAPIFYYPPLPANSPWDIAPSDFMNNMPHVPPPLIFSILSNQFPNHGFNPSLNNSNVDLARGDRSNGGIGTFLLDPNRYYMGRNHSITKYEEVKNRFKRNEGRKGDHHHSDERYRRSVHFKNFHHNTKHHKRFNNEAAFHTPTFDNNSDVIVPDNNEDPLVLIDVTNDASNQGESSQSNLVTNEASNQGENSQSAANEIENVEGDSADPSPHQPPASDPPE >OIV97259 pep chromosome:LupAngTanjil_v1.0:LG15:2999486:3002164:-1 gene:TanjilG_10793 transcript:OIV97259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFNFAPFSSYRGPEQKHVANSYIVFGGAAAYSIEMERPDGRTPNQLRPLSSSRSVLHRAHGSATWSQGDTKVIAAVYGPKGGTKKNENPQKASIEVIWKPKTGQIGKVEREYEMILKRTLESIYIRTIHPNTTTSVIVQLLPCAINAACAALVDAGIPLKHLAVAICCSVEEGGYIILDPTKHEEEKMKAFAYLVFPNAIVSVLPEKSLQVGDEPMAHGLITSVTQGGMSVDDYLHCLERGRATTAKLSEFLRKNVEPKSTSEPSKAG >OIV96987 pep chromosome:LupAngTanjil_v1.0:LG15:12565286:12567302:1 gene:TanjilG_31878 transcript:OIV96987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIIGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDSLMKILTERGYMFTTSAEREIVRDVKEKLAYVALDYEQELETAKSNSSVEKNYELPDGQVITIGTERFRCPEVLFQPSMIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEISALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKGEYDESGPSIVHRKCF >OIV97414 pep chromosome:LupAngTanjil_v1.0:LG15:863781:864953:1 gene:TanjilG_16175 transcript:OIV97414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENDIEHSIARLAYLQARIDGTLAYDDHRSKLFPSSTGRTILANISIGQPPIPQLVIVDTASDIFWIMCNPCSNCDNHIGQLFDPSKSSSFSPLCKTPCNYGRCECSLNDQLTYRITYADQSAASGTIGLDMLVFETSDEGTIQVPNIEFGCSHDIVYNKDPGYNGILSLNNAPHSLASQIGQKFSYCIGSITDKNYNYNQLVLGEGAYLEGDFTSFQPLYDNYYLSMEGISIGEKRLDIVPESFEMKANGSGGVIIDTGCTLTYLVDDVYNLLYDEVRNLIGSSSTEIRFEKVPWMLCYAGSISRDLVGFPVVTFHFAEGAELALDTGSFFQQAGDNIFCMTIAPISVAGITSKPSVIGLLAQQSYNVGYDLIDQFIYFQRIDCELLSA >OIV96271 pep chromosome:LupAngTanjil_v1.0:LG15:20824953:20831516:1 gene:TanjilG_05111 transcript:OIV96271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVTMSGPTDRPSKLVHESEHSEDEKKKRVGSFKKVAISASSKFKHSFAKKSRRHSRVMSVSIEDEIDTEELIAVDAFRQVLILEELLPSKHDDHHMMLRFLKARKFDIEKTKQMWSDMLKWRNEFGADTIMEDFEFNEIDEVLKYYPQGHHGVDKDGRPVYIEKLGQVDSNKLLQVTSMERYLKYHVREFERTFNVKLPACSIAAKKHIDQSTTILDVQGVGLKSMNKTARDLLQRLQKIDGDNYPESLNRMFIINAGSGFRLLWNTIKSFLDPKTTSKIHMVQNGKGKAKRKSLSELNEKTITEDETAHQKVVHFILWTFHESEHSEDEKKKRMGSFKKAAISASSKFKHSFAKRGRKHSRVMSISIEDEIDTEELMAVDAFRQVLILEELLPSKHDDHHMMLRFLKARKFDIEKTKQMWSDMLKWRNEFGADTIMEDFEFNEIDEVLKYYPQGHHGVDKDGRPVYIEKLGQVDSNKLLQVTSMERYLKYHVREFERTFNVKLPACSIAAKKHIDQSTTILDVQGVGLKSMNKTARDLLQRLQKIDGDNYPESLNRMFIINAGSGFRLLWNTIKSFLDPKTTSKIHMVQNGKGKAKRKSLSELNEKTITEDETAHQKGCKESVPESHDVQCLSSNVEQPTSFTVAGAKQCGVYQYDAFVDKSVDSSWNKSVDNDKYALSKGHVPNKVSNTSDGFSKQFVGGIMSFVMGVVTVIRMTRNMPRKMTEAAVYGSTVYYNESMLKAGPSIITNNDHMTMMKRMAELEEKVAALSMRPSMPPEKEEMLNNALCRVNALEQHLAATKNALDDALVRQVELQDQIEKKKKKKNNSGATGKVIGGVY >OIV96884 pep chromosome:LupAngTanjil_v1.0:LG15:12946821:12949877:1 gene:TanjilG_00466 transcript:OIV96884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVKAEKAIGVVDDDPTETTIPLKQQHFVLVHGVGGGGWCWYKIKSLMETSGYKVSCIDLKSAGIDQSHADSVLSFDDYNKPLMDFMFALPENEQVILVGHSAGGLSITQACHKFANKIHLAVYVAATMLKLGFLTDDDHKIAEPDLSEFGDVYQLGFGLGQDKPPTSVLVKKEFQRKIIYQLSPHEDSTLAAMLLRPGPMLALRSAQFKYDDSEAEKVPRMFIKTKHDKVVKPEQQEAMIKRWSPCTVHELDSDHSPFFSAPFLLFGLLVKAAASDVGSK >OIV97464 pep chromosome:LupAngTanjil_v1.0:LG15:82633:84059:-1 gene:TanjilG_10988 transcript:OIV97464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSPPDTSKTMKLERYNSYIRRVNSTKLLNASSKLLFRATLLIALILVFLFTFNYPPLSSSENNGNHHLHTRTNFLSSAFGGGAAWEKQVRHSSTPRRPNGMSVLVTGAAGFVGSHCSLALKKRGDGVLGLDNFNSYYDPSLKRARQALLKQHQIFIVEGDLNDAPLLSKLFDVVPFTHILHLAAQAGVRYAMQNPQSYISSNIAGFVNLLEVAKTAKPQPAIVWASSSSVYGLNTENPFSELHRTDQPASLYAATKKAGEEIAHTYNHIYGLSLTGLRFFTVYGPWGRPDMAYFFFTKDILQGKNIDVYQTQEGKEVARDFTYIDDIVKGCIGALETAEKSTGSGGKKRGPAQLRIYNLGNTSPVGVGKLVGILEGLLSTKAKKHVIKMPSNGDVPYTHANVTLAFKDFGYKPTTDLASGLRKFVKWYVGYYGIQPTLKREHST >OIV97104 pep chromosome:LupAngTanjil_v1.0:LG15:8873778:8875151:1 gene:TanjilG_10050 transcript:OIV97104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKLNLWHEPFMKHLKWLNPLANVPLSKWHLKAEFHNSLKPPRPNQTLKKYLECNNQTKVLLYFRYLLRERSSFNSIDSFSFLFALKACNKKHSSSEGKQLHCLVLKFGFQYVVQLQTLLLKLYAEWENLCEAHQMFDEIPDKNIICWTSLISAYVDNHKPNKALEVFRLMQMNNVEPDQVTVTVALSACADTGALEMGERIHAFVRSKQGMKKDLCLTNALINMYAKCGDIVTSRRLFDSTKNKDVTTWTSMIVGHALHGQAHEALQIFEEMNTRSEKKNLNSCSYLVTPNDVTFIGVLMACSHAGLVEKGKGHFRSMTEDYGIQPREPHFGCMVDLFCRAGHLRDAYDFIMQMPTPPKAVIWRTLLGACSLHGELELGAEVRHKLLKLDPGYVSDSVAMSNIYADIGMWDKKVIARNQIKQSRAPGCSSIEVGSRLSECVTTDYNHPVKTNIICS >OIV97388 pep chromosome:LupAngTanjil_v1.0:LG15:1133903:1135240:1 gene:TanjilG_17572 transcript:OIV97388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQNQVPSSKPSPCLTGLIPTPKALSSSFRDTNCMEQLLVHCANAIETNDVTLAQQILWVLNNIALPDGDSNQRLASSFLRALTVRAAKSGSCKMLEAMMGEESRSHLAIGTHKFSVIELANFVDLTPWHRFGFTAANTAILEACEGFSVIHIVDLSLTHCMQIPSLIDAIASRHEVPPLIKLTVAGDNFRDIPPVLDLSFDELGAKLVNFARSRNVTMEFRVVHSSYTDGFASLIEHLKVQHLVYASEGGASEALVINCHMMLHYIPDETLTHDMDTNSYVYDYGYHSSTLSLRSLFLKELRSLNPTIVVLVDEDADLTSKNLVCRLRSAFNYLWIPYDTMDTFLPRGSKQRQWYEADIFWKIENVIAHEGLQRVERVEPKSMWEQRMRTANFHGVTISEDSVSEVKAMLDEHAAGWGLKKEDEHIVLTWKGHNVVFASAWLPA >OIV97267 pep chromosome:LupAngTanjil_v1.0:LG15:2823742:2825960:-1 gene:TanjilG_07019 transcript:OIV97267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLWFALVIASNSSAWLTTAVLVTNMRNFPVSRGTVAGILKGYGGLSAAVFTEIYSIVLHNSSSKFLLLLAIGIPVICFSMMFLVRPCTPASGDDSTEKWHFLFIQGSSVILGLYLLATTIFGNIVTFSGIVSYILVAVMILLLMAPLAVPIKMTLFPRKASSSDSPEQRVGSSDCLTQRKDDNTEPLLASSSAASLGSFYDQDDSSEVAMLLALGEGAVKQKKRRPKRGEDFKFTEAVIKADFWLLFLVFFVGVGTGVTVLNNLAQIGIAQGEEDTTTLLSIFSFCNFVGRLGGGVVSEHFVRTKTIPRTFLLTCTQTIMLIVYLMFAFAIKGTLYPSIAFLGVCYGVQVSVMLPTVSELFGLKHFGILSNFMSLGNPLGATLFSAMLAGNIYDSEAAKQIGLIDPSLSCLGPSCFKLTFFILSSVCAVGIIFSIILTLRIKPVYQMLYSGGSFRLPQTSSNH >OIV96796 pep chromosome:LupAngTanjil_v1.0:LG15:16100926:16102301:1 gene:TanjilG_18256 transcript:OIV96796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIEENSENDAQNDESTDQVNATSKGDHINVLSHPSLMKIMNKQGDQLVLFADKVLKFTGSGKIKCRILLITDFAVYIVDPDTGSLKRRIALAALDKICVSELNDNFFAVVIPTEYDLLMASTRKNEILYAIKTASDYELEVVSSNRFEYNAASDLVKEIEFEEVEGGIKTRILRK >OIV96697 pep chromosome:LupAngTanjil_v1.0:LG15:17457064:17457765:1 gene:TanjilG_09239 transcript:OIV96697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSTNGSQTLEAQAEAFFDSAPPLQNTHQITQKLDQFIQHKSSPSTNGDARRIVCVTSGGTTAPLEQRCVRYVDNFSSGHRGATSTEYFLKAGYAVIFLYRRGSFQPFCRSLPDDPLVECFEPTDELNIQGFSFCFLLSLHH >OIV96372 pep chromosome:LupAngTanjil_v1.0:LG15:20171609:20175653:1 gene:TanjilG_09799 transcript:OIV96372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSESREDESKEEDKDNEANKLLQHHSSSTSSSSDNEEEVAYESGDKITIVDFDYVDGDEDSNVTPPFSWKKLWMFTGPGFLMSIAFLDPGNLEGDLQAGAIAGYSLLWLLMWATIMGLLIQLLSARVGVATGRHLAELCRDEYPNWARYVLWFMAELALIGADIQEVIGSAIAIQILSHGVLPLWAGVLITASDCFFFLFLENYGVRKLEAAFAVLIAIMGLSFAWMFGDTKPNGKELLMGILLPRLSSKTIRQAVGVVGCVIMPHNVFLHSALVQSRKIDPQKKGRVQEAINYYSIESSAALFVSFMINLFVTTVFAKGFYGTKQAKSIGLVNAGQYLEEKYGGGLVPILYIWGIGLLAAGQSSTITGTYAGQFIMGGFLNLRLKKWLRALITRSFAIVPTIIVAIVFNTSESSLDILNEWLNVLQSMQIPFALIPLLTMVSNEQIMGPFKVGPVLERVAWTVAALIMVINGFLLFDFVLSEVKGLLFGFIVCSCTGAYVAFIVYLVSRSGALPSTWANRLPKGFSLTKN >OIV97107 pep chromosome:LupAngTanjil_v1.0:LG15:8776043:8779630:-1 gene:TanjilG_10053 transcript:OIV97107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYFANFLGNDPAGRTKMIRTEFAIIEFLGLVEKNILNYDFDLVASDPSDANTNSTTLFSDDTDDKSKRSTNPETGMSTQQELESNIAIAAAVVEEPPNAHASECRPDVPRISDLRTGHFSSGPKKSELRIGESSAFFTYVKASVSKSNFEGIVHVDNNAAIRMRVEDAHQSCAQHRVNGLQTHANGETCESQSQDDFPSSNSFPDSFSIERSCTPPASMDVSPQNHPKEENLPPGTVHPRNGTHGSELDVSTMATQHAYPYYMSGGFNHVMMSSSAQMYQKNIQDMQNHACSAMIAQYNHLPQVPPHAMGMTSYPYYPMSPYLQPGQIPTSHPWPSFGGSSSSEVKLSIGDRREAALMKFRQKRKERCFDKKIRYVNRKRLAERRPRVKGQFVRKLNGANVDLNGQPASIDYDENLDEEDDQGARDSSPEDA >OIV96930 pep chromosome:LupAngTanjil_v1.0:LG15:13658389:13658661:-1 gene:TanjilG_00512 transcript:OIV96930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFMSMFSHFEISQGKKWNFSVGLSPAKNEIPKPNTEGSCSVVATDKLKTVRKEANPSSTLGGAKQNPTQLRPRFAPELDGLHCFESIVPS >OIV96362 pep chromosome:LupAngTanjil_v1.0:LG15:20231500:20232761:1 gene:TanjilG_09789 transcript:OIV96362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFAGTTQKCMACDKTVYLVDKLTADNRVYHKACFRCHHCKGTLKLSNYNSFEGVLYCRPHFDQLFKRTGSLDKSFEGTPKIAKPEKASDNRKPAATKVSSMFGGTRDKCSGCQKTVYPIEKVTVNGTPYHKSCFKCTHGGCVISPSNYIAHESKLYCKHHHIQLIKEKGNLSQLESDHEKNVTHDKIYGQQIASET >OIV96460 pep chromosome:LupAngTanjil_v1.0:LG15:19610506:19612783:1 gene:TanjilG_07852 transcript:OIV96460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKCHRNDVGSLILDHRHPTKNSTSGGHFRLWNSSLRRIIFDAVSCGGTSRYKYDDGDTSSISTTGRKEKHAENHKSEKKLSDLLNVEENETEASKRKEEELREVVKELEGEDWVRKRMAAKRVRIMAKEEGLEVRRTLAMLGVIPLLVGMLDHDNECVESQIASLYALLNLGIGNDANKAAIVKVGAVHKMLALIDSPDSSVCEAIVANFLGLSALDSNKPIIGSSGAIPVLVRTLQDLDNKHSTQAKQDALRALYNLSITPTNISFILETDLVSFLVNSTGDMEVSERTLSILSNLVSTPEGRKAISAVRDAIPIMMDVLSWTDSPQCQEKASYILMIMAHKSYGDRHTMIEAGIASSLLELTLFGTQLAQKRASRILECLRLDKGKQVSRRYRGNLGATVSAPICGSSSSFTKTEGRSVEDEDMMSEEKKAVKQLVQQSLQNNMRKIVKRANLRHDFVPSDRFTSFTSSLTSKSLAL >OIV96891 pep chromosome:LupAngTanjil_v1.0:LG15:13010187:13011715:-1 gene:TanjilG_00473 transcript:OIV96891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVIWVEVCLISAHGIEHSASLWKRQWFAVGWIDHNSKYCTKVDDSRNPNPVWRTKFAIPVDDSAPNFQNLALNVEVYSLEPIFLTEKLQGSATIVLKEFLVKQVKNSEVSKARHKEVQSYQLRKTKSGKPIGFIDISIRIFEEKKEQNSKTGSKEGMVLLDRGRNTQLTIKGGLEQGYSQQQLQASIHQPENHLQTKTPDYSDPYVREPSYHAAVGPSYHQPSRTRTTLPSSNVGYIPTFLPRNDDLSPGFTDMVRSGAGTRWRGPPGFAVGLGAGALAAGAVIFGDHFISGFDVPPGLGDANLTEEDDPPF >OIV96617 pep chromosome:LupAngTanjil_v1.0:LG15:18440225:18443326:1 gene:TanjilG_28474 transcript:OIV96617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLPRLGVGEEGESNVILLVSSTAKENVCLKSLELKEHNYMGLSDCSSVDSSVPSFSDESKSNLNLKATELRLGLPGSQSPERDSDLCLRSSTQFDEKPLFPLHPSSEDHHSSAKIAVLGNKRGFSDAMNGFSEGKFVVNSETATILSPRPSSNLGLKPGPLLESVTAQQAKMKELATGKVGLERPLALNETKPGLNGSANNNSSAPATKAQVVGWPPIRSFRKNSLTTNSKNTEEVDGKPGSIALFIKVSMDGAPYLRKVDLKNYSAYPELSSALEKMFSCFTISQCGSHGTLGRDLMNETKLRDLLHGSEYVLTYEDKDGDWMLVGDVPWEMFIETCRRMRIMKSSDAIGLAPRAVEKIKCKN >OIV96594 pep chromosome:LupAngTanjil_v1.0:LG15:18210638:18211441:-1 gene:TanjilG_28451 transcript:OIV96594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGEYVEEHTKTETPNMFSNLNQSQPHHTPFSTVTTPISSTGDGATIEVVRRPRGRPRGSKNKLRPPLIITRDLEPAMSPYIVEVSGGNDVVEALSGFSRQKNMGLCVLTGSGTVANVTLRQPLTTPGATVTFHGRFDILSISAIFLPQQQGSSSSPPMQNSFSISLAGPQGQIIGGIVAGALVASGNVFVIAASFNNPSYHKLQQEEDGGNSVSGSGEGQSAMVSGGGGGGGGDGQGESCGGSMYSCQMPSDVIWAPTARPPPPPY >OIV96972 pep chromosome:LupAngTanjil_v1.0:LG15:12723641:12724996:1 gene:TanjilG_31928 transcript:OIV96972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISKNSSMTLISMLVFVSGLVQANAYYYRQCSTTGTRCNGQYIRCPSECPSSESNDPKAKVCLIDCDKPTCSAVCRHRKPNCNAPGSGCFDPRFIGGDGRVFYFHGKSNEHFSLVSDSDLQINARFIGHRPNGRTRDYTWIQALGVLFNSQTFSVEATKTPQWNDKVDHLKFTYNGNDLILDESSLSIWYSPKKDVKVERVASKNSVIITIGDVAEILVNVVPVTKEDDTIHNYQVPSDDCFAHLEVQFRFFALSPKVDGVLGRTYRLDFENPIKPGVAMPVVGGEDKYKTTSLLSSKCASCVFSQESSSEKEMNKVAEFVTLDCTKFSNGMGIVCKK >OIV96437 pep chromosome:LupAngTanjil_v1.0:LG15:19802658:19805232:-1 gene:TanjilG_09864 transcript:OIV96437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLRPTSVSLSQCFSILRHAISTSDSLIGKRAHALIFTSGHHIDLFLTNNLITMYGKCGSLSSARQLFDVMPQRDLVTWNAILAAYASAGDFDSGITQEGFRLFRLLRESVVLTTRHTLAPMLKMCVSSGSDWATETIHGYAVKIGLEWDVFVAGALVNIYAKFRRIREARVLFDGMPVRDVVLWNVMLKAYVEMGLEDEALLLFSAFHRSGLRPDDISIRSVLMGVSKTVFEWQLKQVRAYATKLFLCDDDSDAIVWNKTLTEYLHAGKGWEAIDFFMDMIKSQVAYDSTTLVVILSVVASLNHIELGKQIHNVAMRSEMDQVLSVANSLLNMYIKAGSVYYAKAIFNQMKEVDLISWNTMISGCALSGLEEMSISLFIDLLYSGLLPDQFTITSALRACSSLKDGHYLSKQIHTHAIKAGIVDDSFVSTALIDVYSKSGKMEEAEFLFQNQDGFDLASWNAMMYGYMVSSNYQKALRLFTRIHESGERVDHITLANAAKAAGCLVWLKQGKQIHAFVIKSGFNLDLFVISGILDMYLKCGEMESARRVFSEIPSRDEVAWTTMISGCVENGDEEHALSTYHQMRLAGVQPDEYTFATLVKASSLLTALEQGRQVHVNVIKLNCALDPFVMTSLVDMYAKCGSIEDAYGLFKRMDIKSIASWNAMMVGLAQHGNAEEALYLFKDMKSRGAMPDRVTFIGVLSACSHSGLVSEAYENFYSMQKDYGIEPEIEHYSCLVDALSRAGRIQDAEQVILSMPCEASASMYMTLLNACKIQGDKETGKRVAEKLFTLEPSDSAAYVLLSNIYASANQWENALAIDHMKKLI >OIV96659 pep chromosome:LupAngTanjil_v1.0:LG15:17051068:17054526:-1 gene:TanjilG_09201 transcript:OIV96659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRVSICFLFFVFALSFTQIFADESAETKEFVLTLDHSNFSDTITKHNFIVVEFYAPWCGHCKNLAPEYEKAASILSSHDPPIALAKVDANEEKNKDLASQYDVKGFPTIKILRNGGKNIQDYKGPRQADGIADYLKKQSGPASSEIKSADDASALIGENKIAIVGIFPKFSGEEFDNFIAVAEKLRSEYDFGHTLDAKHLPRGESSVSGPAVRLFKPFDELFVNSQDFHVEALEKFVEESSSPLVTVFNNDPSNHPYVVKFFNSPHAKAMLFVNFTAEGVESLKSKYREAAALYQKEGISFLVGDVEASQNAFQYFGLQEDQVPLIIIQQNDGKKFLKPHLEAEHISTWLKEYKDGKVAPHVKSEPIPESNNEPVKVVVGKSLQDIVFNSGKNVLLEFYAPWCGHCKQLAPILEEVAISYQSDADIIIAKLDATANDVPSETFEVQGYPTLYFRSASGKLAQYDGGRAKEDIIEFIEKSRDKPAQQEKVKEQSEEKPAQQEQGKDEL >OIV97232 pep chromosome:LupAngTanjil_v1.0:LG15:3810413:3811598:1 gene:TanjilG_27215 transcript:OIV97232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTPFVHKNKGPMASMKKNSNGDSNTSDLNGKEEEKTKSSNKLPSKSITRPLSYIEWKGFEETSTTSCNNCALCGKDMAYLSIEEKLKNSNHKENDVAHMKWSINPEASILPCGHVFHSYCLLNTSIELTEPLCPICVRMM >OIV96392 pep chromosome:LupAngTanjil_v1.0:LG15:20051111:20054095:-1 gene:TanjilG_09819 transcript:OIV96392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWLARSLANTLLLDEERDDENDIVSDSQTMSPRRNYIHQKHHDQPLSPEEEEDEGRGVKEDLDEIKQTLTRQLWGMASFLAPPPPSISNQSEPDFDHSLSSLGEEEPVYNVAVSDQDPVEPGSDEIREPEMEEEEVVAVVGITDEVLTFAMNIAMHPETWLDFPIEEEDDTDDFEISDSQQEHAAAIERLAPSLAALRIELCPCHMSESYFWKVYFVLLHSRLHKQDAEILFTPQVMAARAMWMQELHKQMKPDVESFRISSTYSRDTAQHNDFIPSLSDGAYSGDMPQWTHEYEARTADNETEKHMVESSETLFTDKSVIEENLSGNKDLNCGRSSQVIIQDYDDDDEWPEEDSDLGGYSGTILPMVNEEDISFSDLEDDDYGIKPVNSNTDLKVV >OIV96370 pep chromosome:LupAngTanjil_v1.0:LG15:20182413:20182815:1 gene:TanjilG_09797 transcript:OIV96370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLNFLGLGAGGGCGVGVGLGWGFGTAYGSKYRSSRITFQGVEFDNKEKGNINEFSKPSPQVKS >OIV96862 pep chromosome:LupAngTanjil_v1.0:LG15:15014020:15016994:1 gene:TanjilG_08723 transcript:OIV96862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSETTNNNNVVVEVLDKEDLAVSLAQYVANLSNKFTKQRGAFTVTLSGGSLINYLRKLLEPPYVDTLEWSKWHVFWVDERVVPKTHEDSNYKLAYDGFLSKVPIPPGNVYAINDALSAEGAADDYETCLRHLVKSNVIASSLSGFPKFDLQLLGMGPDGHVASLFPGHPVVEEDKKWVTFIKDSPKPPPERITFTFPVINSSAYAALVVTGAGKADAVHSALRGSENSEKLPAALVSPEDELKWFLDKDAASKL >OIV96927 pep chromosome:LupAngTanjil_v1.0:LG15:13587561:13588265:1 gene:TanjilG_00509 transcript:OIV96927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIRVLDEMYESMKREKKTIKVSPNWLDRLRAKKGIPTGSSPDLGTFLLSQANSNPQIPHFPENSQNLPVRRRPRKQAHPTKRVLGFPHPSTSSTSTAADPIPPIAPAILVVPAAPTDPVAPVDHAPPAEGDHQEEGDPPVPVVEDPPPRFRVNMIHAVFRPRQPGEEEEEEEEEEEEEEDFDDEEEESNITDLDGFNSARVEYHQNQLDEESDSSLGDDDDSDSSGASVILG >OIV96723 pep chromosome:LupAngTanjil_v1.0:LG15:17770136:17771606:1 gene:TanjilG_09265 transcript:OIV96723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSPCCDENGLKKGPWTTEEDQKLIQHIQKHGHGSWRALPKLAGLNRCGKSCRLRWTNYLRPDIKRGKFSQEEEQTILHLHSILGNKWSAIATHLPGRTDNEIKNFWNTHLKKKLIQMGFDPMTHQPRTELVSTLPYLLALAPITDTMDHNQSLDEHALGLKLQYLSYLLQTSNSLSTNNSYDQNVITNIEPFTMLSSLKENNPVIELPQLHNNNNPNSFSHGIIAGSGYQPLHQQSNMLSDFLDPQVSFSSHSCLNNEQGQGTNYAIISQGDHTNDSSNWIITSPAPSPILPNSTGISITINPGDASSSTSSYGGGISSYWPELFFEDPIIMNQLS >OIV96597 pep chromosome:LupAngTanjil_v1.0:LG15:18235629:18240225:1 gene:TanjilG_28454 transcript:OIV96597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLHLLNQLNHSQKSAFAVAFILLLPPFFPNLFHPLARSSPSMFSEWIAPKPRHLDLMEGALQRETPMELHTNLWSPLTFQGWKPCTDPPKSTSLPEKSRGYIQVFLDGGLNQQRMGICDAVAVAKILNATLVLPHFDVNPVWQDFSSFVDIFDVDHFIDVLRDEVAIIKELPSDYSWSTREYYGTGIRATRIKTAPVQASADWYIENVLPILQSYGIAAIAPFSHRLTFNNLPSDTQRLRCKVNFQALSFVPHVKELGNAIVHRLRQTPGVNQAEGNGDLLEETGQFVKQQTGKFVVLHLRFDKDMAAHSSCDFGGGKAERLALAKYRQVIWQGRVMKSQFTDEELRSQGRCPLTPEEIGLLLAALGFNNRTRLYLASHKVYGGEARLATLTKLFPLMEDKKSLASAEELAKFTGKASLLAAIDYYVSMHSDIFISASPGNMHNALVGHRAYMNLKTIKPSMGLLGQLFQNNSLSWSEFQHAVLDGHKNRQGQIRLRKEKQSIYTYPAPDCMCRA >OIV97190 pep chromosome:LupAngTanjil_v1.0:LG15:6467340:6467660:-1 gene:TanjilG_28941 transcript:OIV97190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVKHQTQSLMVPVTAAKESQNRLPMRRLKKMLRKMVSFVHKKKEAHGSGRGGVWQKQIIMGDKCEPLDFSGVISYDSNGNQVTEIPFKPSRASGQLTERGVPKV >OIV97069 pep chromosome:LupAngTanjil_v1.0:LG15:10406598:10412678:-1 gene:TanjilG_14614 transcript:OIV97069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGFGEQPLSKIAIHKTILALHSSASITVTPFFLGNKGQDTDWVTVQLESPEPSIDDWVGVFSPANFDSETCPATGNDVGYVETPYVCTAPIKYKYANHSNSNYVKTGKATLKFQLINQRADFSFVLFSGGLSNPNLVAVSNNITFVNPKAPVYPRLALGKSWDEMTVTWTSGYDTDEAIPFVEWGPSGGRKKRSPAGTLTFNRNSMCGEPARTVGWRDPGFIHTSFLKELWPNQRYTYRLGHILPNGSYVKSKKYSFKAAPYPGQNSLQRVIIFGDMGKAERDGSNEYANYQPGSLNTTDRLINDLDNYDLIFHIGDLPYANGYISQWDQFTAQVQEISSRVPYMIASGNHERDWPNTGSFFNTPDSGGECGVLAETMYYFPAENRAKFWYKADYGMFRFCIADSEHDWREGSEQYKFIEHCLATVDRKHQPWLIFSAHRPLAYSSNAWYGMEGSFEEPEGREHLQKLWQKYKVDIAFYGHVHNYERICPIYQNQCVNSEKTHYSGTVNGTIHVVVGGGGSHLSDYTPSPPVWSVFRDRDFGFGKLTAFNHSYLLFEYKRSSDGNVYDFFTISRDYRDVLACVHDGCEKTTLAT >OIV97272 pep chromosome:LupAngTanjil_v1.0:LG15:2777095:2783925:-1 gene:TanjilG_07024 transcript:OIV97272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLDEGSSSTVNIVQAIPRRTTRHSGQFPFFLAAYYQFTQQNLPACKPVLTPATIVATFLMIGFIFIPVGFVTLRASNSVVEIVDRYDNDCIPEEFKNNKVAFIKDDSLSKNCSRLLKVDKPMKAPIYIYYQLDNYYQNHRRYVKSRSDQQLLHGLGYNDTSSCKPLESSDDLPVVPCGLIAWSMFNDTYKFSRGPSELKVNKKNIAWKSDRNHKFGKDVYPFNFQNGTLTGGGKLDPTIPLSDQEDLIVWMRTAALPSFRKLYGRIEVDLDADDVIVVQLMNNYNTYSFGGKKKLVLSTSSWLGGKNNFLGLANLFVGTFCILISIIFLLLHVKNPR >OIV96551 pep chromosome:LupAngTanjil_v1.0:LG15:18881586:18888413:-1 gene:TanjilG_24204 transcript:OIV96551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPHQQSQRSASPSQPSGKSEVTDLKSQLRQLAGSRAPGADDAKRDLFKKVISNMTIGIDVSALFGEMVMCSATSDIVLKKMCYLYVGNYAKVNPDLALLTINFLQRDCKDEDPMIRGLALRSLCSLRVANLVEYLVGPLGSGLKDYNSYVRTVAVIGVLKLYHISASTCIDADFPATLKHLFLNDPDTQVVTNCLSALQEIWTLESTTSEEAARERETLLSKPVVYYLLNRQVVFQQIVSYTLIFDELNLGVGNAVWHNIKEFNEWAQCLVLELVTKYIPSDNSEIFDIMNLLEDRLQHANGAVVLATTKIFLHLTLSMADVHQQVYERIKAPLLTQVSSGSPEQSYAILSHLHLLVLRAPYIFASDYKHFYCQYNEPSYVKKLKLEMLTAVANESNTYEIVTELCEYAANVDIPIARESIRAVGKIALQQYDVNAIVDRLLQFLEMEKDYVTSETLVLVKDLLRKYPQWSQDCIAVVGNISSKNVHEPKAKAALIWMLGEYSQDMQDAPYVLESLIENWDEEHSAEVRLHLLTAVMKCFFKRPPETQKALGAALAAGIADFHQDVHDRALFYYRLLKCKVSVAESVVNPPKQAVSVFADTQSNEIKDRIFDEFNTLSVVYQKPSYMFTDKEHRGTFEFSDELGNLSISAEPGDAVVPAQIVEANDKDLLLSTEEKDEGREPGINGSAYSAPSYDGSSAPSATSQPLADLSFLSSSTPGQAPSSSLAIDDLFGLDFSVGTAATPSPPSLNLNPKAALDPSTFQQKWRQLPISISEEYSISAQGVALLTSPQTLLRHMQSHSIHCIASGGQSPNLKFFFFAQKAEEASSYLVECIINTSSAKSQVKIKADDQSSSQAFSTVFLSALSKFGLP >OIV96320 pep chromosome:LupAngTanjil_v1.0:LG15:20477586:20478224:-1 gene:TanjilG_09747 transcript:OIV96320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKFRNSQFLCLILMITIVGLQCEKLNNGTATAPITQDRATFREHTFDTKVIKGSLYYRGRGRSGGWGGGGGGGGGGSNTWGGSGGGGGGGGGGSNTWGWGGGGGGGGGGGGGGGGGGWGWGGGGGGGGWWKWGCGGKPRHGRLKGGGRGRLHYNKPNRKEMFGKEDYKLGEFAQCMGRTHCRGMRLECPLHCGGPCLYDCHYMCKAHCHRP >OIV97485 pep chromosome:LupAngTanjil_v1.0:LG15:213955:219561:-1 gene:TanjilG_11009 transcript:OIV97485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSPALRYSPGREPRADGHKRGRSLESGLLFREKDDDLALFNEMQSKERESFLLQSSDDLEDTFSTKLRHFSDAKRGISIPARGESSNLLNADADKNDYDWLLTPPDTPLFPSLDDEPPMVNVASRGRPQSKPISISRSSTMEKSYRSSRGSASPNRLSPSPRSRTNTLQSRGRPSSVPNSSPTPSLRYATPSRRPSSPPNKPKTSASRSNSYTPRRLSTGSTGPVVSSGIRGTSPVKTSRGNSESPKTRTWQTNIPGFSSEAPPNLRTSLTDRPASYVRGSSPASRNGRESKSSRQSMSPTASRSRSSFRSHDRDQFSSHSKGSVVSSCDDDVDSIQSKPVGSLDRQGSIRSGSLSTNRTPNFPKKPARMASPHSAPKRLFDSAIRQMDRRSPQDMFRPLLSSVPSTTFYVGNTNSSQRSLVSRNSSVTTSSNASSGQGRSFAVDTEGSDHNHDDMTSETDKMLYPDIHEVFAFDKIDLLNENEESVDVQHNETRDPKIDFDPTEFEDSVHHGGIDADGNENSETTCNRGDIYETGSSENTAICSNCGCRYEVTEQAEKHVVLCPECSRKSTLLRVVTPETTLTVSKDSSVIYKNMPEEEKSLSETDRLTVASELPQDTDAGELRFHLSEKDAREYQTSCSERIHDHSQNSSIPSSSEEGGVETDQPGVNYEKPNNDFGYQKLNCSSDPPNLNVNLMEGTGISVLLKRSSSNKGPILQGRSFTATTISYDDLSLARDSVNSTRSSTRHGSYSASSSVDLSSVRQAEIRVQRQLSGRKLDVEFGYDLGNKPPSTYSSFSGTSNHSHHGLLLTNLETSSNTDSGFVEETPQVLREMKASEITVVDVTDASSVSLIGVEEDKCEYDDNSRLTKACSSKISSQAAVIVKSDDNSGASFPNHGDCISYENVEDDPNNASVLSFHEKHDVQNSNVDEPDALVTTNSSQIVEPEIEVGKNYCENNIGTVNDDLSLVSKSALDEFQELSTQNPSSDCLTASVSELNTSEYSHGIEGSTVTVDCQAAGNTKSLTLDEATDTILFCSSIIHDLAYQAATMAMEKECSEPFEGSELTVTLIEKSNSNRKDTHSRTVRKRASKSQKARQGSAVETTVRPSSGKTENDENLDKSFTQNSNNNDDVGLPNKVDSDSMKPLKLESKCNCIIM >OIV96525 pep chromosome:LupAngTanjil_v1.0:LG15:19117657:19119313:-1 gene:TanjilG_07917 transcript:OIV96525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDVVFDTSWEDIVCPICLDFPHNSVLLQCSSYDKGCRPFVCDTNQLHSNCLDRFKTACGMPSSCDATYPMSNATSPVSDATSVDSNEAVVSDCEGKLSCPLCRGDVSGWIIVDKARVHFDDKKRCCDEHQCKFMGSYSELQKHAHLEHPHAHPSKIDPARKLDWENFQQSSEVIDVLSTIQSEIPRGVVLGDYVIEYGDGDTGDDFEDFPGDEGNWWTSCILYQAFSNFRSSRNRRRIRIGDASRGNRHSSYDTSNSDEGSVASVEYAEYRLDETGDDFVSTISSSRGSSGFRRSQRRRSRFFDS >OIV97155 pep chromosome:LupAngTanjil_v1.0:LG15:5073877:5075568:1 gene:TanjilG_28906 transcript:OIV97155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMCPEESDSKYEPMPHPLPKIRISHIQLGGSHGSDLMGDDDNQIPQYASGAGAFDKAEPDSPISEKFSVFNHSDEDDDDQPFIRCFYCDFEIKCSPMEMFHSDLVCPVCEEIIGGDIMSKLLSTTQTWGTDKTRLWVENADKFCQELASREGIYQPASDSFLPPFIRNMSTEVW >OIV96400 pep chromosome:LupAngTanjil_v1.0:LG15:19994758:19999223:1 gene:TanjilG_09827 transcript:OIV96400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKGEGSSLVLRASSDNTRHATTSDLVLQWGNRKRLRCMKVQVKDHSSSNNVNDNGSLNNNNKPTVSFNITNTHQNQSYGFPNLRQRQSSPHQRILRNWETSSAMRGVQSNGGDKRGTHNNTLFNDNNKLVASSDTAHDSKKGGSPSGSGDGAPPVWPPKFVIALTNKEKEEDFLAIKGSKLPQRPKKRAKLVQRTLNQVSPGTWLCDLTLERYEVREKKINKKRPRGLKAMGNMDSESE >OIV96716 pep chromosome:LupAngTanjil_v1.0:LG15:17695465:17698837:-1 gene:TanjilG_09258 transcript:OIV96716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKVNNKVGIDLGCGFVERILHLKSNRLKKSSIHSLPVKGSNNAQHAEKESNKPSDHETKVPRNSITKTVPNDSPDTVKVDQKPARKSNYSSHGAPPSSAYRNNGQKPRPSDAARSSTSSSSGNSSHTKVQFQQHHDSSDESKQQREPNGSSLQLARISTSHQKDNESKSPSKELCALKLTGNLIMNNSPRNSVTKSMEFVPKNKELNSMSPCYSHANKALMGNIMRRNGDEVAQFRSPRNRVDPEVLKSMGNEAYNKGRFEEALVLYDRAISLDSNKAIYHCNKSAALIGLGKFLQAIVECEEAIRLEPSYGRAHNRLATIYFRLGEAEKALNCNQATPDVDPELTFHAEALQSHLNKCTEARKFNDWKVVLKETHSAISLGADSAAQVYALQTEALLKLLRHQEAYATYEKMPKFDRDSCNKLFGPACSAYLLMIDAQVYLAAGRFEDAEAVSKQAAKLDPSNSEVNTVVRRARAAASARISGNLLFKASKFTEAYAVYNEGLEHDPYNSVLLCNRAACRSKLGQFEKAIEDCNAALVIQPSYSKARLRRANCNAKLERWEAAIQDYEMLIGEKPGDEEVARALFEAQLQLKMLRGEDIKDLKFGSNLFFISSNDRFRHYVTSPGMSVVLFCNKTTHKQVLLVLEQTCKRFPSINFLKVEIEDHPYLAKSEGVSSIPSFKIYKNGSIVKEIPGNSHELLERSVKLYSS >OIV97413 pep chromosome:LupAngTanjil_v1.0:LG15:866274:866834:1 gene:TanjilG_16174 transcript:OIV97413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGCFSSRSYSTSNNIRLVHLSGYVEDFDQPISVNQVIGNSSKYFICTSIQLLSSSSSSLCSSSQPLKGDTQLQLGNVYFMLPFSILQVDVSPMDLASLAKRLTTIAKTRCGSKKILKDSSSLLRQSECRNSPCRSEGRLGVVDKESGVTYGARNSLRMQPWKPLLDTIRERSFNRRSESDLHENH >OIV96343 pep chromosome:LupAngTanjil_v1.0:LG15:20350327:20354748:-1 gene:TanjilG_09770 transcript:OIV96343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLLRDLGIGIPTYTAILYLMIAFGVIPTVALNVSNVYKVVKARNGSMPLALAMLYPFVVLVGGVLVWDYLSPSDILGAYPHLAIIGTGLIFGYLVGRMILAHLCDEPKGLKTGMCMSLLYLPFAVANALASRLNDGSRFIIFLGRVPLVDERLVLLGYCVFSVALYLHFATTVIHEITDALGIYCFRITRKEA >OIV96994 pep chromosome:LupAngTanjil_v1.0:LG15:12301855:12303915:1 gene:TanjilG_26771 transcript:OIV96994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDDFRTILDSSGVDVWSFIDTAIAVAATDSGDELKRRRDAIVERLYSATTAPPRCRNCDGGNRSVVAANDDKARKQQRTRSPSPKRQSPQREQRRRFASSPETPQSLENDDDENDTDLDPYGGLFDDEQKKILEIKEQLEEPDQSEDSLVDLLQNLADMDITFQALRETDIGRNVNRLRKHSSNDIRRLVKLLVRKWKEIVDEWVKSNPQGEAATLMADGDSSPLQKTTQNGHHHIPDFAYSPNPHNGSSGSDRNEAELKPKPKPKVIPSRREAPPPKPIPSPLLHTPAPSALQNRQKELRESNFDAERLASARKRLQENYKEAENAKKQRTIQVMDIHELPKTKSKNTFFGKNKGSGGSQGRHW >OIV96399 pep chromosome:LupAngTanjil_v1.0:LG15:20002219:20005433:1 gene:TanjilG_09826 transcript:OIV96399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGTNTEDDNIIINPEFENGLSNWSGRGCKIVLHDSIGDGKILPKTGKFFASATERTQNWNGIQQEITARVQRKLAYEVTALVRIFGNNVTTSDVRATLYVQTPDFREQYIGIANVQATDKDWAQMQGKFLLNGSPSKVFVYLEGPPSGTDILLNTLVIKHAPKTPPSTPQDFEDAAFGINIIENSDLAKGTNGWFPLGNCTLSVGTGSPRIIPPMARDSLGPHESLSGHYILVTNRTQTWMGPAQMITEKLKLFLTYQVSAWVRIGSGSSGPQNVNIALSVDDQWVNGGQVEVADGKWHEIGGSFRIEKVPSKVMVYIQGPASGIDLMIAGLQIFPVDRHARFRYLRRQTDKIRKRDIILKFSGLDSSECLRAMVKVTQIQNDFPIGSCINRTNIDNEDFVDFFLNHFNWAVFGNELKWYWTEAQKGNFNYKDADDMLDLCQKNNINTRGHCIFWEVDGTVQQWIKSLNKSDLMTAVQNRLTGLLTRYKSKFKHYDVNNEMLHGSFYQDKLGKDIRANMFKTAHQLDSSATLFVNDYHVEDGCDTRSSPEKYIQQIIDLQGQGAPVGGIGIQGHIDSPVGPIVCSALDKLGTLGLPIWFTELDVSSTNEYVRADDLEVMLREAMAHPSIEGIMLWGFWELFMSRDNSHLVNAEGSINEAGKRFLALKKEWLSHSHGLVDEQGYFTFRGFNGKYNVEVVTLTKEISKTFVVVDNGDSPLVVSIDL >OIV96331 pep chromosome:LupAngTanjil_v1.0:LG15:20419537:20419971:-1 gene:TanjilG_09758 transcript:OIV96331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSERTLVPIFLVWALLTIITPTLILLSENSKADINLNGNYISEGMKVRRMFGYTQNNIIRTLPQPLPKSVMVEEELASTPAPEPTPSLETSTRPNSLHNNHTLMTKLNKQVVDENTH >OIV96976 pep chromosome:LupAngTanjil_v1.0:LG15:12351079:12353452:1 gene:TanjilG_31867 transcript:OIV96976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSLLSLSLLFFLSLSPILSQQPPSLLIQQACKATRFPHQCISTLSQSTLPFTPINFIHSATSATIHNLHIAQSMLNSLLSSSPNAAETCLQILHYSHHRTSLAADALARGRIKDARAWMSAALAYQYGCWSGLKYVNNTELVSKTMLFLDNLVSLTSNVLSMIVSYDVYGNDTVSWTPPRTERDGFWEPALGSGSEFRAGIPVLKVDAVVCKGEGRGCYRTVQEAVNAAPERAVGERGRFVIHIREGVYEERVRVPLKKRNVVFLGDGMGKTVITGSASVGLQPGMTTYNSATVGVDGDGFMAKDLTIQNTAGPNAHQAVAFRSDSDLSVIENCEFIGNQDTVYAHSLRQFYKSCRIIGNVDFIFGNSASIFQDCQILVGPRQAKPEKGESNAITAHGRTDPAQSTGFVFRNCLVNGTEEYMALYYSKPKVHKNYLGRPWKEYSRTVFIHSLLEALITPQGWMPWGGGDFALKTLYYGEFENSGLGSNLTQRVPWSSKVPAEHVFSYSVQSFIQGDDWIPSSQ >OIV97287 pep chromosome:LupAngTanjil_v1.0:LG15:2603805:2608804:-1 gene:TanjilG_07039 transcript:OIV97287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSWATTSVLSIQSIPLSTIIAEAATNSKFGANSYKLNRSTNKGKLTRSFSIHNSNVGSYGSIKSSRVVSQLRTQQQEGKWEEPDIDSDSDEEDEEVEDENLGFESDWEEEEEEEDTKPSAMTNFNIISADNYGEQAKKEVDRLLEPEERAILQQNLTPNLEKISTAKWSPLHTLALSMQISSMDKLLENGLDIDLRDKEGLTALHKAIIGKKEAVISHLLRKGASPHVKDKDGATPLHYAVHVGAKETVKLLIKYKVDVNATDNEGWTPLHIAIQSRNRDISKILLVNGADKTRKTKDGKTALDLSLCYGKDFKSYDLAKLLKVAVQPMRRKGKGGLGVRCDFIGSPTNLIIVASTSLMLFAGRFGLAPSANRKATAGLKLEVRDSGLQTGDPAGFTLADTLACGTVGHIIGVGVVLGLKNIGAI >OIV96443 pep chromosome:LupAngTanjil_v1.0:LG15:19751239:19752133:-1 gene:TanjilG_09870 transcript:OIV96443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGANNRRLVLPIGYRFCPNDEDLVNFYLTKKAFGEPVFPNIIPDINFFQNHPSSFPEGGRFFLEKRYFFSITRRPVLVNMHEQIAASSGYWRTKGRTKEIVISGNDQLIGIKNMFVFWEGRDTIQTKTNWVMHEFRLTHRTTPNQLQVADWAVYRLFQEKNKRAEGSDEESSRRNDTDTP >OIV97178 pep chromosome:LupAngTanjil_v1.0:LG15:6003615:6009447:-1 gene:TanjilG_28929 transcript:OIV97178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAATVAAVTEPRKQPRPGKGGFEAHGLSEEEARVRAISEIVNSMVDLSRKGQNVDLNALKSAACRKYGLSRAPKLVEMIAALPDSEREALLPKLRAKPVRTASGIAVVAVMSKPHRCPHIATTGNICVYCPGGPDSDFEYSTQSYTGYEPTSMRAIRARYNPYVQARSRIDQLKRLGHSVDKVEFILMGGTFMSLPADYRDYFIRNLHDALSGHTSANVEEAVAYSEHSATKCIGMTIETRPDYCLGPHLRQMLSYGCTRLEIGVQSTYEDVARDTNRGHTVAAVADCFSLAKDAGFKVVAHMMPDLPNVGVERDMESFREFFESPSFRADGLKIYPTLVIRGTGLYELWKTGRYRNYPPEQLVDIVARILAMVPPWTRVYRVQRDIPMPLVTSGVEKGNLRELALARMEDLGLKCRDVRTREAGIQDIHHQIKPEEVELVRRDYMANEGWETFLSYEDTRQDILVGLLRLRKCGRNTTCPELTGKCSIVRELHVYGTAVPVHGRDADKLQHQGYGTLLMEEAERIARREHRSTKIAVISGVGTRHYYRKLGYELEGPYMVKLLL >OIV97279 pep chromosome:LupAngTanjil_v1.0:LG15:2695128:2697936:1 gene:TanjilG_07031 transcript:OIV97279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFQNLILIAFIVAPIIIRHGNCRIGHHHHCHHRNHLDNNSKRYHCDFVQRSDTHFILDGKVHFVNGFNAYWLMYEASNSSTSNKVTSIFKEASKHGLNVARTWAFNDGGYRALQISPGYYDENVFKDWVSEMATYVKSIDKNHLLEIGLEGFYGESMPEKKQFNPGYQVGTDFISNNQIPQIDFATIHLYPDQWVSSHDQEAQDAFVDKWVQSHIQDSNDILKKPILLTEFGKSSKSNGYSMDKRDSYFQKLYNAIYNSASNGGPCGGGLFWQLMAQEMDNLKDGYEVIFEESPSTTNIISQQSHRMSNLE >OIV97219 pep chromosome:LupAngTanjil_v1.0:LG15:4100445:4103687:1 gene:TanjilG_02927 transcript:OIV97219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQVSAKSSYTFLQRHPVVSGALLVFFISYLFLSYIYSFLAYLSPFLVCAAIFGRIFWSSEKTELKYVKKKEENGEQKTVGPKYSNIPNSGRHDLLDKYPSQNATSRRRNFRGKKWDVYGGLEEKVKDLSTVFHNEFTKRNNKNKEGKYFEKGESSMDYDLPTRKSKAPRRQTIRFEPSMIDLVEMDNEKIEDVEDEEDEDVRSEEAQEDRNKAIEWNANDQKNLMVVGISEIERNKRLETLIARRRARKLKRVQHENGLIDKKSIAPSHIAPILIEGSNPLDSKNDFEGLEIPCSAPSIMPSTPYDIPFDNSEERPYLIGDSFDQEFIVKEKDIAFCRHESFSLGPNFPSESKEIHGAKDHNYILHNRRKYSDRLAYPRFKWISDKGDHDWLIDQLLYTEGSESGFQTYNASREGEETTHQEDEQRKIDASEMKDVKTESAHETKSMSGHTSEPNLFPNIPNVQTSRVSDNSRLRFHVPHQRLLKFPSSTSTTITEEHIPSPFDKKHDLFSNDRRICHTPTYSIASDLQVEVSEVGSPASTVEETAETNSTSERDSVLYDGDIDRDISSGSEDLWGASFHGRGETQGVSTEDIAKGNNSFKEITSPISLRQIDEENAADVSSFSSRCDMPDDTPTCAINSDHNIFGDMKNYVKEAEASQSSKCPQVSSPQKNLMDCSLDDLPSETHTKKHESIMPENFINEVEIINEVNNPESTNQDNTQNSSLVPQESIDEASTSSVASSPRSVLPDALSPVYNQRVHIGTEQSNVEDMTQETFNDERSPDTVPQNIHALMDDTTFESHNIDFDPSRVCIL >OIV96698 pep chromosome:LupAngTanjil_v1.0:LG15:17458381:17461434:1 gene:TanjilG_09240 transcript:OIV96698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSTNGSQTLEAQAEAFFDSAPPLQNTHQITQKLDQFIQHKSSPSTNGDARRIVCVTSGGTTAPLEQRCVRYVDNFSSGHRGATSTEYFLKAGYAVIFLYRRGSFQPFCRSLPDDPLVECFEPTDELNIQVREAYSEAVKRAIVDHHAAVTGGHLLKLPFNTIFEYLQMLQLIATSMRCLGPCAMFYLAAAVSDFYVPWKDMVEHKIQSGSHFLDVQLVQVPKMLSMLRKDWAPLAFCVSFKLETDSNILLNKAATALEKYKMHAVVANELTTRKEHVVVVTSADNIIVRRDKSLSGNDVENPLIKLLSERHAAYIKDSSRQV >OIV96484 pep chromosome:LupAngTanjil_v1.0:LG15:19408892:19412350:-1 gene:TanjilG_07876 transcript:OIV96484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDPSNLSPANGLEAIHQNGVHEESSNSGKDVVSNSVDPSVNEIAETVALNGNIENFDQSDSTVSSNSYTAAIKGGSIDTVDGNNGTIPKEEEVKIMDQKVQSRAQKGHVKNKTVKPPSPRGTSSSLVKKSKDGKCEEASPAVPNGTSALGSNPRQPIKNKSFNDKQTQPKHPAKPDAASSEAPMEKTKPSSLKKGLPDNVKGKEESSTPTAQDAKPRMVGVRPNYGFRFKCDERAERRKQFYTQLEEKIHAKEVEESTLQAKTKETQEAEIKMLRKTLAFKATPMPSFYQEPPPPRVELKKIPTTRAKSPKLGRRKSSTYSEPEADTSSSVRLGRLSLDEKVSQSKPTKGVIPAHQKKPLRKSLPPRLTSEKISSSNSATSPTSSEAVGDEKTSLSKVTPLSNTTEEEKVEMDAAIEENNTLSNETTSDKPSEAESHMNGDIVIENKPDITSVLEPIAAEH >OIV97096 pep chromosome:LupAngTanjil_v1.0:LG15:9597830:9600695:-1 gene:TanjilG_15797 transcript:OIV97096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEETAQEVLDPNTTAAADMDVETGDESKQKRTREEESVEEKEDGVSKKLKVDEEKSVEEERLEKLETEGEGEEKEASAPVKLGFKEFGSSVEIFDYFYKFLHAWPLYVNVNKYEHKMLLELLKNGHQEPDKKIGQGVRAFQVRNHPAYKSKCFFVIREDDTVDDFSFRKCVDHILPLPEELHSKFDVKKPFGGGGGRHHGRGRGGRGGGRHGHGKGGRWRN >OIV97441 pep chromosome:LupAngTanjil_v1.0:LG15:649679:650458:-1 gene:TanjilG_16202 transcript:OIV97441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLNISPSNSSQQVLNLTISKNHEFSSKLSFAIIAEFNISISLWTSKTFKPSSQNMKSIDEKTIFNLLVNFIQAILHYGSNKNSPFIRFPNFESISNFSNLFNISFFTLLFLVCIYEAPREIRSLCVSTLKDHLTCSQSTKASNSLMKLLGSNLHEQWMRSMNLAITNWIGEIEAHYNMFRTPCPLFSYAFSNFGLWKVQLYCPIMSMDVENAKGQYSASEKLQFSLKYHQLESVLQFNYEVLIKEKWVEIMVNIDNIR >OIV96715 pep chromosome:LupAngTanjil_v1.0:LG15:17688652:17689698:1 gene:TanjilG_09257 transcript:OIV96715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKGRETKAAPSTDLLVCFPSRAHLSLMPKPICSPARPSEPNKFGHHHRRKRSVSKGGGGGQAASPTLWAKNTKSMGSEISEPTSPKVTCAGQIKVRPKTNACRNWQSVMEEIEKIHNDKKQKKRLNWAETLGFKKEVMQFLTCLRSIRFDLRCFGSFPGTDIATEDDDEEDEDDVENEEGYHENHVKVEESHENETSRTVFSKWFMVLQENNQNNELKEKDKVIKDNETISENNVPPPNALLLMRCRSAPAKSWLKEESGGGGDNHHEEKEKEEHEQKEKAEIKVKVLKKGQSLKSLMEKEKINKENNMVVMQYDNDFYELSSDIAKETWIVGDLRDSLSRSRSCKR >OIV96438 pep chromosome:LupAngTanjil_v1.0:LG15:19796509:19800890:1 gene:TanjilG_09865 transcript:OIV96438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGNGRVEVVSVKGCSRLFSSSFRGLQSMEPMSPASSSMASEQVHVRSRAPFAGLVICVTGLSKEARNQVMEATQRLGGQYSPNLHPQCTHLVCCHEIFNTKYSFGGRKFDHALKHGAKNGLFIVTLGWFVDSVRKNVRLSESHYSVKSYGDNSMRLDEKSCLPAKIHETKHANNVEEFQRFSGRESNRNSDLTLSGCSIYVDPGISCELRSKVVESASREGASLVEQWFVGCNVSHVVTEGTSIQKYLGYSSNLITPLWILKTAKEKYVQRLVHLSADLARQVGLMLVDNNGISGKEVIKQKVHGDLLGDENEVSYKERQQIVNSAKIGVRNRRGRRMQTCQTPIRPITPNNLLDCICWSISEPTSSASIYTDSSSVEDRTHNPTSTFFDAKEDGKDSEASFSNSTRPLTESEKSELIFKNHFLTILFPIDRFAEMGPSSRTFFSNDGFTCLQVLDYIHTFYQENISKQETEAAIHTDSRHADRLRSMYSSKETAESGYVIFKRIEFLGSRISFEMLKRVTGDNNSNVYELILRA >OIV96867 pep chromosome:LupAngTanjil_v1.0:LG15:14927950:14928939:1 gene:TanjilG_08728 transcript:OIV96867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVMPIPALPFLNLTFDLTTVAFIFALIIFSVLSLCFIFHLRFKSKSLTHLQGFNSIWTVRFLLVLFIFFWAITELLRLPFFRRRYLYRFLPSFSISQQSEFCKLHVFFSLGFFEPAFLVTLLFLLNASTKEQTPNDTSAITFVIITCLPIATVQGLLLYFKAVVDHVPLFFRQTAVVINYGLGSETVLCGYPFLNSAVFAGFGVAYSLWFLVSCWRVLSLVINKGLRARIYALAVTVMVALPLQIVALGLTLFWRLDEDMYGVVSLVVFFGAFCCAVTGEGILVIKPISDALDVGGSCCKLSSCYGGGSQVKRSLVPEKTVVEGEGCV >OIV96832 pep chromosome:LupAngTanjil_v1.0:LG15:15478950:15483173:1 gene:TanjilG_08693 transcript:OIV96832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLDSIECVSYSDGMDEDEIHLHHHHSEFSSTKPRNGSTNHNISMVSTAIAPATSVHELLECPVCTNSMYPPIHQCHNGHTLCSTCKTRVHNRCPTCRQELGDIRCLALEKVAESLELPCKFYSLGCPEIFPYYSKLKHETVCNFRPYSCPYAGSDCSVVGDIPFLVAHLRDDHKVDMHTGCTFNHRYVKSNPREVENATWMLTVFHCFGQYFCLHFEAFQLGIAPVYMAFLRFMGDENEARNYSYSLEVGGNGRKLMWEGMPRSVRDSHRKVRDSHDGLIIQRNMALFFSGGDKKELKLRVTGRIWKGQQNSDARVCIPNLCS >OIV96571 pep chromosome:LupAngTanjil_v1.0:LG15:17958764:17959924:1 gene:TanjilG_28428 transcript:OIV96571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQFKSSAMSTHTDSSPIPSITTLPLNLHFNLHHVLPLLLLLFILIQFPPPATAQLPNTLTPPPPDNTISNVQFNKSMVMALVILVVVFVLLGFVSVYTRQCTERRMRGRHDLSIPIIGSNHRPRGLDREIIETFPTFIYSTVKSLKIGMATLECAVCLNEFQDDEKLRLIPVCNHVFHAECIDAWLVNHSTCPVCRANLVSTPSEVVPFMTIQLPDQTDPEPDPVHVDEFSGRQGTVMKESPKLSNNNSVNQNRPRRSRSTGFRFTNLLPRSHSLVQRGENLERFTLRLPEEVRNQLVTSTLSRTKSLGVAFTPESSERRGYRTRSVGSGCGRNNLERLDQSDRRMFRWMSRAGSNISKKVTEFNKDDVGERSSDRLFSGKENDM >OIV96831 pep chromosome:LupAngTanjil_v1.0:LG15:15484374:15486287:-1 gene:TanjilG_08692 transcript:OIV96831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSKATFLLHLRTATNPRRQQPSTISLRFLSFSSPEEAAAERRRRKRQLRIEPPLSALNRTQTQNQPNPNNKSQTPYYLNPNNPKLPEHVTALTGNRLNLHNRILTLIRENDLDEAALYTRHSIYSNCRPTIFTINAVLYALLRQSKYSDLLSLHRFITQAGVVPNIVTHNLIFQTYLDCRKPDTALEHYKQFVNDAPMNPNPTTYRILIKGLVDNGKLERALEIKEEMDSRGFAPDPLVYHYLMLGSARGSDSDRVFELYEDLKGKLGDDLEDGVVYGSLMKGYFLRGMEKEAMECYEEALGVDSKVKMSAVGFNSVLDALSKNGKFDEALALFDRMTREHAPPRRLALNLGSFNVMADGYCAQGRFKEAIEVFRKMWEFRRRPDTLSFNNLIEQLCNNGMIVEAEEVYGEMEGKGVNPDEVTYGLLMDTCFKEDRPDDAAGYFRKMVDSGLRPNLAVYNRLVDGLVKVGKIDEAKSFFELMVKKLKLDVASYQFMMKVLSESGRLDEVLQIVDTLLDDNGVDFDEEFQEFVKVELRKEGREDELAKLMEEKERLKAEAKAKEAEAAEAAKRSARAAVASLLPSKLFGNKETDAESKPEDELGAESESTEKISEAEGSGDGEAKSDSASEQVTTA >OIV96912 pep chromosome:LupAngTanjil_v1.0:LG15:13316638:13320239:1 gene:TanjilG_00494 transcript:OIV96912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQAGNNFCADCGTPEPKWVSSSFGVFICIKCSGIHRSLGSHISKVLSLKLDEWTDEQVDALAMLGGNRILSKKYEACIPSYIKKPKSHSSIEERSDFIRRKYEHLQFQECDDHSPCPIIPYQGRSSSLAQSSSSCNNSLPDKNPYDKQPTKNCIGHAFRNSWGRKDSEHKSSSKKSTSLAGMVEFVGLIKVNVVKGTNLAVRDVMTSDPYVILSLGHQSVKTRVIKNNLNPVWNESLMLSIPENIPPLKVLVYDKDTFSTDDYMGEAEIDIQPLVLAAKAYEKSTINEPMQLGKCIASKDNTLIRDGVISLDEGKIKQQISVKLQHVERGVLEVELECVPLTQ >OIV96868 pep chromosome:LupAngTanjil_v1.0:LG15:14914758:14915114:-1 gene:TanjilG_08729 transcript:OIV96868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFHLHNPHLHFHFHHHHDKKDMKDIPKGCLAILVGQGEEQQRFVIPVIYFNHPLFMQLLKEAEEEYGFKHKGPIIIPCQVEEFRTVQGMIDREKSHHHHDHHHHDHHHNQHVWCFKV >OIV96569 pep chromosome:LupAngTanjil_v1.0:LG15:17930705:17933072:-1 gene:TanjilG_28426 transcript:OIV96569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALEAVVFPQYPFSYGCNEDYLYSLVGEGPESTGGHAWIKDYGSQTSAEQEKLLLGIINNNIEQNLHATTWDSSSTSVLQNAKEQWDYFHSSPEACTVDQYLTEPNSSLEATTVSTTTTGRRKRRRTKNAKNKEEIENQRMTHITVERNRRKQMNEYLTMLRSLMPLSYVQRGDQASIIGGAINFVKELEQHLQSMEGQKKIKQPHENFGLNGSLPFAEFFTFPQYTTCANQSNNNTSGTTMGQKQRGVAEIEVTMVDSHANMKILSKKCSGQLMKMVVGLQSLRLGILHLNVTTVDDMVLYSVSVKVEEGCQLNTVDEIAAAVNQLLRKIQEEAAFK >OIV96503 pep chromosome:LupAngTanjil_v1.0:LG15:19296222:19299025:1 gene:TanjilG_07895 transcript:OIV96503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEIDEGVNGSESKNSFEGLPPNPTAATVYRQITRNDPLVLPCKNSLVRHSSLKIEVKLSDVSAEPGRVSEECQSDFLPELRSGAHADIGFRSTMEDAYICVDNFMQNFGLKKHIDGPSAFYAVFDGHGGKNAADFACHHLLKCILEDETFPCDIERTVTSAFLQTDNAFAEACSLDATLASGTTALAALVIGRLLVVANAGDCRAVLCHHGKAVEMSRDHKPACSKEKKRIEASGGYVYDGYLNGQLNVARALGDWHMEGMKGRDGGPLSAEPELMTMKLTAEDEFLIIGCDGIWDVFRSQNAVDFARRRLQVHNDPTMCSKDLVDEALKRKSGDNLSAVVVCFQQQPPPNLVAPRSRVHRSFSAEGLRELQSFLDGLHN >OIV96429 pep chromosome:LupAngTanjil_v1.0:LG15:19849222:19850103:-1 gene:TanjilG_09856 transcript:OIV96429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLPCFLLPSVKVTDNPADKLVVVLNENRTAHKVSTLSDNPGLACLALQYIKAYQGDCGAVGGSDAKKPPESQFAEVFAPNCGVKASTLAPITGRFLGCQTKYVHAPEAFSDILIRNQKSLDILYSKNHTQVGAAVTGTDGGSPYFWCVLFSSGKPNSTFAFEGGVAKITKPGCFSGANDVCSGASHWSPLTGICLFATSVIFALGFGFLL >OIV96408 pep chromosome:LupAngTanjil_v1.0:LG15:19951952:19952296:1 gene:TanjilG_09835 transcript:OIV96408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNSSSSSSSLSMDLDDSPETRIQRLIMENPVIIFTRSSCCMCHVMKNLISTIGVNPTVIHLDDEEISALPSSTTPAPAAFIGGTCIGGLDSLVSLHVTGHLVPKLLQVGALSV >OIV96761 pep chromosome:LupAngTanjil_v1.0:LG15:16461501:16463546:-1 gene:TanjilG_19920 transcript:OIV96761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFISDSDHKRVPCYRVLDDNGEIMKFSNYVQVNKEMAVKMYSNMVTLQTMDIIFYEVQRQGRITFYVTCAGEEAVNIASAAALAFDDIILPQYREPGVLLWRGFTLQQFADQCFGNTSDFGRGRQMPIHYGSNEHNYFTVSSPIATQLPQAVGAAYSLKMDGKSACAVTYCGDGSTSEGDFHAALNFAAVMEAPVIFICRNNGWAISTPVEEQFRSDGVVVKGQAYGIKSIRVDGNDALAVYSAVHTAREMAIREKRPVLIEALTYRVGHHSTSDDSTKYRPNDEIEYWKMARNPVNKFKRWVERNGWWSDKDEMEVRSSVRKQLLEAIKLAEKEQKPPLEDMFNDVYDQLPSNLKEQERQLRETIKKYPKDYPSDVPL >OIV97210 pep chromosome:LupAngTanjil_v1.0:LG15:4555901:4560980:-1 gene:TanjilG_15275 transcript:OIV97210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAINEGYSIVKGWEILEHSAVFSPKKAGTPKKNEIVFTAPTGEEISNRKQLEQYLKAHPGGPAVSEFDWGSGETPRRSARISEKVKAAPRPECEPLMKRGKRSPASKKETSGEEKEEAKDVQMQEADDSKDEKDTAEEKNIEKENQDDKRGEDTDVKESTHSGEAKAGENVQVLNDEGKLESAEVSEVSQNKDEEKIGQPQEEANKDGGSGEPQKSETAPAAEAKVELVGENKEEQNKSTNESEGEIKEKEGTKVNSEEHHKVDEINKRTEAELAVNGNHGS >OIV97356 pep chromosome:LupAngTanjil_v1.0:LG15:1889066:1895854:1 gene:TanjilG_07108 transcript:OIV97356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAINGTKRIQTYEEFVKVHALLLTASGLPENLHRLLFQKLAGDTFDGGEHFQIDSSEDGRKRCLVLTSDSMAKESNVFLVDHAWTFRLTDAYKQLHEVPGLVERMGALMCVDIDLNSDANGDRVSSHEESDKPDVVEILESEVQEAREKGDGVLRWLELDDLNIDDDMLLSLELPTRFPDLLALSLYGNKLNILEMIVQEVIKFKHLKGLWVNNNPALANCDGELADAVPEELPELEIYNSSFTNNFGEWALGFCAGIYGKDNPGNVDQVDGSLQSVSTLDLSNRNIHNLINKAFSPICLPNLSYLNIHGNPLDQNSVGDLLDLLRRFSCLHSLEVDIPGPLGGSAIEILESLPNVTELNGISASKILETGKHVIDSVLLPRLPEWTPDEPLADRVISAMWQYLMTYRLADEEKIDETSIWYVMDELGSALQHSDEPNFRVAPFLFMPEGNLASAVSYSILWPTQNVQKGDECTRDFLLGIGEDKQRSARLTAWFHTPENYFTQEYEKHNQKLKSKSLILPAKQGSETRSIRHLDGRPLRVYTDIPHVEEYLTHPEFVVTNEPKDADIIWTCLQIDEDMKKATGITDQQYINQFPYEACLVMKHHLAETLQKAHGSPQWLQPTYNLETQLSQFIGDYYVRQREGLNNLWILKPWNMARTIDTTVTDNLPAIIRLMETGPKICQKYIEQPALFQGKKFDLRYVVLVRSMHPLEIFLSDCFWVRIANNQYSLDRSSFFEYETHFTVMNYRGSINLKNIKDFVREFEEEHQVKWLDIHSRVRNLIRSVFEAAAVAHPEMHNPTSRAIYGVDVMLDSTFQPKILEVTYCPDCTRACKYDTEIVVGGGGFAKGSDFFNNVFSCLFLNETSQVSPL >OIV97043 pep chromosome:LupAngTanjil_v1.0:LG15:11103092:11103562:-1 gene:TanjilG_11560 transcript:OIV97043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVELGFEVDVRMMGTLVRRLCKKGLVHDAEKVFEKMLEKDQRTFEVMVQVLCERKKIDEALGKLNDMVRLGYCPSVIMFDKVIQCLCAEGRVEEGVSTLLLLHENGRVANRITYDVLIKEFNAQGRLVFASILFSFALKQGVVTNREFLTCKVPFV >OIV96681 pep chromosome:LupAngTanjil_v1.0:LG15:17273663:17275435:-1 gene:TanjilG_09223 transcript:OIV96681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKTIRKPTITKHISNDQNQNQQPQKTEKQPSWSVRGFLACRNVQIQQQQPKPQPQPQPRPQQLDEAKQTRKKHEHKKVQTERVTPEENSKKSKKMKCSGSLCNNTKVMARPETGTPDIHKKRVSLSGSCSNNNDGSSSRSMKGPLNEINGVVSASSSSLSEASSGSSAAATSSFRGMPFRRLSGCYECRMVVDPVLGFTRDPSLRSSICSCPDCGEIMKTESLEHHQAVKHAVSELGPEDTSKNIVEIIFHSSWLKKQAPVCKIDRILKVHNTQKTITKFEEYRDSIKAKATKLSKKHPRCIADGNELLRFHCTTFMCSLGLNGTSNLCNSIPQCNVCSIIKLGFKINGGNGNGILTTATSGKAHDKASVSVDECSDKRAMLVCRVIAGRVKKNAEGGMEEYDSVAVAGAVGAYSNLDELYVFNHRAILPCFVVIYSGF >OIV96631 pep chromosome:LupAngTanjil_v1.0:LG15:18624476:18626722:1 gene:TanjilG_28488 transcript:OIV96631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSMERMEKLHAVCIPYPAQGHISPMLKLAKLLHFRGFHITFVNTEYNHKRFLKSRGSDFLNALPSFHFETIHDGLPESDNLDATQDIPSLSDSTGKNCLPHFRNLLSKLNNDSNIPPVSYVFSDGVMSFTADAAQELGIPLVMFWTTSACGFMAYLQYRKLVQKGILPLKDSSYITNGYLDTAIDWVPGMEKIRLRDLPSFIRVTDLNDIMFDFLMVESERTCQRSSAIILNTFDALEPNVLHALSSTISSPIYTIGPLNFLLNDVTDKDLETLGSNLWNEDLECLKWLDTKDPNSVVFVNFGSITTMTREQMIEFAWGLANSNKTFLWVIRPDLVAGENIVLPIEFVTITKNRSLLTSWVPQEQVLGHPAIGVFLTHNGWNSTLESICGGVPMICWPFFAEQQTNCLFCCKEWGIGLEIEDVKRDKIEGLIRELMDGEKGKEMKEKALELKKLGKDSASALVGSSFLNFDNMVHQVLLGKFD >OIV96561 pep chromosome:LupAngTanjil_v1.0:LG15:18828285:18833918:-1 gene:TanjilG_24214 transcript:OIV96561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNPLVARATSDMLIGPDWALNIEICDVLNRDPGQAKDVVKGIKKRIGSRNSKTQLLALILLETIVKNCGDIVHMHVAERDVIQEMVRIVKKKPDPHVREKILILIDTWQEAFGGPRARYPQYYAAYQELLRAGAVFPQRSEQSAPVFTPLQTHPLTSYPQNIRDSDARLGTAESSVESEFPTLSLTEIQNARGIMDVLSEMLNAIDPANKEGLSQEVIVDLVEQCRTYKQRVVHLVNSTTDESLLCQGLSLNDDLQRLLAKHESISSGTSAQNHTEKPKTEPSGALVDVDGPLVDTGNTNNQTHGSSASTEAGSQTLNQLLLPAPPTSNGSALPANPKFDLLSGDLLSGDDYNSPKTETSLALVPVGEQQPASPISQQNALVPFDMFSNGSNASTSVNAQPTNAVGPTNTLGPQFQQQQSFISQGAFYPNGNVPNGGSPRYGQSPYAQSTDPAWNGQAAQQQQQPSPVYGTPSIGSLPPPPWEAQPTDNGSPVAGSQYPQPLQPTQMVQNAAYPQGPQSIGNDHAAGMYMQPNANSHLSTFNNHVGQSNQVSMHPQYIQGAAGPYMGMVPQQMQNGPAASMYPQSMYPQQMYGNQFTGYGYGQQPGVQYVEQQMYGLSMRDDSGLRNPHQVSTASYAPSGKPSKPEDSLFGDLVNMAKVKPKSPPGQAGGL >OIV96451 pep chromosome:LupAngTanjil_v1.0:LG15:19693294:19694151:-1 gene:TanjilG_09878 transcript:OIV96451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSTNHISRSSGSDSGSADWISDTIHGGSLRHVDLNTGTNGWASPPGDVFNLRSKSYFTKRHKSPAGDYLLSPAGMDWLKSTAKLDNVLSRADNRVANALRRSQANNNSMKSFIFAVNLQIPGKEHHSAVFYYATEEPIPSGSLLGRFVEGDDNFRNQRFKLVNRIVKGPWILKKAVGNYSACLLGKALTCNYHKGPNYLEIDIDIGSSAIANAILHLALGYVTSVTIDMGFVVEAQAEEELPEKLIGAVRVCQMEMSSATVVDAPPLQTPRGIGCNKVNHHNKS >OIV96573 pep chromosome:LupAngTanjil_v1.0:LG15:17971177:17977531:-1 gene:TanjilG_28430 transcript:OIV96573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTFKKEDENPNLTQPLLPKEVDIIHTQNQEQQDQTRIEFGKKVWIETQKLWFIVGPSIFSRVAAFTMNVITQAFAGHLGDVELASISIANTVIVGFNFGLLLGMASALETLCGQAFGAKRYHMLGIYMQRSWIVLFLCCFLLLPFYIFATPFLKFLGHPDDVAEWSGVVAIWLIPLHFSFAFQFPLQRFLQCQLKTVVIAWVSLLALVVNIVTSWLFIYVWDFGVIGAAIALDISWWVLVFGMFSYTACGGCPLTWSGFSMEAFSGLWEFLKLSAASGVMLCLENWYYRILVLMTGKLGNATVAVDALSVCMTINGWEMMIPLAFFAGVGVRVANELGAGNAQGAKFATYVAVVQSSVIGVIFCVIIMIFHDQFAYIFTSSPVVLQAVDKMSILLAATILLNSIQPVLSGVAVGSGWQAFVAYLNIGCYYIIGLPLGILMGWFFKTGVGGIWGGMIFGGTAVQTVLLIIVTARCDWEKEAEKATSRVKKWSTSTPNDKFEAVS >OIV96356 pep chromosome:LupAngTanjil_v1.0:LG15:20271297:20275175:1 gene:TanjilG_09783 transcript:OIV96356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLSLSLLFSSPSPPSPPLPPHRPIFHRLLRTATAASATDYVSTSTSASTSNLTPKVVVTRERGKNAKLITALAKHEINCLELPLIDHTRGPDFDKLPSVLSDNAFDWIIITSPEAGSVFLEAWRAAGMPHVKIGVVGAGTASIFKETLQSSEQSLHVAFTPSKATGVTLAAELPKTGIKPAVLYPASAKASHEIEEGLSRRGFEVTRMNTYTTVPVQHVDQIVLRQALAAPVVTVASPSAIRAWKNLLSDSEWSNSVACIGETTAAMARRLGFSNVYNPTQPGLEG >OIV96910 pep chromosome:LupAngTanjil_v1.0:LG15:13266502:13267888:-1 gene:TanjilG_00492 transcript:OIV96910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIKRKKTTGTSEKSKKMKMIQKTSPPPSPEPESPIRAIVCLKQIGDMKRFEDTEDCFILGFDPTEAVVTSKLSLDKNQPLSDDVAIIYEKGQCYCYVCEKVAPCSYWTLAQHCNAENVDYWKNQRKIVRKQIPLAAATRCP >OIV96502 pep chromosome:LupAngTanjil_v1.0:LG15:19301304:19306756:-1 gene:TanjilG_07894 transcript:OIV96502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKRGVKKSLATLCGTFHEETTQEGDDKETNRIELDQISKDEGSQYSLTGLLLPPLGATAASNRRHKLRSFIISPYNPRYRLWQSFLVVLVFYTAWVCPFEFGFLIKPKNALQVTDNVVNAFFAIDIVITFFVAYLDKATYLLVDKPKLIAWRYARTWLVFDVISTIPSEFAPDILPESLETYGYFNIFRLWRIRRVSAMFERLEKDRHYSYFWVRCSKLICVTLFTTHFAACLFYFIAIHKEQKSTWLSIVADTADDSLWGHYITSMYWSISTLSTVGYGDLHPVNTKEMVFDIFFMLFNLGLTSYIIGNMTNLVVQLTSRTRKYRDTVHAALGFAQRNQLSNRLQEQMLAHLFMKYRTDSEGLQQQEIVDTLPKAIRSSVAQYLFDTHVKNVYLFHGVSEDLRFQLVTEMKAEYFPPREDVILHNEAPTDLYILVTGAAIIGEAHSSDVLGEIGVLCYRPQLFTVRTKRLTQLLRLNRTTFLNLAQSNVGDGTIIMNNFLHHLRESKFPGMEIIMAETEAMLARGKMELPLSTCFAAERNDDVLLQRLLKKGSNPNEVDKEGKTALHHAASKGNEHCVVLLLEYGADPNIKDFEGSVPLWEARLIRHKTIMKLLIDNGADISCANPGHVACTAAAQNNLELLKEVVQCGFDVTQPNRSGTTALHAAVCEGNTEMVQFLLDQGASIDKIDENGWSPRVLADQQGHEEIQNIFNNSNENRKPPVTPPSLSNNGIKSFMRFQSDPGMSLVSKANMQHKQDLKLENQERLIRSRSFHNSIFGMISAANRGKGASMAAENSNTSTRDMHEVVAPRVILSCPEKGAHAGKLVLLPKSLQELLDIGARKFDFSPTKVLTKEGAEIDDINLIRDGDHLFVAQD >OIV97065 pep chromosome:LupAngTanjil_v1.0:LG15:10278193:10279640:1 gene:TanjilG_14610 transcript:OIV97065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVILYSPTSESGLQKLDEYLLTRSYISGYQASKDDLTVYALLSGVPSDKYVNVSRWYNHIDALLRISGVSGEGSGVTVEGSALVAEEAIATPPAADTKASIFLIIVLLAFWASAAEDDEDDDDVDLFGEETEEEKKAAEERAAAVKASGKKKESGKSSVLLDVKPWDDETDMKKLEEAVRSVQQEGLFWGASKLVAVGYGIKKLQIMLTIVDDLVSVDTLIEETLTVEPINEYVQSCDIVAFNKICKYRSLMLKFGFYFSNNPR >OIV96736 pep chromosome:LupAngTanjil_v1.0:LG15:17852964:17857700:-1 gene:TanjilG_09278 transcript:OIV96736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKRRMVSLGTLRRFLAATMCSISIISLFLLHVHVSPSPTDQKLNDKIPTHHDPQRWTRELTPPHLSKALIAPPKLKDSIGNSDYEKLWKPPSNRGFLPCTKPSSNYTAPVESRGYLSVHTNGGLNQMRTGICDMVAIARMINATLVIPDLDKKSFWHDTSNFSDIFDEEWFISSLAKDVKIIKKLPKSRAKPTRIVKQFRSWSGKKYYENEIAAMWDHYRVIRASKSDSRLANNNLPSDIQKLRCRACFEALRFSPRIEQMGKMLVERMRSSGPYIALHLRYEKDMLAFSGCTHDLSTAEAEELRTIRKGTAYWKRKDIDPREERSKGFCPLTPKEVGIFLTALGYPPKTPIYIAAGDIYGGESHMTELQSRYPLLLSKEKLASIEELEPFTSHASQMAALDYIVSVESDVFVHSYPGNMARAVEGHRRFLRRGRTISPDRKALVRLFDKLANGTMVEGKTLSNKVIELHKNRLGLFKKRKGPISGTKGADRFRSEESFYANPSPDCFCRTEKNHLI >OIV96580 pep chromosome:LupAngTanjil_v1.0:LG15:18088598:18090633:-1 gene:TanjilG_28437 transcript:OIV96580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEETNHRSSFPDLSRVIITEPGSSPGSGYLSPLPDQVLEIVLENILHFLTSRRDRNTASLVCWSWYRAEALTRPDLFIGNCYALSPNRVTSRFGRVRSVTIKGKPRFADFDMMPLNWGAHFTPWVVAMAKAYPWLEKVHLKRMSVTDDDLIRLSESFVGFKELVLVCCEGFGTTGLAAVARNCRLLRVLDLVESMVEVADDDDEVDWISCFPEGQTHLESLVFECVECPVNFEALESLVTRSPSLRKLRLNRYVSISQLYRLIYRAPHLTHLGTGSFSAPQAVAFGDQEPDYTSTFAACKSLVCLSGFREIWADYLPAIYPVCANLTSLNFSYADVNVDQLKPIIHHCHKLQTFWVLDSICDEGLQAVAATCKDLRELRIFPVNTREENDGPVSEVGFEAISQGCIKLQSILFFCQRMTNAAVIAMSKNCPDLVVFCLCIIGRYRPDPETHEPMDEGFGAIVKNCKKLTRLAVSGLLTDRAFCYIGMYGKLIRTLSVAFAGDTDQGLKYVLEGCTNLQKLEIRDSPFGDGALCSGLHHFYNMRFLWMSSCKLTRQACQEVARMLPHLVLEVISSAEDKSDDVDILYMYRSLDRPRDDAPKVVTILH >OIV97517 pep chromosome:LupAngTanjil_v1.0:LG15:428402:428782:-1 gene:TanjilG_11041 transcript:OIV97517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPGHNYFERGNLDIFSGRGACLNGPVCAVNVTSDGSGAHHGWYVNYVEVTSTGVHKTCNQKQFTVEQWIATDTSPYQLWAQRDYCGNQSGLGLGLGLRLARPKTKVVDDVGSRSGYSILDSGVHV >OIV96514 pep chromosome:LupAngTanjil_v1.0:LG15:19214328:19216667:-1 gene:TanjilG_07906 transcript:OIV96514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGGGSRRNEGSSIINNTNIFAALDTLKKKNKNKNKNNSDKKSHPQSSKGSESESDPQVFWTPSPLNSKSWADIDDDDDYYATTAPLKSLWTIPQQLPHLNNHHKPSIVEDSESEEDILLDDGDDDAEEEHDHEPEYSVKPEPEVKKHTEVPVAPKEAEHQLSKKERKKKELAELDALLADFGVTQKESNGKDVSQGASQDKKAVEVDGEKKDNITAESKNAKKKKKKDKASKEVKESQDHPNNINTNNEPDDATGAENVEEGTSTVDVKERLKKIASVKKKKSSKEMDVAAKAASQEAAARRARLAAVKKKEKNHYNQQPVR >OIV97323 pep chromosome:LupAngTanjil_v1.0:LG15:2287738:2287980:1 gene:TanjilG_07075 transcript:OIV97323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAKRSSFCGIFKACFSSGGRYDEYMEGSGNGSGNGRRIFASDEDRGRWIAEPGIDRKASDFIARYYASRVTDSESKFAS >OIV96547 pep chromosome:LupAngTanjil_v1.0:LG15:18930536:18940160:1 gene:TanjilG_24200 transcript:OIV96547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEGGGEEEPEFKWGIKGMLGGKKKDVQFYESFRYDGVDYKRYDSVYFYKEGEPEPFIGKIIKIWENADKSKKVKVLWFFRPCEILNFLEGIETLENELFLAYGDGLGLANINPLEAISGKCNVVCIAKDSRNPQVSDEEFQDAEFVFSRFFDVGKRNILDRIDDDKIADIEVKNIFNNLDSQKAVGVVKLDLDKKEGSGKVRTSNEVVDLPNQKNSQPVMGKANGKGFATLVRENLVSKPSSGEKPISRNGVIEASKSGDKTMPQAKVKGNGISNASLVKQKSSTKPSYGSNDCGMKRITKSNDKQGNISGEKTPSRSKVDSEKGECNVVGGLVGNINKGSTEANIEKEKSSNSIKVSNEKLKRDLQNQRLVSNDGDMNKISPSPSKSDSEKGGCKVGNLVRKINKGSIDEKGKQKCGDSNLQSRRIVSNDDAKKVATSSSKDKYKLQREKDFSDGEDVPSKKLKLDHKPMRLSDDKLRKKPTDSLNLEQKLNRCANDVTRRPDTPWEERMKSAYEQGTLVLLKNLDPSLTSGEVEHVSLRDYYIPLPGSPLVGSIGRPCFPEKKPIFYGHHVVDQHRTHMQREMKDAVSTSHCSQPNNIEYEMALEWCLLQERGDKAWSRLFKVYIVCVMWH >OIV96730 pep chromosome:LupAngTanjil_v1.0:LG15:17802682:17803878:-1 gene:TanjilG_09272 transcript:OIV96730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIIIKSFKTLTQSLQNPNHLFTSQFSTSFLVTKTPKAFKKKRKPKPSPRTTLVQTEPNQNPNLERIVERDSLFRFVTKSKQYLTNQPEHVLRLDDAGKLHRELGFPRGRKVLRSITRHPLIFQTYRHTDGKMWFGFSDLMEDLLAEEQSIMDSMERDRVDKVRKLLMMSAKKRIPLCKIHHCRALFGIPDDFRDRVSRYPNYFHVCVEENGLRVLELVDWDPLLAVSAIEKEFMVDEKGAKRKFNFPVKYGKGLDLELEDAKKLNLLNTLPLVSPYSDGARLDLWTLEAEKYRVGVIHEFLSLTLEKRAYIHHLVEFKEEFSLTKHTYQMLLKQPRAFYLAGTEMNWVVFLKDGYDKNGVLIEKDPQVLFNEKLYKYAQMQEMEQGAQVGMEKLHLT >OIV96332 pep chromosome:LupAngTanjil_v1.0:LG15:20416398:20418232:1 gene:TanjilG_09759 transcript:OIV96332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKSKILIIGGTGYIGKYIVEASAKASHPTFVLVRESTLSDPAKAKIIHNFKTLGVTLVPGDLYDHEKLVKAIKEVDVVISTVGHLQLADQGKIIAAIKEAGNVKRFFPSEFGNDVDRVHAVEPAKSAFGIKAQIRRSIEAEGIPYTYVSSNCFAGYFLPTLAQPGAFAPPPPKDKVIILGDGNPKAIFNKEEDIGTYTIRAVDDPRTLNKVLYLRPPHNIYSFNDLIALWEKKIGKTLEKTFVSEEKLLKDIAESPIPINVVLSINHSVFVKGDHTNFEIEPSFGVEASELYPDVKYTTVEEYLQQFV >OIV97133 pep chromosome:LupAngTanjil_v1.0:LG15:7435481:7438242:-1 gene:TanjilG_00162 transcript:OIV97133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAYRADDDYDYLFKVVLIGDSGVGKSNLLSRFTKNEFSLESKSTIGVEFATRSIRVDDKVVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTRHVTFENVERWLKELRDHTDANIVVMLVGNKADLRHLRAVSTEDATSFAERENTFFMETSALESMNVENAFTEVLTQIYRVVSKKALDIGDDPAALPKGQTINVGSRDDVSAVKKAGCCSA >OIV97179 pep chromosome:LupAngTanjil_v1.0:LG15:6045692:6064381:1 gene:TanjilG_28930 transcript:OIV97179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFGTLRRYFSMRHQNSNKVQTLYDHCNTIFSSFETHPPSSQALQKLASILDTVQPADVGLIEESADDDRGHGFFGINQLNRVARWAQPITYVDIHECDNFTMCMFCFPTSSVIPLHDHPGMTVFSKLLYGSLHVKAYDWVEPPCIVESKGPGSAQARLAKLSVDKVLTAPCETLVLYPKHGGNLHCFTAVTPCAVLDILSPPYREYEGRKCTYYHDYPYSAFSTGKGPGIEISMICDDEAEEYAWLAEIETPDDLYMRQGKYAGPVIQL >OIV97061 pep chromosome:LupAngTanjil_v1.0:LG15:10221408:10225613:1 gene:TanjilG_14606 transcript:OIV97061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRCNSQLQSSQTEVLLQLKKHLEYPKQLEIWKDRWTELCSISSSAQVNVSCKDNFVTGLIILGDKPTQVRGFDGFSIPNQTLSQSFSVDSFVATLSRLTNLRVLSLVSLGIWGPLPDRIHRLFALEHLDLSSNYLYGSIPPKISTLVNLKTLTLGDNFFNDTIPTLFNSSSNLKVLILKNNRLKGPFPSSILSITTLTYIDMSRNQISGSLQGFTGLSSLEQLDLSNNRFDSSLPSMPKALIRLSLSRNSFSGEIPKHYGQLINLQQLDVSFNSLTGTTPAEIFTLPNITYLNFASNILNGSLQNHISCSNQLKFVDISYNKLVGVLPYCMSNKSKNRVVKSDGNCLSGNVQHQHAVPYCTETHVMKKSYKVGIFVGAIVGVLMIIVVLALCIVVAWRRYFSGGMQEQHLLHKTVQDNYSAGFSSDLVTNARYVSETAKLGREDFPVCRSYSLEELKEATNNFDNSTFMGENLYGKLYKGKLESGIQVVIRRLPLSKKYSIRNFKLRLDLLAKLRHPHLMSFLGHCIDGVIGEHNDTKVYLVYECVSNGTFQNYLSANSSGKIFNWPERLSVLVSIAKAVHFLHTGMIPGFFKNRLKINNILLNQHWMAKLSDYGLSIISEETDACGVTGESPNSWQMKKLEDDVYSFGFILLEALVGPSGSAKREAALLSAMASFSTHQDGWKQIVDPVVQATCCKESVFIVISITNKIISPESWSRPSIEDVLWNLQYASQVQATADGE >OIV96666 pep chromosome:LupAngTanjil_v1.0:LG15:17121611:17122906:-1 gene:TanjilG_09208 transcript:OIV96666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNVIESFASGFGEAVGKLFNSPVEFLSGKSCSSVCGPTWDLVCYIENFCVANLFKLALVLVLVYIVLLFLYLLHKLGICGCFGRTVCKLMWSCFSCCFHVCEYSCTFLCVKLQRVKRKRRRVRVQKLYSTTDEDYSDESLSYHVPISSEEISRSFSRRRGYKSSHLRKSLRPRNYHARVEISRNLSYKNKRNHSHSSGDTSYTSDAIKYGNHRSTVHDIKVARTSKFARKGVNNRTKVLQRRT >OIV96489 pep chromosome:LupAngTanjil_v1.0:LG15:19383394:19384263:1 gene:TanjilG_07881 transcript:OIV96489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPISVEELYIFHRIDREVFFRLVIRLARNPAQSLLVMAFWLWIESIKYPKFITKLVGLSDPLINTFANEAVTGLRCLEMEYDDTPESGGGLPLTTILMQEEISLQFFRQKRFTIIVGIRCVLNKICARIFTDILQYLLGSANTSTSISYPSHYRPLVVPGFPHPLFGTFTIPPINFEELDLFDPRIWITMHGYDDGATDDDKTMFLTFSRGFPVTKEEVWHLFTRIYGDCIKFLNMGNGNINDQVLFATMVLNNVEIVDRILNGMHVAKFRLNGKHIWARKYERRDYI >OIV96347 pep chromosome:LupAngTanjil_v1.0:LG15:20324970:20328559:1 gene:TanjilG_09774 transcript:OIV96347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTLSPLQDPNLSLNITQPIISDSEARQVGNYNGLTLTTKTLANMCSTTSDSGSGSNGSVGLFHYESTINNLVHGEPTLSLGFETTDLNPRPEVQGRNFNHQFHNYQPRIYGCDFKRNARVVKRSMRAPRMRWTTTLHAHFVHAVQLLGGHERATPKSVLELMNVKDLTLSHVKSHLQMYRTVKSTDKGTGHGQTDMSLRQRPGIVELYGVVASCERSNLPQLMQKSHREICQSSHSKQKPEINLMYSHLNGNEFYMSGQSYGGQWNCIKEKIDHSSLSRSKVMLDLEFTLGSPVLQTDQADSLRELTLLKC >OIV97274 pep chromosome:LupAngTanjil_v1.0:LG15:2740525:2741448:-1 gene:TanjilG_07026 transcript:OIV97274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPVSGSITLHSSLCTFSSDKPSSSSSSSSRSTNNLSVASKPKTLLQNHPLYTPINAKLSIQFKEKILCLEILGIDAGKALSQNLELHAATMESIHSIISFLLSKGIHQKDLPRIFGMCPKILTSNIKTDLNPVFDFLLQELNVPDHSFRRVVKKNPRLLTSSVMDQLKPALFYLKRLGFKDLEALAYQDSILLVSNVEGTLIPKLKYLESLGFSKDEARIMVLRCPSLFTFSIENNFQPKFEYFSVEMGGKLEELKEFPQYFAFSLEKRIKPRHLEVVQSGIQLPLSVMLKSTDEAFVELINQVGG >OIV96317 pep chromosome:LupAngTanjil_v1.0:LG15:20492761:20497515:1 gene:TanjilG_09744 transcript:OIV96317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKRATPDPVAVLRGHRASVTDVSFHPFNPILFSCSSDGEVRIWDTLQHRTLSSSWLHSAAHGIVSVATTPSLPTNQFLRKPKFIQIVYACSQGRDGTVKVWDFSDAGLSRIPLLTIETNTYHFCKLSTVKTPSALSKNGKESEFRRTPNGEIPEDKKDYDDQPCFESCEDNMHHEGLQYVALSGENSSQVEIWDLKSAERFVQLPSNITSNSSSVGNKDRGMCMALQLFLPSESQGFLNVLAGYEDGSMLWWDVRNPGVPLTTVKFHSEPVLSICIDGSCNGGISGAADDKIVIYSLEHSTGTCVFKKEISLERPGISGSSIRPDGKIAATAGWDHRIRIYNYRKGNALAILKYHRATCNAVTYSSDSKLMASASEDTTVGLWELYPPQI >OIV96772 pep chromosome:LupAngTanjil_v1.0:LG15:16609833:16610991:1 gene:TanjilG_19931 transcript:OIV96772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLYHHPFDLDSQKVRLALEEKGIDYTSYHANPVTGKNLDSSFFKMNPSGRLPVFQNGSHIIYKTIDIIQYIERIAEVSSGSETISTSGREVTEWMQKIQEWEPKYFSLLHIPEKHRVYVSKFIRRVVIARMAESPELAGAYHKKLREVYQTEEKLKDTDVLRRSKEHLVRLLDEAERQLSETRYLAGEQFSMADSMFTPLLARLVLLDLEGEYITSRPNIVKYWFMVQQRPSYKKVIGKHFDGWRKHKTLLKTWCFVCIRSFLKRY >OIV96493 pep chromosome:LupAngTanjil_v1.0:LG15:19354498:19356602:-1 gene:TanjilG_07885 transcript:OIV96493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSTVTSKSPSQSSSSSSSSSSSSKRRWTKNISTIAARIFFLLIIFQIPLFRSGVCTTPLHVTSSQLIASEVFPLPVVKALLYPGAAVNGLIHNYSIPKWNDLFQLYNLTNAKEASPVTDLQRLEVLAGSYFIVAGALVGLVKPGRMGMFGTLLVIWGLVKEGILGKPVTTDPSKAVYVYPTLVIAMICAFLSVKYDVKKIVRSAPVRSTAKPLQGSSKSKLK >OIV96904 pep chromosome:LupAngTanjil_v1.0:LG15:13195700:13197194:-1 gene:TanjilG_00486 transcript:OIV96904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTILSSETMDIPDGVSIKVHAKVIEVEGPRGKLVRDFKHLNLDFQLITTEEGKKKLKVDAWFGSRKTSASIRTALSHVDNLITGVTKGYRYKMRFVYAHFPINASISGDNKAIEIRNFLGEKKVRKVDMLDGVSIVRSEKVKDELVLDGNDIELVSRSCALINQKCHVKNKDIRKFLDGIYVSEKGTILEE >OIV97110 pep chromosome:LupAngTanjil_v1.0:LG15:8535156:8547931:-1 gene:TanjilG_10056 transcript:OIV97110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTPTTQSPLSSLDDSLCDVDDYVSANEPKGFALDIFRDVCELVHDGNAAFRENRMEEAINFYSRANNIKYGDPIILSNRSAAYIRISQYLKNRAPSASEQRPLSGLDPTTLAELGLKDADKLLDLQSNSVKPYILKANALSLLEKFEEARDVILSGLHVDPFSNSLRTSLQNLERESCITGTNTHGQPERNDDFDCTLCLKLLYEPVTTPCGHSFCRSCLFQAMDRGNRCPLCRTVLFFSPRTCAISVTLKNIIEKNFPEEYAERKQEHDSLINSGVDLLPLFVMDVVIPCQKFPLNIFEPRYRLMVRRVMEGNHRMGMVIIESSTGSLAEYACEVEITECEPLPDGRFYIEIESRRRFRIIRSWDQDGYRVAEVEWIQDIMPAEGTREKETLLELIHNAAESARSWIRRAKEAARLDQRRLDRVASIEAMMPSLGDPERFSFWLATLSNRRPSERLDLLSMRDTTERIRRGLIYLGAEEQGCRIQ >OIV97376 pep chromosome:LupAngTanjil_v1.0:LG15:1751174:1752706:-1 gene:TanjilG_07128 transcript:OIV97376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFGSNPFSFLTQQHYSQFHNSFQNMDSNLNNNNNKRDHQGRGNNDSDVKEILSSILLLDEEEKQDQQNRLVESQQRRAMLDSSYDHQAREMNHYMDQFQTHHTQLDELEHVRAKRIRRSTHVVGVAAESDCISLSHGSAELSSAEPDLKTDTLVQQQPRRLWVKDRSKDWWDRCNHPDFPEEEFKQWFRMSKATFEMICEELDSAVTKKNTMLRDAIPVRQRVAVCIWRLATGDPLRLVSKRFGLGISTCHKLVLEVCSAIKNVLMPKFINWPSETKMKMIKDTFEGISGIPNVGGVMYTTHVPIIAPKISVAAYFNKRHTERNQKTSYSMIVQGVVDPKGVFTDIFIGLPGSMPDDKVLESSALYQRAQRGTLKDVWIVGNSGYPLMDWVLVPYTHQNLWTQHAFNEKIGELHKVAKDVFGRLKGRWSCLQKRTEVKLQDLPNVLGACCVLHNICEMRNEEMDPKWKYEIFDDEMVAENGIRSAKSMQARDNIAHYLLHHGHARTSFV >OIV96264 pep chromosome:LupAngTanjil_v1.0:LG15:20755481:20775646:-1 gene:TanjilG_05104 transcript:OIV96264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALMELCDLIALNPPQFHDKLSWICDKCPSPENLSSGSPRVSRSQLNAVLAVARFLSKSSDSADLRTKSLTLDFLRSIPLSFTQSFWPHPYTAESVSSFFTDFLGYISKATESSPDFAEEVAAFFGEVVLSAIGGNGTEQQQSPIARAFLIALSQNSLPISSSDADKLVTSLIEQFAFPTAVPGIPQEQIDISSSQSSPLSTNHQSQTIGSPVNEATSNVSGSSSGAASNDTSTASSRAATSNGGSHIWKSNADQLALNLGLNDGVTSSGQQVASFEEESVGVLEKQEIAFKLIAHILEKVQIEPALLEQVRLIGKKQIQSMSAFLKIRKRDWHEHGSLLKARINTKLSVYKAAVRLKIKSIAARDSSDPKSVKRLVYEAVAILIDAAEACLLSVWRRSRMCEELFSSLLMGVAQIAISRGGQPLRILLIRLKPIVLNVCAQADTWSSNQGAMFESVTKASCKVIESCWTNERAPVETYIMGLATSIRERNDYEEQDNKEKPVPYVQLNVIHLFAELSIAVNKAELINTILPLFIESLEEGDASTPSLLRLRLLDAVSRMASLGFEKSYRETVVLMTRSYLSKLSSVGSAESKTEAPEATTERVEIRKRDWHEHGSLLKARINTKLSVYKAAVRLKIKSIAARDSSDPKSVKRLVYEAVAILIDAAEACLLSVWRRSRMCEELFSSLLMGVAQIAISRGGQPLRILLIRLKPIVLNVCAQADTWSSNQGAMFESVTKASCKVIESCWTNERAPVETYIMGLATSIRERNDYEEQDNKEKPVPYVQLNVIHLFAELSIAVNKAELINTILPLFIESLEEGDASTPSLLRLRLLDAVSRMASLGFEKSYRETVVLMTRSYLSKLSSVGSAESKTEAPEATTERVETLPAGFLLIASGLTSDKLRSDYRHRLLSLCSDVGLAAESKSGRSGADFLGPLLPAVAAICSDFDPTLNVEPSLLKLFRNLWFYVALFGLAPPVQKTQLTTKSVSSTLNSVGSMGKTALQAVNGPYMWNMEWSSAVQRIAQGTPPLVVSSVKWLEDELELNALHNPGSGRGSGNEKAALAQKAALSAALGGRVDVPAMTTISGVKATYLLAVAFLEIIRFSSNGGILNGGTAMDAARSAFTCVFEYLKTPNLMPAVFQCLTAIVHRAFETAISWLEDRVSEIGHEAEARDSILTMHTCFLIKSLSQREDHIRDIAENLLPQIRDRFPQVLWDTSCLDLLLFSFNDDSSSTVINDPAWTATVRSLYQRIVREWIVKSLSSAPCTSQGLLQDKLCKANTGQRAQPTIDIVLLLSEIRIGSGKNDWPIQTANIPAVMAAAAAASGANIKASESFNLEVISSGKCNQAAATVKCNHAGEIAGMRRLYNSIGGFQSGTTPGFGLGVGLQRIISGAFPQQPQADDDSFNGMLLNKFVRLLQQFVNIAEKGGEVVRSEFRDTCSQATVLLLSNLSSGSKSNVEGFSQLLRLLCWCPAYISTHDAMETGVFIWTWLVSAAPQLGSLVLAELVDAWLWTIDTKRGLFASEVRYCGPAAKLRPHLSPGEPEAQPEINSVEQIIAHRLWLGFLIDRFEAIRLQSVEQLLLLGRMLQGTTKLPWNFSRHPAASGTFFTLMLLGLKYCSCQFQGNLQKFQIGLQLLEDRIYRASLGWFAHYPEWYDTNYSNFAQCEAQSVSLFVQYLSNVKGDVVQLGSKGNGQENGNPLADVNDHHHPVWGQMENYAVDREKRRQLLIMLCQHEADRLEVWAQPTNTKESVSRPKYSSDKWVEFARTAFAVDPRIALSLASRFPTNAFLKTEVTQLVQGHIIDVRNVPEALPYFITPKAVDDNSVLLQQLPHWAPCSITQALEFLTPAYKGHPRVMAYVLRVLESYPPERVTFFMPQLVQSLRHDDGKLVEGYLLRAAQRSDIFAHILIWHLQGETVPEPGKDPNIGKNGSFLELLPAVRQRIIDGFSPKALDIFKREFDFFDKVTSISGVLYPLPKEERRAGIRRELEKIELEGDDLYLPTAPSKLVKGIIVDSGIPLQSAAKVPILIAFNVADRDGDQNDIKPQGCIFKVGDDCRQDVLALQVIALLRDLFEAVGLNLYLFPYGVLPTGPERGIIEVVPNTRSRSQMGETTDGGLLEIFQQDFGPVGTASFEAARQNFIVSSAGYAVASLLLQPKDRHNGNLLFDSAGRLVHIDFGFILETSPGNNMRFESAHFKLSHEMTQLLDPSGVMKSETWSQFLSLCVKGYLAARRHMDGIITIVALMLDSGLPCFSRGDPIGNLRKRFHPEMSEREAANFMTHVCKDAYNKWSTAGYDLIQYLQQGIEK >OIV96277 pep chromosome:LupAngTanjil_v1.0:LG15:20859930:20861560:-1 gene:TanjilG_05117 transcript:OIV96277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLKCANVSNPYHQCTHACMQKNSNHTNPHRNNKTSNVAPSTFDRKKKVGSKPEPPVIDSVPASKVGAIYLSGASSPISHYPENKKVDQSVQQIPSKHISEEIHVQDIMPVNQKDHLKDVTKQLANPIETNEEDKIASHKVVPITNVDDTTGQGITTSLSGSINFSFSGIPGDNEDSGDEGETKSVVSETRIPVGNYHVKESFGPILQSILDKYGDIGATCHLESHVIRSYYIECVCFVVQELQSSSIIGLRNSKVKELLDILKDVEYAQLRVAWLRTILDEIAENIELIDQNQDVKVTKTNSDNEMESLREELELKVEALAEKENEVADMKRRIPEMRDRLNQLELKSCELDKSMLSMKSKIDNLHSKSLIDELF >OIV97063 pep chromosome:LupAngTanjil_v1.0:LG15:10233543:10233977:1 gene:TanjilG_14608 transcript:OIV97063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYNMRKDTEFEGVLRYFDEDGDGKVSPSELRHRLSMMGGEILLKEAEMAIEALDSDGDGFLSLEDFIVLMEEGGEEEKLKDLRDAFQMYDTEKCGFITPKSLKKMLKKMGESKSINECEVMINKFDLNGDGVLSFEEFRVMMQ >OIV96777 pep chromosome:LupAngTanjil_v1.0:LG15:16179177:16179835:1 gene:TanjilG_18090 transcript:OIV96777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHCARASSVRMRPDQQTRSGFDAPGPWRLGQNACAKACALRSECEPRAGALMAMHCGAWIPYFFGHEMRPDQQTRSGFDAPGPWRLGHNACAKACALRSECEPQGGALMAMHCGA >OIV96586 pep chromosome:LupAngTanjil_v1.0:LG15:18138360:18140366:-1 gene:TanjilG_28443 transcript:OIV96586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSFDCVSSLLCAEDSNSVFDEGHSVYEDTWQHRRNHQRHVFGESYELPLQDDECFAMMVEKEQQHWPGVVYLNRLHSGDLDFGARNEAVDWIEKARVQLGFGPLCAYLSINYLDRFLSTYRLPKGRAWTMQLLAVACLSLAAKMDESDVPMSVDLQVGDTKFVFEAKTIQRMELLILSTLRWRTQAITPFSFIDHFLCKINNDQSQLRSSIMKSIQLILSTARGIDFLEFKPSEIAAAVAMSVVGETKTIDTRQTIAVLIPQAEEERVLKCGKMVQELSLNTGIAKYHSSASVPCVPQSPIGVLDALSFSYKSDDTNAGSCANSSHNDNSPDAKRRKLNKTCGSELL >OIV97503 pep chromosome:LupAngTanjil_v1.0:LG15:337009:337635:1 gene:TanjilG_11027 transcript:OIV97503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGQQKKKISHRARNEIMGFTNSHKPAGLELMQNCDLPPPSKVFMGPDKALMLSMDRVCSIGCKEEQSKNSGSCGIENGDNDGDKMELLKALQASQTRAREAEKKAATLRKERDILSMALMEEAMHLFAFRQQVRFLELQVSNSQSQRQQQQPAMSCCHNDGVPEGALGSSKEDGGNGEERSSVTWVMALVFSLGIGVTTAFACRYLL >OIV97004 pep chromosome:LupAngTanjil_v1.0:LG15:12133129:12141414:1 gene:TanjilG_16853 transcript:OIV97004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGKLCILMRFFFLHASFFTLVLGSNVSYDGRSLIIDGQRKLLISASIHYPRSVPAMWPGLVKAAKEGGVDVIETYVFWNGHELSPGNYYFGGRFNLVKFAKIVQQAGMYLILRIGPFVAAEWNYGGVPVWLHYIPGTVFRTYNEPFMVENEYGYYESSYGEGGKKYAQWAAKMAVAQNTGVPWIMCQQWDAPDPVIDTCNSFYCDQFKPTSPNRPKIWTENWPGWFKTFGGRDPHRPAEDVAYAVARFFQKGGSVHNYYMYHGGTNFGRTAGGPFITTSYDYDAPIDEYGLPRLPKWGHLKELHKAIKLCESVLLNGKSVNISLGPSVEADVYTDSSGACAAFIANVDDKNDKTVEFRNASYHLPAWSVSILPDCKNVVFNTAKVTSHVNVVAMVPEKLRQLGKHVKWDVFKENAGIWGQADFVKNGFVDHINTTKDTTDYLWQTTSILVDENEEFLKKGSKPVLLIESKGHALHAFVNLEYQGTGTGNGTHSPFNFTNPVSLRAGKNEIALLSLAVGLPTAGPFYEFVGAGVTSVKIKGLNNGTIDLSSHAWSYKIGVQGEHLQIYQEDGLNNVKWTSSSKPPKRQPLTWYKAIVDAPPGDEPVGLDMLHMGKGLAWLNGEEIGRYWPRISEFKKEDCVQECDYRGKFDPDKCDTGCGEPTQKWYHVPRSWFKPSGNILVFFEEKGGDPTKIRFVRREVSGACALVAEDYPSVRFHSQGDDKVENNKNTPLAHVMCPGDTIISAIKFASFGNPSGTCGSYLKGDCHDPNSNTVVEKACLNKKECAIDLTEENFKTNLCPGLSRKLAVEAICR >OIV96877 pep chromosome:LupAngTanjil_v1.0:LG15:12826479:12832055:1 gene:TanjilG_00459 transcript:OIV96877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAPDRRTRNQSIALALTKHLSLDPNVIPAGSLDGDVKSLYLNITAASGNEDSYYNEEVLNWVAFAEAFPVALEASFEDLKKLNEELAGKSVLLGNGLKPSAADVIVFSVIHSSLINLPVVNKEKLPHILRWTDYIQHKENFVGSFEEILLQKSEFEPPVTKPVGAVEADLKTNKTEQSIKSASKSEEDISKDKNKAENIQGKSTGDKEPNKAKAKPADKESNKGKAKPAEKVPNKDNDVSVSLLNIQVGLIRKAWKHPSADSLLVEEIDVGEAKLRQVVSGLAKYCSPDELTNRRVALITNVKPGKLRDVVSEGLVLCASNEGPTLVEPLLPPEAAKIGERISFSGVDGKPEDVLNPKKKQLEKITPHLFTDDKGVATFKGIPFMTSGGPCTSSIPRATIK >OIV96346 pep chromosome:LupAngTanjil_v1.0:LG15:20329995:20330378:1 gene:TanjilG_09773 transcript:OIV96346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSNTNTKGVKRQSSVSKEKQVEEIVSKRKGTEEEDDEGEYEKEEDDAVTRQLCIKRIENGGKNMDKEVIMRRIRQRKRVNKLRAAVGTFLSSPFTTNKDKDKDKGKGNNASSVQQKRWVDDAFAAL >OIV96398 pep chromosome:LupAngTanjil_v1.0:LG15:20005956:20012125:-1 gene:TanjilG_09825 transcript:OIV96398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIAALLASAGINVLLCAVLFSLYSILRKQPSNANVYFGRRLASRRDKHVDLLERFVPSPTWVMKAWETTQDEILDIGGMDAVVFSRMLVFSIRVFTIAAVICTILVLPANYYGRDRIHKDIPFESLEVFTIENVQEGSRLWAHCLALYIITLAACTLLYFEFKNVANLRLVHIIGASAPNPSHFAILVRGIPWSSEESYYDTVKKFFSYYHASAYLSHQMVYKSGTVQKMKDDAELMYKMLGDSLGKTCKPSFSQCCFCGGPTNSFKKISNEIDSINGRTGYTDRHIDGRKKECAAAFVFFKNRYAALMAAQNLQTSNPMLWVTDLAPEPNDVYWTNLCIPYRQLWVRKIATVVASGTFMLVFLIPVTFAQGLTQLDKLEKMFPFLTEILQMKFMSEVVIGYLPSAILVLFLCAVPPVMMLFSAVEGSISRSGRKKSACYKVLYFTIWNVFFVNVFAGSVISQLSVFSSITELPAQLAKAVPAQATYFTTYVLSSGWASLACEIMQLFPLFCNLFQRFVLRSGDDSNDGALSFPYHTEVPRVLLFGFLGFSCSILAPLILPFLLFYFFLAYLVYRNQILNVYVTRYDGGGQYWPIAHNTAVFSLIFAQVIALGVFGLKQSTVASGFTIPLLLGTLLFHQYCRERFLPVFRNTATQVLIDMDKRDERCGRMKDIYNQLHTAYCQFSSDSSKSECFSCHHKESTRVHPPEDLETGKENNNKDMSWPPVHRSS >OIV96624 pep chromosome:LupAngTanjil_v1.0:LG15:18512187:18514035:-1 gene:TanjilG_28481 transcript:OIV96624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCNPKPLCPTKTHLITPYPKLMKPHHHDDQVQDELHRWPTPNEVLEEIKAIGKISGPTTITGLLLYSRAMISMLFLGYLGEMELAGGSLSIGFANITGYSVITGLAMGMEPICGQAYGAKQMKILGLTLQRTILLLLSTSIPISFTWLNMKRILLWCGQDHEISSMAQTFILFTIPDLFFLSLLHPLRIYLRTQGITLPLTYCSAISILLHVPLNFLLVVHFNMGITGVALAMVWTNLNLFLFLSSFIYFSGVYKDSWVSPSMDCLRGWSSLLALAIPTCVSVCLEWWWYELMILLCGLLVNPKATIASMGILIQTTSLVYVFPSSLSLGVSTRVGNELGAKRPIKARVSMIVSLFCAVGIGIGALIFTTLMRHQWGKFFTNDQEILDLTSIALPIIGLCELGNCPQTTGCGVLRGTARPTIGANINLGSFYLVGMPVAIVLGFVAKMGFSGLWLGLLAAQASCATLMLFVLFTTDWNAQVERAKELTKTSNAANTTIATSTTLPTFTKAELNKNDNDAAILEEIVITNDEAAKTCPLETDPLIITSTKHTVN >OIV96855 pep chromosome:LupAngTanjil_v1.0:LG15:15119736:15122821:-1 gene:TanjilG_08716 transcript:OIV96855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFTSNAIHYSSTSSLQHLVPSLEGTLDHSSCWLSMRSQKSTGQRLYQQNMGRRLKIQGAATKQAKTPAEEDWKIKRETLLGKKVRSVEAKEALRLQKENKFVILDVRPEAEFKEAHPQDAINVQIYRLIKEWTAWDIARRAAFAFFGIFAGTEENPEFIKSVEAKLDKNAKIIVACSSGGTMKPSQNLPEGQQSRSLIAAYLLVLNGFTNVYHLEGGLYAWFKEGLPTVSEETLLLIKPNLHQAKLCSLHQTKLGQGVHQTKLCISQGVHQAVHIGAS >OIV96447 pep chromosome:LupAngTanjil_v1.0:LG15:19720501:19725790:1 gene:TanjilG_09874 transcript:OIV96447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISKNVRNLSTDSNTVDEPFKVREAGTVNVPPPLTEKLLVLGGNGFVGSHICREALDHGFTVASLSRSGKSSLHDSWASNVAWYKGNLLSTDSLKEAFNGVTAVISCVGGFGSNSYMYKINGTANINAIRAAAEQGVKRFVYISAADFGVINYLLQGYYEGKRAAETELLTKFPHGGIILRPGFIYGTRSVGSAKIPLGVIGYPLEMVLQYAKPLSQIPLVGPLLTPPVKVTAVAKVAVRAATDPVFPPGVLDVYGIQRYSHPKSK >OIV96709 pep chromosome:LupAngTanjil_v1.0:LG15:17607909:17610677:1 gene:TanjilG_09251 transcript:OIV96709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEACYQTIGTFQSCSLQNNFNHHQKLQNNLLKFMTKDFININGLSSRGSYHSGQRNRGIIRSSASQTSLVDPVSSPSRSDTGETNKKSSEAALILIRHGESLWNEKNLFTGCVDVPLSKKGIDEAIEAGKRISSIPVDVIFTSALIRAQMTAMLAMTQHRRKKVPVFMHNESEQARAWSQVFSEDTKKQSIPVIASWQLNERMYGELQGLDKQETANRYGKEQVHVWRRSYDIPPPNGESLEMCAERAVAYFRDQIEPQLLLGKNVMIAAHGNSLRSIIMYLDKLTSQEVISLELSTGIPMLYILKEGRFIRRGSPAGPSEAGVYAYTRRLALYRQKLDEMF >OIV96563 pep chromosome:LupAngTanjil_v1.0:LG15:18817854:18821945:1 gene:TanjilG_24216 transcript:OIV96563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIVAVQPLPHGHVWILLTHTCLVHANGTRSSIYSNKLRTRVAYSHFHTQTEMEQKLIKPQSKNFRSEFLQILRSRRTNQVPLTIERAKPVQNPRPYGEEVEIMQSLLHDEIDEGALKEENLYLTVEEGEQGRLPLLILSQKESDQHRKRPALVLVHSTDKYKEHLRPLLLAYASRGYVAIAVDSRYHGERASSTNTYRDALIDAWKNGKTMPFIFDTVWDLIRLADYLTQREDVDPSRIGITGISLGGMHAWFAAAADTRYGVVASVMGVQGFRWAIDNDKWQARVDSIKPVFEAARDDLGKSAIDREVVEKVWDRIAPGLASQFDSSNSIPSIAPRPLLIINGLN >OIV96789 pep chromosome:LupAngTanjil_v1.0:LG15:15955395:15958308:-1 gene:TanjilG_18249 transcript:OIV96789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDSFSDIFEDKEFGGDDLFAILESLEDFTTDFPLINEPVIGSSTLIKEGEETSRFVSQKSTSSSVQVQKQHGSEETELETSPKSKRQKVFTNTETEEANQDGQQRISHITVERNRRKQMNEHLSVLRSLMPCFYVKRGDQASIIGGVVDYINELQQVLQALEAKKQRKVYTDVLSPRLVCSSPRPSPLSPRKPPLSPRLNLPISPRTPQPGSPYKPRLQPTGYTISPTISNSLEPSPTSSANSSINDNINELVANSKSPIADVEVKFSGPHLLLKTVSQRIPGQALKIMLALEELALEILHVNISTADETMLNSFTIKIGIECQLSAEELAQQIQQTFC >OIV97392 pep chromosome:LupAngTanjil_v1.0:LG15:1357065:1361135:1 gene:TanjilG_17576 transcript:OIV97392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSSLSNDAVSPLKDKESMVDPFLVEALQNPRHRVTILRMELDIQRFLNNSDQQHFEFQHFPTSYLRLAAHRVAQHYGMQTMVQDNGLDGQGSKILVTKLAESKYPVVCLSEIPVKQMESYKPEQKKVVLKPRPNKNSFNEANDAGKKGNPWRSVEERKEEYDRARARIFSGSTSSDSGDVQYLVPVDGKTSFMSKDENETCKDPVADSERSTSVRDINSTRVAIFRDREKDRIDPDYDRSYGRYARNIPAPAVNLVPFSLQKVQPSFGQYDTTFNQLAQMQQAQASLGYGPPASPIMGPFYAPGLNQTPVEGAYQQWPSATMMYAHSYDQFRHGVFQAPFGQQPLSFDYSQNY >OIV96764 pep chromosome:LupAngTanjil_v1.0:LG15:16502935:16507825:1 gene:TanjilG_19923 transcript:OIV96764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANNASACAERATSDMLIGPDWAINIELCDIINIDPRQAKDALKILKKRLGSKNPKLQLLALFVLETISKNCGESVFQQIVERDVLHDMVKIVKKKPDLNVREKILILIDTWQEAFGGPTGVYPQYYAAYNELRSYGVDFPPRDENSVPFFTPPQTQPVVHSTTEYDDVAIQASLQSDASGLSFEEIQTAQGIADVLTEMLSALNPKNPEGVKEEVIVDLVDQCHSYQERVMVLVNNTADEKLLGQGLELNDSLQRVLRRHDDIANGTADAGARGIETSVLPLVNVNHEDDESEDNFAQLAHRSSRDTHPQNRKPAYDKAEPLRVNPVLPPPPASKKPVNSDTSMVDYLSGDTYKAEEPFENSFAPPVHGSPNPTSSNIPTASSSPAPHAVSTSSPILSRKPVYDEPSPMKKTSEELPPAPWETQSTGIIPPPPSKHNQRQQFFEQQGGPLSSGGSSSSHDSLLGQTQNLSLNSSTPTKQQKPAEDALFKDLVDFAKSKTSSSNPKNRSY >OIV97275 pep chromosome:LupAngTanjil_v1.0:LG15:2736116:2738376:-1 gene:TanjilG_07027 transcript:OIV97275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVRCWHFMLFCLCLFINSGLGTAIISRDTENKETSSYEFSTQLDSVPIINPTTPDTDNPYPTLNPTSPQPPDTTGPVQTPTSPYTNPTTPDTTPTSPYNNPTTPDTTPTTPDTTPKSPYNNPTTPDTTPTSPYTNPTTPDTSPTSPYTDPTTPTNPYTTPTTTTPPIPATASAGGKWCVASQSATEKALKVAIDYACGYGGADCSALQPGASCYNPNTIRDHASYAFNDYYQKNPAPTSCAFGGTATLTNKDPSNGNCHYASSKTTSTSPPTIPPSTMTPTAPNTMTPTAPNTMTPTAPSTMIPTTPPSMTIPGGASVSNLGPEGSPNIATSDSYSLLLMFTLFGLWASHYVENYI >OIV97166 pep chromosome:LupAngTanjil_v1.0:LG15:5742259:5742608:-1 gene:TanjilG_28917 transcript:OIV97166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHDHVKVVSDFISTPIEPKRTRSLLRHGKRMNTTSEDEIMDLGGCGSESVRERKFGKER >OIV97288 pep chromosome:LupAngTanjil_v1.0:LG15:2599542:2602559:1 gene:TanjilG_07040 transcript:OIV97288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSNRSSSLKDTQRSIPVGTLAATVVTSAMYLVSVVLFGAVASREKLLTDRLLTATIAWPFPSLIKIGIILSTMGAALQSLTGAPRLLAAIANDDILPILNYFKTTDSSEPHAATFFTAFLCIGCVIIGNLDLITPTVTMFFLLCYTGVNLSCFLLDLLDAPSWRPRWKFHHWSMSLVGALLCVVIMFLISWSFTVVSLALASLIYKYVGIKGKAGDWGDGFKSAYFQLALRSLRSLGANQVHPKNWYPIPLVFCRPWGKLPENVPCHPKLADFANCMKKKGRGMSIFVSILDGDYHECAEDAKAACKQLSTYIDYKNCEGVAEIVVAPNMSEGFRGIIQTMGLGNLKPNIVVMRYPEIWRRENLTEIPATFVGIINDCIVANKAVVIVKGLDEWPNEYQKQYGTIDLYWIVRDGGLMLLLSQLLLTKKSFESCKIQVFCIAEEDADAEGLKADVKKFLYDLRMQAEVFVITMKWDAQTDGGSPQDESMEAFTSAQQRIDDYLTQMKAAAERDTTPLMADGKTVVVNEKQVEKFLYTTLKLNSTILRYSRMAAVVLVSLPPPPLNHPAYFYMEYMDLLLENVPRILLVRGYRRDVVTLFT >OIV96836 pep chromosome:LupAngTanjil_v1.0:LG15:15427882:15435879:-1 gene:TanjilG_08697 transcript:OIV96836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLELSRVLLLTSSSSLLTTKAIRQLGFRCLLKKPNKNGNLRLTRSFSGHTGGGPAPSASQAEDEHEDDDFGSIVAFNLVPESGKITEEDATSNGVEVPEAGDVEEEKVQNRVSYNIVFVTAEAAPYSKTGGLGDVCGSLPIALAARGHRVMVVSPMYINSTPGDEKFSGAVDLNRRIQLYCFGSVQEVGFFHEFREGVDWVFVDHPSFRRPGNPYGDKFGTFGDNQFRFTLLSYAACEAPLVLPLGGFTYGDKCLFLVNDWHASLVPTLLAAKYRPHGVYKDARSILVIHNIAHQGVEPAITYGNLGLPPEWYGSLEWVFPNWARMHALDKGEAVNFLKGAIVTSDRILTVSKGYSWEITTREGGYGLHELLSSRKSVLSALAARGHRVMVVSPMYINSTPGDEKFSGAVDLNRRIQLYCFGSVQEVGFFHEFREGVDWVFVDHPSFRRPGNPYGDKFGTFGDNQFRFTLLSYAACEAPLVLPLGGFTYGDKCLFLVNDWHASLVPTLLAAKYRPHGVYKDARSILVIHNIAHQGVEPAITYGNLGLPPEWYGSLEWVFPNWARMHALDKGEAVNFLKGAIVTSDRILTVSKGYSWEITTREGGYGLHELLSSRKSVLSGITNGIDETEWDPSIDQHIAFNYSIDDLSGKVKCKISLQEELGLAVRPECPVIGFIGRLDYQKGIDLIRMAIPEIMENDVQFVMLGSGNPAYEDWMRATESAYRDKFRGWVGFNVPISHKITAGCDILLMPSAFEPCGLNQLYAMRYGTIPVVHETGGLRDTVQSFNPYGEGTNGEGVGTGWTFSPLTKESMLVALRHAIKTYKEHKSSWNGLMKRGMTRDYTWVNAATQYEQVIEWAFMDPPYCC >OIV96643 pep chromosome:LupAngTanjil_v1.0:LG15:18735800:18736309:1 gene:TanjilG_28500 transcript:OIV96643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEESNAKWEGKAIAEIPKNSAEQVWPYIEDFCNIHKLIPLDICNKVEGIEGQAGLIRYCATTIKGEGEGDDAEIKIKNWANEKLLIIDPVQRYLSYEVGENNMGFKSYVATMKVLATNEDAKVGGCKIEWGFVCDPVEGWRFQDLNSYVEFTLQGIANKIEAAISEAI >OIV97334 pep chromosome:LupAngTanjil_v1.0:LG15:2154469:2156741:1 gene:TanjilG_07086 transcript:OIV97334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAYDVKRVTYPSISKVEEKNGEFNYDVDNFKFESTWTESNNPKKEFFYKFSDDEELSDGGYELSDENCGNMMPPDVNLKNVFAGVCAILTGRNKTPAIIDDQKCLNSNVSFLNSGKDGDVVLNSSVYSPSAPPLFEPQGIDFNAYKEILQAEPPEWLPDSSTAVCMQCTAPFTALTRGRHHCRFCGGVFCRVCTKGRCLLPVRFRERDPQRVCDACYDRLDPLQGVLINTISNAVQVAKHDVVDWTCARGWLNLPIGLSMENEIYKSSNTLRSYCQVARSNPERSIPLSILSGAKGLAILTVAKAGVLLSYKLGTGLVVARRSDGSWSAPSALFSMGLGWGAQVGGEFMDFIIVLHDTKAVKTFCSRMHFSLGAGCSAAAGPIGRVLEADVRAGDSGSGMCYTYSCSKGAFVGVSLEGNIVATRTDANMRFYGDPYLMTSDILLGTVDRPKAAEPLYAALEDLYSSLC >OIV97193 pep chromosome:LupAngTanjil_v1.0:LG15:6732830:6735968:1 gene:TanjilG_28944 transcript:OIV97193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVATVVTSSLFPVSSPSSDSGAKATKLGGGTGNLGGFKSKHGSSGGLHVKANAQAHSKINGATVAAPEENVKLGTATPRTFINQLPDWSMLLAAITTIFLAAEKQWMMLDWKTKRPDMLDDPFGIGKIVQDGLVFRQNFSIRSYEIGADRTASIETLMNHLQETALNHVKTAGLLGDGFGSTPEMCKKNLIWVVTRMQVVVDRYPTWGDVVQVDTWVSASGKNGMRRDWLIRDCKTGEILTRASSVWVMMNKLTRRLSKIPEEVREEIESYFVNSDPIVTEDNKKVPKLDDTADYIRTGLSPRWSDLDVNQHVNNVKYIGWILESAPQPILESHELFAMTLEYRRECGKDSVLQSLTDVSGADVGNLADGGSVECKHLLRLEDGAEIVRGRTEWRLKSPNKFGLLNQVPAAESA >OIV96734 pep chromosome:LupAngTanjil_v1.0:LG15:17838467:17839432:-1 gene:TanjilG_09276 transcript:OIV96734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKSCHSSADSTTSNTITTSTATSNTTNTTNNTNNHNYLRQLNKLSQKITKPIIKKPNFDPPPPQNQTFNNPNQPQVQSQQNNIDTNNNNNNNLQHQPPVYNINKNDFRDVVQKLTGSPAHDRTVSNPPPIHQPKPPTSRLHRFRPPPLAQISNRPQLPPSNNAVTVNNSNFNFSGFGRPIAPLSPLPPFPTVHAAAESPVSAYMRYLHNSMPGVESNSKQFSGFSPLAPLVSPRWNNFAPPQQEQQQQQQLLQPQPSQQVAVPSSSQSQFQMPISPLPFGCLNSPISSYPLFSPNSGQLGFPQLPLSPTVPVPSPRWRGL >OIV97009 pep chromosome:LupAngTanjil_v1.0:LG15:12005148:12007278:-1 gene:TanjilG_03583 transcript:OIV97009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSKICSNLLQSSSTLSTELTYASSKVSKILFPNSTSPIPRSSIHEFHSHKHVHLCLSPCLRRRSLTIFLTTFLWDFLPNTRPALLLAEESELQRYTDSKEGFTILIPSSWTKCLVLYDVSIFSRKRTSFANVVSQQVDKAGATALFQEANMGSNNIGIVVNPVRLATLGEFGSPQFVADKLLQAERRKESTKDTEVITVAERSGEGGLQIYEFEYILDSTRGGMKRIFSAAFVASKKLYLLNITHSDKPESPLDPQKRLILEQVLHSFNAAI >OIV96614 pep chromosome:LupAngTanjil_v1.0:LG15:18403058:18407151:-1 gene:TanjilG_28471 transcript:OIV96614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTAAGQSLYPLHRSKTIHLVRHAQGFHNVEGDKNYEAYLSYDFLDASLTPLGWKQVDNLRDHVKRSGLSKRLELVIVSPLLRTIQTAVGVFGGEAGSDGINALPLMNENVGDSGRHAISSLNSPPFIAVELCREHLGVHPCDKRRSINEYRNMFPAIDFSLIESDEDILWKPDIREKNEEVAARGLKFLEWLWTRKEKEIAVVTHSGFLFHTLSAFGNDCHPTVKSEICTHFANCELRSVVIVDRGKIGSDKSSTDFPGKIPDGVDLPSDVADEKLPGNGLEK >OIV96780 pep chromosome:LupAngTanjil_v1.0:LG15:16246131:16249490:-1 gene:TanjilG_18093 transcript:OIV96780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPVYALESFLSLLNSSAAFNCEVIRDCYEAFALYCFERYLIACLVPYKRGERCVRNVAVMADYASIGTPPDPVEVQDCERSTRMWVGRHNEREKRKFTHNVRDVVLGSGEIIVDDMKFTVSHVVEPVERGIAKINKTFHQISENMKRHDEERRRSNKVKDDSYLIPLHSWTTEFSDAHDKLVEGSVSDSGLSGGKRQRNQSNASLSRMRR >OIV96816 pep chromosome:LupAngTanjil_v1.0:LG15:15682360:15687079:-1 gene:TanjilG_08677 transcript:OIV96816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQTGSLKQPRVYDFATMALINIFSHPYATVCDLYCGGGTDSDKWFDAKIGHYIGIDSHSSSIHQLRQAWEEILRKSYTADFFDLDPCMENIELHLKEKLNTADCVCCLHHLQLCFETEEKAQRLLHNVSSLLKPGGYFVGITPDSSTIWAKYQKNVEAYHNKSSSMKPNIVPNCIRTENYMITFEVEEEKFPFFGKKYQLKFANDASSETQCLVHFPSLIRLAREAGLEYVEIQNLTDFYEDNRSQLAGLVMNYAPNLLDPRGRLLPRSYDALGLYTTFIFQKPNPDIAPPITTPRYRMIDASYNYEEGISWQDDEINGYIDPLSLAGLGKISEQKGILGPGPADLRFAEAI >OIV96646 pep chromosome:LupAngTanjil_v1.0:LG15:18786295:18787818:1 gene:TanjilG_28503 transcript:OIV96646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHYPPTHMEVQEFSHNTSRCHQHHFHSDNNNNINNVGSSDNFIVEEFFDFSNDDKNLNLFTDSMTGNNTDSSTLIDTTNSLSVSCHQNFNPDIFSDTSFPGDLCVQYDDLAELEWLSKLEEESLPNEDLQKMQLISGIKASNDDASKNHELQPQPNNPTSPVFNPQVSVPAKARSKRTRVPPCNWTSRLLVLSPTILSPVPHLDDHIILPQKPAPKRRDSSERGEGRKCLHCATGKTPQWRTGPMGPKTLCNACGVRYKSGRLVPEYRPAASPTFMMTRHSNSHRKVMELRRQKEMMEAQDLQFIQHHQNMNMMYDFSSNRDDYLIHQHVGPYFT >OIV96480 pep chromosome:LupAngTanjil_v1.0:LG15:19434230:19434901:1 gene:TanjilG_07872 transcript:OIV96480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANMIMASSKCLIPFSTTSPSPTPTPRIIPTLQMSLSKLKFSISKPQQALTLLPSTLKSLSILTATSLAMAPPSLAAEIEKAALFDFNLTLPIIVVEFLFLMVALDKVWFSPLGKFMDERDASIREKLNSVKDTSSEVKQLEEQAAAVIKAARVEISSALNQMKKETQAEVEQKIAEGRKKVEAELQEALANLEKQKEETIKSLDSQIANLSQEIVNKVLPNA >OIV96942 pep chromosome:LupAngTanjil_v1.0:LG15:13833458:13833757:1 gene:TanjilG_00524 transcript:OIV96942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAPRTVGGFGRSGREGVAVEKDSDDPYLDFRHSMLQMISENEIYSKNDLRELLNCFLQLNSPYHHGAIVRAFTEIWNDFFFVRSKSPRFHFNRKAREF >OIV96402 pep chromosome:LupAngTanjil_v1.0:LG15:19981247:19985468:1 gene:TanjilG_09829 transcript:OIV96402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGFGDEGGSNSKYVLKPMRMNSEDILICVDVDPQCLVEMKATSSTNGRPITRLDSIKQAIILFVNAKLTINPDHRFAFATLSTSVSWLRKEFSSEIESTIAAMRGLSASSSSGQADLTNLFRLAAHEAKKSRTQGRILRVILFYCRSSMQPQHQWPLNHKLFTLDVIYLHDKPGPDNCPQLEGDKSKSNMVGFGDEGGSNSKYVLKPMRMNSEDILICVDVDPQCLVEMKATSSTNGRPITRLDSIKQAIILFVNAKLTINPDHRFAFATLSTSVSWLRKEFSSEIESTIAAMRGLSASSSSGQADLTNLFRLAAHEAKKSRTQGRILRVILFYCRSSMQPQHQWPLNHKLFTLDVIYLHDKPGPDNCPQLVYDTLVEALEHVSEYEGYIFESGQGLVRIVYRHVLILLSHPQQRCIQDNIDIPKSLTKKAPQVEPMATDDSVPVSRQ >OIV96636 pep chromosome:LupAngTanjil_v1.0:LG15:18678872:18679630:1 gene:TanjilG_28493 transcript:OIV96636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWYEVKISYPASIPASFSIELKRDKLNMVINKNRRLLNTEKLIFKNYSDQDKTYVLVTVKPEGFLAKPHVPERQFVIFNIVCDELLLGIPHKAWWVVALALMGLGIAFMVPSLLPSYLLPKKQVLGSVDSVSKAS >OIV96667 pep chromosome:LupAngTanjil_v1.0:LG15:17129355:17133242:-1 gene:TanjilG_09209 transcript:OIV96667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAIATGALITLPFPTFSRSSKLRVKKGLKGQFKVFAVFGEEDEKKNAWGALFHVEDPRTKIPLHNKGKVFDVYQALEVAKYDLQYFDWRARQDVLTIMLLHEKVVDVLNPLAREYKSIGTMKKELAELQEELEAAHRQVNISEARVSTALDKLAHMEELVNDKLLQDRTVTEVSQTSSSPSTSSDSVDIKKKRLPRRRLQVSGPVQPYPPHLKNFWYPVAFSSGLKDDTMIPIECFEEPWVIFRGKDGKPGCVQNTCAHRACPLHLGSVNEGRIQCPYHGWEFATDGKCEKMPSTQLRNVKIKSLPCFEKEGMIWVWPGTDPPTVTLPSLEPPSGFKIVMDIPIEHGLLLDNLLDLAHAPFTHTSTFAKGWSVPSLVKFLTPASGLQGYWDPYPIDMEFRPPCMVISTIGISKPGKLEGQNTKQCSTHLHQLHVCLPSSKLKTRLLYRMSLDFAPLLTHIPFMQHLWRHFARQVLNEDLRLVEGQQERMNNGENVWNFPVAYDKLGVRYRLWRDALEREAKELPFNREN >OIV96552 pep chromosome:LupAngTanjil_v1.0:LG15:18877506:18879957:1 gene:TanjilG_24205 transcript:OIV96552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKLWKWYQKCMIVHPVKTQVISSGVIWGVGDIAAQFVTHSIPNKTLSQFKDDNKEFKINWKRVATTSLFGLGFVGPVGHYWYEYLDRYIRLKLLLKPNSFRFVASKVAIDGIIFGPFDLLMFFTYMGFCNGKSVPQIKEDVKRDFLPAFVLEGGIWPIVQVGNFRFIPVRYQLLYVNLFCLLDSCFLSWVEQQEDAQWKQWVKSFLPLDEHKRQG >OIV97030 pep chromosome:LupAngTanjil_v1.0:LG15:11400115:11404885:1 gene:TanjilG_19577 transcript:OIV97030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSTNLTGFLLAVISSAFIGSSFIIKKKGLQRASRNGPRASVGGYGYLLQPLWWVGMITMIVGEIANFVAYIYAPAVLVTPLGALSIIVSAVLAHFMLKEKLQKMGILGCFLCIVGSIVIVLHAPQERALNSVQEIWQLAIQPAFILYTASIMAVTLFLILYCAPRYGHTNIFVYIGICSIIGSLTVMSVKAIGIAIKLTLEGSNQGVYFQTWIFATVAVSCIITQLNYLNMALDNFNTAVVSPIYYALFTSFTILASAIMFKDYSGQSISSITSELCGFITVLSGTTILHCTREPDQPVSTDLHSPLSPKVSWYIQGNGESRKQKEEDGSSFNLITVIRQDHFK >OIV96916 pep chromosome:LupAngTanjil_v1.0:LG15:13393373:13398560:-1 gene:TanjilG_00498 transcript:OIV96916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNKVLSSGIRYSNLPESYIRPESERPRLSEVSVCENVPVIDLGSNNRTQIVQQIGDACKCYGFFQVINHGVPLEIVKKMAEVAHEFFNLPVEEKLKLYSDDPSKTMRLSTSFNVNKEAVHNWRDYLRLHCYPLDKYVPEWPTNPLTFKEVVTNYCKEVRELGFRIQEYISESLGLEKDYTRNVLGEQGQHMAVNYYPPCPEPELTYGLPGHTDPNALTILLQDLHVAGLQVLKDGKWLAVNPHPDAFVINIGDQLQALSNGLYKSVWHRAVVNVDKPRLSVASFLCPFDHALISPAKPLTGDGTGAIYKGFTYAEYYKKFWSRDLVDQEHCLEFFKNN >OIV97327 pep chromosome:LupAngTanjil_v1.0:LG15:2248326:2250581:-1 gene:TanjilG_07079 transcript:OIV97327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSILVILAFCLLWPVLTKNVVGFACNWGRVSSQPLPGDIAVKLMKDNGFDKVKLFDADPEALKALANSGIKVMVGIPNVYLEALVDNKEFADDWVAQNVSAYVKDGVDIRYVAVSNEAFLMDFKDRFIHKVLPALKAVKSSLDKAGLGKKVQVTIPINADIYESSNGLPSGADFRANVTDEVLDILKFLNENNAPLAINIYPYLSLYYDSHFPKEYAFFNATADSLVDGNITYTNAFDGNLDTLISALEKHDLGSLSIIVGEVGWPTNGAVDANIANAQRFYQGLVDRINSKKGPPKRPNEIPDVYMFGLLDENSKSILPGNFEPHWGIFNYDGSIKYQLDLDQGKKLVPSEGVKYMKKQWCILDPEANIDDPNMKENWKIACGASTGCTSVGNGSICEGLDNRTKASYAFNSFYQVTNQNKDGCVFNGLAIITDKDPSPPNGTCKFDIALDVEKDVITSPAPSPSITATSRLSEPGPNKSLAMATNQPSSIVTMGMVLGFIIIIF >OIV97316 pep chromosome:LupAngTanjil_v1.0:LG15:2349664:2352290:1 gene:TanjilG_07068 transcript:OIV97316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATDLNSGLSKKTFVFGLKVWVLMGIIVGLFITTILVVLTICLTLRKKSRTANGKLPLSHPLSVSEEIKEIRVDQISANNLPQKGAFMSLSEKYIDRESEQVSIHTNNGDNTSQSGSFNHLENDVNGSQSGEESSVKNISYRSSSHSITAPSPLCGLPEFSHLGWGQWFTLRDLELATNRFSKDNVIGEGGYGIVFRGQLINGNIVAIKKLLNNIGQAEKEFKVEVEAIGHVRHKNLVRLLGYCIEGTHRLLVYEYVNNGNLEQWLHGAMRQHGFLTWDARMKVLRGTAKALAYLHEAIEPKVVHRDIKSSNILIDDDFNAKISDFGLAKLLGAGKSHVTTRVMGTFGYVAPEYANSGLLNEKSDVYSFGVLLLEAITGRDPVDYSRPAAEVNLVDWLKMMVGSRRSEEVVDPNIDNRPSTSALKRSLLTALRCVDPDSEKRPKMSQVVRMLESEEYPIPREDRRRKRSLTGNTEVEVQKDTSDRDKSDNPDYKSNARRNQIA >OIV96419 pep chromosome:LupAngTanjil_v1.0:LG15:19897297:19897770:1 gene:TanjilG_09846 transcript:OIV96419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFRLMGLDSPFLNTLHQIMDLTDNNIDKNLNAPSRTYVRDAKAMAATPADVKEYPKSYMFVIDMPGLKSGDIKVQVEDENVLLISGERKREEEKEGSKYLRMERRVGKFMRKFVLPENANTDSISAVCQDGVLTVTVHKLPPPEPKKPKTIEVKIA >OIV97472 pep chromosome:LupAngTanjil_v1.0:LG15:140539:141558:-1 gene:TanjilG_10996 transcript:OIV97472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLPPRVGLFWDLDNKPPNSIPPYEVANKLRIAASSFGVVRHMIAYANSHTFSHVPLAVQERKKQRELLNRLENKGVIKPNEPYLCRVCGRKFHTNEKLVNHFKQLHEREHAKRVNQIESARGSRRVKLVAKYSMKMEKYKKAARAVLTPKVGYGLADELKRAGFWVQTVLDKPQAADRALKSHMVDMMDHRRIECLVLVSDDSDFINVIKEAKLRCLKTVVIGDTPDGELKRTANAAFSWVEILMGKAKKEAVSVVENWKDRDILNKLEWTYNPDVDIKKLDPDNMVTEDSEDENIEDICDEVDDAYKDDGGAWWELDSDDVDKNNQPHKASILSVTR >OIV97258 pep chromosome:LupAngTanjil_v1.0:LG15:3029497:3029838:-1 gene:TanjilG_10792 transcript:OIV97258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGAAADGFFRPIYDGCLSGFDQCVEQRPYHRNCSCALHSKSRNLCTHKLPGKNVTYPMRRAWSEGSLLVFTASNHSSPSTSLALNGVRSQLCLVDQDQDKEEERNSTRFFI >OIV96448 pep chromosome:LupAngTanjil_v1.0:LG15:19714376:19714858:-1 gene:TanjilG_09875 transcript:OIV96448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTILTTTTSSLTYTDTNSTQRSTWHSPIPYLFGGLAAMLGLIAFALLILACSYWKLTGLLHSENEQRDLENGPERENDPTEKNESMKKVYDEKVLVIMAGNDKPTFLATPACANCTSLCHGVGKDYDKQIQNHETSQRLKENMDNDIQATTTTSHQSYP >OIV96842 pep chromosome:LupAngTanjil_v1.0:LG15:15353503:15356887:-1 gene:TanjilG_08703 transcript:OIV96842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKITNSAAQPIPQDASNYDEVFMQQSLLFDDSLKDLKNLRTQLYSAAEYFELSYTNDDQKQIVVETLKDYAIKAIINTVDHLGSVTYKVNDLLDEKVVEVSEAELRLSCIEQRIRTCQEYMDHEGRVQQSLVINTPKYHKLGETMHGANRTKSKYVGCSIDDEDDWHHFKNAVRATITETPTSTTSKGYSPSPSIRPQRPVFSFTSSMSKKDSEKRTVSPHRFPLLRTGSRSSKQITPKTSRSTTPNPSRPITPSPSNAGERYPSELHKSVSMRLPAQRDNGKDTEQYPTKSKRLLKALLSRRKSKKDEMLYTYLDEY >OIV96707 pep chromosome:LupAngTanjil_v1.0:LG15:17591228:17592476:-1 gene:TanjilG_09249 transcript:OIV96707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLGKRGRGPMKRTTSMSDINFDLNMPVKDVVDPNNNPSLNRVGPEGGVGPGSGGLDLDQRRVLATVSAMKHRRNCSDLAPIPDFLRTCSFCRRRLVHGRDIYMYRGDSGFCSLECRQKQMNQDERKDNCFVASKKQVTKAETLVAL >OIV97506 pep chromosome:LupAngTanjil_v1.0:LG15:358758:360530:1 gene:TanjilG_11030 transcript:OIV97506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEQMSIVEDEKGITFNEVKEMKKVYEDANVMVDQVLPTKWNISEPHAIDQMVMEYNNNNIGSLTQHERKKGLELELAEKNVLLNNLKKDMDNLKSSEANAMAMLSDYKRNVQKLEAEVDKRKESEANLFDTLVMQTKQLEQSKISLEETKLEVSNLEEKVKALQNMKTHGESKDEIVNDISPMESEKGMRNEAEIGQRELNNETREGEDLTIEEKLLVEELNLLKNELKSATLAEENSKKAMDDLAFALKEVATEANQVKAKLTLSQVELEHTKDDAERWRTMLASNEEKYKEILDATRKEAERFKNTAERLRLEAEESLLAWNGKETELVNCIRRAEEERLNTQKETTRVFDMLREAENKIRVSKEENQKLRDILKQALNEANVAKEAAEIAKEENARLQDSLTLLVQDNEMLKIHEAASFENIKVLKRLLSESSLKEFKHEDNEKGHAKNLSKTFSLNLKEMISPHKENHNLKQQQQQQHKVGNDEANGNNKETEDDTLRGSIFDEVDSSDSDSHHDVDIGISDDFYHLDESNFDESEGERNSRKRRALLRRFGDLIRRRGNHYHHRKDSSNEEHLQQVTNITQIAK >OIV96263 pep chromosome:LupAngTanjil_v1.0:LG15:20749411:20752465:-1 gene:TanjilG_05103 transcript:OIV96263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQQNFNFGIQKPEQEQNVRTEYAAIQMATPTVAPLPLSTVNFNGAAVHGPVGSSEPVKRKRGRPKKIESNGPIAVTAAPAGVHFGPPSASTAPFAPFAHAAATAAYAAATTPFSPAAPASAPAPGAPASTVPVKKRGRPRGSVNKHRRVNEPGPEFPLTPHVITVKAGECLATKIVALSLDVRSNVCILSANGAISRVTLRQPSSGSVTYEGRFEILTLGGSLLLYDNSGPSHRSSGLSVSLSGPDGHVVGGGVMGELIAASAVQVVLLSFPGEYCNVPKLANQMGNSSASPKFANAGQSSSPSQGTLSESSDGPGSPHNPSS >OIV97239 pep chromosome:LupAngTanjil_v1.0:LG15:3587539:3591952:1 gene:TanjilG_13718 transcript:OIV97239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELEHCIKENEKEEEIVKERVSDSPLITESNHGELDSFSTHHEVGPNLETLVVVLPSSSSSVLLDRSDGTTAFYLFVMVRFMFYFMCLSFLCVDNADLQGRVKIDNAGEAENKPVGPTDEQIIVEEVEAPQVSTENQPRVVVCSSPLSELSPTSIAQSLSSAASPTLPEQRLLPPKVNSAHMTQVERKTPKGGKTSPSVSAARTSAPDGYNWRKYGQKQVKSPTGSRSYYRCTHSDCSAKKIEFCDHSGQVISVVYKSQHSHDPPQKTNFGRESKFLPSSEAFVENSVPEQPIVVVNDSGPSSSSREPKQEVPCSAGADKKQQNSSNSENGKVILKGEDANEFELKRRQIDDLTSLETPVKPGKKPKLVVHTAADVGISGDGYRWRKYGQKMVKGNPHPRNYYRCTSAGCPVRKHIETARDNSNAAIITYKGVHDHDMPVPKKRHGPPCAPLVAAAAPASMNNLKSTKTDSLQNQKTSTRWSVDTEGELTGEALDLGGEKAIESARTLLSIGFEIKPC >OIV97120 pep chromosome:LupAngTanjil_v1.0:LG15:8029986:8031008:-1 gene:TanjilG_04924 transcript:OIV97120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMPIESMLLAVNSNFLVFSVSSDDMMGQSFASLVSTVAAAESAIGLAIFVITFRVRGTIAVEFINSIQGFIIFSRKSLGKTFKVTLDGRIQAIQEESQQFPNPNEVVPLESNEQQRLLRISLRICGTVVESLPMARCAPKCEKTVQALLCRNLNVKSATLPNATSSRRIRLQDDLGTKFHLLVRRRFCPQSLSKAEKIELIRESLVVLRMVRVGGYLKNK >OIV97391 pep chromosome:LupAngTanjil_v1.0:LG15:1314423:1317444:-1 gene:TanjilG_17575 transcript:OIV97391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPESFIAGETQPPPDPPSMSADLGFDDFTAAFDNGPIPSVDSLFDDTALPTEAFASDLDFEITFDDIDNLYIPSDAEDFLLLDAYNSNGTSISPINDDLVDSNANSCNYDVVNHDSQESGNCEVSRDCSSGVSRFFNLQVPDSVSFKTELSPAISSGNQDSLNSVDVKASSFPSPESESCDQEEYLNEPVSSQGSGNGVLGVYDAMNSPSPVSDRYRDISSHANENADKGIIKKEEIGKSGDLKRKKEQSGCSAETRTTKYRRSSSSSLSVSVENKIQQCESGVTVNGIDDEEEKRKARLMRNRESAQLSRQRKKHYVEELEEKVKAMNSTIADLSSKISFVMAENANMRQQLGAAAGGMYPHPPMAPMPYPWIPCAPYVVKPQGSQVPLVPIPRLRPQRPAAAPKSKKSEGKKGEVKTKKVASISFLGLFFFIMLFGGIFSMVGVKFGGLVNNLTGRSSYVSDRSLYGLGRGGGKVWPVSGGRGKGSLRDEDVEFSDGKFSISERRNHTRKRKLEESHERHGPHSDEYIRPGGNASEPLVASLYVPRNDKLVKIDGNLIIHSIMASEKTMASQAVAQVKKETGLAISNSALAIPEGGRNNGQHPHVYRVSPEQRKALGSGSTKTLKDHMKSGATDGKMQQWFHEGLAGPMLSSGMCTEVFQFDVSSIPGAIVPATSVADISSENRKNTTTLNKGRNRRILPESKLNLTEDPVRNSQNVPLHGNNSSTVVSVLVDPKEAAYVNVNGVMTPKSLSQIFVVVLMDSVKYVTYSCGLPYASPHLVSA >OIV97526 pep chromosome:LupAngTanjil_v1.0:LG15:537054:541201:-1 gene:TanjilG_11050 transcript:OIV97526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSISMVDQSDSSPLVPPKPILHPSDIDLEAGPTEQIQCRICLETDGRDFIAPCMCKGTSKYVHRECLDHWRAVKEGFAFAHCTTCKAPYHLRVHVAADRKWRTMKFRFFVTRDILFIFIAVQLVEFVQTVIYQALSVSGLTAPLALRVAEPWQRNAVVVWEEYVVEDVDGEMTGSDWSPPLLPPEHIQQLKSLGLL >OIV97006 pep chromosome:LupAngTanjil_v1.0:LG15:12030020:12031933:-1 gene:TanjilG_03580 transcript:OIV97006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHEHCDSSLILSLPDDVFAIVVQFLSPRDVCNLGLCCRGLNSLVASEKIWLTQCNVLGIVPLKDLVEWRKGVSSYKALGRFLVSVQPLIGIWVHQNPELGNVVYVMPGFVSVVGCRIIPQELGPMGIDEGHILWTSVFEVIGDFDGSATFLLHGREKGINYIYPGLVKPIQKSCNLLLLEVDPGLKINLGTLLQSKTIAHHSGVELSRNNCRPNRDLSRSLRVSSEAMVPFSKLAFTDRRKLLEVTTSQIRQKVPDTVIGPLFPRLRDDEDGFRKDLVLLWERRSVFSQMFNICCSQLDYKASTREEVSLKQLEVDDIRKSLVCSRPMRNPLPEEEDRAQCTKRKNLGGYFWNSLKQILKRSNSINESHSNAKKLTSSSEIRHARLQEFLRSSDTMSLALNASTVKLSSYRAWPNMHDNRFALYKLPLRVPRDDEEFAGLWGGTFGWPPGKPSEDKPGKALFFLLLSYEETQGQQQLIATKILEGTHYVLHPNGSAMFVVNINEPSSEPFPWDTDADSNPVDIKDAFTGEGIASGYGFRYPGSKPGSLFVFQNGAIAFIWKESRAILTLQRLSLQELLKKGERVPSLPPVANFSYLTKSYSNVFAGFPSPSNSLSSPRFASTLTCSLAYFVFCLQS >OIV97505 pep chromosome:LupAngTanjil_v1.0:LG15:355073:356451:-1 gene:TanjilG_11029 transcript:OIV97505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESQPFIQIEKTHLSTSDTVRSQRKKHTAARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >OIV97143 pep chromosome:LupAngTanjil_v1.0:LG15:7647083:7654221:1 gene:TanjilG_00172 transcript:OIV97143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNLFCCVQVDQSTVAMRERFGRFEGVLQPGCHCMPWILGSQLAGHLSLRVQQLDVRCETKTKDNVFVNVVASVQYRALAEKASDAYYKLSNTKSQIQAYVFDVIRSTVPKINLDDAFEQKNDIAKAVEDELEKAMSAYGYEIVQTLIIDIEPDEHVKRSMNEINAAARLRVAANEKAEAAKILQIKHAEGESESRYLAGLGIARQRQAIVDGLRDSVLGFSVKVPGTTPKDVLDLVLVTQYFDTMKEIGAASKSSTVFIPHGPGSVRDVANQIRDGFLPDSHP >OIV96633 pep chromosome:LupAngTanjil_v1.0:LG15:18637799:18641020:-1 gene:TanjilG_28490 transcript:OIV96633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSKAPPQVEVDQKEVNGGMKINNERSKNTKKSIKKKKSFLPKFGCFRIEYDATGESFDMEVVDASGHSPNPTHLVIMVNGLIGSAQDWKFAAKQFLIRYPHDAIVHCSESNSAKLTFDGVDVMGDRLADEVISVIKRHESVQKISFVGHSLGGLVARYAIAKLYGKDITMKLSEGSGHIESQNSDKECHERKYEGKIAGLEPINFITSATPHLGSRGHNQVPMLCGFKPLEKAAFRFSGIFGKTGKHLCLADKDNGKPPLLLQMVRDTEDIKFMSALRSFKRRVAYANVRYDKLVGWSTSSIRRKNELPKRRNLSRHECYRHVVNVEIAKSTPVPVEIPTESEVNSGSGKLGWEEGGW >OIV96870 pep chromosome:LupAngTanjil_v1.0:LG15:12741952:12742359:-1 gene:TanjilG_00452 transcript:OIV96870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGMGFPFDSPSLHFQDPDLVPHDDLLSAYIDSDNSESNVQASDARSKPEDASDGTVDASISKIGRSGHRRSNSVNGPSSSLMEGIKAKKAISPDKLAELWTIDPKRG >OIV96791 pep chromosome:LupAngTanjil_v1.0:LG15:15987993:15992476:-1 gene:TanjilG_18251 transcript:OIV96791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVESERIQNPWMALEQSAVPAVEMNGQDLSLFESLDAQGCICSPPPQSPESSGAGDYKLGFVERSFSAAGAAVLSAIIVNPLDVAKTRLQAQAAGVSYSHPLSNMTSRMAYFGPTMMFADLRCSPSCARAGIEGTVSICPPECFRYKGTLDVLYKIIHQEGFARLWRGTNAGLALAIPTVGIYLPCYDIFRIWLEEFTSKSAPSTTAYVPLVAGSLARSLACATCYPIELARTRMQAFKETQISKKPPGVVQTLLGVVSQVKNTNSPQNSLQGYRALWTGMGSQLARDVPFSAICWSTLEPTRRELRRLVGGDDANALGVLGANFSAGFVAGTLAAGATCPLDVAKTRRQIERDPVKALRMTTRKTLMEIWRDGGMKGLFTGFGPRVGRAGPSVGIVVSFYEVVKYVLNHQYSTS >OIV96706 pep chromosome:LupAngTanjil_v1.0:LG15:17571122:17582721:-1 gene:TanjilG_09248 transcript:OIV96706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGIGRGNGYINGIQPNRHPATISEVDEFCSALGGNKPIHSILIANNGMAAVKFIRSVRSWAYETFGTEKAILLVAMATPEDMRINAEHIRIADQFVEVPGGTNNNNYANVQLIVEMAEITHVDAVWPGWGHASENPELPDALKEKGIEFLGPPAVSMAALGDKIGSSLIAQAAEVPTLPWSGSHVKIPPESCLVTIPDEIYREACVYTTEEAIASCQVVGYPAMIKASWGGGGKGIRKVHNDDEIRALFKQVQGEVPGSPIFIMKVASQSRHLEVQLLCDQYGNVAALHSRDCSIQRRHQKIIEEGPITVAPPATVKQLEQAARRLAKSVNYVGAATVEYLYSMETGEYYFLELNPRLQVEHPVTEWIAEINLPAAQVAVGMGIPLWQIPEVRRFYGVEHGGGNDAWRKTSGLATPFDFDKVESTRPKGHCVAVRVTSEDPDDGFKPTSGKVQELSFKSKPNVWAYFSVKSGGGIHEFSDSQFGHVFAFGESRGLAIANMVLGLKEIQIRGEIRTNVDYTIDLLNASDYRDNKIHTGWLDSRIAMRVRAERPPWYLSVVGGALYKASACSAALVSDYVGYLEKGQIPPKHLSLVHAQVSLNIEGSKYTIDMIRGGSGSYRLRMNESEIEAEIHTLRDGEEEAAGTRLLIDGRTCLLQNDHDPSKLIAETPCKLMRYLVADDSHVDADTPYAEVEVMKMCMPLLSPASGIIHFKMSEGQAMQAGELIARLDLDDPSAVRKAEPFNGSFPVLGPPTAISGRVHQKCAASLNSARMILAGYEHNIDDVVQSLLNCLDSPELPFLQWQECFAVLANRLPKDLRSELESKYKEFERISSTQIVDFPAKLLKGILEAHLSSCPDKEKGAQERLVEPLLSLVKSYDGGRESHAHIIVQSLFEEYLFVEEIFSDNILADVIERLRLQYKKDLLKIVDIVLSHQGVKSKNKLILQLMDKLVYPNPAAYRDQLIRFSQLNHTNYSQLALKASQLLEQTKLSELRSSIARSLSELEMFTEDGETIDTPKRKSAINDRMEDLVSAPLAVEDALVGLFDHSDHTLQRRVVETYVRRLYQGVSFLNSNFSMSCQPYLVKGSVRMQWNRSGLIASWEFLEEYIEGNSGVENQILEKTLVEKHSGKKWGVMVIIKSLQFLPAMISDALREASSNLHEPNITNGSGEAVKYGNMMHIALVGINNQMSLLQDSGDEDQAQERIKKLAKFLKDEEVGSTIRAAGVGVISCIIQRDEGRAPVRHSFHWSAEKHYYEEEPLLRHLEPPLSIYLELDKLKAYENIRYTPSRDRQWHLYTVVDNKPQPIQRMFLRTLLRQPTTNEGFSLYQRLDAETSRTQLAMSFTSRSIFRSLMAAMEELELNAHNANTKSEHAHMYLYIIRQQQIDDLVPYPKRIDLVAGQEETTVEAILEGLAHEVHSSVGVRMHRLGVVVWEIKLWMAAFGQANGAWRVIVNNVTGHTCTVHIYRELEDTSTHKVVYSSVGVKGPLHGVPVNENYQSLGVIDRKRLSARKNSTTYCYDFPLAFETALEQSWAIQQSAFQRAKDTDLLKATELKFADKEGGWGTPLITVERSPGLNDVGMVAWLMEMRTPEFPSGRTIFVVANDVTFKAGSFGPREDAFFRAVTDLACAKKLPLIYLAANSGARLGVAEEVKSCFKVGWSEESRPEHGFQYVYLTPEDYARIESSVIAHELKLESGETRWVIDTIVGKEDGLGVENLSGSGAIAGAYSRAYKETFTLTYVTGRTVGIGAYLARLGMRCIQRLDQPIILTGFSALNKLLGREVYSSHMQLGGPKIMATNGVVHLTVSDDLEGVSAILKWLSYVPSHVGGKLPIVKSLDPPERQVEYLPENSCDPRAAIAGTVDGNGRWLGGIFDKDSFVETLEGWARTVVTGRAKLGGIPVGIVAVETQTVMQIIPADPGQLDSHERVVPQAGQVWFPDSATKTAQAILDFNREELPLFILANWRGFSGGQRDLFEGILQAGSTIVENLRTYKQPIFVYIPMMGELRGGAWVVVDSQINSDHIEMYADRTAKGNVLEPEGMIEIKFRTRELLECMGRLDQQLITLKAKLQEAKSNRDIVNIESLQQQIKSREKQLLPVYTQIATKFAELHDTSFRMAAKGVVREVLDWGNSRAVFYRRLNRRIGEQTLINSVRDAAGGDHLSHVSALELLKNLYLSSDIAKDSENAWLDDEAFFRWKDNPANYEDKLKELRAQKVLLQLTNIGDSVLDLQALPQCLAALLSKLEPSSRVKLTDELRKVLG >OIV97355 pep chromosome:LupAngTanjil_v1.0:LG15:1896959:1904045:-1 gene:TanjilG_07107 transcript:OIV97355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTERFTSPSSSSPEENALFLDILHEAPLFAHRKAARVVGSAGYATLAIGAEWIFRPLQDRISQDLISPVLCSCDVLLLLLTGIFQQYLVYQVQKIRLQGYYSFSQKLKFIVRIPFAITAYGTAAMLLVILWKPYTGFMSISAILRYYDGRLSDQQMALLQYQRENLHFLSEEILRLQECLSKYERTDDQSTPQVDLAHLLAVRDQELRTLSAEMNQVQSELRLARSLIAERDSEIQLVGTTNNQYVEENERLRAILGEWSTRAAKLERALEAERMSNLELQRKISMLRNKSHSLTEATEQGA >OIV97511 pep chromosome:LupAngTanjil_v1.0:LG15:389925:397015:-1 gene:TanjilG_11035 transcript:OIV97511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVVDEEQEHINPHHGLKEKMKALSLLYENQKQKNPSFRIQETEKKWSRIHVFVRVRPMGKKEKEMGSRCCVRIVNGCEVYLTQFGNENDYLRLKRLKGRHFAFDASFPDSASQHHVYSTTTSELVESVLQGRNGSVFCYGATGAGKTFTMLGTVENPGVMMLAIKDVFSKIRQRSCDGNHVVHLSYLEVYNETVRDLLSPGRPLVLREDKQGIVAAGLTQYRAYSTDEVMMLLQQGNQNRTTEPTRANETSSRSHAILQVVVQYRVRDAATNIINRAGKLSLIDLAGSERALSTDRRTVRSIEGANINRSLLALSSCINALVEGKKHIPYRNSKLTQLLKDSLGGICNTVMIANISPSSLSFGETQNTLHWADRAKEIRTKATDTNGDPALVPETKIDQAKLVLELEKENRELRIQLAQRQQKLLTLQAQSLAANSSPTPTAPSATSFLSTPPTSARPNAKQQTRPPFLVSATSFTPEIKNKGDEVALTIKTLQQTVKALEAEIEVMKKDHSLQLKQKDEVIRKLSANGGNKKAVGESPKRMVTRASIRPKEANTGELKSPSHRFRSPVPTAKKRSFWDITAANSPPVATLYRRKTRSHVIPEPNAHPSMLPQKKEDLEIDKNGDDISIGEVQATIGIDFLSKTMYIEDRAIRLQLWDTAGQERFRSLIPSYIRDSAVAVIVYDIANRQSFMNTNRWIDEVRTERGSDVIIVLVGNKTDLVDKRQVEIEEGEAMSREFGIMFIETSAKAGFNIKPLFRKIATALPGMETLSSTKHEDLVDVSLKPTTNSLQIEQQGEGCAC >OIV97438 pep chromosome:LupAngTanjil_v1.0:LG15:671353:672198:-1 gene:TanjilG_16199 transcript:OIV97438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAFENYSSNCSGPVTILPYVNVAQYKYMHEAVCNLAQDKMVPFILIPFQENDQIDLGGYVARSIQKLNARFQARALCTIGILVDRNSRLGSNDNSNLVFHVGIFFIGGKDDREALALGIRMTQRENVMVTLFRFVVTSNNNNKTKEEEEEEEVEEMLCESLIDEFKSMKFARGNVTWYEIMVVDVVEIMDTIRSLEGNYDLVMVGKRHNIGTLNDEEMAIFIENAETLGMLGDMLSSTEFCMGMVPVLVTQCGGVGESVVPKLCRIASATVSQKSMNVIK >OIV96676 pep chromosome:LupAngTanjil_v1.0:LG15:17233022:17235289:-1 gene:TanjilG_09218 transcript:OIV96676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQSKLTRTQSSLLRSGTIRSSIHSLSSINEDDFINDVVLDDDDDKNHKKKKKPNKNKKPGSTPRYRTGFITTPVLAVASFGFFSVCSLFLYLFYFFFYAVPTSENVLLALIFIAVALYFASKNKGLINRTLSSLKHSWEETLKKTGFSKTHSKPVQWVIGDSTDTESGSVKKINKVIKEGVEFYSNGDFYEGEFHRGRSNGSGVYNYFVNGRYEGDWVDGRYDGYGIESWSRGSRYRGQYRQGLRHGYGVYRFYTGDTYSGEWCNGQSHGVGVQTCSDGSCYIGEFKFGVKHGLGCYHFRNGDRYTGEYFGDKIHGFGIYHFANGHYYEGAWHEGRRQGIGTYTFRSGDRRCGEWDAGNLKHSIPPITDVALGAVQSARKTAENAINLRRVDDQVNKAVIAANRAATAARVAAVKAVQNRMDGKFCDIDV >OIV97164 pep chromosome:LupAngTanjil_v1.0:LG15:5658427:5662003:1 gene:TanjilG_28915 transcript:OIV97164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHLHLHLMKMFIFLIFTPYLTLSLHHNDTNALTLFRLQTDLHGSLLRNWTGINACSTAWRGVRCSSNNRVISLSLPSLNLRGTLDPLTALTHLRLLDLHDNRLNGTVSPILTNCTNLKLIYLAGNDISGEIPEEIASAKGLLRLDLSDNNLRGVIPKEILQLHYLQTLRLQNNVLTGFIPDLSASMVNLEELNMTNNEFYGQIPETMLKKFGDVSFSGNEGLCGATPFPACSFTNTSNPYPSSPEPDSTQTVPSNPSSLPLTSVVARPETQTHNKALSPGAIVAIVVANCVALLVLTSFIVAHCCARGRRSSNSMVANEGGGGKRKSGSSYGGDNKVYNANNGAGGVEDSDGTSGTDRSKLVFFDRRSGFELEDLLRASAEMLGKGSLGTVYRAVLDDGSTVAVKRLKDANPCARHEFEQYMDVIGKLKHPNIVRLRAYYYAKEEKLLVYDYLPNGSLYALLHGNRGPGRIPLDWTTRISLMLGAAKGLARVHAEYSAAKVPHGNVKSSNVLLDKNGVACISDFGLSLLLNPVHAIARLGGYRAPEQAETKRLSQQADVYSFGVLLLEVLTGKAPSSQYPSPARPRMDEEEQGALDLPKWVRSVVKEEWTAEVFDQELLRYKNIEEELVSMLHVGLTCVVLQPEKRPTMAEVVKMIEDIRVEQSPLGEDYDESRNSLSPSIPTTEDGLA >OIV97332 pep chromosome:LupAngTanjil_v1.0:LG15:2177401:2184311:-1 gene:TanjilG_07084 transcript:OIV97332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLYSQGSSRHCRLLAVLSGKSGDNKQKQKQNAASEDQPLYPFPELSSSGRLEVKVLTKPTADELGRVIEHLQPDFVYLQGEQLQGSGEIGPLVWDDFDLSVPEALCGLFSSKLPSTIYLETPKGEKLAEALHFKGVPYTIYWENEFSKYAASHFRQAFFSVAQSTSSHTWDAFQLALASFKLYCVQNNVLPSNSQKSGDKLEPQILGDPPSIDISPSEADMKEEEEGLPETISSVKIYDDNVNMRFLVCGVPCTSDACLLGSLEDGLNALLCTEIRGCKLHNRTSAPPPPLQAGTFSRGVVTMRCDISSSSSAHISLLVSGSADTCFNDQARLLENHIKKELIEKIQLVQAFPNDQQSKLSSSEPRRSASVACGSSVFEVCIRVPTWASQVLRQLAPNVSYRSLVMLGVASIQGLPVASFNKDDAERLLFFRTKQEKENCSNDPIVYGYPSWLMPPAPSRKRSEPCSRTKSTNASGLEVENVGSYRQKLNLAAMRPIPQSNRHKILPFSGFSGGERYDGDIGKSNQLLAPIKHTVVGSNSVPHRKSVSNSLQAHQIISLNPLPMKKHGCDRAPIRACSEEEFLRDVMQFLMLRGHTRLIPQGGLAGFPDAVLNAKRLDLFNLYREVVSRGGFHVGNGINWKGQIFSKMRNHTLTNRMTGVGNTLKRHYETYLLEYELAHDDVDGECCLLCHSSAAGDWVNCGICGEWAHFGCDRRQGLGAFKDYAKTDGLEYVCPHCNISNFGKKSQKTANGY >OIV96259 pep chromosome:LupAngTanjil_v1.0:LG15:20725755:20730157:1 gene:TanjilG_05099 transcript:OIV96259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMHMNTILVIDRMKPLRRTTVRKQQRRHGWQFPFHTFQLVAITVFFLLSIAYYAFFAPFLGNNIYEYVAYAVYSLLALSVFFLYVRCTAIDPSDPGVFGDSDKISRNRSILDEEFAESNIGLKGEGMSEHHTSNWCSNLGCFFCSLLVREDCCRNEDVISQQQSGESLDHLFCTLCNAEVNKFSKHCRSCDKCVDGFDHHCRWLNNCVGRKNYITFVCLMSVSLVWLILECGVGIAVLVRCFVDKRGTENQIAVKLGAGFPRVAFAIIVAICTGFSFIAVTPLGELFCFHMILIRKGITTYEYAVAMRTLSEPPGPSVDGGEQDSLPSSPTSSGVTAISGRSSFGISLQHRGAWCTPPGIFMDHQLPDKGGKLNHHPVRISAWKLAKLDSYEAAKAAAKARASSSVLRPIGFRSRSYDAVHLSSSNESGRSSPISNQGLQSKYDRSGTSKLSRSKSSYTASQASKEDIYSCQHSMDDFSSLQVSNLTQSPINENGTCVPMAVSENRRSSLFWDQAAGCFVSSSSSRGQDSPQISGTELLYSGRSIFFGSALVNEQPTTGTRNSSMVADIPNLDSALRE >OIV97226 pep chromosome:LupAngTanjil_v1.0:LG15:3700643:3706483:1 gene:TanjilG_27209 transcript:OIV97226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASAGLVAGSHNRNELVVIHGHEEHKPLKNLDGQVCEICGDGVGLTVDGDLFVACNECGFPVCRPCYEYERREGSQLCPQCKTRYKRLKGSPRVQGDEEEEGVDDIEHEFKIDDQMNKHGYVAEAMLHGKMSYGRGPEDDEHSQFPPVISGGRSRPVSGEISVASHGYGDQVLSSSMHKRVHPYPMSEPGSARWDEKKDDEWKDRMDEWKLQQGNLGPEPDEDPDAAMFDEARQPLSRKVPIASSKVNPYRMVIVARLVILAFFFRYRILNPVHDAMGLWLTSIVCEIWFAFSWILDQFPKWFPIERETYLDRLSIRFEREDEPNMLAPVDVFVSTVDPMKEPPLVTANTVLSILAMDYPVEKISCYISDDGASMCTFESLSETAEFARKWVPFCKKFSIEPRAPEMYFSEKVDYLKDKVQPTFVKERRAMKREYEEFKVRINALVAKAQKVPEGGWIMQDGTPWPGNNTKDHPGMIQVFLGTSGGLDTEGNQLPRLVYVSREKRPGFQHHKKAGAMNALVRVSAVLTNAPFMLNLDCDHYVNNSKAVREAMCFLMDPQTGKKICYVQFPQRFDGIDTHDRYANRNTVFFDINMKGLDGIQGPVYVGTGCCFRRQALYGYNPPKGPKRPKMVSCDCCPCFGSRKKLKHAKSDANGEAASLKGMDDDKEMLMSHMNFEKKFGQSSIFVTSTLMEEGGVPPSSSPAGLLKEAIHVISCGYEDKTEWGLELGWIYGSITEDILTGFKMHCRGWRSIYCNPKRAAFKGTAPINLSDRLNQISTFAGLYFIALFSSIMATGILELKWSGVSIEEWWRNEQFWVIGGVSAHLFAVVQGLLKVLAGIDTNFTVTSKATDDEDFGELYAFKWTTLLIPPTTILIINIVGVVAGVSDAINNGYQSWGPLFGKLFFSFWVIVHLYPFLKGLMGRQNRTPTIVVIWSVLLASIFSLLWVRIDPFVMKTKGPDTKLCGINC >OIV96319 pep chromosome:LupAngTanjil_v1.0:LG15:20479989:20485243:-1 gene:TanjilG_09746 transcript:OIV96319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDTSSILLPPQRYAAAGTFALALHHSQIHYHQPLTHDNHQLWIHDDSGLLCPVFRFLGLDDQAWHGLRETAASSSQFRHSLESFLKLLAEEDANCSERLDKEAALTKAVDATSVSMNTAADSSEAESGEHQQTTRSQENSCDIGIKSSSVAADETTESSVLLTAEPEKQASTALGNAGFEQPLEEASLIRYPRKVTVLYTLLSACVADTTEVVDKKCCQTRQGYDARYRVALRLLALWLGIKWNEMEAMEAMVAFSLMDSVSKEVRKENDSVGSETNWDQWKRGGIIGAAAVTGGTLMAVTGGLAAPAIAHGLGALAPVLGGIVPAIGGGVAAAATATGSAVGSVAVAASFGAAGAGLTGSKMATRIGSLEEFELKEVGGVHQGHLAVGISISGLAFEEKDFVKPWQGHNDNMERYVLQYESKNLIALSTAIQDWLTSRIAIELMKEGAMLTVLSSLVVALAWPATLITTFDIIDSKWAVAVDRSDKAGKVLAEVLLKGLQGNRPVTLVGFSLGARVIFKCLQCLADSKGDNAGLVERVVILGAPIPIKAENWEAARKVVAGRFVNGYSTDDWTLGITYRASLLSQGLAGIQPVDLPGIQNIDLTHVIEGHSSYLWMTRKILDQLELDNYYAAFRSEHENPQQEKSTSN >OIV97199 pep chromosome:LupAngTanjil_v1.0:LG15:7101516:7103421:-1 gene:TanjilG_28950 transcript:OIV97199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGKGAKGLITGKTSAANKDKDKKKPTSRSSRAGLQFPVGRIHRLLKTRTTAHGRVGATAAVYSAAILEYLTAEVLELAGNASKDLKVKRITPRHLQLAIRGDEELDTLIKGTIAGGGVIPHIHKSLINKSSKE >OIV96958 pep chromosome:LupAngTanjil_v1.0:LG15:14379783:14385809:1 gene:TanjilG_00540 transcript:OIV96958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSFFSTISSLSFTHTTPSFFSPNIYINPISPLTQRSSPIVATASNAAVSHIPPSVTSSIPYPTKLIESLINRIDLTENEAEESLELLLNEANEALISAFLVLLRAKGETYEEVVGLARAMFKHATKVEGLGDVVDIVGTGGDGADTVNISTGSSILAAACGARVAKQGSRSSSSACGSADVLEELGVVIDLGPQGVTKCINEAGIGFMMAPKYHPAMKIVRPVRKKLKVKTVFNILGPMLNPARAPYAVVGVYTEDLVLKMAKALNRFGMKRALVVHCEGLDEMSPLGPGTVLDVTPDRIDKFSFDPLDFGIPRCTLESLKGGGPEYNAEVLKRVLAGERGPIADALVLNAAAALLVSGYVRNLADGVAMARETQQSGKALKTLNLWKDVSNVMDFGIPRCTLESLKGGGPEYNAEVLKRVLAGERGPIADALVLNAAAALLVSGYVRNLADGVAMARETQQSGKALKTLNLWKDVSNTIQDDA >OIV96421 pep chromosome:LupAngTanjil_v1.0:LG15:19891615:19892679:-1 gene:TanjilG_09848 transcript:OIV96421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSNATKDDSSSSASSEIHIPAEIDWHMLDKSKFFFLGAALFSGVSAALYPMVVLKTRQQCSSAQYSCLNMSCAIMRYEGFRGFYKGFGTSLMGTIPARALYMASLEVTKSSVGTALLDLGFSDTTATAIANAAGGVTSAMAAQLVWTPIDVVSQRLMVQGCNNSSNTKNILTNLNSENYRNGFDAFRKILCADGARGFYRGFGISILTYAPSNAVWWTSYSMVHRLIWGAFGSYMSKRDNGGLVNGGCGFRPDSKAIVAVQGLSAVMASGVSAIVTMPFDTIKTRLQVLDSEENGRRRPLTLVQTVKNLVNEGGLLACYRGLGPRWASMSMSATTMITTYEFLKRMSTKSQE >OIV97212 pep chromosome:LupAngTanjil_v1.0:LG15:4602344:4608030:1 gene:TanjilG_15277 transcript:OIV97212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDVQSLVNDFVNQLKTRKIEGSQATAKQTAEFLRSVISQQRVPHTNQAAALINAVRDVGEQLIAANPVELAVGNIVRRVLHIIREEDHSLTTAAVAGLGLTAASDDENDVDRDDHPVLSAAVVAAAARSTLRPPSLQTLLEDMPDSTAIPPPSSSGGESEGKSRSVDKGSIGRKLKHDVIEAVNELIQDIATCYDQIAEQAVEHIHQNEVILTLGSSKTVLEFLCAAKEKKRSFKVIVSEGAPRYQGHLLAKELAARGLQTTLITDSAIFAMISRVNMVIVGAHAVMANGGVIAPVGLNMVALAAQRHAVPFVVLAGSHKLCPLYPHNPEVLLNELRSPSELLDFGEFSDCMDSASGAGSLHVVNPTFDYVPPKFVSLFITDTGGHNPSYMYKLIADYYSADDLVVKRRALTGN >OIV97246 pep chromosome:LupAngTanjil_v1.0:LG15:3226518:3228523:1 gene:TanjilG_10780 transcript:OIV97246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNPKVFFDMAMLTPLRTFVPSAPAQRKSAIARREVTSLLETGQEAAAYCVVPIESIGRNIPFAFLEHVKEEVSKKYGGGKAATAPAQSLKCNIALIIPRRLASLSK >OIV96725 pep chromosome:LupAngTanjil_v1.0:LG15:17781153:17783756:-1 gene:TanjilG_09267 transcript:OIV96725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVSGTEIVFAFLLCGTLSFSAESHRGRVSTPAVCRRESIFDYILGFSDSICLTPDSPPSFCYIGVTEGDEVSLQKALNMVHKNSHEYVAVLFFASWCPFSRTLRPDFSIMSSLNPSIPHLAIEESSVRPSILSKYGVHGFPTLFILNSTMRVRYHGSRTLGSLISFYSDVTGARIDSLDQLSLEKIGRLSVREKHSNTEPESCPFSWARSPENLLRQETYLALATAFVVLRLLYLCFPTLLICIQYVWRRVIQNVTLGSLLEHPLVYLKRVIQSFKCLNEPCKRSNLQEGAMNAKAWASKSLATVSIGEGSTSRGCTGSSSNP >OIV96474 pep chromosome:LupAngTanjil_v1.0:LG15:19475337:19479241:1 gene:TanjilG_07866 transcript:OIV96474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVYLPIAFIKDWFCNFLKRRSSKSDKNAVSVDEFSVSISSPLNRDGVQKDFELELGSVIRKDSNLDLSALAELKPLVAKYNDNSNLRKTERQLTGKEIATYGFYIAPIWFITEYLSNAALARTSVASTTVLSSTSGLFTLFIGAFLGQDTLNVAKVAAVFVSISGVVMTTMGKTWASDDSELSAANGKHSFAGDLFGILSAMSYGLFTVLLKKFSGVEGEKADVQKLFGYIGLFTLVALWWLVWPLMALGIEPKFSIPHSAKMEEMVLANGLVGSVVSDYFWALCVVWTTPLVATLGMSLTIPLAMVADMVIHGRHYSAMYMLGSVQVFAGFIIANLSDWLTKKLGL >OIV97474 pep chromosome:LupAngTanjil_v1.0:LG15:144823:145167:1 gene:TanjilG_10998 transcript:OIV97474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRGQQQQDQISRDIYQLSMLVLDLLRSPPSPLNFSDHPQITPASFASLLLGISLAMMLCGSVTFFIGFMLMPWVIGLAMLFYLAGIVSTISALGRSILSFATLPPKDIPGKTN >OIV97012 pep chromosome:LupAngTanjil_v1.0:LG15:11963387:11970096:-1 gene:TanjilG_03586 transcript:OIV97012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDFDGLLPSEFGFKSQGRKSPMATSKGSSRSTPNDVVNSIPNHTKNLTSDDPFADLFASSAAKSDPPFRASTDFASKPVYDKPVYDDDIFDAIPGLKSTSKVTYDNVFADAKGGGSGGDDGAFDDLLGGFGKSKSSSFGGKRLEKDEKGLGDFDDLIPGFGSSKSTSDRPTPDIGLSSEPANTASKATSGMAEDPFKVFESTSAPMDSPSSQFKDPLEEIIKLSSSRSTKQHSSSYSNGGVYDDSDPFDGLGKSVPAFSSDRTSGKGSTSPTPRSNTSSSWNTDKESFEKSSVRNPERHLQNKIPVEHDQEFQQAPFDMPTYSSGSNKPVGQRSTSPSYDNDGFSQANIEVDMSPKYEENSETNDDIWLTVSEIPLFTQPTAAPPPSRPPPPQPVHIPKSGTGSPASANAGNKSYFPSSTRFSQVPKSAPAATKLYPASQFDELEDFAMGRSSGYHNEHENDLPGEELEMNSAAAAMKEAMDKAQAKFRHAKEVRERENTKAARSKESVQFEKDDISVLEEKEKQERLDHKRQQKEREEKEQLRLEREREEKEKEQQRLEREREEKERERQRLERERERARQAVERATREARERAAAEARQRAERAAVEKANAEARVRSERAAVQRAQAEARERAAADAKERAEKAAAEAKEREARERTARAEAEARVKAERAAVERAAVEARERAAAEARERAAADARSNQQKNENDLESFFSMGARANSAPRPPRTSSSESGFDAQFQPDVTRKSTGASSSMKKASTSTNIVDDLSSIFGAAPSSSGEFQDIEGETEERRRARLERHQRTQERAAKALADKNQRDLQTQREQAERSRLAETLDFEVKRWAAGKEGNLRALLSTLQYVLWPECGWQPVSLTDLITGAHVKKAYRKATLCIHPDKVQQKGATLQQKYIAEKVFDLLKFPQPILLREDQNPIYATLPNIYLDSSYIASMKYCFPGVVSDGYNRE >OIV97028 pep chromosome:LupAngTanjil_v1.0:LG15:11381806:11387494:1 gene:TanjilG_19575 transcript:OIV97028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMKKKGINAREALEGAVKSIGLGFDLCNALRLRHCKNYSRDSRLISIDDDHVRNLDLPGPVSISNVPKSIKCDKGDRTRLCSDVLTFQQMSEHFNQDLSLSGKIPTGHFNDAFEFSGIWQKDAASTKSLAFDGVSITLYDVALDKTQVSLRDYVKQAVPSSWDPAALARFIEKYGTHVIVGVKIGGTDIVYAKQQYSSPLQPADVQKKLKDMADKFFIDGAGRNNTNDGRFNGKQKFMKDNELGFVDIQSRSYSELQVQDIKFMCKRKGGSGKSDLTHNEWCQTVLSQPDVISISFMPIISLLNGINGSGFLTHAINLYLRYKPPVGELHQFLEFQIPRQWAPVFGDLALGPDRKLQSNTSLQFSFMGPKLYVNTTLVDVGKSPVTGLRLYLEGKRSNQLAIHLQHLSSIPKTFQIQDELDGNLSDATSERKYYEKVQWKSFSHVCTAPVESYDDDSVVTGAHFEVGDSGMKKVLFLRLRFSKVVGAIRVKAPEWDGSPGLTQKSGIVSTLISTRFSTAAQKPPPRPCDVNINSALYPDGPPVSTHTPKLLKFVDTTEMTRGPQDSPGYWVVSGARLLVEKGKISLKVKYSLLTVIPPDEEALSY >OIV96309 pep chromosome:LupAngTanjil_v1.0:LG15:20562539:20567291:-1 gene:TanjilG_09736 transcript:OIV96309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKWTLPSAIFLLSLLILISDQGRKFEANAESDSEEVVDLPKVEEKIGAVPSGLSTDSDVVKREAESISKKSLRSNAEKFEFQAEVSRLMDIIINSLYSNKDIFLRELISNASDALDKIRFLSLTDKEILGEGDNAKLEIQIKLDKEKKILSIRDRGIGMTKEDLIKNLGTIAKSGTSSFVEKMQKTGDLNLIGQFGVGFYSVYLVADYVEVISKHNDDIQYVWESKADGAFAISEDTWNEPLGRGTEIRIHLRDEAGEYLEEFKLKELVKRYSEFINFPINLWASKEVDVEVPADEVEPSEEEEDESSKASSEEESEDEDAEKKPKTKTVKETTYEWELLNDVKAIWLRNPKDVTDEEYSKFYHSLAKDFSDEKPVTWSHFTAEGDVEFRAVLFVPPKAPHDLYESYYNANKSNLKLYVRRVFISDEFDELLPKYLSFLQGLVDSDSLPLNVSREMLQQHSSLKTIKKKLIRKALDMIRRIAEEDPDESNDKDKKEEVSSDNEEKRGQYSKFWKEFGKSIKLGIIEDATNRNRLAKLLRFETSKSEGKLTSLDQYISRMKSGQKDIFYITGASKEQLENSPFLEQLKKKNYEVIFFTDPVDEYLMQYLMDYEDKKFQNVSKEGLKLGKSSKDKELKESFKDLTKWWKKSLAASENLDDVKISNRLDNTPCVVVTSKFGWSANMERIMQSQTLSNTASQAYMRGKRVLEINPRHPIIKELRDRVVNNPEDESVKQTAQLIYQTALFESGFILNDPKEFASRIYDSVKSSLDINPEATVEEEDETEEVESEESDAKEAASTSKAEAANDAADVKDEL >OIV97365 pep chromosome:LupAngTanjil_v1.0:LG15:1830782:1834484:-1 gene:TanjilG_07117 transcript:OIV97365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVNLTPNAIPAIIAGDVNSKPLVQVMDITLISNRNNNSQQQRYRLLISDAVSTHHAMLATQLNDRILTAQVKKGSVLQLLEYICTPLHNRKIIVVLNMETIIPDCEIIGNPKSFVESDLPTQRALPDKTVEDSSRSNNNNNLPVKKTSNDVQNFRPTVQPAYQPPPPRLTGSKLDTPTTAPMMTPSTTPPAAMLSKKFFREGGTAAASATSGTIIEAVNAAAVIPVTTFSFMEEALT >OIV96293 pep chromosome:LupAngTanjil_v1.0:LG15:20656022:20659911:-1 gene:TanjilG_09720 transcript:OIV96293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDDRDQNLIGARSISTQIVRNRMKSVKNIQKITKAMKMVAASKLRAIQTRTENSRGLWQPFTALLGDTPSVDVKKNVVVTISSDKGLCGGINSTSVKISRVLSKLNSGPDKETKYVILGEKAKAQLTRDSKKDIEIIITELQKNPLNYTQVSVLADDILKNVEYDALRIVFNKFQSVVSFLPTVSTVLSPEVVEREAEAGGRLGELDSYEIEGGDTKSEILQNLAEFQFSCVMFNAVLENACSEQGARMSAMDSSSRNAGDMLDRLTLTYNRTRQASITTELIEIISGASALTG >OIV96837 pep chromosome:LupAngTanjil_v1.0:LG15:15425234:15425983:-1 gene:TanjilG_08698 transcript:OIV96837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISNPELIHYACIAKQTTILAQHNTTNDPKIESLASQCIEQTPPNHSLFSHTHNNRTFTFLIDYPFTFFAIFNNLTLKSETLAFLNAVKTASRTALDRQIQASGDLHPMCFQLQFDSIIKETLNFGSELTGNSSNSIVIDRDCGNPRGKRPSTVPLLGKPLEGLKKKKRVVGSDLEGKDGNLENKVEVSDSEVNVCNRDLKGMVNDHRQKAKHIWKKHVWVVLLLDLFVCAVLFVIWLWVCSGFKCMAY >OIV97257 pep chromosome:LupAngTanjil_v1.0:LG15:3045042:3045700:-1 gene:TanjilG_10791 transcript:OIV97257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNFVSEIPLDIYQATHLEYFNASYNPQLRGHIPMDLASIHVLGALDLSNNKLNGSIPAKFGSSSSLQLLNVFFNHISGSIPTGKSFKLMDSSAFVGNSELCGAPLRQCPDSDGTFENKGTWRLTCIVLLSVGLLIILLGLAFGIVYFRREVKTQWKMV >OIV96439 pep chromosome:LupAngTanjil_v1.0:LG15:19786537:19790638:1 gene:TanjilG_09866 transcript:OIV96439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADVLVMKDIEATASRLGIDIDSIDLDSIRLPTADNVGIPSDDEDVLQEENLEFESGFGNIIVVDNLPVVPKEKFEKLEGVIRKIYSQIGVIKDDGLWMPVDPDTEKTLGYCFIEYNSPQEAELAKERTHGYKLDRAHVFSVNMFDDFDRFMKVPDQWAPPETKPYAPGENLQNWLTDAKARDQFVIRAGSDTEVLWNDARHLKPDPVYKRTFWTESFVQWSPLGTYLATVHRQGAAVWGGASTFNRLMRYAHPQVKLIDFSPGEKYLVTYSSHEPSNPRDANRVVINIFDVRTGKVMRDFKGSADDFAIGGAGGVTGVSWPIFKWSNGGDDKYFARMGKNVLSVYETDTFSLVDKKSLKVENIVDFSWSPTDPIIALFVPEMGGGNQPARVSLIQIPSKVELRQKNLFSVSDCKMYWQSNGDYLAVNVDRFTKTKKSTYTGFELFYIKERDIPIEVLELENKNDKIIAFAWEPKGNRFAVIHGDNPKPDVSFYTMRTAQHTGRVSKLTTLKGKQANALFWSPVGRFIVLAGLKGFNGQLEFYNVDELETMATAEHFMATDIDWDPTGRYVATAVTSVHEMENGFNIWSFNGKHLYRILKDHFFQFLWRPRPPSFLSAEKEEEIAKNLKKYSKKYEAEDQDVSLLLSEQEREKRRALKEDWDKWINEWKRLHEEEKLYRQNLRDGEASDEEEEYEAKDIEVEEVINVSEEILHFE >OIV96963 pep chromosome:LupAngTanjil_v1.0:LG15:14539775:14540737:-1 gene:TanjilG_00545 transcript:OIV96963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQPEQPQTPRYCIGYALHPQKIQNLIQPSLLNYTKKHGIDLVHIDLTIPLIKQGSFHCIIHKLHSQDWNKLRLDEYLAKYQNTLIMDHPDLVEQLHNRVSMLEPMTHLQISLGNYTVGVPKQLVVDDNNAMEEMGFSLRFPIIAKPLFVDGTASSHELCLIFDREGLRTLNNQNNNSRIVLQEFVNHGGVVFKVYVAGEHVRCVKRRSLLDISEGKLRTLKGLVKFSQISNSTVEERSENMTEIVTEKAEVPPEELVMELGRGLREAMGLNLFNVDVIRDAKDYNKYLVIDINYFPGYTKLPCYEPFITDFLLDSVRNN >OIV97094 pep chromosome:LupAngTanjil_v1.0:LG15:9496509:9496820:-1 gene:TanjilG_15795 transcript:OIV97094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSGSKRGRHSESPPHQRDGAPFSPMTEGARKRSQNRSLTMETEPERNNVMQVGENSTGIMSLGLETKHPAERGSPPAFGEFLNTCGWCNTKIGDQSAYMFG >OIV97214 pep chromosome:LupAngTanjil_v1.0:LG15:3867315:3870307:-1 gene:TanjilG_02922 transcript:OIV97214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQTPESESVVKQIKVKVREVNNLPIGLRIIVDFDEQCATYGDATGLLAGFLGTLASNSKLFPVDYDKWSGGRSGIPSIF >OIV97395 pep chromosome:LupAngTanjil_v1.0:LG15:1438606:1444373:1 gene:TanjilG_17579 transcript:OIV97395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGSRIVMESLMSRRNFHMVLLLLFFWVCYVTASVTYDHKAIMINGQRRILISGSIHYPRSTPQMWPDLIQKAKDGGLDVIETYVFWNGHEPSPGKYYFEDRFDLVGFIKLVQQAGLFVHLRIGPFICAEWNFGGFPVWLKYVPGIAFRTDNEPFKEAMQKFTEKIVNIMKAEKLFQSQGGPIILSQIENEYGPVEWEIGAPGKAYTKWAAQMAVGLDTGVPWVMCKQEDAPDPIIDTCNGFYCENFTPNKNYKPKLWTENWTGWYTAFGGATPYRPAEDIAFSVARFIQNRGSLFNYYMYHGGTNFGRTSNGLFVATSYDYDAPIDEYGLLNEPKWGHLRELHRAIKQCESALVSVDPTVSWPGKNLEVHLYKTESACAAFLANYNTDYSTQVKFGNGQYDLPPWSISILPDCKTEVFNTAKVNSPRLHRKMTPVNSAFAWQSYNEEPASSSENDPVTGYALWEQVGVTRDSSDYLWYLTDVNIGPNDIKDGKWPVLTAMSAGHVLNVFINGQYAGTAYGSLDDPRLTFSQSVNLRVGNNKISLLSVSVGLANVGTHFETWNTGVLGPVTLTGLSSGTWDLSKQKWSYKIGLKGESLSLHTEAGSNSVEWVQGSLVAKKQPLAWYKTTFSAPAGNDPLALDLGSMGKGEVWVNGQSIGRHWPGNKARGNCGNCNYAGTYTDTKCLANCGQPSQRWYHVPRSWLRSGGNYLVVLEEWGGDPNGIALVKRT >OIV97070 pep chromosome:LupAngTanjil_v1.0:LG15:10423786:10426345:-1 gene:TanjilG_14615 transcript:OIV97070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVFVNLSSCFVISNCDILWCQVDPDEVNALAQLMTWKTAVANIPYGGAKGGIGCNPGDLSITELERLTRVFTQKIHDLIGVQRDVPAPDMGTNSQTMAWILDEYSKFHGHSPGVVTGKPIDLGGSLGREAATGLGMIFAIEALFAEYGKSIADHTFVIQGFGNVGIWAAKSIYDRGGKVIAVSDITGAIKNPNGIDISTLLKHKDNNGTLKDFSGGEAMDPNELLVHECDVLIPCALGGVLNKENAADVKAKFIVEGANHPTDPEADEILSNKGVIILPDIYANSGGVTVSYFEWVQNIQGFMWEEEKVNHELKKYMTNAFQDIKKMCKTHNCDLRMGAFTLGLNRVAHATLLRGWEA >OIV97252 pep chromosome:LupAngTanjil_v1.0:LG15:3124239:3128037:1 gene:TanjilG_10786 transcript:OIV97252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNVTGTVAAKFAFFPPDPPTYEVVKDEDGRYVFNGVTEDKNVNVHVLETKGGNKIVATFWKHPYARFTFLYSHGNAADLGQMHELFIELRAHLRINIMSYDYSGYGASTGKPSEFNTYYDIEAVYDCLKNEYEIKQEDIILYGQSVGSGPTIHLATKLHNLRAVAIHSGILSGLRVLYPVKMTFWFDIFKNIDKIRHVNCPVLVIHGTNDDIVDVSHGKRLWELSKEKYEPLWVKGGGHCNIETFPEYLKHLRKFLNAMEKLTITGQTNKQLTQNPSFSENKNIKCLRFSKK >OIV96655 pep chromosome:LupAngTanjil_v1.0:LG15:16991263:16996324:-1 gene:TanjilG_09197 transcript:OIV96655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGGSDFALTVPSELESVLRSKTVEFFITRRPWLDLYGVNVRPVAPFGSISRKPYVDSALIHRSLPDELLFEVIARMTPYDMGKASCVCRKWRYTIRNPVFWRNACLKAWQFSGMVENYKILQSKYDGSWRKMWLSRPRLRTDGLYVSRNTYIRVGVAEWKITNPVHVVCYFRYLRFYPSGRFLYKNSSQKVKDVVKCMSFRSSKAESVFGGNYTLSDDKVEAAILYPGAQPTVLRMRLRLRGTTAGANNRMDLVSLVTSGVNSSEASAPEEDILGVVEGWQDDETHNPDVPAVSHKRGMTPFVFVPFGEVETSVLNLPVEKMDYFVPG >OIV97501 pep chromosome:LupAngTanjil_v1.0:LG15:320290:331024:1 gene:TanjilG_11025 transcript:OIV97501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEEGRMRFKADSDSEIAKGYCWCLVWMLDGSEPKEVLMVEKEDLTNMNVGLNIKAHSRVNTWQNMLFSMQKATKDIMLLDTHHHHKFSAIWVPSNPRGAERLPPGIVDAESDFYLRRLWGKPSEDLATKPKYLVTFTVGYDQRDNIDKAVKKFSDNFTILLFHYDGRTTEWEEFEWSKKAIHVSTRKQTKWWYAKRFLHPDIVAPYDYIFIWDEDLGVEHFDAEEYLKLVRKHGLDISQPGLEPNKGLVWQMTKRRDDQEVHKVTEEKPGRCFDPLMPPCAAFIEIMAPVFSRDAWRCVWHMIQNDLVHGWGLDFAMRKCVEPAHEKIGVVDSQWIVHQGIPSLGNQGKSADVGKPPRNGVKDRCRTEWTMFQNRMAYAENAYYKSIGIDMSKSTPP >OIV96336 pep chromosome:LupAngTanjil_v1.0:LG15:20400266:20405128:1 gene:TanjilG_09763 transcript:OIV96336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGNHGIGVNDGRSAELPDSESRKQPCVWSSSPGHGCKTDIGKQIFCNRSLNMKNIVAVGFDMDYTLAQYMSETFETLAYQGTIKKLVYDLGYPRELLNWTFDWNYMVRGLVLDKKRGNILKMDRHKYVKVAYHGFNELSKEVKVGTYGNTLVRDSFDEPDYALIDTLFSLAEAYLFAQLVDFTDGNPGKFQEGVDYARMYKDVRAAVDLCHRDGTLKQMVAKDPKRYINEDTAIVPMLEMLRESGRATFLVTNSLWDYTNIVMNFLCRSNMADGSNNFDWLQYFDVVITGSAKPGFFLEENRANLFQVVPETGMLLNTDNGSPVPQVGTIPARLFMDDEKHACPVFQGGSVGHLHKLLSIESSSQVLYVGDHIYGDILRSKKVLGWRTMLVIPELEKEVKLLWESRDARKELQILRSERDCIEDEIHHLRWSLKFKNPDPDAKQNLSSELDKLELERERVRLSHQEAQRKLHQRFHEPWGQLMKTGYQNSRFAHQVERFACLYTSKVSNLALFSPNKYYRPSEDFMQHEFGILAYEPAEV >OIV96956 pep chromosome:LupAngTanjil_v1.0:LG15:14258667:14261628:1 gene:TanjilG_00538 transcript:OIV96956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVSHQPQGFYVISSSRRLSWSKRLQMKQCLTKCHMIGRTDYLSKQNICLSVGPPCFCVAKLKPLRISGFKGNAQNDDSGTRANRFKVPKTSVRLEEGGEVHNVPLSYASGANEGLETSSTIHRLFKKWLMILRAQPSNQDDDENLGEPPPSGETLQGTQSKARSGVLKVAWSHFLALDATIKVPLLIFVPFYLAVNVKYGVEVSKDLTPLWVFGPLIVALYVMIIRWLCSLYAFTFFQTIKVIKNLPSYCILVFNYVFRGKLKEDIRAYLLQPILNIKNRDYKQLIRNKLKELQVWIMEKYLDFVESVWPYYCRTIRFLKKANLI >OIV96814 pep chromosome:LupAngTanjil_v1.0:LG15:15695336:15698769:1 gene:TanjilG_08675 transcript:OIV96814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTITGMSSLTALLIPLLLVLPILSHASTSSIKPKGALDCYRSCGIHNISHPFRLKDSPDHCGDLRYNLSCQDNQFVQYWNSGKYYVQSINYNNLTIRLVDANIGLHNYSSPPSHSLGTFSFRNQFYPSEPYQDFLVHDIDSPLTYDVKNLTKLMIYLRCPYPMSSSDGTATCMNDSSFGKGSIFYVNVDNKSLWDLGLGDSCHIEFMYLTSWPAEYYNQSNISCTDIHNMLLYGFELSWGKAFCDVRSIAILDDHGNPYCEGVLAFTLRSFLFKGKAVSLHPNILSFLSFKLWFFFTVSYFAVRWVITTLFIIIFLCILKCRRKHLSMYINIEDFLRGDNSYMMPIRYSYKDIKRITEGFKIKLGSGGFGSVFKGQLRSGRVVAVKVLNKAKESGQDFINEVATIGTTHHVNVVQLIGFCVDGSKRALIYEFMPNGSLEKYIFSHEVGSSLSCEMLYSISLGIARGIEYLHNGCNMKILHFDIKPHNILLDANFNPKVSDFGLAKLYPTDNSIVSLTAARGTIGYMAPELYYRNIGTISYKADVYSFGMLLMEMASRRKNLNALAEHSSQLYYPFWVYDQLHDGKEITIENDTDEEMRLAKKMMIVALHCIQTRPSDRPSMNEVIKMLDGDEDLPMPSKPYLFPQDDIREHTSSKPSSEITVSNSKEST >OIV97446 pep chromosome:LupAngTanjil_v1.0:LG15:611732:612088:1 gene:TanjilG_16207 transcript:OIV97446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHILTGEANSVNDFRFVPQAIVSFGNTVIVEGCDGNRNIAWVHAWTVADGIITQVREYFNTALTVTRIGNSQFDSDSDSDSGSEIVPAVSNSVRFTSVWESSVSNRVGKSVPGLLLAI >OIV96265 pep chromosome:LupAngTanjil_v1.0:LG15:20778228:20779022:-1 gene:TanjilG_05105 transcript:OIV96265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRGERESNEEKKRKHSDNDDGREHSVKAKTSSSLEEKRDIIQEPLFDLNSSPPPEPPSEPVDPPPPPPSPVTQQPVDPPPPPPPAKSRRRRNPTQGPGEGKSEFIAPPFPWATDRRATIHSLNYLSNNDIHTIMGNVQCKRCDEKFTLEFNVNEKFYEVMGFIMEKKDEMHDRAPNVWMNPTLQNCNLCGQQNCVKPIIIPKNKKAINWLFLLLGQWIGLCSIDELKYFCKHNKNHRTGAKDRVLYSTYIALCKQLFPDAPFD >OIV97471 pep chromosome:LupAngTanjil_v1.0:LG15:132475:139085:-1 gene:TanjilG_10995 transcript:OIV97471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSEMANTDPEGLDGIRMTWNVWPRSKVDSSKCVIPLAATISLIKPHPHIPRLPYAPLRCKTCSSPLNPFSRVDFTANIWICPFCYQRNHFPPHYSTISETNLPGELYPHYTTVEYVNPISNSFHQFPSNNSTSPVFLFVLDTCMIDEEMEFVKSALRRAIGLLPDNALVGFVSFGTQVEVHELGFSDMSKVYVFRGSKEIPKEQVLEQLGLGAGGGASGRRPGGVGPGGGYQKGGVQGAAAGFPGSAVTRFLLPASDCEYTLNALLDELQTDQWPVPPGSRPARCTGVALSVAMGLLGACNPGTGARIITLVGGPCTEGPGTIVSKDLSDPVRSHKDLDKDAAPYFKKAVKFYESLAKQLVSQGHVLDIFASALDQVGVAEMKVAVERTGGLVVLSESFGHSVFKDSFKRIFEDGEQSLSLCFNGMLEINCSKEVKIQGIIGPCTSLEKKGPSVADTVIGEGNTTAWKMCGLDKSTCLTVMFDLSSSDRSNTPGAVNPQLYLQFLTSYQGPDGQSVLRVTTVTRRWVDSSVSSEELIQGFDQETAAVVMARYASLKMETEETFDATRWLDRFLIRLCSKFGDYRKDDPSSFTLNPSFSLFPQFMFNLRRSQFFQVFNNSPDETAYFRMLLNRENISNAAVMIQPSLISYSFNSPPAPALLDVASIAADRILLLDSYFSVVIFHGMTIAQWRNLGYQNQPEHQAFAQLLQAPHDDVQMIVRDRFPVPRLVVCDQHGSQARFLLAKLNPSATYNNTHEMTAGSDVIFTDDVSLQVFFEHLQKLAVQS >OIV96947 pep chromosome:LupAngTanjil_v1.0:LG15:13945605:13948501:-1 gene:TanjilG_00529 transcript:OIV96947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLTHSHTVKLLYSYGGKILPRATDGELRYVGGYTRVLTVEFSVTFSELMAKLAELCGSSVTLRCQLPNGDFETLISITNDEDLTNIIEEYDRASSKLPHPLKIRAILSPPRSQKKVSLSPSSSSSSLTHSPSRTPHASADSPPYVTAAYRVTRQHRSPPVGYSIGVHNGSVKVCCNNGQFDGSPSNMVSILTYKAITYLQGNFTPFLMALGTIHKRTQNTRLHCHLYLSSTCQLAYGVDVGY >OIV96441 pep chromosome:LupAngTanjil_v1.0:LG15:19770067:19775138:-1 gene:TanjilG_09868 transcript:OIV96441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDDDVYDLPLTQTAPSLSKKPKHNNSFEFDKDNILANPNSPFPNYSTLDSDDYAPHEFENCSLDFIPSTIDINCTTLQHYHVSSSHSNHSYCSVPRELKGGYFGKSIESKLVLLRNGSEKLSDEVAEVDSDSELDSLLKLCDELEQLDEDCVICPLCEVDISNLTEEQRNLHTNDCLDKSQDTPQHVPPHVEEIEVQSVSKVSPVVDWLRKLGLVKYEHVFVREEVDFDTLQWLTEEDLLSMGVTALGPRKKIVHALSELRKGAAPKNEEHQDAPAEPRRIRNQKVKSQHDKSERKFDSTSKPVGNKLITEYFPGFAINGKNVSATPGEQPEIKNSCSGSGHKHKAKNTPTNRKLRDVPKWCSIPGTPFRVDAFKYLRGDCSHWFLTHFHLDHYQGLTKSFNHGKIYCSSITARLVNMNIGIPYDKLHVLPLNEKVKISDVDVTCLDANHCPGAVIILFQPPNGKAVLHTGDFRFSEEITLNPLLRTCPIHTLILDSTYCNPQYDFPKQDAVIQFVIDAIQAESFNPKTLFLIGSYTIGKERLFLEVARALRKKVYVTAAKMRLLKCLEFQDEDMQWFTSNEHESNIHVAPMWTLASFKRLKHVSCQYSSRFSLIVAFSPTGWTFGKDKKKSPGRRWQQGTTIRYEVPYSEHSSFTELQEFVKLISPDNIIPSVNNDGPESADAMISLLLS >OIV96974 pep chromosome:LupAngTanjil_v1.0:LG15:12334882:12335427:1 gene:TanjilG_31865 transcript:OIV96974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTNIFFLFLLFLLHPQPHTFVNGDATLIKKTCKNTKYYNLCFSSLKSDPSSPNADPKGLAVIIVGIGMTNATSTSSYLSSKSLGLTNNTTLNSVLKECADKYNYAGDALQASVQDLANEEYDYAYLHITAAKDYPNACHNAFKRYPGLIYPKELANRENGLKHICEVAMGIIDNLINLNW >OIV96677 pep chromosome:LupAngTanjil_v1.0:LG15:17248004:17250204:-1 gene:TanjilG_09219 transcript:OIV96677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFILSSSKFLNSSNHFIPLSSSSTPKFSPFIAATMSTVSNTHNDVTTTQIKHHPLQVAKRLEKFKTTIFTQMSMLAAKHGAINLGQGFPNFDGPDFVKEAAIQAIRDGKNQYARGYGVPDLNNAIAERFKKDTGLVVDPEKEITVTSGCTEAIAATILGLINPGDEVILFAPFYDSYEATLSMAGAKVKSITLRPPDFAAPIEELKSAISKNTRAIMINTPHNPTGKMFTREELNFIASLCIENDVLVFSDEVYDKLAFDVEHISPASLPGMFERTVTMNSLGKTFSLTGWKIGWAIAPPHLTWGVRQAHSYLTFATSTPMQSATAAALRAPDSYFVELKRDYMAKRAILVEGLEAVGFKVFPSNGTYFVVVDHTPFGHENDVAFCEYLIKEVGVVAIPTSVFYLNPEDGKNLVRFTFCKDEGTLRAAVERLKEKLRK >OIV97015 pep chromosome:LupAngTanjil_v1.0:LG15:11867562:11870670:1 gene:TanjilG_03589 transcript:OIV97015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLPTWKSNTIARIVVVLVLHLSLVFPYSYCYHHHADSIVSLPGQPPHIDFQHFSGYVTVDDKNQKALFYYFVESKTHPASKPLVLWLNGGPGCSSLGVGAFSENGPFTPNEEFLVQNEYSWNREANMLYLETPVGVGFSYAKGSSSYMTMNDEATARDNVVFMERWFNKFPQYRNRDLFLTGESYAGHYVPQLAKLMIEMNRKNKIFNLKGIALGNPVLEYATDFNSRAEFFWSHGLISDSTYNMFTKVCNYSRYVSEYYRDSVSPLCSKVMGQVSKETSKFVDKYDVTLDVCISSVLSQSKVISPQNQIQQASERIDVCVDDKVTNYLNRRDVQDALHAKLVGVRKWGVCSDILDYDMLNVELPTLPILGSLVKAGVRVLIYSGDQDSVIPLTGSRTLVQKLATQLGLNTTVPYRVWFGGQQVGGWTQVYGNILSFATVRGAAHEVPFSQPERSLVLFKSFLEGRALPEIF >OIV96694 pep chromosome:LupAngTanjil_v1.0:LG15:17431509:17435298:1 gene:TanjilG_09236 transcript:OIV96694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSKSGLTLGLSSHMSTPSTPSVHTVLFKHESSSASSHSEENNLEQLQEDSETINFMGQGLSLKRSIESQQSCFPSKRVHVEKTMEAKRDWVQGWGNQPLAIADPEIFKFMEKEKRRQFKGIELIASENFVCKAVMEALGSPLTNKYSEGMPGAKYYTGNHYIDQIEFLCCKRALEAFDVDSQKWGVNVQPYSCTSANFAVYTALLHAGDRIMGLDSPSGGHLSHGYYTMGGKKVSAASIFFETLPYKVNPQTGYIDYEKLEDKALDFRPKILICGGSSYPREWDYARFRQIADKCGAVLMCDMAHISGLVAAKEAASPFDYCDIITSTTHKSLRGPRGGIIFYRRGPKPRKQGFALNHGDNSNYDFEEKINFAVYPSLQGGPHNNHIAALAIALKEVATPDYKAYMQQVKKNAQALASALLKRKCRLVTDGTDNHLLLWDLTALGLLDRNYEKVCEACHITLNKCAIYGSISTGGVRIGTPAMTSRGCIEEDFETIADFLLRAAQITSIVQREHGKSIKDFLKGLQHNKDLSELRNRVETFASQFAMPGFDI >OIV97052 pep chromosome:LupAngTanjil_v1.0:LG15:10931129:10933243:1 gene:TanjilG_11569 transcript:OIV97052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTEVKHEKVRAIHEQSLAAIMMLHKEYTGARQKLIIAQKIYPELENISLKLTICEILLLASSLKLSHIMSCILDLICPSSTCSDARCYFSDLVNSINGIKNEFPGAELAQEIVQNALNMLSDRENNMYYGLEHDDNDFISPDEILDGENIESASTWESCRDIINADIPLEQSSLEESMVQDPEYPEHEFYNFQKERSIELFEPGQIWAANYQPDETKQCRYAKIYSKNGDVLSVTWLKPVLVGGSDESIWSNVGLSFACGAFHHDPNTCYQVRTRIFSYKCSSVSDQTGDQIEIYPKIGEIWAVYKDGIANACNPQGLGCNFELIEMFSDYSKCTGCDFTCLVKVNGFRSVFKRLTCGTHPVLFHISPNRFLFSHKIPAHRLVGGKDEALFELDQMALPNNLIQQIEEKLCVEQLQLEGKFLDYRKSACDFATGQVWAIYCGKDTTPHQYAIINKVVSNRQVQSTLLEPEAIHEYETKWRQDLPVACGTFKHGNTNVIFDISQFSHLVNYMKSTTRPHYIIYPLKGEVWAMYKNWKRNWDYSDYEQCKYWLVEIISDFSKENGMKVAKLKEVHNCQSLFQRQQHEGFDISCTVFEEDILCFSHRVPAYKVAGVEKYGIPKDSWHLEPHALTPHHKVKNIILKPQAIIPHEQKGIISATRMHDSSNNFVHEPIQVQMPNEDESEPKGARVQRATRKPEYLKDYM >OIV97176 pep chromosome:LupAngTanjil_v1.0:LG15:5935114:5986019:-1 gene:TanjilG_28927 transcript:OIV97176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDLLESVFGFQKDNVRNQRENVVLTIANAQSRLGIPAENDPKIDEKTINDVFLKVLDNYIRWCRYLRVRLAWNSLEAINRDRKLFLVSLYFLIWGEAANVRFLPECICYIFHHMANELDAILDHGEAYPAPSCITNDGSVKFLEQIICPIYETLVEEAARNNNGKAAHSAWRNYDDFNEYFWSPACFELSWPMRMDSPFLRKPKKSKRTAKSSFVEHRTFLHLYRSFHRLWIFLALMFQALTIIAFNHGRINLDTIKTILSIGPSFAIMNFIKSCLDVLLTFGAYSTARGMAVSRLVIRFFWGGLTSAGVTYLYVKVLQERNSHNPDNSLYFRIYILVLGVYAAIRLFFAFLLKFPACHALSDMSDQSFFQFFKWIYQERYFVGRGLYENMRDYCSYVAYWLVILACKFTFAYFLQIKPLVKPTNIIVHLPSLTYSWHDIISKKNNNILTVVSLWAPVMAIYLMDLHIWYTVMSAIIGGAIGARARLGEIRSIEMMHKRFESFPGAFVKNLASPQIKRVPLNGPSTEESQDTNKAYAAMFAPFWNEIIKSLREEDFIGNREMELLSMPSNTGSLRLVQWPLFLLSSKIPMAIDLALDCEDTQADLWSRISRDEYMAYAVQECYYSIEKILYSVVDNEGRLWVEKIFREINNSISEGSVVLTLSLKKLPLVLSRFTALTGLLIRNDPELAKGAANAMFQLYDVVTHDLVSPDLSEHLDTWNILARARADGRLFSRIQWPNDPEIKELVKRLHLLLTVKDSASNVPKNLEARRRLEFFTNSLFMDMPSAKPVSEMLPFSVFTPYYSETVLYSTSELQKENEDGVSTLFYLQKIFPDEWDNFLERIGRGVSTGEEELQESSTDSLELRFWVSYRGQTLARTVRGMMYYRRALMLQSYLEGRSLGVDNYSQNNFITSQGFESSRESRAQADLKFTYVVSCQIYGQQKQRKAQEAADIALLLQRNEALRVAFIHVDESTTDAKTPSVFYSKLVKADINGKDQEIYSIKLPGDPKLGEGKPENQNHAIIFTRGDAVQTIDMNQDNYLEEAMKMRNLLEEFHANHGLRSPTILGVREHVFTGSVSSLAWFMSNQETSFVTLGQRVLANPLKVRMHYGHPDVFDRIFHITRGGISKASRVINISEDIYSGFNSTLRQGNITHHEYIQVGKGRDVGLNQIALFEGKVAGGNGEQVLSRDIYRLGQLFDFFRMLSFYFTTVGFYVCTMMTVLTVYVFLYGRAYLAFSGLDEAISHEAKLLGNTALNAALNAQFLVQIGVFTAVPMIMGFILELGLLKAVFSFITMQLQLCSVFFTFSLGTRTHYFGRTILHGGAKYRATGRGFVVRHIKFAENYRLYSRSHFVKALEIAILLIVYIAYGYAEGGAVTYVLITLSSWFLVISWLFAPYIFNPSGFEWQKTVEDFEDWTNWLLYKGGVGVKGDNSWESWWDEEQIHIQTLRGRILETILSLRFFLFQYGVVYKLNATGKDTSLAVYGFSWAVLVGIVLIFKIFTYSLKKSSRFHLYVRIAQGFAALGLVAAVCVVVAFTRLTIRDLFASILAFIPTGWAILSLAITWKRVVWSLGLWDSVREFARMYDAGMGMIIFAPIASLSWFPFISTFQSRLLFNQAFSRGLEISLILAGNKANVET >OIV96824 pep chromosome:LupAngTanjil_v1.0:LG15:15555336:15560088:-1 gene:TanjilG_08685 transcript:OIV96824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALYRTVPNPILESNGLAYSARIFSTRLTAPVPLHFTGKFSTLDWRRHKRVCCSSFRNAASTSSMESQEDAPSALTVCLEEELDHVVRFKMSDFNILGFVSIGLGGRADEVVYEAKVKDRGSPLYNTRVVLRQLSSVQAQRRGKRAIEVLKKLVRRKLLYHSYSMQVHGYISLPASGGSGSFILVHGYHGSFSLRHWLQQSDWLPTLEATLALDEESVRKVNYLHSHGLAHTELRLENVHISPVDRHIKVGTLGNAADFCEDGSNSSSVDSMDRRQMMIAFDMRCLGFIMARMVIGELMDPLIFAKFKSFLTKGYDPSCLRELMLETLGRSSPYGNPGLQILDRNWGAGWHLLSLLLAMKPSQRISCLDALRHPFLCGPRWRVVPSMDIIRWGLGSTATRISEEYIYRQPQRSRLAHFIDLMEMLNPHPKPKNWLELLPGKWRLLYCTGKHIGLTLRQPPARVLIGDVHLTVTRESKSKAKLSFVSDIGFSIMTGQDWPHNKAGKNGRLQVNSSFSLTAGRRLYLKQDKTTEQKFYLGSSSSEEALAQKFTGKKWRKITPFKEFPSSLPAAKLASSDIDVTMSFDDPLNGDIGMAKNVLQELRTQIPPEIFDLSKLVCGTYVDSRLLVLRGVNGSALLFSRSFVDRNTAS >OIV96507 pep chromosome:LupAngTanjil_v1.0:LG15:19256836:19261981:-1 gene:TanjilG_07899 transcript:OIV96507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMNKENKVSAKDEERPLRITRSRTKALGSVGGGIPPYPKPSFKNEPKNKVRANSKRAASDGNKTSAIAPAGLQHKRRAVLTDVTNICGKSYDNTSKFQARGVYKKNTKLASGISTGNSSSQDDVKAKLTEELSSKGVVESHENIAEVTFDDKEPAECCMSNSIREGAIGDNTLSMQGSVKSDELMSSPNKEIDMICEKIAASDCLAIVDIDSELKLKDPLVWSSYAPDIYNKTRVAEVERKPLSDYMEKLQKDISPSMRAILIDWLVEVTEEYKLVPDTLYLTVNLIDRYLSTSLIQKQRLQLLGVTCMLIASKYEEICAPRVEEFCFITDNTYTREEVLKMEIEVLNLLNFKLSVPTTKTFLRRFIQAAQSSYQVPRVELEFLANYLAELTLVEYRFLKFLPSLVAASAVFLAKWTLNHSENPWNPTLEHYTNYKVSELKPVVLSLESLQLNTKDCPLNSIREKYKQQKLEFSDSYNFLRKWGVEGVFTHISLIYDPFDSSLLVL >OIV96703 pep chromosome:LupAngTanjil_v1.0:LG15:17527612:17532817:1 gene:TanjilG_09245 transcript:OIV96703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNGHMEQGIGSLVQPSEGSMQVADERNDMHNQLLGVPNLQGQSSTLFQRTTLSSNLSGSQLIGSRIMGLSGIASLVQMPESTRLSRNNQYNSQVMMPEGHVEQGVSDFIQMIGRQERASNIQLEQVAPASSNRPTIWKNWKGKNGEEYVPPRRGRPRKRFEVGESSKCPKQQKIEKINVLYLLSNIVTSIWNIKNS >OIV96411 pep chromosome:LupAngTanjil_v1.0:LG15:19932768:19935519:-1 gene:TanjilG_09838 transcript:OIV96411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGYPKTTNFNRICVFCGSNSGNRDVFSEAAIQLGNELVQRKIDLVYGGGSVGLMGLISQRVYDGGCHVLGVIPKALMPLEISGATVGEVRIVSDMHERKAAMAQEADAFVALPGGYGTMEELLEMITWAQLGIHKKPVGLLNVDGYYNCLLALFDNGVKEGFIKPGARDIIISAPSAKELMMKMEQYTPTHEHVAPHESWQMKQLGNYPGQENAE >OIV96406 pep chromosome:LupAngTanjil_v1.0:LG15:19965342:19966133:-1 gene:TanjilG_09833 transcript:OIV96406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNPSSKPNGNGTINGNGAVPATVNGNPGGVKSQLYNPNRQIYRPQSHHHRRHRSNRNLCCCCCFWTILTLLAVALLAAIVGAALYVLYRPNRPEFSVTNLRIAKMNLTTSSDSPSHLTTLFNLTLIAKNPNNHLIFFYEPFTVTVFSNSVAIGNGTLPSFESGKNNQTSVKSIVSGSMDLDTESLNSLRSGLKKVKGFPVEIQMDTKVKMKMESLKSKKVGIRVTCEGIRGNVPSAKSPTVASVINSQCKVDLRIKIWKFSF >OIV96405 pep chromosome:LupAngTanjil_v1.0:LG15:19969577:19970939:-1 gene:TanjilG_09832 transcript:OIV96405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNLFGVPNTLNVITNFPFLVVGVIGFVLALDGGFFNISSRGEVCGWVLFYGGIAGVAFGSAYYHLRPDDQRVLWDTLPMMVALSSLLSSLVAERLSQRIGLCCLIALIFSAFLCVTYERIYNDIRFCMMFKLMLPLAIPVIAFLCRSKYTHSRYWFLSTG >OIV97172 pep chromosome:LupAngTanjil_v1.0:LG15:5906366:5909643:1 gene:TanjilG_28923 transcript:OIV97172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGVTSSMASKLAFFPPNPPSYNLVKEEVTKLLLLNPFPHRENVQVLKFQNRRGNEIVAMYVSYSMAKTTILYSHGNAADIGQMYELFIELSIHLRVNIMGYDYSGYGQSSGKPSEHNTYADIEAAYKYLEENYGAKQEDIILYGQSVGSGPTLDLAARLPRLRAIVLHSPILSGLRVMYPVKRTYWFDIYKNIDKIPLVKCPVLVIHGTADEVVDCSHGKQLWELCQQKYEPLWLRGGNHCNLELYPEYLRHLRKFISTVEKSPSQRLSFRRSIEQSRGSIDFFQTPRKSTDQRDKSRKSTDRKDKPRKSTDRTDKLKFHEHKVNNPENIEKFRVHFDQMEKSRRSIGYDKLRTIEYQDKSRRSVDVQFDRPRKSIDWLDRTRDG >OIV97396 pep chromosome:LupAngTanjil_v1.0:LG15:1452427:1454055:-1 gene:TanjilG_17580 transcript:OIV97396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAKKPKIVIIGAGMAGLTAANKLYTATCSRDLFDLCVVEGGTRIGGRINTSQFGGDRIEMGATWIHGIGGSPIHKIAQQNHSLHSDKPWECMDGNSYENSTITIAEGGYQLDPSVVEPVSMVFKNLMNHCQGKEKDTSASNGELGVGSWNNVSVGSFLREGLGAYFGSVKEEVKGYGNWSRKLIEEGVFAMHENTQRTYTSAGDLLSLDYGAESEYIMFPGEEITIAKGYLSIIESLASVLPLGVVQLGRKVTKIEWQPQKDEDFEMKVLVNGCCFRPVKLHFCDGSVMHADHVIITVSLGVLKAAINNDDGDDDGEDSGMFFCPSLPPFKAEAISRLGFGVVNKLFMQLSQNTQHEKQHFPFLQMVFHSPQSEVRNKKIPWWMRRTATLFPIYKNSSVLLSWFAGEEALALESLNDEEILNGTSATFSTFLSHYNSDKKSHQNEVKFCKVLKSKWGTDPLFLGSYSYVAVGSSGDDLDIMAEPLPKDNNFQPHASFPLQILFAGEATHRTHYSTTHGAYFSGLREANRLLQHYHCVGIIN >OIV96463 pep chromosome:LupAngTanjil_v1.0:LG15:19568501:19569343:-1 gene:TanjilG_07855 transcript:OIV96463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLMSQEPYLCDNTTELPPIPITPLQNSQENKQHQSHEEQEAKKEGETDTLLDLNVPCDDSSLECSPKLNLITCLDMDSANTSSEENPPDPEGFDAAAEPRVFSCNYCKRKFYSSQALGGHQNAHKRERSIAKRGQKLRTHIMASAAAFGIPFLQGIASLPLHGNRPLGIQAHSMVHNPSQYFSSHIAGYGSNYGQHSWSRSRPVFYQQPGIGKLAMETSHKTALSSRGSSVGRFEAVNVRSSMLNSAVNEETSGYVFSGTPMKSNQDDMKLLDLSLKL >OIV96900 pep chromosome:LupAngTanjil_v1.0:LG15:13156849:13157286:-1 gene:TanjilG_00482 transcript:OIV96900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVFGKSMVAGPGNVIYLSSILGQEGPISVHKCDWKCENEHVCGNMYRCKLTGMTHICDKNCNQRILYDNHSSLCRASGQIFPFTPAEEQAVRGVRRKLDAENSQTDNCGFKRMRDAQFHPSPFERSFTVVSPICSQVGEGMDMS >OIV96304 pep chromosome:LupAngTanjil_v1.0:LG15:20590959:20592603:1 gene:TanjilG_09731 transcript:OIV96304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLRDRQEKVEKMLSFYKSSKSGPFQEDTTHVRGQVDFLGALLLMDGLDQKNLDAVNRSGIRTGVDSRFIFETTIGQKDTLVAEFLASQKGKEYGDDVLEMPLSLAKLSYTANVNDWLSVVAIPIGAQCRDVGVASNSINQLGKGLTDVSSFGPPLLNLHSGSAIGITVRKSNVIGSLAQCIAGLGMSSGSDTMENRSSTFAQLVCQLPSGTKLSVMGLQQVPFSPRQHTKFGALTIPIVLSKQHEANEAVAEALPLVGTKKQMSTGSIAMMVESELDGFTKLGGWLEIKKLNPKSAQWAVTMSDVYDDSFGWGMSLSGMIGDSASRGHFQAESYLKFNMGNKFCLKPGFTYVMDGNSKIAGLVLRSNWSL >OIV97153 pep chromosome:LupAngTanjil_v1.0:LG15:5023402:5028036:1 gene:TanjilG_28904 transcript:OIV97153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVPMEISLQKNPTNENSDQNGVVTSQQPPLPPSPSPSPSPSPILPRKKFLVHDEGVHNDNVLLFWQVKPVVHVFQAILLSVEGPSEEISSNGQTSIFNEWTLPAKEFGGMWESLMYESGLKQRLLRYAASALLFTEKGVDPFLVSWNRAFICAALFFCMDLPGTGKTSLCKALAQKLSIRFNSRYPLCQLVEVNAHSLFSKWLSKSGKLVAKLFQKIQGMVEEESNLVFVLIDEVESLAAARKAALSGSEPSDSIRVVNALLTHMDKLKSSPNVIVLTTSNITAAIDIAFVDRADIKACVGPPTLNARYEILRSSLQGLMPTGILSSLQGRMAATLPNYARAKE >OIV96318 pep chromosome:LupAngTanjil_v1.0:LG15:20486358:20490831:-1 gene:TanjilG_09745 transcript:OIV96318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAIGLYWSARMAQEIRKNDVGLSSSSSSSSRRLWPSVLRWIPTSTDHIIDSEKRLLSILKTPYVQEHVNIGSGPPGSKVRWFRSLSNKPRFINTVTFDSKDDSPTLVMVHGYGASQGFFFRNFDALASRFRVIAVDQLGWGGSSRPDFTCRSTEETEAWFIDSFEEWRKAQNLSKFILLGHSFGGYVAAKYALKHPEHVQHLILVGSAGFSSESDANQSEWFTKFRTTWKGSVMNHLWESNFTPQKLIRGLGPWGPDIVRKYTSARFGTHSTGEVLTEEESRLLTDYVYHTLAAKASGELCLKYIFSFGAFTKSPLVGSASEWKVPTTFIYGFQDWMKYEGAQEARKHMKVPCEIIRVPMGGHFVFIDNPNAFHSSVFYACRKFLTPDPDNEPLPQGLTLA >OIV96287 pep chromosome:LupAngTanjil_v1.0:LG15:20905107:20911459:1 gene:TanjilG_05127 transcript:OIV96287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIVLPLLLKLKTIVQVKCVLSSIRLAYNKDVLSLSKKRLLAVTKNVDKSSLSFNIIEVCLLFYDIHSFIHPFTILFYNSGKDLQRLIDKVQVPVAAVVIMACNRADYLERTINSVLKYQRPISSRFPLFVSQDGSNPDVKSKALSYDQLSYIQHLDLKPVQTERPGELIAYYKIASHYKWALDQLFYKYNFTRVIILEDDMEIAPDFFDYFEATAALLDKDKSIMAVSSWNDNGQKQFVHDPYELHRSDFFPGLGWMLARPTWNELSPKWPKAYWDDWLRLKENHKGRQFIRPEVCRTYNFGEHGSSMGQFFKQYLEPIKLNEVQVDWKSLDLSYLLEDKYTMHFANIVDKAKPVYGADMVAKAYNIDGDMRIKYKDQSDFENIAHQFGIFEEWKDGVPRAAYKGVVVFRYQTKRIFLVGPESLKQLQIKDF >OIV96991 pep chromosome:LupAngTanjil_v1.0:LG15:12649186:12649520:1 gene:TanjilG_31882 transcript:OIV96991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHLIFYVSVAQTLRCINGFKYQRRCHKLTLGLATEKLREMKMRLSNSEYDGVVVGDEEFEIHYQEPPESYFGILTTFS >OIV96742 pep chromosome:LupAngTanjil_v1.0:LG15:16826955:16829507:1 gene:TanjilG_11738 transcript:OIV96742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIKPESPVSSESLKPRQHRNREVSSRFLSSTSASTNNSTEYIFPLSPSQSIFYSQTGSSSFDSSRSRNKNTEEHGFTRHQLWPSSSSSSVKRSSYSGSCTLADHITEERFIEYLEFDTKIDEKDNDKDKEKPIKKSSNFSQNSNNNNQNFRVRDFSFKENDRPGFVKKPSSTVPGRLSVEENALFRKSRRNSFSSLDSESDYSDAGFLSASRKMGIEVSSKYMNDVKTRKGRRGTSDSNILDLNNDSSASKKHALKTAAKRANSFTGLKNSKSQWALSPGRSGSPIMSVESMDKPMSFSSLKQSISPTPTKAKGVEKFLNMGFNLFKSKKSLFNSPTSSTGFGNLEAVQQLRMLDNRLMQWRYANARAQAVNDNISYQAESNLLYAWHGLTKLRHSVLEKRIQFEREKLQMKLNFILYSQMELLKAWACIERQHISAITVTKESLHSVVCRVPLLEGAKVDIPSTSITLRRASDLTASIKSMLTHFSPKEVDKTAAMLSELAKVVAQEKQLLQEFYDFFQTISIYEPQEKSMKCNLIQLEGWQRKYQPPKLLPEITSYIPM >OIV96668 pep chromosome:LupAngTanjil_v1.0:LG15:17143904:17145448:-1 gene:TanjilG_09210 transcript:OIV96668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRNGYREEKPCCYFHPKQVVIGVCPLCLNERLLILAAKQGQHHHHHNSASKASSHRLQSSTQRKQHTSIHKIFAFSSLFSSRQWKSQKLDYDVSPTLEDSFISMKFEENGVASWKKSTVSNKVSYNSKKESKSVIEHENSHDTFRWRKRIGHMFQLIRWNNRTSGVCHVAGKVEGVKVKKGNWMKTLTKRKTKESRRSS >OIV97111 pep chromosome:LupAngTanjil_v1.0:LG15:8485343:8486669:1 gene:TanjilG_10057 transcript:OIV97111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQTRSQHVGVVVGPGKDHKEGIVLLKLFLLFSRANVAVVTATLLNFVRLFRKSHEENIKEAELEKKKAEKEAEMDKAKGINLTKKGAKDREEETGES >OIV96642 pep chromosome:LupAngTanjil_v1.0:LG15:18725747:18726376:-1 gene:TanjilG_28499 transcript:OIV96642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPHKEKHLLLPKVADITCMDQIPPPVVAEKVCKTVRLLGFLIQNGVSKSKVINDMHDVMQRGKNIGKALNNVVARHHEAITCRSRDTHMSFVSPLEYQFSCSGSPPRPSRALSKRRLSPATAVNTRHGRDSPGHGHGVVRMCGGNSNDTLVEHYRPVRRHVKITGSGASLLRDSEKEFHVDEEAEKFIEKFYRELRLQKWLDHHIADY >OIV97151 pep chromosome:LupAngTanjil_v1.0:LG15:4980551:4983051:-1 gene:TanjilG_28902 transcript:OIV97151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVVMMTTPSTLPSKTPTPITSIPYFPSNPKSIILYQCKTLMDLNQVHAHLIKTRLIHSPAVAGNLLESAALILPDAMDYALSVFRSIPQPNPPAYNIIIRGLTLHHSPREAILLFKHMCQENVVSPDDFTFCAVFKACSRLRALLEAQQARVHAKAVKCGLMSSELVENTLIHVYAACDAVEVARRVFDKMPERGIVAWNAMLAGYAKSGCWDEVVGLFLRMKEPRGVNVNDVRFDDVSLIIALSACGRLANLELGEWIGEYIEANGRSRNLTLMTSLLDMYGKCSNVDKARVVFDQLERRDVVAWSAMISGYSQANRCSEALDLFHEMQKANVEPNEVTMVSVLYSCGVLGAMETGKWVHFYVRRKKLKLTVTLGTALIDFYAKCGSVESAIEVFEKMPVKNVFSWTAIIHGLASNGEGKRALELFHLMKEANIEPNDVTFIGVLSACSHVGLVKEGRAVFLSMSKDFGIKPRIEHYGCMVDILGRAGFLDEAFQFIKDMPIEPNVVVWRTLLASCRAHKNVLVGEESFRRITELEPAHSGDYILLSNIYALVGRSEDALRVRSQMREMGIKKSPGCTMIELEGVVHEFFSEDDEHSHSKEIYMATEEMIRRIKSVGYEPNIADARIDAEEEDKVVSVSHHSEKLAIAFGLIKTKAGATIRLSKNLRVCTDCHNATKLISKVYNRKIIVRDRNRFHHFQNGSCSCNDFW >OIV96755 pep chromosome:LupAngTanjil_v1.0:LG15:16676525:16678207:-1 gene:TanjilG_11751 transcript:OIV96755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPVIDFSKLNGEERAQTLAQIANGCEEWGFFQLINHGIPEELLERVKKVSSECYKVEREENFKNSKPVKLINELAEKKNGEKLEHVDWEDVFTILNNNEWPVKTQGFRETMAEYRTELKKLAERVMEVMDENLGLPNGYIKKALNGGDEDNAFFGTKVSHYPPCPYPELVNGLRAHTDAGGVILLFQDDKVGGLQMLKDGEWIDVQPLPNAIVINTGDQIEVLSNGRYKSCWHRVLSFPDGNRRSIASFYNPPLKATIYPAPKLVEKENQEVDDTYPKFVFGDYMSVYAEQKFLPKEPRFQAVRAV >OIV97331 pep chromosome:LupAngTanjil_v1.0:LG15:2199915:2206594:1 gene:TanjilG_07083 transcript:OIV97331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASEETSALFPIFILTIMAIPIVPYTISKLCRAAVKKSKSIHCHCSECTRSGKYHKSIFKRISNVSTWSNFTLLLLWVVMIILVYYIRTMSSEIQVFDPFSILGLEPGALESEIKKKYRRLSVQYHPDKNPDPEAHKYFVEYIAKAYQALTDPIARENYEKYGHPDGRQGFQMGIALPQFLLNIDGASGGILLIWIVGVCILLPLVVAVVYLSRSSKYTGNYVMHQTLSTYYYLMKPSLAPSKVMDVFVKAAEYMEIPVRRTDDEPLQKLFMLVRSELNLDLKNIKQEQAKFWKQHPALVKTELLVQAQLTHEFAALSPSLQSDFRRILETAPRLLEELMKMAVIPRNAQGHGWLRPAIGVVELSQCIIQAVPLSARKSTGGLPDGSAPFLQLPHINESVIKKVARKKVRTFQELLEMDSQERADLFIQTAGLASAEVQDIEVVLDMMPSLTLDVTCQTEGEEGMQEGDIVTLHAWVNVKRGNGLIAALPHAPHYPFHKEENFWFLLADSVSNNVWFSQKVSFMDEAAAITAASKAIEESMEGSGANMKETSRAVAEAVEKVKGGSRLVLGKLQAPSEGSYNLTCYILCDSWLGCDRKTNLKLRILKRTRAGTRGAALADEGPIAEDGNEEDEEDVDEEYDEDYESEYSEDEEDDQNSKNKHQAANGTANKHGQAAENSSSDEE >OIV97055 pep chromosome:LupAngTanjil_v1.0:LG15:10780872:10782713:-1 gene:TanjilG_25129 transcript:OIV97055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASYSYQYHPFLVESLPFFSNNINTISPHFNLHHQTSFDVTNQDNSCDDQSSKITISDNEPSIAKNISPQSSMVVDKLEIGEQVTQKVTPMVKKRRTRSAYSLYKPQSKDVKEGKNKRQRNNNNGEVKRVEKPKAEKKDQKKSSEVPPKGYIHVRARRGQATDNHSLAERVRREKISERMKMLQRLVPGCDKVTGKALMLDEIINYVQSLQNQVEFLSLKLASANPMVYDLAMDSDTILVRPEKLNSIASLSMQQFNSTNQVITTMTPTSIFPTPTNDYLLDNSASTFFHGQTPNIFSEV >OIV97518 pep chromosome:LupAngTanjil_v1.0:LG15:439431:442264:-1 gene:TanjilG_11042 transcript:OIV97518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQQQNVVMSETKSEVVAQNKAQQGGGYISISRRSILKNLEINGRVNGLIESMKASSPTHSSLSQYQTSWIVHHPSALDMFDQIMEASKGKQIVMFLDYDGTLSPIVEDPDRAYMSNSMRKTVRKLARCFPTAIVTGRCKDKDRKAETLIFQPATQFLPMIDHVYQQLVDKMKPIPGSMVEHNKFCVSIHFRCVDEKKWIELAHQVKSVLKNYPKLRLTQGRKVLEIRPNIKWDKGKALEFLLESLGKYTPK >OIV97254 pep chromosome:LupAngTanjil_v1.0:LG15:3073303:3078203:-1 gene:TanjilG_10788 transcript:OIV97254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDISFEDLKNENVDLENIPIEEVFQHLKCSKEGLSSEEGEKRLLVFGPNKLEEITESKFLKFLGFMWNPLSWVMEAAAIMAIVLANGGGKPPDWQDFTGIVVLLIINSTISFIEENNAGNAAAALMAGLAPKTKVLRDGKWGEEDAAILVPGDLISIKLGDIVPADARLLEGDPLKIDQSALTGESLPVTRNPGDQVFSGSTCKQGEIEAIVIATGVHTFFGKAAHLVDSTNNVGHFQKVLTSIGNFCICSIAIGMLVEIIVMYPIQQRSYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKSLIEVFTSGVDKDSLVLYAARASRTENQDAIDASIVGMLGDPKEARAGITEVHFLPFNPVDKRTAITFIDSNGNWHRSSKGAPEQIIELCGLKGEVLKKAHKTIDSYAERGLRSLGVSRQTVSEKTKESAGDSWEFLGLLPLFDPPRHDSAETIRRALDLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSSLLGNSKDPAIASIPVDELIEKADGFAGVFPEHKYEIVKRLQDKKHIVGMTGDGVNDAPALKKADIGIAVDDATDAARSASDIVLTEPGLSVIVSAVLTSRAIFQRMKNYTIYAVSITIRIVLGFLLVALIWKFDFSPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLNEIFATGVVLGTYMAIMTAVFFYAVHDTDFFSRIFGVKSIADSEENLNSALYLQVSIISQALIFVTRSRSWSYFERPGAMLCIAFLAAQLVATVIAVYAHWDFARINGVGWRWAGVIWIYSIVTYIPLDILKFFIRMGLSGSAWNSMLQNKTAFTTKKDYGRGDREAQWAVAQRTLHGLQVSDAHLNNQHEHSEIAEQAKKRAEAARLRELHTLKGHVESVVKLKGLDIETMQQHYTV >OIV97014 pep chromosome:LupAngTanjil_v1.0:LG15:11874912:11879152:-1 gene:TanjilG_03588 transcript:OIV97014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRMTSSEITMKGNGGGEGHNGNLSCIDAEAALYRELWYACAGPLVTVPRERELVFYFPQGHIEQVEASTNQVAEQQMPVYDLPSKILCRIMNVQLKAEPDTDEVFAQVTLLPESNQDVNAVEKEPPPPPPARFHVHSFCKTLTASDTSTHGGFSVLRRHADECLPPLDMTKQPPTQELVAKDLHSNEWQFRHIFRGQPRRHLLQSGWSVFVSSKRLVAGDAFIFLRGENGELRVGVRRAMRQQGNVPSSVISSHSMNLGVLATAWHAISTGTMFTVYYKPRTSPAEFIVPYNQYMESLKNRYTIGMRFKMRFEGEEAPEQRFTGTVVGIEDSDSKKWPDSKWRSLKVKWDETSNIPRPNRVSPWKIEPTLAPSSLNPLPMPRPKRPRSNAVPSSPSSPVLPREALSKVSLHHLPTNGFPRVLQGQEFSTLRGNFEVSNEFDTAERSVALPPAVEDEKIDVSTLRRHGSENWISMGRHEPAYSDLLSGFGTSGDPSHQSSVDQICLVAYPASKHSLDHESNLHVHHPWPVMPSSLSLNFLDSNSKGSALVDTTYQARGNLRCGTRELMSKPMSVKTSEAMILKDGDCKLFGISLISSDIAPKHSLLERNAISNPAGQMHLTSHQPQSSEDDQMSEHSKNSKPSDGPVVMGDHEKPKLLQAKPFCVSARSCTKVHKKGIALGRSVDLTKYCDYNGLIAELDRLFEFGGELMSPNMDWLIVYTDNEGDMMLVGDDPWQEFCAMVHKIYIYPKEEIQKMSPGTLSSKNEDNYSASECAEAKEVKGQLNQKLNSESGLMPANY >OIV96638 pep chromosome:LupAngTanjil_v1.0:LG15:18688199:18692748:1 gene:TanjilG_28495 transcript:OIV96638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSDTPNGAAFTPPPPPTPEYGITKPISLAGPTDADFNRNLELEKFLVDSGLYESDEETATRQEVLRRLDQVVKSWVKQLTRLRGYTDQMVEDANAVIFTFGSYRLGVHGPGADIDTLCVGPSYVNREEDFFMLLHNILAEMEEVTELHPVPDAHVPVMKFKFQGISIDLLYASISHLVVPEDLDISDGSVLHDVDEPTVRSLNGCRVADQILKLVPNVEHFRTALRCLKFWAKRRGVYSNVTGFLGGVNWALLVARVCQLYPNAIPSMLVTRFFRVYTQWRWPNPVMLCSIEENELGFPIWDPRRNPRDRFHIMPIITPAYPCMNSSYNVSASTLRVMMEQLRHGNRICDEIELNKAQWSALFQPYLFFEAYNNYLQVDIIAVDADDLLPWKGWIESRLRQLTLKIERDTNGILQCHPYPHEYADTLKPCAHSSFFMGLQRKEGVKGQEGQQFDIRGTVDEFRHEINMYAYWKPGMEIYVSHVRRKQLPAFVFPDGYRRTRIPRHMSHLAEKADEDATKCYSGSAERCIKRKNDTEIMDVKPSKPEKRASVSPQRLECFSPESCTSRSGGTSQMSIDCIEGVRLDGSTMKDSDSICEIKSSDSLVGSAICAERPDLQISETGGVDSTFDRQKSRSPDVQNEVSLLNQWSNLQSGCFGSCEVP >OIV97270 pep chromosome:LupAngTanjil_v1.0:LG15:2801594:2803881:-1 gene:TanjilG_07022 transcript:OIV97270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKKKQVGEKSEDSGNQSKAGDKSPKSYDNDTLVFISMSQELKDEGNKLFQKRDVEGALVKYEKALKLLPSNHIDVSYLRSNMAACYMQMGLSEYPRAIHECNLALEVTPKYSKALLKRARCYDALNRLDLALRDVSTVLKMEPNNIMATEISDNVKRALEKKGLIVNDTEIELPPDYAEPPYGLSTKEVVKEKMHKKKSNKEKVKAPDKIVEKQAEEKSEEKKTEDRIVAKKAEESIAEKMAADNTVQKKAKKSKKKDREIIDEKKDDVKEVVGENSNGKSEVMPKKTAKLVFGEDIRCVELPVNCTLLRLREAICDRFPNLGAVLVKYKDQEGDLVTITCDEELRWAETGSQGSIRLYIVEANPDQDPFFEKLKVKDGKNVGIVNASENHCMMKANDIISSSCIEDWIVHFAQLFKNHVGFESDRYLDFHELGMKLYSEAMEETVTSEEAQGLFDIAGGKFQEMAALALFNWGNVHMSRARKKVYLTDDSSKEHMQEQIKSSYEWAQKEYAKAGEKYEAAVEIKSDFYEGFMALGQQQFEKAKLSWYYALSSNIDLATWPSTEVLQLYNNAENNIEKGILIWEESKEQHSSGTSDPNDIRLHLQKVGLASDEAEAQETKMRCQINLLWGTMLYERSIVEFKLGLPVWHESLEVAVEKFELAGASPTDIAVMLKNHCSNDTSVDGLEFKIDEIVQAWNEMYEAKKWHNGVPSFRLEPLFKRRASKIYHALELA >OIV97477 pep chromosome:LupAngTanjil_v1.0:LG15:176822:177547:-1 gene:TanjilG_11001 transcript:OIV97477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYHQSRVNELISLSFVLIVCGTLATVNGADDLATKCGQVVEKVIPCLNFATGKAATPTKQCCDAVNDEIKESDPECLCYIILQTHKGSPQSKQMGIQEDKLLQLPSACNVKNANITQCPKLLGLPPNSPDAAIFTNASKLSPTASTTQPSNSTSSTQSKDGSYGNMIKPPIITHIIFLSLPFVLITVPTGFVSIYI >OIV96806 pep chromosome:LupAngTanjil_v1.0:LG15:15798812:15802241:-1 gene:TanjilG_08667 transcript:OIV96806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVVTFSSSAARTPLGLNTKFSNHWSALKRPLIVAFKGDKPNDTALVATQEKIRMPIESAKTQKKRIGKSSKLPKRVKAVCAEESTPSSSDVDYNEAAALLENIYKLSPASDICDEDLVDYKIKRVLRRRKKISEEDKEEELSKERVVRNQKRKAKRLSLDKRIALKKNKNEEEEVIPTRKKRIVKSRVKKIDELVREYSGSTDLGTLDWKKMRIPPVLPSSEHTWLFKLMQPMKVLLPVKEDLHKELGREPTDGELANATNMGIVRVKKAIAVGRAARNKIIMHNLRLVSFVINKYFPGFASGPKFQDLCQAGVKGLITAIDRFEPNRKFRLSTYGLFWIRHAIIRSMTLCSFTRIPFGLESVISEIQKAKLELTFELQRPPTEEEIQERVQISPERYHDVIKASKSVLSLNSRHSVTQEEFINRITDDDSVNSDKRRQPALLRLALDDVLDSLKPKESLVIRQRFGLDGKGDRTLGEIGRNLNISREMVRKHEVKALMKLKHPTRLDYLRRYVV >OIV96778 pep chromosome:LupAngTanjil_v1.0:LG15:16196763:16200345:1 gene:TanjilG_18091 transcript:OIV96778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNALTLFILFLNISLFPTISALNQEGLSLLAWFSTFNSSNSAIAFSSWDPTHKNPCKWDYITCSKQGLVSEIIITSIDLRSGFPTQLLSFDKLTTLVISNANLTGVIPSFVGNLSSLVTLDLSFNTLSGTIPEEIGKLSKLQWLLLNSNALQGGIPTTIGNCSKLQQLALFDNQLSGMIPGEIGQLSALEVLRAGGNEGIHGEIPMQISNCKALVLLGLADTGISGEIPPSIGELTNLKTLSVYTAHLTGHIPLEIHNCSSLENLFLYENQLSGNIPYELGSMQSLKRVLLWQNNLTGTISEGLGNCTNLKVVDFSFNSLVGQLPLSLSSLLSLEEFLLSDNNISGEIPSYIGNFSRLKQLELDNNKLSGEIPLVMGQLKELTLFFAWQNQLYGSIPRELSNCEKLEAVDLSHNFLTGSIPNSLFHLENLTQLLLISNKLSGQIPPDIGSCTSLIRLRLGSNNLTGKIPPEIGLLGSLRFLELSDNQLNGDIPYEIGNCGNLEMLDFHRNKLQGTIPSSLQFLVDLNVLDLSANRITGRIPESLGNLTSLNKLILSGNNITGLIPRSLGFCKDLQLLDISNNRITGSIPGEIGYLQGLDILLNLSWNSLTGFIPETFSNLSKLSNLDLSHNMLTGTLKVLSSLDNLVSLNVSYNKFSGSLPDTKFFQDLPDAAFAGNPELCINKCYTSGHNQSTKSVRNIIVYIFLGVIITSGFVTLGVILALRSQGATLHRNFDEGGDMEWAFTPFQKLNFSINDIVTKLSDSNIVGKGCSGVVYRVETPTRQVIAVKKLWPIKNEEPPERDLFSAEVQTLGSIRHKNIVRLLGCCDNGRTRLLLFDYICNGSLHALLHEKRLFLDWDARYKIILGVAQGLEYLHHDCIPPIVHRDIKANNILVGPQFEAFLADFGLAKLVSSSGCSAANDIVAGSYGYIAPEYGYSLRITEKSDVYSYGVMLLEVLTGMEPTDNRISEGAHIVTWISSEIREQKREFTSILDQQLILQCGTRTPEMLQVLGVALLCVNRSPVERPTMKDVTAMLKEIKQENDDIQKPNFLHKGIVTNPKVAVHCSSFSRSCEPLIETPSSSYQIGN >OIV97203 pep chromosome:LupAngTanjil_v1.0:LG15:4748430:4749503:1 gene:TanjilG_26775 transcript:OIV97203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFGNVVDSVKSFQFRQTLSQLITLGLIVATALTLWKGLMCITGTESPVIVVLSGSMEPGFRRGDILFLRMTKDPIRAGDIIVFNIDV >OIV96878 pep chromosome:LupAngTanjil_v1.0:LG15:12863224:12864738:1 gene:TanjilG_00460 transcript:OIV96878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSTWSSSNWVMESGDVPHVLAVDDNLIDRKLVEKLLRNSSCKVTTAENGPRALEFLGLTSGEQNPLNGRSKVNLIITDYCMPGMTGYELLKKIKESSEMKEIPVVIMSSENIPTRINKCMEEGAQMFMLKPLKQSDVKKLTCQLMNRDCEI >OIV96434 pep chromosome:LupAngTanjil_v1.0:LG15:19823867:19827857:1 gene:TanjilG_09861 transcript:OIV96434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSFVTENMGLLCSRNRRYNDADAEENAQLLHDGSKELAQNDVDSSKYVISDENKDIGEKLSEIGSRLDYPYLTTELAKEIETLWKDAAIQETYARGNELQVPDCAHYFMENLQRLSDANYVPTKEDVLYARVRTTGVVEIQFSPVGENKRSGEVYRLFDVGGQRNERRKWIHLFEGVSAVIFCAAISEYDQTLFEDENKNRMTETKELFEWILKQPCFEKTSFMLFLNKFDIFEKKILKVPLNVCDWFKDYQPVSTGKQEIEHAYEFVKKKFEELYFQSTAPERVDRVFKVYRTTALDQKLVKKTFKLVDESLRRRNLFEAGLL >OIV96600 pep chromosome:LupAngTanjil_v1.0:LG15:18262220:18266970:1 gene:TanjilG_28457 transcript:OIV96600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKKITCRSKQSSPIDASASASNDKILHEERIRDLERENKAYQGEIAELRQQVGNNSSASINGVEKLKNDYLQKLNLLEDQVAELKKKLGSQSQFSTHRKRVDESSKQMQFEIQSLKAQKVQLQCKLKLESVQFRLSKALLEKEVLQLKKEGRRNEFKTCSLLASNERLKMILQRKTEEASAATNRLKEMINARKVISNRLAGARKDRNNQVIQDAENELEATSQLHELCSQYESEMEKMAEENAKLKEEIELQKQEKLRSPSQEEDCDSLEKDLDIQDLKEEIKGLSVLLRELQSKKEKLDSKDKKQNPLQPLLSDVSNAKLLLKIDTPETYSSSGSNVKEGTAEGLCCSCSKKSSCKTKNCKCRSIGGRCGPSCGCTHAKCTNREPNQVTENEPPKSENTECVMNDSIVDKDASVAASECAKLLQSALIQKPPGPVKKPLCDIQNSLAKLDAEKPGKKKKAQKPVIHLVTEHPESSSLENNSGQSNELATAISDTAGIRHLRTRQVNAELWSGV >OIV96442 pep chromosome:LupAngTanjil_v1.0:LG15:19766670:19767389:1 gene:TanjilG_09869 transcript:OIV96442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVASDSTSANDNNNNKLYKGVRLRKWGKWVSEVRLPNSRERIWLGSYDSPVKAARAFDAALYCLRGRNANFNFSDTPFNMENNNNVVFSAGDKSLTSQEIQEIASKFANEDPPPPQPPQHGGDMNINTTSSTIATTMAATTSVTSSCSTNICDYDGMQVDHGDMSRMDWTFLDMLDNEYSNEVHVCSDYYGLYSDLDNVHSGEFVYSTLLPSHFDDNEQQIEGNSDVFSHQSFLWNW >OIV96596 pep chromosome:LupAngTanjil_v1.0:LG15:18223635:18233286:-1 gene:TanjilG_28453 transcript:OIV96596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNESPRRDLAVFDFKAEDEIDDQNQFSKFKNPIHSPSDPDFLQHVDGNFNLEKGGSEPPLDTTEAIFHNKEENPEVEAAKQSYSINHENHCHIKIGIHKYNEPEMENTSGGVSSPETSQIDPSGSLSNNESVDADSEADDFMFESARRSPPSYIAENGVFNVSLNSYGLNGICSFDMDDANTEVVLHPDYVIYQDNYYMGPKLTFSDCCVKINVSTSCIKQGSLDLEWAVDDLIDIKCQLFQSSGTVIMKLHVISSNASQSTGLSGTSGIEELEVAVVDSNWSLKHTQITSLNMKYLAIWNIVPNTDIEGNENDSDEPRCYFPNFDEPFDEVVFPKGDPDAVSLSKRDVDLLQPDTFINDTIIDFYIQYLKSQIQADEKPRFHFFNSFFFRKLADMDKNPSSASDGKAAFLRVRKWTRKVNLFEKDYIFIPVNFNLHWSLIVICHPGEAVNFKDKELDKPLKVPCILHMDSIKGSHSGLKNLLQRYLWEEWKERHKDALEENLSSRFLHMRFLPLVLPQQDNSFDCGLFLLHYLELFLAEAPSDFNPFKLTKFSNFFLALFSTVIYFTIASELIDSYGLYGFDLTYQENFQLNVDWFPPAEAYLKRTLIQRLIFELVENHGSHESSSSDCSNDNHIYVENNENGTSMRLPEINKESSSRAGKGIEMTLLSSTSSLDPQSFNNQGLVLKEFFAPGATAETLLGQCQSYDQRSSDYHFNGSIFPMEEGTGLGEQLLYLDVDPNIQQVAGITPQTCSLPYLPKDCGDETFYTTEISPRAEHDVAESSTDTSSGASDDSEEIEFIENFAVGNEAQPSNEAEQGEKTCSPVENPKHITDMSVSDGSNLVLTSITGISQDIMTKSDDNNNNGDLQSSPQEIPTVPLHHVSDAVDDKVTCDDGKTINDVAPDVYDEQAAKRRKLMPPECEDKGILTESNL >OIV97039 pep chromosome:LupAngTanjil_v1.0:LG15:11184221:11192004:-1 gene:TanjilG_11556 transcript:OIV97039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAYKVGVVSASDLHPHESNIELIDTNQRVSHSEPLNKRVSRKNYKLNSSALTSAIDNGHSSNKSHNKEQQHDDEEEEEDHVEVTVEIKGDSVAVHSVKPVSTACNEDISGEDENLILLGKGMQKKSSFVGASVMRSASSKIKQVSQELKRFASLSKQGESSKVVHFDRNKSAAAHALKGLKFFTNGGVGWAQVEKQFDILTASNDGYLHCSLFAKCIGMNKESEAFAGELFDALCRRREIQGISINKAQLKDFWDQISDQSFDSRLKTFFDMVDKDADGRITEEEIKEIICLSATTNKLSNIQKQAEEYAALIMEELDPDDTGFVMLDNLEILLLHGPSHSTRGYSKYLSQMLSVKLKLIYEENPIKRWYRETMYFLQDNWQRSWVLLLWISVMLGLFAYKFTQYRRKAAYEVMGHCVCMAKGAAETLKLNMAIILLPVCRNTITWLRNKTKLGIAVPFDDNLNFHKVIAVAVAIAVGIHGIYHLACDFPRLLHADIEKYKLMQPFFGDQPQSYWFFVKSWEGVTGIIMVVLMAIAFTLASPWFRRGRIKLPKPLDSLTGFNAFWYSHHLFIIVYALLIVHGIKLYLSKEWYKKTTWMYLAIPIIIYTLERLVRALRSTIKPVRILKVAVYPGNVLALHMSKPHGFRYKSGQYMFVNCAAVSPFEWHPFSITSAPDDDYLSVHIRTLGDWTRSLRAKFSEVCQPPDNGKSGLLRAECLPGDSSPSNLPKVLIDGPYGAPAQDYKQYEVVLLVGLGIGATPMISILKDIMNNFKAMEEEEEGTTIEEGVINKSPRTPQNNKANLSNFKTRKAYFYWITREQGSFDWFKGVMNEVAEDDHSGVIELHNYCTSVYEEGDARSALIAMLQSLNHAKNGVDIVSGTRVKSHFAKPNWRSVYKRIALNHSQARVGVFYCGPPALTQELRQLSSDFSRNTTTKYDFHKENF >OIV96481 pep chromosome:LupAngTanjil_v1.0:LG15:19430738:19433163:1 gene:TanjilG_07873 transcript:OIV96481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIGESRLIVEEDFVIASEDMIPPPPQSPKALLMKINSIVSMNRSKSYNRLPSQPLTLSILKLDASFFHVEVSKNASVGELKHAVEAIFSHMPQKISWPLVWGQFCLCYEGHRLVVETDYLRDYGIHDGDQLRFIRHISNISSSRRKRSKKRVANLKQHRRSTSPLNEYQQIEHSDEDDIDIENGKIQHCNEEEECTGNNRLTTSLFGGLLPYSQVVDIRRARIESRNCPSLIARGVLKSISNIRKVLCFGKRWHYSPKDTWREY >OIV97523 pep chromosome:LupAngTanjil_v1.0:LG15:502627:512349:-1 gene:TanjilG_11047 transcript:OIV97523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFAPNDMERNGDGKTHDSDSSVPHSALKMGLRERTSSNMEDPDGTLASVAQCIEQLRQSSSSVQEKERSLKQLLELIDMRENAFSAVGSHSQAVPVLVSLLRSGSLNVKIQAATVLGSLCKENELRVKVLLGGCIPPLLGLLKSSSAEGQVAAAKTIFAVTQGGAKDHVGSKIFSTEGVVPVLWQQLQKGLKSGNVVDSLLTGALKNLSSSTEGFWNATVQAGGVDILVKLLTTGQSSTLANVCFLLACMMMEDASVCSKVLTAEATKQLLKLLGQGNDAPVRAEAAGALKSLSAQSKDARREISNSNGIPALINATIAPSKEFMQGEYAQALQENAMCALANISGGLSSVISSLGQSLESCTSPTQSADTLGALASALMIYDDKAESTKASDPLVVEQTLLKQFKPHVPFLVQERTIEALASLYSNPMLSIKLESSDAKRLLVGLITMAASEVQEELLKALLTLCNGEGSLWRTLQGREGVQLLISLLGLSSEHQQECAVSLLCLLSNENDESKWAITAAGGIPPLVQILESGSVKAKEDSATILRNLCNHSEDIRACVESADAVPALLWLLKNGSPNGKEIAAKTLNHLIHKSDTATISQLTALLTSDLPESKVYVLDALRSMLSVVPLGDILREGSAASDAIDTMIKILRSTKEETQAKSASALAGIFETRKDVRESSIAVKTLWSVMKLLSVESESILIESSRCLAAIFLSIKENRDVAAIARDALSPLVALANSSVLEVAELATCALANLILDSETAEKAVAKDVILPATKVLREGTISGKTHAAAAIARLLHSRKVDFSVIDCVNRAGTVLALVSFLDSAINESVATSEALEALAILSRSEVTNAHSKPAWAVLAEFPKSISPIVLSIADSTPMLQDKAIEILSRLCKDQPVVLGDTVVTATGCISSIAKRIVSSTSTNAKVKTGGAAVLICAAKVNHQRLVEDLNLSNLSADLVQSLVDMLISEQRCLGNQDDDNKEVISIYRLTKEEANGGESNTGTATISGAHLATWLLSILACHDQRSRIAIMEAGAIEVLTDMISNCYSQYSQIEYKEDSSMWISALLLAILFQDRDIIRENATMKSIPALANLLKSEESANRYFAAQAIASLVCNGSRGTLLSVANSGAAGGLITLLGCADSDIQDLLQLSEEFSLVRYPDQVALERLFRVDDIRVGAASRKAIPALVDLLKPIPDRPGAPFLTIGLLTQLAGDCPPNTILMVESGALEALTKYLSLGPQDATEEAATDLLGILFSSAEIRRHESAFGAVPQLVAVLRLGGRASRYSAAKALETLFSADHIRNAESARQAVQPLVEILNTGLEREQHAAIAALVRLLSENPSRALAVADVEMNAVDVLCRILSSDCSLDLKGDAAELCCVLFGNTRIRSTMAAARCVEPLVSLLVSEYSPAQHSVVRALDKLVDDEQLAELVAAHGAVIPLVGLLYGRNYVLHEAISRALVKLGKDRPACKMEMVRAGVIECILDILHEAPDYLCAAFSELLRILTNNANIAKGPSAAKVVEPLLLLLTRHEFGPDGQHSALQVLVNILEHPQCRADYSLTSHQAIEPLIPLLDSPISAVQQLAAELLSHLLLEEHLQRDSVAQQVIGPLIRALGSGIHILQQRAVKALVGIALIWPNEIAKEGGVFEISKVILQEDPSLPHAVWESAASILASILQYSSEFYLEVPVAVLVRLLRSGLEGTVVGALNALLVLESDDGTSAEAMAESGAIEALLELLRSHQCEETAARLLEVLLNNVKIRETKVTKSAILPLSQYLLDPQTQAQQARLLATLALGDLFQNEGLARTPDAVSACRALVNVLEDQPTEEMKVVAICALQNLVMYSRSNKRAVAEAGGVQVILDLIGSSHPETSVQAAMFIKLLFSNHTIQEYASSETVRTITAAIEKDLWATGTVNDEYLKALNSLFSNFPRLRATEPATLSIPHLVTSLKTGSEASQEAALDALFLLRQAWSACPAEVSRAQSIAAADAIPLLQYLIQSGPPRFQEKAEFLLQCLPGTLVVIIKRGNNMKQSVGNPSVYCKLTLGNTPPRQTKVVSTGPNPDWDESFSWSFESPPKGQKLHISCKNKSKMGKSSFGKVTIQIDRVVMLGAVSGEYTLLPESKSGPSRNLEIEFQWSNK >OIV97289 pep chromosome:LupAngTanjil_v1.0:LG15:2584079:2595641:1 gene:TanjilG_07041 transcript:OIV97289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDVDVEGGGEDGFRVRKYRPVLANDRAVLEMSPVDPGSSSASAFPDQPPNLRKINVGQGVNGSSDAKDGIAPRQKQPNGTQQESKLELFGFDSLVNILGLKSMTGEQVAPPSSPRDGEDIPIIAGQSDKMGTMMGVFIPCLQSILGIIYYIRFSWIVGMAGIGGSLLLVALCGICTFLTSISLSAIATNGAMKGGGPYYLIGRALGPEVGVSIGLCFFLGNAVAGALYVLGAVETFLKAVPAAGIFKETVSQVNGTAVAQPIQSPSSHDLQIYGIVVTIILCFIVFGGVKMINRVAPAFLIPVLFSLICIFLGVILARKDHPAEGVTGLSLETIKENWNSDYQKTNAAGIPETDGSVTWDFKQEASISIAFGFYPVSE >OIV96462 pep chromosome:LupAngTanjil_v1.0:LG15:19579377:19582973:1 gene:TanjilG_07854 transcript:OIV96462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVENTMVDPSDQFPIGMRVLAVDDDPTCLFVLETLLKRCQYHVTTTNQAITALSLLRENKDKFDLVISDVHMPDMDGFKLLELVGLEMDLPVIMLSANGDKKMVMKGISHGACDYLLKPVRIEELKNIWQHVIRRKKFDSKENKTSSLEKATTDSGNGLGSTGRGNSYQDGKVNKKRKDQDDEEDEEQENDNEDPSTQKKPRVVWSVELHRQFVAAVNHLGIEKAVPKKILDMMNVEKLTRENVASHLQKYRLYLKRISCVANQQANIVAAFGSADHSYLRMGSVSGVGHLQTLSSSGQFHNAFGSFPPGGMISRLNTPSGLNVHGFPSPGVHQLGQTQNLIDSTNDQLKFQPAIVPVNQNGVQGMPVSVGFDRLQQNEGVISVQNMTAANDARATFPIHKLPDRGQRVTASGSHTPRLGISNDALMLESHPQDTVGGIVYQKSSSSVRSQHSEVSLSLPDQGRYPDNWSSAMQPSGVPTKSHPPSECLKHTSLHSIDNMASLPLQGGNLGGPSYFPSLYSQTHDSMSDMHSRRVLFTNRPGHISSDVPFQDWDDHNQDGNYHSNVGRSSMKPLSAPVNGAICPAGRNRKMDFNFCDPLQMKCHEVVELTAETSLKHQGNNMNQQKSQQSRFSNNLGSLEDFVSSIMKQHL >OIV96376 pep chromosome:LupAngTanjil_v1.0:LG15:20151978:20152442:-1 gene:TanjilG_09803 transcript:OIV96376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDPENTPAAPSEPPSSAEPPKSNHDQHPSTTSFSIWPPTQRTRDAVINRLIQTLSSPSVLSKRYGTLSSDESAAAARQIEDEAFTTASAASEEDGIEILQVYSKEISKRMLDIVKAKANTPSSAVDNGVAASEAPPSSSTDEDPITAAAESDA >OIV97034 pep chromosome:LupAngTanjil_v1.0:LG15:11453257:11454594:1 gene:TanjilG_19581 transcript:OIV97034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAILQCSSSCVILVTLLIALLFIDPISSTSRNALDDENQNNSHQAGLRVKLHHVDSGKNLTKLERVQHGIKRGTNRIERLNAMILAASTNDSTQLESPIHAGNGEYLMELSIGTPPVSYPAVLDTGSDLIWTQCKPCTKCYNQPTPIFDPKKSSTFSKLSCSSSLCNALPSSTCNDGCQYMYSYGDYSVTQGILATETFTFGDSKVHEIGFGCGEDNEGDGFQQASGLVGLGRGPLSLVSQLKEPKFSYCLTNMDDSKTSVLLLGSLPRVKGKEITIPLVKNPLHPSFYYLSLLGITVGDTQLSIEKSTFEVGNDGSGGLIIDSGTTITYLEEGAFSELKKEFTYQTNLPIDNSGAEGLDLCFTLPTDATQVEIPKLVFHFKGGDLVLPAENYIIADSNLGVACLAMGASSGMSIFGNVQQQNILVNHDLEKETISFVSTKCDGL >OIV96257 pep chromosome:LupAngTanjil_v1.0:LG15:20713623:20721290:-1 gene:TanjilG_05097 transcript:OIV96257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDVSDATTTTMKKKKKGRPSLLDLHKRSLKQQQQQNLDNDNLLNSHSNPHLQNHHDDDDERTQKKQKLLIGLNSRNLNNSLLFHNPDLNLDAPKNYHKTLPDYHDIIKHPMDFGTVRNKLDEALYANLEQFEARAMQEIAKKDFENLRQESDSDSEPQPKPQNKIVQRGRPPGKNISKSLAMSPSDRVAPESSSDATLASGGDIASGSYGYNLRKALSRFQPADSSARASHSNLNSGAYTSWSYDWENEFPASVLKAVLRYGKKQSVVDETRRDTYNHLVTLRNEPPLVATVENEFKQLLAVGLHVKHGYARSLSHFAADLGPVAWKIAARKISSVLPPGHEFGPGWVAEDDVSQKQHLPPCDEERNSDPRVPEDYKSRLPSPSGSFPVVNRSFLQSGDMVMNRELNYQNDLNLVKNVGAGIEPMVPLRMQQESMVHSDDFGSNCRPGSNFSPQMKMIRLSDLTGSTSSGNVPQLYDMDSINSHMAPANINAPLRGQFLNKLTQLDSSNLLARESGFESQSLSQVLAGKSSWSGMEVPAKQNSFSLANDFNGNIVATNSDLNPGKEPLSWSVRMKIAAGAARGLEYLHCKADPPVIYRDLKPANILLDNEFNPKLSDFGLAKLGPVGDKTHVSTRVMGTYGYCAPEYAMSGKLTLKSDIYSFGVVLLELITGRRAIDGSRRPGEQNLVSWSRPFFSDRRKFTQMVDPLLGGNFPVRCLHQAIAITAMCLQEQPKVRPLIADIVVALEYLASQCNTHDVHRHGVPSPSLQPTS >OIV97443 pep chromosome:LupAngTanjil_v1.0:LG15:636704:642523:-1 gene:TanjilG_16204 transcript:OIV97443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGLFVTLFMLCLASYSSCKRPVKAIPKKITFSVMDYGAVGDGVTDDSKAFLKAWGEACDRTGILQVPPKKMFMLNPLKFSGPCKFSSIHFKLKGNVIAPNSIEAWREYNDKTKWIQIVNVNGLIINGGGQIDGRGSPWWKDCYVDSCPRPKALLINNCNNLHLSGTHHVNSPKSQISINQCKNATLSYLTIIAPGNSPNTDGIDIAQSSFIYIHHSTISTGDDCIALNDGTSNINITYINCGPGHGISIGSLGRNGAYDTVEQVYVSYCSFNGTTNGVRIKTWQGGSGYVRNVKFEHITLTNTYNPIIINQEYRNIASKENLNQESGVEIIGVIYKDVKGTSASEVAINLNCRSSKGCSDIIMEEINIAPSNTMAICNYARGKASFVSPKVPCLV >OIV96851 pep chromosome:LupAngTanjil_v1.0:LG15:15184278:15184874:1 gene:TanjilG_08712 transcript:OIV96851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIIIVRIFVVVAKQHFKLLLRKAVVTCFIDESEFHGIFVFSVGLHGRNGRAFLFSHAMNIVTGPKEDRNLMTGLHTVADVHCGDCREVLGWKYERAYEASQKYKEGKFILEKSKIVKENW >OIV97160 pep chromosome:LupAngTanjil_v1.0:LG15:5478210:5482607:1 gene:TanjilG_28911 transcript:OIV97160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFPKRPAGRWSDGRVLSDYISKYLGLKSPVPYSLRKLMPQHLKQGMNFAFGGTGVFDTSFPFPNMTTQIDFLEQLIKDKVYTTSDISNSVAYISVAGNDYNHYLSTNGSIQGFPSFIASVVNQINTNLISIKKLGLKKIVVGGLEPLGCLPQITASSSFQQCNSTFNDLTVLHNKLLNKVVTKLNQESKGHTTYLILDLHDSVMSVLNHPSTHNIKNPLKPCCVGVSTEYSCGSVDLNNVKKYRVCDKPNSAFFWDMLHPTQAGWHAVYNKLQTKSVLRQLLK >OIV96660 pep chromosome:LupAngTanjil_v1.0:LG15:17060925:17065217:-1 gene:TanjilG_09202 transcript:OIV96660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALGGATAAVSSRILPSATGASLSLSSSRSFFSFECLRSSPRISNLFLNQRRVLEVRVSSRGYGTVSAVASDLDQLKNAREDIKELLKTKFSHPILIRLGWHDAGTYNKNIEEWPQRGGANGSLRFEVEQKHAANAGLVNALKLLQPIKDKYSGVTYADLFQLAGATAVEEAGGPKIPMKYGRVDVTSPEQCPEEGRLPDAGPPSPADHLRQVFYRMGLNDKEIVALSGAHTLGRSRPDRSGWGKPETKYTKDGPGAPGGQSWTAQWLKFDNSYFKDIKEKKDEDLLVLPTDAALFDDPSFKVYAEKYAQDQEAFFKDYAEAHAKLSNLGAKFDPPEGIVIDRSPSAQGEKFVAAKYSSGKRELSDAMKQKIRAEYQAVGGSPDKPLQSNYFLNIIIVIAVLAILTSLFGN >OIV96970 pep chromosome:LupAngTanjil_v1.0:LG15:12687416:12693522:-1 gene:TanjilG_31926 transcript:OIV96970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVMQKIKDIEDEMAKTQKNKATAHHLGLLKAKLAKLRRELLTPSTKGGGGAGEGFDVTKSGDSRVGLVGFPSVGKSTLLNKLTGTFSEVASYEFTTLTCIPGVITYRGAKIQLLDLPGIIEGAKDGKGRGRQVISTARTCNCILIVLDAIKPITHKRLIEKELEGFGIRLNKEPPNLTFRRKEKGGINFTSTAANTNLDLDTVKAICSEYRIHNADITLRYDATADDLIDVIEGSRVYIPCIYVVNKIDQITLEELEILDKLPHYCPISAHLEWNLDGLLEKIWEYLDLTRVYTKPKGMNPDYEDPVILSSKKRTVDDFCTRIHKDMLKQFKYALVWGSSAKHKPQRVGKEHELEDEDVVQIIKKI >OIV96616 pep chromosome:LupAngTanjil_v1.0:LG15:18430634:18431281:1 gene:TanjilG_28473 transcript:OIV96616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIEDSSNHVSLAFPLGLSLLVALLLFICSFFCCYLHWEKLKSLFSSCGLINLHHIQADLTSHDHHKPVLPIVMMKRNQGQSFPVMMPGDEVPKFVAIACPHQPFNG >OIV96649 pep chromosome:LupAngTanjil_v1.0:LG15:16904764:16908554:1 gene:TanjilG_09191 transcript:OIV96649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPMLHSSQPWVEKYRPKQVKDVAHQDEVVRVLTNTLETGSCPHMLFYGPPGTGKTTTALAIAHQLFGSELYKSRVLELNASDDRGINVVRTKIKDFAAVAVGTNHPKSDYPCPPFKIIVLDEADSMTEDAQNALRRTMETYSKVTRFFFICNYISRIIEPLASRCAKFRFKPLSEDIMSSRILYICKEEGLNLDDKALSTLSSISQGDLRRAITYLQSAARLFGSSISSKDLISVSGVVPSEVVEALLAACKSGNFDLANKEVNNFIAEGYPVSQMLNQLIDIIVDKDDISDEQKARICKKLGEVDKRLVDGADEYLQLLDVASNTMQAFADKPEGFAYEA >OIV96689 pep chromosome:LupAngTanjil_v1.0:LG15:17389351:17390241:-1 gene:TanjilG_09231 transcript:OIV96689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQSRGHKATPYLLSCAQKNLKDVNVKKSCPKASEKKDWEDAICSVCMEVPHNAILLLCSSYNKGCRPYMCATSHRYSNCFEQYKKAYTKASSVQSLQLDTDNSNFGLSTGEHNENTEVTELLCPLCRRQVKGWTVVETARKSLNAKKRSCVKDDCSFVGNYKQLRKHVRSKHPLSRPREVDPVREEKWKRFECERERNDVISTILSSTPGAMVLGDYVLEPNSQSFFSEEYDSDDLENDFFSMSSFGLGRNSRFLSDIRYNQDHASSAVAGRRLHRLLLGRSRRRRRHRTQNASR >OIV97368 pep chromosome:LupAngTanjil_v1.0:LG15:1818565:1819858:-1 gene:TanjilG_07120 transcript:OIV97368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQFIRSNSKVLSKGYVESFMKPFLLLNHPNTVFSSGQVDSDHSMDHAPYPYGDPFSGGSLVAYEPQAINQSQMLPQMLGLASTRVALPLDLAEDGPIYVNAKQYHGILRRRQSRAKLEAQNKLIKSRKPYLHESRHRHALNRVRGSGGRFLSTKQLQQSNAEHVNGGHSGSDPVNIYQKKNATEGQSHPTRTGENASSITTYSDRTCFSNNSVSFRQPERIFLGNSTNIGEHHSNIGLTFGGTQHRASVVR >OIV97175 pep chromosome:LupAngTanjil_v1.0:LG15:5926162:5932808:1 gene:TanjilG_28926 transcript:OIV97175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRFFKTIEKDSDASLKKPKPNEEIEEKKEPLKFLTWNANSFLLRVKNNWNDFTKFITTFDPDVIAIQEVRMPAAGSKGTPKNQGELKDDTNPSREEKKILMRALSSPPFGNYHVWWSLADSKYAGTALLVKKCCKPKSVVFNLDQIASKHEPDGRVILAEFETLRLLNTYVPNNGWKEEANSFQRRRKWDKKILDFVTQNSDKPLIWCGDLNVSHEEIDVSHPEFFSSAKLNGYVAPNKEDWGQPGYTSSERKRFGTILREGKLVDAYRFLHKDKDMDRGFSWSGNPVGKYRGKRMRIDYFIVAEEFKEKIVACEMHGQGIELQGFYGSDHCPVTLELSPSSNPKKEDTV >OIV97500 pep chromosome:LupAngTanjil_v1.0:LG15:309065:315175:-1 gene:TanjilG_11024 transcript:OIV97500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAVETVVRLRVIFDDDGMLSKSKKKEGLKRCWVLLKPKEHTTISHLSSYLRSIFNLHRTCPNGTILSMDGFVLPPFESTCIFKDKDIVCVKRKGSISTDDKLAKLSSVVDGEHIGLPKLLAIEGFQGEDGRCETVLQEDESDQHEEDEVNVESNATSKKIKAPKKLYSQEDDSEQLEQDDTVYVESNAKSKKRKASKKLKSEEDDNGQHEEDDTVYVESNAKSKKRKASKKSKSPSKKKIKLSSAEKLAVIHEKEENASFGGQIHHQSVVNKDNDNSSKLSREPKKSSNLDRKQSNNSSGSKGDKTRSLQPQDDDGTETIKMPSRSARRKKAKRKWLRELKLQQENGKLHPSPIVEKDGQQSPIKDNNSIVSDVHQQPDEESEAEDDIIPVEIRPGHIRFEPLRKDQDVPQNQFPVETFQWNGTTSKKKGQKWGTERISSRKQDDYEHSTQESPNVHHAEIQHRLNPVDFDKLTPYTSSPKEGDVIAYRLIELSESWTPELSSFRIGRTLHYDAKSNMIQLEAVSEYPFDFKKKIDGDESSEQFDPSPYGEDGSLEIDYLSLADVRMVKHGNPDSATAIAPSDAWVNLVKSTNGSINEKLVGDQTTVGNSQERECHTPAKDNGKVNLWDELNEALAAKKAKLSEVDDGWSHVSSDSRSWSHRALRCSALGPTMARLRAQNGL >OIV97358 pep chromosome:LupAngTanjil_v1.0:LG15:1880328:1882538:1 gene:TanjilG_07110 transcript:OIV97358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDANVFKLGVILLPLRGCNFGALKDTVLSNCRNQKTFRPKKSAPSGSKGAQLQKHIDATLGSGNLREAVKLPPGEDINEWLAVNTVDFFNQVNILFGTLTEFCTANNCPTMTAGPKYEYRWADGVIIKKPIEVSAPKYVEYLMDWIETQLDDETIFPQKLGAPFPANFRDVVKTIFKRLFRVYAHIYHSHFQKIVSLKEEAHLNTCFKHFVLFTWEFGLIEKAELAPVQDLVESIIQF >OIV96401 pep chromosome:LupAngTanjil_v1.0:LG15:19988163:19992383:1 gene:TanjilG_09828 transcript:OIV96401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRFLLFLIASLIATVSGGRDFTGDGVLRLPSEEASRFFQAPDSDENEEGTRWAILIAGSNGYWNYRHQADVCHAYQLLRKGGLKEENIVVFMYDDIAFSEENPRPGVIINSPHGDDVYKGVPKDYTGEDVTVNNFFAALLGNKSALTGGTGKVVDSGPNDHIFIYYSDHGGPGMLGMPTNPYLYASDLIEILKKKHASGTYKSLVFYLEACESGSIFEGLLPEGLNIYATTAANAEESSWGTYCPGEFPSPPPEYETCLGDLYSVAWMEDSDIHNLRAETLHQQYELVKQRTMNGNSIYGSHVMQYGDIGLSKDHLFLYLGTNPANDNFTFVDENSLRPPSKAVNQRDADLIHFWDKFRKAPQGSPRKAEAERQILEVMSHRMHVDSTVKLIGKLLFGIEKGTEVISSVRPAGQPLVDDWNCLKTLVRTFETHCGSLSQYGMKHMRSFANFCNAGIQNEQMAEASAQACTTFPANPWSSLHRGFTA >OIV96936 pep chromosome:LupAngTanjil_v1.0:LG15:13740728:13742333:-1 gene:TanjilG_00518 transcript:OIV96936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQPWQNNMMSPNVSSTQVGDTTYTKIFVGGLAWETKRDTLKLYFDQFGEILEAVVITDRNTGRSKGYGFVTFKDPDSAVRACHNPYPVIDGRRANCNIAALARQKISNPSWTMVPTTFQGTSTYYNQHIPQYTFPYPAYRYPGYPSPQGIYDMNNYNVYGGQQVPFWLLPTYHQPFYGHSGQFVPTAYVKKTQFPEMLPQEFTVSGLSEPILASPSIQSTGTVATAAGVLGSPQNRNLQLKQI >OIV97452 pep chromosome:LupAngTanjil_v1.0:LG15:566671:570533:-1 gene:TanjilG_16213 transcript:OIV97452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHQLSSSVLLLWHVIAFLVVSNLVYASSLNGTTIGHTKHRNEVIIAQNGAVASDDRRCSRIGKEVLREGGHAVDAAVAVALCLGVVSPASSGLGGGAFMLLRLADGVAKAIDMRETAPSFASEDMYAGDADLKAKGGLSVAVPGELAGLHKVWKKHGKLPWKRLVKPAEILARGYKISPYLGRQMEATESDILADGGLRSLFAPNGKLLNIGEICHNKKLAYTLRLISEFGPKIFYNGLIGHSLVRDVQKAGGILTMKDLRRYTAKQKKPLSNDVLGLKILGMPPPSGGPPMMLLLNILSQYDLPSGLSGSLGIHREIEALKHVFAVRMNLGDPDFVNITAVLSDMLSHEFAKVLKKDINDNKTFSPSHYGGKWNQIHDHGTSHLSIIDRERNAVSMTSTVNAYFGSKILSPSTGIVLNNQMDDFSIPRSVPKDVPPPAPANFIAPRKRPLSSMSPTIVLKDRKLKAVAGASGGIMIIGGTSQVLLNHFVRGLDPLSSVLAPRVYHQLIPNDVTYENWTSVSGDHFELPADTREALKSKGHVLKSLVGGTICQFIVQDIEHSEKNKGIGKLVAVSDPRKGGVPAGY >OIV97134 pep chromosome:LupAngTanjil_v1.0:LG15:7450696:7452738:-1 gene:TanjilG_00163 transcript:OIV97134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSNKHWPSMFKSKPYNQWQHDINSSILSNGCHRSNYNNSGSGCDERSPEPKPRWNPKPEQIRILEAIFNSGMVNPPRDEIRKIRMQLQEYGQVGDANVFYWFQNRKSRSKNKLRNLQNSKNQTQQQSPPPPPQNNISISQVTAPSSSSSSSSPKNQILPTKGFSIGFSNTNEIGVPNSPPPSVNQTYFQTFNDTNLLPQQPSSQPFFFPLHQNGQGIVDNANNNAIPTQGLCFSDPSNVVQAQPHHPQLHGQHQNVGPCNSMLLNEIRNYDTTLKKDQYQDKGMKIMHQLPQQSFSLITPTPNSLTSNVAPPITNTSVTVSSNIAQLQGVGGAASTAKSMVFINDVAFEVPVGPFNVREAFGVEAVLLYSSGHSVPTDDWGVTLHPLQHGGSYYLVSMLIPFKIKMYDITPTNVALT >OIV96902 pep chromosome:LupAngTanjil_v1.0:LG15:13186285:13186689:1 gene:TanjilG_00484 transcript:OIV96902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGISISPCIVPITVFFFFFLSVFQLVLAGEEPIPPVTVLPSQSAGERQNLCVGAVWPTSCPVKCFRADPVCGANGVTYWCGCVEAACEGAKVAKVGFCEVGNGGSAPFSGQALLLVHILWHIVLGLSVIFGLL >OIV96915 pep chromosome:LupAngTanjil_v1.0:LG15:13386706:13392659:1 gene:TanjilG_00497 transcript:OIV96915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTGETQITPTHVSDEEANLFAMQLASASVLPMVLKSAIELDLLEIIAKAGSGVHLSPTDIASHLPTTNPDAPVMLDRILRLLASYSILSYSLRTFHDGKVERLYGLAPVAKYLVKNEDGVSLSALNLMNQDKVLMESWYYLKDAVLEGGIPFNKAYGMTAFDYHGTDPRFNKVFNKGMSDHSTITMKKILETYTGFETLKSVVDVGGGTGAVISRIVSKYPNLKGINFDLPHVIEDAPSYPGVEHVGGDMFVSIPKADAVFMKWICHDWSDEHCLKFLKNCYDALPENGKVIVAECILPVAPDTSLATKGVVHIDVIMLAHNPGGKERTEKEFEALAKGAGFEGFRVFGSAFNTYIMEFLKKV >OIV97195 pep chromosome:LupAngTanjil_v1.0:LG15:6961965:6964481:1 gene:TanjilG_28946 transcript:OIV97195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACLPITPNTTSTLPHCIPQTTTNTPNSYKFHFNQISSLCKDGQIRNAVLSLAEMESKNFHTGPDIYGELLQGCVYQRALPLGLQIHAQIIKRGKPFTTNEYIDTKLVILYAKCGVGEVANRIFRGLGKKNVFSWAAIIGMQARSCCCEEALLSYVEMQENGLLPDNFVVPNALKACGVLGWVGFGKGIHGYVAKMNGFDGCVFVASSLVDMYGKCGVLEDAERVFDGMIEKNNISWNSMIVAYAQNGMNKEAISLFRKMRFEGIEPTPVTLSGYFSACANLEAIMEGRQGHGLVVLGGMELNNVLGSSIMNFYSKVGLVEETELVFRKMVMKDVVTWNLIISSYVQFGQIDKALEMCHWMREEKLRFDSVTLSSLLTAAADTRDIGFGMKGHSYCIKNNFDCDVVVLSGIIDMYAKCGKMDYARKVFSRVIKKDIVLWNTMLAAFAEHGLSGEALRLFFQMQLESIPPNVLSWNSVILSFFRNGQVIEAQNMFSEMYSSGVKHNVITWTTLISGLAQNGLGYEAIQVFRQMQVEGVEPNSLSITSALSACINMALLKYGRAIHGYVMRHDMSLCLYITTSIMDMYAKCGALNNTKFVFNMCSTKEMPLYNTMISAYARHGQAIEALALFKQMEKEGIVPDHITLTSVLSACCHGRLVREGLEVFKYMISELQMKPSKEHLGCLIKLLANDGQLDEAVRILLTIPSDPDAHILGSLLAACGQNHDIELAGYIAKWLLKLEPNNSGNYVALSNVYASVGKWDKVSNIRGLMKEKGLRKIPGCSWIEVGQELHVFIASDKSHAETEEIYMILHLLGFDMHYAKYAPYSTEPTPASFNIRS >OIV96971 pep chromosome:LupAngTanjil_v1.0:LG15:12707253:12708618:1 gene:TanjilG_31927 transcript:OIV96971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISKNSSMTLIIMLVFVSGLVQAKAYYYRQCSTTGTRCNGQYIRCPSECPSSESNDPKAKVCLIDCDKPTCSAVCRHRKPNCNAPGSGCFDPRFIGGDGRVFYFHGKSNTHFSLVSDSDLQINARFIGHRPDGRTRDYTWIQALGVLFNSQTFSIEAIKTPQWNDQVDHLKFTYNGNDLVLDETSLSTWYSPKRDVKMERVASKNSVIVTIEDVAEILVNVVPVTKEDDTIHNYQVPSDDCFAHLEVQFRFFALSPEVDGVLGRTYRIDFENPAKPGVAMPIVGGEDKYKTTSLLSSNCASCVFSQESYSAKKIAKVAQFVTLDCTKFSNGMGIVCKK >OIV96590 pep chromosome:LupAngTanjil_v1.0:LG15:18169434:18180554:1 gene:TanjilG_28447 transcript:OIV96590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNTNAELSQSAIPQKGNEVNLTLGGIDLNNSGSVVVKADKKLLTVQFPDAHDGRAFTLKAETTEDLYEWKTALENALAKAPSAANATEQNVRPLCVVVKADKKLLTVQFPDAHDGRAFTLKAETTEDLYEWKTALENALAKAPSAANATEQNGIFRNEQIDSIDISMDQLKDREPMKSTVIGRPILLALEDVDGTPSFLEKALQFLEQHGANVEGILRQAADVDDVERRVREYEQGKVEFSPDEDAHVIGDCIKHVLRLLPSSPVPASCCKALLEAVRTERGSRVSAMRTAINDTFPEPNRHLLQRILLMMQTIASRKAVNRMSSSAVAACMAPLLLRPLLAGDCDIENDFDVGGDGSIQLLQAAAAANHAQAICITLLEEYNSIFGEGSESPDIYTDTEESGSESEEGTEDDLSYDDEDYDDEEDGSVHESDVDDDLVSESYSETGESQAYDEHDDKDHDHSSPSSRSSGASEEFRVTRPSKSFRGSQPQHQNIKSSKNLTSPTKNACTDQSNEPADIVGGVSTDQSTEDNSDFPSPSLCIKKSITMSNGGAPSPTPRRRTMLGRTSARKNLSMESIDFPIDDEDEIERLETARTELQTQIAQEVEANVKLQSHIDKRKKDLQERRLALEQDVARLQEQLRKEKKSRTKSHLDELALVEVDLTDLERKVEELGTRLNAQLEQNSGSTLDSYNQSEQISSDERKWKNKADTEVSATSQSDRSISKGTNLGGAENENERKSESIPFSNKQPPTSSKKSGSKGEGANSTPSALTKLTSRLNFLKVRQSSDKGRDRDSISHNLEKGKGSETQYAANQEKGRGLDSSHSSKEKSHQSSEKLRKSDSQPGYHSEGWNPNQHPTHLERGRSEGHHQSYNVDKGR >OIV97220 pep chromosome:LupAngTanjil_v1.0:LG15:4168893:4170278:-1 gene:TanjilG_02928 transcript:OIV97220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVLSPLPYIDNNSNTKWTLEENKLFENALAVHDKDTPDRWHKVAEMIPGKTVCDVMRQYVELEADVSDIEAGLIPIPGYKNTTSPFTLDWVNSDGYDDGFKGLIAKRSSSARPHEQERKKGVPWTEEEHKLFLLGLKKYGKGDWRNISRNFVTTRTPTQVASHAQKYFIRQISGGKDKRRASIHDITIVNLADSERISPSEDSKSSISPQHSTMFSHQQQQNRTPTTSRDYFQWNHKPDAGLAMTLNSSHEEVYISPYEGNSYESKMLDQNLHRNALHEFSYLVPQPQNMVFQMQSTQHYSHA >OIV97300 pep chromosome:LupAngTanjil_v1.0:LG15:2435711:2436325:1 gene:TanjilG_07052 transcript:OIV97300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENIEQHRKAKLSFPISLPITINAASYTTFLPITITADSITTTGQWSPLFPTLDPSIGPTIMSLTLPTRLTFPTTITIATNTFTAAATITTTRTLFNIFVDNEPHRQPPPPHSHSGYHQHLTSTHSITALPVILTLILEHNNNNNNNNNSNNNNNNRPVAVHITITDNLITIDGHPLFEPDVADTNPSLAAILLMVNADIPDED >OIV97112 pep chromosome:LupAngTanjil_v1.0:LG15:8459608:8482093:1 gene:TanjilG_10058 transcript:OIV97112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPEVFDCCFSTDVLEEDEYKVYIGGIVDQLQDHFPDASFMVFNFREGEKRSQISDILSQYDMTVMEYPRQYEGCPLLPLEMVHHFLRSGESWLSLEGQQNVLLMHCERGGWPVLAFMLAGLLLYRKQYSGEQKTLEMVYKQAPRELLHLLSPLNPQPSQLRYLQYISRRHLGSEWPPSDTPLYLDCLILRVPPLFDGGKGCRPLVRVYGPDTLKPVNRSSKLLFSTSKIQNNVHHYLQAECMLVKIDIHCPVQGDVVLECIHLSEDFIREEMMFRVMFHTAFVRSNILMLSRDEIDILWDAKDEFSKDFKSEVLFLDADAVIPDLTTVIVSEDENEMESGSPEEFYEVEEIFSNVIDAHEAKGEYNSPMVHANAADDGSHKEVWKDYSDPHTFEDSTSDDGTHQQNERTNSIINAVKDITVDDVQYKLDERVDSDAHAVKDIVVDDGEIKSTTTAVTYDMMKTLETKEDTVDVHDELAIMQNIYDEDNASGKELDSKPGQQMHDLSRPKSHSLLPSGEQKLLLSNSKSLGDTVAVKQEIKHPEPQSFEAKEAMPSATTMTIPPNKVFYQDSIHVSHPPARNNNSTAALSNATSVEEIITDDKGSVASDSHVYESVVSTDMADDLQSCKRDDSKSSNNTTIIVSNSPPSLLSEKETSLQSATEAPQLSSDQVLRPPPPPPPPPPPVSSYSSFDGTMPSMPQLLPPPPPPPPPSTLTLFNQQNRGVNLQLTTSPSVPIHPPPPPMSPFSVENRGSFLSSPTPWKSVYSSIAVASENYTASPTLASCAVTSKVSVLPTEASNPCPPPAPPPPPLPKYEVLSVPPPPPRMSTIYRYLPCPPPPPPPPPPAPPPPNPLCSAPPPALLTLPSLSLPNSNGSLSLSQLSLSKSQPPLLPPPMSTDPQTPPPLPLSIAPPSPAFPPLSTTPPAPPPPPPPPLSRILPYPLSRAPPSLSPLPQSTPPPPPPPPPPPPPPLPFSRFPPPPLPPSSGSPPPSLFKAPPPPPPPTHWAPPLPTNVTTPPQPPTNGTIPPPPPPLPPPPMHGAPPPPPPPMHGAPPPPPPPLMYGTPPPPPMHGAPPPPPPPLMYGTPPPPPMHGAPPPPPPPLMYGTPPPPPMHGAPPPPPPPLMYGTPPPPPMHGAPPPPPPPLMYGTPPPPPMHGAPPPPPPPLMYGTPPPPPMHGAPPPPPPPLMYGTPPPPPMHGAPPPPPPPLMYGTPPPPPMHGAPPPPPPPLMYGTPPPPPMHGAPPPPPPPLMYGTPPPPPMHGAPPPPPPPLMYGTPPPPPMHGAPPPPPPPLMYGTPPPPPMHGAPPPPPPPLMYGTPPPPPMHGAPPPPPPPLMYGTPPPPPMHGAPPPPPPPLMYGTPPPPPMHGAPPPPPPPLMYGTPPPPPMHGAPPPPPPPLMYGTPPPPPMHGAPPPPPPPLMYGTPPPPPMHGAPPPPPPPLMYGTPPPPPMHGAPPPPPPPLMYGTPPPPPMHGAPPPPPPPLMYGTPPPPPMHGAPPPPPPPLMYGTPPPPPMHGAPPPPPPPLMYGTPPPPPMHGAPPPPPPPLMYGTPPPPPMHGAPPPPPPPLMYGTPPPPPMHGAPPPPPPPLMYGTPPPPPMHGAPPPPPPPLMYGTPPPPPMHGAPPPPPPPLMYGTPPPPPMHGAPPPPPPPLMYGTPPPPPMHGAPPPPPPPLMYGTPPPPPMHGAPPPPPPPLMYGTPPPPPMHGAPPPPPPPLMYGTPPPPPMHGAPPPPPPPLMYGTPPPPPMHGAPPPPPPPLMYGTPPPPPMHGAPPPPPPPLMYGTPPPPPMHGAPPPPPPPPPMHGAPPSPPPLPMHGAPPPPPSPMCGAPPPPPPPMHGAPPPPPPPGGRGPPPPPPPGGQGGPPPPPPPGGRGGPPPPPPPGGRGGPPPPGGAPPPPPLLGSKEADPRGRGRGLARTTAAATATRRSPLKPYHWSKVTRALKGSLWEELQKRGEPQSAQEFDVSEIEKLFSVNVPKPVDAGGRKKSAGSKTDKIHLVDLKRANNTEIMLTKVKMPLPDMMAAVLALDESVLDVDQVENIIKNCPTKEEMELLKGYTGDKEKLGKCEQFFLELMKVPRAESKLRVFSFKIQFGSQMTEFKKSLTTVNSACEEGRSSLKLKEIMKKILYLGNTLNQGTARGAAVGFKLDSLSKLADTRASNSKMTLMHYLCKVLAEKSPALLDFHLDLVNLEAASKIQLKSLAEEMQAIIKGLQKVKQELAASENDGHVSEVFNKTLKDFIAGAESDVTSVTNLYSVVVICLTIILVDYALGRNADALALYFGEDPARCPFEQVTATLLNFVRLFRKSHEENIKEAELEKKKAEKEAEMDKAKGINLTKKGAKDREEETGES >OIV96479 pep chromosome:LupAngTanjil_v1.0:LG15:19438002:19441043:1 gene:TanjilG_07871 transcript:OIV96479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKQVIATLSASSFDYVLLDGDVEHPKTVKASSSNTDPWIKPEMLKLRHRIGRGAFGDVWLATHHQSTEDYDEYHEVAVKMLPLLREDQMKTVLEKCHELYFQLQGVARACGLHGISIINGRICIVMSFYEGSIGDKMARLRDGRISLLDVLRYGINLAQGIQELHSKGILILNLKPSNVLLTDSDQAILGDFGIPNILLGSAFVSSDMPNRLGTPNYMAPEQWQPEVRGPISFETDSWGFGCTIVEMLTGVQPWYGHPVREMYQSVVEKHEKAHIPSGLPSSIENILSGCLEYDLRNRPLMVDILTVFKSSLNALGDDGGWRYIGTNTVTVKSSSTGYTGWFLSKDHLKVGDTVRSRKPSNSCRPQNMDVPEGIVVGLDRNADYGFILVRVHGVHDPIRIHASTLERVTNGLAAGDWVCLKEENENHSPVGILHSINRDGRVTVGFIGLQTFWKGNSSELEMAESYCVGQFVKLKANVLSPRFEWPRKRGGAWAAGRISWILPNGCLVVKFPGLLTFRDEPNIFLADPSEVYAVSFRTCPKMTQKYQHIEDHHWTVRPVLIAFGLLTAMKLGMLIGRKMGRKMSPIAIDNESQHEDGKSVGNPTWTSSVANILFREGVKLPTGQ >OIV97174 pep chromosome:LupAngTanjil_v1.0:LG15:5916508:5918390:1 gene:TanjilG_28925 transcript:OIV97174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMKKLLWVALSLAFLFAIAESFDLHENDLASDDSLWDLYERWRSHHTVSQSLDEKHKRFNVFKTNVMHVHNTNKMDKPYKLKLNKFADMTNHEFRSSYAGSKINHHIMFRGISSGNGSFMYEKFDIVPTSIDWRKKGAVTIIKDQGHCGSCWAFSTVVAVEGINQIKTNKLVTLSEQVLIDCDNKENRGCEGGLMENAFEFIKKKGGITTDRNYPYAAADGTCNKSKMNNVVVSIDGHEKVPANNEEALLKAVANQPISVAIDAGGTDFQFYSEGVFTGECGTDLNHGVAIVGYGKTLNGTKYWIVRNSWGSEWGEEGYIRMQRGISDKKGLCGIAMLASYPIKTSSTNPTTEASSSLKDEL >OIV97033 pep chromosome:LupAngTanjil_v1.0:LG15:11436472:11439634:-1 gene:TanjilG_19580 transcript:OIV97033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQFMVDLVYTALHRASNFIFRKMHVAIFGTEEKDDIVKSFLEYSKHVAKFYGHDIIKENAFVTVKYPAKENNKEKDNDDNMNLDPQYKSFMEKLRPHGKSYVLDIIEENVFVKYEPPIPELEDLNVCTIENANHVSNATPMVDKKMHIHAKCEGKRTGRKPKDLVINADRHGNGSGSHVASDTKKRAGKHRHRKQKGKEVSADKNPNDSVSNMIVASKPQNQAPNYKEAHKHDHDSKRTSKRAKECQSIDHGIKEEPEYYDDDNPCIRRPMQDPVILFATCVASQLNVETFCGDDDEANARKTIEYREKLMAELKKPNCSEEYERLLNDIIVRKPAQGHKVLHLKKEIDLASDDHPKILNLLRGFFYWLVNSSHERSFRPWRVQSCLDVLPQ >OIV96897 pep chromosome:LupAngTanjil_v1.0:LG15:13128424:13128789:1 gene:TanjilG_00479 transcript:OIV96897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPIMAVIGIGGNQERRKKVLPENDTAALEMKKRNEELEKELKESKVREEEMKRKLHSAWERLRVAEEAEERLCSQLGDLEAEAVHQAREYHSQIVYLTEQLSRAQTLVHKSDSSISIPSS >OIV97087 pep chromosome:LupAngTanjil_v1.0:LG15:10011557:10020257:1 gene:TanjilG_14001 transcript:OIV97087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNPRCYGRCACNSDVNCDPSELGPDDGILPCQFHSVVAEFTVNGTSSKPSKAKSANPSEVRRIFSIGIPYSRGHAGQILFGPEDGYLYLMLADGSNRDDPYNFAQNKRSLLGKILRIDVNNVPSTQEINDKGLWGNYSIPMDNPYINDKELQPEIWAIGFKNPWRCSFDSQRPSYFLCGDAGQDQYEEIDMVKKGQNYGWRIYEGPFLFHPSQSPIGNNSVSSINPTFPILGYSHSDIDNITGSASIIGGYFYRSMTDPCLYGRYLYTDLYAGSIMVGIESPESSRNFSSAKITSMCAHDSPMPCSFVHGSPIPSLGF >OIV96549 pep chromosome:LupAngTanjil_v1.0:LG15:18899868:18902989:-1 gene:TanjilG_24202 transcript:OIV96549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNKSLSPRSTCVFVPGPVIVGAGPSGLAAAACLKEQGVPSLILERSNCIASLWQHKTYDRLHLHLPKQFCELPLMGFPSDFPTYPTKQQFIGYLETYAEKFGIKPRFNETVKLAEFDSKVGFWRLKCVDKADIVTEFVCKWLIVATGENAEAVVPNIEGVEEFGGCIKHTSLYKSGEEFRGKKVLVVGCGNSGMEVCLDLCNNHATPSLVVRDTVHVLPREMLGKSTFGLSMWLLKWLPMQLVDRFLLIISWLMLGDTSRLGLDRPSLGPLQLKNLSGKTPVLDVGTLAKIKGGDIKVRPSIKRLKLHTVEFVDGRKENFDAIILATGYKSNVPNWLQDESMFSKEDGFPRKPFPNGWKGENGLYAVGFTKKGLLGTSIDAKRITQDIERCWKDEAKHTSAFARSLLLQSNP >OIV97445 pep chromosome:LupAngTanjil_v1.0:LG15:622039:627331:-1 gene:TanjilG_16206 transcript:OIV97445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINFQTLPSFATTPSPLLPPSHHAVNHHQFRRNLCTTKRRRFNLPPTRIITVCSNHPHHTDGITVNSQAPRTTRRTVLVAPFLAAGASFLLSARAEEKTSPPSAAAEELPVSIKEEVITSRIYDATVIGEPLAIGKERGKVWEKLINARVVYLGEAEQVPVRDDKELELEIVKNLHKRCLENEKSLSLALEAFPSDLQEQLNQYLDNKIDGETLKSYTLHWPPERWQEYEPILSYCRENGIRLVALGTPLAILRTVQAEGISGLSKAERKAYAPPAGSGFVSGFTSISRRSSVDSTLNPSVPFGPRSYLSAQARVVEEYTMSQIILQNVLEGGATGMLIVVTGASHVTYGSRGTGVPARISRKIQKKNQVVILLDPERQFIRIEGEVPLADFLWYSAARPCSRNCFDRAEIARVMNAAGRSRDALPQDLQKGIDLGLVSPEVLQNFFDLEKYPLIAELTHRFQGFRERLLADPKFLNRLAIEEAISITTTLLAQYEKRRENFFQELDYVITDTVRGSVVDFFTVWLPAPTLSFLSYADETNAPSLIDFLGSIPDNAFQKNPVGTNWNLNHRVASVVFGGLKLAGVGFISSIGAVASSNSLYTVRKFFNPAVITNQQVLRSPVFKTAIVYAFFLGVSANLRYQIIAGLVEQRISDQFASQTFLVNMLSFVTRTINSYWGTQQWIDLARSTGLQVRKIESPTSEESPVNGAVVCNKTEEPTIDDIKND >OIV96880 pep chromosome:LupAngTanjil_v1.0:LG15:12898043:12898552:-1 gene:TanjilG_00462 transcript:OIV96880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRICRSYFRCSKKYDQGCRAKKQVQRVQENPDMYHTTYIGIHTCKDTLKDPQMITYSDTLDSTLMNSNSDSKVPNEKDPSICSRIPVIKQEYHKQDMPSDLIDNLDSTLWSDLKDFEQYKSVIVPSKMKSHNADNVYSCTDFQSLDNDFGVLSSPFSTDFHFVESHLL >OIV96499 pep chromosome:LupAngTanjil_v1.0:LG15:19316453:19318377:1 gene:TanjilG_07891 transcript:OIV96499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPNQQTVDYPSFKLVIVGDGGTGKTTFVKRHLTGEFEKKYEPTIGVEVHPLDFFTNCGKIRFYCWDTAGQEKFGGLRDGYYIHGQCAIIMFDVTARLTYKNVPTWHRDLCRVCENIPIVLCGNKVDVKNRQVKAKQVTFHRKKNLQYYEVSAKSNYNFEKPFLYLARKLAGDPNLHFVESPALAPPEVQIDLAAQKQHEDELAAAASQPLPDDDDDAFE >OIV96701 pep chromosome:LupAngTanjil_v1.0:LG15:17491444:17500602:1 gene:TanjilG_09243 transcript:OIV96701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRVTKWKVEKTKVKVVFRLQFLATHIPQSGWDKLFISFIPVDTGKATAKTTKASVRNGTCKWSDPIYETTRLLQDIKTRQYEEKLYKLVVGMGSSRSSILGEANINLADFVDALKPTAITFPLNGSESGTTLHVTVQLLTSKTGFREFEQQRELREKGLQTFSDQGTRDESPGSKESSPDHNVNRHINKVNSKTKLKRETKDLPCASSLGESGTNEEYTDSAAGFDGSSSTSGSVYTEKHDISSIHEVGSLKSTMSGDLGVSLGQSPQPEKGDTPDNQFSSQGSDWVHGWSIDKGNLEAVESSIFDLKLEVSSLQNHADEIGVETQKISEKLVTEIMSGEELAKEVTVIKAECSKFKDEFEQLKSSKLSLAHTTREPTETDRDKLFQKLLPKWLKGLLLMEDKLRDIKKVSIGFPERDFRFLNLELEALVGIVQDLKQESGGLISGANVTNGIESKKMDFHIGEQFLTDIGSGAALFQSEGMAHYFPIPGLASHESDLVDPALAMKGKVFELLRELDESKTERESLVRKMDQMECYYEALIQELEQSQRQMMVELQNLRNEHSTCVYTISAGKTEMERMHQSMNEQAMNFSEDKRILESLSSEFERRAISAEAALKRARLNYSIAVGQLQKDLEVLSCQIVSMHETNENLLKETFSDCSLPNGDGRQPMKYPKTSEAHTSNRLLCQNQSSSLHRQHLGEDIFLSDLKRSLQLQEGLYKQVEEEICEMYFVNIYSDVFSKALQETLIEASLDIQLMKEEVVRLSQQLKLTNESNELLVLRLQNAMNDILSLNEYNEICTAKSNEVSHQNQILEASLKDLVHENNLITQKNNELEIVLTNYRSCEGKYIACSEENLELKSLLEKESLENGYLHNEISILKEELKGLRIKFDELTPLKDNLDNKVSFLSAKLQKLLASYGDSCSELSLCSRSACLDSECGDVDGLLLQLEERQKSVFDKILLLTDEKKVLVNEKHMAQVSLHTAESDVLVMKKKFEHDLHGVLSNVSVSGSLLQKLQLDFEVIVDRINAGFEGEEIYFQHHKEFLSGFDHLEAEVQQLNSRNQEIAQEIGKLDTLYSDLEMCNLTLAAVTEQKKVKELSLQEKTAESAKISSELNVLKESLNSLYNELHAERIVREKLEKTVTDLTTELNEKQCQLQDSDMNRHQVHSRNQELAEEVTKLDTLSNDLKMCKLNLMAITEEKKALELSLQEKTEESSQILAELSFLKESLHSRNNDLHDERTIREELEKTITDLTTELNEKQCQLQDSDMNRQEVNSRNQDLAQEIMKLDTLSSELASCKLTLEATIEEKKSLEMSLQDKTDDSAKISSELNFLKENLLSLHSELHAERTSREKLEKTVIDLTTELSEKQCQLQESDTNRQELVSLKQLVTDLEFEKSRMSYLLQKSEERLEHALRESSSIDCLETYFSELHEFSIATDVLMTSTRAQYEGHVEELVEKLNSTCRQLDVLHKKSFYIESQLNDCVCRESTYIAENTRLLTSLDNLKSDLETSTSQCRALIDQNRAIISELNEHKSRTESVNDVRAREGQCVREVTRLEQVLASLFLAKEESELKCIVLQAKLDELEVAITSLKQSDGELLRLQNQCNDLTKRLSEQALKTEEFKNLSIHLKEQKDKAEAECLNARDRRGHEGPPVAMQESLRIAFIKEQYETKLQELKQQLALSKKYSEEMLWKLQAETEETENRKKSEAAQIKINEELGMKILEMEAELQAVISDKRNLSNAYDLIKAEKECSVITLECCKQEKQELEASLLKCNEEKSKIEVALTMEKELNESLRSHASVPIEVNDTSSSSLNSTEKSSHSTCNQEPENTNLLTNVQSEDPLASRAINGCQTLGAEEDLQQKEKNYVALTESLKSSIDHLNKELERMKNDNLLPKVDEYSHEPSFPGLQRELVQLHEANKEIGNIFPVFNETSFSGNALERVLALEVELAQALQAKKNSSLQFHSSFLKQYSDEEAVFRSFRDINELIKDMLELKARHSAVETELKEMHDRYSQLSLQFAEVEGERQKLHMTLKNTRTSFKKTPNSSSFFRDHSYSQS >OIV97091 pep chromosome:LupAngTanjil_v1.0:LG15:9353451:9374497:1 gene:TanjilG_15792 transcript:OIV97091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVWMLHRFHLTHSSSSPFQQQRLKHIDRRRRRFAAVLDSFCVGNEMEAKPNQHSTSTLFNESHKVKEGKFKRASLCKVNGLPDWPLMAVIVEQMEGQRDMVTIKSVWHLSDEAIKNVYSWYIMFTVWGCLFYGSMKDPYYDSETYRGDGGDGTGNWIYEKQEAMEAEAREALWREELIEEIELKVGGLKELEEAGKKEELVK >OIV97059 pep chromosome:LupAngTanjil_v1.0:LG15:10614789:10617653:-1 gene:TanjilG_08278 transcript:OIV97059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSMAASRNLGFQFPWNFSNAKKENMEVLKLRLYSSCNTNMLNNKRRFSLVTANSHSSPQKVDTVNGTKVNGIHVVEAPLSGSKLANESAADAALVTSLRGRFVEGRFVYRQIFAIRSYEIGPDKTATMETLMNFLQETALNHVTSSGIGGDGFGATREMSLRKLIWVVTRIQVQVQRYSKWGDEIEIDTWVDAAGKNGMRRDWIIRDHYTKEIITRATSTWVIMNRETRRLSKIPCEVKQELVPFYLYRIAVASEEKDCEKIDKLTDETAERIRSGMAPRWNDMDANQHVNNVKYIGWILESVPIEVLEDFNMTSMTLEFRRECQQSNLLESITTPTARIIGESNNSINRKPDLQYTHMLRLQDNKSDVVRARTEWHFKSK >OIV96687 pep chromosome:LupAngTanjil_v1.0:LG15:17348674:17349721:-1 gene:TanjilG_09229 transcript:OIV96687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQMVAMPLAPSLTLISNPKSLSTRFSVSLPNPPKVQGLSIRCVRVGGVEIPNNKRIEFSLQYIHGVGRNIARQILTDISMDNKITKELTEEDLITLRDEVSKYMIEGDLRRFNALNIRRLKEIQCYRGIRHIQGLPCRGQRTKNNCRTLKGKRVAIPGKKKK >OIV96387 pep chromosome:LupAngTanjil_v1.0:LG15:20074608:20077931:1 gene:TanjilG_09814 transcript:OIV96387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESCGHSNPLISFSRFIHQHCVRLGTEFSTRFENSTKQFITLHQPHFSPQSLFSSFIHPKHALAATSTLTSNHVANSLSGTKVYTVSNSNNEFVLISDSPDGAKSIGLLCFRQEDAEAFLSQVRLRKGELRGKARVVPITLDQVYMLKVEGIAFRFLPDPIQIKNALELRAASSKGGFDGVPVFQSDLLVVKKKNKRYCPVYFSKEDIEKELSLVSRRGPGVSQHIMVGSLEDVLKKMETSERNSGWEDLIFIPPGKNVTVVVFQSAGEMSGPLPPNCRVHPSHCLLKIKFFLMQILL >OIV97433 pep chromosome:LupAngTanjil_v1.0:LG15:707677:710278:1 gene:TanjilG_16194 transcript:OIV97433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPNLECRMYETRYPEVDMAVMIQVKNIADMGAYVSLLEYNNIEGMILFSELSRRRIRSVSSLIKVGRIEPVMVLRVDKEKGYIDLSKRRVSEEDIQTCEERYNKSKLVHSIMRHVAETLNIDLEDLYVHIAWPLYRKYGHAFEAFKIVVTDPDTVLSTLTREVKEVGPDGEEVTKVVPAVSEEVKDALVKNIRRRMTPQPLKIRADIEMKCFQFDGVVHIKEAMRKAEAAGNDDCPVKIKLVAPPLYVLTTQTLDKEQGIQVLSNAIVSCTESIEKHKGKLIVKEAPRAVSERDDNLLAEHMAKLRQDNEEISGDEDSEEEEDTGMGEIDVDNGPAITE >OIV96354 pep chromosome:LupAngTanjil_v1.0:LG15:20286839:20287801:1 gene:TanjilG_09781 transcript:OIV96354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIMGSPLIDHFDFNYEARVSPYLSVPSSPKRFGEIYMSAPTSPSRISEFYSEFEYFSITSPTPKDDYHDGFAFLVGESEKSPRSAEELFHDGRIKPLKEDNDLLFESAKSPLLSRKHVERSAIEQGKKVIREAFSPRRKNNDNNNNNNNPFGNSNRESEATRGRDKTPSITSSNSNNRHRVARSHSPCREPRYTWVEESQQGSNKEDSNKASLTSSSSKGSRKWRLRDFLLFRSASEGRGSSKDPLRKYPILFKKAEEGKGSSFRSSGSDNPPRPRRKEPLSAHELHYARKKAETEDMKKKTFLPYKQGILGRLAGFTR >OIV97031 pep chromosome:LupAngTanjil_v1.0:LG15:11409067:11415115:-1 gene:TanjilG_19578 transcript:OIV97031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPQPPSSSTTAQNATEPSQPPQQPPLQQPQSSPSLPPISSSLHSSPNPNPIRNPNPLQPQTQASISRPPAPAPRPPPSSFNRTLPPPQQQPSHHQLQQQSHFHHFSSAPSTASSIPGGAAPRGGMAIGVPTHHQSPSPTFSSSFGQHQHFGSLNRTGVNVTESPSSSNTSQVKAPMQGMGMLGSAGSSSQMRPGGMPAHQQRPVQSSIRPPLSAQNNQSTPSQSFQGHGLVRNSSVGSPVPPSPSASQSMQSLNQPWLSSGPQGKPPLPSSSYRQQLNPQSMQQRSHIISQQQQPTPTASQQKQPLPSNQSQEHFGQQVPSSRAAIHVPHQQQVTRIQGSGNQKPSSLVAAQSSAVQTGIHSKLPNADTDESSNSILSKRSIHELVHQVDPAQKLDPEVADVLVDIAESFFESITRSGCSLAKHRKSTTLEAKDILLHLEKNWNMTLPGFGGDEIKSYRRQPVSDIHKERLASIKKSIVATEAANAKGAAGQASGSAKSSQAKTPLNVIGSPNFKSS >OIV96949 pep chromosome:LupAngTanjil_v1.0:LG15:14120079:14120639:-1 gene:TanjilG_00531 transcript:OIV96949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSCSYNSPCAACKFLRRKCMPECVFAPYFPPEEPQKFANVHKIFGASNVTKLLNELLPQQREDAVNSLAYEAEARVRDPVYGCVGAITFLQRQVQRLQKELDAANAELLHYAYNEISPLPTSLAISPLTPLQQIPQRTQFGARIGNEGSGFYRQSPVTYSFPYSLPWNNDTSLEDINEGGGSL >OIV97432 pep chromosome:LupAngTanjil_v1.0:LG15:716965:717732:1 gene:TanjilG_16193 transcript:OIV97432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSMWWDLSRPRRNLTTTSSLPSSPPQSSERRSRSHSSRFSYSSFKDINTLFEHQLDSPKSPSLFRKLHISPSLIHSFNNTNSRTVPTPSYSIIHPPHSDHNTIVFYFTTLRVIRRTYEDCRVVRSILQGLGINVDERDVSIDDRFRDELRDILGRWNITLPCVFIGGKYVGGVDDVKRLYDNGDLQNLIENLPRSKPNGCDICGGLRFVVCDICDGSHKVFIEKSGITMKCGTCNANGLIRCPMCFFMHQPHTK >OIV97225 pep chromosome:LupAngTanjil_v1.0:LG15:3660799:3666539:-1 gene:TanjilG_27208 transcript:OIV97225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQGDQTVLNLRPGGGGGGGRGGGSRLFAPRYDSSSTSFSPDLPFLRPHGGAATSIKAGGGSRFEGHERVRYTRDQLLKLGEIVEISIDILKIKQDIEAELFGEDQSRGHAESNPTQQIQNRYSEPDNRDWRGRSGQLPTNPDEFASRFDSREQDGNQVNSQFARTKISSNQGGGPTPTLVKAEVPWSARRGSLSEMDRVLKTVKGILNKLTPEKFDLLKGQLIDSGITSADILKGVISLIFDKAVLEPTFCPMYAQLCSVLNDKLPPFPSEEPDGKDITFKRVLLNICQEAFEGAHNLREELKQMNAPEQELERTDKERLLKLRTLGNIRLIGELLKQKMVPEKIVHHIVQELLGAPDNNSCPAEENVEAICQFFITIGKQLDESPKSRRINDLYFSRLKELSTNSQLAQRLRFMVLNVIELRAKNWVPRREEVTAKTITEIHSEAEKNLGMRPGAFASSMRNSRGSIQGNAFPGGFPVIRPGTGGFMPGMPGNNKMPGTPAIGNDNWEMPRTRNMPRGDLSGNQAAGRGNSSLPSMLTTLNSKFLPKGSGGITSGSSALVHGSGTVSARPSNIGSGTEAAPQFTSPAKTVSSVSSEKPQAPASKFNLVDLCRKTSSLLEEYFNVRILDEALQCVEELKSPSYHPEVVKEAISLALDKSPPCVGPVANLLEHLFIKKVLTSRDIGTGFLLFASQLDDIGIDLPKAPNNFGEIVGKLILCGGLDFKVVTEILKNVEDDLFQKVIFDSAIGVIKSASGQAVLDSQASDIEHCQSLIK >OIV96737 pep chromosome:LupAngTanjil_v1.0:LG15:16863928:16864695:1 gene:TanjilG_11733 transcript:OIV96737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCWFSTPNTEKGINDLKNKQPQFRSYAPKPNTTHEVHNKTTLALLPQHLEEETVKEVLSETPISKPHQVPILMAKTNTQMLKTEKGEVPIMNNECNISESFSTTTATTVSTVTENREDEATSKRRTTVIQKRKKRSYAVDDNRTGERERRQKSPARMPAKRVPVSSPAVCRRESGQLRRDPGEDSRRRPRSPSSSGKVSGGVNQSQMKPPGRGGQRLPPAKFVENERVGEENDVVPKEESFEHSHVSLECFIFL >OIV97278 pep chromosome:LupAngTanjil_v1.0:LG15:2706948:2707988:1 gene:TanjilG_07030 transcript:OIV97278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSRLTLKHIANDAPRKSTFRQRKDVLLNKMQELSNLCKGEACLIIYDTDGGDPQPVTWPAKLKTEHSLIRRYESEKNEEPPIMFGIQDYFNNKKEKVEADISKVRKEILKIKYPTSHPCFNNLGDEQIRNFIAVLDAKGRACGERMNMLRLQQQLKVNSALTSSDALNSSEVNFMQNNFQTHLIPTPLEPFDDSNHIASSPLKHNMDSQPQMLHFDPNPLQLMANNTGMMDSANGIGSPFDCATQVGSPNHVGVPPDLTIHSDPAIVSTNQLDEVDWDSLIDGLMDFGTLPHESVLYDDFLGYQNVQQQGAAFNTLTPLVDELQIPDYNNMVQADLFNHMNQDK >OIV96306 pep chromosome:LupAngTanjil_v1.0:LG15:20581417:20584393:1 gene:TanjilG_09733 transcript:OIV96306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRVNNRAIFIGRALLNTTTTTTTTTTILSGAVSGGATINQVAPFATCSSSNHQSHNLVARFGLSNPFLAQYSSVAATSLAQDNGFNPKEVVLFQYEACPFCNKVKAFLDYYDLPYKVVEVNPMNKKEIKWSDYKKVPILTVDSEQLVDSSDIINKLVIKIRPDYELNADEEKKWREWVDNHLVHVLSPNIYRTVAEALESFEYITTKGNFSLYERLVAKYGGAAAMYFVSKKLKKRHNITDERAALYGAAEQWVDALNGRKFLGGSNPNLADLAAFGVLRPIRHLKSGKDMVEHTRIGKWFSEMESAVGQSSRVIGNN >OIV97516 pep chromosome:LupAngTanjil_v1.0:LG15:422287:426300:1 gene:TanjilG_11040 transcript:OIV97516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDISPERKSGCGLISAVFRRRGSWSGKSVSAGSTPTSSINGSEFAKRRRGGSDISAAASIENTSSIASKYSSSSYIVTQSPSLSNIPQIPTTPKHVAQQRKQQPNETAMALHGGSSGATRGVSKVAPSQGYVNQGRKVPREVVGISGELESMIADHQKSKGGNNNLVRASSSNVMLYGNLGNLRQSGQNTSSYNVMENHHYNVAKGTKGYTNNNNNNNNNNNTTTMENVGYRNKEVVKPSKEQLCRALSTRMDPEQLKIMGNEDYKNGRFAEALSLYDAAIAIDPYKASYRSNRSAALTALGRLLEAVFECREAIRIDSHYHRAHHRLGNLYSRLGDVDSALYHYKQAGAEADPDEVAKVKNLQIHLHKCTEARRLGDWNTLITVTNNAILSGADSAPQIFALQAEALLKLNRHQDAEKVMSKCPNIDVDECTKFFGPIGNANLLVTRAKVDLVAGRFEEALEAANKATRLDSNNKEANKVLRKVRAITSARTKGNELFKASKFSEACVAYGEGLEHDPYNSLLLCNRAACRSKLSQYEKAVEDCNAALNLRPSYTKARLRRAHCNAKLERWEASIQDYEILVKETPENEEVNKALMEAQTQVMKIRGGA >OIV97168 pep chromosome:LupAngTanjil_v1.0:LG15:5779970:5785442:1 gene:TanjilG_28919 transcript:OIV97168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTINSVPKIIDECLMVHGKAILTKVPSNIILTPINNEGAFIGASSQTSSSRHTFCLGLLKGFRFTSVFRFKIWWMIPAYGESGREVQKETQLLLLEARDGTTIHDQFSEPTTEGTFYILLLPALDGQFRTSLQGTIVDELEFCIESGDPDVETMKVTEAIYVNSGNNPFKLIRNSMKILEKQKGTFRHIENKQLPAHVDWFGWNTWDAFYSNVSAQGIDEGLKSFSEAGCLPKWVCIDDGWQNTTQTMLENNNEPPETAKFSTRLQNLKENAKFSNAGSGYVDLHDFIRTIKQKYGLKYVYMWHALIGYWGGLDPLSDELRKYNPKFTSVVQSPGNLSHVVCVIVKLLEKVRVGLIDPLKIDEFYNDLHTYLACCGVDGVKVDVQCVLETLGAGYGGRVSLTKCFQEALEESVVKNFGGNNLISSMSSNNEYIFRSKGSASARASEDFMPNEPTFQTLHVAAVAFNSLLLGEIVIPDWDMFYSTHHTAEFHAAARALGGCPVYVSDKPGKHDVNIIRKLVLPDGSILRAKYAGRPTRDCLFDDPVMDGKSLLKIWNLNKLSGIVGIFNCQRAGKWPPTPGETFLSDSVSASLTLRGYISAEDVDSLQEVADDDWNGVSAVYAFNSVNRSSTIQGKLYKLPKGRTIEVSLGVLKCEVFTISPIRVFGPRLEFAPIGLLDMFNSGGAIEAIVGSYINQSKYVVKIQVRGCGRFGAYSNIKPSYCLIDKAEVGFAYHASNGLVTFEIQGDCKVREVEVVYQDFHVTIS >OIV96933 pep chromosome:LupAngTanjil_v1.0:LG15:13669878:13672990:1 gene:TanjilG_00515 transcript:OIV96933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRFSRTIYVGNLPSDIRESEIEDIFYKYGHIVDIELKVPPRPPSFCFVEFDNARDAEDAIRGRDGYNFDGCRLRVELAHGGRGQSSNDRRGYGSGGGGGGGGGGGSGSGGGRFGISRHSEFRVIDHMRKAGDVCFAEVTRDSEGTFGLVDYTNYDDMKYAIRKLDDTEFKNPWARSYIRVRKFESSRSRSRSRSPSRSRSPKRNRSRSLERSVSRSRSRSRSRSASPTKPSRYAITSVK >OIV96948 pep chromosome:LupAngTanjil_v1.0:LG15:14027428:14033947:1 gene:TanjilG_00530 transcript:OIV96948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPPSCACCSAGNDSAIIPHIAAAAAPSADWTANVPDDGRLNKMTIVHPVDSLPQPSINAKGIITLPRPQPSHPLDPLSPAEISLAVATVRAAGKTPELKDGLRFMEIALLEPDKHVVALADAYFFPPFQPSLLPKGGFVIPTKLPPRCARLLVYNRKTNETSLWIVELSQVHAVTRGGNHLGKVISSQVVPDVQPPMDAVEYAECEAAVKSYPPFIEAMKKRGIENMELVMVDPWCAGYFSEADDPNRRLAKPIIFCKCESDCPMENGYARPVEGIFVLVDMQKMEVIQFEDRKLVPLPPVDPLRNYTHAATRGGTDRSDLKPLKIVQPEGPSFSVNGYYVEWQKWNFRIGFTPKEGLVIYSVAYVDGSQGLRPVAHRLSFVEMVVPYGDPNDPHYRKNAFDAGEDGLGRNAHSLKKGCDCSGIVKYFDAHFTNFTGGVETIENCDGKMEAEVKLTGILSMGALMPGEYRKYGTVIAPGLYAPVHQHFFVARMNMAVDSRPGEALNQVVEVNVKAEEPGDHNVHNNAFYAEETLLRSEMEAMRDCDPMTARSWIVRNTRSTNRTGHLTGYKLVPGSNCLPFAHSDAKFLRRGAFLKHNLWVTAYSPDELFPGGEFPNQNPRIGDGLPTWVTQNRSLEESDIVLWYVFGVTHVPRLEDWPVMPVEHIGFMLMPHGFFNCSPAIDVPPSKCELEAKEKDIKDNGVLKPIENSLASKL >OIV96946 pep chromosome:LupAngTanjil_v1.0:LG15:13918250:13918462:-1 gene:TanjilG_00528 transcript:OIV96946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEGVAGGRRRQGALWWRGYDVAVSGANEAGCSHWMLGSAGAGAVQSSRACWWLGAEIGGAAARERRHID >OIV96615 pep chromosome:LupAngTanjil_v1.0:LG15:18423471:18425049:1 gene:TanjilG_28472 transcript:OIV96615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELYDHDFLEELMALRRETWDTIPNTEENQLFSNSYSFDQNSLPFLPNNTSCGQEFPQSYNNDYNTFSEIYGSLLDEPSYYNTFHIPHNTPPFLAQEDFPLSLMEEVDNTCLLGEELQNLELQTTCKMDPTQSPEKPVLNMETSLDRNNRAKKLQGQPSKNLMAERRRRKRLNDRLSMLRSIVPKISKMDRTSIVGDTIDYMKELLDKINNLQQEIDVDANMAGIFKDVKPNEIFIRNSPKFKVERNLDTKVEICCAGKPSLLLSTVKTLEALGLEIQQCAISCFNDFSMQASCTEDMEESVCEKKKKKALQ >OIV96973 pep chromosome:LupAngTanjil_v1.0:LG15:12314502:12330570:1 gene:TanjilG_31864 transcript:OIV96973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNRYTNSNNLSSTSRFRVRKFSPSAITLYILFIFAFSIFLFFFYLRNLILVQDQDPPTLPSFSLQSRSHQVPELHVYDKQLWDSPFSHGLRSCVKPTSKYKGAQGFDQYLTVRSNGGLNQMRTGISDMVAVAYIMNATLVIPQLDKRSFWQDTSVFSDIFDELHFIESLRGDIRVVKELPKNLEAVPRARKHFTSWSSLGYYREMKSLWNEYQVIHVAKSDSRLANSDLPLDIQRLRCRAMYRALQFSPPIENLGKRLVDRLRSRGERYIALHLRYEKDMLSFTGCTYGLMDAESEELRILRENTNHWKVKDINSTEQRLGGFCPLTPKEVGIFLQALGFPPSTSIYIAAGEIYGGNTHLSELSSRFPNLIFKESLATPEELKAFINHASQSAALDYIISVESDVFVPSYSGNMARAVEGHRRFLGHRKTINPDRKGLVEIFDKLVTGELEEGVALSHLVQRMHKNRQGAPRKRHGSLPGLKGKARLRTEESFYENPYPEYTPVSKNEEEGEDVESSQLFEKLKEAERKRINELEELDNKANVQLERQLVMASSWSRALLTLRGKLKGTEWDPENSHRIDFSDFLRLLDSNNVQFMEYSNYGQTISVILPYYKNGKTSESEGNSKDIIFRRHPVDRMPIDSWNDVWGKLHQQIVNVDVINVDSVPAEVYSTVATAVIWSMRLALAVGFYVWIDNLMRPIYAKLIPCDLGTPTQETRLPLKRRALGSLGQSRAKFISAEERTGVTFDDFAGQEYIKRELLEIVRILKNDEEFQDQGIYSPKGVLLHGPPGTGKTLLAKAIAGEAGLPFFAANGTDFVEMFVGVAASRVKDLFANARSFAPSIIFIDEIDAIGSKRGGPDIGGGGAEREQGLLQILTELDGFKVSTAQVLVIGATNRLDILDPALLRKGRFDKIIRVGLPSKDGRFAILKVHARNKYFRSEEEKDILLKEISELTEDFTGAELQNILNEAGILTARKDLDYIGRDELLEALKRQKGTFETGQEDSTAIPEDLKLRLAYREAAVAVLACYFPEPHRPFVETDINSIRSQPNMRYTEISGQVFARKLDYVNSIVRACALHEAHLEGSSEDGCIQAYTHVKTRVIEEEMFGIDNLCWISAKATLEASRRAEFLILQTGMTAFGKAYYKNHSDLVPNLVTKLEALRDEYMRYATEKCSSVLYEYQSAVETITDILLEKGKIKAEEIWEIYKSAPRLAQPSVSPVDEYGALIYAGRWGIHGISLSGRVTFAPGNVGFSTFGAPRPTETQIVSDETWKLVDHIWDKKLEDIREDASRVIEEEKQKPQLLMASHFL >OIV97330 pep chromosome:LupAngTanjil_v1.0:LG15:2210572:2215730:-1 gene:TanjilG_07082 transcript:OIV97330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPLSADSGNGYHNDDDGGKLNDCEHSLRCRCIPSTTSSSPRSLCRSIIDAKEYEKLRRIIIASAKGFSIGAGLKGGLAFFAILARLMRKTPQRKEIVVTNGEVILTAMKETLRYGLFLGTFAGTFVSMDELIGAIGGHRFWTARWRALLAGALAGPSMLLTGLEMQHTSLAIYILMRAAVLASRCGIKSKRFGRICKPLTWKHGDIFLMCLSSSQILSAYILKQESLPSSYKSFLNKHGGKDMAILQGVKDIASGKPFTNLEAVEKYYKTMGVNMKLDPNMNVPCSIVHGNQSCTGHIFSFLLQAYKRALPVYLPVYLIPALIVHRQGLLKRPHSILAKGLVGTARSSLFLSVYCTSAWMWTCFLFRLFKRCNVPMVAMGTFPTGLALAIEKKSRRIEISLYCLARAIESFFTCLADEGYLPQSRKIKRADVVVFSLSTAIIMHCYAEEREVFRSKYLNVLDWVFGVPPPPCETPRCKDT >OIV97241 pep chromosome:LupAngTanjil_v1.0:LG15:3616442:3623837:-1 gene:TanjilG_13720 transcript:OIV97241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIVSLTPHFSNPLHHPKNLSPLSISLSPQGSLLRITRNHTNASRLSIRLRDEFCRPHYSYKLYVQNKFPRDIVVRSELAATGAAGDGYALSELKIGSQVRGVCFYTVTAINALFLFVLMLVGHPLVLLFDRHRRKFHHFVAKVWAALTVAPFFNIKVEGLENLPPPDTPAVYVSNHQSFLDIYTLLTLGRTFKFISKTGIFLYPVIGWAMFLLGAIPLKRMDKRSQLDCLKRCMDLIKKGASVFFFPEGTRSKDGKLGIFKKGAFSVAAKMNAPVVPITLIGTGQIMPAGKEGIVNFGSVKVVIHKPIDGNDANMLCNEASKTIASALIQT >OIV97021 pep chromosome:LupAngTanjil_v1.0:LG15:11252003:11252393:-1 gene:TanjilG_19568 transcript:OIV97021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANARFGFFFILLIVLASHMMVQTEGRHCESKSHRFKGLCHSDHNCASVCLVEGFNGGKCRGFRQRCFCTKVC >OIV96929 pep chromosome:LupAngTanjil_v1.0:LG15:13649784:13650053:-1 gene:TanjilG_00511 transcript:OIV96929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFMSMFSHFDISQGKMWSFSFGDVPTKDENLKPKMDDPSSSTAAKSKTATKDPNPSSTPTGTKKNKTRLGPRFAPELDGLHCFESIVPC >OIV96871 pep chromosome:LupAngTanjil_v1.0:LG15:12754976:12755593:1 gene:TanjilG_00453 transcript:OIV96871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKHPPHRLLLDTDSSSTAPGNANKTSESFASNTKFDTNMVIILAALLCALICALGLNSIVRCALRCIRRFAVGTSDEVSARATSKGLKKKALHQIPIAVYGAGSASIAATECPICLGEFMNGEKVRVLPKCHHGFHVRCIDKWLLSHSSCPTCRQSLLEHPTISDAATVAIAVTAAVGTSHHVEHVLEDHEHEPVDVAVDEIG >OIV96685 pep chromosome:LupAngTanjil_v1.0:LG15:17320597:17326952:1 gene:TanjilG_09227 transcript:OIV96685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPLCCVSAISDQSSPVKPSPTHFPDFTTTTMPPLPSTAAAIGSDSMPGHSRNHSHGSILSVDYTRLSQREQIQQQQPAVVMEVKINDLVGNGISGVLHKWVNYGKGWRPRWFVLQDGVLSYYKIHGPDKIIVNSETEKGSKVIGEESVRRISRKSNSYFYQHRRKPFGEIHLKVSSIRESKSDDKRFSVFTGTKTVHLRADTREDRVAWMEALQAVKGMFPRMSNSELMAPVDNVIVSTEKLRHRLLEEGVSEPAIQDSEQIMRTEFAALQNQLVLLKQKHSILIDTLRHLETEKVDLENTVVDESQRQWNGQEASSTLRQEKLSDGTFSDSEDDNERHDAAEEETDDDENAFFDTRDFLSSSSFRSNGSDYRLSSLSSDSEGIYAFESEDVVDPSITTAVTNYPRVKRRKKLPDPVEKEKGVSLWSMIKDNIGKDLTKVCLPVYFNEPLSSLQKCCEEMEYSYLLDQAYEWGRRFFRCSIRCILCLFKLIGDTVQGNSLMRILYVAAFAVSGYASTEGRICKPFNPLLGETYEANFPDKGLRFISEKVSHHPMIVACHCEGTGWKFWGDSNLKSKFWGRSIQLDPVGTLTLEFDDGEVFEWSKVTTSIYNLILGKLYCDHYGTMRIQGNRDYSCRLKFKEQSIIDRNPHQVHGAVLDRNGKTASTLFGKWDESMHYVNGDYSGKGKGLESLSEAHLLWKRSKPPTDPTRYNFTGFAMTLNELTIGLKEILPPTDSRLRPDQRYLENGEFEMANSEKLRLEQQQRQARKMQDRGWQPQWFSMDKASGTYRYIGGYWEARQAGNWTSCPDIFGQIPSDHLSDEGQITAS >OIV97057 pep chromosome:LupAngTanjil_v1.0:LG15:10599262:10599585:-1 gene:TanjilG_08276 transcript:OIV97057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTRSGGGVVVFSFSSPLSLSVWRWWTDLVVRGGAATVRRTGRWDVRSARGIGLEPLNRSDQTLRGDPLLGEAWHRVVLPPISCVAREEESPRLAFHVGFVRVVLDG >OIV97126 pep chromosome:LupAngTanjil_v1.0:LG15:7336753:7337385:-1 gene:TanjilG_00155 transcript:OIV97126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIKEEPNDSNNQDEEKQPLLQKMEEPKAEKNLIQRAISQTFKSTAHLANHLPTGTVLSFQLLSPIFTNQGHCDSVTKFMTIALVAICGASCFVLCFTDSFRDTKGNVCYGFATFRGLCVIDGSATLPHELDSKYRLRFIDFVHAVMSILVFAAIALFDQNVVGCFFPSPSNEMEEILTALPVGIGVLCSMLFVAFPTQRHGIGFPISTD >OIV96621 pep chromosome:LupAngTanjil_v1.0:LG15:18476832:18478991:1 gene:TanjilG_28478 transcript:OIV96621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPTRDQALSLLAAANNHGDVNVKTSSLKQAKDILLSVEPSIAAELFPYLLDLQSSPECLLRKLLIQVIEEIGFKAVEHTPSLVSILLLFLRDADVVVVQQTIVSGINIFTRAFEELIVQCQQNGNVERWLEEVWMWMLKFKDAVFGIALEPGPAGTKLLALKFLETFVLLFTSDISDPEKSTIEGVKQAVNISWLVGGHPVLDTAVLMLEANRTIGILLNLLQAAGSLPGCLTITVVNWSCANCLVVRFLYYVVAYKALFSVIA >OIV96358 pep chromosome:LupAngTanjil_v1.0:LG15:20256269:20259421:-1 gene:TanjilG_09785 transcript:OIV96358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWGFGGRLYWGRKIAFEKANGIVVVFAWMSSQDKHLDKYVDLYASLRWNSLLCHSQFLNMFFPEKATTLAVDILNQLVEVLKIRPCPVVFASFSGGSKACMLKVLQIISGTSEAHNMEDYQLVRDCISGYIYDSSPVDFTSDLGVRFLLNPTVTKISHPPRFATWVANGLASGLDSLFLGRFESQRAEYWQTLYSTTSMQVPYLILCSENDDLAPFQVIANFVHRLKYLGGDVKLVKWSASPHVGHYRHHPIEYKAAVTEILGKAVAIHSHKNRRIGDEKLGIEGTKDEITDPFSEPWKAAMTSTSFQGFALAPTDNLSPSSMEYDGKDVGSITDERKEGFVHLPSRPSINAHGVLSQILFDVCVPKNVEDWDIRLNSKNAQLLAGTRRHAPFNPIKCIRRSRL >OIV97293 pep chromosome:LupAngTanjil_v1.0:LG15:2545714:2550662:1 gene:TanjilG_07045 transcript:OIV97293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAEDNNNRVRIFVGGLGETVTPQDLHRLFSSLGTVHGIQTIRTKGRSFAYVDFFPSPTDSKSLSKLFSKYNGCLWKGGKLKLEKAKENYLIRLKKEWEEAAAEEAVSSQPDTSDTANVDSDKVLSLEEMHKRKESLKTKQLHIFFPGLRKVKSIPFSGTGKHKYSFQNIKVPSMPVHFCDCEEHCSPSGTERGKLCFDQVAAESGGMNDEEINVMNAVMNKLLQKEKISNTKHLEKQQDSLESPDAVQSNESETGSGTDEDDIIINIQTNKSKAALTSSEELERILQSQESWLNKTRIVKEEPSKTMSELKKKNNNNTSKKRKSLPKLEKESNEGVSTTLGGKNSMQTLPHEVGSDGQPSDSEDSSFGETTKVSCFQKSSWKELLGAGGNTAFNASLILPKFDSGKGQQGSDSPSTPSSTKKKAKKSKRDGSIVSTPTNTQVIKEHAEVRPIDTQEVNEYAEAQPTEKNVLPNKADIKNQNMERDGYLLSKLTDTEVIKELAEAEPTSTQVIEEHAEAEPTGAQVIEEHAEAEPTSAQVIEEHTEAEPTDKNVVPKKTGRGASWLQKESWTQLVRENNSSFSISQILPGISFPEPMATEPIVYPVNSNDGKNSGVAKDTVKEVVIDGLNSGETVTDKSQHVFATDITSAPVVEEKNETEPMERTTEETTPKERSTEVVEVSETCSFMRNAASLKEWAKAKAALSGSLKRKRVEK >OIV96982 pep chromosome:LupAngTanjil_v1.0:LG15:12442909:12446448:-1 gene:TanjilG_31873 transcript:OIV96982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLISNEGDSDVFFDSVDYLSPLHESVLTRQEFGYEVWVNEPLSVKERREKFSQWMGLVYGTSKVCLQEKMVTFDESLISLELERVKDCNGAVSNACIVLDDRVPEKLINSGCEATSEEQVLLNELKGCQDQDDKTDGSFQGKVFEPSSDQEHIDRETEAVEEFRDFEAFKKKKKNWWARFVDSRKGKDITKLSRRASKSGRIHVRQNKKKWMELSALYIGQEIRAHKGLIWTMKFSHTGKYLASGGEDGVIRIWRVVSMETSSFRFSEEDSIISKVKHDISCSQRKNSSYSFNVLPNKILQIEESPLQEFYGHSGDVLDLAWSSSDILLSSSTDKTVRLWQIGSNKCLNVFHHKDYVTCIQFNPVDENYFISGSIDGKARIWSVNEESVVDWADVRDVISAISYKPDGKGFVVGTLTGTCRFFIASGKYFQLEAQVRVNGKKRTSGNKITGIQFSQKNHQKVIITSEDSKVRIYEGVELVQTYKGLPKSGSQMSGSFASTGKHLISVGDDSRIYMWNFNELGHALSKHTKSKYSCEYFSSKGVTVAIPWSGTSASSRYNSSCYSSEMKPPLEAVPWARESQRFSFRSWFSIDSTCRGSMTWPEEKFLNWDLPFTDDEFDYKQLYRKDPCLDNCVSETWGLSIVAAGCDGTIKTFHNFGLPVRL >OIV96686 pep chromosome:LupAngTanjil_v1.0:LG15:17340238:17344095:1 gene:TanjilG_09228 transcript:OIV96686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQALVPDNSTDSDTDDQKSSSSPVHLTGRTRSQTGTRKVTPTTTEPDVITVEKLLPNGDYYMGSFSGNAPNGSGKYLWTDGCMYEGEWKRGKASGKGKFSWPSGATYEGEFKSGRMEGFGTFTGSDGDTYRGSWSSDKKNGYGQKRYANGDFYEGSWKKNVQDGEGRYVWKNGNEYIGEWRNGIICGKGVLIWVNGNRYEGQWENGIAKGQGVFTWVDGSCHVGIWNKMNGTFYHGNGNEKCLDLKGNDFSITVSKRSSVDGAAEMNFPRICIWDSEGEAGDITCDIIDNVSLYKEAPFGMCSDRKEIKQFQKKPICFSNEVKRPGETISKGHKNYDLMLNLQLGIRHSVGKEASIPREIKPSDFDPNEKFWTRFPPDGSKITPPHQSTDFRWKDYCPMVFRQLRKLFHVDPADYMLAICGDMALRELSSPGKSGSFFYLTQDDRFMIKTVKKSEVKVLLRMLPSYYQHVSRYENSLVTKFYGLHCVKPIGGQKTRFIVMGNLFCSEYPIHRRFDLKGSSYGRTTDKTEEEIDETTTLKDLDLNFAFRLQKNWFKDLIKQIEQDCEFLEAEGIMDYSLLVGLHFRDDNTWDKMGLSPFLLRNGKQDSYQSEKFMRGYRFLEAELQDRDRVKSGRKSLIRLGANMPARAERMARRSDFDQYNTVGISHFTPYPSGETYDVVLYFGIIDILQDYDISKKLEHAYKSWQVNPSSISAINPKSYSKRFRDFVGRIFLEDSWKLNTLPVVTD >OIV96566 pep chromosome:LupAngTanjil_v1.0:LG15:18789928:18792965:1 gene:TanjilG_24219 transcript:OIV96566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein REDGLASVVDVTTSELPVEKKGVSVAKVEQNLFEWLKGHVLKLKGTLMIASPDDVAAIQAIRLRSSEKSKMTRDHNGFRKLLIVLTRAGKVFALHTGDGRIIWSILLPTLRKSEACERPVGLNIYQWQVPHHHALDENPSVLVVGRCGPSLAAPAVLSFIDAYTGLEVNSLSHAHTVAQVIPLPYTDSTEQRLHLIIDVNQHAYLYPRTPEAIDILKREFSNVYWYSVESDNGIIRGHALKSNCKAVDEYCFDLRDLWSIVFPSESEKIIATVTRKLNEVVHTQAKVMTDYDVMYKYISKNLLFVANAAPKASGDIGTATPEEAWLVIYIIDTVTGRILHRMTHHGCQGPVRAVFSENWVVYHYFNLRAHRYEMSVVELYDQSRADNKDVWRFVLGKHNLTSPFSSYSRPEVTTKSQSYFFTHSVKTLEVTSTTKGITSKQVLIGTVGDQVLALDKRFLDPRRTLNPSQAEKEEGIIPLTDSLPIISQSYITHSFKVEGLRGIVTVPAKLESTSLIFAYGVDLFFTQIAPSRTYDSLTEDFSYALLLLTIVALIAAIFATWVLSERKDLQEKWK >OIV97305 pep chromosome:LupAngTanjil_v1.0:LG15:2427021:2427905:-1 gene:TanjilG_07057 transcript:OIV97305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGNGRVPSPPEQPLSFYSYGRPNQPYRHLVSDSTYPLTISVCNGTKIFFTITNHSVSIISPNNNAPYLHRIINDHHNNAPQFFSPHYNNGPQHHNSNGPQYHDHNGAQYRVNNEAEDDHFFLHVNGFRNNNGARDGTLFLPDQNTNGAQDNDLFREDDDDDDDEENEEDRIDLMQFHGLFLPHLPALPAYIHVTSNNRTFALLVTVNNTIHVIHQALPIFPPHNNDGNYHVINQPIAPPFSMAIFFNRANSNTFINIRIEIVSNSSIFIRAESCIGTTDWAAMLDFNAAHPYP >OIV96620 pep chromosome:LupAngTanjil_v1.0:LG15:18471913:18473628:-1 gene:TanjilG_28477 transcript:OIV96620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGWDPNTKSTLTQIPLLSTKAGPRDGALWTQRLKEEYKALITYTQMNKSNDNDWFRISASNPEGTRWTGKCWYVYNLLKYEFDLQFDIPITYPSTAPELELPELDGKTHKMYRGGKICLTVHFKPLWAKNCPRFGIAHALCLGLAPWLAAEIPILVDSGMIKHKDDATTSTES >OIV96876 pep chromosome:LupAngTanjil_v1.0:LG15:12815115:12818822:-1 gene:TanjilG_00458 transcript:OIV96876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAFSNMRTIFFCLLFLLFVLVVAATAVNPSLNDDVLGLIVFKADLQDSNGKLASWNEDDESACGGNWVGVKCNPRSNRVTELNLDGFFLNGKIGRGLLRLQFLRKLSLSRNNLTGGISPNIARIDNLRVIDLSDNNLSGEVPDEFFKQCGSLRIVSLARNKFSGKIPPSLGSCSALASIDLSFNMFSGSVPSGIWTSSGLRSLDLSGNLLEGEIPKGVDAMKNLRSISLAKNQFSGEVPAGFGSCLLLRSIDFSDNSLSGSIPEDLKELTLCSYLSLSGNSFSGEIPEWIGEMEGLQTLDLSRNGFSGQVPDSIGNLSSLKMLNFSANGLTGNLPESMVNLTNLLALDVSQNSMSGALPSWIFKSDVDKVLVSENKPTGVMKSTFYSLAEVTIQSIQVLDLSDNTFSGEITYAIAGLSSLHFLNLSNNSLGGPIPAAIGELKTLEGLDLSCNNLSGLIPSEIGGAMSLKELRLERNSLVGKIPSSIENCSSLSTLVLSQNRLSGPIPAALAKLTNLQNVDLSLNSLTGNLPKQLANLPNLHSFNLSHNNIQGELPGGGFFNTIPTSSVAGNPSLCGAAVNKSCPAVLPKPIVLNPNTTTDSGSGSLPPTLGHKRIILSISALIAIGAAAVIVIGVIGITVLNLRVRSSAERSAAALTFSAGDDFSQSPTTDANSGKLVMFSGEPEFSSGAHALLNKDCELGRGGFGAVYQTVLRDGRSVAIKKLTVSSLVKSQEDFEREVKKLGKVRHQNLVELEGYYWTPSLQLLIYEYVSGGSLYKHLHEGSGGNFLSWNERFNVILGTAKALAHLHHSNVIHYNIKSTNVLIDSYGEPKVGDYGLARLLPMLDRYVLSSKIQSALGYMAPEFACKTVKITEKCDIYGFGVLVLEIVTGKRPVEYMEDDVVVLCDMVRGALEEGRVEECIDEKLQGKFPAEEAIPVMKLGLICTSQVPSNRPDMGEVVNILELIRCPSEGQEELG >OIV97352 pep chromosome:LupAngTanjil_v1.0:LG15:1946469:1948086:-1 gene:TanjilG_07104 transcript:OIV97352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTLGSESHLTTNKTPPQAQVEIECVKCDSCGFTEECTLAYISRLRNRFHGRWLCGLCVEAVKDEVKRSERLISTEEALNRHTRFFSDFRSATSSNKIEHPIRAMGRVLRRSLDTSKRPLRSNSFASMAPLNEGLAQQSLARSESCFPSISG >OIV97428 pep chromosome:LupAngTanjil_v1.0:LG15:733501:735454:-1 gene:TanjilG_16189 transcript:OIV97428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKHNNSILGRIVLAILIASFFTPNLTYAEKSKIMGFEMNAIDKCWRSDPEWRKNRPQLATCSVGYAGKMTNNIGEGLIHYKVIDPSDDAINPKPGTLRYGASVIQGKVWITFERDMLIQLVKPLLISSFTTIDGRGFDVQIANNACLMIFKATNIIIHSIGIHHCKSQAPGIVIGPNGKVMPLGQVDGDAIRLVSASKIWIDHNTLSNCEDGLLDVTRGSTDVTISNNWFKFQDKVMLLGHDDGYVRDQNMKVTVLYNHFGPNCNQRMPRIRHGYAHVANNFYLGWLQYAIGGSTEPSLKSEANLFVAPTSGRKEITWRKDNNQNRNGGEFHSINDIFENGASFIATKGGKVRKPNYTKEQVFIVADAKSVRSLTRASGVLRCGKTIC >OIV96937 pep chromosome:LupAngTanjil_v1.0:LG15:13771044:13771469:1 gene:TanjilG_00519 transcript:OIV96937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNSLAPCLCISKHGKLVRVAKPDGKVLEFSSPIHVKDILNKFHAFSVCDSKEASYPLSLDHELKAGRLYHLIPSIFSSPNITSQGNTKRIKVVITKQQLEKLVTKQISIEDILTDVQTVSDDLTNKQKPKLDPIPEENEW >OIV97045 pep chromosome:LupAngTanjil_v1.0:LG15:11088150:11089086:1 gene:TanjilG_11562 transcript:OIV97045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLLQAPTMSPVSRPFNPFALKSSSFTGSLSLLLHPNQYHLITCASPRISMRVASKDSYICRDCGYIYNDRTAFDKLPDKYFCPVCGAPKRRFRPYAPPVTKNANAKDVRKARKAEIQKDEAIGKTLPIAIAVGVVVLVGLYFYLNSQF >OIV97144 pep chromosome:LupAngTanjil_v1.0:LG15:7678551:7678862:1 gene:TanjilG_00173 transcript:OIV97144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTLGQIAYVTVRFDAPTSLVFRHQARTFDAPTSVKVGAPRFRIFRPGSMSFGHDPLVTSYMPPSRFLGFVPSASVMIPRSCPMLLDHDLTVTSHALRSRFDG >OIV96582 pep chromosome:LupAngTanjil_v1.0:LG15:18096985:18099126:1 gene:TanjilG_28439 transcript:OIV96582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGPVLTCEHDQIGSLVSSQILILLPLLTFQVVHILCNQLQDLTLITSNIPTISKCTCLENNTIRSYSEKPKNTHPNHSHSLLLYSKPLPQLLKTFACYLSTSAGPVMGVLYLSTAKLAFCSDNPLSYTAGEQTQWSYYKVVIPLHQLRTVNPSTSKTNPSEKYIQIISVDNHEFWFMGFVHYDSAVKNIQGALQPH >OIV96288 pep chromosome:LupAngTanjil_v1.0:LG15:20930507:20932273:-1 gene:TanjilG_05128 transcript:OIV96288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEENERSAEAAEAVAQHIPSEENLENEEVGGTQAVGGAEGVRGTEEIVLEESSVQDESHEDSENLGGGTCEIVVEESIVQDQSHEDNENLDGTHGVGGSQENEGDENTVQDCENSENLDQPKALSTLSRKLICMAILLGYMILGVLDEEQNQSADMRRNLCCKCGGVI >OIV96395 pep chromosome:LupAngTanjil_v1.0:LG15:20032569:20033793:-1 gene:TanjilG_09822 transcript:OIV96395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFNMDSDKAKLFVGGISRETTNDILRDHFVKYGIVLDSAISVDRITRNPRGFGFVTFSDLSEAEKALQDSHVILGRTVEVKKAIPRSEQQHQNQIQNRGVSNYSSINDCSCDQFRTKKIFVGGLSAGISEEEFKSYFERFGRITDVVVMQDSVTHRPRGFGFITFDSEESVENVVVQSFYDLNGRQVEVKRAVPKEGNYGGDGFNKSRYNKSERGAYQSYPPFSPRYMSPGFAPLPYYTSGGVYAYGSNPYDYCYTMGGYGGNGFAVPSDASRNFWYGPMVANPQAYQLPYTNGPPSVAYAGGRVGIASGPATRGYNGFVPDYVTSPQSYKRSADSSRFRGSNGDISS >OIV96307 pep chromosome:LupAngTanjil_v1.0:LG15:20578853:20580228:-1 gene:TanjilG_09734 transcript:OIV96307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRCFPFPPPGYTRNSDALIESIKLQSEIKKSKKDRKKERRREKKGKESKKEKRKDRKGEEGTSFYANEGGKKIKVDELEKQSGCVSERVYNENERVERSDITEEHYRSVTTLDPCCSDSTLSSIKRKRSISPSRCDHGTKKKFRFSLTKHTAPEESKLGSSVCASRIVGTADSLTRDAVGANPPLPHITRTKIDHCPRDSRHCVALQSLPNGAARNTNVVVDDEGMRMVSMYNSLIQNWIPPPATCIGFDSDGQDWLFRSEQQEERRASKTVKVVTATLSCSSSSLWPRAQYLPEVELYALPYTVPF >OIV97343 pep chromosome:LupAngTanjil_v1.0:LG15:2040684:2043312:1 gene:TanjilG_07095 transcript:OIV97343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTQITASSISARNMALFEGLRPSAVQFPCVGHVKIGNLTQRSYKGMIVKAATVVAPKYTAIKPLGDRVLVKIKEAEEKTEGGILLPTTAQTKPQGGEVVAIGEGKTFGKTKVDISVKSGEEVVYSKYAGTEVEFNGTKHLILKDDDIVGILNTDDVKDLKPLNDRVLIKVAEAEEKTAGGLLLTEATKEKPSVGTVIAVGPGPLDEEGNIKPLTVTPGNNVLYSKYAGTDFKGKDGSVYIALRASDVIAILS >OIV96609 pep chromosome:LupAngTanjil_v1.0:LG15:18372784:18374946:-1 gene:TanjilG_28466 transcript:OIV96609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATFELYRRSTIGMCLTETLDEMVQSGTLSPELAIQVLVQFDKSMTEALETNVKSKVSIKGHLHTYRFCDNVWTFMLQDALFKTEDCQENVGRVKIVACDSKLLTQ >OIV96468 pep chromosome:LupAngTanjil_v1.0:LG15:19529323:19529730:-1 gene:TanjilG_07860 transcript:OIV96468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVAVLNPQDFLQKSSSPKTSPPPNMKLPKQYRTHPKRTHSTRPESTYASNPKLNKPVMNQVKILKRGEQLTKTTPYRKPEAVSEKVVGLYAGASMLVASPPPSSVPLPVFVTKKIVAVNDATNNLRKILRLDFL >OIV97108 pep chromosome:LupAngTanjil_v1.0:LG15:8718090:8719445:-1 gene:TanjilG_10054 transcript:OIV97108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQDNLSTQKRTTSTTLPTTTATATNRSRGGVLPRGRQIHKTFNNIKITILCGFVTILVLRGTIGVNLGSSDADAVNQNLVEETNRILAEIRSDADPNDPDEETFFNPNITFTLGPKIKNWDQERKTWLDQNPEYPNFIKGKPRILLLTGSPPKPCDNPIGDHYLLKSIKNKIDYCRLHNIEIVYNLAHLDKELAGYWAKLPMIRRLMLSHPEIEWIWWMDSDALFTDMVFELPLSKYDEYNLVLHGYPDLLFEQKSWIAVNTGSFLFRNCQWSLDLLDVWAPMGPKGPVREEAGKILTANLKGRPAFEADDQSALIYLLLSQKKQWMGKVFLENSFYLHGYWAGLVDRYEEMIEKYHPGLGDERWPFVTHFVGCKPCGSYGDYPVERCLSSMERAFNFADNQVLKLYGFRHRGLLSPKIKRIRNETVTPLEIVDQFDIRRHPSENTGSKS >OIV96427 pep chromosome:LupAngTanjil_v1.0:LG15:19856783:19862557:-1 gene:TanjilG_09854 transcript:OIV96427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDKVVSGNDNALMSFQNEMVRACSLASCSNRRRSHGESSSASAVVDAANDNRDSHNKRIKIYYDFDGFHSETAAASSNTGTSRAPADYGDYDHLRGSPLHPTNDGEDFGMVSTGEDNNFDSSLVKVNEGDDCDISEVEDAKGTIDLSDDLLHMVFSFLDHTNLCKAASVCRKWRSASAHEDFWKRLNFENWKISAEQFEGICRRYPNAMAVSISGPETYVLAMKAISLLRNLEVLKLGGGHIDDSFFHALADSSMLKKLRISHATLRGGVLDIPIYHDRCPLLETLSLKRSNMVQVVLNCPLLQKLDIGSCHKLPDSAIRLAATSCPQLVSLNMSNCSCATDETLVEISQTCAGLNFLDASYCQNITLESVRLPMLTVLKLHSCEGITSASMTAISHSSLLEVLELYNCNLLTSVSLNLPHLQTIRLVHCRKFTDLNLRAIMLSSMLVSNCPALQRINITSNSLQKLTMPKQGSLNTLALQCQSLQEVDLSECESLTNSLCDVFSDGGGCLMLKLLVLDSCESLTSVRFTSTSLVSLSLGGCPITSLDLACPNLEKVILDGCDHLERASFCPVGLRSLNLGICPKLNMLSIEAPFMVSLELKGCGLLSKAFINCPLLTSLDASFCSQLTDEDFSATTASCPLIESLILMSCASIGLDGLRSLYWLPHLNVLDLSYTFLVNLQPVFESCSRLKVLKLQACKYLTDTSLEPLYKESALPALQELDLSYGTLCQSAIEELLASCTNLIHVSLNGCVNMHDLNWGCRHTCGQIDELGVVNTPFGATPEKIREASEQSTRLLQNLNCVGCPNIRKVAIPHCFHLLFLNLSLSANLKEVDVTCLNLCFLNLSNCRSLEILKLVCPRLATLFLQYCNVDEEVLEAAISKCSMLETLDVRFCPKICSMSMGRLRAACPSLKRIYSTS >OIV96700 pep chromosome:LupAngTanjil_v1.0:LG15:17482707:17486490:1 gene:TanjilG_09242 transcript:OIV96700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENRELVETKETISQSVEKLELDALDIQGKYQDKQSNETKTLDIGHNGHGFDTEEDDKSNKINIVGEIEGNTVAQEYSCDKVDASGDFEPKSQEVNTNDVVQNDLAKEEDESRDKEPKNMDQIADEIPSEDPNLEPVFDGTEVPGMEACRSSSTRKLDSDQETLVVVEKAVALKNFVKEKSAVAVSTMLRRLSGKSDEGAAGNFDDEGKDVRSFSSNSEPNAVSEKSVEKFDWNPLNYLKKSSDADVENKTERRDSLTRGPPTPIDMKGRIILYTRLGCEESKQIRHFLHMKRLRYVEINVDVYPSRKMELERISGSTSVPKVYFNEILIGGLSEVKTLNESGKLDEKIDFLITEAPIFEAPSPPLPGEDDESSSGALDELALVVRKMKESIAVKDRFYKMRRFSNCFLASEAVDFLSEDQYLERQEAVEFAQKLASKFFFHHALDENIFEDGNHLYRFLDDDPIVESQCHNIRRGILTVKPKPIAEIASRLRFLSYAMFEAYVSEDGRHVDYTSMHGSEEFARYLRIVEELQRVEIWDLSREEKLAFFINLYNMMAIHAILVLGHPVGALERRKLFGEFRYVIGGSTYSLSAIHNGILRGNQRPPYNLKKPFGAKDKRTRVALPYPEPLIHFALVCGTRSGPALRCYSPKNIDEELMDAARNFLRSGGVVIDLTAKTAHASMILKWYSIDFGKNEVEVLKHVSNYLDSADSEKLLDLLATSDLKVTYQTYDWGLNG >OIV96360 pep chromosome:LupAngTanjil_v1.0:LG15:20246708:20250553:1 gene:TanjilG_09787 transcript:OIV96360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENEVQPHPVKEQLPGVQYCINSPPPWPEAVLLGFQHYILTLGMTVLIPSMIVPQMGGSNAEKAKIIQSLLFVSGINTLLQSWFGTRLPTVITGSYTYIIPTISIVQATRYSAYTNPYERFTHTIRGIQGAMIISSCFQMIMGFFGFWRNATRFFSPLSVAPYVTFTGLGLYSLGFPMYLNRYISTTRPIFDRFAMLFTIAIVWLWALMLTSSTAYNHKPENTQNSCRTDRAGLVSKAQWVYFPYPFQWGSPTFNTGEAFAMVAASLVSLFESTGTFYAAARYGSATPVPPSIISRGTGWVGVATFLNGIFGSVTGSTASVENAGLLALTRVGSRRVIQISAGFMIFFSIFGKFGALFASVPLPIIAALYCVFFAYVSSAGLGFLQFCNLNNFRTKFLNDILTVFCMSHTTIAALVALFLDLTLSREDDAGKNDSGLEWWEKFTLYNADVRNNEFYALPCRLNELFPAL >OIV96818 pep chromosome:LupAngTanjil_v1.0:LG15:15646662:15648458:-1 gene:TanjilG_08679 transcript:OIV96818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAESWFRSLWKPPRKHDANSEKVLIRVLAFEVASLMSKLVSLWQSLSDKQIAKLKEEITSSEGIRKLVSDDEHFIERLICVEILENMAHVAESVARLGKKCSNPCFKGFENAFYEFITTGVDAYGWEFNYKKMEGKIKKMEKFISSNASLYQEMEVLADLEQNFTRMRANGESAGVNLLEHHKKVAWKRQEVKNLKDISLWNRTYDYIVLLLARSLFTIFCKINQLFGVQEIVDVGGTNNSSALGSDFIYRSRSVSAILQPSVHQSQNNIGRYSSGPLNAVIDRSGPIGRTTKTSISHSGPLGDSSTKSGPISGKNTTVNFYSGPLGRASKQSVPVTGTNKKSKFWKFYGHSAAPNGKGSHTRHSRPTQVGPFKGCIAMDSSSVIHCHSNINGVHLGIQKLRDANSNPCPGKVVRHHTQSVFKSLCRLLNPPPETLGGSALALHYANVIIVIEKLATSPHLIGLDAKDDLYNMLPRRVRATLRVKLKPYTKTSVYDTSLAEEWTQAMSTILEWLAPLAHNMIRWQSERSFEQHSFVSRTNVLLVQTLYFANQEKIEETITELLVGLNYVWRYSRDLNEKALAECSSFRVDNEYPNLK >OIV96522 pep chromosome:LupAngTanjil_v1.0:LG15:19137986:19140664:1 gene:TanjilG_07914 transcript:OIV96522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDEGEKKETSPTATVNEKKKRKGFFSRIWNVFRLQGDDFEKRLQYISKEEAAVISRMSRRSRSLRRISRQLTMFSVTFEVIAVGYAIMATRTMDMDWKMRAIRVLPMFLLPALTFAAYSTSVSFTRMCDRRDQKNLERLRAEKQAKIDELKEKTNYYITQQLIQRYDPDPAAKAAAATVLASKLGADSGLKVYVEDDSNLGAAMTTRNDVELMQSTGLRNRKQVQPRSTSLGTSTPNYSDQELVGSGGTDQTSISDYNQLVVVEHHQPKSSTPQDGGWVARLAALLVGEDPTQSYALICGNCHMHNGLARKEDFPFVTYYCPHCHALNKPKQSGDHISDHSSPNTGSPPKTDADQAVKDAITSVVESIITTNNSPEIEEVLEGTSLEEKAS >OIV97117 pep chromosome:LupAngTanjil_v1.0:LG15:7979944:7985862:1 gene:TanjilG_04921 transcript:OIV97117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMASSLIAPTSTSFFQSKKDIGLSPSSSRHRCHKPASRRICCSIAAPHQAQRQPSTTGSVKTAMTMTEKIFARASEKSYLSPGDNVWVNVDVLMTHDVCGPGSIGIFKREFGENAKVWDREKIVIIPDHYIFTSDERANRNVDILRDFCNEQNIKYFYDIKDLSNFKANPDYKGVCHVALAQEGHCRPGEVLLGTDSHTCTAGAFGQFATGIGNTDAGFVLGAGKLLLKVPPTLRFVMDGEMPPYLLAKDLILQTIGEISVAGATYKSMEFVGSTIESLNMEERMTLCNMAVEAGGKNGIVPADNTTFKYLEGRTSVPYEPVYSDLQASYFPPGVFPAFSLCLLVYTLVIPHSPDNRALARECKDVEIDRVYIGSCTGGKTEDFLAVAKVFLAAGKKVKVPTFLVPATQKVWMDLYSIPVPGSGGKTCSQIFEEAGCDTPASPSCGACLGGPKDTYARLNEPQASSFASVCVSTTNRNFPGRMGHKEGEIYLASPYTAAASALTGFVTDPREFLQ >OIV96952 pep chromosome:LupAngTanjil_v1.0:LG15:14182652:14190254:-1 gene:TanjilG_00534 transcript:OIV96952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPTHFDDEFDFGGGFGGRHSGNKRSSPDYDDEDYDNDPFSPKKAKSKAEEAASGVTTGMILSLRESLESCKDTLATCQNELEAANSEIQSWHSSLQNESCLPAGVTPEPKILINYLQTLKSSEESLREQLEKSKKKEAAFIVTFAKREQEIAELKSSVRDLKAQLKPPSMQGRKLLLDPAIHEEFTRLKNLVEEKDKKVKELQDNIAAVSFTPQSKMGKMLMAKCRTLQEENEEIGNQASEGKIHELSMKLAMQKSQNAQLRSQFEGLQKHMEGLTNDVERSNEMVLMLQGKMEVKDQEIEKLKQELLEGKSDEAFTQNDSDEMIPEEAVNSSH >OIV97425 pep chromosome:LupAngTanjil_v1.0:LG15:758634:760668:-1 gene:TanjilG_16186 transcript:OIV97425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLSSLIYNFTSFKLQAKYLNASGYSVGTSLPKTTQFTPFLVTSGSSVLAKQKRFSPCFSVADSDQLSADSSGKDFDSAEISLVNDQLASIGPNENSQLETKVNTESGSQTLKSSNGSIDQKRESVASPNSQSTIKRSSLTVRERVRAARALNRYTEPRSSKPDIGKKVLDALKASDQGKKRSRLPEAPTNLFDDSKRGMPKKGLTFDFPGGADLFFIAFSFVFISTVMFATTYLVWKVGAIHFNEN >OIV97336 pep chromosome:LupAngTanjil_v1.0:LG15:2139331:2142370:-1 gene:TanjilG_07088 transcript:OIV97336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNPQRLKTILHLKGLTRILIPNLNPNFPNILSTNSHNYSKITSNQNSFTVSYLINNCGFSPESALIASKQVHFETAQKPDSVITFFRNHGFNKSQLFSIIRKAPNVLTSDPHKRVLSKFEFLYSNGASSSDIVLLVNRDPRFLYSSLENSIIPSKITSNQNSFTVSYLINNCGFSPESALIASKQVHFETAQKPDSVITFFRNHGFNKSQLFSIIRKAPNVLTSDPHKRVLSKFEFLYSNGASSSDIVLLVNRDPRFLYSSLENSIIPRYEFVKRFLKCDKKTIKCIVVCPGLLGRFLMEKNVNFLLDDVGVAESHICCLLQSRPSILLSDFNHLKEAVNEVKAMGFDDPSKVTFVIALLARRAMSKSRWDAKVEVFKSWGWSEENVLEAFRKEPKCMLSSKDKINEVMRLWVSQLGWNSLALVDGPGMFGYSLEKRIIPRAFVVQYLLAKGLRKKNASLFSPFVISDKLFIEKYVESFKEERSELLKLYQEKLNVQDSKRMV >OIV97490 pep chromosome:LupAngTanjil_v1.0:LG15:244985:247577:1 gene:TanjilG_11014 transcript:OIV97490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVLGESISFGRFLSESMDWERWSTFTHKRYVEEAEKYSKPGSVAAKKAYFEAHYKRKAQEKAAALTEEANAQANGTSDSETQEENCNDTSVEKKLKADRIVVVEQPNKDTVNYQVVDDTNQCECDVGQSVLDIFNVEGAAENTAQTCVGINLTVENHVLDDNSNKEIVIPVEERIIDPGIAGSEVLALPIKGREVNSSPKLSTKTTMAKLSQSLNKRKDAAALPSLKSGTTYNKSGRSSFEKMGLTARSLRMSINLPSSTGKGNNTAAAAEQTRIGVNGILKSKKSDRNSFEDAGLTARSLRMSINLPPGTGKGNKIAATAEQTRNGVNRVLKSKNSHRNSFENAGLTARSLHMSIDLPPGTGKGNKIASAAEQTRNGVNRVLKSKNSDRNSFENAGLTARSLHMSTNLPSGTGKGNNTAAAAEKSRKDANNVLKSKKAVVGGLVEMKGLTSKSLNMSAIPPSGETRKSTTAAVKPTIAINHASKAMKVVGEQVEKRATSGSLHMSINLPSGAGLTSKIPSVFEHNRSKKFVSSLPSVSKHHPVSSQALTKPSHGFSNKALAYPPSQGTRTERLLTKSVSGGFTANAKFSSISVDSCRGWMELNQRKKRKFSCEGSPR >OIV96809 pep chromosome:LupAngTanjil_v1.0:LG15:15753624:15754118:1 gene:TanjilG_08670 transcript:OIV96809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRKIEIKMVKDLNTRQVTFSKRRSGLFKKANELSIMCGCELAIVMFSHGNKPYSYGHPNVDSVAAKILHQELNSSAVQCDSSSNDETLNKLSQELDDILDQTYEEEKKGEELDKLIEQKKKELEKVEDLSVYEEMRRSIKERINEMEAVEAMLMIKEQEPIV >OIV96575 pep chromosome:LupAngTanjil_v1.0:LG15:18013866:18015869:1 gene:TanjilG_28432 transcript:OIV96575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVTEAEQEKTMKRILLIISCTMLAIGTSGGPIMIRLYFLHGGHRVWLCSFLESGGFPIILIPLFISYIRRRRSAAANQSAKIKMVLIEPPLFFASLVIGLITGLSTFFFAFGVSRLPVTTSSLILATQLVFNALFSFLLVKQKFRVYSVNTIVLLTVAAGILALHSSGDRPSGETSKKYLVGFIITVLVAVLDGFLFPVVELAYKKVKQTISYTLVLEVQFVMCTSASLFSIIGMIVNNDFKLISSEARQFEHGAVVYGIVLVTIAVLSQCLLLGGIGVVFCATSLLSGVIIAAILPVTEILAVIFFKEKFNAEKGVALILSLWGFATYFYGETKQAKKMKKNLLPPEAEVLQVLPVSNA >OIV97026 pep chromosome:LupAngTanjil_v1.0:LG15:11356426:11360627:1 gene:TanjilG_19573 transcript:OIV97026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQETLLTTNSTYTSPNDTVSRNNNSGEESEDSKDIELIVVPAPNSVRVQRGPRRVLPTIEKSLPNGDVYAGTLTGNVPDGTGKYLWSDGCMYEGEWKNGKACGKGIFSWPSGATYDGEFLCGKMHGTGTFVGVDGDSYRGSWLGDRKHGFGEKHYANGDVYEGSWRFNLQDGEGRYAWCNGNEYVGEWRNGTISGNGVLVWRNGNRYRGWWENGVPKGEGVFTWRDGSSSKGNWGKEFVNEERVVKKRVSFDGNGKSVGFPRICIWEHDGEAGDITCDIVDNVEASMFYKDGSEFGNGGGGGGDDGDGLGQLPKSPCSSVEGDVKKPGHTVSKGHKNYDLMLNLQLGIRYTVGKHASVLRELRPGDFDPREKFWTRFPPEGSKFTPPHQSMDFRWKDYCPVVFRYLRELFAIDPAHYMLAICGNDALREMSSPGKSGSFFYLTQDDRFIIKTLKKSEVKVLIRMLPSYYQHVCQYKNSLVTKFLGVHCVKPVGGQKIRFIVMGNVFCSDYRIHKRFDLKGSSHGRITDKPQEEIDETTTLKDLDLNFVFHLQQSWFQELKWQLDRDCEFLEAEGIMDYSLLIGLHFRHDYLIDEIKSSPDKLVSGKRDMQKDEIHDFRWIPIDREPLIRLGSDMPAKAERVSKAGFDQHTGRGSSNSTPLDSSGEISDVILYFGIIDILQDYDISKKLEHAYKSLQADPTSISAVDPKLYSKRFRNFIHRIFVEDK >OIV97458 pep chromosome:LupAngTanjil_v1.0:LG15:33206:36807:1 gene:TanjilG_10982 transcript:OIV97458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKVRKKSRIAVKDKEITTGSVSVSVTKDTNNSCPHLLRGVNLNTLSTKIASSSQVRCEDCAERRGNKGKGKHAKNKASSDSKSDSKAIWVCLDCAQFTCGGVGLPTSSQCHAGRHASLTRHPLAIHLEKPQLCWCFHCKMPIQLDRLEETDEASRLLSGAVKLLKGRPSGKTPLDTEDVHIGDGSVTSEIKSKILFTTTNSYVQGGYVARGMVNLGNTCFFNSIMQVLLAMNKLRNNFLNFDAPVEGALTSSLKKLFNETNPESGLRNNINPRSFFGCVCSKYPQFRGYQQHDSHEYLRCLLDGLSTEELAARKQNGSPKKDGTTPNNTLVDALFGGQISSTVCCIDCGHFSTVYEPFLDLSLPVPTKKPPPRKAQHVSRTKKSKLPPKKGGKTRYKGNKDASPLPVQSLLKQSPSHVSSCPAQSNISSVAGEMLGSSADSSVLGSGEVSSMADKQNSSSPNSVAVEESQHTLQVLDNATEETLASADDFTWLDFVEAGTVVDEGDSISQKEDTPEVHETKNNNECLKELHVQAASCESSGSFCFLKDEEDQNLRPDSSSANRWEDEVPLQVQGSEVLLLPYKEESSSAAEITGDDREASSSVLGCAQEEIEFDGLGDLFDEPETVFAGPAPRPSSSGGVKEAGFIVGNNNSESDPDEVDDADTPVSVESCLAHFIKPELLSDDNAWHCENCSKTHRQKMETYTQAKNASDGNETRCHNEPGYAACSVKVGGIGNGDMGNEKNVESSVSHVKLDTKLESGQIDELNTNTNDRDHGTLGMKDNEELQSSGSHKACNEESCDRPPADSCTTLHMIGTVQKGDTQMLGQDNNDSDECSEEEASSESVKVKRDATKRVLIYKAPPVLTIHLKRFSQDARGRLSKLNGHVSFRERMDLRPYMNPGCISEENYEYNLVGVVEHSGSMRGGHYVAYVRGGQRNRGKADKEVEGSTWYHASDAYVREVSFNEVLRCEAYILFYEKN >OIV96762 pep chromosome:LupAngTanjil_v1.0:LG15:16477081:16478928:-1 gene:TanjilG_19921 transcript:OIV96762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQTNTFSWNTLIQAYLNSGHTDKSLHLFHSMPCKNHYSWNIIVSAFAKAGHLEVAHSLFNAMPSKNEKAWNSMIHAYSRNGYPGKALGMFQNMNFDPLEMVYRDGFVMATVLGACADLLALNCGKQVHARVFIDGMELDKVLCSSLISFYGKCGDLDSAAKVMSLVSEVDDFSVSALISGYANTGRMREARRVFDGRFDPCSVLWNSIISGYVSNGEETEALALFSRMRRGGVRGDISTIANVLSACSTLIITELVKQMHAHACKIGVTNDIVVTSTLLDAYSKCHSPYEACKLFSELKDHDTILLNTMITVYSNCGRIEDAKSIFNTMPSKTLISWNSILVGLTQNACPSEALDIFCQMNRLDLKMDKFSFASVISACASKSSLELGEQVFGKVITIGLESDEIISTSLVDFYFKCGFVEIGHKVFYGMTKTDEVSWNTMLMGYATNGYGNEALALFNEMRNAGVRPSAVTFTGVLSACDHSGLVEEGRNLFHIMQHTYNINPGIEHYSCMVDLLARAGCFGEAMDLMEVVPFEVDENMWLSVLRGCIAHGNKILGKMAAEQIIRLDPENPSAYIQLSNILATSDDWEGSAQVRELMRGKNVQKIPGCSWGDC >OIV97249 pep chromosome:LupAngTanjil_v1.0:LG15:3157351:3159787:-1 gene:TanjilG_10783 transcript:OIV97249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRGRSDSGGWLRLCLVVFAIVSALGVCGPALYWRFKKGITFHNSNLSCPPCLCDCPPPLSLFKLAPGLANLSVSDCGSNDPDLKEEMEKQFVDLLTEELKLQEAVAEEHTRHMNITLAEAKRVASQYQREADKCITATETCEQARERAQAILLREKKLTSVWEQRAHQMGWEGE >OIV97215 pep chromosome:LupAngTanjil_v1.0:LG15:3874705:3876291:-1 gene:TanjilG_02923 transcript:OIV97215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSIPYTYSPILRLLQGCFNLTTKLVKQIHAHAITHDLSRFSYVSSRILAFYSQSPRNDLRYAETLFTHISNPNVFDCNSMIMGFSKNSQCHKSFFVFKQMLNNGVRPNSCTVTVLVKACLSLSLLEQVHTQIIKLGTLSDVYVVSSVVSVYSKYGAIRVARQVFDESSNKNVVCWTSIITGYCSNGLVIEARELFDSIPERNGVSYSAMVSGYVRNGCYNEGIEVFRELKSCANVKPNSSLLASVLNACAAVGAFEEGKWIHSYIDENGFEYELELGTALIDFYAKCGWVGPSEKIFDSMRSKDVTTWSAMIMGLAINGKNKMALDLFAKMEKVGLKPNAVTFVGVLTACNHKYLLSEAWWLFGHMSKTYGITPSIEHYGCMVDILARGGKIGETLSFINSMPIAPDGAIWGSLLNGCLMHGHIELGQKVGKYLIEFEPRHSGRYILLANMYASMGRWEGVSETRKLMKDRGVPVISAWSFIEIDQNIHKFVVDDKSRSYSREIYEILSRLGKELNDFSIEKDVFLF >OIV97209 pep chromosome:LupAngTanjil_v1.0:LG15:4542065:4550892:1 gene:TanjilG_15274 transcript:OIV97209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNFLSTSNPFTLQSSSLTKPTYFYYHPIKSTVKKRESSLCNCKKDNQEALNLPIITKRCFLTTFLFSLAGKNLFDANAAILEADDDIELLEKVKRDRKQRLERESLINSSKIETGYLQQLVYKLSEVGQAIENNDLSAAGSVLGEGTDTDWVKKANIAFDKLSSSPEEKTEVDTFNSSLASLISSVSKKDIESSKLAFVSSASAFEKWTSLTGLAIQLKGL >OIV96673 pep chromosome:LupAngTanjil_v1.0:LG15:17199894:17201658:-1 gene:TanjilG_09215 transcript:OIV96673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKDMNLRSETPITLFLILLLSLTTFLLPQTEAIWLTIPTSGPKCLSEEIQSHVVVIADYYVVADDTEGHQLNTISAKVTSPYGNNLHDNQNVTHGQFAFTTTESGNYVACFWVEGNHQGSVTVSLEWRTGISAKDWEAVAKKEKIEGVELELRKLEGVVDAIHENLLYLKNREAEMREVSEATNGRVAWFSIMSLGVCISVSGLQLWYLKRFFRKKKLI >OIV96797 pep chromosome:LupAngTanjil_v1.0:LG15:16104218:16106503:-1 gene:TanjilG_18257 transcript:OIV96797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKNLCIFLIFSAVFIMGAMLLGVGAEPVEDKQALLDFLQNMDHDSSHFNWDGNSSVCQKWIGVTCNTDQSRVIALRLPGVGLSGPFPNNTLNLLSELQILSLESNGITGPFPTGFSELKYLTRLYLQFNKFSGPLPLDFSVWNNLSVVNLSNNSFNGSIPFSISNLTHLTSLVLANNSLSGEIPDINVTTLQELNLANNNLSGVVPEPLLKFPSSAFDGNNVTFPTALAPALPMQPPNAQPRKKTREISEPALLGIIIGGCVLAFFVAAAFIIVCWYGEEDADGKPVKSQKRKEVSMKREASESNKSPDKNKIVFIDDCYFAFDLEDLLRASAEILGKGTFGMTYKAALDDITNVVVKRLKEVTVRKRDFEHHMEVVGKIKHDNVSPLRAYFYSKEEKLIVYEYYQQGSISAMLHGKSEEGRSSLDWDSRLRIAIGAARGIAHIHAQIGGKLVHGNIKASNIFLNSQGYGCISDIGLATLMNPISPSAMRITGYHAPEVIDNRKATHASDVYSFGVLLLELLTGKSPANTRSEEVVNLVRWVNSVVREEWTAEVFDVELLRYPNIEEEMVEMLQLGLACAARIPDQRPKMRDVVRRLEEIPRVNTENRPSS >OIV96496 pep chromosome:LupAngTanjil_v1.0:LG15:19337972:19341793:1 gene:TanjilG_07888 transcript:OIV96496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYTNSSSMNFGSGNVRRTFEFGRTHVVRPKGQHQATIVWLHGLGDNGSSWSQILETLPLPNIKWICPTAPTRPLSTYGGFSSTAWFDAVEISEDAADDWEGLDASAAHIATLLSTEPRNVKLGIGGFSMGAATALHSATCYALGQYGNGNAYPIDLSAVVALSGWLPCSRTLKHRIEGSRDGIRRASSFPLFLCHGRGDDVVAYEYGEKSARTLSSAGFRNLSFRSYNGLGHYTVPEETDEVCSWLTANLGLGGFRLN >OIV96770 pep chromosome:LupAngTanjil_v1.0:LG15:16600170:16600622:-1 gene:TanjilG_19929 transcript:OIV96770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDINGDGFVSLEELNYLLQKIGFKFSLDELESLMEKKSLEFNEFLFFYNSISKQNNGKIRSDDDENNHDDDDGIDIIEELESDLVETFKVFDLDGDGFITSQELECVLKRLGLWDGKDCRSMICFYDTNLDGQLDFQEFKNMMLLTIAVN >OIV97088 pep chromosome:LupAngTanjil_v1.0:LG15:9996779:9999137:1 gene:TanjilG_14002 transcript:OIV97088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYPNLSSSLTTSKWVSTVASIWIQCTSGSLYTFSIYSETLKSTQHYDQSTLELVSVFKDIGANVGVLAGLLYDIVSRTTKHGPWLVHLLGSALCFLGYFLMWAAVAGVITSVPVPVMCLFMFLTAHSQTYFNTSNVVTGVHNFPYYSGTIVGIMKGFLGLSGAILIQVYLTILNNKPMAYLLMLAFLPPTNTLLLMWFVRIHNTQEGDERTYLNIFSLMALVIAAYLMVVIILDNIISLPILVRIFVLIVLMILLASLLCIAFKAQERSFSSTSETFLDESSQQIVHPGFQETEKMDARQDSDNYPMLGTNNQRRSQPGENQNLFQAAQTFNFWILFVCMSCGMGSGLATVNNIGQIGVSLGYTRHEIGSLVSLWSIWNFLGRFGAGYVSDYFLHTRGWVRPLFMVATLMIMSIGHVLIASGLPGALYVGSILVGICYGSQWSLMPTITSEIFGVEHMGSIFNTISIASPIGSYIFSVRVVGYMYDKEASGGNTCIGAHCFMFSFLTMAFATLLASLAALGLFFRTKNFYSQVVLRRIRNIL >OIV97116 pep chromosome:LupAngTanjil_v1.0:LG15:7887907:7889114:-1 gene:TanjilG_04920 transcript:OIV97116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYHAVSTLPVVEDALTEIRLWKFGGLRCYPKKESYVANTNPHNPRKSIMNQMMYSDTNSQIGSSMPLSSMRIGTIIHTIELNPGQGGKLVQAAGTNAKILKDPTAAYLSNPSHGDRKLRKAGHSRWLGRRPVVRGVAMNPVDHPRGGGEGKSKSSGKFGKGSRTPWGKPTKGGYKTGPLKRRR >OIV96534 pep chromosome:LupAngTanjil_v1.0:LG15:19037573:19041373:-1 gene:TanjilG_07926 transcript:OIV96534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLNNEKLLRFSDDEKQKLEFQWEKKDANQLHENPFPTYKMNHEGKFNIRMPTFGKFKVFPEKHETWKKRILDPGSDMILEWNRAFLFSCIMSLFVDPLFFYLPSVANDGNSSCMATDLNLGIVVTCFRTFADVFYFLNMIIKFRTAFVSPSSRVFGRGELVMDPKLIARRYLRSEFFLDLVATLPLPQIVIWFILPAIRGSHADHTYNALVLIVLLQYIPRLYLIFPLSSQIVKATGLVTKTAWAGAAYNLLLYMLASHVLGASWYLLSIERHATCWKTECRNESLPIKCTLKYLDCGSLDDEDRMKWLNTTSVFGNCNPANSTTFTYGIFGVAVENNVISSLFLEKYLYCLWWGLQNLSSYGQGLSTSIFVWETSFAILIAILGLVLFAHLIGNMQTYLQSITVRLEEWRLKQRDTEEWMRHRQLPKHLQERIRRFVQYKWLATRGVDEETILQGLPIDLRRDIQRHLCLDLVRRVPFFSQMDDQLLDAICERLVSSLSTQGTYIVREGDPVTEMLFIIRGKLESSTTNGGRTGFFNSITLRPGDFCGEELLAWALLPNSTLNLPSSTRTVKAIVEVEAFALRAQDLKFVANQFRRLHSKKLQHTFRFYSHHWRTWAACFIQVAWRRHKKRELAKFLSMRESLSFNADEQVNDQTEHEDEEYNVMASNTTQVIQNLGVTILASRFAANTRRGAQIKHVEMLKLQKPEEPDFSVEPEDD >OIV96384 pep chromosome:LupAngTanjil_v1.0:LG15:20091635:20094071:-1 gene:TanjilG_09811 transcript:OIV96384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGANQSQQDLLESSDDEKEGSSSTSDSIIKTPPSLDDVESKLKALKLKYSSSTITNPNAVKLYLHVGGNTPNAKWVTSEKLTSFSFVKTNSVNDEDEDQNEEDEYEVRDSENFWVLKVASKIRSKVSVEMQMKIFSDQRRVDFVAGAVWAMKFFSEEHCKGFVTQFENCLFENTYNVEGNEKNKVKIFGKDFIGWANPEAADDSMWEDAEDSFSKSPSPSPVRPTQDLREEFEEASNGGIQSLALGALDNSFLVSDNGIQVVKNFAHGIHGKGAFVNFADGYQSKGLSSAFVTPKKTLLMKAETNMLLMSPNTGRQTFHSTGLHQLDIETGKVVTEWKFGKDGTEITMRDIHNDSKGAQLDPSGSTFLGLDDNRLCRWDMRDRHGIVQDLAGSCNTPVLDWAQGHQFSRGTNFQCFATTGDGSIVVGSLDGKIRLYSINSMRQAKTAFPGLGSPITHVDVTFDGKWIVGTTDTYLVIVCTIFTDKDGNMKTGFAGRMGNKIAAPRLLKLNPLDSHLAGVNNKFHNAQFSWVTENGKQERHIVATVGKFSVIWNFQQVKDGSHECYRNQQGLKSCYCYKIVLRDDSIVESRFMHDKFAVTDSPEAPLVIATPMKVSSFSISSRR >OIV96314 pep chromosome:LupAngTanjil_v1.0:LG15:20515955:20517095:1 gene:TanjilG_09741 transcript:OIV96314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDPGHRENGRQKPDQYKAAQGQWLMQHQPSMKQIMALMAERDAAVQERNLALSEKKAALAERDMAFLQRDTAIAERNNAIMERDNAIAALQYRESSLTSGSMSSCPPGCQISRGVKHVHHPQQQLHHLHNMDDASYGTRDMHTTDALPEAHIPLEAGKSRRAKRPKEAKSISPNKKTSKTTRKNKMESEDLNDMMFGKTHEWKSGQEMVNRGDDLDKQPLVSKADWKGQDLGLNQVAYDESTMPAPVCSCTGVLRQCYKWGNGGWQSACCTTTLSMYPLPAVPNKRHARVGGRKMSGSAFNKLLSRLAAEGHDLSNPVDLKDHWAKHGTNRYITIK >OIV97398 pep chromosome:LupAngTanjil_v1.0:LG15:1482129:1482554:1 gene:TanjilG_17582 transcript:OIV97398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEAETTASIYALISNWFTPSCLFIFINLVIGTIFIIKSRVDSPQLTPETETQNPVHDKHEPEPVEGSQERLNRGPSLLERVMSVKLFRLQSIEGEVVHHGGEELEGVDEKADNFIKRFKEQLRLQRLDSILRYRDMMKGN >OIV97119 pep chromosome:LupAngTanjil_v1.0:LG15:8028581:8028910:-1 gene:TanjilG_04923 transcript:OIV97119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLINRTGRGVSQKERPPKVTTTKIRIVIRSFDHPFFENHFGGLPPYTRKIGLPESRVLYTVLRSPHIDKKSREQFEMEIKKKVLVIKTETHELRKKFFRLKRRATRRT >OIV96483 pep chromosome:LupAngTanjil_v1.0:LG15:19419952:19422348:-1 gene:TanjilG_07875 transcript:OIV96483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKYWSFINVLCTLLLCEVCLAGVQFIGKVSPGFQAAQLNWIDNNGMFLMSDSGGKFAFCFITTPNDITKFLLGVLHVATSTLVWSANRANPVSNSDNFVFDDKGNVFLQKDGTVIWSTNTSGKGVSSMELKETGNLVLLGNDNSTIIWQSFNHPTDTLLSKQDFTEGMKLVSEPSSNNLIHVLEMKSGIVNLYADYQTPQTYWTSQTDNRKIINKAGNVVASASLSANSWRFYDKNKSLLWQFIFSDDLGASTNSTWVAVLKSDGFITFSNLGSGGFDGASTTTIPQGSCSTPEPCGPYYICTGYGKCSCPSVLNSGGPNCTPGFVSPCDMKEKSIDLMKVDDGLNYFALQFLKPFSITDLAGCQTSCRGNCSCIAMFFQKSSGNCFLLNSIGSFENSNTGSGFVSYIKVSTDRGVGTGGSGSSNKHTIVVVISILVPLLFISCLLFLGLRDHRKNKKMPQSPRDNSDDDSFLENLTGMPIRYSYKDLETATNNFSVKLGQGGFGSVYKGVLPDGTQLAVKQLEGIGQGKKEFRAEVTIIGSIHHLHLVRLRGFCAEGTHRLLAYDFMANGSLDKWIFGKNNGQFVLDWDTRFNIALGTAKGLAYLHEDCDSKIVHCDIKPENVLLDDHFMAKVSDFGLAKLMNREQSRVFTTLRGTRGYLAPEWIKNYAISEKSDVYSYGMVLLEIIGGRKNYDPAETSEKSNFPTYAFKMMEEGKIRDIVDSKLSITGHDDRVHTAIKVALWCIQENMSMRPSMTKVVQMLDGLCTVPKPPHTSSLPSDCNIDSYLSADRLSGPR >OIV96704 pep chromosome:LupAngTanjil_v1.0:LG15:17538784:17544095:-1 gene:TanjilG_09246 transcript:OIV96704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSMTTNQTRMRATDQEPLDFEDTTPQTEGSQSHWVLNSPNPPSLWNKLLTSVKDTKFFSSSKKKTCHGYALSFLESLFPIIGWCTSYKASNFKDDLFAGLTLASLSIPQSIGYANLARLDPQYGLYTSVVPPFIYAMMGSSREVAIGPVAVVSLLISSQVSKVIDPSSNPDAYRNFVFTATLFAGIFQVAFGVFRLGFLVDFLSHAALVGFMAGAAIIIGLQQLKGLLGLSHFTSNTDVPSVLDSVYKSLHQQITSEEKWSPLNFIIGCSFLIFLLLARFIGRRNRKLFWLPAIAPLISVVLATLIVYLSKADKHGVNIIKHVKSGLNPSSVHQLQFHGQHVGQAAKIGLIAAVIALTEAIAVGRSFASIKGYHLDGNKEMLAMGCMNIAGSLTSCYVATGSFSRTAVNFSAGCKTSISNIVMGVTVILCLELFTRLLYYTPMAILASIILSALPGLIDINEACYIWKVDKLDFLACAGAFFGVLFKSVETGLLVAVSISFAKILIQSIRPGIEILGRVPRTDAFCDVVQYPMAISTPGILVIRISSGSLCFANANFVRERILKLIKKEENDLNEAAKGRVQAVILDMTNLMNVDTSGILALEELHKRLHTRGIELAMVNPRWLVIHKLKLAHFVEKIGKELVFLTVSEAVDACLASKFSIP >OIV97208 pep chromosome:LupAngTanjil_v1.0:LG15:4505891:4507753:-1 gene:TanjilG_15273 transcript:OIV97208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFINTVKFVILSLALCASLQNINAEEVHVVGGDFGWAIPLGGPIFYDDWASLQDFEVGDILTFNFTNGEQDVARVTKEAYLSCNTTNPISLKNTSLANFTLDVAGADYYFTSTLDNHCFKGQKLAIHVPGPREPLNYTVGDKLGWVVPPGGESEYEAWAYNKLFLIGDTLVFYYINGTQDVAVVTKEVYEKCETNNTVAVYKSSPTRIILNTTGEYFFTSTYTDHCALGQKLAINVTVNSSTGTALSPAEALSPTTNIGPSASALSPFSSAPSMVPFGYMVTIVSFGFAFII >OIV97420 pep chromosome:LupAngTanjil_v1.0:LG15:820027:824440:-1 gene:TanjilG_16181 transcript:OIV97420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRQTYKVCFCCRRRFKLAVSEAPPEIRTLFHHYSDNGIMSASNLRSFMVEVQREEKISVEEAQDIIDGLKHLTLFHSRGLNLETFFKFLFTQNNSPLLPSSVVHNDMTSPLSHYFIYTGHNSYLTGNQLSSDCSDIPIIKALHRGVRVIELDIWPNASKDDVDVLHGRTLTTPVALIKCLRSIKEHAFVASEYPLVITLEDHLTPDLQAKVAEMVTQTFGDILFCPSSENFNEFPSPESLKRRIIISTKPPKEYLEEKEVKEKEDDLHKGKTEGDEEAWGKEVPSLRGGTISDYKSDVIEDDLNDEDDHEESERSRQNASAEYRRLITIHAGKPKGGLEECLKVDPDKVRRLSLSEPQLEKAVITHGKEIVRFTQQNILRVYPKGTRIMSSNYNPLIGWMHGAQMVAFNMQGYGRSLWVMQGMFKANGGCGYVKKPDFLLNSGWNNEVFDPKVESPVKITLKVTVYMGEGWYYDFKHTHFDQFSPPDFYTRVGIAGVPYDTIMKKTKAVEDNWLPSWNEVFEFPLSIPELALLRIEVHEYDMSEKDDFGGQTCLPVWELRSGIRAVPLYSQKGDMYNNVKLLMRFEFI >OIV96500 pep chromosome:LupAngTanjil_v1.0:LG15:19314169:19314941:1 gene:TanjilG_07892 transcript:OIV96500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDVTARLTYKNVPTWHRDLCRVCENIPIVLCGNKVDVKNRQVKAKQVTFHRKKNLQYYEISAKSNYNFEKPFLYLARKLAGDPNLHFVESPALAPPEVQIDLDAQKKHEDELLAAASQPLPDDDDAFE >OIV97442 pep chromosome:LupAngTanjil_v1.0:LG15:648262:648864:-1 gene:TanjilG_16203 transcript:OIV97442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRERGAKEGEKHFPSRVSLQLTPTLQNQVLSLSVGKSSENPRREIGIDKTIEASLDPTNTLGLKVSAGESTTMSLKPWKFEESVYGYSANFNWFLHDSMDGKEIYTSKPSKCALINPKSWFKNRYSSASRPFTRQGGVIFAGDEYGEGVSWKVDKDAIGNTMEFEIRGWIWLTYLPNKHATFYHETRRLEFREIVHLNVA >OIV96640 pep chromosome:LupAngTanjil_v1.0:LG15:18710870:18713610:-1 gene:TanjilG_28497 transcript:OIV96640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKTRLQQQAFFMRNSLFEQGFLDDQFIQLEELQDDINPNFVEEIVILFYSDSVRLVYKIEQALMNKPTNFAKLDDYMHQFKGSCSSIGAKKVRNECNIFNEYCAAENSEGCFRTFQQIKQEYTILKKKLETYFQLAREAAQNK >OIV96684 pep chromosome:LupAngTanjil_v1.0:LG15:17294834:17301466:-1 gene:TanjilG_09226 transcript:OIV96684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGSAWNKGPRRKYESRVEKEVVELKGACGIDMEELQSAVECYSLKVSTVPEKGRSLFVTRDFYPGEVIICQEPYVCVPNNSSSHQVRCDGCFTATNLRKCSRCHVVWYCGSTCQKSEWKLHRLECEALSRLDKDKRQSVTPSIRLMLKLYIRRKLQNEKIIPNTAMDNYNLVQTLVAHMSDITEEQLVLYAQMANLVHLIFQWPEINIKEIAENFSKFACNAHTISDSELRPLGTGLYPVISIINHSCLPNSVLVFEGRSASVRAVQHVPKGTEVLISYIETAGSTMTRQKALNEQYLFTCTCPRCSKVGQYDDIQESAILEGYRCKNEKCDGFLIRTTDGKEFQCQHCGLARDKEEIKKIANELRSLSEEEASKLSSTGNYQEAICIYKTVEKLQTKIYHPFSIWLMQTRDKILKSLMELEHWSEALAYCKLTIPVYQRVYPAVHPLLGLQYYTCGKLEWYLGGTEEAVKSLTKAVDTLRITHGTNTPFMKELLMKLEEARAEASYNWSSTER >OIV97301 pep chromosome:LupAngTanjil_v1.0:LG15:2433162:2433815:1 gene:TanjilG_07053 transcript:OIV97301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYYYIQQRPPLHLPPPPPAQSVLIQWFGKRIQELTELVELPVLDLPIIITVTTPTITLRITVISNSVAFTIVRSNFNTSNGTGLFLYSFYDEPGRLAHGMTLPTYLTYPAIITINSTTFTLYLVVNLDGPMFCTQDNASPLPYPPPPPPYVYDPNHVTLQNIAIPLCININLTRCDHVKTCTYTIYIIMHPNYLAIGASYQLTTTPWVAFLSSRAL >OIV97489 pep chromosome:LupAngTanjil_v1.0:LG15:236659:239366:1 gene:TanjilG_11013 transcript:OIV97489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVGMSLNALVHLPPSTSRLHLNDDASLLKHSLFSTKFNNHHHHGNRRRTHSVLVVEAKGKKGMISRQFQRPPPPPLPKIEDDGNPKFVIFMRMANVYLWYPLSVVTGGTTAKIMVAAKDNFLGKYIYKDTLDRNLAAVIYQDEKAIQKTAFKQYRVLRSATNYRYGYKIVENGNIRSALSTTDVIELPTEDKLKTVLDKVKDFFGDAKESFGKITALGSTATDDEPEKDTEEKSKFNYSTMLGPLVQICPEL >OIV97263 pep chromosome:LupAngTanjil_v1.0:LG15:2904920:2906644:-1 gene:TanjilG_10797 transcript:OIV97263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSKSLSQAPALTLFPGLKPPNKTLIFHQICVLSITFLAYASFHASRKPSSIVKSVLGPTIPFNSTQDPNLSSSSDAGWPPFNGTRGTQRLGEVDLAFLTSYAIGMYFAGTVGDRVDLRLFLVFGMIGSGVFTVLFGLGYWLDVHVLGYFVGIQVVCGVFQSIGWPCVVAIVGNWLGESKRGLIMGVWNSNTSVGNIIGSVVASGVLEFGWGWSFVVPGLLIILVGILVFLFLVVNPEDMGFVHPGTEMEMSVETGSAENLHNVESEESKLIESDHSDSSAIGFLEAWKLPGVAPFAFCLFFSKLVAYTFLYWLPFYIRHTAVAGVHISHKTAGLLSTIFDIGGVLGGITAGFISDIIEARAITSILFLFLSIPALVSYRVFGSLSKLANIALLFLSGFLVNGPYSLITTAVAADLGTQSLNGGNSRALATVTAIIDGTGSVGAALGPLLAGYISTRGWNSVFFMLILSIFFAGLFLIRLARTEIQEKLSGK >OIV96352 pep chromosome:LupAngTanjil_v1.0:LG15:20298099:20298791:1 gene:TanjilG_09779 transcript:OIV96352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAMNRSSPTTTSSSYSSSSESNHVPRNPTRNERIKGPWSAEEDRILTQLVERHGPRNWSLISRYIKGRSGKSCRLRWCNQLSPAVEHRPFSVKEDETIIAAHAHYGNRWATIARLLPGRTDNAVKNHWNSTLNRRPMEQQRGSFDAITTTIGERGISLSGPVLVEEDPLTALTLAPPGIDNGGGVEEARISPEEGVPSGFWDMMRDVINREVREYVTSNFSDNNNSRFH >OIV97242 pep chromosome:LupAngTanjil_v1.0:LG15:3634360:3637694:-1 gene:TanjilG_13721 transcript:OIV97242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLTYSNSALMTLSIPNAMGISTMNARDSFSLNPNLLSSLTSFHSFQEYSGSTFRLPVKIRIPPKKFCHRALTIRNEVPQNADFPRYYSKKEKKPFPTPIVELRRAARERLKRMKDTPRKPMSAPRNGLLVKSLIPTAYNVYNARITLINNLKKLLKVVPVHACGWCSEIHVGPVGHPFKSCKGAHSNIRNSLHEWTNAYVEDILIPIEAYHLFDRLGKRIPHEQRFSIPRIPAVVELCIQAGVEIPELPTKRRRKPIIYIGRKEFIDADESELPDEVPEEPKKPLLAEIPDSEIVAPLNNEETVRIAEETLQAWERMRRGAKRLMRMYRVRVCGYCPEIHVGSSGHKAQNCGAHKHQQRNGQHGWQSAVLDDLIPPRFVWHVPDVNGPPLQRELRSFYGQAPAVVEMCIQAGAALPEEYKSTMRLDVGIPSTLKEAEMVV >OIV97481 pep chromosome:LupAngTanjil_v1.0:LG15:194442:194957:1 gene:TanjilG_11005 transcript:OIV97481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEDENANSTRPEFPTGRIKRIMRLDNEVNRVSGEALLLVTRSTELFLQFLADKSARVAIEKKRKIVKLEHLTLAVKRHQPTSDFLLDSLPRADEKIAPPSNRSSKADNPPPRSNRRIDQFFKKQVTEPDDDADAQAEAEAEAETEPEAEAEPEAEAELEAEAPVPIDEC >OIV97326 pep chromosome:LupAngTanjil_v1.0:LG15:2254320:2257555:1 gene:TanjilG_07078 transcript:OIV97326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGIILKPKVEQVTEDEQKVQVLGIDSIESSPLVVKNHEDFVDGVSTNLEKELIHNKSMPESGMNAVIPSTSQLTIFYNGSICVYDGIPAEKVHEIMLIAAAAAKSSEMKKIGTQFPVISPVPTRPSSPHETPNNVAPPQAPCFTAEKSPICRLQGEFPIARRHSLQRFLERRRDRLGSKAPYPISSKGKVADNMENNFCADNATDLVAMNRSEEEFQPTITAS >OIV96693 pep chromosome:LupAngTanjil_v1.0:LG15:17420906:17423784:-1 gene:TanjilG_09235 transcript:OIV96693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLIQRETVPQFKTSFPICKQLSGLIHFGLAPLKTNSKGLEKYVTTKLLSRVFASVPDDVKLDDKLSEKMALLQQFIRPENLDIKPAFQNETSWLLARKELQKINMYKAPRDKLICILNCCKVIGNLLLNASVTSDENSPGANEFLPVLIYVTIKASISLLGEAAYYFTNMLSAEFFISNIDANAISMDETEFERNMESARAFLSGLSVDTQDPTSPYPNHGHHPSSEPTNHRNKALNDSKDSALQNSSSAAKSQIKNVTSVNELLITEVPSFSDLENKGASMLLKDDKVNEVFREYPYLFASADELTVEDIEDLLNNYKQLVFKYACLSKGLGVSPRNRTEDKAETTVESSDGGPVTVNNKSEGSTDTIEDSSDNVSLLEEKKMKSDLPQDEVVAPDGGANIESSN >OIV96404 pep chromosome:LupAngTanjil_v1.0:LG15:19974713:19976354:1 gene:TanjilG_09831 transcript:OIV96404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNSTCGGKGLDICRDESAAFVLKFVAIASILLAGFAGVAIPLIGKHSRFLRTDSNLFVAAKAFAAGVILATGFVHMLSDATDALNDPCLPEFPWSKFPFTGFFAMMAALFTLLLDFVGTQYYERKQGVNRATEEQTRVGFIESGEESSAIGGKVFGEEESGGMHIVGMHAHAAHHRHNHPHGQDACGGAAKQHGHAHGHAHGHAQSLSDVAEDEPDVRHVVVSQVLELGIVSHSVIIGLSLGVSQSPCTIRPLIAALSFHQFFEGFALGGCISQAQFKNSSAAIMACFFALTTPLGIGIGIGIASVYNPYSPGALIAEGILDSLSAGILVYMALVDLIAADFLSKRMSCNFRLQIVSYCMLFLGAGLMSSLAIWA >OIV96530 pep chromosome:LupAngTanjil_v1.0:LG15:19069929:19073279:1 gene:TanjilG_07922 transcript:OIV96530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDEYSGKRSTGGVVVPRKGMGHAFKDTANTKDRNSQACSRLGCSRRVNSPKGAQVGSSEKGKSLKPSTRSSASGKEAIGSSSRTFTGTSSPGKPLLRPRKTLSSQLEADSSETSSIQDEPEASNLIPPPEKIRRGLQAEVQNVDSGDAMPMEVGSSSVASNTKSRRSFHPKPGLRGQEVKSNGPVTRAVPNSYGLRNLRCNSISDVIPAGGCSSSDSTLNRRKNMIKKRNCEGESSSSARGKNMSGPSFEGRNSGSRNGISISDSRRSRNTPPHIDRPDSNVGSVRTRRTVSGQARGRFSSQGNVNPVATSESLSVVPPLPRSGDLNAPGVSYRSSVEAALNRPSSYIMPGTSNEELYGVMPVPSSEYGITRSLINREGFRRRYNMDGIAEVLLALERIEQDVELTHEQILMLESNLFLNGLNFYDQHRDMRLDIDNMSYEELLALEERMGTVSTALTEEALSESLKRSFYQPLPRDDEAESSNEHKDDTKCSICQEDYLVADEVGSLKCEHKYHVVCIQQWLRLKNWCPICKAPIGPSNSSSPSH >OIV97036 pep chromosome:LupAngTanjil_v1.0:LG15:11510744:11510989:1 gene:TanjilG_19583 transcript:OIV97036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHRKTCTLLNLVVVVTFVAILISHRLSGVDARRVLLDSQDFAHANHLSTYTSSVYEQSKNTMAIWLQRLASGPSHKGLGH >OIV96611 pep chromosome:LupAngTanjil_v1.0:LG15:18386932:18388596:1 gene:TanjilG_28468 transcript:OIV96611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGSRSRKHLGNRVFAVSKFETSGLNGKLQHFGRTSPNGDLNVVESSQNGVNGLRNFEEFESNNHLRKLIRNGELEEGFKFLERMSYQGDIPDVIACTTLIRGFCRNGWTKKATRIMDILENSGALPDVITYNVLISGYCKSGEIDKALQVLERMSVAPDVVTYNTILRTLCDSGKLKQAMEVLDRQLQRECYPDVITYTILIEATCKESGVGQAMKLLDEMRSKGCHPDVVTFNVLINGICKEGRLDEAIKFLNNMPSYGCKPNVVTHNIILRSMCSTGRWMDAERLLADMLSKGCSPSVVTFNILINFLCRRGLLGRAIDVLEKMPKHGCTPNSLSYNPLLHAFCKEKKMDRAIEYLEIMASRGCYPDIVTYNTLLTALCKDGKVDVAVEILNQLGSKGCSPVLITYNTVIDGLSKTGKSDCAVELFEEMCRKGLKPDTITYSSLLGGICREGKVEEAIEIFHDMEGSGIRPNAATYNSIMLGLCKAQQTGRAIDFLAYMIANGCKPTEATYTILIEGIAFEGLAEEALELLNELCSKGFMKRSSAEKVAVK >OIV96577 pep chromosome:LupAngTanjil_v1.0:LG15:18043609:18045585:1 gene:TanjilG_28434 transcript:OIV96577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRILLIISCTMLAIGTSGGPIMIRLYFLHGGHRVWLCSFLESGGFPIILIPLFISYIRRRRSAAANQSAKIKMVLIEPPLFFASLVIGLITGLSTFFFAFGVSRLPVTTSSLILATQLVFNALFSFLLVKQKFRVYSVNTIVLLTIAAGILALHSSGDRPSGETSKKYLVGFIITVLVALLDGFIFPVVELAYKKVKQTISYTLVLEVQFVMCTSASLFSIIGMIVNNDFKLISSEARQFEHGAVVYGIVLVTIAVLFQFLLLGGIGVVFCASSLLSGVIIAAILPVTEILAVIFFKEKFNAEKGVALILSLWGFATYFYGETKQAKKMKKNLLPPEAEVLQVLPVSNA >OIV97311 pep chromosome:LupAngTanjil_v1.0:LG15:2402500:2406599:1 gene:TanjilG_07063 transcript:OIV97311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSNPINSYSAVANAFRVAKDYEKAKTAYEKASKGQEMLSSPWDAAKHMESAATQAKELSNWKEVGDFYRRASELYIECGRSQPASDALEKGARALADTMPDEAAQLYSDACTILEDDERDQMAFDLYRAAAGIYIKLEKYSDAVSFMMRLGLAAEKCNATNSQSKAYLSAIIIYLYAHDFKQAEKCYNDCYQIDAFVKSDQNRCASKLLAAYTDGDIEEIKHVAQSSAISNLDNVIIRLARKLPTGDVSALKEDIVEDGEEPLDENDLT >OIV96535 pep chromosome:LupAngTanjil_v1.0:LG15:19030271:19035761:-1 gene:TanjilG_07927 transcript:OIV96535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPVPSGNLPPGFDVSACRSVYVGNINVNVTDQLLAEVFQSAGPLAGCKLIRKEKSSYGFVDYHDRASAALAIMTLHGRQLYGQALKVNWAYANSSREDTSGHFNVFVGDLSPEVTDATLYACFSVYHSCSDARVMWDHKTGRSKGYGFVSFRDHQDAQSAINDMTGKWLGNRQIRCNWATKGAGASSGEEKNNDNQNAVVLTNGSSDGGQDYNNEDAPENNHAYTTVYVGNLPHDVTQAELHCQFHALGAGVIEEVRVQRDKGFGFVRYNTHDEAALAIQIGNGSIVRGKSMKCSWGSKPTPPGTASNPLPPPAQPYQILPTAGMNQGYSHAELLAYQRQLAMSQAAVSGLSGQALLQMTGQHGLAPASMGINSGVSQAMYDAYTGNSSRQQLMYYR >OIV97157 pep chromosome:LupAngTanjil_v1.0:LG15:5180009:5180989:1 gene:TanjilG_28908 transcript:OIV97157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDSIGIPACFSSVEKTSDDNVLGAVTRSGQSVYMSVYRTKIADHCRLITITWCKNLLLHGLSISVEGPEGENQYSCKVELKPWYFWRKQGSKRFIVDGKYVDVFWDLKAAKFNGETEPTSEYYVAVVCDQEIVLLLGDLKKEAHKRTGCKQALIDPILVSRKEHIFGKRKFSTKAKFHEKGRFHEISIECKNKSSGDLPSVSEIQPELEIRFDGHLVIHVKHLQWKFRGNESVHLNKMRVEVYWDVHDWLFSPESSGSVEGLSTISGLSSSSEFSLFLYAWKVE >OIV96821 pep chromosome:LupAngTanjil_v1.0:LG15:15621446:15621616:1 gene:TanjilG_08682 transcript:OIV96821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWETKKNTPTKGIDFSLLAYFLEALPRHEGYHIEAGATSHNNDCEHLSSETRWLRR >OIV96298 pep chromosome:LupAngTanjil_v1.0:LG15:20628365:20630400:-1 gene:TanjilG_09725 transcript:OIV96298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHRGEEDNHYPPPLASHEDLVKDPNVFWDTLRRFHFLMATKFMIPVIGGKELDLHVLYVEVTRRCGYQKVVAEKKWREVDAASASNHSWRPDLAIVQYSPKPIEDHLDSNAEDSSFIFGEGTIDGKFECGYLVSVKLGCEVLRGVLYHPDPSVPPPQVQQYENAIVPFSNNNKPGSSGRRRKNKRRWDPNYPKPNRSGYNFYFAEKHYKLKELYPNREREFTKMIGQSWNCLSSEERMVYQNIGLKDKERYKREVKEYKEKMMVGQTETKRP >OIV97390 pep chromosome:LupAngTanjil_v1.0:LG15:1226170:1232149:-1 gene:TanjilG_17574 transcript:OIV97390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVEKSIKGNGEIEEKEEELQKKKQPKKGGIRALPFILAIGSGGIRACVVPFSADQFDMTKNGVASRKWNLFNWYFFCMGLASLSALTIVVYIQDNVGWGWGLGIPTIAMLLSIIAFVLGAPLYKMVKPGGSPLVRLTQVIVAAIKKRKETLPDDPRLLYQNKKLDDAISLEGNLLHSNQYKFLDKAAIVKEEEARDPNAPPNLWKLTTVHRVEELKCIIRMLPIWSCGILLITTASHQHSFTIQQARTMDRHLSHKFQISPASMSIFNVLTTMIGVVLYERLLVPFVRRITKNPSGITSLQRMGVGFVVNILATLVAAQVEVKRKLVAAKYHLLDDPKAIIPISVFWLVPQYCLHGVAEVFMMVGHLEFLFEQSPESMRSTATALNSLTSGIGNYLGTLLVALVHKYTGKERNWLPDRNLNRGRLENYYWLASGIQVINLIYYLICAHYYTYKSIEEICDTNKEEELKAEEKISSVNLKDGNKDVEKGQHTKDK >OIV96470 pep chromosome:LupAngTanjil_v1.0:LG15:19501761:19514536:-1 gene:TanjilG_07862 transcript:OIV96470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYQNHNHHFSQNLSLHHFTNQQQQQLASAPDLSNKPHSDPNHSLQTAPNWLNNALLRTQYDTNNHSEVMNVVTAGAGESIIAGVSHDSDELKADTGAGREKKEAAEDGGGEDGLVKWQNGRYKAEIVAHPLYEELLSAHVSCLRIATPVDQLPRIDDQLAQSQNVVAKYSSFGNMIDDDNKELDQFLSHYVLLLCSFKEQLQQHVRVHAMEAVMACWEIEQSLQSLTGVSPGEGTGATMSDDEDDQVDSDINLLDGGLDGHDSMGFGPLIPTENERSLMERVRHELKHELKQGYKEKIVDIREEILRKRRAGKLPGDTTSVLKAWWQSHSKWPYPTEEDKARLVQETGLQLKQINNWFINQRKRNWHSNPSTSTVLKNKRKRLMTTFTLWEMTCKTDNRANGNKSNRRVISTILHETTQQEQSPNLEDCLKLLKGERDEQRLAGLLLVTKFCKAEDHSSLRRVYDAVGPRFLERLLRTGMGKGTVTSGGDNNRDAYLCLSVTVLASFCRVPEIASLEDMVLKVPLILEAMSTRNGSSVLEECYEFLYLVSTASGNGIIKFYESGGIRILASQMSSMQDGSHLMEISIKLLQLILSRMSLEIIQNDYSSELLVIVAEIAKQFAVLHNSLKFDSLHLLNTMISSKDSAQLLDALRLLPNDSWSYYIRIGIIAILQNRVATAERLQALILAESMVSISGEDWLISQVRTNDAQNPTPADMCLLLVLEQSRVEIAVLLNELAYLKYKAPQDTSTTAEAIFSKRRNVAVAYSLVEKIIKLISNVDENDGNLLDECTLTKLICQLNETITVVLEYLEDARDHQQRKGDDLLASVRIIGSYLAEVPIACEEKVRVLLGYMLSVEGEDEQSPFYSVCFLLPLLCQITMELEGCKTLASCGGHKAVLDCLSKLIGSYDYIVEDKGCIFLACDTAMNLLLKKDKVQLMLDELNFVDLLKALVYWSENTDDMSSMMMASSICALIFDYTSEEALLNHPDFNYSTLSSLYQLIVRCLASSGQDGNADMDLWDIVSAGFSRWANRYPHIRESLNK >OIV96941 pep chromosome:LupAngTanjil_v1.0:LG15:13813705:13819475:-1 gene:TanjilG_00523 transcript:OIV96941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEATAAIAASASARGASLHIPPSQSRKEWRAVSEHHHSARNHDDEGLENVKLGQSDERTIYEVQQGREPLGVDFCSITVDGTLDNDILQQQLHNIVRQRQEVLQVEIELKAQIIARTEIMEMRSTFDAQIKEHATNASKLQEQLREREHTIHELQRKMEEKDRELHTIKLDNEAAWAKQDLLREQSKELATFRRERDHSEAERAQHIKQIHDLQEHFQEKERQHIELQEQFKWSEDDDSFFQQRVAQDAIIYKDEQLREAQAWIARVREMDIFQSTTNQTLQAELRERTDQYNQLWVGFQRQYTEMERLHLHTIQQLQLELAEARERNGNYSDDSRMSQINSKNDVAQFGQESGNQFELNGSNASGGNNGLLPSESSDNVPPFASTGNATMQTDHVRSVPITPSSILVSPSYLPPGQVTTLHPFVMHQQGMPNSVASHVPQSHVGHFHPVPAMSPPQQWQNQQVVSEGSQVSLQDDPSSSQNDQNLLSSDAKFNYEISVNGQALRREYFDAHIHQNEEPQTVISSSTGETQVLQTVNEHQLVASQQDQSLQHISSQFSEALRLNSFVPNGEIKEQNSVANDGPEVLVTEQVSSSVNASAVAGHSVNHNEMIQSNSTAPALPEALASTGQTTSTTIAKTSETSLLDERSLLACIVRTIPVGGRIRISSTLPNRLGKMLAPLHWHDYKRKYGKLDDFVASYSELFMIEGDYIQLREGAHKMVAATAAVAKVAAAAAASAASSPYSSYMPTVAVTPMAQSHRLKKALSIDSKNIKANKNLQEYAVISSNIGDDPLKLSGMQHQQSNNVFGVAGGLANVKILSKSKDAQEMNGPQSTTVHSSIQLTVGNGGSFDRSSTSSAKNPGSANGRVVQSFALKPQSRTTGAAYPSQR >OIV97494 pep chromosome:LupAngTanjil_v1.0:LG15:264429:267218:-1 gene:TanjilG_11018 transcript:OIV97494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDATTTSGTPTIQYRNIDQSITTAIVVATPLPQPTFLRQQRHCFGDSTPGEFPLSANPSVVLHILTACDFDPQDLAKLEASAIYLSMLFFATCSFFSQPANYAPDFELSLSELAALDMCQKRAIFKPMTTEQRQDFKQRCGGSWKLVLRYLLAGEACYRREKSQAIAGPGHSIAVTSKGVVYSFGSNNSGQLGHDKTEEEWRPRPIRTLQGIRIIQAAAGAGRTMLISDCGKVYAFGKDSFGEAELGVNGSKMVAAPKLVESLKNIFVVQAAIGNYFTAVLSREGRVYTFSWGSDGKLGHHTDLSDLEPHPLLGALEDIPVVQIAAGHCYLLCLAFQPSGMSVYSVGCGLGGKLGHGTRTDEKYPRLIEQFQLLNLQPMVIAAGAWHAAVVGRDGRVCTWGWGRYGCLGHGNEECELVPKVVEALSDVKAAHVATGDYTTFVVSDDGDVYSFGCGESDSLGHNAGNDGQGNRHANVLNPELVTSLKQIDERVVQISLTNSVYWNAHTFALTKSGKLYAFGAGDKGQLGIQLATNQTQRGNPERVDIDLS >OIV96719 pep chromosome:LupAngTanjil_v1.0:LG15:17725480:17727289:1 gene:TanjilG_09261 transcript:OIV96719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGAEKGGSGGSTKTPADFLKSIRGRPVVVKLNSGVDYRGILACLDGYMNIAMEQTEEYVNGQLKNKYGDAFIRGNNVLYISTSKRTNAEGA >OIV97403 pep chromosome:LupAngTanjil_v1.0:LG15:971221:977560:-1 gene:TanjilG_16164 transcript:OIV97403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLIFKEVPESVLKKQKRNEELALTKTQELEAAKKKRVLSRKLIFNRAKLYAKEYENQDKELIRLKREAKLRGGFYVDPEAKLLFIIRIRGINAMDPKSRKILQLLRLRRIFGGVFLKVNKATVNMLRRVEPYVTYGVVPESVLKKQKRNEELALTKTQELEAAKKKRVLSRKLIFNRAKLYAKEYENQDKELIRLKREAKLRGGFYVDPEAKLLFIIRIRGINAMDPKSRKILQLLRLRRIFGGVFLKVNKATVNMLRRVEPYVTYGYPNLKSVRELIYKRGYGKVNKQRIALTDNSVIEQTLGKYGIVCIEDLIHEILSVGPHFKEVNNFLWPFKLKAPLGGLKKKRNHYVEGGDAGNREVYINELIRRMN >OIV97016 pep chromosome:LupAngTanjil_v1.0:LG15:11839948:11859620:1 gene:TanjilG_03590 transcript:OIV97016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRRRTESPVYTRQWSGGSSSTGSSSPVMSPGNPQSRLGPTSTGLSTVKRTQNVAAKAAAQRLARVMASHTTAANDREEDDDDDDLDFRFSAQHPPAVSSFSNNGGSHRSSSSSSTVPPISIMRPNRSPSPALSRNILERTPSFRSTSAGRPAVSVRSAQVVPPSKSTIRTPMRVPPIDPPTNRGREKRFPSDTNVRQLNSKDTGDQHKASALRDELDMLEEENEIMLEKLRIAEEKRQEVEARARELEKQVANLGEGVSLEAKLLSRKEAVVRQREAALKAAQQSQDRRDEEVVALRVEIQNLKDDAAAAVEQQHEAEAEAKALRTMTQRVILTQEEMEEVVLKRCWLARYWGFAVKHGICANIAQSKHEHWSSLAPLPFEVVISAGQKAKEESWNRKHGPDGDDPDRSKLVCDLNDLTVEGNIESMLSVEMGLRELASLKVEDAVVLVLAQHRRTNLVRQSILDSKSPDDAKYSEAFELSEEEAEDVHFKEAWLIYFWRRALFHNVEEDIAEGRLQFWIARSWQRPTSHDAVDVERGLSELRKLGIEQQLWEASRKGIDQPPSSAVANHKSATDSDASS >OIV97354 pep chromosome:LupAngTanjil_v1.0:LG15:1913142:1915424:1 gene:TanjilG_07106 transcript:OIV97354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTIWKYIFPGCYKGEYPSTRPKKVVATKPNSSYRLSLTDLSFPSLTFSEDLSISLAGSNLHVFSLAELKTITQHFSSSNFLGEGGFGPVHKGFIDDKLRPGLKAQPVAVKLLDLDGSQGHKEWLTEVVFLGQLRHPHLVKLIGYCCEEEHRLLVYEYLPRGSLENHLFRRFSASLPWSTRMKIAVGAAKGLAFLHEAEKPVIYRDFKASNILLDSDYNAKLSDFGLAKDGPEGDDTHVSTRVMGTQGYAAPEYVMTGHLTAMSDVYSFGVVLLEILTGRKSVDKNRPQREQNLVEWARPMLNDYRKLSRIMDPRLEGQFSELGTKKAAALAYECLSHRPRSRPSMSTVVKTLEPLQDFDDVPIGPFVYTVPPDNNEALRHKDAKEHHEVPNERRTENHHHHHHHHRRIHHHNNGGHRPHPLKSPKPQLQLQSDNHIKQGRII >OIV97080 pep chromosome:LupAngTanjil_v1.0:LG15:10106143:10108687:-1 gene:TanjilG_25670 transcript:OIV97080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRSFTRYVEEELGKFPYFVLYVFLEWVLIIILFLDGFLAFLANEFASFFELKIPCWLCTRFDHALVHRNPNFYYNESICELHKKDISSLAFCHNHKKLSDIRKMCEGCLLSFATERESDIDTYKSLVGILHKDLECFVEDGQPIQLSLKDEEIARVETSNTQKCSCCGKSLKFKSSNGKPRRLESFARAPTPSPRAYPFYTSKNEENNALDLPQIAYTKLKFMKNDSELTEYDDGSNVNNQNVKLREDPKALSAPLFAEADLNNEFHKLTPTFSRSNKFFGIPLTDSANNSPRWSYKINRKSPLEKTELASDSNEANIQNDFDDAILNNLERQVRLDRKSLMALYMDLDEERSASAVAANNAMSMITRLQAEKAAVQMEALQYQRMMEEQAEYDEEALQASNDMIIKREEEIKVLEIELEIYRNKYGMLAEEDVLKATNLSHSDNNSTLLLNQGEDSGEKGLISNQSVFSEAENGGIRTIEYVKDFKVEKTYLLGRTKKIENRIPFTENGVYSLYSSSDSFNNLGIETGIGSEASISNELCSLTERVKALEANNGFVEIVSKKPEGNGEGTKMLTEISKNLEKLSHLVMTSIEVDNDFTQKDDNCISTTCLAN >OIV97044 pep chromosome:LupAngTanjil_v1.0:LG15:11089965:11099417:-1 gene:TanjilG_11561 transcript:OIV97044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNGSEDSNGWNRCRGLAVKAILLIGGAFLVKRLSKSTTRWDHATFVSRSLTGEKYSKEQASKNPDNYFNIRMLTCPAAELVDGSNVLYFEQRFFMVKPCPKELKCDVELSTYAIRDMEEYKNFCDRSKDQRPQPEEVIGDIAEHLTTVELKRCSRGKRCLYEGSTPPGGFPNSWNGASYCTSELAVMKNNEIHMWDRGFDDDGNQIWGPKEGPYEFKPAPTSSFNEMFSPLNLPPPPPTERRIEGSFILQE >OIV97206 pep chromosome:LupAngTanjil_v1.0:LG15:4934371:4941258:-1 gene:TanjilG_26778 transcript:OIV97206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMNLHAFAGNPLRSNSPNPFSQTSLIETLKSRISENTTNHSLSPNFKVLPLRNGRPLASSTVAGNSGLSWRLGWIGLGDLKGILVNCGGAQLRSESFVYLGSSVEEDEVYWAIDVSGENGLVPEFGSMKLCFVELRILMVATYWEDLKVMGNLAIAGYARALLEWHKKSRFCGHCGEKTVQKEAGRRKQCSNESCKQSIYPRLDPVVIMLVIDRENDRALLGRQSRFARRMWSCLAGFIEPGESLEEAVRRETWEETGIEVGKVEYHSSQPWPVGPPSMPCQLMVGFFAYAKSLEINVDKEELEDAKWCSREDVRKALTFAEYKKAQRSSAAKVEQMCKGVEKTQRLARKFNVKSGELAPMFVPGPYAIAHHLIKSWAFPDKNINGVEYHSKQPSGFISNL >OIV97380 pep chromosome:LupAngTanjil_v1.0:LG15:1723697:1725701:-1 gene:TanjilG_07132 transcript:OIV97380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAPEGSQFDAKQFDSKMNELLTSEGQDFFTSYDEVHDSFDAMGLQENLLRGIYAYGFEKPSAIQQRGIVPFCKGLDVIQQAQSGTGKTATFCSGILQQLDYGVTECQALVLAPTRELAQQIEKVMRALGDYLGVKVHACVGGTSVREDQRILSSGVHVVVGTPGRVFDMLRRQSLRSDYIKMFVLDEADEMLSRGFKDQIYDIFQLLPPKIQVGVFSATMPPEALEITRKFMNKPVRILVKRDELTLEGIKQFHVNVEKEDWKLDTLCDLYETLAITQSVIFVNTRRKVDWLTDKMRSRDHTVSATHGDMDQNTRDIIMREFRSGSSRVLITTDLLARGIDVQQVSLVINYDLPTQPENYLHRIGRSGRFGRKGVAINFVTQDDDRMLFDIQKFYNVVIEELPSNVAELL >OIV97187 pep chromosome:LupAngTanjil_v1.0:LG15:6395637:6395948:1 gene:TanjilG_28938 transcript:OIV97187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >OIV96695 pep chromosome:LupAngTanjil_v1.0:LG15:17437590:17438971:-1 gene:TanjilG_09237 transcript:OIV96695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSSTNSVNGFYSFLTRGIDDLERVFLSNNFMSIQFLQRTLSLLRSFHSQLTLLVQKLHLPVGDKWLDEYMDESSKLWEACHVLKSGISGIENYYSSAINITSSLDSHRHITPQISRQVIRAISGCRREAVGLEEENRSLMETRIQPLSLRFDERVSIESKLNGFNGFRGVLYAMRNVSSLLLMILLYGLVYCWPESSDSVLGGYEGCLFLGSAFMISTARLQQRVAAEISQMSNGGGGGGGGGSHGILMYEFRRSKVAMEELRGELERRGGSILEWENENGVRERVENLRGWFGVLRSGADNIVGQLDDFFDEIVEGRKKLLDFCSHR >OIV96396 pep chromosome:LupAngTanjil_v1.0:LG15:20026018:20028155:-1 gene:TanjilG_09823 transcript:OIV96396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGFENEMGLQHENEGMQISSMSLANPFLIASSDWGPLVSLSQVQTFGGSPMVSHSDFANSSYPLVLENHGISCTSHLVQCISHSNHEVMVPKVPSYGSGSFLEMVDVANKGYQPNYDPSNEAVIEPGSAPNDNSRKRGHDYNSTLNKNAAGDAMKGSFENNSNVVKEQDEKKYKVELSTSTQLRGKQSVKKNKDNSHSEEDPKENFVHLRAGRGKATNSHSLAERVRREKISERFRFLQELVPGCNKITGKAVMLDEIINYVQSLQQQVEFLSMKLVTVNPELNFDVIERILSKDSHVEHNRHIGGYGPTNNCSNPFPTMLSTSTQILPLPRSVLDQEFHSLYEMSYNSTNVLDNLAPNGQRQIKYK >OIV96379 pep chromosome:LupAngTanjil_v1.0:LG15:20136087:20136392:1 gene:TanjilG_09806 transcript:OIV96379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPFLSRALWSLSRGKSCVSQLIRHNKNSGCQCLTTISKRDTHQIQIQIQIQPFGSSPFMRGLCNINNYNYNYVQTRKFLGYGDGEEGVLTRNYEEKRVLG >OIV96297 pep chromosome:LupAngTanjil_v1.0:LG15:20631736:20637209:-1 gene:TanjilG_09724 transcript:OIV96297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKLTGGATFGSLLLCVVSISLFHITCAEDPYRFFNWNVTYGDIYPLGVRQRGILINGQFPGPDIHSVTNDNLIINVFNSLDEPFLLSWNGIQQRRNSFEDGVSGTTCPIPPGKNFTYILQVKDQIGSFYYFPSLGFHKAAGGFGGIRILSRPRIPVPFPDPAGDYTILIGDWYKSNHTTLKAHLDQGKKLPIPDGVLINGRGPNGVSFNVEQGKTYRLRISNIGLEHSLNFRIQNHKLKLVEVEGTHTLQTTYSSLDVHVGQSYSVLVTADQPAQDYYIVASTRFTSKVITTTGVLRYSNSAGPVSGPPPGGPTIQIDWSLNQARSIRTNLTASGPRPNPQGSYHYGLINTTKTIILSSSAGQVNGKQRYAINSVSYVVPDTPLKLADYFKISDVFRVGSISDRPTGGGIYIDTSVLQADYRSFVEIVFQNDEVIVQSYHLDGYSFFVVGMDGGQWTPASRKQYNLRDAVSRCTTQVYPKSWTAIYVALDNVGMWNLRSEFWARQYLGQQFYLRVYTTSTSIRDEFPIPKNARLCGKASGRHTRPL >OIV96299 pep chromosome:LupAngTanjil_v1.0:LG15:20620533:20621855:-1 gene:TanjilG_09726 transcript:OIV96299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLLLSEGLYQAKGATKPLSLKAIYNASGVKGKRVTPLLANEEEGGISHFIQSIIRNTPDIDSPFLVLDLGVIMHLMEKWTTNLPTVQSYYAVKCNPNPSLLGVLAALGSSFDCASRAEIESVLSLGVSPDRIIYANPCKSESHIKYAATVGVNVTTFDSKEEIHKIKKWHPKCELLIRIKPPQDSGARNALGLKYGALPEEVKPLLQAAKDAELKVVGVSFHIGSGGADSRTYHGAIAAAKSVFDMASNELGMPRMKIVDIGGGFTCGNQFDAASFHVNEALEDNFGKEEGVVVIGEPGRYFAESPFTLASKVIGKRVRGEVREYWIDDGIYGSLNCIMYDFATVTCTPLACSSKPEDPECKNAKMYPSTVFGPTCDSLDTVLTDYLLPELELDDWVVFPNMGAYTTSSGTNFNGFNTTAISTYLAYSTPIAMEKSMF >OIV97211 pep chromosome:LupAngTanjil_v1.0:LG15:4561206:4561898:-1 gene:TanjilG_15276 transcript:OIV97211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNPKRRLEKRSKVELEMGSDEIPDLTKKVPANVLSHILTFLPLDEAIRSGILSKKWKDLWRNTTHIELNEKKLIKPLSQLLISRKFVPTKDVTKGANRYALLVYRIMFHHYGDLPSFRILHLWKSLLLGEVQSWVEYVLKTREGVQKLSLECELDNGEMGEWFLFKDDIPKLNFSKGIFQSLGSLEMINYNINCSNAFVGCKNLKTLKLEKINLADRIINDILNNCVVL >OIV97322 pep chromosome:LupAngTanjil_v1.0:LG15:2296872:2298894:1 gene:TanjilG_07074 transcript:OIV97322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDQQSSSSAKPKTADLDAPLHSIGFEIEELSPQKVSGHLLVTQKCCQPFKVLHGGVSAMIAESLASMGAHMASGYKRVAGIQLNINHLKRADMGDLVQAEATPLSVGKTIQVWEVMFWKIDPSNSQMRSLVSSSRVTLVSNMPIPDHAKDAAEVLKKYAKL >OIV96280 pep chromosome:LupAngTanjil_v1.0:LG15:20869911:20873330:-1 gene:TanjilG_05120 transcript:OIV96280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLKKTLKPYGSHKSARSKISTGDGSQDSVFEELPILLAQQSCSIAIKSDQDSKNNKNKMMKDKNDDNNNNDGNISGSEHDPPSQLIRRFLDKQKIMTSSVSSEMGVDMDLKMDGLPLHDSSISISISNSSTSNDPPPTSYKTPTGTPQYSQSRPPLPHRDRDTSNSALLRTTKSKSKNRSKSRLLDPEFSHPQHQYSNLIPKSSGQLFYSFLGTKKSDDDDDDDNEDDPFSEEYLPDEYKNRTHFSLWVLLEWLSLICIIAALIANLCIPSWKDKNLWDLRLWKWEVMILVLICGRLVSDWVIRIVVFCIERNFVLRKKVLYFVYGVKKAVQNCLWSGLVLIAWHSLFDKKRGSQTKTDFLNHVTKVLLCFFLATVVWLLKTLLVKVLASSFHMSTYFDRIQESLFNQFIIETLSGPPTVKDDKLVSEVQKLQNAGVAIPPGLRASAFPKIKSGVLKSAKSSQCCSTNKVTTDQDNEITIDHLHKLNPKNVSAWNMKRLINMVRNGALSTLDEHIPDDSTQGDDESIKHIKSENEAKAAAKKIFHNVARPSSRYIYLEDLMHFMQEDEAMKTMNLFEGASETSQISKSALKNWVVNAFRERRALALTLNDTKTAVINLHRILNVVVAITVVIIWLLIFQIATSQVIMFVMSQTLLSAFVFGSTCRAVFESVIFLFIMHPFDVGDRCEIDNTQLVVEEMNILTTIFLRDDNAKVMIPNSVLATKPIYNFYRSPDMGDAIDFFIHVSTPAESIALLKQRILSYINNKKDHWHPSPMIVIKEHESLNMIRIAIWLCHTMNFQDMGERYERRSLLIEETIKIFKELDIHYRLLPLDINIRSMPVTSARLPPSWATITSSV >OIV96518 pep chromosome:LupAngTanjil_v1.0:LG15:19170870:19186208:1 gene:TanjilG_07910 transcript:OIV96518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENQTNHETSEQPNNEAELQEHDEEDDSKKEEEELVAKVNKLMDKITASPDNPKPTVLHALASILENQESRYMEENDHSSSSNGRAAHSIGRLGSIIRENDEFFELISSKFLLESRYSISVQVASARLLLCCSLTWIYPHVFEEPVIENIKDWVMDESAILSAEDQSMKHHPRKREASDSEMLKTYSTGLLAVCLIGGGQVVEDVLTSGLSAKLMRYLRLRVLGDTSSTQKDIGHSTESRHATGNSSLRGRDDGRSRFRHLIEASRLDDTRMIDERSLEEQIHERVPDRNIGEQNCPDNSWVGGEPPDGLGEDADICEVDADGEDRWHSLDARDGRVKYGEHDDNVNDSSRRRAKWARSRGKARVNESTIESEPVLSSPGSGSRLGQGRSVRDRSISRNSDARKVPDSKKTHVRATTETSLLEREDNDDCFQECQIGSKDISDLVRKAVRAAEAEARSAYAPEEAVKAAGDAAADLVKTAASEEFKSTNDEEAAVLAASRAVSTVIDAASAVELSRSSIGINSETENASCRETDSGEDLEDYFIPDTQSLSKLREKYCIQCLELLGEYVEVLGPVLLEKGVDVCLALLQQNSKHQEASKVALLLPDVMKMICALAAHRKFAALFVDRGGIQKLLAVPRMTQTFFGLSSTLFTIGSLQGIMERVCALPSDVVYHVVELALQLIDCDQDQARKNAALFFAAAFVFRAVLDAFDSQDGLQKLLGLLNDAALVRSGVNSGALGLSNTGSLRNDRSPAEVLTSSEKQIAYHTCVALRQYFRAHLLLLVDSIRPNKNNRSAARNIPSVRAVYKPLDISNEAIDAVFLQLQKDRKLGPAFVRTRWPPVEKFMASNGHITMLELCQAPSVERYLHDLLQFALGVLHIVTLVPSSRKMIVNTTLSNNRVAIAVILDAANIVGSHVDPEIIQPALNVLVNLVCPPPSISNKPTVVTQGQQFPSAQITNGPPSETRDRTAQSSQIDPRERNGETSAVDRGCAAIHSTQNVNNNPQTPGSTAASGLVGDRRISLGAGAGSAGLAAQLEQGYRQSREAVRSNNGIKVLLHLLQPRIYSPPAALDCLRALACRVLLGLARDDTIAQILTKLQVGKKLSELIRDSGSQTPGTEQGRWQAELSQAAIELIGIVTNSGRASTLAATDAATPTLRRIERAAIAAATPITYHARELLLLVHEHLQASGLSHTASMLLKEAQLTPLPSLIAPSSLAQQPNTQEASSIQIQWPSGRTAGFLTNKLKINARDEEPSLKIGTVSAKKKSLSFSASFGSHSRHQLVDSLQSPGRKCFGTVKESSETSIVGTPSESSVKHYIDAGSTKTPMFPMKRKLSDVKDIAMLSSSGKRLNVGDQGLRSPICSSAIRKSSLQTDAAGFFTPISNIRSQQNRCIADSVDDNQYNISNPGQMIPSCQVFNELQVNNTERITLDSLVVQYLKHQHRQCPAPITTLPPLSLLHPHVCPEPKRSLDAPSNVTARLGTREYKFMYGGVHGNRRDRQFVYSRFRPWRTCRDDAGDLFTCITFVGDSSHIAVGSHNGDLKFFDSNNSNVVESYTGHQNPLTLVQSFVSGDNQLLLSSSSQDVKLWDATSILNGPTHSFEGCKVARFSNSGNVFASLPSESARREILFYDVQTCQLESKLTDPLEASTGRSHVYSLIHFSPSDSMVLWNGVLWDRRVSGPVHRFEQLTDYGGGGFHPAGNEVIINSEVWDLRKFRLQRSVPSLDQTSITFNACGDVMYAILRRNLEDVMSTVHNARRCKHPLYSAFRTLDAINYSDIATTPVDRCVLDFASEPTDSFVGLVTMDDQDEIGSHFVTMSLPFLQRRGSGGEIRNLASVSSSLLPAFGTIFDEGYLNLKKYVIAPYDRRYRLWQTFLVALVVYSAWASPFELAFQRSVRSLSPVDLVVDAFFAADIILTFFVAYLDASTYLLVDDHKKIAIRYVKKLHFAMDLASTIPIQQIYQVLSDKSHKTEVFGFLNMLRLWRLRRVSELFTRLEKDIRISYSATRFCKLICVTLFAIHFAGCMYFWLAVHHKTPENTWIGMQVQDFKHESNWHSYTYSMYWSIVTLTTVGYGDLHAVNTTEKVFNILYMLFNIGLTAYIIGNMTNLVVHSTVRTFAMRDAFNKILQYASKNRLPEGLKEQMLAHLQLKFKTAELQQEEVLQDLPKAIRSSIAQHLFHDVVEKAYLFKGVSDDFITQLVSEMKAEYYPPKVDIILQNEMPTDFYILVSGSVDVLIYKNGTEQFLFKLEPGGLAGEMGVMFNIPQPFTIRCKRLSQVIRVNHQHFKQMVQPFSDDGKAIISNFIKYMKDLNGMLPEEIPYVTDLLNELNHEHLAQSEGTQDEDDRYKEGITGNFNPLSSLAPIRVKIHGYHPNGNKMGNKTAQKLILLPDSIEDLFKVAEKKFGERGSKILMSDGSEVEELGVLRENDELYIF >OIV97099 pep chromosome:LupAngTanjil_v1.0:LG15:9126384:9126701:1 gene:TanjilG_10045 transcript:OIV97099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVLFNGTKILLNYPKTHLERAVFHSNRAACLTQMKPIDYKTIIAEYTMAIQVQPRFLQALLRKTRAFEAVGKYEFAVQDVQVLLAEDPNNRDAFKIAQRLRTVY >OIV97486 pep chromosome:LupAngTanjil_v1.0:LG15:223944:225364:1 gene:TanjilG_11010 transcript:OIV97486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANRWLRPEVYPLFASVGLAVGICGMQLIRNISTNPEVRVTKEHRTAGILDNHAEGEKYSQHFVRKFVRGKTPQIMPSLNKFFSDPNLD >OIV97265 pep chromosome:LupAngTanjil_v1.0:LG15:2884217:2887744:1 gene:TanjilG_10799 transcript:OIV97265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQTEKAFLKQPKVFLSSHKSGKGKRPGKGGNRFWKSIGLGFKTPRDAIEGTYIDKKCPFTGNVSIRGRIIAGTCHSAKMTRTIIVRRNYLHYIKKYQRYEKRHSNIPAHVSPCFRVKEGDHVIIGQCRPISKTVRFNVLKVIPAGSSTGTYIDKKCPFTGNVSIRGRIIAGTCHSAKMTRTIIVRRNYLHYIKKYQRYEKRHSNIPAHVSPCFRVKEGDHVIIGQCRPISKTVRFNVLKVIPAGSSTGAKKAFAGL >OIV96605 pep chromosome:LupAngTanjil_v1.0:LG15:18317587:18320000:1 gene:TanjilG_28462 transcript:OIV96605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLQDKQKLVHEIAQQSKDAPNMLHSFTRRELLEIICAELGAERKYTGYTKSQMIENLLRIIYKKSKLHSIQKTVAHAKSRIGSKKKKVASNDLQYAPQGNSKEETVKTLLCQNVACRATLTSKDSFCKRCSCCICLCYDDNKDPSLWLTCSSDLAGEESCGRSCHLQCALSNESSGILKDIWGTKLDGSFVCASCGKTNELMSTCRRQLLAAKEARRVDMLSLRVSLAHRILIGTEVYIEVQKIVETALKLLNNEVGPLDQFSNLLSDGTINKEAPACSISFEECLPTSVVIVLDYEDQLLKKFLGCRLWHRISTMDYPEQPTFIVLRPEKRFKLENLHPSTEYFCKASLFSSTGILSAAEAKWVTPSKPTGYSKVNTPSPHKPSFTTMHMCAHHMDKIDAEKQYHVESANSDMKLSSTRHLFLDNSKGRFENFLTRSPSVESLSCKNFAAVSPATPSKFNEIRQSNGFTSRKCGEENNYEYSVRVVKWLEHEGHIDEIFRVKFLTWFSLKASQQQRRVVSAFVDALNDDPASLADQLIHTFTDEIFCEQKSQ >OIV97165 pep chromosome:LupAngTanjil_v1.0:LG15:5725999:5726973:-1 gene:TanjilG_28916 transcript:OIV97165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSANGLATAQGRPPLFSFGLISDVQYADIPDGRSFLGVPRYYRHSILVLQSAVKEWNTHQKHKFVVNFGDIVDGFCPKDQSLNTIKKVVDEFEMFKGPIYHMIGNHCLYNLPRSELLPLLNIRTLDDRAYYDFSPVPEYRFVVLDGYDISAIGWPNDHPKTQEALNFLREKNPNEDKNSPNGLVGIERRFLMFNGAVGKEQMGWLDGVLSEASKLEQKVVVCCHLPLHPGSATPVALLWNFDEVMDLIHRYNCVKVCLAGHNHKGGYSIDSHGVHHRVLEAALECPPGTDAFGYVDVYDDRISLIGTDKMKSTDMHFNPKVTL >OIV96899 pep chromosome:LupAngTanjil_v1.0:LG15:13149317:13152797:-1 gene:TanjilG_00481 transcript:OIV96899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKGKKHQGAMMVIPKGLPHQLQNPIHEIQTRFKQLESSFKLWLSQQSLPVEAAVVTATSAAQGAAIGGFMGTLTSDVSSAFPTPPPNAALNPQAMASLKQAQALSGGPFVQARNFAVMTGVNAGITCVLKRIRGKEDVQSRVAQPIKAPTYWGMERVDVHNFILASEILTSPYSSYEKRKWEALTYMAAAFGSGAMFSLVSGFGGPNQAANAVTSGLFFALVQGGLFQIGQKFSQPPAEDSRYIKARNLLHNLGLEGYEKNFKKGLLTDNTLPLLTDSALRDVRIPPGPRLLILDHIERDPELKKGKRGARN >OIV96959 pep chromosome:LupAngTanjil_v1.0:LG15:14402633:14405830:-1 gene:TanjilG_00541 transcript:OIV96959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEMEVGKGAKRVVAFFVLLVLLIVNGNVDGGSILYYYTQWTFISITIYFGLGSLLSIYGCYQHHKKATGDKVENVDGDAELGTYGSNANNQEKSLGATEDDLVHQYAGTWGYIFQIMFQMNAGAVMLTDCVFWFIMVPFLTIKDYNLNYLVVLMHTINAAFLIGDTVLNSLRFPWFRIGYFYLWTATYVTFQWIVHACINIWWPYPFLDLSSRFAPLWYLIVGCLHIPCYGIFTLVMKLKHYVLSTWYPDSYQCVR >OIV97188 pep chromosome:LupAngTanjil_v1.0:LG15:6427290:6427601:-1 gene:TanjilG_28939 transcript:OIV97188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >OIV96471 pep chromosome:LupAngTanjil_v1.0:LG15:19496997:19498348:-1 gene:TanjilG_07863 transcript:OIV96471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSSSKTKQSGPVLRSLSPSHTRFCSYTTSKAPFSSPSSAFASSTNSSFSSPSSTFFNKSHHHHSHHRSASPTRLNFYSSASLSSGVRFSIDSRSISPNRPIPNQIITKNSRPVQKKTTCMCSPTTHPGSFRCSLHKNSNNGQADPYPSNRLSLNMRRSAMKNSLVRIGGVEGEWVKRALTALIRPSSHQQRRRSALLYL >OIV96858 pep chromosome:LupAngTanjil_v1.0:LG15:15084488:15087557:-1 gene:TanjilG_08719 transcript:OIV96858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTITNEEHIEIENSNREDIEESPTEPEDMSRTGPWLRQITIRGLVASFLIGVIYSVIVMKLNLTTGLVPNLNVSAALLGFVFIKTWTKLLSKANILSTPFTKQENTIIQTCAVACYSIAVGGGFGSYLLGLNRKTYELAGIDIEGNNPGSTKEPGIGWMTAFLFVTSFVGLLALVPLRKIMIIDYKLTYPSGTATAVLINGFHTPKGDATAKKQVNGFMKFFSASFLWAFFQWFYTGGDSCGFVQFPTFGLKAWRNTFYFDFSMTYVGAGMICSHLVNLSVLLGAVVSWGIMWPLIKAQKGEWFPASISESSMRSLNGYKVFISIALILGDGLYNFLKILYFTAKNIHASMKKKDLNALTDNQKPQPLDDRRRNEVFVRENIPIWLVCTGCIFFSVISIIVIPLMFPQLKWYYVVAAYLLSPSLAFCNAYGAGLTDMNMAYNYGKVALFVIAALVGKNDGVVAGLVGCGLIKSIVSISSDLMHDFKTGHLTFTSPRSMLVSQAIGTAIGCVVAPLTFFLFYKAFDIGNPDGIYKAPYAIIYRNMAVLGVEGFSALPQHCLQLCYGFFAFAIAANLVRDLSPKNIGRFVPLPMAMAVPFLVGGYFAIDMCVGSLVVYAWHMLNSKEAGLMIPAVASGLICGDGLWILPSSVLALAGIRAPICMSFSPTK >OIV96658 pep chromosome:LupAngTanjil_v1.0:LG15:17045707:17048985:1 gene:TanjilG_09200 transcript:OIV96658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFVDSRKLQLQQQQQHFHVLAVDDSVIDRKLLERLLRGSSCKVTCVDSGDKALKYLGLIDDLANTIESSSSPPPQPLQEEESSWKDVPVVVMSSENIPSRISMCLEEGAEEFLLKPLKLSDLKKLQPYFLKSFDNSYEQESVNSSIASENDHIVNNNNNMNNNNINSISKRKAMSPEPPERSRPKMKGLEVA >OIV96847 pep chromosome:LupAngTanjil_v1.0:LG15:15305655:15310037:1 gene:TanjilG_08708 transcript:OIV96847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEWLPLLQSIFIGLIISYLIAKLISFVISFNHENVTVSSTTNTTEIKPNQLDDVKQNDVVSEISQPFEAESVIAEQGSVRNDTDVDDDDGDRDDDDDWEGVESTELDEAFSAATAFIAASAADRLSQKVSNEVQLQLYGLYKIATEGPCSTPQPSPLKMTARAKWQAWQKLGAMPPEDAMQKYIDIVTELYPSWLDGSSLKSKSGDGGGSSSDAKGPMGPVFSTFVYEEDYGSDLKMDAIHGFAREGDKANLLNCIENGVSVNLKDSEGRTPLHWAVDRGHFDVTELLVGRDAEINAKDNDGQTPLHYAVMCEREAIAEYLVKHNADTRSKDNDGSSPRGSCESNWPCLQQHVGEVN >OIV97147 pep chromosome:LupAngTanjil_v1.0:LG15:7790962:7795783:1 gene:TanjilG_00176 transcript:OIV97147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSPGRWIKTVLLGKKSSKSKFSNGKEKFVNKKEAVIASNMSQNGEGLDLENKEAENLLHENEEIEITESVDQDASPDPEKIKQDKAARMTQAAFKGYLARRAFRALKGIIRLQAVIRGHLVRRQAVATLCCMYGIVKLQALVRGGRVRQSDVGFEIHEKYNLFKPLDGKLGEPLGISTKIAKLSKNTFVCKLFASSTTIMVLHLQYIGGDPNSVLSWLERWSASHFWKPVPQPKKIGDSKSQRKQGNISNREAQISKSKRTHRKLPSTTFDSVPAQANPEIEKTKCNSRKISIQLSNPVQDNPQSELEKVKRNLRKIHNLVVENTVLSEVDCETLARHSERPRVTSGRSVSVLGVITSNENIKEEALTISDVPSVEVTPRPSVNKEVSDIPSSHQVTVESKPWTETTVIDNRTYHDEAKNELRGLPETICKDENSPLTPETVRKDENSPLANGDLGHKEDLTGDGNLKPSQKTSILTKQEPAENGLKNSPTLPSYMAATESAKAKLRAQGCQGLDKMKVTKTTLLGEILCHLQLTAKLIRIHLGYVNQFKQVAKGTTEVKKLVHLLKAEMV >OIV97122 pep chromosome:LupAngTanjil_v1.0:LG15:8047623:8048234:1 gene:TanjilG_04926 transcript:OIV97122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSIYLSRSFPRSNSSLFLCSGKALQSEVLRLGEEMFLVDAGPGTAIICMQDEPTGVPINRATRFEKKVGSLDLVAGESLIKKKILERLFIDLVAGESLIKERAAARFNDLVGSTDVVAGEPLLLLPRRFRQNRAWMELNKIWRTNTKVKGFIIKKVKGGYSVAIAGFITFIPFRCYKKRKRISNDRFTIESINPKRMNIVVF >OIV96557 pep chromosome:LupAngTanjil_v1.0:LG15:18848203:18851140:1 gene:TanjilG_24210 transcript:OIV96557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLLTNGVSALHSQPNGVSALYSQPTSQPNKFPKQFFHTNLRIPKFLTSNHLSNQTSLVKVHANVGYDQGNGSIIATTTSSDDKIREILRNRDYNKKFGFTFDIDSFSIPKGLSEETIRLISTLKEEPDWMLQFRLNAYEKFLRMKEPNWSDNRYPPINFQDMCYYSAPKKKPSLNSLEEADPELLRYFDKLGVPLNEQNRLANVAVDAVLDSVSIATTHRKTLEKAGVIFCSISEAIREYPGLVKKYLGRVVPSEDNYYASLNSAVFSDGSFCYIPKDTKCPMQISTYFRINALETGQFERTLIVADDRSSVEYLEGCTAPSYDRNQLHAAVVELYCGEGAEIKYSTVQNWYAGDEEGKGGIYNFVTKRGLCAGAHSKISWTQVETGSAITWKYPSVVLEGDDTVGEFYSVALTNNYQQADTGTKMIHKGKNTKSRIISKGISVGHSRNCYRGLVQVQSKAENARNSSQCDSMLIGDNAAANTYPYIQVKNPTARIEHEASTSKIGEDQLFYFQQRGIDYEKAMAAMISGFCRDVFNELPDEFGSEVNQLMSLKLEGSVG >OIV97348 pep chromosome:LupAngTanjil_v1.0:LG15:1991761:1997383:-1 gene:TanjilG_07100 transcript:OIV97348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAISSTSFLSFPNSLPGDFGFDPLGLGSDAELLKWFAQAELMHSRWAMLGVSGILIPEWLQKIGYVENFSWYDAGSREYFADPTTLFIVQLALMGWVEGRRWADIVNPGSVDIEPKLPHKTNPKPDVGYPGGLWFDPMMWGRGSPEPVMVLRTKEIKNGRLAMLAFLGFCFQATYTGQGPLENLMSHLADPGHNNIFSRSITTRASNISSSVPMAAVRFFPILSILILILILAPFAFAASETESLLRLKQSFTNADTSLSSWIPNVSPCGNWIGVVCFKNIITGLHLSDLGLSGKIDIDALMQIPGLRTISFVNNSFSGPVPEFNKLGAIKAIYLTDNQFSGPIPLEFFAQLGSLKKVWFSNNKFSGNIPDSLNELDLLKELHLENNEFSGKIPSLKQQFTSFSVTNNKLEGMIPENLVSYGANSFTGNEKLCGKPLDRACEYYTLPAEEEGRVYLSGFGIKVILILVFAAIAALVFLSMRNKKQSKHDFSIISRSNSSIDGDQVVQVHVPSSKNSNSSENKSKKGSSHGEHKSETKRASTTRGGSMGDLVMVNDEKGVFGLGDLMKAAAEVLGNGGLGSAYKAAMANGLSVVVKRMREMNKIGRDVFDAEMRQFGRIKHTNILTPLAYHYRREEKLFVTEYMPKGSLLYVLHGDRGTCHADLNWPTRLKIVKGIARGCGFLYNEFSNYELPHGNLKSSNIMLSDDYEPLLSEYAFYPLINPGAVQSMFAYKTTDYTEYQKVSQKTDVYCLGIIILEIITGKFPSQYHSNGKGGIDVVQWVFMAISERREEELIDPELKNSTSSLNNMLQLLQIGAACIESNPEQRITMKEAIRRIEEMQV >OIV97308 pep chromosome:LupAngTanjil_v1.0:LG15:2418646:2419317:-1 gene:TanjilG_07060 transcript:OIV97308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENNPPPPPPPPSSPSPPPQPQALPMLWYGEEDQQHRNHVYLLTTPPITITLITPNITFRIIITDTTTTFTITRNNYDTGSQTDRTRRLHLVRSRQGHGIFLPDPYGLYNHLGLPIIIIITTTTLTVHLVLNPDEPIATMFGIHDSPSPFCRPLPGVRNRYEDSLRDTEFPFFITFNLQHRDSFISICIRISSNSITISAYTHNQSTEWVAIVSTIPPSITAL >OIV97118 pep chromosome:LupAngTanjil_v1.0:LG15:8014247:8028219:-1 gene:TanjilG_04922 transcript:OIV97118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTCKQGKPNGNKKREVTTLTLGRRRSKKRRLTQLKAIGRRKRKNLNFMTNPVRWLFSTNHKDIGTLYFIFGAIAGVMGTCFSVLIRMELARPGDQILGGNHQLYNVLITAHAFLMIFFMVMPAMIGGSGNWSVPILIGAPDMAFPRLNNISFWLLPPSLLLLLSSALVEVGSGTGWTVYPPLSGITSHSGGAVDSAISSLHLSGVSSILGSINFITTISNMRGPGMTMHRSPLFVWSVPVTAFPLLLSLPVLAGAITMLLTDRNFNTTFSDPAGGGDPILYQHLFRFFGHPEVYIPILPGSGIISHIVSTFSGKPVFGYLGMVYAMISIGVLGFLVWAHHMFTVGLDVDTRAYFTAATMIIAVPTGIKIFSWIATMWGGSIQYKTPMLFAVGFIFLFTIGGLTGIVPANSGLDIALHDTYYVVAHFHYVLSMGAVFALFAGFHYWVGKIFGRTYPETLGQIHFWITFFGVNLTLFPMHFLGLSGMPRRIPDYPDAYAGWNALSSFGSYISVVGIRRFFVVVTITSSSGNNITRANIPWAVEENSTTLEWLVQSPPAFHTFGELPAIKETKRYVKKPTEVRMGRGKGNPTVWIARVSTGQVLFEMDGLSLSNAR >OIV96908 pep chromosome:LupAngTanjil_v1.0:LG15:13257512:13259982:-1 gene:TanjilG_00490 transcript:OIV96908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPGPVVEPAAVAGAEQELFSPAEETTLKKKPLPQEDDAPVVEDVKDEAEDDEDDEDDDDEDDGAQGDIEGSKQSRSEKKSRKAMLKLGLKPVTGVSRVTIKRTKNVLFFISKPDVFKSPNSETYVIFGEAKIEDLSSQLQNQAAQQFRMPDLQSLTAKQDQGAAAAGAPADEEEEEVDETGVAPHDIDLVMTQAGVSRSKAVKALKTHDGDIVGAIMELTT >OIV97367 pep chromosome:LupAngTanjil_v1.0:LG15:1824171:1826983:1 gene:TanjilG_07119 transcript:OIV97367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFIFGKRKTPAELLRENKRMLDKSIREIERERQGLQTQEKKLILEIKKSAKQGQMGAVRVMAKDLVRTRHQIEKFYKLKSQLQGVSLRIQTLKSTQAMGEAMKGVTKAMGQMNRQMNLPSLQKIMQEFERQNEKMELTSEVMADAIDDALEGDEEEEETDELVNQVLDEIGVDINQELVNAPSSAVAAPAAKSKVPQVETTENDDGGIDSDLQARLDNLRKM >OIV97245 pep chromosome:LupAngTanjil_v1.0:LG15:3269614:3272969:-1 gene:TanjilG_10779 transcript:OIV97245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCALNEKFGVWPCAQNERKRGPNSWLGVGVIPLVDNDGLVSSNGYFAIGFFNTSGVIPLGSKLSVIDNDCWVSSNVDFAIEFFNTSDEPNQYSVGIRFNSKSLPYSQQKLVWVTGNHQPVGNKSYFQLTLEGELVLFDPLQGVATWNSGTGNIFVVSAALHNNGNLVLKDRKQNIIWQSFDHPSDTLLPGQTFYAYAELLPTPKIPMSSPYRLYMKSSGHLQLQWFGNITYWRSEIPSASSNLTASLTTSGALQILDQSSKPIWSVFGEDHNDSVNYRFLKLDVDGNLRFYSWIEASQSWRTVWQTVENQCKVFAICGRGGICVLTASGSADCLCPFEKNENNKCLIQECKSGFTMHQYNNMYVYGIYPPDDSVITSSLQQCQHLCLNDTRCMVATFSNNGSLQCSIKKTKYVTGYKDPSIRSVSFVRKCMDTYVVNLGHMKSSPPKLPPWLCVPCLIGAASGTFFIAAILQLGIVFYIYKRKKSSRRKDIIALICLNSEGLIVLSFSEIKKERMFRRAAVKIGNIHHKNLVKLEGYCCEFNHRFLLYEYAKNGSLDKYIDDSTLCKQLTWRKRIEICVIVAKAICYLHSGCWEFVSHGNLKCESVIMDENFEAKVTEFGFAIVNCEAIYCGASAEKDAGDFGMLVLTLLTGCRDQGELCAWTYKEWIEGRASNVVDKRIDGNGVDSEELERTLRIAFWCLQMDELKRPSMSEVVSMLGYNLSVDPPPPPFA >OIV96521 pep chromosome:LupAngTanjil_v1.0:LG15:19143195:19150441:1 gene:TanjilG_07913 transcript:OIV96521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRPTTRSKNKRQRQGDDGAGTSEIWRKINETGVVTEDDMNQLYMIWKPICSGCRVNTKDNPNCFCALIPPQNGARKSGLWQKITDFVESLGPDPSTELRASASYPAGLTNLGATCYANSILQCLYMNRSFREGIFSVEPDVLQQQPVLDQLARLFAQLHVSKRAFIDSLPFIKTLELDNGVQQDSHEFLTLLLSLLERYLSHSKVSKARTIVQDLFRGSVSHVTTCSQCGKDSEASSKMEDFYELELNIKGLKSLDDSLNDYLSVEKLHGDNQYFCESCKTRVDATRSIKLRTLPVVLNFQLKRCVFLPKTTTKKKITSSFSFPAELDMQHRLSELSQFDLVYDLSAVLIHKGTGVNSGHYIAHIKDENTGQWWEFDDEQVTDLGRHPFGEGSSSSTTKSVMTDAAYSEAKFSDSNGNGLDATQLSSSLVETFSSSDAYMLMYHLKHTKGVGENGVDKVSGANHKEKKDVAVAAKYSSLPSHLDEEIQNFNASYVDSCEKYNRKKEIELSRINDRKQEVRSVLAEAAVSSLERPFYWISSDWLRQWADNVTPTSLDNTSIQCSHGKVPVSKVTSMKRLSAKAWDKLFPKYGEGPALSHDDHCLDCLIHGAHTVVSADTYRGRRESMKLLARDILDGNCIDDGKYYISRLWLQQWLKRKVLDAPTEADAGPTTVISCLHGQLKPEQAAGAKRVLIPESFWLFLYEDAVSVKPDDPFGGLTFPSDSQECSQCSSELSEVASLEDSLRLVKQKQRQNHEKLLLTKSMPLSVHCKYFLVPSSWVSKWRNYVSPTLKSSDKPETLDGVIDSVICEKHSQLVERPPELVFRRGAVVQRESSAGGLTIISENDWKCFCEEWGGVKTKGISATLEIINGSENVLTGSNEEMQICDNQLGTGDKVNNESGTGQILIKTFPEVCRSCIGERESCELMQKLNYCNENISVILVRGKEVPRSILEASKGSAEADRRVSKRSKKTKNGSSISLKVSASTTLYQLKMMIWESFGVIRENQILQKGDKTIEIDDEYTTLADVNIFAGDQIIVRDSEIHENRDIADELYDEKMDVQHTEEGFRGTLLTANVSSQVV >OIV97250 pep chromosome:LupAngTanjil_v1.0:LG15:3150205:3154391:-1 gene:TanjilG_10784 transcript:OIV97250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSKNNRVEKRGYDLVEKGDDDEDAQHHVTQSKKPKLPGLASVIVEALKVDSLQRLCSSLEPLLRKIVSEEVERALAKLGPAQLAERSPPRIEGPGAKNLQLHFRTRMPPHLFTGGKVEGEQGAAIHVVLQDPNTGNVVQVGPQSVAKLNVVVLEGDFNEEADDDWTKEHFESHEVKEREGKRPLLTGDLQVSLKEGVGTVSDLTFTDNSSWIRSRKFRLGVKVAPGSCEGIRVREGKTEAFAVKDHRGELYKKHYPPALHDEVWRLDRIAKDGALHKKLIQAKISTVEDFLRLLVRDPQKLRSILGSGMSNRMWENTVEHAKTCVLGGKLFVYYTDESGSTGIVFNNIYELRGLIADGQFFSLDSLTLDQKMSVDSLVKKAYDNWNQVIEYDGKVFNSKKGSRSLVTPMLLHNNVQEQHYTYPKNRLPYVPSEPNQHLQITNNYSSGPELSDYPFGRSDNQMVGTSSNNSQIALSGSMNYIPDEHQEVGGTYFQGEWSKQRSGQGLEDIVAEELRLRSSEMLGSDDMQRLLKTINYGHSNEACYTYSLQYEPQMYDSFSEDHGKSSGKAVVGWLKLKAALRWGIFIRKKAAERRAQLTELN >OIV96765 pep chromosome:LupAngTanjil_v1.0:LG15:16509091:16516794:-1 gene:TanjilG_19924 transcript:OIV96765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLGRNFRGEGKKSSNYCSTVSIAVFVAFCLVGIWIAMSSINPIQNSVIQVSDPINEGKHIVSENGFKQFEDNLSDLPEETTREDSQTRKSHIGSPLENQDDQKGSENVFDNTAEENQQEIFRDSAGEKHDLGKGSGNTTEESYQMKQAKLGTEEKESDRNLNSEFVETENLGGQINDDRLTSDERKSDKSINDNKSGTEKSTDEVTQQDEMDGWTEEERIKKNLPSKTKQSTGENNMESDQNSHVSKEISSTGNQPETSTEANNESGNLSSKAVEEHNEKEPQMSSIFIDSRNYDWKLCKTSAESEYIPCLDNVQAIRKLRSIRHYEHRERHCPDEASTCLVPLPEGYRSPIKWPKSRDMIWYNNAPHTKLVEAKGHQNWVKVTGEYLTFPGGGTQFKHGALHYIEFIQKSLPSIAWGKRSRVILDVGCGVASFGGYLFEKDVLTMSFAPKDVHEAQVQFALERGIPATLGVMGTKRLPFPGSVFDLLHCARCRVPWHEEGGKLLLELNRVLRPGGYFVWSATPVYQKDPEDVGIWKDMSKITKSMCWDLVVIGKDKLNGIAAAIYRKPTDNECYYRRLKNEPPMCNESDDPNKAWNISLQDCMHKVPEGASERGSVWPEQWPLRLEKAPYWLDSQSGFYGRAAPVEFTADYKHWKNVIYRSYLNGIGVNWSTVRNVMEMRAVYGGIAWGKRSRVILDVGCGVASFGGYLFEKDVLTMSFAPKDVHEAQVQFALERGIPATLGVMGTKRLPFPGSVFDLLHCARCRVPWHEEGGKLLLELNRVLRPGGYFVWSATPVYQKDPEDVGIWKDMSKITKSMCWDLVVIGKDKLNGIAAAIYRKPTDNECYYRRLKNEPPMCNESDDPNKAWNISLQDCMHKVPEGASERGSVWPEQWPLRLEKAPYWLDSQSGFYGRAAPVEFTADYKHWKNVIYRSYLNGIGVNWSTVRNVMEMRAVYGGFAAALRNFKVWVMNVVPIDSQDTLALIYERGLFGIYHDWCESFSTYPRSYDLLHADALFSNLKGRCNIVAVVAEVDRILRPEGYLIVRDNVETISEIENIAKSLNWDVRFSYSNAGEGLLCVQKTFWRPTKVEPILSAIV >OIV96717 pep chromosome:LupAngTanjil_v1.0:LG15:17710683:17714716:-1 gene:TanjilG_09259 transcript:OIV96717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAEAMGKGIAVTTNSYLFSMYTHTPTLSSVNYFTTTRLFKPTSLSFTPLRASSSTPIIDINSNLTDSIVVENEKEISHSNKHLLACPVCYDSFTFNTDPTFSVVPLVSFLYERGWRQSFSVWGGFPGPEKEFELMKDFLKPVLSGNIIDASCGSGLFSRLFAKSGLFSLVVALDYSENMLQQCYEFIQQEENFPKENFILVRADISRLPFISNSVDAVHAGAALHCWPSPLAAVAEISRVLRPGGVFVATTYIVDGPFTFVPFLSTVRQNIRQASGSYVFLSERELEDLCRVCGLVGFKCIRNGRFVMISATKPI >OIV96623 pep chromosome:LupAngTanjil_v1.0:LG15:18495378:18497469:-1 gene:TanjilG_28480 transcript:OIV96623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFRRTLSPAYHDRHHHNGVVSVSSPSHKFSPNSNNYSKLTSPLHALLARVAGAFAKRYGRKGQWRKTLFRCLLFFFVGFLLGMFPFGHVAVNDDIEINTPRATTTSNKAQLPRDDETGFTIDPVRLTAENHISEAVTNFRFDFAPRKQLIIVTPTYNRAFQAYFMNRLGQVLRLIPPPVLWIVVEMNPASMETAELLRKSGVMYRHLVCTKNSTDVKDRGVHQRNTALEHIEHHRLNGIVYFADDDNIYSLQLFETLRHISGFGTWTVAMLAPSKNKAILEGPVCNGSQVIGWHTNEKSKRLRRFHVDMSGFAFNSTILWDPKTWRRPTSNPIRQLDTVKEGFQETTFIEQLVEDESHMEGSPPGCSNIINWHLHLDSHNVVYPKGWLLQKNLDAVFPAK >OIV96382 pep chromosome:LupAngTanjil_v1.0:LG15:20118390:20119576:1 gene:TanjilG_09809 transcript:OIV96382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLLDNNNNCEDILLSLDSHKSVPAPFLTKTYNLVDDPNTDHVVSWGENDTTFVVWRPSDFSRDLLPNYFKHNNFSSFVRQLNTYGFRKIVPDRWEFANELFKKGEKHLLCEIHRRKTTMPQQVSMNHHHDSSLGIDVPSFFPFTSRVSISPPYDSDEQPNWCDSPPPTSVMNGGAANYNTSVTALSEDNERLRRSNNMLMSELANMKKLYNDIIYFVQNHVKPVAPSNSYSPSSFIFCNAPQQQPSSNVSMVQRPMNQILGYYSTTTNPKQSFQPQHQARVLNSPPNTSMSSVTVLEGHSSNTCMTKLFGVSLQSKKRVHPEYGSNNFTNSETNKARLVLEKDDLGLNLMPPNFSYK >OIV96505 pep chromosome:LupAngTanjil_v1.0:LG15:19273020:19279804:-1 gene:TanjilG_07897 transcript:OIV96505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGESEIMIPCFDFRRVDGFGIEEGGEAWREMGDKVREACENHGCFLIISDEISSVLRDQMFMGMKTLFNLPQEIKQKHTSSRPYRSYNGKSPITPFFESFGIDDAPLPNIAQEFTNLMWPHGNLLYCHADAENMKSTSYLRLIKYHVPEERNYESEITLVAHTDKNALTILCENEVQALQVQTKTGKWIDIKIPQQGFLVIVGDVLKAWSNGRLHAATHRVVIGDEEKERYSLGLFASPKDEMKVKVPYELIDEKTHPLRYKPFNYGEYIHYYVSTLKHDALEVFANDCNTESIEEALRSVGCPNPLRSSHVRDLDTEAIFPVIQWLVQRVSSTQEYRHNKVSHSDYTFGEGEYKPQQFKEVEKTESSIKTLRGNLDELNHRKMNVVKQLEHLRERINKEGADSGVQKLIYLMTSLKVIYNVNTHTDSEYFTLVLSIKKLPLVQKLERQENHFQSNCDSKHSELQAEISELKRKIANGWDSKSLSDGLHCSFSDLLERLDLTKKQLASKLRDIVALRRQIDDLPCQSEIIQISFIFANVQGKHQQTHKYYATYNALLEIKELMLKEASLLNSIISQFQEALSSTDGRAKLVHSMEGIVKGSQQKLEKVQLAFREEEKNLTDFKDKYAAAAGQHKRLYSLLKAFQASFFFIQCMAAFTKFLFVQYLCASSAL >OIV97236 pep chromosome:LupAngTanjil_v1.0:LG15:3492966:3499175:1 gene:TanjilG_13715 transcript:OIV97236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCRLALVDSLFQFKASPQKPIINKRNIAVQFSSPGICNTNGGSLVGKGLGHQSHLLPLRKHTAIKAVAVPVAPSPLESDEYRREIAEKYGFEKIGEPLPDNVTLKDVLNSLPKKVLEIDEMKSWRTVLISVTSYTLGLFLISNSPWYLLPFAWAWTGTAVTGLFVVAHDCAHKSFSKYKLVEDIVGNVALMPLIYPYEAWRFKHDQHHAKTNMLYEDTAWHPILKEDFDSSPLMRKAITYGYGPVRPLMSIAHWVMWHFDLKKFRSSEVKRVMISLACVFSFMAVAWPLIIYKTGIMGWINYWLMPWLGYHFWMSTFTMVHHSAPHIPFKDSDEWNAAQAQLNGTVHCNYPKWVEILCHDINVHIPHHISPKIPSYNLRAAHQSLQENWGKYMNEATWNWRLMKTILTICHVYDKEKNYVAFDELAPEDSGPITFLRKAMPNFA >OIV97527 pep chromosome:LupAngTanjil_v1.0:LG15:544041:545400:-1 gene:TanjilG_11051 transcript:OIV97527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSISMVDQSDSSPLVPPKPILHPSDIDLEAGPTEQIQCRICLETDGRDFIAPCMCKGTSKYVHRECLDHWRAVKII >OIV96767 pep chromosome:LupAngTanjil_v1.0:LG15:16528216:16529621:-1 gene:TanjilG_19926 transcript:OIV96767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCCISKCIPNKPSHEDQHKKFNHVHDNLIISQTSPTSSIIVPRHSSKNISPSPHSPTSSTSTITSFTCTTTSNTTISSSTSSLSSASSTFTSKDKSFSNDFLWSCYKDNPHIIRINSLREATTTLPLMPIKPKKHQPRNIKPSSPKHSFAPKKLSPPQNVVVGSSSMTHKRVRSNSPVNLTRQKSFRKHTNSTVCLTPDVKDFDRSKNIGESHIIVGQLSQKEPERSNNIPSRMLGSPSPSRMSNINGDKYCGSANNSASKSMINAPKVSAAHSSHRVSSSASKENFKAANPNNTSRRFHNGLKHREICTAKRVGSDETMVNDVLSDHNMDSIMEDIDNPLISLDCFIFL >OIV96278 pep chromosome:LupAngTanjil_v1.0:LG15:20863906:20865391:1 gene:TanjilG_05118 transcript:OIV96278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVSIDSSKKNLTLTKSQEAFTAAKELMPGGVNSPVRAFKSVGGQPIVIDSVKGSHMTDIDGNHYIDYVGSWGPAIIGHADDQVLAALSETMRKGTSFGAPCLLENSLAEAVISAVPSIEMVRFVNSGTEACMAVLRLARAFTGNEKIIKFEGCYHGHADPFLVKAGSGVATLGLPDSPGVPKGATYETLTAPYNDFSAVEKLFESNKGGIAAVIIEPVVGNSGFITPKPDFLSFLRKITKENNSLLIFDEVMTGFRLSYGGAQEYFGITPDLTTLGKIIGGGLPVGAYGGRKDIMQLVAPAGPMYQGGTLSGNPLAMTAGIHTLKRIKEPGTYEYLDKITGELVQGIVNAGKRAGHAICGGHISGMFGFFFTEGPVYNFADAKKSDADKFARFFWGMLAEGVYLAPSQFEAGFTSLAHTSDDIKKTIAAAEKVFREI >OIV96699 pep chromosome:LupAngTanjil_v1.0:LG15:17462283:17468028:-1 gene:TanjilG_09241 transcript:OIV96699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIYEPFKQVNMWGDSFKVEGGLNSIASPVLLVNTNMEAKSEYIPHEAREPCGNYQETTSTNKDVSKVLRRLAQNREAAKKSRLRKKAYVQQLETSRLKLMQLELEIGKTKKQGMYIGGALDASYMGSPRTLQLQSGIVAFEIEYGHWVTEQQRWNEELRNAFQNNASNVQLHILVQSVLNHYSNLFRMKVDAAKINVFYLISGVWKASVERIFLWIGGSRPSQLLNIIVPQLEPLTDKQMVSINNLRLSSQQAEDALSQGLDKLQQSMVHNIGVDALGAANFEFQIADAMEKIEALEGRSSEATNFASHVKDPNNSPSCSRIGGIRGILTSTEPWFRVNQRRTVAKASNWAEQKSPYETLELERDAGEDQIKSAYRRLAKFYHPDVYDGRGTLEEGETTEARFIKIQAAYELLIDEERRSQYDIDNRVNPMKASQAWMEWIMKKRKAFDQRGDMAVAAWAEQQQQKLNIRVRQLSRSKIDLDETRKILAREKKASAENFSNTLKRHTLILKKRDLIRRKAEDEKKKIISQLLAAEGLELDSDSDDAL >OIV96637 pep chromosome:LupAngTanjil_v1.0:LG15:18680342:18680797:-1 gene:TanjilG_28494 transcript:OIV96637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDQTRTGTYGSSYDTNVNVNHDTPSRQTIKFLTAATIGVSLMLLSGLVLTGTAIGLIIATPLLVLFSPILVPAAFVLFLAASGFLFSGGCGVAAVAALSWIYNYVAGKHPVGADKLDYARGVISDKARDVKDRAKDYASYGQGTTTGSTY >OIV97507 pep chromosome:LupAngTanjil_v1.0:LG15:363661:366501:1 gene:TanjilG_11031 transcript:OIV97507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSVFEDVPEEGKHEPSDLITESMNLTATNLSLPNDTFLRAAISLKDQVVEMTWNRREVIDPTVYSGLLGTAFTCLRSYQVTSNNKDLLLCAQIVHTCSNLARASLRHVTFLCGRGGVYALGAVVANYMGDRIKRDMFLGQFIEVAKERALPIGPEEGGFGMSYDLLYGRAGFLWGALYLNKYLGEDTIPNEILMPIIDAVFAGGRAGASDIKDCPLMYRWHGTRYLGAANGLAGILHVLLHFPLCSDYVEDVKGTLRYLMSKRFPHSGNYPSSEGNPRDKLVQWSHGATGMAMTLSKAAEVFPNERDIREAAIEAGEVVWKNGLLKKVGLGDGVSGNAYAFLSLFKLTKESIYEDRAKAFSCFLYDNATITTSVAEEGYAPFMDGGGGGDAYSLFQGHAGTACLWFDLLAPHNSRFPGYEL >OIV96495 pep chromosome:LupAngTanjil_v1.0:LG15:19345544:19346357:1 gene:TanjilG_07887 transcript:OIV96495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRPGDWNCRTCNHLNFQRRESCQRCMEPKGTADLYGGGGGSFGGGSFGGRGSSSFGGFTTGPDVRPGDWYCSLGNCGAHNFASRSSCFKCGASKEDSATGGFDADMPRFRGFSFGSGAGGLGGGSSTRPGWKSGDWICTRSGCNEHNFANRMECYRCNAPRDSTSSR >OIV96885 pep chromosome:LupAngTanjil_v1.0:LG15:12951378:12954625:-1 gene:TanjilG_00467 transcript:OIV96885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFVADSFVGISEGLAKYGFLALSTAIVSFSGLYLRSRFTINPDKVYRMAMMKLNTSAGILEVMGAPLSGTDLRAYVMSGGGFTLKNFKPCIRSRRCFLIFPIRGSERKGLVNVEVKKNKGQYDMKLLAVDVPMASGPDQRLFLIGDEEEYRVGGGLISELREPVVRAMAAAKEFDDLDEIEEEEDAEIEHQKAERKCQEAERKHHEEIEKIENSGI >OIV96833 pep chromosome:LupAngTanjil_v1.0:LG15:15468964:15476233:1 gene:TanjilG_08694 transcript:OIV96833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAATAAFRLKHKETTTLTLSHFRYFLFNNYIHAGRIFNSHSSLTPSKLDQTFTNNNTLYHFTSFKPFSLRGDFVNRAKTHFRQQQPRMPSNKYNNVVASASTYGGGDPPEVWSGGGIVVRMEKSNFDGSGGGGGGSDSGNLKDGGWGGSNLGSNFPTPKEICKGLDKFVIGQDRAKKVLSVAVYNHYKRIFHESSSPKWPAGDSSNGIASAIDDDDRVELEKSNILLMGPTGSGKTLLAKTLARFVNVPFVIADATTLTQANGISEMFPLVKFKKPYRYFGNHFLSFSPAGYVGEDVESILYKLLVVADYNVAAAQQGIVYIDEVDKITKKAESLNISRDVSGEGVQQALLKMLEGTVVNVPEKGARKHPRGDNIQIDTKNILFICGGAFIDLEKTISERRQDSSIGFGAPVRSNMRTGGVTDAAVVSSLLGTVESSDLIAYGLIPEFVGRFPILVSLSALTEHQLIQVLTEPKNALGKQYKKMFQMNEVKLHFTENALGSIAKKAISKNTGARGLRSILESVLVDAMYEIPDTRTGDDVIDAVLVDEEAVGSETSGRGAKILYGTGALDRYLSEQKHDSQIPSRDHESETELPSIVASM >OIV96426 pep chromosome:LupAngTanjil_v1.0:LG15:19867488:19870071:1 gene:TanjilG_09853 transcript:OIV96426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGELFYVNSSPKSGHETAIDQNHDVSWYEEVIDDDLKWSFALNSVLHRGVSDYQDIALIDTKHFGKALVIDGKMQSAEVDEFIYHECLIHPPLLCHPKAELEKRNERFDIIVGDLADPVEGGPCYKLYTQSFYKNILKPKLNEDGIFVTQAGPADVMAYTTHVPSFADTWGWIMASDQPLFIGAEEMDKRIEARINGELFYLDGNWFHSSTTMNKTLSLSLLSETHVYTEENARFIPGHGLAYGL >OIV96882 pep chromosome:LupAngTanjil_v1.0:LG15:12925275:12927739:1 gene:TanjilG_00464 transcript:OIV96882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKELLELYESAKKAADAAVSGDGESDESRCIDALEQLKKFPVNYKILVSTQVGKHLKSLTKHPRQKIRAFAIDLIEIWKNIIIKETSKNKNGGSDNKVEPANGESAKAGKFQRSLSVKVEKAETVKVEKIDRNGTPRSSSDSTKRTQNMDVKIEKTDRAANVKVEKQVSAVKRTSSSSAAPPKLKTMIKSNDSVRDKIRELLQEALSKVPGEADEDVMDEVNASDPIRVAVTVESLLFEKWGPSNGAQKVKYRSLMFNLKDQNNPDFRRKVLLGVIAPERLIDMSTSEMASERRKQEIQKLEEKALFECERGAQPKATTDQFKCGRCGQRKTTYYQMQTRSADEPMTTYVTCVVCNNRWKFC >OIV97128 pep chromosome:LupAngTanjil_v1.0:LG15:7368893:7370384:1 gene:TanjilG_00157 transcript:OIV97128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPVAIFLVCLLSLSRAKASSNIGVNYGQLGNNLPSAYRSIELLTTMNAGPVKLYDSNPEILSLLSTTKLQVSIMIQNHEISGIAANQSIADEWVRNNVLPHYHVTKIRYLLVGNEVLSYNSEQGHQMWHDLVPSMKNIKRSFKAQNIHGIKIGTPLAMDVLQSTFPPSSGMFRSDIIDTVIAPMLEFLDRTKSFFFIDAYPYFPWSQDPENISLDFALFRGTSTVRDPGSGLIYTNLLDQMLDSLVFAMSKLGYSNIQLVIAETGWPNSGDIEEHGANVFNAATYNRNLINRMTAKPPIGTPARPGVVIPTFIFSLFDENQKTGPGTERHWGLLHPNGTSIYEIDLTGKTPLTDFTSLPEPKNNVPYEGKVWCVATKDANQMELQATLNYACNQGDVNCDPLAPGRECYAPVSVADHASYVFSSYWAKFRSEGGTCYFNGLAEQTTKDPSELFHYRLFNTSSF >OIV97051 pep chromosome:LupAngTanjil_v1.0:LG15:10969240:10969827:1 gene:TanjilG_11568 transcript:OIV97051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGKSHDHGVQPNIVNNNMFDDLLKFDNYIRSVLPSVRLSLPSTPTNIAATIVVTDSMLLNESTTTKCYYKSEKVALGHARSFSLDSFFLVGDNDGKEFSGKDAEEGNMKHHFLKRNSVPEKLDEMGDAWILANGKSADKSNERENYWCK >OIV96612 pep chromosome:LupAngTanjil_v1.0:LG15:18389119:18391696:-1 gene:TanjilG_28469 transcript:OIV96612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSMEIEHIDSTKNNDDDVDISPIEQVRVIVSNEDDPTLPVWTFRMWFMGLISVVLLSFLNTFFSYRTEPLTVTMISVQVATLPIGRFMAKVGNMIYGVGFAFIASTISNVAFFNGRSGKEDIHTRLMKKYKDIPNWWFLVMLLVSFIVALVLVTFMKDQVQLPWWALIFAAAFALFFTPFISIITATTNQLGHYMKIPPRSMFLVQTIGTIIAGTINVCVAWWMLSSIKNICNTDLLPPSSEWTCPGDRVFFDASVIWGLVGPWRILGPKGNYPQLNLFFFVGAIGPGINWWHKYNYVLSGALDAGMAFMLVLLYFTLNMEGKSLSWLGQEEHCDLAKCPTAKGINVTGCPVF >OIV96626 pep chromosome:LupAngTanjil_v1.0:LG15:18536625:18549950:1 gene:TanjilG_28483 transcript:OIV96626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFHAFTILLILLPFFSILTTVNSDDRQILLNFKSSLHKSNSKAFHSWNTTNSVCTFTGITCNSVNSVTDINLPDQNLSGDLPLQLLCKLQSLQKLELGFNSLYGRVTEDLRNCINLKFLDLGNNGFSGPFPDISPLNQLEYLFLNQSGFSGTFPWQSLVNMTGLLQLSVGDNPFDLTPFPQEILSLKKLNWLYLSNCNLGGKIPIGIGNLTELTEFEFSDNALTGELPAGIGNLRKLWQLAFYNNSFTGKLPIGLRNLTNIENFDGSMNNFEGDLSELRFLNTLVSLQLFENGFTGEIPIEFGEFKKLVNISLYRNMLTGPIPENIGSWAEFNFIDVSENLLNGPIPPYMCNKGTMQALLVLQNKLSGEIPSTYGDCLTLKRFRVSNNSLSGVVPAKIWGLPNAEIIDIELNQLQGSISSDINKAKTLAQIYAKKNRLSGEIPKEITQATSLVTIDLSDNQISGNIPDGIGNLKQLGSLHLQNNKLFGSIPGSLGSRNSSLSDIDLSRNSFSQQIPSSVGLLPALNSLNLSQNELSGEIPASLAFLRLSLFDLSYNQLTGPIPQALTIEAYNGSLAGNTGLCSVNAIGSFLPCSSSSGMSKGVRTLTICSTVGLILLLCLLALYLNKKKGDKERFGGERSLKEESWDLKSFHVLSFTEDDILDSIKQENLIGQGGSGNVYRVTLSNGKHLAVKHIWNTDVSARKRSWSSTPMLAKRGGRNKSKEFDAEVEALSSIRHMNVVKLYCSITSDDSSLLVYEYMPNGSLWDRLHTSNKMELDWETRYEIAVGAARGLEYLHHGCERPVIHRDVKSSNILLDEFLKPRIADFGLAKIVQANVAKDSTHIIAGTHGYIAPEYGYTYKVNEKSDVYSFGVVLMELVTGKRPIELEFGESKDIVHWVHKRSQSKESFRSAVDSRIPEMYKDEACKVLKASVLCTATLPALRPSIRAVVQMLEDAEPCKLVGIVISKDGSGKKVGVNDDICTYLPNLHVLNLGHTKLNFNTHSIINCSHLEELNINHMSLTGTLPDFSPLKSLRILDLSYNLFTGEFPMSVFNLTNLEVLNFNENGRFNLWQLPNNIDRLKKLKSMILTTCMVSGQIPPIIGNITSLVDLELSGNYLTGNIPKELGLLKNLQQLELYYNQQLVGNIPVEFGNLTELIDLDMSVNKLSGSIPASVFALPKLKVLQLYNNSLTGEIPDEIENSTTLKILSLYDNFLRGQVPSKLGQFSGMVVLDLSENNLSGPLPTEVCKGGNLLYFLVLDNMFSGEIPDSYSNCMTLLRFRVSNNRLAGSVPEGLLGLPHVSIIDLSINNLTGAVPEISGNSRNLSELFLQRNKISGVIPPSLSRAINLVKIDFSYNFISGAIPSEIGNLRKLNLLTLQGNKLSSSIPSSLSLLESLNLLDLSNNLLTGSIPESLSILLPNSINFSNNLLSGPIPPKLIKGGLLESFSGNPGLCVLPVYANSSAQNFPICPHNYNKGKSINTIWVAGVSVVLIFIGAGLFMRRRCNKETSVVENDETMSSSFFSYDVKSFHMINFDQREIIESLVDKNVIGHGGSGTVYKIEFKSGDVVAVKSLWSRKSKDSAAEDRLFMDKALKAEVETLGSIRHKNIVKLYCCFSSLDCSLLVYEYMPNGNLWDALHKGWIHLDWPTRYKIALGIAQGLSYLHHDLLLPIIHRDIKTTNILLDVDYQPKVADFGIAKVFQARGGGKDSSTTVIAGTYGYLAPEYAYSPRPTTKCDVYSFGVILMELLTGKKPIESEFGENRNIVFWVSNKVEGKEGARPSEVLDQRLSASFKDDMIKVLRIAIRCTYKDPASRPTMKEVVQLLIEAIPRSSDSSKLSNIATKEVLNATTVKKTYEL >OIV96324 pep chromosome:LupAngTanjil_v1.0:LG15:20454497:20460905:1 gene:TanjilG_09751 transcript:OIV96324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLRRLPSMPISISATLSFRSDLYCIWKKKQKQQHLHFSHPLSKNVAVYSFCSDSTLTQHTLPQQSSKPVIISLSFTCLLHSQSILLYSFLSLVQGNNVLKPGLYLVGTPIGNLEDITFRALRVLKSAHVILSEDTRHSGKLLHHYNIKTPLMSYHKFNESQRELVVLKRLKEGEIVALITDAGTPGISDPGMDLAKLCVDEHIPVVPIPGPCALVSALSASGLPTDEFTFVGFLPKHSGSRRKRLTVSADQKATQIFYVPPHKLSQFLEESSSIFGDARRCVIAREMTKLHEEFWRGTLSEAKEVFSLRQPKGELTILIEGQADSKVEPPSDIQLENDLRELIASGESLSSLTSSSSIPHNPSFNPKFQTSAPPQHVYIIQRRRTNTNSLLRCSASSFSDNHHTNSPKSDDLVDLPLFPLPLVLFPGAILPLQIFEFRYRIMMQTLLHTDLRFGVIYTDAVSGTADVGCVGEVIKHERLVDDRFFLICKGQERFRVNNLVRTKPYLVAQVTWLEDRPSSGSEETNLDGLAEEVETYMKDVIRLSNRLSGKPEKEVEDLRRNLFPTPFSFFVGSTFEGAPREQQALLELEDTGARLKKEKETLRNTLNYLTAASAVKDVFPSSSS >OIV97412 pep chromosome:LupAngTanjil_v1.0:LG15:868124:870987:-1 gene:TanjilG_16173 transcript:OIV97412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSMCNKGHSPQERFISVVAWCISTTRPATFGVAPFNPILGETHHVSKGNLNVLLEQVSHHPPVTALHATDEKENIEMIWSQQPVPKFNGLGGNHKVIKGKIFDSSSLKVLYEVDGHWDSIVKLKDTNNATMKVIYDAKEVISGLKAPIVKDAESVWPTESAYVWGETSQEIMNKNWEKAREAKQVVEEEQRELLRERESKGESWNPKHFTISYSKEEGWDCSPIHKWVLDAPIIAQ >OIV97050 pep chromosome:LupAngTanjil_v1.0:LG15:10987155:10989167:1 gene:TanjilG_11567 transcript:OIV97050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGASGSSEHEKEENGSEAKLLRDRFRLSAISIAESEAKKNGMEISKVVVACVADLAFKYTENLAKDLQLFSQHANRKSVNMDDVILCAHRNEHLASLLRNFSNDLKAKDSHPERKRKKEVKKNDENHPSAHMPDTHEVL >OIV96815 pep chromosome:LupAngTanjil_v1.0:LG15:15689724:15692287:1 gene:TanjilG_08676 transcript:OIV96815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGPDEIESEESLVELALRVLNTADPFEKSRLGDSVATRWLNGVITHPYHPDHHLVIPDRPARLSNDIIARFGKQEAMPREFFTDFVKVAQDEGRHFTLLAARLEDLGSYYGALPAHDGLWDSATATSKELLSRLAVEHCVHEARGLDVLPTTISRFRNGGDNTTADLLERVVYPEEITHCAAGVKWFKYLCQRSRKPVSDQEEQEICAVESGTTTEDDEVISKFHAIVRTYFRGPLKPPFNEEARKSAGFGPEWYEPLAIKIPIHSG >OIV97373 pep chromosome:LupAngTanjil_v1.0:LG15:1778184:1782004:-1 gene:TanjilG_07125 transcript:OIV97373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKRCLERSLKLTLPASDEASFAKFMNESGTFKDGNLLVNKDGVRVVSQSEVEVPPPIRALDNQLSLADIDTIKVIGKGNGGIVQLVQHKWTNQFFALKEIQVNIEEPTCRQIGQELKINKSAQCPYVVVCYQSFYVNGVISIILEYMDGGSLEDFLNQVHIIPEPYLAAICKQVVKGLIYLHHEKHIIHRDLKPSNLLINHRGEVKITDFGVSAIMDTTSGQANTFIGTYTYMSPERINGSQLGYNYKSDIWSLGLILLKCATGRFPYSPPDEKEGWDNIFQLMEAIVEKPPPSAPCDQFSPEFCSFISSCLQKNPRDRLSAQDLVRHPFINKYEDLHVDLSAYFSNAGSPLATI >OIV97132 pep chromosome:LupAngTanjil_v1.0:LG15:7414383:7414739:1 gene:TanjilG_00161 transcript:OIV97132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPKMDLTKKIRIGDELFHIRMVEDVSFSPLNLNKIRDPSIWSEVGTNSLGLEDGEWWPDSGDGDISFSVDEDDNVASSLGVLPTDLTKCFGNCKKQDRVIVDCDPPSAPCVAGSARA >OIV97082 pep chromosome:LupAngTanjil_v1.0:LG15:10149830:10150051:-1 gene:TanjilG_25672 transcript:OIV97082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMDTSSPGQLSSQEERISYREKLLNFSMVVEDIPMEEEDEDLVENQWYHKEKKEGEFNMCPQILVSKEEFWL >OIV96452 pep chromosome:LupAngTanjil_v1.0:LG15:19681258:19685261:-1 gene:TanjilG_07844 transcript:OIV96452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHHPTSVQREHTLVFDFASHIARFAFDSSKFTNLFSPSSSNSSILSNSLSFNTTRVRNPASLRLKFGGKGDFNFRRIFSDFNTAIRFQCQKNPIGFHSGGINNNDGNSNNSGLREDGCDVIENDGNSVNGVEGYKPKRVLILMSDTGGGHRASAEAIKATFYEEYGDEYQVFISDLWSEHTPWPFNQLPKSYSFLVKHAPLWKMAYYGTAPRVVHQSNFAATSAFIAREVAKGLMKYKPDIIVSVHPLMQHVPLRILKTKGLLKKIVFTTVVTDLSTCHPTWFHKLVTRCYCPTTELAKRALKAGLQQSQIKIYGLPVRPSFVKPVQSKDELRRVLGMDKDLPAVLLMGGGEGMGPIEATARALGDMLYDESLGAPIGQIIVICGRNKKLADKMLSINWKVPVQIKGFVNKMEECMGACDCIITKAGPGTIAEAMIRGLPIILNGYIAGQEAGNVPYVVENGCGKFSKSPKEIAKIVSDWFGPKADELKAMSQNALKLARPDAVFKIVQDLHELVRQRSLHPEYSCTA >OIV96504 pep chromosome:LupAngTanjil_v1.0:LG15:19284487:19293905:-1 gene:TanjilG_07896 transcript:OIV96504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQTNWEADKMLDVYIHDYLVKRDLKASAQAFQAEGKVSSDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEVAASYIEERLKLPLQRDSLDDAAMKQRFGENMGQLLDPNHPSLLKSPAASGQPSGQVLHGAGGGMSPQFQARSQQLPGSTPDIKSEINPVLNPRAAGSEGSLLAIPGSNQGSNNLTLKGWPLTGLEQLRSGLLQQQKPFIQAPQPIHQLQMLTPQQQLMFAQQNLASPSASDESRRLRMLLNNRSMSLNKDGFSNSVGDVVSNIGSPLQGGAPSFGRGDTDMLMKLKLAQLQHQQQLNTNSQQQQLQQPALSNQQSQPSNHNIHQQDKAGGGGGSGIVDGSMSNSFRGNDQVPPIAQEQQIQLAHHFSNSVGDVVSNIGSPLQGGAPSFGRGDTDMLMKLKLAQLQHQQQLNTNSQQQQLQQPALSNQQSQPSNHNIHQQDKAGGGGGSGIVDGSMSNSFRGNDQVSKNQMGRKRKQPVSSSGPANSTGTANTTGPSPSSAPSTPSTHTPGDVISMPALPHSGSSSKPLMMFSTDGTGTLTSPSNQLADVDRFVDDGSLDENVESFLSHDDTDPRDTVGRCMDVSKGFTFSELNSVRASTSKVVCCHFSSDGKLLASGGHDKKAVLWHTDSLKQKATLEEHSSLITDVRFSPSLPRLATSSFDKTVRVWDVDNPGYSLRTFTGHSAAVMSLDFHPNKDDLICSCDGDGEIRYWSINHGSCTRVSKGGTIQVRFQPRLGRYLAAAAENVVSILDVETQACRYSLKGHTKPIHSVCWDPSGELLASVSEDSVRVWTLGTGSEGECVHELSCNGSKFHSCVFHPTYPSLLVIGCYQSLELWNMSENKTMTLSAHEGLIAALSVSTVNGLVASASHDKFIKLWK >OIV96665 pep chromosome:LupAngTanjil_v1.0:LG15:17120346:17120933:-1 gene:TanjilG_09207 transcript:OIV96665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEERKQTSHKTPYTEFEQVNYDFILEISLQEQEGTLTTLATIERGSETDEYVSESSFDDANDGFSESQEFEAFLEGEGSNYDVMEEDEIDPDELSYEELLELGEFVGKENKGLTRNEISSCLYPYTFQSAARKSGIERCVICQVEYEEGEALVALQCEHPYHTDCIIKWLQIKKVCPICSNEVSAPKMAYNKNS >OIV96420 pep chromosome:LupAngTanjil_v1.0:LG15:19895849:19896451:1 gene:TanjilG_09847 transcript:OIV96420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFRGIGFDPRMLDFLVDHIDFSDETDTKSHHAPSRAYVRDSKAMASTHADILDYPDAYKFVVDMPGLKSDQIKVTMEDDTLVLCGERRRDKDKDHKEGVKYLRMERRQGKFLKKFELPENANPDEICACYLDGVLTVTVRKKPPPEPKKPKTIQVQVNSPEPQSQSQGGVAIQDGQSQKSKSQENQDNSATQDGHDKRA >OIV97103 pep chromosome:LupAngTanjil_v1.0:LG15:8894217:8896247:1 gene:TanjilG_10049 transcript:OIV97103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRLHRNHDDSDPSLSDLEDARIVSKALNIKCVPSLTHLMGFFLLSLTLFSVLFSLSLVLRDPPSDSVPQDPSPTKTVFQVKQSEQGLNNDGSDSVILHEDKLLGGLLADKFDEVSCLSRYHSVMYRNGVNGLSENPSSYLISRLRTYEILHKRCGPYTESYNKTVKDLRSGRLSESSDCKYVVWISYSGLGNRILTLASAFLYALLTNRVLLVDPGVDMIDLFCEPFPDISWFLPPDFPINSQLHDFNQNSAQCYGKMLKNESVTSSTVPPSVYLHLSHDYDDQDKLFFCDEEQRFLQKVPWLVMRTDNYFIPSLFLMPAFEQELSELFPNKETVFHFLGRYLFHPTNRVWGLVVRYYEAYLAKADERVGIQIRVFDIGTGPFQHVLDQIIACTLKENLLPDVARTKDIISSSGKPKSKVVLMTSLSSGYFEKVRDMYWEYPTLTGEVVGIYQPSHEEHQQSEKQMHNQKAWAEMYLLSLTDNLVTSSWSTFGYVAQGLGGLKPWILYKPENGTAPDPPCRRAMSMEPCFHAPPFYDCKAKRGTDTGELVPHVRHCEDMSWGLKLVDNHV >OIV96760 pep chromosome:LupAngTanjil_v1.0:LG15:16431317:16435698:-1 gene:TanjilG_19919 transcript:OIV96760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVCWPYFDPEYENFSNRLNPPRVSVDNATCHDCTLIKVDSVNKPGILLEVVQILTDLEFIITKAYISSDGGWFMDVFHVTDQQGKKITDSKTIDIIEKALGPKSQSKEGAKSWPGKRVGVHSVGDHTAIELIGRDRPGLLSEISAVLASLDFNVVAAEVWTHNLRIACVLHINDATNQVVDDPKRLSLIEEQLNNILRGCEDGEKVARTSFSVGFTHMDRRLHQMLFADRDYEGSGVTTEVDNPPFFKPKITIECCEEKGYSVVSVRCKDRAKLMFDIVCTLTDMQYVVFHATISSDAPYASQEYFIRHMDGCTLDTEGEKERVIKCIEAAIRRRVSEGVSLELCAKDRVGLLSEVTRILRENGLTVCRAGVSTRGEQALNVFYVRDASGNPVDMKMIEALRKEIGKTMMVDVKRVPANAKAPETKGWAKTSFFFGNLLERFLN >OIV97448 pep chromosome:LupAngTanjil_v1.0:LG15:597643:602544:1 gene:TanjilG_16209 transcript:OIV97448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNQQKEDLAFMRGFVIAFKEQIHTDILVNPGTNGPPIPAHKFVLATRSEMLKNMLECDDCNSSPNNTITIPDLNHEELESLLEFLYSGTLTTETLEKHVYTLSRAADKYIIPHLLKHCERHLLSSLNISNALETLDIADSYELSIEEEAERKVGWVLKTIFFVTAGAAGYHFFPYMGENLMQQSVSLLRVKDPLYKRMGASRVARFAIDDERRMQIAEIGGHKELLNMLSTAKDDRTRKAALTALDALSRSDAVLESMHRAGAVSIIKSSPNSLEDADVEKVKLSLMKRFQDKKYDVLS >OIV96749 pep chromosome:LupAngTanjil_v1.0:LG15:16784470:16791669:1 gene:TanjilG_11745 transcript:OIV96749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSDSNFLKNFWISNKILVPGSEFESDENDYGDDGPKCPVLVFVNSRSGGQLGGNLLKTYRALLKEKQVYDLGEETPDKVLSGIYANLENLRLKGDEFAKKIMERLRLIVAGGDGTAGWLLGVVCDLKLSHPPPIATVPLGTGNNLPFAFGWGKKNPGTDEPSVKSFLAQVMQAKEMKIDNWHILMRMRAPKEGPCDPIAPLELPHSLHAFHRVSEADELNMEGCHTFRGGFWNYFSMGMDAQVSYAFHSERKLHPEKFKNQLVNQSTYAKLGCTQGWFCAHLFHPPSSNIAHLAKVKIMKRHGQWEDLNIPSSIRSIICLNLPSFSGGLNPWGTPNKNKQRDRDLTPPYVDDGLIEVVGFRDAWHGLILLAPNGHGTRLAQANRIRFEFHKGATDHTFMRMDGEPWKQPLPVDDDTVVVEISHHGQVNMLATHDCKSRSVYDPSSPHQGDAEEDDSDDEDSISAEFRKFGAASTFKIPDGVDIAHLS >OIV96739 pep chromosome:LupAngTanjil_v1.0:LG15:16851036:16855699:-1 gene:TanjilG_11735 transcript:OIV96739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNYDSNPFAAEDDVNPFANPGSVPPAKSKLSPLPPEPYGRGSATVDISLDTAKDIEAKEKALQAREAELKRREQELKRKEDAIARAGIVIEEKNWPPFLPLIHHDIANEIPLHLQRVQYVAFTTWLVTVAWIKGDGVTNWFLAIIYFISGVPASYVMWYRPLYRASRTDSALKFGMFFLSYGVHIAFCVFAAVAPPIVFKGKSLTGILPALEVLIDNTLVGEVYMYFRGSGKAAAMKREAMMAAL >OIV96568 pep chromosome:LupAngTanjil_v1.0:LG15:17913010:17920516:-1 gene:TanjilG_28425 transcript:OIV96568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGRMVMSIDVRLTQIPKRNTWITKSKSNNKKFWPPRMFHHKGTDTPVLCSAPDTNPMALRFEVSLLHLADITEKGVTFQSPVDGKPMLLTPEESIQIQNRIGADIIMALDDVVKTTITGPRIEEAMYRTLRWIDRSHGRPHDQNLFGIVQGGLDPVLSYAIGGLAGGEDKDSFWRVVAQCTGSLPEDKPRYVMGVGYPLDVVVCSALGADMYDCVYPTRTARFGTALVPEGVLKLKQRAMADDTRPIDPTCPCMVCKNYSRAYIHCLVTKDAMGSQLLSYHNLYYMMQFPEGNVPEWVCNAMEVAGIDISSCCSFPERAYNAKEVAGIDISSDCTLSSSCGEHDSDNVSDKYHGLNLKLERLRNIEDALRR >OIV97314 pep chromosome:LupAngTanjil_v1.0:LG15:2365185:2372155:-1 gene:TanjilG_07066 transcript:OIV97314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYNDSDDANVYIVALRQVPSSHYDSELRSVGNGFKYDDSRRTQFQKPRFGNITKKDKRYDISGVHDSLLKKVLKGEKYLKLYSYHYLINGFAVLVTQDQAKKLSRSSEVSNVILDFSVRTATTHTPQFLGLPQGAWSQEGGFETAGEGVVIGFVDTGIDPTHPSFSDKHGHPYPVPAHYSGICEVTRDFPSDSCNRKLVGARHFAASAITRGIFNSTQDYASPLDGDGHGTHTASVAAGNHGIPVIVAGNHFGNASGMAPRSHIAVYKALYKRFGGFAADVVAAIDQAAQDGVDIISLSITPNRRPPGIATFFNPIDMALLSAVRAGIFVVQAAGNTGPSPMSMSSFSPWIFTVGAASHDRTYSNSIFLGSNVTVPGVGLAPGTDGNKIYKLIHAHHALNNDTTVADDMYVGECQDASKFNKDLVQGNLLICSYSIRFVLGTSTIKQASETAKNLSAAGVVFYMDPFVIQYQLNPVPMNMPGIIIASTNDSKILMQYYNSSLEIDAVTKRIVKFGAVASICGGLKANYKNAAPKVMYYSARGPDPEDSLPHQADILKPNLLAPGNSIWAAWSYHGTDSAEFQGENFAIMSGTSMAAPHVAGLAALIRQKFPNFSPAAVGSALSTTTSSYDRNGGPIMAQRSYASPDLNQSPATPFDMGSGFVNATAALNPGLIFDTGYDDYMSFLCAINGSAPVVLNYTGQNCLLYNSTVNGPDLNLPSITLSNLNQSRVVQRTIQNIAGNETYSVGWSAPYGVSIKVSPTHFSIANGDRQVLSVFFNATINSSVASFGRIGLFGNQGHVVNIPLSVIAKISYNITS >OIV97081 pep chromosome:LupAngTanjil_v1.0:LG15:10122944:10126769:-1 gene:TanjilG_25671 transcript:OIV97081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSLIENPSPDIYIPKQWSDAAESIAHCSTSTPPIALICGAKNCGKTTFSRHVLNVLLNSGHNKVAYLDTDVGQPEFTPPGFLSLTIVDRVTPDLKIPCLKTPERCLFFGDVSSKTDPSAYLNYLFAIYDYFRKEYCTSSKDENPPRTKLPLIVNTPGWVKGVGYDVLVEMLKYICPTHVVKIGISTENKNLPAGEFWLDGEHDGTINLIEITSARQDSFNRSLLVQKDSRLLRDLRIMAYFRQCFPSDSDISTIKELAHALASHCPYEVPISSMKVQHLHCQVPSSETFYSLNASIVGLAVDSEGTESLPWCLGLGIVRGIDTAKGVLYVITPVPHSALHKVKGCISPYMSASVLTTS >OIV96486 pep chromosome:LupAngTanjil_v1.0:LG15:19394609:19398239:1 gene:TanjilG_07878 transcript:OIV96486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQLLHGTLKVTIFEVDRLQSGCNLDFCTKGTTKKGKRFLAQVKGCLLCRPEIVGTRLYATVDLDKARVGRTRMIGNQPNNPNWNETFEIYCAHQVSNIVFTVKDNNPIGATLIGRAYVPAELVLKGPIVERWFEILDEEDHRPIPGGSKIRVTLQFLSVTKWFEGIRMSFDGVPRTFFNQRQGCSVTLYQDAHVAHDTYLPLISLSGAKYYEHRRCWEDIFNAIMGAKHFIYITGWSVYTEITLVRDPGKETITIGDLLKMKANEGVSVLMLVWDDRTSVPDFKKDGLMATHDQETEAYFRNTNVHCVLCPRNPDNGRSIVQGFQTSTMFTHHQKSIVVDSHVVIEGSQKRGVVSFIGGIDLCDGRYDTLDHPIFSTLNTIHHDDFHQPNFPSASIKKGGPREPWHDIHCKLEGPIAWDVLYNFEQRWEKQVGKKFLFSIENHDAIMVHPFDVMKSEEDSETWSVQLFRSIDGGAANGFPRAPNDVAELGLVSGKDNIIDRSIQDAYINAIRTANRFIYIENQYFLGSSYGWKASDIKVEDIGALHLIPKEVSLKIVSKIEAGQRFVAYIVIPMWPEGVPESASVQAILDWQRRTMEMMYSDIAEAIQNKGIAAHPRDYLTFFCLGKREPKIEGEYTPPEAPEPDSDYRRAQNARRFMIYVHSKMMIVDDEYIIIGSANINQRSMDGGRDTEIAMGAFQPHHLTSHGPQRARGEIYGFRRALWYEHIGDPDDEIFKDPESKECVSFVNSLAERNWELYSKDSFDENAKIHHLLRYPIQVANDGTITTLPGFEFFPDTKAPILGTKSDYLPPILTT >OIV96413 pep chromosome:LupAngTanjil_v1.0:LG15:19926246:19927259:-1 gene:TanjilG_09840 transcript:OIV96413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSNKEKSAATGNGGEDMECVIHEHTFFFDKLVELIPAKFYLPTDDNEKPWFQGLNKAAKAKKKKETKENIKKSRRDRMDPEKPPTTMLDLLKQSLGKEKANDSGLEGDDRSVTYEELRQRLHRKLDEFRAGRNCANPDEKAKKRDDRDARRGYGDKKRKRDSGNEESRLAPDELAEEVKKDAAEASKELVFGHVKLSNDELQGKKRKVSKYKELERAKKLEEEKKKDPEKGEAFAKKESWKAAMSRASGIKVHDDPKLIQKSIQKGKKRQQKNAEKWKDRIQTRDQLKAEKQQKRSKNIADRSQDKKMRKIAKREKKLLRPGFEGRKEGFINDGSG >OIV96744 pep chromosome:LupAngTanjil_v1.0:LG15:16811577:16812515:1 gene:TanjilG_11740 transcript:OIV96744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEVLKELFATIENAPASNVIDNSAYIDKMCKAENLSALSQMLKTSNDNNISLTPNVCNTILVRASQKNDVNLSCQVFKKLLSSSKSPSATSCLNFAQAFTKVNDCKELLRFITEVSEINCSSASFINRIIFAFAKSGQKDKALVIFDHLKRQNYSVDLITYNIVLDILGRIGHVDEMVDVFESMKDIGFDPDIVSYNTLINGLKKVGRFDMCDVYFKEMSDNGIEPDLLTYTALIESFGRSGNAEESLKCFREMKQKGVLPSIYIYRSLINNLNKTGKVELAAQILEEMNSSSTCLAGPEDFKRKRRRKNT >OIV96335 pep chromosome:LupAngTanjil_v1.0:LG15:20406617:20407723:1 gene:TanjilG_09762 transcript:OIV96335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQTQGQPQSLIPTHIQPHQPSPIPAVALTTVSAVTIANPHPTPPDSQLNNAPPPSKIPLRPRKIRKLSPDTATSQSQIETAKPNSATATATATAKRGTNRNINQQQQRALVVPRIVARSLSFEGEVEIALRYLRNADPLLAPLIDIHQSPTFDTFNTPFLALTRSILYQQLAYKAGTSIYTRFISLCGGEPGVVPETVLALTPQQLRQIGVSGRKASYLHDLARKYQNGILSDSAIVNMDDKSLFTMLTMVNGIGSWSVHMFMIFSLHRPDVLPINDLGVRKGVQLLYNLQDLPRPSQMDQLCEKWRPYRSVASWYMWRFIEAKGTPSSAVAVATGAALQQHQQEQQQHSQQPQLLDPINSMFNLG >OIV97073 pep chromosome:LupAngTanjil_v1.0:LG15:10528275:10533567:1 gene:TanjilG_14618 transcript:OIV97073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVEIKELLADLQSLDQSLPDPSLHASLHKIQSRVEHLAKLAKAEPVRRSKVQDMSTEVVDSNPYSRLMALQRMGIVENYERIRDFSIAIVGVGGVGSVAAEMLTRCGIGRLLLYDYDKVELANMNRLFFRPDQVGMTKTDAAVETLSDINPDVVLESYTLNITTVEGFETFMSSLKNKSFRPSKQGSGVDLVLSCVDNYEARMAVNQACNELSQTWLESGVSEDAVSGHIQLLIPGETACFACAPPLVVASGVDERTLKREGVCAASLPTTMGVIAGLLVQNTLKFLLGFGQVSPYLGYNSLKDFFPTMQMKPNPQCSNVACLTRQEEYALAKPARDAAAKAKLEAELPSTEEGPLHDDNEWNISVVDDSEPEGLDTRSSDALPEGLTHELPTADAFQKLPTEAPVTDNDDLEDLRKQLEAINSA >OIV97022 pep chromosome:LupAngTanjil_v1.0:LG15:11264940:11268943:-1 gene:TanjilG_19569 transcript:OIV97022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEETPKTALETTTTHEEAVVHVEEEVKVTDVTLADKNNNTEAEADAEEVTEAGTEGEAEKKKHVEEGGEKIADSDSFKEESTKVSDLSEAEKKGLQELKQLIQEALNKHEFSSLAVNLPKEEEKPETLAADANKEQVSEAVADVETKTEDKEHVSESVADDVATEKGEKKEEDQPAKIEEAAPIVKETYEPEKPTKTDEKEEEEALEVKETKVEIATVDEDGAKTVEAIEETIVAVSSSTSTVQESSTKEEESSAKEEEASAKEEEASAKEETSKDETSASSPPLLPPEEVSIWGIPLLSDERSDVILLKFLRARDFKVKEAFTMIKNTIKWRNEFGIDELLEQENLVDDDLEKVVYMHGFDKEGHPVCYNIYGEFQNKEVYKKTFSDEEKREKFLRWRIQFLERSIRKLDFTPGGISTLVQVNDLKNSPGPGKWELRQATKQALQLLQDNYPEFVAKQVFINVPWWYLAVNRMISPFLTQRTKSKFVFAGPSKSAETLLRYIAPEQLPVKYGGLSKDGEFGITDTVTEITVKPASKHNVEFPVTENCQLSWELRVIGWDISYGAEFVPSTEGSYTVIIQKDRKVTSSEEPVIYNSYKIGEPGKVVLTIDNQSSKKKKLLYRLKTIPNSSSE >OIV97222 pep chromosome:LupAngTanjil_v1.0:LG15:4310453:4316222:1 gene:TanjilG_02930 transcript:OIV97222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLTPLSQFNSLFNKPRLLPSSPLSNKFPLPVPFQLVSRSVSGMPRKGVVVVSATTAEKQKKRYPGESKGFVEEMRFVAMKLHTKEQAKEGEKEVKEPEERTVAKWEPSVDGYLKFLVDSKLVYDTLEKIVLEPVYPSYAEFRNTGLERSAALEKDLAWFKEQGHAIPEPSSPGLTYAQYLTELSEKDPQAFICHFYNIYFAHSAGGRMIGKKVAEKILNSKELEFYKWDGDLSQLLQNVRDKLNKVAEEWTREEKNHCLEETEKSFKLSGEILRLVLS >OIV96281 pep chromosome:LupAngTanjil_v1.0:LG15:20874406:20875714:1 gene:TanjilG_05121 transcript:OIV96281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKKMGVNSKAEAARARKGSLEAERKEKETREKEEQYWRDAEGSKSRASKKKEEEAEKRAEAAARKAEARRLAELEEKELEKSMIKKKANRVSIPVAKVTEAELRKRREEEESEMKRKAEEGKKKQTRTAEEEEYERMVVVANRNRDESIIEATSVDEAIAQITINTSDFNTLPPDRHPERRLKASFKAFEEAELPVLKEEKPGLTHTQYKDLIWKLWKKSPDNPLNQPSLVLVNSNKHEWGDDGMPLHCSNEPSNGRTVIWT >OIV96784 pep chromosome:LupAngTanjil_v1.0:LG15:16309310:16316226:-1 gene:TanjilG_18097 transcript:OIV96784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDDEFDDEEEEEQVADFNEVEEEEEVEERGGVGGRKRRRSGFIDEDAEEDDEDEEEEDDDDDEDFDGRGGGGRKRQRKKKNAASFFDEEAAVDSDEEEEEEEGEDDFIVDGGADLPEEHDGRNVRRGRMLPHDEEDHEDLEAVAKSIQERYGRRQAEYDEEATDVEQQALLPSVRDPKLWMVKCAIGRERETAVCLMQKYIDKGSELQIRSVIALDHLKNYIYVEADKEAHVREACKGLRNIFGQKITLVPIREMTDVLSVESKAIDLARDTWVRMKIGTYKGDLAKVVDVDNVRQRVTVKLIPRIDLQALANKLEGREVVKKKAFVPPPRFLNVEEARELHIRVEHRRDAYGERFDAIGGMMFKDGFLYKTVSIKSISAQNIKPTFDELEKFRKPGENGDGDVASLSTLFANRKKGHFLKGDAVIVIKGDLKNLKGWVEKVDEDNVHIRPEIKGLPKTLAVNEKELCKYFEPGNHVKVVSGAQEGATGMVVKVEQHVLILISDTTKEHIRVFADDVVESSEVTTGVTRIGDYELRDLVLLDNMSFGVIIRVESEAFQVLKGVPDRAEVVLVKLREIKCKLDKKISVQDRFKNTVSAKDVVRIIEGPCKGKQGPVEHIYRGILFICDRHHLEHAGFICVKAQSCVVVGGSRSNGDRNGDASSRFASLRTPTRIPQSPSRFSRGGPPNHSGGRGRGGRGHDGLTGSTVKVRQGPYKGYRGRVIEVKGTSVRVELESQMKVVTVDRNHISDNVAVTPYRESRYGMGSETPMHPSRTPLHPYMTPMRDPGATPIHDGMRTPMRDRAWNPYTPMSPPRDNWEDGNPGSWGASPQYQPGSPASRPYDAPTPGAGWASTPGGNYSEAGTPRDSSAYANAPSPYLPSTPGGQPMTPNSASYLPGTPGGQPMTPGTGGLDMMSPVLGGDNEGPWFMPEILVNVHKPGEESVGVIRELLPDGSCRVALGSSGDGDTITALPSEMEAVVPRKSDKIKIMGGALRGATGKLIGVDGTDGIVKVDDTLDVKILDLVILAKLAPSS >OIV96475 pep chromosome:LupAngTanjil_v1.0:LG15:19466246:19467638:-1 gene:TanjilG_07867 transcript:OIV96475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKIFKVYVYPEGDIPIVHDGPCKDIYSIEGRFIHEMEHGEGKFRTTDPNAAHVYFLPFSITWMVKYLYTPFSFDVTPLKNFVSDYVTVVSMRYPFWNRTHGADHFMLACHDWGPDASKGHPFLYNTSIRVLCNANTSEGFNPTKDVSLPEIHLYGGEISPKLLSLPPENTPRRHLAFFAGGMHGPIRPILLRHWKNIDNDIQVYEYLPKDLDYYSFMINSKFCLCPSGHEVASPRIVESIYAECVPVILSEGYVLPFSDVLRWEEFSVQVNVSDIPRLKEVLSAIPVENYLKLKQGVRAVRKHFTLNQPAKRYDVFHMILHSIWLRRLNIELG >OIV97515 pep chromosome:LupAngTanjil_v1.0:LG15:417977:419776:1 gene:TanjilG_11039 transcript:OIV97515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSFIFNGSILIPFIHFLLLLLLLIIIILTFSVQFQTFKRRNGGRNKHGRGHVKFIRCSNCGKCCPKDKSIKRFVVRNIVEQAAVRDVQEACVYEQYALPKLYVKLHYCVSCAIHSHVVRVRSRTDRRKRDPPQRFIRRREDAPRPGQPGQAPRPAGAAAPPRA >OIV97169 pep chromosome:LupAngTanjil_v1.0:LG15:5839347:5843514:1 gene:TanjilG_28920 transcript:OIV97169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHLRPIMKQKRERRLFSTSDDSAMMKQVQATHAPDGREVDVKPIIQILDEILIQVIARAVEGHHEKREQDTLETAAVLADFDMLDSLAFIIQKISCELSCKCSGGGDAHASTMVLLNYLSNYSWHAKVVLTLAAFAVIFGEFWLVVQMSAENTLAKSVSLLKQLPDIVENSTSLRPQFDALNKLVKVAMDVTICIVEFKELPSQYISEDTPPMSVASTHIPIAAYWVIRSVVACASQIESLIGMRNESIASATEAWELTSLAHKVNSIYEHLKNQLAQCYIYIDGKRHMEAFHNLIHLFELVHVDNMKILKALIYAKDDLPPLIQGTTKSKANLEVLRRKNVLLLISDLDLSQEEIMILDNLYKDAQSRGETPYEMVWIPIVDKATWNDVNREKFEYLQSLMAWYSVHDPFIIEPSVTKYIKEVWNFTKKAIVVALDPQGRLSSPNALHMIWIWGNLAFPFTREKEESLWKQELWSLELLVDGIDPMVLEWMAEGKFICLYGGEDIEWIQTFTTTAMNVAKAGSFELEMVYVGKSNAKERMQKMINTFATRKFSYYWPNVTSIWFFWARLESMLYSKLQYGRTVENDQIMSEVMTVLSFDGSDQGWAIFCRGAGEMARAKGDTALTSFRDFDKWKDNIERDGLVPALKDYLNQLHTPHHCNRLILPGSTGGIPEKVVCAECGRPMERYFMYRCCVE >OIV96863 pep chromosome:LupAngTanjil_v1.0:LG15:15009409:15011675:-1 gene:TanjilG_08724 transcript:OIV96863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGIIEPSLMALARKYNQDKTICRKCYARLHPRAVNCRKKKCGHSNQLRPKKKIK >OIV96607 pep chromosome:LupAngTanjil_v1.0:LG15:18325660:18346723:-1 gene:TanjilG_28464 transcript:OIV96607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRSNKSPVKKKSRKSRASGRGKWMIVGNIARYLSICVTDLVLKTPKSTIEIKELNVDISKDGGSKSNLLVRLQMLPISVNIGEPRASCDQVSNLSGGGCSSSDQASIAAIERGVGIIIKDVDISSGEVTVSLNDGLLLKSKSSSESSSGSDRILESSADSMSTKKTSKQQQSLEAFSKYSSMFPEKVSFNLPKLDVSFVHREHGLSIENNIMGIQMKSTKSRSTEDVGESTRLDFQLEFSEIHLFREAGSSILEILKLDLVSFVYIPVHPISPVRAETEIKLGGTQCNIIMSRLKPWLLLHMSKKKKLVLREEASVVVKPQSTDSKTIMWTCNVSAPEMTIVLFNMVDSPVYHGCSQSSHLFANNISNMGTTVHVELGELNLYLADEYQECLKESVFGVESNSGSIMHIAKVSLDWGKKDVESSEEDGPRCRLGLSVDVTGMGVYLTSKRLESLISTAISFQAVLKSLSASKKKLTQSQGRSTKSSGKGTQLLKLNLERCSVYVWGETGLENTVVPDPKRVNYGSQGGRVIINVSANGTPRNADIMSSLSDEYRKLKYSVSLEIFQLNLCVNKEKQSTQVELERAKSVYQEYIEENKPVTKVALFDMQNAKFVRRSAGLKDIAVCSLFSATDITVRWEPDVHLSLIELVLQLKLLVHNCKIQERSNEHMEDMSHVQDGNWKKEATVESGHLEKQKKKESIIAVDVEMLSISAELGDGVDAMVQVQSIFSENARIGVLLEGLIFSFNGARIFKSSRMQISRIPSVSAGESDAKGHVGTIWDWVIQGLDVHICMPYRLQLRAIDDVIEDMLRGLKLITAAKTNLIFPVKKESSKVKKASSVQFGCIKFCIRKLTADIEEEPIQGWLDEHYQLLKKEASELAVRLNFLDEFVSKAKQGPKSSDTNNSSQERKKYFNDVEVDVNDSVTTESMRDEIYKRSFRSYYQACQNLVLSEGSGAYGEGFQAGFRPSTSRTSLLSISASDLDVSLKKVDGGEAGMIEILKKLDPVCLENDIPFSRLYGANIILNIGSLVVKLRDYTFPLLSGSYGKCEGHLVLAQQATSFQPQIYQDVYIGRWRKVRMLRSASGTTPPIKTYSDLPIHFQKGEVSFGVGYEPAFADVSYAFTVALRRANLSVRRPGPLIVPPKKERSLPWWDDMRNYIHGKISLHFSESRWNILASTDPYEKVDKLQLVSSSMELHQSDGRVFVTAKDFKILLSSLESLANKRGFKIPTGVSGAFLEAPIFTLDVTMDWDCESGDPMNHYLFALPVEGKPREKVFDPFRSTNLSLRWNFSLRPFPLSSEKQSPSSIARDNIEGDVTVYDPPCISQNVSQSSPTFNFGAHDLAWILRFWSLNYIPPHKLRSFSRWPRFGIPRVARSGNLSLDKVMTEFMIRIDATPACIKNMPLDDDDPAKGLTFTMTKLKYELCYSRGKQKYTFESKRDILDLVYQGLDLHMPKAFLNKQECATVAKVVNMIRKSSQSVSMDKIPTEKRYMSEKNRDDGFLLSSDYFTIRKQSPKADPARLLAWQEAGRRNVEMTYVRSEFENGSETDEHLRSDPSDDDGYNVVIADNCQRVFVYGLKLLWTIENRDAVWAWVGALSKAFEAPKPSPSRQYAQRKLLEENKQRDGAETNQDDGSNCPPTGHISNSPSSQTVGTSGSHSSPPNSVKVDYLPSARNENTDDSDGTRHFMVNVIEPQFNLHSEDASGRFLLAAVSGRVLARSFHSVLQVGFEMIEQALGTKDVHINEYQPEMTWKKMEFSVMLEHVQAHVAPTDVDPGAGLQWLPKILRSSPKVKPLKELTFNSHNITATMTSRQFQVMLDVLTNLLFARLPKPRKSSLSFSAEDDEDIEEEADEVVPDGVEEVELAKINLEQKEREQKLLLDDIRKLSLWCDNSGDLHPEKENDVWMIAGGRSVLVQGLKRELVSAQKSRKAASASLRMALQKAAHLRLMEKEKNKSPSYAMRISLQINKVVWSMLVDGKSFAEAEINDMIYDFDRDYKDVGVAQFTTKYFVVRNCLPNAKSDMLLSAWNPPPEWGKKVMLRVDAKQGAPKDGNSPLELFQVEIYPLKIHLTETMYRMMWEYFFPEEEQDSQRRQEVWKVSTTAGARRVKKGSSIQEAPASTSHSTKESEASSKSGISAMLFPATSQPSVHVDSAQASKTQSVKANPGTSTTPELRRTSSFDRSWEETVAESVANELVLQSFSSSKDDPFDSNEQQDEASKNKSKDSKGVKAGRSSHEEKKVAKSHEEKRSRPRKMMEFYNIKISQVELLVTYEGQRFVVNDLKLLMDQFHRVEFTGTWRRLFSRVKKHIIWGVLKSVTGMQGKKFKDKGQSQPSGAGVPEIDLNFSDNEGQAGKSDQYPASWPKRPGDGAGDGFVTSIRGLFNTQRRKAKAFVLRTMRGEAENDFQADWSESDVEFSPFARQLTITKAKKLIRRHTKKFRSRGHKGLFKCHFHAIALAFMTI >OIV96592 pep chromosome:LupAngTanjil_v1.0:LG15:18189897:18195968:1 gene:TanjilG_28449 transcript:OIV96592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVTGDLGFSSLVLVVVVCVVVPAAIGFVIRRKWQFAVVRKEEINRLLILAAEETARAEREASYSYLYSTAAVSTTTKINQCAVCYFPATARCAKCKSVRYCSFECQTVHWRQGHKLECRPPISNDWNDDVVSDLGKKVAEQDYSDVPGEEFETEGTQNKTSLEKSTFLDTSPSSKVSYKKEYLRAEHLAEGNITDSNSDLSSNLFSGFSASTSATESSDDASVCESIISNEHDRSEGHISVDSSDEIPEATSIDNSVGAVMSSSPKFACLVEPVGGFSSMPKSNQVMPGFCKEQSELASNGTLGSGMWNGTVIEPSKMSTEFWDKTLDSRVIKDKTNNYPCPSHSAKSTGGKKSDSETSFRFSYNTMPPLHVRGTEAKGSVSDAFPNSSGNNLACPESTSSENYSIDSSKMRNSPFLHSKDSNVMSCNTASGSESDKLESKEISGPPLSSFSPQSSSVSKDSGSVDALSIHNLQSASSMAPNHVVDKHGSTLKSAEIRCLTHDLANTSLASRSEGHSVSSTKGGNTGIQSGNVTSSHVAVCSENSKSGLKTSVLKVVDQLRGSNLSKHFTLAAGSDTAGRYTDKGLFPYELFVKLYNSNKMELPPFGLINCGNSCYANAVLQCLAFTPPLTTYFLQGLHSKACTNKKWCFTCEFESLILKSKGTKSPLSPIGILSQLQNIGSQLGNGREEDAHEFLRHSIDTMQSVCLMEAWANTPSSLEEETTLMGLTFGGYLRSKIKCMKCGGKSERQERMMDLTVEIGGEIATLEEALRRFTSTETLDGENKYHCVRCKSYEKAKKKLTVSEAPNVLTIALKRFQSGKFGKLNKPIHFPEVLDLAPFMNGTSDKSPIYRLYGVVVHLDIMNAAFSGHYVCYVKNIQNKWFKIDDSVVIPVELDRVLTKGAYMLFYARCSPRAPRIIRNIIVSPDSKSKVNGKTLAMKPRHISTNISAAEYISSPISPDGSPTLDSFYSKFHHLRRILEEDSSSDSSSLLSSNSDEGSCSTDSTRDSTSTDDFSDYIFGDSGNGWSSTWRNSDSDFSFTPSSSLNSRHSPLSDMDRHDSVSPTANRLQNPTGSGGGVSLLR >OIV96940 pep chromosome:LupAngTanjil_v1.0:LG15:13810317:13811400:-1 gene:TanjilG_00522 transcript:OIV96940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYVLRVKLASFFTGAATASFAALYILHRDYKFAHQSFNQQRQKFEGGFSFLHILNVNYDLFVCARSIRKECNPRLKIRLLSPRVPGHDFKS >OIV96381 pep chromosome:LupAngTanjil_v1.0:LG15:20122211:20124759:1 gene:TanjilG_09808 transcript:OIV96381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGYRLMEESEIDERSIPNADGNEQGFANFDQHREFDESPYEILQNSKVSVENIISEMLSIKRERKSKSQLPELVTEMFLHFITLRQVNRSILIEEDRVKMETERAKAQVDFTTLQLHNLMYEKSHYVKAIKACNDFKSKYSDLELVPEDQFFREAPQDTKDSILSNDIAHDFMLKRLTFELFERKELCKLHEKLQQKKKILLETIANRKKFLSSLPSHLKSLKKASLPVQNQLGVLHCKKLKQHHSAELLPPALYVIYSQLLAQKEAFGQPIDLEIIGSLKDAQTFSCIQAHKDTGTPTNVDSSKLEDDAPDEEEGDQRQRKRPRRVQGQESVDQAGVFQVHPLKITLHVYDDEASNTMPSKLITLKFEYLVNLNVVCVGTEESNDGSKNDILCNLFPNDTGLELPRQSAKLVVGDAEVFDSQRTSRPYKWAQHLAGIDFLPEVSPLLLTGRETSDNGEAVRSEDVISGLSLYRQQNRVQTFLQRIRSRRKAQPALL >OIV96967 pep chromosome:LupAngTanjil_v1.0:LG15:14767646:14773884:1 gene:TanjilG_00549 transcript:OIV96967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METPQSLLKISVTWRGKKFIVEMDLGTNLKELGQELQKLTNIQEDTMRFIVPQISGKSSKLLAPFSTEHAHLSLQETSITEAKSIKMMGVSTDEVEDVLQNAKANLRIAGFEDEEMRQKQRVSHGLGFSLKLPQGPYIFCEFRTLEIPGVKLHPPPSEALKRMHMLAADPGIVAVMNKHRWRVGIMTEMPPIGYVGVSPKCLLGLNKNQGEEISLRLRTDDLKGFRKYQSIKKTLLHELAHMIYSDHDANFYALDKQLNQEAASLDWTRSASHTLSEIRNSRISEEDFIAESSNIPQKLGGNRTDWLTSARESSVAAAYHRMLHVSADKPGGSEINQEPDPCYTSETPDRMTPESNAIIDIGMPILVEKGYNSEPDPDDHNINGMKQEPDPDDSHHETLPSNVNLTSLYKSKEPDPEDAETLKPVDSTEITLDRHNLSRMDIHKPDSGDLEAEKNNSKHGSEPDPDMREESSTMQTDEPDPDDEEFQRINDPVTAVYNRLQKALEMLRGDTSSMQTASSLQTLLKIIRNVIEHPEEMKYKRLRKANPVIQNNILNNKAALEILLLVGFSEDTVLDNLGKAEPYLVLKRNDPGLLWLAKSTLESCRAC >OIV97047 pep chromosome:LupAngTanjil_v1.0:LG15:11066988:11069040:-1 gene:TanjilG_11564 transcript:OIV97047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKEEEVRPQVVLDEASLLKDSTNEELTKIQLMRDFLEKRDPSSKEVDVLTLRRFLRARDLDVEKGSAMFLKYLTWRCSFVPNGFISPSEISDELAQGKMFVQGLDKKGRPITVAFAAKHFQNKDGLDTFKRFVVFALDKLCSRMPPGQEKFLAIADIKGWGYANSDIRGYLNALTILQDYHPERLGKLFIVHAPYMFMKVWKVVYPFIDNNTKKKIVFVENKKLKETLLEEIDESQIPEIYGGQMPLVPLQDC >OIV96909 pep chromosome:LupAngTanjil_v1.0:LG15:13261214:13261728:-1 gene:TanjilG_00491 transcript:OIV96909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKLGLKPVTGVSRVTIKRTKNVLFFISKPDVFKSPHSETYVIFGEAKIEDLSSQLQSQAAQQFRMPDVGSITAKPDEGAAAEGPQPDDEEEEVDETGVAPHDIDLVMTQAGVARNKAVKALKNHDGDIVSAIMELTT >OIV97487 pep chromosome:LupAngTanjil_v1.0:LG15:226544:228147:1 gene:TanjilG_11011 transcript:OIV97487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARTGSKDIITLRGSAAIVSEFFGYAANSILYNRGVYPEESFVKVKKYGLPMLLTDDQGVKSFITNLTAQLSEWLEAGKLQRIVLVIMSKATGEVLERWNFSIETDGEVVDQGVSREKSDKEIMREIQAIMRQIASSITYLPCLDEPCVFDVLAYTDTDVAVPFTWIESDPKLIANPQMVKLHSFDTKIHKVDTLVSYKNDEWDEE >OIV97307 pep chromosome:LupAngTanjil_v1.0:LG15:2420563:2421150:-1 gene:TanjilG_07059 transcript:OIV97307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIVPALPPPPPRHRYQSRYDFTIRMTLPVTITVASATTSSINFPITFNITPTSIYTTPYFYDPIETTRIGYQNQSLPNGVLGLIHPFGCLILPTIITVHTNTIVFNINISVSGHIHISPLPNTTGIVAAPDINALTHNMLVTLPFILTVPIVFVNNTTIVICIWINNDNIYVCSNICKETFDYLAFITFARIFR >OIV97233 pep chromosome:LupAngTanjil_v1.0:LG15:3432423:3433618:-1 gene:TanjilG_13712 transcript:OIV97233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSWFKKSIPKFLKVSEVDAEEKIKKVVKPMTMNAFHIFSLSEGFNLSPLFEEEKKDEMILEKLAKAMNFDVKSETQLKMQGKERGRNGNLGIAADIYVVTPSLLVVEVKKDYGDTLEYNQFYSKELRPGLKDILWTSSSENSAKLFEDIL >OIV97089 pep chromosome:LupAngTanjil_v1.0:LG15:9971769:9974417:1 gene:TanjilG_14003 transcript:OIV97089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWSWVANRWTGVAASIWIQWSCGASYTFSIYSPILKSTQGYDQSTLDTVSVFKDIGANFGILSGLLYSAVSPYNNPRRENDIQGSPKSKWTWLGGPWVVIAAGAIQCFIGFMFIWASVAGLIDPPSLPVMCFFAWLGSNGQTFLNTTNVVTSLRNFPQYSGTIVGIMKGFLGLSGAILIQLYHTFCDRDPTTYLLMIACLPTFICILLMLLVRIYEVYNGDYKEHLNGFSLVTVIIVAYLMFIIILQNFFVFPSWAHIFTFIILMVLLALPFGIAIKAHSEESYQVVQTCKLDSTSSSSPSGDKVEYQELPSDAGEVQVISDDMLPPEEEMNLLQAMCTVEFWMLFVTMISGLGSGLATINNMSQIGESLGYSIKEINNLVSLWSMWNFLGRFGGGYVSDYIMHRKGWPRPLFMTATLGIMILGHVIIASGFRGNLYLGPVLVGICYGANWSLMPTITSEIFGVKHMGTIFNTIAAASPLGSYILSVRVVGYIYDKEANKEDNSCFGNHCFMSSFFILAGVAFLAFLVCLVLYFRTQRFYKLVVLRRLKQSVRY >OIV97105 pep chromosome:LupAngTanjil_v1.0:LG15:8811966:8813732:1 gene:TanjilG_10051 transcript:OIV97105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSSLSAMWKIRHKSILIPLTPNHPSPISRSSSNITTNPLRRFKTPHESLRNFSHISIELDPSKNPHLSIHAFCEMGLVEKALLVYKNLKTLPLLRTCNALLHCLVKARWFDSAWDVYRDMVSHGFSPTVITYGILMQCCCVRGDFLNARKVFDEMLERRIEPTVIVYTILIRVFCNEGRIADAEGVFRMMRESGVNPNLYTYNTLIDGYCKVADFGRVFALYRDMLWKGLRPNVVTFATLVDVLCKLGDLKAARNCFAYMPKFGVVPNTYAYNSLIDGYCNVGNLDEAMNLRVEMERCGTFPDVFTFNILIKGLSELGRLEEAEDLMQKMDTAGVLSNCVTYNIIIDGYSKKGHMKKALEVCSQMTGTKIEPNLITFSTLIDGFCKLGDVKAAMGLYMEMVIKGLVPDVVTYTSLIDGHFKDGNMKEAFRLHKEMLSAGLKPNVFTVSCLIDGLFKDGRTYDAIKLFLEKTGSGCPGDKIGSSFCSLNNVIYTILIQGLCKDGQIFKASKFFTDMRCNGIKPDMLVYVTMLQSHFLSKHMLDVMMLHADILKMGVMRNASICRVLSRGYRENRYFRSANFCPGGCQL >OIV96576 pep chromosome:LupAngTanjil_v1.0:LG15:18031851:18032958:-1 gene:TanjilG_28433 transcript:OIV96576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDSLTLIGFWGSPFALRVKWALELKGIQYQYVEEDLTNKSALLMQYNPVYKKVPVLVHQGKSLAESLVILEYIDETWKQNPLLPLDPYEKAKARFWSRFVDEKCVPAVMTTFSKGGVEQKKAAEEARENLKTLESGFEGKRYFGGEKIGFTDVATGWLGCWVRLVEEIVGINLIDTKSMAKLNAWFDDFLEHPIIKECMPPRLPHQLENRGEGELGGDDKSRSGYRETSHAICIEIIVNANAYDDEGNQHDGEAGGLEEAG >OIV97127 pep chromosome:LupAngTanjil_v1.0:LG15:7339585:7348669:-1 gene:TanjilG_00156 transcript:OIV97127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNLFKRGRENPADAEPPYAAATPSASPVTGPARPIRLVYCDEKGKFHMDPEAVATLQLVKEPIGVVSVCGRARQGKSFILNQLLGRSSGFQVSPTHRPCTKGLWMWSTPLKRTALDGTEYNLLLLDSEGIDAYDQTGTYSTQIFSLAVLLSSMFIYNQMGGIDEAALDRLSLVTQMTKHIRVRASGGKASASELGQFSPIFVWLLRDFYLDLTEDNRKITPRDYLELALRPVQGSGRDIASKNEIRDSIRALFPDRECFTLVRPLNDENDLQRLEQISLDKFRPEFRSGLDSLTKFVFERTRPKQIGATMMTGPVLVGITESYLNALNHGAVPTISSSWQSVEEAECHRAYDTATEVYMSSFDRSKPPEEAALREAHEEAVQKSMAAFNASAVGVGSARKKYEGLLQKFFKKAFEDYKRNAFMEADLQCSNAIHSMEKRLRAACNASGARIDNVAKVLDALLTEYEKSIQGPGKWQKFAVFLQQSFEGPVLDLTKRLIDKVESDKNALALQYRMIEDKMSLLNKRLEATESDKSEYIKRYEDAVNDKKKLTDEYMNRITDLQANRRSLEERYSSVLKTLDSTKHESVDWKRKYEQVLSRHKAEEDQASSEIAALKSRGAAAEARLSAAREQAQSAQEEAEEWKRKYDIAVREAKAALEKAAIVQERTNKQTQLREDALREEFSVTLSEKDDEIKEKAARIEHAEKCLATLKLELKAAESKIRSYEAEISSLRIEIKELSEKLKTENAKAQSYERDALVFQQEKSHLEQKYQSEFKRFEELQERCKHAEKEAARATEVADKARVEAVTAQKEKSEMQRLAMERLTHIERAERKIESLEREKDNLEDELQRVRYSENDALTTVTKLEEKVQQREKDLDALLDTDKTHRRNNAQILEQLLETERQAHTQANNRAESLSLQLQSAQAKIDSLHQELTKFRLNETVLDSKLKTASRGKRVRVDDEYGAESFQDIDLMNPRIVRTNKRSKSTISPHQDTHPEDGGSTYKGAEDDHSQHTNQDYRKFTVQKLKQELTKHNFGDQLLQLRNAKKEDILALYEKCVLPKL >OIV97017 pep chromosome:LupAngTanjil_v1.0:LG15:11816578:11827362:1 gene:TanjilG_03591 transcript:OIV97017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAGLKFVCKPNLHLPPTPFQCLRKVVPRFVATVQTKPFANQQCSTSFNEEEDKKPSAWKRLNSKELGIRNSMIGMTTKKVLNGLKKRGYDVYLVGSCVRDLILKRTPKDFDIITSAELEEVMRTFSWCEIIDKRFPVCHVHMDGTIVEVSTLDSTRSNVDMEFSHDIEAPTGCNKKDHLRWMNCLKRDFTINGLMFDPYARIVYDYMGGIEDIRTAKVQTIIPAATSFQEDHGLSDSIFPAAHILRAIRIAACLGFSISKETSQFVKSQSSSVLRLDKVRILMEMNNMLAYGSGEASLRLLWKYGLLDILLPFQAAYFVRHGFPRRDKRTNMLLSFFSNLDKLLAPDRPCHSSLWVGILALHKTLSDRPRDPLVVASFSLAVHNGGNLLEAVNIARMINKPYDVTFPELLDPSGLDAESLEAEILDLAESIRGTLLQMTNEYFVSQAMADYPEAPHSDLASYGISSLRTISIGWTKRSSPSLRTTGFRISCAAQPETLEKVVEIVKKQLALPAETEVTPETKFTALGADSLDTVEIVMNLEEEFGINVEDENSENITTVQEAAELIEKLIQKNGEAKK >OIV96775 pep chromosome:LupAngTanjil_v1.0:LG15:16155007:16158732:-1 gene:TanjilG_18088 transcript:OIV96775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVKVYGADYACPKRVIVCLIEKEIEYETVFVDGFKGEHKQPEYLKLQPFGLLPVIQDGDYTLYESRAILRYYAEKYKNQGTDLLGKTIEERGLVEQWLEVEAQNFNPPIFSLVMNILVSPIMGTPSDPKVIEECEEKLGKVLDIYEDRLSKTKYLAGEFFSLADLSHLPFTHYLVGSMGKAYMVRDRKHVSAWWDDISSRPSWKKVVQIHPFPL >OIV96334 pep chromosome:LupAngTanjil_v1.0:LG15:20411591:20412148:1 gene:TanjilG_09761 transcript:OIV96334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSATQKDMKIVSSVDPVTNNVSSPENPYPQASVVTLAGENKGAIMHIGSSSKKESSIPIHRAYKTNQTEETTTDEDENSCEDSIDKTFVNSNIQSMNNSMLLHGSISGRDPGVRLILQPQHSIKYLDTGNSGKGQIVNKSSYRPQPVVRRRCLRGLLLESSDSEPDNTNKPSRHGCKVPCGVTN >OIV97266 pep chromosome:LupAngTanjil_v1.0:LG15:2845199:2848707:-1 gene:TanjilG_07018 transcript:OIV97266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCLISLLFLFTISLSSTTTTTLAITSHFVSLPVPDGVEGFGEGEFNEELPWKASMRSLTEGGNVTANESLILASNRTNRKDPTENLTPYTGGWNITNTHYLWSVAFTAFPFFVVAAVWFVFFGVSLSIICLCYCCCPRQPYGYSRLAYALSLIFLIVFTIAAIAGCLVLYTGQGKFHESTRDTLKYVVGQADFTAENLRNVSDYLSAAKTIGVDAVFLPTDLQKNIDDVVSKIYSAAVTLSTKTADNSDKIKKGLYGVGLALIILAAVMLFLAFVGFVCSIFGLQCIVYFLVVIGWILVAVTFILCGVFLSLHNVVGDTCIAMDEWVQNPTAHTALDDILPCVDNATAQETFLRTKDVTYQLVTIVEKVISNFTNKDFPPVAVPLYYNQSGPPMPYLCNPFHGNLSSRECAYGEVTLDNATEVWKNYTCQVSPSGLCQTAGRMTPTIYSQMGAAVNISYALYHYGPFLVELQDCTFVRKTFTDISDNYCPGLLRYTQWIYIGLVLVSAAVMLSLIFWVIYARERRHRVYTKFVAG >OIV97038 pep chromosome:LupAngTanjil_v1.0:LG15:11620687:11623087:-1 gene:TanjilG_19585 transcript:OIV97038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGVSLSMFSLSSHELYPMIKASDANVDNVSSEIAAYCVNGNNLEPEKVKGKILVCIDSYFDQIWVEQTGVVGVIYPITESIQQLYLVPLMLPASNLNYADNKCFLNYINHTKSPTAIISKVETKLGTKPAPKLAVFSSRGHDPIEPRILKPDITVPGLNIIVVNAKANSPSGSTYNKRNAPFQLVFGTSMSCPHVSGLVVLLKALHCDWSSAAIKSAIMTTGLII >OIV96553 pep chromosome:LupAngTanjil_v1.0:LG15:18868206:18873781:-1 gene:TanjilG_24206 transcript:OIV96553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNTERFANLALAGLTLAPLVVKVDPNLNVILTACLAVLVGSYRSVKPTPPTETMSREHAMRFPFVGSAMLLSLFLLFKFLSKDLVNTVLTAYFFVLGIVALSATLLPSIKRFLPDHWNENPTVWRFPYFSSLDIEFTKSQLVAAIPGTFFCAWYALKKHWLANNMLGLSFCIQGIEMLSLGSFKTGAILLAGLFVYDIFWVFFTPVMVSVAKSFDAPIKLLFPTFNSARPFSMLGLGDIVIPGIFVALALRFDVSRGKQPQYFKSAFFGYAFGVVLTIVVMNWFQAAQPALLYIVPSVIGSLAAHCIWNGDVKQLLEFDEAKTANSSEEVDDKSSKKVE >OIV96988 pep chromosome:LupAngTanjil_v1.0:LG15:12569689:12570099:1 gene:TanjilG_31879 transcript:OIV96988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVSALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >OIV96313 pep chromosome:LupAngTanjil_v1.0:LG15:20521359:20531597:1 gene:TanjilG_09740 transcript:OIV96313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSVDQSGTYQRSGSAFDSPPPKQKPGIPPSQSDINIHPSTPYPKTLGSLSPPHRLHYSGGSSQSRSLTQFTTTPTSTTSYESDSLTGNMVVGESVVSTTHVPSHSVFINRWHALQVGHNISPHKGHRRSRSDSPLKISGVMQSSSSGEEMTDFQKHVQFGLKGEVKDAKNVDGYSVDEPMNNGEKKKEKEGKTSHLLKNKEDQHSSNTSIDDKKSQTIMELENDDFNTEELKKIMESDKHVEIASTDPKREKRSMAVVEEEKENEVVNGGELLFCGATCWDMIGRKKGIDGNLVSPSRLRPLIGIDIRYIAAGCASCHCVALDVEGRCYTWGRNDKGQLGHGDTVQRDRPTVVSELSKYKIVKAGAGKSHTVVVTEDGNALAFGWNKHGQLGSGSVRNELEPTPVRCLVSEVTYTTCGGDFTVWLSSIEGSSILTAGLPQYGQLGHGTDNEYNSKDGSVKLVYEAQPRPRAIASLAGENIVKVACGTNHTVAVDKSGYVYTWGFGGYGRLGHREQKDEWAPRRVDVFQNRNVLPPDAIISAGSVSSACTAAGGQLYMWGKLKNNGDDWMYPKPLMDLSGWNIRCMDSGGMHHFVGADSSCISWGQAQNGELGYGPMGQKSSAIPKKVDILEGMHVMSVACGMAHSMVIVDRTNVAERLNQLDVYDGKAFGEGNAPATETPVPKQTAKKGAKEANNSKKRKKEKDSSNEEDEEDVEESDNSDEDQVNGEAEVKRAGRGRGKASTSKKSGADGKGSGRGRGRPPVRNKGSSKSSPVKSAGKRGRPRKSS >OIV97514 pep chromosome:LupAngTanjil_v1.0:LG15:403342:413842:-1 gene:TanjilG_11038 transcript:OIV97514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLQLLQLTQHGQRFMASRRKTLLLATGILAAGGAAAYMQSRFRANKHDEEVTKDEVAKNGSASKNKQKKGGFKSLKVLTAILLSEIGKSGACSLLALVGTVVLRTALSNRIAQVQGFLFRAAFLRRVPLFFRLISENIILSFLLSAIQSTLKYLTGTLGLHYRKILTKRIHSHYFENMTYYKISHVDGRITNPEQRIASDVPRFCSELSEIVQDDLIAVTDTLLYTWRLCSYASPKYAIWLLVYVLGSTTAAKIFSPTFGKLMSKEQQLEGEYRQLHSRLRTNSESIAYYGGEKREESYIQQKFKTLVKHMKVVLHDYWWFGTIEDFLLKYLGAAVSVILIAEPFFTGHLNPDSSTLERAEMLSNLRYHTSVIFSLFQSLGTLSISTRRLNRLSGYAYRIYELMAVSRELSSVDAKPSMKRKESRHCISETDYIEFNDVVTPNGNLLVDRLNLRIESGSNLLITGPNGSGKSSLFRVLGGLWPLISGYIAKPGAGSDLNKEIFYVPQRPYTAVGTLRDQLIYPLTSDQEVEPLTDSRMVELLKNVDLEHLLDRYSPEKEVNWGDELSLGEQQRLGMARLFYHKPKFAILDECTSAVTTIMEERFYAEVRAMGTSCITISHRPALVAFHDLVLALDGEGGWVVHDRREDSSIEMKIPTMEASETKRQSEAKAVQQAFFMNKKDSASSNSKAQSYISEVIASSPSTNYSISPSVLPQLRGNTRILPLRVASTCKVLVPTVFDKQGLQMLAVAFLVVSKTWASDRIAKLNGKVMKYVLEQDRASFIRIIGVSVLQSAAASFIAPSIRHMTGSLALGWRIRLTQHLLKNYLRNNTFYKVFNMSSKNIDADQRLTHDLEKFATDLSLLVPGLVKPTVDIAWFTWRMKLLTGQRGVAILYTYMLLGLGFLRIVTPDFGDLINQELALEGTFRFMHERLRTHAESVAFFGGGAREKAMVESRFIELLNHSKYLLKKKWVFGILDDFVTRQLPNNVTWLLSLLYAVEQHGDGASISSQGDLANGLRFLASVVSQSFLAFGDILKLDRKFVELSGGINRLSELEEILDAAQPANFISNGAILPLKNFRSKDAISFSKVDIVTPNQKILARELTCNIELGESMLITGPNGSGKSSVSRVLRGLWPVASGKLFRPSEDVDHCGIFYVPQRPYTCLGTLRDQIIYPLSREEAELKALKMYGTGTLHSDTGNYLDTRLKVVLENVQLIYLLERDERGWDASPNWGDILSLGEQQRLAMARLFFHEPKFAILDECTNATSVDIEEHLYRVARDMGITFVTSSQRPALIPFHSSELRLIDGEGNWELRKIKQ >OIV96653 pep chromosome:LupAngTanjil_v1.0:LG15:16967527:16976605:-1 gene:TanjilG_09195 transcript:OIV96653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVKVLVEWWRWCSSIALILTVVFGTVHGGNVSYDGRSLIINGEHKILFFGSIHYPRSTPEMWPDLIAKAKEGGLDGIQTYVFWNLHEPQQGQYDFSGGRDLVKFIKEIQAQGLYVTLRIGPFIESEWTYGGLPIWLHDIPGIVFRSDNEPFKYHMQNFTTKIVDMMKSAKLFASQGGPIIASQIENEYGNVQRSFHKNEWSYVKWAANMALGLKTGVPWIMCKQDDAPGEVINTCNGMQCGTTFKGPNSPNKPSMWTENWTSFYQAFGGEPYLRSAQNIAYNVALFIVAKKGSYVNYYMFHGGTNFDRLASAFITTAYYDEAPLDEYGLAKEPKWSHLKQLHAAVKSCSESLLYGTQTIVNLGKQQNAYVFKRDSRGCAAFLENSDAKGVTIQFQNHPYHLPPKSISILPDCKTVVFNTAKVSVKTKARIMKPQFQFNSAEKWKLYKEPIPNFDDTSSRENKLLDQISKAKDTSDYLWYTFRFNDKSGNAHSVLRADSQGHVLHAFVNGVLVGKFCSWKSQECIFHYGEECPSDMGEDEQHFLPECNCWIAGAFLERRVAGLSAVKVQGRDFTHQPWGYQVGLLGEKLQIYTASGSSKVQWESFQKSTTPLTWYQTTFDAPVGKDPVALNLGSMGKGQAWINGQGIGRYWVSFHSQKGTPSQKWYHIPRSFLKPKENLLVLHEEESGNPLAITLDTVTYE >OIV96579 pep chromosome:LupAngTanjil_v1.0:LG15:18073219:18075158:-1 gene:TanjilG_28436 transcript:OIV96579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEENTNTVRKPRFLCLHGFRTSAEIHKKQMQKWPQSLLDNLDLVFVDAPFPCQGKSAVEGLFDPPYYEWFQYNNEFTEYTNLDECLEYIEECMIKYGPFDGLLGFSQGAILSAALPGLQEKGLALTNVPKVKFIIIIGGGKFKSPSFAEKAYPSPITCPSLHFLGEKDFMKTYGKEVIETCVEPVVVHHPRGHTVPVLDEENLKIVMSFIERIKKIVENEQEIVNISSNVVGNESTQLIQEVIFNKE >OIV96593 pep chromosome:LupAngTanjil_v1.0:LG15:18197568:18201037:1 gene:TanjilG_28450 transcript:OIV96593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSCYANAVLQCLAFTPPLTTYFLQGLHSKACTNKKWCFTCEFESLILKSKGTKSPLSPIGILSQLQNIGSQLGNGREEDAHEFLRHSIDTMQSVCLMEAWANTPSSLEEETTLMGLTFGGYLRSKIKCMKCGGKSERQERMMDLTVEIGGEIATLEEALRRFTSTETLDGENKYHCVRCKSYEKAKKKLTVSEAPNVLTIALKRFQSGKFGKLNKPIHFPEVLDLAPFMNGTSDKSPIYRLYGVVVHLDIMNAAFSGHYVCYVKNIQNKWFKIDDSVVIPVELDRVLTKGAYMLFYARCSPRAPRIIRNIIVSPDSKSKVNGKTLAMKPRHISTNISAAEYISSPISPDGSPTLDSFYSKFHHLRRILEEDSSSDSSSLLSSNSDEGSCSTDSTRDSTSTDDFSDYIFGDSGNGWSSTWRNSDSDFSFTPSSSLNSRHSPLSDMDRHDSVSPTANRLQNPTGSGGGVSLLR >OIV97381 pep chromosome:LupAngTanjil_v1.0:LG15:1712004:1720587:-1 gene:TanjilG_07133 transcript:OIV97381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISDVPETAGTTVTATVFGSESDLDLSSLRRRHSATSGFDSLNGKSNEEHDKDRSKQQHAIADNATIHNDTADKDNQERVADVKFTYRPHVPVHRRIKESPLSSDNIFRQSHAGLFNLCIVVLVAVNSRLIIENLMKYGWLIKYGFWFSSKSFRDWPLFMCCLSLAIFPFAAFLVEKFAYQKCISEPVVVLLHIIITTTAFFYPVLVILRCDSAFVSGAILMLLTCIVWLKLVSYAHTNSDIRALIVSNEKGETFPNNFNMEYPYNVSFKSLAYFMAAPTLCYQPSYPRTPSVRKGWVFRQLIKLIIFTGVMGFIIEQYMNPIVQNSQHPLKGNILYASERVLKLSVPNVYVWLCMFYCFFHLWLNILAELLRFGDREFYKDWWNAKTVEEYWRMWNMPVHKWMVRHIYFPCIRNGLPKPAATLIAFLVSAVFHELCIAIPCHMFKLWAFIGIMFQVPLVVITNYLQNKYRNSMVGNMIFWFIFCIFGQPMCVLLYYHDLMNRKGELD >OIV96428 pep chromosome:LupAngTanjil_v1.0:LG15:19853124:19855453:1 gene:TanjilG_09855 transcript:OIV96428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLSSTAHVSKKPFPHSSEAKRLSVKCAVSPTTWQESRRIVSISIALSHFLLIPNRAAAEGSMLDKYVKRKKLDPLEAYVPAVILTQFQIKDLEKTLEGDEPQFSLCRSLLRSGPAASLRVNIRAVAQYASDSGNGKTAFNSVDDCLRSLEDLDNLLLRASRNDPGASVKSMKAKIGSTLNALDSLLQTVPPDVLSRGKVIADSYREPEDVETQSLDPELKQLESIL >OIV97227 pep chromosome:LupAngTanjil_v1.0:LG15:3708193:3711700:-1 gene:TanjilG_27210 transcript:OIV97227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVCKSEKVLGKHKTVESPRSRAPLALSEKNNAATINTRICRTREVSSRYKSPTQATPSSPRRCPSPNLTRTTTLASTSSSSSQLLLPKRALSAGRTRPSTPPSPTTPRPSTHVNESSVDVKLSSRRGSGSRLQAADGLWPSTMRSLSVSFQSDSISIPVSKKEKPVSSASDRTLRPPSNVAHRPESPRLRKPTPERKRSPLKGKNGADQSENSKPGDVLSPRLIDQHRWPSRIGSKMSSCSLNRSVDFGNNRMGNASAPGIGLSSLRRLSSSEEASRPLQRASSDSVRLLSLVGSGRKGSEGKPFDECSVPVLRPQKSAPATPSEKAGLTFAGVRYQSYLASGLHPSSPSKASVLSRGSSPYRSRPSTPPSRGVSPSRIRPTSSTTQSNNSISVLSFVADLKKRKKGAAYMEDAHQLRLLYNRNLQWRFANARAEAVRNIQTAIVEKTLYNVWNSTLSLWDSVIRKRINLQQLELELKLNSVLNDQMAYLDDWAVLERDHVDALSGAEQDLEASTLRLPLTGRATADIEHLKVVICQAVDVMKAMGSAICSLLSRVEGVNSLISEVAVVVAQEKAMLDESEVILASVAAMQAKEKKMKSNGGKY >OIV97476 pep chromosome:LupAngTanjil_v1.0:LG15:171295:174384:1 gene:TanjilG_11000 transcript:OIV97476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWNSKSLGQWDWEENLFFFNGKASENPKLQSTTNWSSEADKEINVGLLYPSGVSVCSESELIHASSSRSSKSASINSSSNEDSKISMFTLEGSQHDDSTGKQKFSKEEPVENSPAQEPSSVSGEPFFTLKLGKRLYLEDVSTGSDSKKPSSSAGKKCKSNGQNLQHPSCQVEGCGLDLSSAKGYHRNHRVCENHSKSPKVIISGSECRFCQQCSRFHGLSEFDDKKRSCRRRLSDHNARRRKPHPDAVRLNKLALSLSPYDGRQLMSPFAYSRTASNLACQDIHSRKFPFHTKDFLMKPEKAFNKIPNAVTMISDNSSGLLTSKGIATKSIIAGIEYPITSSDLNGTQDLNRALSLLSNNSSWGAAYESKSFSIEYSNRTTSTTHQPITTHAAMSHHILPFASSEYWNTNQQPANSGICIPSYSDSDNNNSFKEFQLWYTPYESGFPCNQLD >OIV97502 pep chromosome:LupAngTanjil_v1.0:LG15:333002:333469:-1 gene:TanjilG_11026 transcript:OIV97502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGLVFQQQQCYGDDGMWIDTHAQHQQSYGYGYNQDSWGSNNMNMIKIKPSGANYAIESHHGYGNKLGSATHSYSHHQFSNGGADKFDFDSSGHHGGYNFEEYNEYNEERHGAGKMKVNEMKYEHRNYGGGDHGFHANPYGHGGYKGVWITKGV >OIV96322 pep chromosome:LupAngTanjil_v1.0:LG15:20465210:20468028:-1 gene:TanjilG_09749 transcript:OIV96322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEENGSSNLETSKAERSVWLMKCPVVVAKSWQQNHNHNNNNQHHSQPPLAKVVLSLDPLQPDDPSSLQFTMEMAGSGAVNMPKSYALNMFKDFVPMGVFSETNQGGNVAMEGKVEHKFDMKPHGEDIEEYGKLCRERTNKSMIKNRQIQVIDNDRGVLMRPMPGMVGLISSNSKDKKRTQPLKQSDTKRTRRDRGELEDIMFKLFERQPNWALKQLVQETDQPAQFLKEILNELCVYNKRGANQGTYELKPEYKKSVEDTSAE >OIV96326 pep chromosome:LupAngTanjil_v1.0:LG15:20445132:20447998:-1 gene:TanjilG_09753 transcript:OIV96326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDTEDAVRRRNAVTEYRKKLLQHKELESRVRSVRENLRASKKEFNKTEDDLKSLQSVGQIIGEVLRPLDNERLIVKASSGPRYVVGCRSKVDKEKLTSGTRVVLDMTTLTIMRALPREVDPVVYNMLHEDPGNVSYSAVGGLSDQIRELRESIELPLMNPELFLRVGIKPPKGVLLYGPPGTGKTLLARAIASNIDANFLKVVSSAIIDKYIGESARLIREMFGYARDHQPCIIFMDEIDAIGGRRFSEGTSADREIQRTLMELLNQLDGFDQLGKVKMIMATNRPDVLDPALLRPGRLDRKIEIPLPNEQSRTEILKIHAAGIAKHGEIDYEAVVKLAEGFNGADLRNVCTEAGMSAIRAERDYVIHEDFMKAVRKLNEAKKLESSAHYNADFGKD >OIV96763 pep chromosome:LupAngTanjil_v1.0:LG15:16496465:16497539:1 gene:TanjilG_19922 transcript:OIV96763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDHKTHQPTNSFHLSDETRHRTKSTNSNYDDTWEATKSGLWPSKQSEFITESKGLVVVDDESGICSPPLWKTSPPMSPKDERDNDYRSLSPKSRTQAIVKGQKELMDMVKNMPETHYELSLKDLVEHHQQRLDHTQDKKVEEKKKSGGGGSGRRVVVLDKVGSVKRKNGVKVDHGGGFYLKMVFPFALGSKDKKKKLIKKKESNSVNGSSKVSPKPSGSEKEWWKKSLSGIKESDSSVSSINGRSMKSSSGRSSSTNSSNSSR >OIV96844 pep chromosome:LupAngTanjil_v1.0:LG15:15339747:15341900:1 gene:TanjilG_08705 transcript:OIV96844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVERRGGYAANRFTQDFRMLHNSCDHQSSVSKKLGSDRPPSNSMNDNIHVNSGCAPQNENADKLPDMKWWLHVKTNLEGEANYTCQRLNSWESELDTVYEGFLHDNVNNFDTLSYTGGSNKAVQQSWNVSPTYMKNKTDTKMPKIEAALNSDIHLTPKKKDQGGFYFLDGHSVDSDITDFTVSEKSKMTSSDLESSFMGTEKTGPWWRNAGKDELASLVAQKSLEHIENCDLPQPQARHFRQRPLYSKGVEHDKTLASSLNPKPENVFSNADSYTSGKPTSVCSFQDSSRNFSSSQSKDSGSSKKDYPINSENNSMSELMEALCHSQTRAREAEKAARQAYNEKEHILSLFFRQASQLFAYKQWLHIMQLENLCLQLRNKNQPLLNFLPYRGMQLKKSRDRAGKRKRSKSRRRGIGKCAIAFVVGLGLAGAGLLLGWTMGWMFPPL >OIV96542 pep chromosome:LupAngTanjil_v1.0:LG15:18969519:18970082:1 gene:TanjilG_24195 transcript:OIV96542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGITEACSRLIGDLFQAFKHSPPCTIPHLDHNHDPTCNPQNVVKLDEKMVTALVTVFGMQNNGKIKKENARKVVEKLGLMYGSKEDKPSRFELVVEGGLQDDEVPVEDVLGELEDDMSKRSELLQEAFKIFDEDGDGYIDAMELKRVLDCLGLDKGWDINTIEKMVKVVDLNFDGKVDFSEFVLMMG >OIV97478 pep chromosome:LupAngTanjil_v1.0:LG15:182749:183591:1 gene:TanjilG_11002 transcript:OIV97478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQRKWFLWLAITGLIGAVFFIMTLIQSPNTLCIETQTITQTRTRTRVSEYYNPTTIQLKAILHYATLRVVPQQSLSEIKISFDVLKSLGRPSNFLVFGLGHDSLMWASFNPRGTTLFLEEDPKWVQTVLKDAPNLRAHAVRYRTQLRDADALLSSYRSEPACSPSRAYLRDNGACKLALDNLPEEVYETEWDLIMIDAPKGYFAEAPGRMAAVYSAAVMARGRKGSGVTHVFLHDVNRKVEKVYAEEFLCRKNLVKSVGRLWHFEIPPQTNHIDVPAFC >OIV96683 pep chromosome:LupAngTanjil_v1.0:LG15:17288736:17293218:1 gene:TanjilG_09225 transcript:OIV96683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHDNPNSSSSSSSHSSPPGLIRHFQAALKRHRPLGTMQQSNSLKPKRVLLPKRNVSKDVNKSHDMASKESISHINNTPSDSDATPPSILTFDEASNPFVTQEQQYHDKHDVYNLKDNNFSVPSMQVQNAHKKVNFSIQNHTAPHGANDGKATELDNLSSHMSSLGFTEMDWVESGQPEPSIEESKLQKIQEAEHDTSVRAERGVSSMLPKRTVITQDLMQDFRNFLGHPATQSSAMGTSCATTTSVNSSSAPMLNSVSHYSHLYLDSGSQVAAEPLGEPNVNPHPITEGIMKSSNSSLKDTNRMSVDQAPIAVQGSGSSVDAELAFKEGAPSNEQQGCSSKETSISKYTSYHDDKSTKGEELADVNIQSQAPMTKTSSSDVKLESSKLEKREKTTSGKGSSASRRKIYDPNLFFEVNGKLYQRLGKIGSGGSSEVHKVISSDCKIYALKKIKLKGHDYATAFGFCQEIEYLNRLKGKDNIIQLTDFEVTDKALLKEVMKGSISNRGGRVKDDGYIYMVLEYGEIDLAHMLSQKWRELNGYNQTIDENWLRFYWQQILQSVSTIHEERIVHSDLKPANFLLVKGSLKLIDFGIAKAIMNDTTNIQRDSQVGTLSYMSPEAFLCNESDTNGNIIKCGRSSDIWSLGCILYQMVYGRTPFSEYKTFWGKFKVITDPNYEITYEPVSNPWLMDLMKRCLAWDRNQRWRIPELLQHPFLVPPVPCHQPSLDQDLSCKLLQLIAETCKNDPEASKLCCQLQQVLDDPLKLITPHSPKARDQQLKLLSRMSELSIQLQECLKNTDN >OIV97123 pep chromosome:LupAngTanjil_v1.0:LG15:8106148:8107110:-1 gene:TanjilG_04927 transcript:OIV97123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLAPEELQFLAIQDILRESISIPKRSPKTFYLITLTLIFPLSFAILAHSLFTHPLLAQLDSPYADPSQTSHEWTILLVFQFCYLIFLFAFSLLSTAAVVFTVASLYTSKAVSFSSTISAIPRVFKRLFITFLYVTLLMFAYNFIFVLSLVLLIVAIDTDNSSLFFFSIVVILLLFLVVHVYITALWHLASVVSVLEPVYGYAAMKKSYDLLKGRAKYAAILVCGYLVICGVIGGFFSGMVVHGGDSYGVFARIVVGGFLVGVLVIVNLVGLLVQSVFYYVCKSYHHQGIDKSALHDHLGGYLGEYVPLKSSIQMENLDV >OIV96455 pep chromosome:LupAngTanjil_v1.0:LG15:19670345:19673817:1 gene:TanjilG_07847 transcript:OIV96455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALWRSIVFSSLLSLLFIHVAHSFYLPGVAPQDFQKGDLLQVKVNKLTSIKTQLPYTYYSLPYCNPAKIQDSAENLGEVLRGDRIENSLYVFKMREPQMCNVVCNRKLDAKAAKEFKEKINDEYRVNMILDNLPLVVPIKRSDQDLTVYQLGFHVGLKGQYSGSKEEKFFIHNHLAFTVKYHKDIQTESARIVGFEVKPFSVKHEYEGKWDEKTRLTTCDPHAKHTVVNSNTPQEVEENQEIIFTYDVEFQESDVKWASRWDAYLLMNDDQIHWFSIVNSLMIVLFLSGMVAMIMLRTLYRDISKYNDLETQEEAQEETGWKLVHGDVFRAPQNSDLLCVYVGTGIQFFGMILVTMIFAILGFLSPSNRGGLMTAMLLLWVFMGLFAGYASTRLYKMFKGSEWKKIAFRTAILFPASVSAIFAVLNTLIWGQKSSGAVPFGTMFALIFLWFGISVPLVFVGSYVGFKKPAIENPVKTNKIPRQIPEQAWYMNPAFSVLIGGILPFGAVFIELFFILTSIWLNQFYYIFGFLFLVFIILIITCAEITIVLCYFQLCSEDYLWWWRSYLTSGSSALYLFLYATFYFFTKLEITKLVSAILYFGYMLIASYAFFVVTGTIGFYACFWFTRLIYSSVKID >OIV97335 pep chromosome:LupAngTanjil_v1.0:LG15:2145034:2150847:1 gene:TanjilG_07087 transcript:OIV97335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTANKKTHHKDKPVRRKEEKPEEPEEPKYRDRAKERREDQNPDYEQTELGFHAVAPPGNVDLRSSDAQKLSIEKSKYLGGDVEHTHLVKGLDYALLNKVRSEIVKKPEAGDDVDGKSRASKEDQQVSIRTATAKSVYQWIVKPHTIIKTNEMFLPGRMTFIYNMEGGYHHDIPTTLHRSKADCPVPEEMVTVNVDGSVLDRIAKIMSYLRLGSSGKILKKKKKEKDAKGKILAFSDGYDKEDKPSKAEGGGLKNQTEREPILPPPPPLPSKKNHPNLRENQGPAVARAEDDDIFLGEGVDYDIPGKDLSQSPLSEDMEESPRNKEKPSYFTEQTYGPIPPSMLPQEWHETNGYDVMQTQALAAGYQGEWQEYQYAEQLAYPDQYLQPDMQAYDLQAGINMPQDPRFMTQEEKDRGLGSVFKRDDQRLQQLREKDAREKDPNFISESYSECYPGYQEYNREVVDSDDEADLSKMDMGGRAKGRLHRWDFETEEEWATYNEQKEAMPKAAFQFGVKMQDGRKTRKQNKDQKLNNDLHKINKILARKKMDKDTDDDTGHHYDDETPGKKLRI >OIV97319 pep chromosome:LupAngTanjil_v1.0:LG15:2328588:2332989:1 gene:TanjilG_07071 transcript:OIV97319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSLQMNPQLEQIHGQIRDNFRALANGFQKLDKIKDSNRQSKQLEELTEKMRECKRLIKEFDHEIKDEEGRNPPEVNKQLNDEKQSMIKELNSYVALRKTYINTLGNKKVELFDMGAGSSDPTAQGNVQLASEMSNQELINAGTKTMDETDQAIERSKQVVHQTIEVGTQTATTLKGQTEQMGRIVNELDSIQFSIKKASQLVKEIGRQVATDKCIMLLLLLIVCGVIAIIVVKIVNPNNKDIRDIPGLAPPAPTRRLLYDDGKVGGMINGVIIWISSINFNFLPELCIS >OIV96509 pep chromosome:LupAngTanjil_v1.0:LG15:19242186:19247613:-1 gene:TanjilG_07901 transcript:OIV96509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNNLVSESIRRLQMAQFDPIVNNVDSSGRQMEMGLLDSVSSVPVSLQQATSNVHVGMSGSQGLPTSVIQSGHKVEAHMSSLGMAHLFLPQKQSVQIGTMPNNMGPQQMSTTPKRKVPMEFSYGSSVTSNKRVAHIDNRPWLQQVSTTSNKSSLQMQSNASRSQHMSASSKRKTQMEPTSSKPGTPRSVNPKSQNAQIKQTSKGQSESSESVRSKMRESLAAALALVSQQDKPLVTNNITQRDASNVDGKLKNSSLGTGSAPASIDTALEQKQENSPSVHSSFTAADPVEHMTGEQGKGTASYEDFSEKCKDDEAGSTNVYNDESIKSSMQVLNCDNPDFQSSYTLTTDDVPFSDSFFVKDDLLQGNGLSWVVSDMMDLEDPRDCQTTTEHRPADEIVRGCRETVLSPELLASRIEAELFKLFGGVNKKYKEKGRSLLFNLKDRNNPELRERVMSGEIPPEQLCSMTAEELASKELSQWRIAKAEEHEKMVVLHDSDVDIRRLVKKTHKGEFQVEVDREDNVPMQEVSGGTTSVAPNQRTKKDVQVSAPKPDVVKGDMSTDGEKNNLQKDHDGFDPMQGLMTDDALKDPDFLPPIVSLDEFMESLDSEPPFVNLHGEPGKVIPRSKVSDLTPSEQVDVTPDKPQSDNRQSTCVNPDVLEGKRNNAESGGISSYIKRAESHVDVKPTDGRTKEETIDEIKSASSVAEFRARQSRAEERSSNNNTCSKITVSTKDECFWEGMLQVNSNYSVISIFKSGEKTSAKDWPGLLEIKGRVRLDAFEKFLQELRLSRSRAIMILHFVSKGSFPNNEQSNLLEVADSYIVDERVGFAEPVHGVELYFCPPHKKTVEILSNILPMEQIEALYSIDNGLIGVIVWRKTNLTTSISPTTTTSHQKNSFKRQNSSRRQQDANLNAYLTHKPVPSMGPKLVETGPLCDDDDDDDDGDVPPGFGQPVAQVEDDLPEFNFSTSSNPSHVVQKPMGPSMVPFRSVNQSLPSRPAEHMRELVHKYGQNKANATSVNWEDKFGGTIQPWNDDDDDIPEWQPQNSQNQTMQNFHVRPHTMNQSFPSSHQYPIMPTQYLQPPMNVTHGQGNFAPPLVPSAQGNNLQPNVGHPYGMPGQGQGTTWPQNVARSRGF >OIV96450 pep chromosome:LupAngTanjil_v1.0:LG15:19702351:19706257:1 gene:TanjilG_09877 transcript:OIV96450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYLNSVLSSSSQVHAADDGPVTGGGLSQNGKFSYGYASSPGRRSSMEDFYETRIDGVDGEIVGLFGVFDGHGGVRAAEYVKQNLFSNLISHPKFISDTKSAISDAYNHTDSEFLKSEHSHNRDAGSTASTAILVGDRLLVANVGDSRAVICRGGNAIAVSRDHKPDQTDERQRIEDAGGFVMWAGTWRVGGVLAVSRAFGDRLLKQYVVADPEIQEEKVDSSLEFLILASDGLWDVVSNEEAVAMVKPIEDAEEAAKMLMLEASKRGSADNITCVVVRFLANQGASSHGNSG >OIV97247 pep chromosome:LupAngTanjil_v1.0:LG15:3195010:3200896:1 gene:TanjilG_10781 transcript:OIV97247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHNPQSSEGRHDDDVALSDFLASLMDYTPTIPDELVEHYLAKSGFQCPDVRLTRLVAVATQKFVAEVAGNALQHCKARQATIPKDKRDKQQKDKRLVLTMEDLSKALREYGVNVKHQEYFADSPSAGMDPVTRDE >OIV96528 pep chromosome:LupAngTanjil_v1.0:LG15:19083935:19091461:1 gene:TanjilG_07920 transcript:OIV96528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDGHNPLDITRARFGSLLKRHGELTDRLSRDSDKIIFERLQKEFEAARASQTEEICLDGEQWNDGLLATIRERVHMEADRKAMSGDANTLPSPEEKITYKTGNKVICCLEGARIGIQYETSFAGEPCELYHCVLESKSFLEKMTVLEHTVPFFLPIREIENDLLSSNAKKFIDHVGELLQAYVDRREQVRLIKELYGNQIAELYHSLPYQMIEFVLDDFDCKVTVSLRYGELILVLPSRISVLAWPALKKNTPRKDDGILGSQPAPVRLAYAEEALRTMSLPEAYAEIVLNLPQALLQTNQQRVPT >OIV97460 pep chromosome:LupAngTanjil_v1.0:LG15:44915:48921:-1 gene:TanjilG_10984 transcript:OIV97460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTSWADLAANSAADNANNSVPPSRPAYVPPHLRNRPPLSAESHALPHPAHNGPSSASASASASVAGAGRWSSPRNEYRTQPISSGHTNGWGNKSGGWDRGRDREVNPFAEQDDSEPVFTEQENTGINFDAYEDIPIETTGENVPSPVNTFAEIDLGEALNENIRRCKYVRPTPVQRNAIPISLAGRDLMACAQTGSGKTAAFCFPIISGIMRGQPVQRPPHGVRTVYPVALVLSPTRELSMQIHEEARKFSYQTGVRVVVAYGGAPINQQLRDLERGVDILVATPGRLVDLLERARVSLQMIRYLALDEADRMLDMGFEPQIRKIVQQMDMPPPGVRQTMLFSATFPREIQRLASDFLSNYIFLAVGRVGSSTDLIDQRVEYVQESDKRSHLMDLLHAQRTNGAQGKQALTLVFVETKKGADALEHWLCLNGFPATTIHGDRTQQEREFALKSFKSGNTPILVATDVAARGLDIPHVAHVVNFDLPNDIDDYVHRIGRTGRAGKKGLATAFFNDNNVNLARALADLMQEANQEVPAWILGFAARSSFSGGRNRRSGGGSRFGSRDFRREGSFSRGGSSDYHNAGNNGGGYGTSGGYAGGYGSLGVTSAWD >OIV97216 pep chromosome:LupAngTanjil_v1.0:LG15:3888617:3893978:-1 gene:TanjilG_02924 transcript:OIV97216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDARKFLRFPVISITVALILFITWVHFPLLPNKESLLDSNIFSRWCTSKNRFHSSTKHNLIKKSSSSTLHSNPKHEYDVPHHPLDPLTIQEFNKVRTILSTHPLFKSSSTYTINSIVLEEPNKKLVLKWKKGDPLLPRKASVIARVKGVSHTLTLDLTTNKVISHETGSISGYPVMAIEEMVGVVEAPLKSIEFNKTIIQRGIDMADIACLPISSGWYGTKVEDNTRKEVLAISDNGQNIPLAKGIDTDYRYSIQKLNGELRLFNPISLEQSEGPSFTVDGNLVKWANWEFHLKPDPRAGIIISQAKVRDSDTSELRNVIYKGFTSELFVPYMDPTDGWYFKTYMDAGEYGFGLQAMPLDPLNDCPRNAYYMDGIFTSADGTPYVQSNIICVFESYAGDIAWRHAECPITGLNVNEVRPKVTLVARMAAAVANYDYIMDWEFQTDGLIRAKVNLKKQETSPGESPRKSYLKAIRNVAKTEKDAQIKLSLYDPSEFHVINPSKKTRVGNPVGYKLVPGATAASLLDHEDPPQKRAAFTNNQIWVTPYNKSEQWAGGLFAYQSKGDDTLQVWSNRDRPIENKDIVLWYTIGFHHIPCQEDYPIMPTVSSSFDLKPVNFFERNPILRVPPNFEDDLPVCKAHDSS >OIV97480 pep chromosome:LupAngTanjil_v1.0:LG15:190310:190940:-1 gene:TanjilG_11004 transcript:OIV97480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFAASLCRRLNIQELVTNVPVYRSTGDVSGQGLSLVFRRWATKKTAGSTKNGRDSKPKNLGVKKFGGERVIPGNIIVRQRGTRFHPGNYVGLGKDHTLFALKEGSVKFERNKLTGRKWVHVEPKEGHVLHPVYADATASEPKVAV >OIV97379 pep chromosome:LupAngTanjil_v1.0:LG15:1729185:1730525:1 gene:TanjilG_07131 transcript:OIV97379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDNTSLPSGSSSKPNPDHVEVDSDSLVSKGHDNNSDTSLDTIVQRFQDSMSIDDNNKRHKFWETQPVGQYKDVGDFTLTDGPIEPPTPLSDVKQEPYNLPTAYEWTTCDMDSQETCDEVYLLLMNNYVEDDENMFRFNYSKEFLRWALRVPGYYRSWHIGVRAKNSKKLVAFITGVPARIRVNDKVVNMAEVNFLCVHKKLRLKRLAPVLIKEVTRRVHLEDIWQAAYTAGVVIPTPITTCQYWHRSLNPKKLIDVGFSRLGARMTMSRTIKLYKLPDSTVTPGFRKMELRDVPAVTRLLRNYLSQFVVAPDFHEDDVEHWLVPRDNVVDSYLVESPQNHEITDFCSFYTLPSSILGNQTHSTLKAAYSYYNVSTKTPLPQLMNDALIIAKQKEFDVFNALDIMHNESFLKDLKFGPGDGQLHYYLYNYRVRSGMKPSELGLVLL >OIV97297 pep chromosome:LupAngTanjil_v1.0:LG15:2463714:2468655:1 gene:TanjilG_07049 transcript:OIV97297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSQVVCNGCRNILLYPRGATNVRCALCNTITSVPPPGMEMSQLYCGGCRTLLMYAHGATSVRCSCCHTVNLVPASNQVSHIHCGNCRTTLMYPYGAPSVKCALCHYITNVTTTNGRLPNPGQRTNGPTNPGTVSSTPTSMPHSQSQTVVVENPMSVDSSGKLVSNVVVGVTTEKK >OIV96978 pep chromosome:LupAngTanjil_v1.0:LG15:12370264:12380282:-1 gene:TanjilG_31869 transcript:OIV96978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKMRKLNRTDAHRISMLRTMVSQLVKHERIETTVAKAKEVRRQADKMVTLGKEGTLCAARRAAAFVRGDDVIHKLFTELAYRYKDRAGGYTRMLRTRIRVGDAAPMAYIEFIDRENELREPKPPAPQPPQRVPLDPWARSRLCQQFASPKKEKSESDL >OIV97202 pep chromosome:LupAngTanjil_v1.0:LG15:4728455:4728661:1 gene:TanjilG_26774 transcript:OIV97202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVVAKAEDLIALRKELESLRLSNGVGSSDKDGGDIKPSERAQGCQGLLKSKEAFPEALGQQRGARGK >OIV96782 pep chromosome:LupAngTanjil_v1.0:LG15:16288981:16291544:1 gene:TanjilG_18095 transcript:OIV96782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIATLYMMLLNAPLQKGVLIALVMGAKIEATQNGGGSLRTVILQGVEDKKSVYEIKGNKITKQIRFLGVKFSSFDVRIDFYRSVFLVRPGPVPKHAPQRVKTTLRLDKIDDISHEWIDSDVLIFNSGHWWTRTKLFDMGWYFQVGNSLKLGLSINSASNTALHTWASWVENSINTNRTKIFFRTFESTHWSGQNHKVCKVTKRPWKRTNGRDQSPISDMIKKVVKKMSVPVTIMHVTPMDAYRSDGHVGTWSDNPTVPDCSHWCLPGVPDMWNEILFSYLVPKDGVN >OIV96922 pep chromosome:LupAngTanjil_v1.0:LG15:13485319:13486008:-1 gene:TanjilG_00504 transcript:OIV96922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEGHRIANYIALDSDYLEDEELIEQTSMTKRKGLSTLPDLKQRNEADFELIFKSSLSRTVSNAKSIKKVGERLIKELQFIKKEQDMLEEISQLMAELKNEKFGRVKAEEELAKCKEELLKTQEEVEKLVYEKDKLERDGKSDLSQLVAEFEKEKTRRLEVEVQLTRCNGELAKALKESKYIEGCLVEKWKKSIHESFQNAIDQVCLGFPGLDMNSITLDPFKAVKGK >OIV97095 pep chromosome:LupAngTanjil_v1.0:LG15:9574715:9575023:1 gene:TanjilG_15796 transcript:OIV97095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKGNDTTSSSTQPNRDNLHWSDEVDRMLLNAMTEEMDKGNRHDSVWTSEAYTNMVDVLTSNFGPIITKNNIKNRMKTLKGHFAEVYDLFHSLSGFAWNPMT >OIV96606 pep chromosome:LupAngTanjil_v1.0:LG15:18320977:18321534:-1 gene:TanjilG_28463 transcript:OIV96606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPATKAKRHFVKGAQLLSQARSSKNLTGSSSDSSSGSDSGSFSGLVQEALEEADKSIALNPKDAAPYLLKSLALELQGFRTSALETIDMALSPLAVKSLEDEEKGDALLKRAELKNALKVDSVVEDLTQVVKLSPKNLKGWVLLGECYEGKKIEDEAIKAYKKALEIEPKLSIAQEALNRLGSS >OIV96555 pep chromosome:LupAngTanjil_v1.0:LG15:18856492:18859339:-1 gene:TanjilG_24208 transcript:OIV96555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFYFKARPEAGDFTIFMGLDKYENEELIRYGFPEDIWFHVDKMSSAHVYVRLHKGQGIDDISEGLLEDCIQLVKANSIQGNKVNNIDVVYTPWSNLKKTPSMDVGQVGFHNSKMVRTVRVEKRINEIVNRLNKTKVERKPDLKAEREAVNAAERAERKLHLREKKRREELERLEKERQAEMRSYKGLMVSENMVSNKQIASGSKSLQELEEDFM >OIV97032 pep chromosome:LupAngTanjil_v1.0:LG15:11417768:11420714:-1 gene:TanjilG_19579 transcript:OIV97032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKGRLHHHHRPVPKEIENGINRSVVSGFVKYPDEENYTEIKVEENEDEEDDSVIPDSEYKLFLEHLRPDGKSYALVIPEANVYVKYEPSSPEHVAPTIFPIENENYVSNTATMDTEMHAPAKYKGKLHTDRSANGGCNHVASEAQNCAENHTGEHNGWEQSGGELSADKNLNGSASNNYVASKPQSRAPSYSGKRRGRKPKGLSKPQATENPNGDSNQVVTEANRHDRSEAHKHEHADRRRSNRVKKNDVPSVKGKKIGEGVKTESDDDVLHIRTFVHCPVLNVRFLCGDNDEANARKCTKYREKLMEELKKPYCQEEYERLFKDITVRKQAQGQRVLRGRTTTYDEGHVSKSYLDCHIDLKRKIHTAPDDYPKVLNLMRGFFYWLVNISHEGVFRPWRVQSYLDELLQH >OIV97512 pep chromosome:LupAngTanjil_v1.0:LG15:398339:398680:-1 gene:TanjilG_11036 transcript:OIV97512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLELRQSKKAIWGSHLVGKGEIPWKKILESPNMIFKEWVKMDLVSKSDCEDDMLKAPKVQVEIKIKVNSMKKEENMLNKWDECGCNYGHDQHAWLSVEDYDNFALGTTLEAF >OIV96357 pep chromosome:LupAngTanjil_v1.0:LG15:20261271:20262032:1 gene:TanjilG_09784 transcript:OIV96357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRIALRFRSNLLRTASLFHPSLRHTPIAPSPPILSTIAANYSHSFGFSGSRFLSTARRTPPRSKKTVDIGARARQLQNRRLWTYALSFAGIAGFVVVVLNNFQDQLVFYVTPSEAMEKYAMNPTKTKFRLGGLVVEGSVVHPASSPEMEFVVTDLITDILVRYEGSLPDLFREGHSVVVEGFVKPFTDEVKKQISTKSVSEKARSVECYFSAIDVLAKHDEKYMPPEVAAAIEKNKKIIESEAEEKGTHTS >OIV97372 pep chromosome:LupAngTanjil_v1.0:LG15:1784766:1788022:-1 gene:TanjilG_07124 transcript:OIV97372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDKEDHADYTDGEFSEKPRRRFRDRSKEVLSKQAIKIAKQAEEHEQFINKVTHLIGVLGFGAFCFLLGARPQDMPLVYCFFYVIFVPLRWIYYRFKKWHYYLLDFCYYANTIFLVYLLFYPRNEKLFLVCFSFSEGPLAWALIVWRCSLVFSSVDKIVSVLIHLLPGLVFFTIRWWNPTTLEAMRPEGTRTSERAMWPYVEDKSYIWTWLFLVPLVAYTLWQFLYFLIVNVLRRQRLLRDPEVMTSYRELSKKAQKANNMWWRLSGLLGDQNRMLMYIVLQAIFTVATMALTVPIFFSYELSVIFQMLKVSASVWNGGSFLLEVMPRQVILKEKRKSEVEPVQVHSEQS >OIV97344 pep chromosome:LupAngTanjil_v1.0:LG15:2028669:2030034:-1 gene:TanjilG_07096 transcript:OIV97344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGKLSELLVSGGRAAALFDTIGSPRSPFEMNLKMQSPKGLKSYDLGGVGLGIVVALDNNKSSEIGREVLPKHAVCTTQLNRSGPIPVHTIKNQHGFFQKGVNEIDVGSSEDYTYVTYHVPNKTITKVYYDGGESGVVKNNNNKVGVFRRTPQTPTIVEAEFPTSDFLSSCHLCRKKLHGKDIYMYRGEKAFCSTECRSRQIMMEERNEMCRSEASRSVDMSSSPYTRDQMFSTGIIAL >OIV97085 pep chromosome:LupAngTanjil_v1.0:LG15:10169266:10172234:-1 gene:TanjilG_25675 transcript:OIV97085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAAGDTSTEVGVARELHVLAVDDSHVDRKVIERLLKISSCKVTVVESGTRALQYLGLDGENSSIDFDESSVFREIPVVVMSSENILTRIDSCLEEGAEDFLLKPVKLSDVKRLTDFMRGEGKIGEKRSPKRRQSDNCTPSLSTVFSLTSYRRDIASPELLPLSPSASPSKKSRVCKKIELC >OIV96353 pep chromosome:LupAngTanjil_v1.0:LG15:20292777:20293313:1 gene:TanjilG_09780 transcript:OIV96353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVALLGRPRKPMLKDSATSGTIFGYRKGRVSLAIQEDTRQMPIFLIELPMLTSALNKEMASDIVRIALESETKTNKKKLMEEFVWAVYCNGRKVGYSIRRKQMGDDELHVMQHLRGVSMGAGVLPTASDHKESADGEMTYMRARFERVVGSKDSEALYMINPDGAQGPEFSIFFVRPH >OIV96997 pep chromosome:LupAngTanjil_v1.0:LG15:12193670:12197147:1 gene:TanjilG_25822 transcript:OIV96997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLGRCATAELSRFIAGGASARHTCYKPSQCTIRSTGDRFRPLQRPIAENRRLLSVSRDRFVAAAEAGRGLTYKDAGVDIDAGSELVRRIAKMAPGIGGFGGLFPLGDSFLVAGTDGVGTKVMLAFETGIHDTIGIDLVAMSVNDIVTSGAKPLFFLDYFATGHLDVDIAEQVIKGIVDGCQQSDCVLLGGETAEMPGLYKEGEYDLSGCAVGIVKKDSVINGKNIVPGDVLIGLPSSGVHSNGFSLVRRVLAQSGLSLKDKLPGGDVTIAEALMAPTVIYVKQVLDLVSKGGVKGMAHITGGGFTENIPRVFPEGLGALIYKDSWEIPTLFKWLQEAGKIEDAEMRRTFNMGIGMVLVVSPETSNRILEDKDNTEKFYRIGEVTSNKGMIFS >OIV96995 pep chromosome:LupAngTanjil_v1.0:LG15:12154956:12159576:1 gene:TanjilG_25820 transcript:OIV96995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSCVETLLQYHPSQQQQQPKDENPALVFDSNLLQKQGEVPKEFLWSLGDMVSTTQEDLKEPIIDLEIMRNNDDEAIAIAAKHVREACMKHGFFQVINHGVDQNLIHAAYNESDTIFNLPLSKKMSAKRKSGGVSGYSGAHADRYSSKLPWKETFSFHYHHQKSSNTQVIDYFKSVLGEEHQQTGWVYQKYCEAMKRLSLVMMELLAISLGVDRLHYKSFFEDGDSIMRCNYYPPCKSCSHTLGTGPHTDPTSLTILHQDQVGGLELFIDNKWLAVRPRPEALVINIGDTFMDNMISPL >OIV96432 pep chromosome:LupAngTanjil_v1.0:LG15:19834392:19835790:1 gene:TanjilG_09859 transcript:OIV96432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTCSFTTTSSSIGNDSDAGVSSSETESAENEAHSPYNGPLHMMDSLQQVLPIRRAISKFYSGKSKSFTSLADASSSLSIKNMVKPENAYTRRRRNLMAFHHGWGKNQNSNFHLRRNSINGGISKRTISSSRSTLALAFALNYDTCSSSSSFTSEDSNSASNLRSVSPHLPPFHPRIRVSSASVGPSSPLQQNNFSSSSWRSFSFADLHHCATTTATMKLPASALGNEAAANSS >OIV96570 pep chromosome:LupAngTanjil_v1.0:LG15:17947328:17948921:1 gene:TanjilG_28427 transcript:OIV96570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIQKDQIQKENGAPPTNNSILIHTLWKFHRDSHNWLAFFLLFIQTTLLVSAEPLAPLPGPITTKKSMAGVMTVVAILFIISGLLSLYTRNCSNRRTRIRGRLDLAFPIGSSWRSRREPCGLNPEVIDSFPTFLYSSVKDIMIVRETLACAVCLDEFKDSDTLRLIPTCSHVFHPSCIDVWLESHSTCPVCRANLVPKSEDASFVTIEFPNNQEQQQQRQLNDAVGDVVDDDVEYPKENLLNRIPRSRSTGFLFDMFRRSDSTGHSTVIEPGENCDRFTLRLPDEMRKKLVNSTLKRTNSCMSFRRMSSGRKGFRTRSMGRNCLQCQHERFCSEEEHWGLTITTPFFNKNSSGDSVRKSPSVQCSECSNHVFVERSSDGISPGLHAF >OIV96283 pep chromosome:LupAngTanjil_v1.0:LG15:20882529:20887396:-1 gene:TanjilG_05123 transcript:OIV96283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLMRLPTAVTTLSPLCAPLSRRRNVSSSSMRCRCQVGNPVRGTSILVVGGTGTLGRQIVRRGLDEGYDVRCLVRPRPAPADFLRDWGATVVNADLTKPESIPATLVGIHTIIDCATGRPEEPINTVDWQGKVALIQCAKAMGIQNYVFYSIHNCDKHPEVPLMEIKYCTEKFLRDSGINHFVIRLCGFMQGLIGQYAVPILEEKSVWGTDAPTRIAYMDTQDIARLTFIALRNENIINGKVLTFAGPRAWTTQEVITLCERLAGQDANVTTVPVSILRLTRQLTRFFEWTNDVADRLAFSEVLTSDTVFSVPMAETYNLLGVDSKDIVTLEKKMKMRVIVLLSVLISISVESCLGEQCGRQAGGAVCPGGLCCSQFGWCGSTIEYCGTGCQSQCSGSGGGGGDIGSIISRDNFNQILKHRDDAACPAKGFYTYDAFISAAKSFPNFASTGDTTTRKREIAAFLAQTSHETTGGWPSAPDGPYSWGYCFVRERNPSAYCEPSTQFPCAPGKQYYGRGPIQISWNYNYGQCGKAIGVDLLNNPDLVASDPLISFKTAIWFWMTPQSPKPSCHDVITGRWNPSDSDRAAGRLPGYGTVTNIINGGLECGIGQDSRVQDRIGFYKRYCDLLGIPYGTNLDCSSQRPFGSALDTM >OIV97177 pep chromosome:LupAngTanjil_v1.0:LG15:5998703:6000621:-1 gene:TanjilG_28928 transcript:OIV97177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKSTFTPILWVLFPKPKSSKLSSLILTNTFTNSTQPVRQNASLKHHTPIITSPSSAYIHLPFCRKRCHYCDFPIVALGSASMQMDDDPRVLNYVQWLCREINATKVEFDDKTSLETVFFGGGTPSLVPPRMVSTILETLRMKFGLSENAEISMEMDPGTFDAKKMQEMMVLGVNRVSLGVQAFQEKLLSSCGRAHGLKEVHEAIDIVKSCRVENWSIDLIASLPHQTCEMWEESLKLTIEAQPTHVSVYDLQIEQGTKFGNLYTPGEFPLPSEIQSADFYKMASRMLSDANYNHYEISSYSKSGYECKHNSIYWKYKPFYGFGLGSASFIGGSRFSRPKKLNDYMNFVRNLENGLVDSSAGDHIHGKDIAMDVVMLSLRTARGLDIESFKESYGNSIVFSLLEAYKPYVESGHVICLDEKRKSIRIDELDDYVLNESNTEKRLAYIRLSDPEGFLLSNELISLAFRAIDS >OIV97090 pep chromosome:LupAngTanjil_v1.0:LG15:9302750:9304389:-1 gene:TanjilG_15791 transcript:OIV97090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENVSMVCKEEGRMDLPPGFRFQPTDEELISHYLYKKVTDTNFSARAIGDVDLNRSEPWDLPWKAKMGEKEWYFFCLRDRKYPTGLRTNRATEAGYWKATGKDREIYIGKYLVGMKKTLVFHKGRAPKGEKSNWVMHEYRLEGKFHVHNLPKTAKNEWVICRVFQKSSSGKKTHISGIMRLESLANELGSSGLPPLTDSSASPIGNTKAPLCITELSYVPCFSTTNEDQRNHLGGIFDNSFTYNSLFGTSSNPSNIPFGSLYSTTKGVQLQDQPNLPLPCSVYSFQDQTNISSLLDNNHASNFSNSFKSERGMATVSQGTSLITDVNHEIFSVISNFGMVRKPYDIQQHPPATSAAPPLNHLTTLWNY >OIV97001 pep chromosome:LupAngTanjil_v1.0:LG15:12094787:12098151:1 gene:TanjilG_16850 transcript:OIV97001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNSAKKLRLLELTVLFFLFLSCCSTCSAWFGSSSNNNNKHKSSSNTNGRNSPHEHEATSSSSSSSSFLNRFHGDSSIMLSVHGNVYPVGFYNVTLNIGHPPRPYFLDIDTGSDLTWLQCDAPCSHCSQTPHPLYRPSIDLVPCRHQLCASLQQTDNYQCENPNQCDYEVEYVDHYSSLGVLVNDFYLLNFTDGNRLKVRMTMGCGYDQVFPDSSYRPLDGMLGLGRGKSSLISQLSGQGLVRNVVGHCLSVHGGGYIFFGNLYDHSQLTWTPMSSRDNKHYSTAGAAELVFGGKISGVGNLVAIFDTGSSYTYFNSKAYQALITWLKKELAGKPIKEAYDDQTLPLCWHSKRPFKSIYEVRKYFKPIALSFTSSGKFKAQFEIPPEDYLIISNMGNVCLGILNGSEVGMGDLNLIGGIKHFHQNSSMNSASKNCYLYADISMLDKVMVFDNEKQLIGWAPADCNRVPKFRHVSI >OIV97361 pep chromosome:LupAngTanjil_v1.0:LG15:1853656:1854987:-1 gene:TanjilG_07113 transcript:OIV97361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVGRKIDPEPGRCRRTDGKKWRCSKEAYPDSKYCERHMHRGKNRSRKPVELLKTPTNITSNTTNDNNSALTSSTTHSLLHQTSSVSSNTHHHPYSLYNHQPSRSSSIGFSFEDNSSNAPSFLGTGSCSQTNTDSRTRYVYGLKEEVDEHAFFTEQTGTMRSFSTSSMDDSWQLTPLTMSSSSSSKQRSCNGLSNDYPYLQLQSLSDDSKQVENQDHGCYNIRGSSASDIKHEPQKTVHRFFDEWPHKSSRGSWLDLDHKSSTTQLSISIPTSAHDFPNFSSRTHHGN >OIV96711 pep chromosome:LupAngTanjil_v1.0:LG15:17632790:17637792:1 gene:TanjilG_09253 transcript:OIV96711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHFNNDDLEYVFDYFEEDESDFYPDSNSDSDYSSSSEPEFEDDFEMSKVKSETSALDARNGKDIQGIPWERLNYSRDEYRENRLKQYTNYENLSRSRENLDKECLEVQKGNTYYDFQFNTRLVKSTIVHFQLRNLLCATSKHDVYLMQNYSVMHWSSLQRNGKEVLNVAKPIVPTVKHPGFVVQPVSRVQVSTMTVRENLIVAGGFHGELICKNLNHSGVAFCSKISTDDSAITNAVDVYRNPTGSLRVVAANNDSKIRVFDAEKFSSLGCFKYDWSVNNTSVSPDGKLLAVLGDSTECLIADGNTGKVTASLKGHLDYSFASAWHPDGQILATGNQDTTCRLWDIRNLSQSIAVLRGRMGAIRALSFTSDGRFLAMAEPADFVHIFDSKSGYAQGQEIDLFGEIAGISFSPDTEALFVGIADRTYGSLLEFTRRHQYNYMDSMF >OIV96758 pep chromosome:LupAngTanjil_v1.0:LG15:16651639:16654569:1 gene:TanjilG_11754 transcript:OIV96758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKIEIKRIENSSNRQVTYSKRKNGILKKAKEITVLCDAQVSLIIFGSSGKMHEYISPTTTLIDVLAKYHKASGKRLWDTKHENLSNEIDRVKKENDSMQIELRHLKGEDINSLNYKELMALEEALDNGLNGVREKKGKTLEEENRELNFLLQQHMALEGARNMHGQWI >OIV96892 pep chromosome:LupAngTanjil_v1.0:LG15:13012663:13021648:-1 gene:TanjilG_00474 transcript:OIV96892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVFVAMKLASSFAIVGIFSWILYVYGNMWLKIQRVRMKLQKQGIKGPPPSFLHGNLPDMQRIQAQASNIAKASNFNHSNVDQILAHDYTASLFPYFEHWRKQYGMKQHLYVNQPELVRELNQCITLDLGKPTYITNKLAPLLGNGILRANGLSWAHQRKLVSAEFFMDKVKGMVGLMIESAQPLLTKWEQISEGQGGGTAEVKVDADLRELSADVISRVCFGHSYSMGKEVFSKLRTMQKAMSKQGGFLFAATGFGDILNFLSKKQNEISSLEREIESLIWKLVEERKRECAEEISSSSSEKDLMQLLLESTMSDQSLGKDFSKSFIVDNCKNIYFAGHETTAVAISWCLMLLALYPEWQTRVRVEVAQLCPNGIPDADTLPLFKTLTMVIQEVLRLYPPAAFVSREAYEDIQIGDLNVPKGVCLWTLIPTIHRDYEVWGPDANEFKPERFSEGVSKACKFPQAYVPFGAGNRLCLGKNFAMVQLKVVLALIISKFSFSLSPGYRHSPAYRMIVEPGHGVHILIQKI >OIV96838 pep chromosome:LupAngTanjil_v1.0:LG15:15403586:15420081:-1 gene:TanjilG_08699 transcript:OIV96838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEFKIEEMPLPALFDQARNIHFTATESGADQEVLKKGCEALHRCEDMVNNLGLFSSNETKEDISTTNLKYFWLIKEGNMTPKTENHVPFYLAELTEKIAQDDRIPILKASLAKLKEFVSFCEAMELIPKEELESYMQGALQSVADQRARKIARFKRWRAAESKLLEIKERKERCGRSTKAAALSSPVEAGAWNTTISLAICKALDMVELLKKEKEMLSAVKGRQSKVDGDKEFSKEVLDDCAKKAEAWHHAAAVHARYTKPTPPITCATFAQDVLEGRTNASQAHDHKHQPLIFGPASLVNGSLTTERERMQAQVFQPGHSFSEARKTNLMIMGEKEVSFLDRIGYDKSETENMYPMYFGISCAFFALQILTKPHVEVERLSEIRDSILQGSAQLLGLIVWKVQKGVPSEGDSNNKLLFKLESAEREIENLKNMRHEDAKANEKVVGIFAAQEQSWFSERRKLRQQIGALLNEFKVFERKKDAEIAELNQKLKEMVECRDKVTEEEEQKRKQLEEKLTKAERDAEELRESVKHEVQEHSSDLRKHKSAFIELVSNQRQIEAELGRAVKQVQATKQELCSVFEQKEESDLMAQKLSMEITKMHKDLEQKDQILSAMLRKSKLDTAEKQMLLKEVKLSKARRKQAEHETEKWRAVSEGKNDRHSLKSMLVNLSSRMDVFPGARAIPANTQRLEDWMRAEAERYATLIEQRHHLELDAFADQMRLKDEKLEAFRWQLLRTELETKQLQSHVEELVKDVTQLRHDKIKLEALLMEREDELTSIKEQFASQLRPLNRFRNQSILPPQSSELAQVAVWSKVKVVKRKPGEKEQQILETLIEEDCEKEVQHLTHGQHNPNIVFQSPENEIEEEKHVSREEGPMQILSPNQIEVAVAEKIASTSQPSNNTKQLPWKMDLHALGVSYKIKRLKQQLVLVERLTERQANDEQAETSDGSNVGMKAYMSLTTLLSKQVGRYQTLQEKTDDLCRRMHENDLYANRGDFNSARKKEKASTLEHFLEETFQLQRYIVATGQKLMELQSKVVSVFVGVAKEMEKNAGIDMKRFGDSIRNMFQEVQRGLEVRTARIIGDLEGTLAREGIICWRR >OIV96516 pep chromosome:LupAngTanjil_v1.0:LG15:19200680:19205090:-1 gene:TanjilG_07908 transcript:OIV96516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTSKKEDAKIEKEIRGLLKLPANRRCINCNVLKDKEDPYENKKISSFRMESKSSPHYEDRIERYNSDRSSPGIRSDDKNLRFYYDETRSPKYAQRCAKPGTFGRSPIKFEVVDDRFRDDESRNRRLSNLESKFKQLQPDLQQKNVEGSQAYVARPVREVSNENGSSPKVGEPFQPQNKAAAQSQVIGDSSAVKKPSEQKNNPPEKSAASYAAAGPETQSIPQSSENNWASFDAFTDDNAPETSISTAMTSSIIETTPKATYANSLDLLLSELSGPVTAATGAMFEDSHSGNNPPTATARKENACGDLKHLPPTSMWQTTATPTISDALSVTSTTVNDMKQSSTAAPPQVDLYNIDKCVKVSQEQTLPSIQFPPSTLVSSSMTQPSTPVSCVASNDLLSDVPNSCDSFGAITEMSSQTTSKPAQNTKPDVGSQISSVETKPSGRMELPAVIVALSKSLNPFDQTEGKPLAHASSVCTTFKTDFM >OIV96654 pep chromosome:LupAngTanjil_v1.0:LG15:16982183:16990325:-1 gene:TanjilG_09196 transcript:OIV96654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSRVDTVARLAQWKVDNFGPCSYKKSDPFKVGIWNWYLSIERNRYLYIHLFPEPSRISKENPPVAKFILRVFNASSSRKLYITSAVHERVLRTYDDFVWHVDTTFVGRFIIDVEFLDLKICPLNGGEASSVWSYDGKMQTIAEQSTLSCLSCMLDEAIYADLTIITSDGTLRAHKAILSASSPVFQSMFHHNLKEKESSTIRIEDMSPESCTVLLSYLYGTIKQEDFWKHRLALLGAANKYDIGGLKDLCEESLLEDLNSGNVLERLNEAWLYQLHKLKKGCLTFLFEFGKIYDVRDEMNNFFQHAHRELMLEMFQEVLAIWKPV >OIV96599 pep chromosome:LupAngTanjil_v1.0:LG15:18251549:18259853:1 gene:TanjilG_28456 transcript:OIV96599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGRSADCVRVAVNIRPLITSELLLGCTDCISVVTGEPQVQIGSHTFTYDYVYGSTGPPSSAIYEDCVAPLIDALFNGYNATVLAYGQTGSGKTYTMGTNYNGEGSSGAIIPKVLESIFSRVSAMKESTEFLIRVSFIEIFKEEVFDLLDSSLSRGEVASSSKPAVPVRVPIQIRETASGGITLAGVTEAEVKTQEEITSYLSSGSLSRATGSTNMNSQSSRSHAIFTITMEQKNGDDILSAKLHLVDLAGSERAKRTGADGMRLKEGIHINKGLLALGNVISALGDEKKRKEGGHVPYRDSKLTRLLQDSLGGNTKTVMIACVSPADTNAEETLNTLKYANRARNIQNKAIINRDPVGAQVQAMRSQIDQLQAELLFYRGDSSGPFEELQIYKQKISLLEARNAELQQEVKRHQVTCDSLTQRAFDAQVEKDQLIMKLESIRNGKSWDEIDSNSNQDYDLVKSYVAKIQDLEGQLLRLKPLNTTNSRRFVDCVDSDYDGYWSKNALFACGNDFLSDYDAKAGDAPDEMEDDAKELEHSSLQEKLDKELEELDKKLEQKEAEMKHYNNPDTSVLRHHFEKKLLEMEQEKKLLQKEIEELKWNLANISSTPDDGAQKLKQDYLQKLNALEAQVTELKKKQDAQAQVLKQKQKSDEAAKRLQDEIHRIKAHKVQLQQKIKQEAEQFRLWKASREKEVMQLRKEGRRNEYEMHKLLALNQRQKMVLQRKAEEASLATKRLKELMESRRASSRETLGVGGGNGSGIKALMKAIEHELELTLRVHEVRSEYEHQVQERAKIAEEIARLKEEAEMMRQNNARDFPISMSPGARNSRIFALENMLSSSSATLVSMASHLSEAEERDRTFSGKGRWNQVRSITDAKNLMNYLFDIASSSRCLLRDKEVMCREKDMEIRDLKEKVFRLSTALKQSEMVRAELTHQLKLQNAILKRYSESAGNSEFENMTIGDHKYDLRSPEQRRSTLLQEDMDISDIDSDDYDDDVDNDIEATDHDREKSGNLGVKKGKSRSRHPSNENNQLNINSSEDVNDLGETAPEVCCNCTRTSSCKTTKCKCKATGSSCGNSCVCLETKCANRAISTTNESQEPTQPGLVERTENNCSIEETDEHLLATQGVELLQGALADMPPEIVPDQGPRKPLSDIGNALVKSNAPKGTQKKKLQKATILLVPHQPPSSQPEYSLDPNLNKQNDGNNDNIRDTNIVMNMPLKMPSSRPENAASAPKAEGNFKEPVSPLKLRAMRKAAGPSNSGMPFWDKNASKSGESVNNRNESEGLETRSPLRPKRTMGEKENKRR >OIV97244 pep chromosome:LupAngTanjil_v1.0:LG15:3285097:3285345:1 gene:TanjilG_10778 transcript:OIV97244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRVDATTRVGSTYMEVIKAPEIVPLLKVSVVYIFLAMSLMLFMKRIYMGVVKILIKIFWKKPENCYNYEPIQDDMKFACGS >OIV96692 pep chromosome:LupAngTanjil_v1.0:LG15:17414687:17415319:-1 gene:TanjilG_09234 transcript:OIV96692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNENHHHHHYSHHHKNYHHNLHIHHRSTFLPLLCSRSPTKDVILPRWRSSGSSSCDDPLSPRISCMGQVKRNNKISGIGFSNSHRLSLTSKSSTPTSSSTSPIVKYSNLKKLFSSKNLKSTTTPTTTIASTTISSCGSRKQRVHKNHSRGENVGSISIENMDPPLPVIKTVNKLEEGSLWQRRSGGHGIKSLQVQQIHHPRIFLHPTSV >OIV96671 pep chromosome:LupAngTanjil_v1.0:LG15:17170223:17173041:1 gene:TanjilG_09213 transcript:OIV96671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKENEIVTKNEDTDIESQIQTAMRSRVSYFKQQSDSLTFEGVRRLLEKDLGFEEFAFDVHKAFIKQCLLKCLEEVGDDDAPNVEEADEKGASTQVTEKSKEECQPTDEKDVCSEDEEKMEDSPVLGLLKEQKGNKLETKEVIGKERKVAPSETLIKKAVRKRSSYIKANAEKITMAGLRRLLEEDLKLDKFTLDPYKKLISQQLDEVIASSEVSEPASNAKKIVKKKPDTKATKKVSSEENSDTSDNESGEEENEDDDVKPRKKSVPKGKKQTSVRPEKRKREENNPSNKKRVKTAKAAPEDDSDKEDNGKNSEDDQSLSSPEKPTKKKEVSAPVYGKRVEHLKSVIKACGMGVPPVIYKKVKQAPENKREGQLIMELEEILSREGLSSNPSEKGELDC >OIV96303 pep chromosome:LupAngTanjil_v1.0:LG15:20593163:20593565:-1 gene:TanjilG_09730 transcript:OIV96303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDFGMTQDVQKGKEPSKFRFNSETSMPWPHVSGVASRVKFLNPTWSTSAIKSAIMTSATQTNSVKTPIVTDSGSVATPYVYGTGFLTASGLCR >OIV97280 pep chromosome:LupAngTanjil_v1.0:LG15:2684590:2688491:1 gene:TanjilG_07032 transcript:OIV97280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSETEDAKSHLETPSKKVKNKKNKKKSDPGPSQHVTVQTKVQVVPEHPNKTAPIVGYFPSGFDPVKTSVSTGFQVYRNRTMNKRLELVVSPAGSPVEFVGTSYSGEAAAGYRAMYALGVFDKETQTLKVVPIAANKIFRLEPKVKGLGAADKEPANSTIEELTPAEKARQTTAMFGTKRDIEKVKKRMALQQDQEPDSPKNADGKKKDVVNKKALASIDANVFRNIPPYDTTADTPQKAYVLDKIILKGEWDYLDDIYNTLHVGEADFSAYPIFVHNRIQRLRNIQDESEKKQLSCIFSYINHLIKFKDQHSMDASSAKSHKIPSILRHRFSTLFAITESKRLPPEKIDLLNSYVLVLTLFTDDFRTDYTDIAKDLRMIAVSVRQRYEHLGCKIIRHKNMFYATLPVPLKFPTLSSRKRKR >OIV97042 pep chromosome:LupAngTanjil_v1.0:LG15:11125077:11127842:1 gene:TanjilG_11559 transcript:OIV97042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGESKRIVLALYLFFFVAYSSFQLLSASSNDAQDAIFYESFDEDYEGRWIVSDKDDYKVVLMGLLGIANDNLAACLLQKFNSVYNDTRGVWKHAKSEGHDDYGLLVSEQARKYAIVKELDKPVNLKDGTVLQFETRLQNGLECGGAYLKYLRPQEAGWKPKEFDNEAPYSIMFGPDKCGATNKVHFILKHKNPKSGEYVEHHLKSPPSVPLDKLSHVYTAILKPDNELQILIDGEEKSKANFLSSDDFEPPLIPSKTILDPDDKKPEDWDERAKIPDPDAVKPEDWDEDAPIEIVDEEAEKPVGWLDDEPEEIDDPDATKPEDWDDEEDGEWEAPKIDNPKCEAAPGCGEWKRPTKRNPAYKGKWSAPLIDNPAYKGIWKPQEIPNPNYFELQKPDFEPIAAIGIEIWTMQDGILFDNVLIASDDKVAASYRETTWKPKFTIEKEKQKAEESVPDSDATAGFQKKVFDLLYKIADIPFLNDHKVKIYDIIEKGEKQPNLTIGALVAVVVVFLTIFFRIIFGGKKKPARVEKKNTAQAETSSSQAGEENEENKEKEETSAAAPRRRTRRET >OIV96348 pep chromosome:LupAngTanjil_v1.0:LG15:20319485:20321664:-1 gene:TanjilG_09775 transcript:OIV96348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVFHLFNCAILTFGPHAVYYSATPLSEYGTLGTSIKASVVYLATALVKLICLATFLKVSESDSFDPYQEFLKALIGFVDVAGLYFALTQLTHRNISQNHKFQAVGLGWAFADSVLHRLAPLWVGARGLEFTWEYILQGLEANANLVLSISLAALGSLMWLRKNKPKTLIPIIYLCAGIVATMPSITSYLKRGLGWYFPKVVGFELFTSLVMAFISCQLFSACQRPSV >OIV96786 pep chromosome:LupAngTanjil_v1.0:LG15:16366164:16366916:-1 gene:TanjilG_18099 transcript:OIV96786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTCASLKSQSTPKPQSSNREDNHPTKDPVEKETENEDVFSAVAGVNPNEAENVQSPKKEEAVKDIVLDVNREREFPIDLNQEPQDQEVFQAPRRSSRERRTNSKLRGYALNF >OIV96261 pep chromosome:LupAngTanjil_v1.0:LG15:20737746:20738339:1 gene:TanjilG_05101 transcript:OIV96261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPPFRFLTDDRFSSDSSATVIGSDYVIIIAALTCAFICLLGLVAIARCACLRRLHISSTAATPQFPPIHADANRGVKKKVLRSLPKLTATAESAVKLSDCAICLSEFAAGEEIRVLPQCGHGFHVSCIDTWLKSHSSCPSCRQILVVSRCQKCGGFPASASSGSSSAAEPETETDPEARSKVRERENDTNIGSCLS >OIV96724 pep chromosome:LupAngTanjil_v1.0:LG15:17778183:17780011:1 gene:TanjilG_09266 transcript:OIV96724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKLCCFKSSNSQLVESRSSSSTGKGENQEGSIKYGFSLVKGRANHPMEDYHVAKFVQIHDKELGLFAIYDGHLGDRVPAYLQQHLFANILKEDEFWDDPTLSISKAYESTDQAILSHSSDLGHGGSTAVTAILISGRSLWIANVGDSRAILSRKGQAVQMTTDHEPSTERGSIETRGGFVSNLPGDVPRVNGQLAVSRAFGDKSLKLHLRSDPDVQHTDIDVDTDNLILASDGLWKVMTNQEAVDITRRMRDPQKAAKQLIAEALKRDSKDDISCVVVKFG >OIV97447 pep chromosome:LupAngTanjil_v1.0:LG15:604239:606178:-1 gene:TanjilG_16208 transcript:OIV97447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPATGFHVPLLPDSNPGKKQATVSGAVFNVVTSIVGAGIMSIPAILKVLGVVPAFAMILIVAVLAEISVDFLMRFTNSVETTTYAGVMREAFGPIGALCVQICVIITNTGGLILFLIIIGDVLSGKQNGEEVHLGILQQWFGIHWWNSREFALLVTLVVVMLPLNLYRRVESLKYSSAVSTALAVLFVGVCSGLGIVALVQRKTQTPRLFPKLDYRTSFFDLFTAVPVVVTAFTFHFNVHPIGFELAKQSEMTTAVQIALMICALLYFTIGLFGYLLFGDSTQSDILINFDRNAGSALGSFFNSLVRVSYTLHIMLVFPLVNFSLRTNLDELMFHQKTLLAKDNKRFLILTLVLLVFCYLAAIAVPDIWYFFQFMGSTSAVCLAFIFPGMIVLR >OIV96341 pep chromosome:LupAngTanjil_v1.0:LG15:20365610:20368431:-1 gene:TanjilG_09768 transcript:OIV96341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEAMEGSMNGGFSHFQSCGDSSEEELSVLPRHTKVVVTGNNRTKSVLVGLQGVVKKAVGLGGWHWLVLTNGIEVKLQRNALSVIEAPTGNEEEDDLEFEHVHWNGSDMASDDTHKSHKSRHRMHRSLGSSPKSRSFSGDSQSKGSVSMPNGYFLYKVDLGKLEMAALWRYWRHFNLVDAVPNPSKEQLVDVVQRHFMSQQMDELQVIVGFVKAAKRLKTVCK >OIV97078 pep chromosome:LupAngTanjil_v1.0:LG15:10556899:10558347:1 gene:TanjilG_14623 transcript:OIV97078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSPTLDPPVRVYADGIYDLFHFGHAGSLEQAKKSFPNTYLLVGCCNDETTHKYKGKTVMTESERYESLRHCKWVDEVIPDAPWVVDQQFLDKHTIDYVAHDSLPYADTSGAANDVYEFVKAVGRFKETQRTDGISTSDIIMRIVKDYNQYVLRNLDRGYSRKDLGEKRLRVNRRLKTLQEKVKEQQEKVGEKIQIVAKTAAMSRNEWVENADRWVAGFLEMFEEGCHKMLSGIEFKRG >OIV96301 pep chromosome:LupAngTanjil_v1.0:LG15:20611750:20612445:1 gene:TanjilG_09728 transcript:OIV96301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKQLKLKRKILNILPKVAAAVSFINPPFSPSRYHKSKPDNTRHKGFSAPITSMIPHQNRKKPNHDDIDYQEPTSPKISCMGQIKHEKKRRMKKTKIKDIEVKKNVSTFKKMLFYATKSKSGSSDKLDNEDVVVKRVTNMSQMKRFASGRDTFANFDWKDQMVAEEIDCCYKSDDFFEEEKEIIIPFSAPILLSDSSCRYGDGGVIHLKPRKEINLWKRRTMAKPKPLQFE >OIV97048 pep chromosome:LupAngTanjil_v1.0:LG15:11047560:11050082:-1 gene:TanjilG_11565 transcript:OIV97048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVYYKFKSARDYDSISMDGPFISVGTLKEKIFETKQLGRGTDFDLVVINAQTNEEYLDEAMLIPKNTSVLVGRVPGRARLPIVTEIQQKPENKTSETEPDNNSFLVANASAMKYIEDMDWDEFGNDLYSNLDALPVQSSNFIPEAPLTNNADEDLDSKIKAVVDTPALDWQRQGSDFGGGRSFGRGMGGRMGAGRGFGE >OIV97264 pep chromosome:LupAngTanjil_v1.0:LG15:2900483:2903936:1 gene:TanjilG_10798 transcript:OIV97264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCVQEAEQVAQEIENMDNRRRPSWPLHCELLHTQMENFDKTSSLRTSTVENSCPLESISEDTMITDKKQILVSFVPALRSGEWSDIGERPYMEDTHICIGDLANKFSNNMHSEEAVSFYGVSHLTESLLVANAGDCRAVLSRCGRAIEMSKDHRPTCSKERMRIESLGGFVDDGYLNGQLGVSRAIGDWHLDGMKETSERGGPLSAEPELKLTTLTKEDEFLIIGSDGIWDVFSSQNAVDFARRRLQEHNNEKLCCKEIVHEAKKRGSTDNLTLVMVCFNSDPPPPMIVERTRVRRSISAEGLLNLRSLLEE >OIV96852 pep chromosome:LupAngTanjil_v1.0:LG15:15174530:15177853:-1 gene:TanjilG_08713 transcript:OIV96852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSVPSPVFAYFAMSIYVSGVHDLASYYPHDHDRGAIQAIRDTETLEASYERYLRSAQVSSYGAGQPTRTIDGRTSSHSVDDSHFPSIGGGVNPGKDKIMGLGSGRADHSLPHDATNTLFVEGLPPGCTRREVAHIFRPFVGYKEVRLVSKGSRQPGGDPLVLCFVDFVSPAHAATAMDALQGYKFDELDRNSANLRFQFARYPGSKSGAVHRGNH >OIV96393 pep chromosome:LupAngTanjil_v1.0:LG15:20045919:20047130:-1 gene:TanjilG_09820 transcript:OIV96393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLFDFSRRNKKSLLLIALFGTSSYGAYQVYNLPSVVLKRNRVAKLLKGFISLVELISDSAETVSLLTKDLNQFVTSDSNEIPQSLKQLSKIATSKEVSVSLSRTSEALTIGILQGHKLHIKINNQSEIGTENFPNKLLEKVFSKAGTGFVSVVVGRFARNLALGLRAESIDDKINVAKARSEGLDNPRWLSLICDERVRKLIGDCIQTFVSTSVTVFLDKTMHINNFDEMFAGLTNPKHQEKVKDILISLNNGAIETLIKTVHQVLTNKTARSKLSSPVSSFKCEGPEAFLQQYKPGSSISAIQDAGLLERVRSTMSVPDNRRFVLDVIRKVTFDTMRSFLEFLLRRISDGFKRSVSKVHDVVVDRGLEIVRYVGAKSSVILTMCLALYLHIVGGSSILMPA >OIV96854 pep chromosome:LupAngTanjil_v1.0:LG15:15141644:15145458:1 gene:TanjilG_08715 transcript:OIV96854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELFYYTVFGGLAAVVAVLELSKNNKDRINTSSAFNSFKNSYLLVYSLMMAGDWLQGPYVYYLYSTYGYGKGEIGQLFIAGFGSSMLFGTIVGSLADKQGRKRACVTYCITYILSCITKHSSQYKVLMLGRILGGIATSLLFSAFESWLVAEHNKRGFEQQWLSLTFSKAIFLGNGLAAILSGLLGNILVDTLALGPVAPFDAASCFLAIGMAVILSSWTENYGDPSENKDLLTQFRGAAVAIASDEKIALLGAIQSLFEGSMYTFVFLWTPALSPNEEDIPHGFIFATFMLSSMLGSSIASKLMARSALRAESYMQLVFAVSSAALLLPILTTFLVVPTKVKGGSISFAGSVQLLGFCVFESCVGIFWPSIMKMRSQYIPEEARSTIMNFFRIPLNIFVCVVLYNVNAFPITVMFGMCSIFLVVACILQRRLMVIAEKPKTEDWQPKERDSETEPLNI >OIV96774 pep chromosome:LupAngTanjil_v1.0:LG15:16640236:16641968:-1 gene:TanjilG_19933 transcript:OIV96774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKIEIKRIENSSNRQVTYSKRKNGILKKAKEITVLCDAQVSLIIFGSSGKMHEYISPSTTLTDVLDRYHKASGKRLWDAKHENLSNEIDRVKKENESMQIELRHLKGEDINSLNYKELMALEEALDHGLDGVREKKLIKLIKPPSWKTYSKDKYIIHFINMG >OIV96268 pep chromosome:LupAngTanjil_v1.0:LG15:20798642:20809408:-1 gene:TanjilG_05108 transcript:OIV96268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQKLIDRFESAVKRLEALSTGFRGGASPGDGGDMADALSDPSIVAFGDLIDQYVGRVVIAAEKIGGHVFDVTNIVREAFSVQKQLLILLNQSQKPDLAGLAGFLKPLNEVITKATVMTEGRRYDFFNHLKAAADSLAALAWIAFTGKDCGMSMPIAHVEESWQMAEFYSNKVLVEYRNKDPNHVEWAKALKELYVPGLRDYVKSFYPLGPVWSPTGKNSASSKATALPATPAAPPPPTSLFSSESTKASSSKPKEGMSAVFQELSTGNVTAGLRKVTADMKTKNRSDRTGVVGTIEKESHAGSHAFSKTGSPKLELQMGRKWVVENQIGQKDLIVEDCDARQSVYVYGCKNSVLQIQGKVNNITIDKCTKMGVVFKDVVAAFEIVNCNGVEVQCQGSAPTISVDNTSGCQLYLSNDSLEASISTAKSSEINVLVPGAGPDDDWVEHSLPQQYIHVFKDGHFETTSASHSGDSQSFIGVNYGQLADNLPPPDATANLLKSTIVGKVRLYGADPAIIKSLANSGIGLVIGASNSDIPSLASDPNSATQWLNSNVLPYYPATNITLITIGNEVLTSNDQSLFSQLVPAIRNVQNALTAVSLGQKIKVSTVHSMAVLSRSEPPSSGSLNPALGDTMKQLLSFLSDNKSPFTINPYPIFAYQSDPRPETLAFCLFHPNAGRVDNGNGKLYTNMFDAQVDAVYSALSAMGFQDIEIVVAETGWPSRGDTNEVGPSVENAKAYNTNLITHLRSLVGTPLMPAKSVDTYIFALYDEDLKPGPASERAFGLFKTDLSMSYDVGLAKSSQQAPSTSPTTPVTPAPSTAVQWCVPKVGVSDAQLQANMDYACSQGIDCSPIQAGGACFEPNIVASHAAFAMNLYYQKFGKNPWNCDFSQSATLTSQNPSQSVACGGLDSVCSIFNMNSPTDRDGNLTVSRTLSGHKGYVSSCQYVPDEDTHLITGSGDQTCVLWDITTGLRTSVFGGEFQSGHTADVLSISINGSNSRMFVSGSCDATARLWDTRVASRAVRIFHGHEGDVNSVKFFPDGNRFGTGSEDGTCRLFDIRTGHQLQVYHNQHSDNETAHVTSIAFSISGRLLFAGYTNGHCYVWDTLLAKVVLNLGSLQNSHDGRISCLGLSADGSALCTGSWDTNLKIWAFGGHRTVI >OIV96431 pep chromosome:LupAngTanjil_v1.0:LG15:19836366:19842814:-1 gene:TanjilG_09858 transcript:OIV96431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMAFWFGLLLLRFLLCLNAISVDSDDGATLLELKKSLRDVDNVLYDWTDPPSSDYCMWRGVTCDDVTFNVVALNLSGLNLEGEISPAIGSLNSLVSIDLKENRLSGLIPDEIGDCSSLKSLDLSLNEIHGDIPFSISKMNQLENLILRNNKLIGPIPSTLSQIPNLKILDLAQNNLSGEIPELIYWNEVLQYIDLGDNHLVGSLSPNMCRLTGLWYFNVHNNSLTGSIPENIGNCTTLQILDLSFNQLTGEIPYNIGFLQVATLALQGNKLSGRIPPVIGLMQALDILDLRNNMLSGPIPPVLGNLIYAKILYLNGNRLTGSIPPELGNLTNVHYLELSDNHLSGHIPPELGKLTDLLILNVANNNLEGPIPDNISSCRNLNSLNVNGNKLNGTIPLAFHTLESMTYLNLSSNNLQGCIPIELSLIGNLDTLDISNNNISGSIPSSLGNLEHLLKLSLSRNHLTGSIPAEFRNLRSVMRMRLENNKLSGGVRPLANCPSLSLLNVSYNNLTGVIPTSNNFTRFPPDSFIGNPGLCGYWLGSSCHGSRTAERELDKGFRADVQNELTNVIDHTVTLSKAAILGIAIGVLMVLLMILLAACQLQNSAPFPDGSLDKSVNYSPPKLVILDMNMALHVYEDIMRITENLSEKYIIGHGASSKVYKCVLMNCKPVAVKRIYSQYPQYLKEFETELATIGSIKHRNLVALQGYSLSPFGNLLFYDYMENGSLWDLLHGPNKKKKLVWDIRLKIALGAAQGLAYLHHDCTPHIIHRDVKSSNILLDKDMESHITDFGIAKSLNPTNSHTSTHIMGTIGYIDPEYARTSRLTEKSDVYSYGIVLLELLTGRKAVDNESNLHHLILSKTANNAVMEIIDPDITATCKDIGTVRKVFQLALLCTKTQPNDRPAMHEVARILETLVSSSTTLSKQPAPIQALNETQSSDKSAMLH >OIV97400 pep chromosome:LupAngTanjil_v1.0:LG15:1510781:1514832:1 gene:TanjilG_17584 transcript:OIV97400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNKDSNPWSPLQAPKELAQKLSLDPQTLLLASSDFGFIENKTPLAVFEPSSESDIIDLIKYSNSLPNPFTIAPRGQGHSTHGQGLTNDGVVLNMNGLGDFRNGSEIVVYDEYVDVGAEQLWIDVLRATLKHGLTPLSWTDYMYLSVGGTLSNAGINGTSFRFGPQISNVLQLDVVTGKGDLVTCSPVNNSELFYAVLGGLGQFGIITKARIALGPAPTRANFSEFTKDQEYLISFHEKTETRGADHVAGYILANLPPPRDVSFYPEQDLPRINSLVSKHGLVYSIELGKFYDTNSQSHIEEELAKLIKGLKFIPTFAFEKDISYEEFQNRLRVDIEFLRSNGLLNVPHPWLDLFVPRSRISDFNEGVLKDIVLKQNIPSASIIFYPMNHNK >OIV96969 pep chromosome:LupAngTanjil_v1.0:LG15:12670893:12674044:1 gene:TanjilG_31925 transcript:OIV96969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQNKEKNAPWLSVPQFGDWDQKGQLPDYSMDFTKIREMRKQNKNNLSRASLGNEEELTASAPNSITTGHSDHQQHNHYHQTNSPTTTRRSFFRYFNCCIKA >OIV97524 pep chromosome:LupAngTanjil_v1.0:LG15:521799:529267:1 gene:TanjilG_11048 transcript:OIV97524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKPIHQWKVTELKEELKRRRLTTTGLKNDLVRRLDDALRAERDAVEASEKEEEVNGFEGKEIEAETVAVDDVAVDKVENEGKFEAAEKENVGVVELIEMDKAEKVMEGLVDDKQDDVTIPVDNSASAIDQDVEPTGLPAVVDSENAGEELVTHASVVETTTTTIVTENVLMEVVVGGEDSHSTEKNIDDSGTKVENEELEVRHTDKNNEGAGTELENEVSNAQLENEDSKPQLESDAKPLHEDLVPNISAPENQVSEVNPSLVGSQVKSDSISSDSVSINEKNELKDTIITDNVKLEQDIVRTEMVEEPSSRNEPVEENNNTVISPDLNKSNSSDDVGYPEKLNLDRSSGDDSMEEDLPESKQFDSKFNVDELRDKVESTEVPTVKEENSTVVVGDGQSGGKSYSHQDIDMSPVDLVEKRKFNEQASVGNNEPAKRQRRWNNETVKGPDPQSTTPKSATTPKDGPVSLKRNFSRSDSFATDDAPKERIVPPSQKPPTDSLRIDRFLRPFTLKAVQELLGKTGKVNSFWMDQIKTHCYVTYSSIEEAIETRNAVYNLQWPPNGGRLLVAEYVEPEEVKMKLEPPTSQAPSLSSGPAVPLAPPSSQPEPPPRLHRDLPPPPATLPPPPPLFPPVARERLPSPPPFLEKADPPIVTLDDLFRKTTATPRIYYLPLSEEQVAAKLAAQEMAQRVPLLYLANDILQNSKRKGNEFVTEFWKVLPAAFKDVVKIGDDLGKRVVSRLVDIWEQRRVFGSKARNLKDLMLGEEVPPPLEFGKKRSRSVKIVKRDSRSIKSKLSIGGTAEKIVSGFHLVLSEQSIEDAEMIKCKSAVQRVRKIEKNVDIACTIAKDPKRKTLAKELVEEENNLKQCIEKLKSVEASRVALVSQLKEALHEQESELENVRTQMQVAQAQVEEATNMRERLHNEDSSKKISTTSATDATKKSAAAIAALVADKLTASSSSQLIMTSVLSTFAAEEAKNAGLTPESTSKQEKLMPNSDPHVFMPTQQYIAAPNQSYPSVLVTQPTMQNTGPASQGQYHMLSNPSSQQYVQSTGGVISPYGYASMPPLPAAPPPPPPPPPPHAVTTMMPLTHQTLQITQHQPIPIAHQAPALLSFLPLQPPGMGYFANHQHST >OIV96330 pep chromosome:LupAngTanjil_v1.0:LG15:20421210:20423958:1 gene:TanjilG_09757 transcript:OIV96330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLGRVIYTVGFWIRETGQAVDRLGSRLQGNYYFQEQLSRHRTLMNIFDKAPSVDKDVFVAPSASVIGDVHIGRGSSIWYGSVLRGDVNSISVGSGTNIQDNSLVHVAKSNLSGKVLPTIIGNNVTIGHSAVIHGCTVDDEAFVGMGATLLDGVVVEKNAMVAAGALVRQNTRIPSGEVWAGNPAKFLRKLTDEEIVFISQSAINYTNLAQVHAAENSKSYDEIEFEKVLRKKFSRKDEEYDSMLGVVREIPPELILPDNVLPDKAEKALQK >OIV97231 pep chromosome:LupAngTanjil_v1.0:LG15:3800648:3806570:-1 gene:TanjilG_27214 transcript:OIV97231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTNNWAVLVCTSRFWFNYRHMANTLSLYRTVKRLGIPDERIILMLADDMACNTRNKYPAQVFNNENHKLNLYGDNVEVDYRGYEVTVENFLRVLTGRHETAVPRSKRLLSDEGSHILLYMTGHGGDEFLKFQDSEELQSHDLADAVKQMKEKRRFKELLIMVDTCQASTLFSQLHSPGVLSIGSSKKGENSYSHHLDSDVGVSVVDRFTFYTLAFFERLNMYDNASLSSLFNSYNPNLLMSTAHYRTDLYQRKLEEVPVTNFFGSVMETIHTDSAYRSWSKKNVGHAKTKMSLDQSITDSDRRVLRNFDDEDQFNNEYQQDSVGQIWKTILSNVNTFENIDAVVCYGLILMLPLLVVSTWLSK >OIV96845 pep chromosome:LupAngTanjil_v1.0:LG15:15326259:15335212:1 gene:TanjilG_08706 transcript:OIV96845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERSRSKRNYYYDQDYDSETLARTRPRYNDQYSNRHRDAYGGAGRRYLKIHQDAPVTVTTSYRILCHDLRTGSVIGKFGSIIKSIRQHTGAWINVHDLVPGDEERIIEISDTRRRDPEGRMPSFSPAQEALLLINERILESDAACRVAAAEEYGGGGRNWDRVATRLVVPNMHVGCLLGTRGKIIEQMRMETKTQIRVLPRDHNLLRCVSMSEEIVQVTGDINAVKNALEVISSLLRESQHPDRSHFHGREHSPECFFSPDDNYIPRGGSLRSSVEAAAFGSRGSATNARNNNYPSSGAPVANDAQPFYGEGLVFRIVCPVEKVDHIIGESYRFVEFLQNDVGVDIKVTDPIGGSEERIIIITSEEGPDNELFPAQEALLHIQTRIVNLVLDKDNTITTRLVIPSSDIEWLDGKYGSLSEIKRLTGANIQILPREERPLCVAKTDELVQIVGEIKAARDAVVEVTSRLRSYLYRDFIQRDTVPPPAPLSSMEASSSNTIALATETSTIYQNAQTVAAAVLPSKMETGGSSAEVGKQKDNQRRDDLLSGLNRITVPSVTRSILEVVLPDYAVPRLLARSKSKLSQISELSGANVTLVEDRPDMTEKIIQLSGTPEQAERAQSLLQGFILSTPRSDTYSAPNQSPRMGNFERELEELFDEVKRLVRLGNKNDAIDLLNANYEVVKERLNGTSKGIEEAAILDVLALGYLAVGDFKFVDSLLDLMKEVVDNLEDDVPHLDSILMHMGSMYATLSKFEKSLETYQRAVYIMERAYGEDSNFLVTPYLAMAKTLGSLGNTTKAIEKYQRAITLLESERGAESKDLVVPLLGLGNLLLKEARVNDAETHFTRVLNIYMKLYGQNDGRIGMPMSSLARVKCAQGKSDEAIKLYKRAIKVMTDSKYMSLDDSIMEKMRVDLADLLHNVGRGQEGRELLEECLLITEKFKGKEHPSLLTNMINLATSYSQSKNYVEAERLLRSVLQMMIKQKGTDDQSISFPMLHLAVTLYHLKQDEEAEKLALEVLRIREKAFGQDSLPVGEALDCLVSIQTRLGKDDGELLEQLKRVLSIQEKEFGHESEEVMVTLKKIVFYLDKVGRKDEKFPMQRRLSVLGKKYKQMIHP >OIV96740 pep chromosome:LupAngTanjil_v1.0:LG15:16841454:16842167:-1 gene:TanjilG_11736 transcript:OIV96740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATYNLGSRFFIPGSETLCQRLLQEMGATIEDYLIDSLQPKLDNDRIERCLVLMKSIESLKPAPPYALHCVISDVKEFFLDNFPRYKKHAKAMNKFWDMKDALHVYKDNLRYAPFENYENFRKIERYIFEIGTLSDQISRLLENEVGFVRLSENESLRIQYLELDKKRSEVQESLEMVMRVDKEMRVNQNEWFKEFSKRKKRFQAMKSKHRHAKKKIKKMKKAYALLRLRVLDLLDG >OIV96482 pep chromosome:LupAngTanjil_v1.0:LG15:19425374:19427356:-1 gene:TanjilG_07874 transcript:OIV96482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSTIRKAIGAVKDQTSIGIAKVASNMAPELEVAVVKATSHDDDPASEKYVREILNLMSSSRGYVHACVSAVSKRLAKTRDWIVALKALMLVHRLMNEGPSLFQEEILYATRRGTRLLNMANFRDEAHSSSWDHSAFVRTYSMYLDQRLELMLFDRKSGSVAAAGGGSGSAGGSVSGADDRFGGRDNFRSPPRAIEYEYGGDFRGESGGYGNGMRRTRSFGDMSETVGKEEKRIVSVTPLRDMKPERIFGKMGHLQRLLDRFLACRPTGLAKNSRMILIALYPVVKESFQLYGDICEVLAVLLDKFFDMEYPDCVKAFDAYASSAKQIDELVMFFNWCKETGVARSSEYPEIQRITSKLLETLEEFVRDRAKKPKSPERKELEAPPVVKEEEEPVPDMNEIKALPPPENYTQPPPPEPELKPEPKPQFTEDLVNIRDDADTADDQGNKFALALFAGGAANNGNGSWEAFPSNGQPEVTSAWQTPAAESGKADWELALVETASNLSKQKAALGGGFDPLLLTGMYDQGMVRQHVSSAQLSGGSASSVALPGPGKTTTPVLALPAPDGSVQPVNQDPFAASLSIPPPSYVQMSDMEKKQQLLVQEQQLWQHYARDGMQGQGSLAKLGGPGYYAGGPMPVMPYGMPPVNGMGPPAGYYHSSS >OIV96294 pep chromosome:LupAngTanjil_v1.0:LG15:20651856:20654579:1 gene:TanjilG_09721 transcript:OIV96294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELFVKRAPQQVVGAMRETVTNMIGTLPPQFFTVTITTVAENLAQVMYSVMMTGYMFRNAQYRLELQESLQQVALPDVQDKKDIPDYAPGTQKNVSGEVIRWNNVSGPESVDAKKYIELLEAEVEELNRQVGRQSSNAQNELLEYLKSLEPRNLKDLTSSAGEDVVFAMNTFIKRLLAVSDPDQMKTSVTETSAPELAKLLYWLMVVGYSIRNIEVRYDMERVLGTPPKVAELPPGENV >OIV97007 pep chromosome:LupAngTanjil_v1.0:LG15:12018825:12020797:1 gene:TanjilG_03581 transcript:OIV97007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGSLTLDAVIEAEKQAARDLIREKKKDRALLALKKKRTQEELLKQVDAWLINVEQQLADIELASKQKAVFDSLKAGNDAMEAIQSEINIEDVQKLMDDTAEARAYQDEINAILSEKLSAEDEEDILAEFDNLETQLTMQDLPEVPMSVSEESNDKLDLPDVPTKIPVASDADVSTKRKVMEEPLAA >OIV96874 pep chromosome:LupAngTanjil_v1.0:LG15:12784996:12785727:-1 gene:TanjilG_00456 transcript:OIV96874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDSSQLVTGSHIKPNSKFFNEGINSEIGTRSNEPSPIRVNRSNLPPTKVSRANHVLDGSHSWPPLKNIPAIQPVRSSGNATRVQHVPSGWTSFKRLPAATINCTTQEAPLGINHVGNLSYNKNSALVAVPPTHMVKRVPAHIKVPAKPFSLSACESPNSTHSLPHPSSYLEQSIEAHPITNVSKLKTIEQTGPNINLEDNVGHHGQRIDRMLDPMDNLDEMVGLNSKRPRVAPIWMADYVSK >OIV96272 pep chromosome:LupAngTanjil_v1.0:LG15:20833071:20833556:1 gene:TanjilG_05112 transcript:OIV96272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPSGTSSGSSMLLQNSGSEEDLQVLMDQRKRKRMISNRESARRSRMKKQKHLDELAAQVAQLNNDNNQLLTTLNLTTQRYLTIQAQNSVLTAQVGELSHRLHSLNQIIGFFNASNAVFEAADYASTTFIDPAPNSFFNPMNMNHMNQPIMASAEAMLQY >OIV96896 pep chromosome:LupAngTanjil_v1.0:LG15:13111787:13114738:-1 gene:TanjilG_00478 transcript:OIV96896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMEWSTCPFCDLSLPSSQLQWHANAHFDDREDLPQQFHLTSTPSPQSLQSEQAASDSQFGETSGDCNHWDVGETSRDNGEFTMDDKMSSLIGLQAWSKFYKVEGGLMALLRNCLESEPENSKAILSGYVDHFQSLEFEDAGWGCGWRNIQMLCSHLLVQRPEAREALFGGSGFVPDIPSLQRWLEIAWEKGFDPDGSAQFDNAIYGSQKWIGTTECATLLRSFALRARVVDFGPKESESCYISVPGSSVDDALDKKSKAYQVLTDFVWNYFSDKSSIQFDHQRVLISEKTPLYFQHDGHSRTIVGIQVEHQLNGILEYNLLILDPAHSTVALERSLKEKVGWQKLMKRGMHTLEEPQYQLCYVDPGIASGEEMEKLKTIDSVFLEF >OIV96691 pep chromosome:LupAngTanjil_v1.0:LG15:17402567:17412323:1 gene:TanjilG_09233 transcript:OIV96691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLLCTFLLPAMNGGRCHRRTYMEALEQSAEETSCPGLAVFPAELPEFGMDFFSQARKALSERSPFEVAEETSTSAAAVTLPSELGNLLNRRGDNRRRHKKSSHSGAGEKKKKCRANEKACGSNIWTEMEVYFRDLTLSDIDTLAEATSLYNLTYSECFSIPRLGNAPKFDVVSTEDERVAAPAFNLVSSENNEKVGSGNEKNAVEDANGVDMKIEDGFVGIESVDNVAVERDLPQGDKTHVSSDTCCSLEWLLGCRNKISLTSEHPSKKLKLLGGGAGLEKVVMAIPCDEGKRFCDYCSRGDTDSDSNPLIVCASCKVVVHQRCYGVQDNIDDSWLCSWCKQKGDIDDSENPCVLCPKKGGALKPVNGSVESVGSVQFAHLFCGLWMPEVYIDDLKKMEPIMNVGEINENKRRLVCNVCKVKFGACVRCSNGACGASFHPLCARAARHRMEVWAKYGDDDVELRAFCFKHSDLQENINISPSGDSVAIGEFSEANDPPVTLSVNSEHNLKVGIQNGVVSDSSPDKLNHNEPQDGGLSDCRLSAHDLLGFGAGEQHNIGVVGRTNENADASESLSFALVLKKLIDRGKVDAEDVALEIGISPDALTENINEAYMAPDVRDKIVNWLKAHVYTTAFQKGVKVKFKPGNASSDEGGSAHGSESLPKSNSGLLDPFAVKSVPPRRRTVSNIRILKDNKMICLSEGVTSENGMPVDKIRLRQPDHGNPGSLNEASVPDATEVNLTKSEDFCPAVQGNADKLFKSSISGCILDEESTVCLQNASMLSECPLIHPASEPPDSGLIKKETISNYVHPYIKKKLQQVHDGESLEGFICPREEGNSAYEFSVASDCSSSRNQQLTCIDFSQPNQVYKEQLARAKKMELLEFSPEDEVEGELIYYQHRLFQDRVAKKGLTDNLIHNIAKSLPQEIDMSHQRRWDAVIVNQYLRDLKEAKKRGRKERRHKEAQAVLAAATAAAAASTRSSFRKDTLDESMQQENLVKLDTLSGRTGASSQPMPRAKETLSRVAVTRTSSEKYSDFGMSISNFSKEQPKSCDICRRPETMLNPIILCSGCKVAVHLDCYRSVKDTVGPWYCELCENLSSRSSATSTINSLEKPYFVAECALCGGTTGAFRRSCDGQWVHAFCAEWVFESTFRRGQINAVEGVETLLKGTDICCICCCKHGVCMKCCYGHCRTTFHPYCARNAGLYMNVRTTGGKLQHKAYCQRHSLEQKEKAETQKHGIEEFKRMKQIRVELERLRLLCERIVKREKIKRELIICSHNMLAFKRDHVARSMLVHSPFILPDGSSESATTSLKGNTEGYRSCSEAVQQSDDVTVDSSVSAKHRVRVAVSMDTDPKVDDDCSTSQSQYKHKIPERMQFSGKQIPRRASATLQYHSDEGGRRSKSRKHAETFGKELVMTSDEASMKNSRLPKGYAYVPADCLSNDKHSNEDVYASEPVE >OIV96310 pep chromosome:LupAngTanjil_v1.0:LG15:20555988:20559083:-1 gene:TanjilG_09737 transcript:OIV96310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKRSRESTLSDSEKVQGIESRKKDGIKKSKKSWWPTSEVGCGAITKDEEEVAETLFSFAGMFPNNDSNASKIEPECQSLPQDSVNATFEASGTTQDTSPSPERSPSGAAKLTSLNETFDKQQIDFPDSAKLLTASRNTDQKQATPMIDKSENGSKVALHDSKLSLVMGLNVPKQSLNSQIEKQPDMEFEMVDIDSKQERHVVKDQKENEGPALWSGLSSRAFSGTNASYLQFSAAKAPGWLNAAICASKHDVMESCSSGVMIPKVVSYKKSWKSCAAHVHISHLIRSLEVSKGGQVKKEGEVRKPQQMRVQHVSKCGVLKEVDNLNGMRNGISSAAGTVHSSTRSSNEAKNGIIQQQSYYHDISQAPPTTTVYGPQNQSFNFLSLSSGNNGLKVNNSFNNGGSRLEPLSKYQVPYFQTMQQQHGLMPIPTPQCQYASTSYHDQHHVAGPQVRLHQPHYYGGPLSGIDYSSTVSNKQHYQSFWAVQLAAPQGSSAVNYNIARARYHNLQSGWHGSSSAASSCSQVIDPCCPEALGSKITSISEQQLFALASSLHRSRTNGLNIRLPSVCEESRGRFHSSPTPSLQLLCDERI >OIV96559 pep chromosome:LupAngTanjil_v1.0:LG15:18842069:18843111:1 gene:TanjilG_24212 transcript:OIV96559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRILSIILMVKNWESDNRRKPNTIIVGLKSEVIHYSEIHQMYETSFHTLSNRLFNDTLWPVVDAVANYVDNDHVFCLLYKEMWSRHLYARLTPTLQQRVDSWDNYCSLFQFQSFCQYRAKMKNKTEQEIALLRQFDQAWSVYGVLNFLQALVEKSNIIQILEKSNIIHRSYAL >OIV97459 pep chromosome:LupAngTanjil_v1.0:LG15:41218:42461:-1 gene:TanjilG_10983 transcript:OIV97459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVREEKKEEEEAQLDIWKYIFGFVEQAVVKCGIELGIAEAIESHGGPITLSHLSSTISCDPSLLHRIMRFLTYRNIFKTIPIHQDLQDDYGYGYIQTPLSRRLMRKGKQSMAALILLESSPVMLAPWHSLSTHVLANGKPSIQKALGEDLLSYAAANLDHSNLYNEGTACDAKQTMNAIIEGCIEVFDGVSSLVDVGGGNGITMNILVKACPWIKGFNFDLPHVIDVAPHYCDGVEHVAGDMFLSVPKADVALLMWMLHSWGDEECIKILKKCKEAVPRESGRVMIVEAVIEEGGKQGSLKDVGLMLDMVMMAHTNFGKERTLKEWEYLIKMAGFTTYTLIPIPNLVKSLIMAFP >OIV96866 pep chromosome:LupAngTanjil_v1.0:LG15:14987874:14989780:1 gene:TanjilG_08727 transcript:OIV96866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYQILQFVNKSYAVSEAGIIILPRSHDAVDERTVEDVDMLETDLVTLKWPRKPGLSDVDLFDSEDSLCDPPPEVVAFCNYVECPLLMDNLIFFGIYIYGRDERFDEEYLSVNGREYPCKVVLTNGRSSEIEQTLSSCLARALPSVAELRLPIPISTLDQGMVCLLDTMSFVDPLPAFRRRQWQVVVLLDIDALSVFRIPSLISFMTDRRALFHKEEYEILKNLIVSFRQAPHFCSKPSVKTWNFP >OIV96558 pep chromosome:LupAngTanjil_v1.0:LG15:18843687:18846653:-1 gene:TanjilG_24211 transcript:OIV96558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKHLSLDDVKPDQVSQDTIDAVSQVLRNAPSLKVSEDGKKVGRATELPKVEELIEQVEIRTFAASPFEHDLKLEDVETFFNQYAKVNSVRLPRHVGDKKLFCGTALVEFSTEEEIEKVLKQKLVYASAELELKPKKEFDAEREKELEAYEKSRPPLGSNRQNNSNEEESYPKGLIIAIKIKSISDEVPSKQNGVSKAQGKPSETTAGESDQKVSEIVDNGQENNGTKKENETVNAENSQETGEKNPASAYKDNMDVVLREDLKSVFEKFGTVKYIDFKIGAELGYIRFEDAEAAQKARAAAVLSEKDGLAVKNFIAIIDPVTGEAEKEYWTLLRGSQKDKHQNFKGNRGRGGGRHGGRGAKHGRSRDNERSRPHKAQKVGAA >OIV97256 pep chromosome:LupAngTanjil_v1.0:LG15:3046720:3062787:-1 gene:TanjilG_10790 transcript:OIV97256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTSSVISESSTHGGTERNEENKMFEYFGWVYHIGVNSIGHDYCHLRFLFIRGKCVAMYKRDPHEHPGIKPIRQGVVGPTLVVEDLGCRKINNADLHVIRFYNRLDESKKGEVACATAGEAQRWIEAFDHAKQQAEYELTSGGSARDKLNKAGEINLEGHRPRMRRYASGLKKFIRIGQGPETLLRQSSKFCSTDGFEVESGDAFDPHQWKCVRTMAGIRVFEDVSNRKNGKGVLAKSVGVIDATADSVFEVFLNTEQQKRYEWDMLMGDLELVESYDGHYDVVYGTYDPKYLTRWHSKRDFVFSRQWFRGQDGTYTILQFPAIHKKKPPRSGYRRTKINPSTWEIRNLNTPMGSNRPRCLVTHTLEIHSASWCRWKNNQTSKFENSIPYALLCQVAGLKEYIGANPAVHQLQQYATTDVHSKISEASMTSAEYGKAEVQDEFYDAIAAESSTSDEESDEELDQQEHKVTLKNISGAITTLDLKKTAAPDKSKELDPDVTPVTIDASGLHGSLNKGKDDSDTNCWTSPSGKGFMVRGKNYLKDNSKIVGGDPLFKLIAVDWLKVNKPVDKIALHHKSLVQSEAGKKLPFILVFNLQVPAKPNYSLVHYFASDRPINKDSLLAKFLDGNNAFRDSRFKLIPSIIEGYWMVKRAVGTKACMMGKAVTCNYFRQDNFLEIDVDIGSSSVARSVVGLVLGYVTSLVVDLAILIEAKEEEELPEYILGTVRLNRVRLESAVQLED >OIV96469 pep chromosome:LupAngTanjil_v1.0:LG15:19521288:19524201:-1 gene:TanjilG_07861 transcript:OIV96469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKDEWVQAAMTNDTLVVELLLRLKKTVSPKSKTLLPLTWGRKQPRSTSRSRLPPVQPSRCGGAGSTRCSPTTPLSWSGGASPSDTADCNDDSNRHHAVRSKATATSGYTGNSASTKKCRRKKTFAELKEEESSLLKERVYLEKEIANKNANFEAERALNERMKRIKLDFGSKWLSNPSSTSVELHCTPAGQPHQRIVVPFGPPEVTHATQDESNSHATQDESNSHATHDESNSHASQSRECFSLIPDLNMMPPDAGSYTEDLC >OIV97281 pep chromosome:LupAngTanjil_v1.0:LG15:2674311:2676229:-1 gene:TanjilG_07033 transcript:OIV97281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGVGVDEGRSICGYETCDVSDFYISDMIITSLPFGGNAFDDDIIATSCLSDYGSAEPSMFNACEQYMILPALEDDGKVSRTSDIVSYEEAVLVQEDASLYSAIGQIRSYNPESDVKNDLDKAESFDPQSFIKNSPELSEVELNGQNALIPKQSPRRKSVTLVLDLDETLVHSTLEHCDDADFTFTVFFNMKEYTVYVKQRPHLHTFFERVSEMFEVVIFTASQSIYANQLLDVLDPDERFISRRVYRESCIFSDGNYTKDLTVLGVDLAKVAIIDNSPQVFRLQVNNGIPIKSWFDDPLDCELMSLLPFLETLADADDVRPIIAKRYGNKE >OIV97260 pep chromosome:LupAngTanjil_v1.0:LG15:2988867:2991168:1 gene:TanjilG_10794 transcript:OIV97260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGAFVDSNGGRDFEGKVTTFVLITCFVAAMGGLLFGYDLGITGGVTSMEIFLVKFFPSVYKQMMDDTGNTSQYCKFDSQLLTLFTSSLYLAALIASFVAATITRKFGRKPSMFIGGLFFLVGALLNGFAVNVEMLIVGRILLGFGVGFCNQSVPVYLSEMAPSKIRGALNMGFQMMITIGILIANLINYGTSKHKNGWRISLGIGAVPAILLCVGTLCLDETPNSMIERGQHEKAKLMLQKIRGTSNIDEEYHDLVDASEEAKKIEHPWKNILQPKYRPHVTFCFFIPTFQQLTGINAIMFYAPVLFKILGFGDDASLMSAVITGGVNVVATLVSIFTVDKFGRRILFLEGGIQMLICQIIIGVMIGMKFGLNGQGSFSKGEADLLLFFICLYVAAFAWSWGPLGWLVPTEICSLEIRPAGQAINVAVNMLFTFAIAQAFLTMLCHFKFGLFFFFAAFVVIMTIFIAFLLPETKNIPLEEMSSVWKSHWFWNKIVPNDNDLGGYNHKSNQKSIP >OIV96375 pep chromosome:LupAngTanjil_v1.0:LG15:20153685:20158730:1 gene:TanjilG_09802 transcript:OIV96375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISISSSELNYLVFRYLHESGFTHSAFAFGYEAGIDKSRIDVNMVPPGALVTFVQKGIQYLELEANFSGDLKLIVSVLGLQSDADMDEDFSFIQPLDLITKDPNELHNMVKAKKENLRQHKIESNKTVNEDNTREHHQGKEKERKERENELGMEKIRLEKEREKEKGVLEVPHPDQNHAENHEDKIVVENLENGAQGGPEPMEISQSLSSLSNNIPSSDVKILEGHASEVFACAWNPSAPLLASGSGDSTARIWKIAEGPCDSSVQNELVNVVVLKHFKGRTNEKSKDVTTLDWNGDGTLLATGSYDGQARIWSRDGELKSTLNKHKGPIFSLKWNKKGDYLLSGSVDKTAIVWDIKTGEWKQQFEYHSAPTLDVDWRNNVSFATCSTDNMIYVCKIGENRPIKTFSGHQGEVNAIKWDPTGSLLASCSDDHTAKIWSLKQEQHLHDLKEHAKEIYTIRWSPTGPGTNNPNQQLVLASASFDSTVKLWDVELGKVLYNLNGHRDPVYSIAFSPNGEYLASGSMDKCMHIWSVKEGKIVKTYGGNGGIFEVSWSKDGDKVAACFSNNIVSVIDLRM >OIV96472 pep chromosome:LupAngTanjil_v1.0:LG15:19487931:19490142:-1 gene:TanjilG_07864 transcript:OIV96472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKPTGKKKDPPTSNSKAKRASKAFDEDTAVFINMSQELKEEGNKLSQKKDHEGAMLKYEKALSLLPKNHIDVAHLHTSMATCYMQLGLGEYPRAINECNLALQVSPRYSKAMLKRAKCYEALNRLDLALRDINLVLTVEPNNVSALEFRESLRKTMEEKGIRADEENDIALSSTVQLQQAPAPVVQKVVKEKVKKKRGKKSEDKVVGEEDLNALQDKKVVADTIEAEKLMVEAVKEEKVVSRTVKLVFGEDIRWAQLPVNCSMKLVRDVVRDRFPGFKGVLVKYRDEEGDLVTITTTDELRLAESSGHTMGSVRLYITEASPEQEPSYDRITKGVEVRTDGRKSSDSIENGGVEEGKGLSNRKTTVEDWLIQFARLFKNHVGFDSDSYLDIHELAMKLYAEAMEDTVTSDDAQELFEIAADKFQEMAALALFNWGSVHLSRARNQAFFSDVGSREYSIDHINAAYEWAQKEYKKAELRYEEALKIKPDFYEGYLALGHQQFEQARLCWCYVMAFKMDLEGGSADEVLLLYNKAEDCMEKGLLMWEEIEEQRLNGLSKSDKYKALLEKMGLDNIFNEISSDDVSEEAAKMKSQVYLLWGTLLYERSVVEYKLGLPTWEECLEVAVEKFELAGASATDIAVIIKNHCSNETALEGFKIDEIVQAWNEMYDAQGWQFRAPSFRLEPLFRRRVPKLHDILEQF >OIV96964 pep chromosome:LupAngTanjil_v1.0:LG15:14561848:14583499:1 gene:TanjilG_00546 transcript:OIV96964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELVEEEDHKQFFIGINQKEKSEDNYKSNEDKHHNHNSNNNNNSTLVKEKEEEGQSGQGERDMAPPSGNSSIHRSCSRPQLDVSKAEIQGNSEEKDPTILLPNQSDDLSHLALDIGGSLIKLVYFSRHEDQSANDKRKRSVKERLGLSNSNRRSYPILGGRLHFVKFETGKINECLDFLSSKQLHCGGLESHYTDADQNAIIKATGGGAYKYADLFKERLGVSLDKEDEMDCLVAGANFLLKAIRHEAFTHMEGQKEFVQIDPNDLFPYLLVNIGSGVSMIKVDGEGKFERVSGTNVGGGTYWGLGRLLTKCKSFDELLELSQKGDNSNIDMLVGDIYGGLDYSKIGLSVSTIASSFGKTILEKKELADYRPEDISLSLLRMISYNIGQHYEEPSLSDFRVLFYCLFFSRSKGEAQATFLRHEGFLGALGAFMSYEKHGLDDLMVHHLVERFPIGAPYTGGKIHGPPLGDLNEKISWMDKFLQKGTEITAPVPMTPAAGTTGLGGFEVPLSKGSSLRSDASALNVGVLHLVPTLEVFPLLADKKTYEPNTIDLSDPSELEYWLKILSDNLPDLMDKISWMDKFLQKGTEITAPVPMTPAAGTTGLGGFEVPLSKGSSLRSDASALNVGVLHLVPTLEVFPLLADKKTYEPNTIDLSDPSELEYWLKILSDNLPDLMDKAIASEGGTDDAKKKGDAFARAFSAHLARLMEEPNSYGKLGLANLLEMREECLREFQFGDVYRSIKQRENEASLAVLPDLLMELDRMDESTRLLTLIEGVLAANIFDWGSRACVDLYQKGTIIEIYRMSRNKMQRPWRVDDFDAFKERMLGAKKMPPHRRALLFVDNSGADIVLGMLPLARELLRRGTEVVLVANSLPALNDVTARELPDIVAEAAKHCDILRTAAEAGGLLVDAMINNSDSSKENSSSVPLMVVENGCGSPCIDLRQVSSELAATAKDADLIILEGMGRALHTNLNARFKCDALKLAMVKNQRLADKLVKGNIYDCICKYEPAG >OIV96531 pep chromosome:LupAngTanjil_v1.0:LG15:19058695:19060882:-1 gene:TanjilG_07923 transcript:OIV96531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKAKESSVVGKEKKASSSNSPITTTKKTTKPTTTRTTSPKDKTTTTSNPTTEKQVPNYLKPTISSRLVESNSFKLTRSDVSNKPSLNRRRSFDKPPSPSRLPKQTHPSPPFSRQHKALVSPGPRERSLSLPLKGTNSSKPIPQRISKTPKEGKTNQPALFAKSDKKSRGPSPSTSTTTNKVPKDDSSSESTKDTKSTDAETTTEVTNVETETEDKEAIGEKVEVEELEKVEKQEHEVENKAESEDSPHVESEHEYENEHDRDHEVEESDQAHIQADHDEDLIPTMPEVEAAEEEKNEEKHDENENRNQEECNNITIPEINHSTTEEEVEVKEKEEEKEEEEEEEGGQIIEEKHKTENNNEEENEVVEGGISEEVNMKKEEQDEEEEKEEEVNAEESTESKQQVQHGKKEAQVSNDVIEETASKLLEERKNKVRALAGAFQTVIDYQTK >OIV97194 pep chromosome:LupAngTanjil_v1.0:LG15:6840514:6844190:-1 gene:TanjilG_28945 transcript:OIV97194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSPAELSLDCKPQSYSMLLKSFGDHQSDQCNKLEEFLSRLEEERLKIDAFKRELPLCMQLLTNAVEASKQQLHTIRTNHQVTRPIMEDFIPIKQSNSEENTDKASNMFDNKANWMTSAQLWSQTSEGITKPQSTITPTKESYDIGFMSMNPKLALHNKHRNGGAFIPFSKELNPCPLGSSALRDVPELALVSTAEKDLEEKNCEEVETCYKRENLEKGGNDGGVIDQGKGAEVACEGQTTNVATNRKARRCWSPDLHRRFVNALQMLGGSQVATPKQIRELMKVDGLTNDEVKSHLQKYRLHTRRPGPSLQTGAPAPQLVVLGGIWVPPEYASAAAASAHTGAPTVYGPHPTLHAPPPHYCAATQLPQEFYTVAQTQPPLPPQPHHHAFHHLQMYNTAQHSRNSPEPEVAGGGDRSESIEDGKSESGSWKGESGEKEGERKGEESTGSEINLKF >OIV96578 pep chromosome:LupAngTanjil_v1.0:LG15:18069025:18069856:-1 gene:TanjilG_28435 transcript:OIV96578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDSLTLIGFWGSPFALRVKWALELKGIQYQYVEEDLTNKSALLMQYNPVYKKVPVLVHQGKSLAESLVILEYIDETWKQNPLLPLDPYEKAKARFWSRFVDEKCVPAVMTTFSKGGVEQKKAAEEARENLKTLESGFEGKRYFGGEKIGFTDVATGWLGCWVRLVEEIVGINLIDTKSMAKLNAWFDDFLEHPIIKECMPPREKLLEHNKAFYKVLRSLST >OIV97240 pep chromosome:LupAngTanjil_v1.0:LG15:3602238:3607603:-1 gene:TanjilG_13719 transcript:OIV97240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDCGYKSQYMDGQREKFVRLDDFDSRLSSPSGAGIRSCRFSIDKLSHSGHGSSTITSRSFKMGMKKGSEGLKSIGRSLASGVSRAVFPEDLKVSEKKIFDPQDNFLLLWNKLFVISCILSVSVDPLFFYLPVINDSLMCLGIDQKLAIIVTTLRTVIDAFYLLHMALQFRTAYIAPSSRVFGRGELVIDPPQIAKRYLQRYFIIDFLSVLPLPQIVVWRFLQRSKGSDVLATKQALLFIILLQYIPRFLRMIPLTSELKRTAGVFAETAWAGAANYLLLFMLASHIVGSFWYLLAVERNDSCWKKACKELIGTVCNKNFLYCGNQYMSGYSAWQNKSEDILKSECSADNPDAPFEYGIFKQALSSGIVSSKKFFSKYCYCLWWGLQNLSTLGQGLQTSTYPGEVIFSISLAISGLILFALLIGNMQTYLQSLTIRLEEMRVKRHDSEQWMHHRLLPTELRERVRRYDQYKWLATRGVDEESLVQSLPKDLRRDIKRHLCLALVRRVPLFESMDERLLDAICERLKPCLFTESTYIVREGDPVDEMLFIIRGRLESVTTDGGRSGFFNRSFLKEADICGEELLTWALDPKSGANLPSSTRTVKALTEVEGFALIADELKFVASQFRRLHSRQVQHTFRFYSQQWRTWGACFIQAAWRRYTKRKTAELHRIEESRESKGTLNNGSGGSYSIGATFLASRFAANALRGVHRNRNNAKIAKELAKLQKPHEPDFTADDAH >OIV96337 pep chromosome:LupAngTanjil_v1.0:LG15:20389799:20394040:-1 gene:TanjilG_09764 transcript:OIV96337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSDEDFDLLSSSPIFLPQPKLKRLKKKAITVPELSPPKSVNFHVPTFNNSSQQLRSLNSDDPLEELTFGSVPNLGSLSALPKDHGSAASRVLDFDSVGYELDGNVIGSELEKDKVEGVADMKSHELERKRSSFDSSAEFKEKRRKKKRVDVDRAEKIARESVFNKRKAEKERRENLQQLRAESQRLLRETRDAAFKPITLVQKPISSILDKIRQRKLEILKKSSSNYVDSDTDDGSEYCLDDEMIDKVEEVELEVTPTCPEPTNIGFSTHLDGSKDAVDSVSCESIPSPMGAGSDLGDIFRAPIGDTQEIYSHSERSGVKDEAVNEKPNNLSNEVSEPSTFAMNLQLDSAPPDDDVSSDDEYYDKENVDPHLHGSVPLSSSPSGDPVKAFVDEEAEEEDDSDNDIHHFQDNEEGEDDDDMEDLKDLIATEFEEKATDREKRDQLHQQWLEQQDTAGMDNILQKLNHGSKLKESTSLEEEDEESKETESDNEFDDEAEDYIAPSDSVKINLKRVKQMIPQMFTDKDDAYVSSDDDETEMRLAKQCLFDKVEDKATFLSPSEDESSKEVFSRIKKLNIVQDTKRKGRTSSAIDMLHIGQNINISSKSSFVGRASNHFMPPTHKNGLSKVRSFIFERDDSNSRTSISLSDDSSDMIQKESQVPKTFSAKFQRNTQNKYSALISASQESTVSLLEILRKSSIHAKHSVQHAEAQQKESVFDAFKLAKKPTRTNAQV >OIV96781 pep chromosome:LupAngTanjil_v1.0:LG15:16272042:16284138:1 gene:TanjilG_18094 transcript:OIV96781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRCWFFGVLAVVGCACLVGNVVGYPREDLVEKLPGQPHVGFKQYAGYIDIDVKTGKSLFYYFVEAEHRPHKKALTLWLNGGPGCSSIGGGAFSELGPFYPSGDGRGLRINSRSWNKASNLLFVESPAGVGWSYSNTTSDYNAGDASSANDMLVFFLKWYKKFPSYKSRKLFLTGESYAGHYIPQLADAILNYNAKSTGFKFNIKGLAIGNPLLKLGSNDQARYEYYWSHGLISDELGLTIKKECKFDDNVSGGILNISISCVDAMSEASKSVGDYINEYDVILDVCYPAIAEQQLRLHKTITKISVGVDVCISREKDFYLNLPEVQKALHANRTNLPYKWSGCSDVLNYSHTDPSINIVPILKRIVQNHIPVWIFSGDQDAVVPLLGTRTLIRELAQELNFNITVPYGAWFHNGQVGGWAIEYGNILTFATVRGAGHMVPYAQPSRSLHLFSDFVLGRRLPNATRPSIDGYPREDLVEKLPGQPHVGFKQYAGYVDIDVKNGTSLFYYFVEADHDPHKKALTLWLNGGPGCSSVGGGAFSELGPFYPRGDGRRLRINSKSWNKASNLLFIESPVGVGWSYSNTTSDYNAGDASTRHYIPQLADALLNYNAKSTVFKFNIKGVAVTKMSIGVDVCMLPEKTFYLNLPKVQKLFMQIAQIFHISGQCLISTFYSVLSYSHTDPNINIVPILKRIVQNHIPVWIFSGDQDAVVPLLGTRTLIRELAQELNFNITVPYGAWFHDGQVGGWVTEYGNILTFATVKGAAHMVPFAQPSRSLELFSNFVLGKRLPNATRPSID >OIV96338 pep chromosome:LupAngTanjil_v1.0:LG15:20382909:20385550:-1 gene:TanjilG_09765 transcript:OIV96338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTETDNPLPSSMAASAEASFSSSPLNSASQPPPKKKRNLPGMPDPDAEVIALSPTTLMATNRFVCEICNKGFQREQNLQLHRRGHNLPWKLRQRSGNEVRKKVYVCPEPSCVHHHASRALGDLTGIKKHFSRKHGEKKWKCERCSKKYAVQSDWKAHIKTCGTKEYKCDCGTFFSRRDSFVTHRAFCDALAEEARAQTLTAVSKDGVVASSPPPPPLTPSTSVLSPTLSIQSSEIPENPKRMSPPPSNAVDTGNISIVMASSTTPVPSSFSNLFSSISAQERTSLSLSTSSIFDSTSLFHDPHHTTTATVASPQPALSATALLQKAAQMGAASSNASLFHALGLSTSSSNSGQDHITTLPWNTLIHIKQEEINHTLPHNLGLGLTSGNSNAGFADAMMRSSSDPFGGPGQPTMTRDLLGLSIGNGTSIPSFFTSFGGNFQASGDRGGGGPGQ >OIV97213 pep chromosome:LupAngTanjil_v1.0:LG15:3833848:3838084:-1 gene:TanjilG_02921 transcript:OIV97213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMVTNFDSHSLGTTACTKKAIKDLLANEARFDVSHVKDNKEDGSKEYQGQREGNIQSMKETGESNENGKQCSVKKSSLKYSSRTHDVVLGNIHSHGLLNSREKNKTLIRNVAPTGMLWDGCLTLNSSVTVSAVAIFKSGEKMSGVTWSESIEVKGKVKLDDFEKYIQDLPRSRNRRLMVVSLCWKEGSSKLALTGMKKVAKRYQECERVGFAELSPGIVLYVCPRTEAIITILAKYGFFKGKSAIEKNKDAMIGCVVWRRNQINLNPVELKSKRCLHQVAASKMSPIHLPSESLESPGNNFTTLDTKQNDRIKRDKNFPTSSKAVTSQPTPSILPSVPAGSEISHPDPENQHVSMEQTLKLKSRVEKSGEEQQDLNSKMQRHVSVPPDIRKQPLPIVDSEDLPEFDYGTPCGKKSQAVTNGALDFMNVNQNLPGKGFRHMDCSLPLATMPTRNSCPTLHKKRLGNLSHQGLSSDDDMQKQKKVCGPGNISSLPPVVDEQSRATWKPYGTPVASRTKNLFADDDDMPEWCPPDVNLRKQSIHVTNHSSNFPIFTGGQKLTHPVHSAPSQNPFSSTAHVAAHHSPFLTQTPHFAFNPFHGPVRHAQPRPSNLYNVQSTSSPMQMNCIQPLRSNSCLTNANIPINHEVWKGWMPKMPNYNNGI >OIV97426 pep chromosome:LupAngTanjil_v1.0:LG15:750893:751865:-1 gene:TanjilG_16187 transcript:OIV97426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENYYDSGFHIGTNLDECSDHSPFLVPTQILPHVSNYEGAAKNKITSASKSHSQAEKRRRDRINTQLASLRKLIPKSDKMDKAALLGSVIDHVKDLKRKANDIVSSRDYIVPTEFDEITIDYDHVQDEKSTTKVNKFKDNFNIKASVCCDDRPELFAELIQVLKGWRLTAVKADIVSVGGRIKSILVLCSKDSEESVCISTLKQSLKSALTKIVSNFSMASNYSTRSKRQRSFFPSHCL >OIV97439 pep chromosome:LupAngTanjil_v1.0:LG15:663414:665511:-1 gene:TanjilG_16200 transcript:OIV97439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMMIARKTPMGQPLKETYVVMILLGALVSAAISDYIGISFVIGPTIYGLVMPNGPPLATTISDKSEVIISQILMSSFYTYVGINTNFTKIQNWKMVITFQCILFVGFMVKVIACVLLSPRYNIRPKHGFALGLILSVKGIIELLFFTRLRNNGIIDGQVFSQMVLYVVLMTSICAPLIKVLYKRNPRVLKTQNSVEGHVIRTIQNTSENIEFNVVSCLHNDGNVHSMIALLETCNPSKESPLCVHVIHLIELSGKFTPVLLPMNKQNRKSLSINYPNTNSIFRAFENYSNNSSGPVTILSYINVAPYDSMHEAVCNLAEEKVVPFIIIPFDGNDQSLGTLTAPMIRDLNTKFLDSAQCTVGVLVDRYSTLGLSISKICFHVGIFFIGGTDDRESLALGIRMLERSNVRVTLLRIVMKNNIDNDVDFEMLNENDEIEKEKDESLVDEFNGKKYDNDNVVFDEVVVEDCIQLMEAIRGMSAEKDYDLVMVGKRHSMGDLREEEMSNFMDNADQLGIVGDMLASTEFCSGMVPILVLQCGENHEKQIERLGCVQNV >OIV97271 pep chromosome:LupAngTanjil_v1.0:LG15:2786687:2790359:-1 gene:TanjilG_07023 transcript:OIV97271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKIKLLGMCIWLFYLPSFTFIVVKTQGNESERSATLKGSVVINGRSVIGNIDVDFVCATLDWWPPEKCDYGRCSWGLASLLNLDLNNKILLNAVKAFSPLKLRLGGSLQDKVIYETENDQQQCTPFHADTTQMFGFTQGCFPLKRWDELNNFFEKAGAKIVFGLNALVGRTISGSAVGPWNYTNAESFIRYTVSKNYAIDGWELGNELSGNGVGTSISADQYASDLIALRNIVYNAYEAIDPKPLIIAPGGFFSADWFKEFISKSDTSLDVASHHIYNLGPGVDEHLVEKILNPSYLDGVASTFRDLKNVLESSGTAATTWVGESGGAYNSGHHLVSDAFVYSFWYLDQLGMSAAYDTKTYCRQSLIGGNYGLLNTTNFIPNPDYYSALLWHRLMGRHVLSTTFSGTKKIRAYAHCSKQSKGITILLINLDSSTTVEADVTLSSTKNFLHRKMSDDSKVVKLPLHYATETAREEYHLTPHDGNIHSQIMLLNGKTLTVNSDGDIPSLEPTYVNSSNPIIVAPYSIVFAHIPDAVVKACS >OIV96928 pep chromosome:LupAngTanjil_v1.0:LG15:13642464:13644862:1 gene:TanjilG_00510 transcript:OIV96928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPNPFNDMLENPTILGVFSGMAMFLGPIWLAFFIGLIVGWLWKPKWASLGKEKLASSLAKPFDFCSPSSSSSSKGVFSPLKGYSSSPCLNSIKMQSPNPETLVVDKGIDKKASTSSASVKCDGSSSTLSSNEDISNACPMEDLHHLYQLVEEKDGGLPWIQMMDRATPTMNYQAWRREPKDGPPQYRSSTIFEDATPEIVRDLFWDDEFRSRWDDMLASSTTLEECPTTGNMKVQWIRTFPFFCKNREYIIARRIWESGRSYYCVTKGVDCPSIPRRDKPRRVDVYYSSWCIRAVESKRNKGQLTACEVLLFHHEEMGIPWEIAKLGVRKGMWGTVQKIEPGLRAYQEARASGAPLSRSAFMAQVNTKVNPEYLQSIGTAENSPEIENVSTPEKPQGVNVPKMLVIGGAIALACSIDRGLVTKYVIFGVARRFANIGRR >OIV97062 pep chromosome:LupAngTanjil_v1.0:LG15:10226918:10227073:-1 gene:TanjilG_14607 transcript:OIV97062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLVVDEGVEIKNGEEDLVVKVLELFARVLDATLTVGVHNVEAIAVNLDNE >OIV97422 pep chromosome:LupAngTanjil_v1.0:LG15:796210:797719:-1 gene:TanjilG_16183 transcript:OIV97422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLCLTLHAFFADHARYGLSFGTGSSTGSYLQLRAANIHKGPVLGLRGEKLHFSNARFCLQYKVSCKLTELRNDSCSPKELEKNQPLVKMCGITSAKDAAMAAEAGANFIGMIMWPNSKRSVSLSVAKEISKVARDYGAEPVGVFVDDDTETILRASHASNLEFVQLHGDSSRLAFPYLIQENRVIYVLHANGDGSLVNAISDEECSLVDWVLVDSAKGGRTQEILERKKRLCSGKAFDWAKFKLPKIRSKYGWLLAGGVTPENVSEALSSLKPEGVDVSSGICASDGIRKDQSRIASFMDAVHSVQY >OIV96618 pep chromosome:LupAngTanjil_v1.0:LG15:18458014:18460530:1 gene:TanjilG_28475 transcript:OIV96618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTGWRRAFCTRDPESNLSDNKQQPSSPSPRSCARLNFFSSSSNPSTPRLHQSQSPSLRCRTISEAAQAAITNESPRVYGKSTPRSSNSPKTLSNSNPSSPRTPLKLSLFKNSFKFRSSCGICLNSVKTGQGKAIYTAECSHAFHFPCIAAHVRKRGSLVCPVCNATWKDVTLLAAHQNLGHESATQNDVVATEKANAIEKRRIITHSSVSKTKHIESIQQQQQPKHVSDSIIRVYDDDEPLLSPTSGSRFIPIPEADENDDVEFQGFFVNPKPSSSSSSIKSYSDEQEINDGDSKTVQVKLMPECAIVSVSQFHETYALVLKVKAPPPPILDPSQRAPIDLVTVLGIGGTMTVAKIEMLKRAMRLVISSLGSSDRLSIVAFSAIPKRLLPLLRMTRQGQRMARRIVDRLVPVPGNGTTAVGDALRKATKVLEDRRERNTVTSVMLLSDGQDERAETGSKSNQRKNMSHVSSTRFAHIEIPVQSFGFGRTQTGQQDVFAKCVGGILSVVVQDLRIQLGFQADSSQAEISAIYSCSGRPTLLSSGAVRLGDLYAEEERELLLELRVPISAFRIGTQHVMTVRCLYKDPATQEIVYGKEQGLVVPPPQSNRSNRIERLRNLFITTRAIAESRRLVEHNGDLNSAHHLLASARALLVQFDSDSVEEYVRGLEAELAEVHWRRQNQVKMDEVEKKDREMTLVDENGVPLTPTSAWRAAEKLAKLAMVKKSLNRVSDLHGFENASRGNKRGHLVMGIDSVEMEWDRVGEGHRIGYTPPSNGRY >OIV97310 pep chromosome:LupAngTanjil_v1.0:LG15:2408787:2409350:-1 gene:TanjilG_07062 transcript:OIV97310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPRNPNQPNTHLSLSITFPITITVASSTTDIPITFTVNRTTFSVAPIPRHHQYGLSQYSSASGALGLLLPTSTLTLPTVINVATDTMFLTTNVSATRIVHFSPIVNSTSRIPIRQRPRPFQIFNLTRMTDTASITLPIMITFNITVDADTTIVVNVVVLEDTMVVTSDTVTRIEDFIAILTMGSRH >OIV97349 pep chromosome:LupAngTanjil_v1.0:LG15:1985449:1990028:1 gene:TanjilG_07101 transcript:OIV97349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPMSWATPDDVLLSTSLATYLDKKIIVLLRDGRKLLGLLRSFDQFANVVLEGACERVIVGDLYCDVPLGLYVIRGENVVLIGELDLGKEELPPHMACVSEAEIRKAQKADRESSDLKGTMRKRMEFLDFD >OIV97184 pep chromosome:LupAngTanjil_v1.0:LG15:6294656:6298107:-1 gene:TanjilG_28935 transcript:OIV97184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANYDLTTRIAPNLDRHLVFPLLEFLQDRQMYDDDHILKAKIELLNKTNMVDYAMDIHKSLYHTEEVPQDMVERRVEVVARLKSLEEAAAPLVSFLQNAAAVQELRADKQYNLQMLNEKYQIGSVQIEALYQYAKFQFECGNYSGAADYLYQYRALCTNSERSLSALWGKLAAEILMQNWDIALEELNRLKEIIDSKNFASPLNQVQSRIWLMHWSLFIFFNHDNGRTLIIDLFNQDKYLNVIQTSAPHLLRYLATAFVVNKRRRPQFKDFIKVLQQEQHSYKDPITEFLACVYVNYDFDGAQKKMRECEEVILNDPFLCKRVEDSNFSTVPLRDEFLENARLFIFETYCRIHQRIDMRVLSEKLNLNYEEAERWIVNLIRGSKLDAKIDSQTGTVTMEPNHPNVYEQLIDHTKALNGRTYKLVSQLLEHAQAQAAR >OIV97005 pep chromosome:LupAngTanjil_v1.0:LG15:12049537:12052650:1 gene:TanjilG_03579 transcript:OIV97005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLIGDDGNGGRKKVLVGVKLDKRSMELLTWALVKVAVPGDLVIALHILETVPEGTTSLLSLVKSFDSVLSGYEGFCNLQQVDLKFKLCRGDSPRKVLVQEAKLFGVSTVIVGTSKTHHAIRSSSSIAKYCGKKLPKCVSVFAVDNGKTVFRREASKTWGDQEKLHEGQKSSPKLLVACTKNYESCERGLSDDDLVKENSLALVPFQKHDVGDPDPPSYSIVVSNSNQLKSGWSIIRRMFHPRKHSHSPKSLRKSAFDYQAALRQPSWNFSAVVHPDHKQTNDDKNNDSTLDGESGAIVPFGSNATFPLPSICGEVTSLPEELLGLQEKYSSLCRIYSLQELVSATANFSPENLVGKGGSSYVYRGFLPDGKELAVKILKPSEDVVKEFVQEIEIITTLHHKNIISLSGFCFESNHLLLVYNFLSRGSLEENLQGNKDCYAFGWQERYRVAVGVAEALDYLHNRCAEAVIHRDVKSSNILLSADFEPQLSDFGLASWSSSSSHITCTDVAGTFGYLAPEYFMHGKVTDKIDVYAFGVVLLELLSNRKPINNDCPKGQESLVMWATPILKDGKLSQLLDPSLGSDYDHCQIKRMVLAATLCIRRAPRSRPQISLILKLLQGDQEVTAWAGQEVSAPPELDGADGEPVPTNNIQSHLNLALLDLEDDAVSISSTEQNVSLEDYLLGRWSRSSSFD >OIV97268 pep chromosome:LupAngTanjil_v1.0:LG15:2814131:2814889:-1 gene:TanjilG_07020 transcript:OIV97268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWFSWLSRTTLEPSLVYEYGLAFSRNELQLEDAIYFNHEFLQSMGISIAKHRLEILKLATKEDGPIMLLRTKKLSLVLKKCLRKCMSMVFRDDDNGEVKEYMPQEANWYQGKWRRALVKGEKGMQRSRTIALSGPLDGRMHEKIMSNKLLKLSGPIDGKMNERMMYTNRSPRPIDGRFMGTPKHPTLASGPIDSRAMVHSRSPRLMRSSDERGESPMGYSNSPYNNKTKGDTDYDDEYAMWPTLFEDLKPT >OIV97294 pep chromosome:LupAngTanjil_v1.0:LG15:2509517:2532405:-1 gene:TanjilG_07046 transcript:OIV97294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVPSEKLVEDKTPENPIILGKKNSLEGSGRTAKTVNTGGSVATRKRVVETRNRSVTGPASATVVPKRSGSSSGSTVSPSLSAPRRSSTGGLYRKPAPDSEGRRKTVADSTSGAGVGVKRNEPTRRSLPELRRSSLASSSPRSSITERPVASAGPSSRMHVTSSVSKVEAAKRPLSKPVSSEASISSRRVASSTADSSGGSSVRRSVSKFSSPLSAAQSSSIGMRASSLSSSSQDKSSAVSGRRKVGTPDSRESRFVVLPQVDSKASDDVRLDLRGHRVRSLNTSGLNLSSNLEVLDLSFNDFKGPGFEPLENCKVLQHFRVEENPIMKMPHLEAASILLVGPTLKKYNDRDSLLNIKSYADLSREEITLAKRYPTHTAFCIREGWEFSRSEHAADSTFRFLVQKWKDCIPPGFFLKEAFIDKPLEEDVCHCHFTIIHDGAATADPPLVLKYQWFCGEMSLSNFVPIADATSEIYWPKHEDIGKILKAECTPTLGETEYPSIFAISSPVSPGSGIPKVVNLEVHGELVEGSIIKGCAKIAWCGGTPGKGVASWLRRKWNSSPVAIVGAEDEEYQLTIDDVDSSLVFMYTPVTEEGAKGEPQYKYTDFVKAVSSVRIVGDAVEGSIIKGVGDYFGGREGPSKFEWLRENGNAGDFLLVSAGTSEYTLTKEDVGRRLAFEYIPINLEGQEGKSLSVMSPVVKQAPPKVTDVKIVGDLRENGKVTVTGIVTGGTEGSSRVQWFKTCLSTLDENSLEALSTSKIAKAFRIPLGAVGYYIVAKFIPMTPDGDAGEPAYAISDRAVETLPPSLNFLSIIGDYTEDGMLTASYGYVGGHEGKSIYNWCLHEVEGDVGSLIPGVSGILQYRITKEAIGKFISFQCTPVRDDGVVGDLRIWMGQERVRAAGDAVEGTTLSIEKKYWGGEEGDSVYRWFRTRSDGTQTEIMGATTASYVPSIDDIDFFISVSCEPVRSDWARGPMVLSEKIGPITPEFLDLTLEDVGGCIELIYTPVRKDGMKGIPKNIVSSTVSPADPKGMDLIIPECCEDQELIPLQKYFGGHEGSGEYIWFQTKDKVEGSALLDIFNASDVEICGTELTYKPSLKDVGAYLALYWVPTRADSKCGEPIIAISSTPVSPAPPVVSNVDVKELSLGLYNGEGEYFGGYEGESLFSWYRENNEGTIELINGANSKTYKVSDSDYNYRLLFGYTPVRSDSVIGELRLSDPTDVVLPELPYVEMVALTGKAVEGDVLTAVEVIPNSETQQHVWSKYKKDIKYQWFCSSEVGDSLSYNPIPNQSSCSYRVRLDDIGRRLKCQCVITDVFGRSGEVVYIETTPVLPGIPRIQKLEIEGGGFHTNLYAVRGTYSGGKEGKSKIQWLRSMVGSPDLISIPGETGKLYEANVDDVGYRLVAIYTPVREDGVEGQPISVSTEPIVVEPDVLKEVKQNLDLGSVKFEVLCDRDQTSKKVSTLGTYMRRILEINKKRIKVVKSATKTSFPTTEIRGSYAPPFHVEIFRNDQHRLKIVVDSENEADLMVHSRHTRDVIVLVIRGLAQRFNSTSLNSLLKIET >OIV96610 pep chromosome:LupAngTanjil_v1.0:LG15:18376369:18381348:-1 gene:TanjilG_28467 transcript:OIV96610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFTNHFLLLMFQFILVLGHATNPGVQLKIQDKYVVLDNGIVQVTIAKPEGYVTGIQYNGVDNLLEVLNNETDRGYWDMVWDEGGNKTTAKGKKGKGKFERMEATNLKVIVENEEQVELSFTRIWNSSLEGKHAPLNIDKRYILLRGSSGFYTYAIYEHLKEWPAFDIDNTRIAFKLRKDKFHYMVVADNRQRFMPLPEDREKGRGQILDYPEAILLVDPVEPEFKGEVDDKYQYSCESRNNGVHGWISSNSSQPTGFWLITPSPEFRSAGPLKQYIASHVGPTSLSVFHSTHYSGLDLLMKFGPNEPWKKVYGPIFIYLNSLHNGESPTTLWKDAKQQMVNEVESWPYTFPASEEFLSSKQRGKVQGRLLVRDRYNKDASIPVSGGYIGLASPGDGYQFWTSTDDKGYFSIINIRPGSYNLYSWVTGYIGDYKYGPIINVTAGSEINVGELVYEPPRDGPTLWEIGIPDRSAAEFYVPDPNPKYINKLYVNHPDRFRQYGLWKRYADLYPKEDLVYTVNVSDYRKDWFFAHVSRKKDNNTYQATTWKINFNLDQVHTNGTYKLRVALASIHHANLQVENFAFIL >OIV96508 pep chromosome:LupAngTanjil_v1.0:LG15:19253113:19255400:1 gene:TanjilG_07900 transcript:OIV96508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKQFLSKLPRKASKLDSDESTRVNSLRSDSSAGKNHRPHGDNNSNIAKRTSSSAVFPSSTVAGIEPLLPFKDVPNGERMNLFVSKLSLCCVIFDFSDPAKSIVEKDVKRRTLVELVDFVANVNMKFSEPATLAMCRMCAVNLFRVFPPNYGSNRGGGGGGENDDDEPTFDPAWAHLQLVYELLLKFISSTYLDAKVAKKYIDHWFISRLLELFDAEDPREKDCLKTILHRVYGKFMVHRPFIRKSINNIFYRFVFETEKHNGVAELLEISGSIISGFALPLKEEHKMFLWRVLIPLHKPKSMGIYFQQLTYCVTQFIEKEPKLASTVIMGLLKYWPITNSQKEVMFLGELEEILEAINMVEFQKVMDPLFWRIGYCMNSLHFQSYFGNTLLSKDPLVISMLWRNSIIYDMVVMHLYQSRWVGKSGEHVLLVAERALFLWNNDHIVNLIAHNRQVILPIIFPALDRNSQSHWNQAVLNLTHNVRKMFMEMDEKLYLSCHSHFKEEEAMSSTAAEKRKEAWKQLERVASLKPVIGNTAVLVSPI >OIV97010 pep chromosome:LupAngTanjil_v1.0:LG15:12000182:12003680:-1 gene:TanjilG_03584 transcript:OIV97010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVTGNTATDSAEKRLNELGYKQELRREMTLFKTLAISFSTMTLFTGITPLYGSSLQYAGPAALVWGWVVVCFFTWFVGIAMSEICSSFPTTGSLYFWAAHLAGPKWGPFSSWCCAWLETIGLIAGIGTQAYAGSQTLQSIILISTGTNKGGGYFAPKWLFLCMYIGLTVIWAILNTFALEVIALIDVVSIWWQVIGGLVIVILLPLVALTTQSASFVFTHFELAPGSTGITSKPYAVILSFLVSQYSLYGYDAAAHLTEETKGADKNGPKAILGSLAIITLFGWAYILALTFSIQDLGYLYDTNNETAGAFVPAQILYDAFHGRYHNSAGAIILLFVIWGSFFFGGLSITTSAARVVYALSRDKGVPFSHLWRKLHPKHKVPSNAVWLCAAICILLGIPVLKLNVIFTAITSICTIGWVGGYAVPIFARMVMPEKNFKPGPFYLGKASRPICLVAFLWICYTCSVFLLPTLYPIDWNTFNYAPVALAVGLGLIMLWWLLDARKWFKGPVRNIDNQNGIV >OIV96813 pep chromosome:LupAngTanjil_v1.0:LG15:15702709:15703667:1 gene:TanjilG_08674 transcript:OIV96813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEVPASLPCLAYIVLKRLGILRSPECLASSIIDAALALPEVQQNHCLISVAHFLHSIDLQLMDIQFSILLFPPFTVCNSSKVKF >OIV96983 pep chromosome:LupAngTanjil_v1.0:LG15:12499843:12500741:-1 gene:TanjilG_31874 transcript:OIV96983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPAKRGKSKAEAKTPTPSEKPDNFPSCIRCMPPSSVAVTIHAKPGSKSASITDISDEAVGVQIDAPARDGEANAALLDYISSCNKKGEYFKTSCLCLR >OIV96632 pep chromosome:LupAngTanjil_v1.0:LG15:18630841:18631089:-1 gene:TanjilG_28489 transcript:OIV96632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKERRMVMVVKAQIGSKPPKCEGRCRTCGHCEAVQVPVEPIFQRHRSHYSTRATTYSSRSDDFSNYKPMSWKCKCGNYFFNP >OIV96841 pep chromosome:LupAngTanjil_v1.0:LG15:15367189:15367581:-1 gene:TanjilG_08702 transcript:OIV96841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSIKGPTTSKIGVIMQASRAGKSLMPLRQNSLLVDNSMSKTGPNINGCSENRQLSLVLGQAHTASRPAKVDVSLMGPSLDGAGELDANLHGPSNYLKASPMLSNQDEDMLNIYSTHEASHGNNEVSMDY >OIV96757 pep chromosome:LupAngTanjil_v1.0:LG15:16660166:16666166:1 gene:TanjilG_11753 transcript:OIV96757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEENKPPSSSVKKADRQMFTVELRPGETTIVSWKKFMKDANNYKNKNGGNDNGSTSSLPPHVHPSLEPRIVLGHPAEVKQKDPSQPNRFSAVVEKIERLYMGKDSSDEEDMRGVPDDDQYDTKDSFIDDAELDKYFEVDNSAIKHDGFFVNRGKLECINEPPVLPNQQPKKRHRKYISKNADENNDDQGFSKQVKVSRPASGKTDSLKGKNTSNSTQNLVPPGELYKDLKVQNKFDVLGISSKKKTVDTKTTLDPSVPLKASKDDVPAAVKEAKDADKQKTGVKKFSDKYKDASGLLGASHQKYHEKGAHAHSKSQPGRCSSNIDDLKKTINLKGKKVTRELPDLNLSEGKSSMQVTKSEYTHKKDGSSVRPKTSTLEKAIRELEKMVVESRPPAVENQEADTTSQAVKRRLPREIKLKLAKVARLAQASQGKVSKELLNRLMSILGHLMQLRTLKRNLKEMISTGLSAKQEKDVRFQQIKKEVVDMIRIQAPTLESKQQKAGASGDFQEYGPDGKTNTKTKFSMEAALEDKICDLYDLFVDGLDEGAGPQIRNLYAELADLWPNGCMDNHGIKRGICRAKERRRTLSKHKDQENMKRKKLLAPKLEDNVLPNTSSIAPQQSSREKVAPQSRSHAFTSGNKSVSNMSTTARVSSPSMNDLKQEKAKKSSSSSQDNVKVENGGPTKKKVKRKPEHDLEGEHFCPEKKKPGSLQGEARRKSLKHSAGLPTKSKLQPTIIPGVKQSS >OIV97100 pep chromosome:LupAngTanjil_v1.0:LG15:9035562:9037715:-1 gene:TanjilG_10046 transcript:OIV97100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRTPCCNHEEMKKGAWTVEEDQKLITYIQTHGTGSWRTMPQKAGLQRCGKSCRLRWFNYLRPDIKRGHLSEEEEQTIIRLHAALGNRWSSIAKHLPMRTDNEIKNYWNSYLKKQLDIKGVDPFSTKPITTVSSSSDSEPNTNEGSSKSESSQLLNKVAKKIVGSGYLDAVKSLQLVADNTINDDFVTKIEFESRRDNTIKQSHVPNFSSISSHSSTSAQLLNKMATSLSKKTHGHEAAKAIFSKIMEGDEKSEASADGSANNNALNLFEDEPKITRIIDSPSSPTVTFNEVLSHSCFLDNWQCFSSNYISFGNCAASGDDSSVSGVNLRSSSSFCIESPNYSTLCFLDENAPAASAADKSDDYLNEILTCEDEISKYIQYL >OIV97185 pep chromosome:LupAngTanjil_v1.0:LG15:6350818:6356061:1 gene:TanjilG_28936 transcript:OIV97185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEERKSKCCPQLNCVSIIDVPKIKLKIGDRKGASTNYFGLVVVGLDAATIDPLMGFHFILFLVSVSLRVRATLSQDIAHGSLQVHGTEAKAETGDNFICATIDWWPHDKCDYSHCPWGYSSVVNLDLTHPFLAKAIQALKPLRIRLGGSLQDQVVYDVGSLESPCHPFQKMKDGLFGFSKGCLHMKRWDELHHFFNETGAIVTFGLNALRGKHQISHHVWDGQWDPGNTYDFIKYTISKGYKIDSWELGNELSGKGIGASVGVAQYGKDLIKLKQILDALYENSNFKPLLVAPGGFYQKEWYDKLLQVSGSGIINVLTHHLYNLGPGSDAHLEQKILDPVRLSKIESIFSNLTETIQKHGPWTSAWVGEAGGAYNSGGRYVSNTFVNSFCALLWHRLMGKTVLEVSTDVSSPFLRTYAHCSKDRGKSRHNGKAFPCDLEVMNSNLRNHLFAYEDKTAYIYLPLPHSGGVTLLLINLSNQTHFILTVESHLIEGNEVTKSIHKENSFFENLKNVFSWVGTKGSEVTFREEYHLTPKDDYLRSQTMVLNGIPLQLTNKGDIPTLEPVLSNVHSPVYIAPLSFAFIVYPNFDAPACARHRKL >OIV97338 pep chromosome:LupAngTanjil_v1.0:LG15:2117748:2118287:1 gene:TanjilG_07090 transcript:OIV97338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEGGRSKLSGIRQIVRLKEILQKWQNVTLTSKTSDSKKPYSDPKRTYSDLKRSSSEHNSAITSSGISPIINRRITNVIVCDSDEEGCQSPEPPHDVPKGYLAVYVGLELRRFIIPTSYLSHSLFKVLLEKAEDEYGFDHNGGLTIPCEIETFKYLLKCIENNPCNCITYSNLKLFNST >OIV96726 pep chromosome:LupAngTanjil_v1.0:LG15:17787519:17787716:1 gene:TanjilG_09268 transcript:OIV96726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVNSATSYCHVAATWPPSVHLRDGWSPPHPINTPFQKTQLVLKGKLRKSFLHLSRRLPGIFTFR >OIV96817 pep chromosome:LupAngTanjil_v1.0:LG15:15673426:15680404:1 gene:TanjilG_08678 transcript:OIV96817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSSPLLLEEPIRMSSILEPSKPSFFPAMTKIVGTLGPKSRSVEVISRCLEAGMSVARFDFSWGDPEYHQETLENLRAAIKSTKKLCAVMLDTVGPELQVVNKTEHPISLEADTLVVLTPDQNKEATSNLLPVNFSGLSKAVKKGDTIFIGQYLFTGSETTSVWLEVDEVDGEDVTCVIKNSATLPGSLYTLNVSQIHIDLPTLTDKDKEVISTWGVQNNIDFLSLSYTRHAEDVRHAREFLSKLGDLKQTQIFAKIENTEGLTHFDEILREADGIILSRGNLGIDLPPEKVFLFQKAAIYKCNMAGKPAVVTRVVDTMTDNLRPTRAEATDVANAVLDGSDAIVLGAETLRGLYPVETISIVGKICAEAEKVYNQDLYFKKAVKYAGEPMSHLESIASSAVRAAVKVKASVIICFTSSGRAARLIAKYRPTMPVISVVIPQLKTNQLRWTFTGAFEARQSLIVRGLFPNLADPRHPAESTSATNESILKVALDHGKAFGIIKSHDRVVVCQKVGDSSVVKIIELEE >OIV96731 pep chromosome:LupAngTanjil_v1.0:LG15:17805660:17811822:-1 gene:TanjilG_09273 transcript:OIV96731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRPLYRGVSGIRIPESSYDYTLDSDSQYKDKTDKEDFDRRGSSNHNSPLLKPLRLLFSPSSNSKYGITENAFSSDPFLVGTPRNRHQLVLFFLKFSLVFIIILALAGSFWWTISISTSSRGHIYHGYRRLQAKLMSDLLDIGEISYAPSRMKVLDFCSQEFENYVPCFNVSDRLALGYSDGNEFDRQCGHEPRQDCLVLSPMNYKIPLRWPTGKDVIWIANVKISAQEVLSSGSLTKRMMMLDEEQISFRSASLMFDGVEDYSHQIAEMIGLRNESNFIQAGVRTILDIGCGYGSVGAHLFNSQLLTMCIANYEPSGSQVQLTLERGLPAMIASFSSKQLPFPSLSFDMLHCAWCGIDWDQKDGLLLLEADRLLKPGGYFVWTSPLTNARNKDIQKRWKFVHEYTENLCWQMLSQQDETVVWKKTSKKNCYSKRKTASPPPLCGGGYDVESPYYRELQSCIGGTHSSRWISIEERATWPSRDILKKDELAIYGLQSDEFAEDFESWRTAVRNYWSLLSPLIFSDHPKRPGDEDPQPPYNMLRNVLDMNAHLGNFNSALLQAGKSVWVMNVVPTSGPNYLPLIQDRGYVGILHDWCEAFPTYPRTYDLVHAAGLLSLETSQQHRCTVLDLFIEIDRLLRPEGWIIFHDTVPLVESARAQTTRMNWDARVIEIESDSDKRLLICQKPFFKKQAK >OIV96986 pep chromosome:LupAngTanjil_v1.0:LG15:12558447:12559399:1 gene:TanjilG_31877 transcript:OIV96986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAMNSSVLACSYAITSAGCSDLNAKLTSVPVPGYKLPMIKAQQARFPQAKESQASEGRRGALVLLAATLFTTAAAASNTSFANAGVIEDYLEKSKANKELNDKKRLATSGANFARAYTVQFGTCKFPENFTGCQDLAKQKKVPFISDDLELECEGKDKYKCGSNVFWKW >OIV97389 pep chromosome:LupAngTanjil_v1.0:LG15:1194001:1196074:-1 gene:TanjilG_17573 transcript:OIV97389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKKGVASRKWNLFNWYFLFMGLASLSALIVVVYIQENMSWGWGLGIPTIAMLVSIIVFVLGAPLYKHVKPGGSPLVRLAQVIVAAIKKRKEILPNDSELLYQNKELDAALTMEGRLLHSHQYKWLDKAAIITEASDPNATPNLWKLATVHRVEELKSIIRMLPLWASGVLNITASSHLHSFVIQQARTMDRRLTHSFQIPPASMSIFSVSTMMTVLILYERVFVPCARRFTRNPNGITCLQRMGIGLVLNILATTVSAFVEIKRKEVAIEYNLLDDPKALIPISVFWLVPQYCLHGAAEVFMYVGHLEFLYDQSPESMRSTATALYCITAGIGNYLGTILLSLVHKYTGKERNWLPNRNINRGKLEYYYFLVTGIQVANLIYYAICAWFYTYKPLEEISDNNKGEDVEITNGKNSQCKRW >OIV96585 pep chromosome:LupAngTanjil_v1.0:LG15:18116751:18119217:-1 gene:TanjilG_28442 transcript:OIV96585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEDCGTWLPHLQPGWQSPNLTPFGMVKHNDVSEALNPAINLFSRNEAMPAYASSALPHLQLEHSNESHGWFYCLPRIRQEFTPAPNFNAEDKLPADDAEQIRDDIASAPCGESGSPQKQFLVIDRTAGQTTVVYNCRFGSPNECLTSLHPKLHGANYLNGNEPSFRTYLNHMTDPILADKVDENQGTGIENEMHEDTEEINALLDSDSDVYSAEDDDEVTSTGHSPSTMTIHDNHEAFKKTTEEVASSAGKTKKRKLSDGYHDDIQLMDTANSSNQNKLFAMGNDAGSRCSGGKGEGPDVMDSLSGNKKMRKEKIRDSLSILQSMIPGGKDKDPVMLLGDAIHCLKSLKLKAKALGLDAFCCRPTLVVHAVLGIDKITSFNLHSRTQVIASFKKVQGSGQFQFQGEIDCVLKKVQEGVEVFDNIGNHGKTWCIC >OIV97440 pep chromosome:LupAngTanjil_v1.0:LG15:659037:661896:1 gene:TanjilG_16201 transcript:OIV97440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSKCFFHNNPFHVSDKKIERLKLFFCLWILMLLPTCVKPGLGENDHWDGVVVTESDFLALEAFKQELVDPKGFLRSWNDSGYGACSGGWIGIKCSMGQVIVIQLPWKGLSGQISDRIGQLQELRKLSLHDNLISGSIPSSLGLLPNLRGVQLFNNKLSGSIPPSLGYCPLLQSLDLSNNLLTGTIPDSIASSTRLYWLNLSFNSFSGSIPAKLTHSDSLTILDFQHNNLSGSVPNTWGGSVKSGFFRLQSLILDHNLFSGNVPSSLGRLSELKEISLSHNQFSGTIPNEIGTLSRLQTLDFSNNALSGQIQETLGKLHNLSVLILSRNKFSGHIPASIGNISNLMQLDLSLNNISGEIPISFNTQHSLNLFNVSYNNLSGSVPTLLANKFNSSSFVGNIRLCGYSLSTRCPSPAPSFGVIASPPKLSQQHHHMKLSTKDIILIAAGALLIVLVTLCCVLLICLIRKRATSKAANDRVVTRTGKGVPPVVGGVGEVEEGSGEGGGKLVHFDGPMAFTADDLLCATAEIMGKSTYGTVYKATLEDGSEVAVKRLREKITKNEREFESEVCVLGKIRHPNLLALRAYYLGPKGEKLLVFDYMHKGNLASFLHVRGPETVIDWPTRMRIAQGVANGLFYLHSQENIIHGHLTSNNVLLDENTNAKIADFGLSRLMTTAANSNIIATAGALGYRAPELLKLKKATNKSDIYSLGIILLELLTGKSPNESMNDVDLAQWVASIVKEEWTNEVFDVELMRDASTIGDELLNTLKLALHCVDPSPSARPDIQQVLQQLQEIRPVLSSEDY >OIV97401 pep chromosome:LupAngTanjil_v1.0:LG15:1542930:1547095:1 gene:TanjilG_17585 transcript:OIV97401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKKHPHPWSPLEAPKDLAQKLSLDPETLSLASSDFGLIEHKTPLAVFEPSYVSDIVNLIKYSNSLPIPFTIAPKGKGHSTHGQAMTNDGVVVNMTRLSDFRNGSGIIVYDEYVDVGAEQLWIDVLHETLKHGLTPLSWTDYLYLTVGGTLSNAGITGTTFKFGPQISNVLELDVVTGKGDLVTCSPDNNSELFYSVLGGLGQFGIITRARIVLGPAPTRVKWVQLLYSDFSAFIEDQEHLISFHERNETKGADHIAGCILVNHSRLNLSFYPPNHHQRITSLVTEYGIIYSLELVKYYDNNSQELVNEEVQNLLKVLKFIPSFSFEKDVSYEEFLNRLHESELVLKPKGLWNVPHPWMDLFVPKSRITDFNEGVLKGIILKENIYVRFLVFYPMNQSKWDDRMSSVIPDEHIFYALAFFRATGFDKVEVESSEAQNQHILEFCKDNGIKSKVYLASYKTQEEWVEHYGSKWKIIEKRKAEFDPKRILSPGQRIFN >OIV97427 pep chromosome:LupAngTanjil_v1.0:LG15:744283:744819:1 gene:TanjilG_16188 transcript:OIV97427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSHNYRLLNWHYTELDDIDHQIRGKSLFFIILIFSISLFITVLFFYTRWVCRYYGHLPLTFSTTRAAQSSHAPPPPPPQGLDPKLIKKLPIMLYQAALDDDGGAWEESECCICLGEFREGEKVKVLPKCEHCFHCECVDEWLIHHSSCPLCRSSLKVESSFPNILIQEPPIRIHIQF >OIV96792 pep chromosome:LupAngTanjil_v1.0:LG15:16048413:16049504:-1 gene:TanjilG_18252 transcript:OIV96792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQSILCKYTVHNSVTKKLAKPKKGSNSNTIESMVPRVVRISVTDPDATDSSSDEEGENLNRKRIKRYVNRIDIETSTKKPISGVSRKRPATTQNNIHRSPAKASSTVNTTVRKFRGVRQRPWGKWAAEIRDPLKRVRLWLGTYDTAEEAAMVYDNAAIKLRGPDALTNFITPPLKEKQKDQQEEEEADTVVVTAVKPEMKVVVNAEASGSSYDSGDDQCRILSSPTSVLQFRNNEEATESQKSLEPEQMSVEEVFRECEGETSLFDETGEFFQLEMPIWDEVFNLGTPQCLDDMLFEEEEQQQPNMMCETTPVLSDVDFYDNLNLADELFDFDKACSLPSSLCQVDDYFQDILSSSDQLVVL >OIV96738 pep chromosome:LupAngTanjil_v1.0:LG15:16858052:16862141:1 gene:TanjilG_11734 transcript:OIV96738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMMYSRIRTVVRNLKPTAKPFSSSSTTPATTTATVAPQPQTLAALRARLAEESPILSDFTSLKSENAYSVEVGTKKNPLPKPKWMKLSVPGGENYVQIKKSLHKQKMGFKLNTVCEEAKCPNLAECWSGGKTGTATATIMILGDTCTRGCRFCNVKTSRTPPPPDPDEPTNVAEAIVSWGLEYVVITSVDRDDLPDQGSGHFTETVQKLKILKPSMLIEALVPDFRGDADCVEKVAKSGLNVFAHNIETVEELQSAVRDHRANFKQSLDVLMMAKDYAPAGTLTKTSIMLGCGETPDQVVKTMEKVKAAGVDVMTFGQYMRPSKRHMPVSEYITPEAFENYRTLGMEMGFRYVASGPMVRSSYKAGEFYIKSMIESDRAASPLNLTSA >OIV96467 pep chromosome:LupAngTanjil_v1.0:LG15:19533358:19533719:-1 gene:TanjilG_07859 transcript:OIV96467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSHKTFKIKKKLAKKIRQNRPIPYWIRMRTDNTIRYNAKRRHWRRTKLGF >OIV97399 pep chromosome:LupAngTanjil_v1.0:LG15:1483685:1486568:-1 gene:TanjilG_17583 transcript:OIV97399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKPQPLRVLYCPICSLPPEYCEFGSDFDKCKPWLIQNVPELYPNLVKEANEKDADNVADKLQGTGISSGVSGAGATSASKKEEVKRLPGGKMKKKACLAILLWSQIKNTHHSIERQEVVIEKVIRNKRKCITTVKGLELFGIKLSDASKKLGKKFATGASIVKGPTEKDQIDVQGDIAYDIVEFITDTWPDVSGFVFRLLFVSFPSVTQVSW >OIV96905 pep chromosome:LupAngTanjil_v1.0:LG15:13199728:13203734:1 gene:TanjilG_00487 transcript:OIV96905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRISRIGSVAIASSIKENQQQPCITCTTFNILAPIYKRIDHEDPSCRESDYRAFWLARNHTILDWLLQESSSIICLQEFWVGNEELVNLYEKRLGDAGYVSFKLGRTNNRGDGLLIAVQRDYFNILNYKELHFNDCGDRVAQLLHVELAFPCSQWQNSDIRQEILIVNTHLLFPHDSSLSLVRLHQVYKILQYVESYQEDFQLKPMPIMLCGDWNGSKRGYVYKFLRSQGFESSYDTAHHYTDADAHKWVSHRNHRGNICAVDFIWLLNPDKYRKLLKASWSEAVFSMFKSLLRRASLTESDAFAFLKADNEDCITYSGFCEALRQLNLFGHCYGLSIEETKDLWVQSDVDGNGVLDYKEFQQIWNSTNLEQRDDKNEEPDIGSNDAQEQTIGFSVKNAVLFPPEVEKGRWPEDYSLSDHARLTVVFSPIRVSRSQMIC >OIV96492 pep chromosome:LupAngTanjil_v1.0:LG15:19360617:19367150:1 gene:TanjilG_07884 transcript:OIV96492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEATGGMVAGSHKRNELVRIRHDSSETGPKPLKNLNGQICQICGDSVGLTADGDVFVACNECAFPVCRPCYEYERKDGNQACPQCKTRYKRHRGSPRVDGDEDEDDVDDLENEFNHGQGNSSKARTQWDEDADLSLSSRHDPRQPIPLLTNGQPMSGEIQTPDTQSVRTTSGPLGPSEKLHSLPYIDPRQPVPVRIVDPSKDLNSYGLGNVDWKERVEGWKLKQEKNVVQMTGRYNEGKGGDIEGTGSNGEELQMVDDARQPLSRVVPLPSSQITPYRVVIILRLIILCFFLQYRVTHPVKDAYPLWLTSVICEIWFAVSWLLDQFPKWHPINRETYLDRLALRYDREGELSQLAPVDVFVSTVDPLKEPPLVTANTVLSILSVDYPVDKVSCYVSDDGSAMLTFESLSETAEFAKKWVPFCKKHNIEPRAPEFYFAQKIDYLKDKIQPSFVKERRTMKREYEEFKVRINALVAKAQKMPEEGWTMQDGTAWPGNNPRDHPGMIQVFLGHSGGLDTDGNELPRLVYVSREKRPGFQHHKKAGAMNALIRVSAVLTNGAYLLNVDCDHYFNNSKALKEAMCFMMDPAYGKKTCYVQFPQRFDGIDLHDRYANRNIVFFDINLKGLDGIQGPVYVGTGCCFNRKALYGYDPVLTEEDLEPNIIVKSCCGSTRKKGRGGKKNTDKRGLKRTESTTPIFNMEDIEEGVEGYDDERLLLMSQKSLEKRFGQSPVFVAATFMEQGGIPPSTNPATLLKEAIHVISCGYEDKTEWGKEIGWIYGSVTEDILTGFKMHARGWISVYCMPPRPAFKGSAPINLSDRLNQINLKGLDGIQGPVYVGTGCCFNRKALYGYDPVLTEEDLEPNIIVKSCCGSTRKKGRGGKKNTDKRGLKRTESTTPIFNMEDIEEGVEGYDDERLLLMSQKSLEKRFGQSPVFVAATFMEQGGIPPSTNPATLLKEAIHVISCGYEDKTEWGKEIGWIYGSVTEDILTGFKMHARGWISVYCMPPRPAFKGSAPINLSDRLNQISNIASMWFILLFVSIFTTSILELRWSGVSIEDWWRNEQFWVIGGTSAHLFAVFQGLLKVLAGIDTNFTVTSKASDEDGDFAELYVFKWTTLLIPPTTVLFVNLIGIVAGVSIAINSGYQSWGPLFGKLFFAIWVIAHLYPFLKGLLGRQNRTPTIIIVWSFLLASIFSLLWVRIDPFTKDNNKASGGQCGINC >OIV96652 pep chromosome:LupAngTanjil_v1.0:LG15:16957283:16963425:-1 gene:TanjilG_09194 transcript:OIV96652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPASPSENRSRWRKRKRESQIGRRQQKRHHEEEEDEEEENPNATADEDHDDDSEDQLHHPNPQSATNSQLHHEIEVLSDHVVQISQFPAVFKRSVNRPHSSVAAIVALERANDCGDNNNCQLKSPPALENVSHGQLQALSTVPADSAAFDQDCGGDGSNSSFVITPPPILEGHGVVKRFGNRVLVVPMHSDWFSPASVHRLERQAVPHFFSGKSPDHTPEKYMECRNHIVALYMEDPGKRIVVSNCQGLLVGVDSEDLTRIFRFLDHWGIINYCARVLCHEPWNDMSCLKEDPSGEVRVPSDFLKSIDSLVKFDKPKCKLRADEIYSSLKTQNPDVSDLDDRIREHLSENHCNYCSRPLPVVYYQSQKEVDILLCTDCFHDGRFVIGHSSLDFIRVDSTRDYSELDGDSWSDQETLMLLEAMEIYNENWNEIAEHVGTKSKAQCILHFLRLPMEDGKLESINVPSMPLSNVMNREDSERLHHCLNGDSAGPFHQSSNFDSRLPFANSGNPVMALVAFLASAVGPRVAASCAHAALGVLSEDNSRSTSQMDAPVHDNRTNLESTHSRDGGPRGEMANSNNHNEDKAKLGSRGQNEGGTTPLSLEKVKDAAKAGLSAAATKAKLFADHEEREIQRLCANIVNHQLKRLELKLKQFAEIETLLMKESEQVERTRQRFAAERSRVISARLGTGGATPLMNASGVGPSMANSNGNMRQQMISASPSQPSISGYGNNQPVHPHMSFGPRPSMYGLGQRLPLSMIQQSQSASSNAMFNAPSNVQPTSNHPLLRPVSGTNSGLG >OIV97321 pep chromosome:LupAngTanjil_v1.0:LG15:2299828:2300901:-1 gene:TanjilG_07073 transcript:OIV97321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCEMQSHSLHTFTSIKLPLPTFTLSTTSSSPISHTLTLALISKPKTLNTPPFNQSLSLPPIAKNLGFRPTSEFGILSQFFVLSMAFGAFFAVALVSIPTMIAFRRLEASMKKLSIRLFQKKYLELYLLSNSLLRS >OIV97162 pep chromosome:LupAngTanjil_v1.0:LG15:5540270:5540680:-1 gene:TanjilG_28913 transcript:OIV97162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACMWQKHGGDGNGKNPPADVPRGHVAVTVGEGKRRFVIRADYLNHLVLQQLLDQANEGYGFNKSGPLAIHCDEFLFEDIIHSLRNGTNSCQPSCYVPLKKIGLSMWNLCHYFRDLIANGGVAAEKVVSMADILRA >OIV97360 pep chromosome:LupAngTanjil_v1.0:LG15:1858972:1869024:-1 gene:TanjilG_07112 transcript:OIV97360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGMAKEEESGIPRLGASFFTQTTEDVARAVAAAMNSPRPSVIYSSKDDWGGSQLQRLQNQVTKMIKGFSRPPEVKYTNYNPEILTSQKRQWAANFQLQNMDHKSWKEPTRLFESMVVVGLHPCCDVQALQRQYVVKKSEGSGKLRSALGYQNPSRIEPNIEPQVLFVYPSEKQLPLKDKDLLSFCFPGGLEVHAVERTPSMSELNEILYGQELLKQSDLSFVFRLQGADNSTLYGCCVIVDELVQKPSGLLSLISDEQSSYSSLRRHILITKRCYCILSRLPFFELHFGVLNSIFTQEKLERLTKNVGDLNLEFIEGGDEEKSSECLLVNDKPIEDRLDGNQRISQSSLRNSSPEIIHDDDNQTEQQMVNGKLHTFEERVKEVNVVPNDPVTERKTAKEEYGPTNSKDNDLSVDSFVTNKQSEDRRLPNAILPLLRHCQDESSESSFSFQGSPCDDRSFRSDADDTETEDASISGQEDLHEVHDILERAKANNCGPLQIISEYYRLDCPARGSALSFHPLEHLHPLEYRRPDEAVLHLAGTTVDSKSCSKGLELAQAYNSLLTEEEATALSIWAVACICGTLRLEHVLTFFAAALLEKQIVVVCSNLGILSASVLSIIPLIRPYRWQSLLMPVLPNDMLEFLDAPVPYIVGIRNKTNEVQSKLTNAVLVDANRNQVKSPTIPPLPRHKELISSLRPYHTTLVGESYLGRRRPVYQCTEVQVKAAKGFLSVLRSYMDSLCCNIRSHTITNVQSNDDKVSLLLKESLIDSFPYRDRPFMKCAETV >OIV97357 pep chromosome:LupAngTanjil_v1.0:LG15:1884930:1887354:-1 gene:TanjilG_07109 transcript:OIV97357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFRFSQTVNNSNSSMKKEEEVSVKQFSNPSIPKFETLKSNNLQFDRLQPSDQELGRGNKFEFGNFVAREAVLDEEYWTAAWLRAESHWENRMYERYADNFKRKFAEQEFNAVKRRCKSQSGETCACIITVKKEQKNVKRSILKSVVGTLDLNIRYLLQGETFPGERVKAPHFCSIKRTPPSRYGYISNLCVAKSARRQGIASNTLYFAVQAAKSNGVTQLYVHVDRNNNPAQILYQKMGFEMVETANSRFLLEETYLLRLQM >OIV97171 pep chromosome:LupAngTanjil_v1.0:LG15:5899756:5900139:1 gene:TanjilG_28922 transcript:OIV97171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSMSSWVILVLIFVLAFVTWVPVDAEESLLEHCLRRTINLPSPPSSPPPTVKAYYPWSHIDRYVCNDEFRIVQHYVQLRGKFPTNYLKALCNIFDNNDQKVEHYIKGRIFIQDFKGLVAGRTCTTI >OIV96860 pep chromosome:LupAngTanjil_v1.0:LG15:15031255:15032756:1 gene:TanjilG_08721 transcript:OIV96860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDQKVHTFEEVSKHNQTKDCWLILSGKVYDVTSFMDDHPGGDEVLLSSTGKDATTDFEDVGHSDSAKEMMEKYYIGEIDPVTVPLKRKYIPPQQAQYNSDKTPEFVIKILQFLVPLLILGLAFVVRHYTKKE >OIV97416 pep chromosome:LupAngTanjil_v1.0:LG15:847202:848289:-1 gene:TanjilG_16177 transcript:OIV97416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKEGKPKRILRGVKTMFFLITMLISLLLFSAPILMVIADALVPSALLSTISSTSLSMDSISFHFHDYDFRYSLIDIPLVSIIRSFIIFCVYSLCDGPRRSRGPYLGITTMCSILSLIFVSFKAIYMFNFLGIDGRGYVRAKEIALFLCSCALALGHVVVAYRTSCRERRKLLVYKIDIEALSSSIPFSFPRKENLGKMEI >OIV96388 pep chromosome:LupAngTanjil_v1.0:LG15:20072837:20073316:1 gene:TanjilG_09815 transcript:OIV96388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSNGQSQNKFLRIITTPFRVLVKARDIYVKSITTCGNNMNYSNPVDPAGRFSTLPRSYSVATSRSGNNEDFAELMRAASARTLVNRIDVDNLVLKQKQEQNMSHEHVGANGLPKSTSVGMARIDEDMACDSGEDFDPVVSVSYPRSRSYAVGKRSLVL >OIV97341 pep chromosome:LupAngTanjil_v1.0:LG15:2087813:2092061:-1 gene:TanjilG_07093 transcript:OIV97341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELNSIALKCVFFLLLHLILGVHGIVPNVTLNETCGNSSGSFRRHTKDTKCDHMHCHREYLIILAVVSAVILSTTLGVVVWLLVYKRGKKRRRSRKLYDPAASLPSWKVFTKDELRSITKNFSEGNRLVGDAKTGGTYSGVLPDGTKVAVKRLKRSSFQRKKEFYSEIRRVARLCHPNLVTVMGCCYGHGDRYIVYEFVANGPLDKWLHHIPRGGRSLDWAMRMKIATTLAQGIAFLHDKVKPQVVHRDIRASNVLLDEEFGAHLMGVGLSKFVPYEVMHERTVMAGGTYGYLAPEFVYRNELTTKSDVYSFGVLLLEIVSGRRPAQAVDSVGWQSVFEWATPLVQAHRYPELLDSHITSSSTSIIPEASTIQKVVDLVYSCTQHVPSMRPRMSHVVHQLQQLAQPSSK >OIV97013 pep chromosome:LupAngTanjil_v1.0:LG15:11951577:11961779:1 gene:TanjilG_03587 transcript:OIV97013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVENTFETNLSHRQGADSLIDSKYYDDDGRPKRTGGVWITSSHIITAVIGSGVVSLAWSIAQMGWVAGIVVMLFFSVVTWYTSLILADCYRTGDPITGPRNYTFMNAVSSILGGWNVTWCGIAQYSNLFGTAIGYTIGASISMMAIKRSNCFHDSKGADPCHVSANPFMIGFGIVQIFFSQIPNIHEIWWLSIVAAIMSYTYSTIALGLGIAKVAENRTFKGTLTGVSFGDVRKVWGIFQGLGNIAFAYSFSMILIEIQPNFFIYRKHELIILTTQDTIKSPPSEVKTIKKATNISILATTFFYLLCGCSGYAAFGDTVPGNVLTGLGFTNPYWLIDIGNAAIAIHLVGGYQVFVQPLFAFVEKEASKKWPKIDNKTFKIPIPGLSPYNLNLFRLVWRTVFVITTTVISMLIPFFNDVLGLIGALGFWPLTVYFPVEMYILQMKIPKWSRKWVFLQILSVLCLIVSAVATVGSVVGIILDLKDYKPFSVGS >OIV97114 pep chromosome:LupAngTanjil_v1.0:LG15:7802799:7807494:1 gene:TanjilG_04918 transcript:OIV97114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNHSQTQHNDTIDPNSGFCSQTRTFHSLRPNILLPPPSQPLSLTDYTLSLLPSTAVTATVLIDFATDTHLSYSLFLRQIKSLTSSLQSLFPSLSKGHVALILTPSSLHVPVLYFSLLSLGVVIAPANPLSSPSELTHLVQLTKPVIAFATSSVANHIPNLKFGTVIIDSPRFISLLNNFHNKSEPCRVELSQSDSAAILFSSGTTGRVKGVELTHRNFIALIGGFYHIKHLTQEQQEEETQPVSLFTLPLFHVFGFFMLVRAIAMGETLVLMQRFDFEGMLKAVERYGISYMPVSPPLVVALAKSELVNKYNVSSLKLLGCGGAPLGKEVAESFSARFPNTEIVQGYGLTESGGGAARMVGDDEAKRHGSVGRLAESMVARIVDPVTGEALPPGQKGELWLKGPTIMKGYVGDEKATVETLDSEGWLKTGDLCYFDSDGFLYIVDRLKELIKYKAYQVPPAELEHLLHTNPEIVDAAVIPYPDEDAGQIPMAFVVRKPGSNITASQVMEFVAKQVSPYKKIRRVSFINSIPKSPAGKILRRELVNYAQSSGSSKL >OIV97037 pep chromosome:LupAngTanjil_v1.0:LG15:11523545:11530353:1 gene:TanjilG_19584 transcript:OIV97037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHRCFLHYSKLRTKLDSNSSLGFFRRSLSCEPRKKRFAALWGNGDYGRLGLGSLDSQWSPARCPLFHNQTLKSIACGGAHTLFLTDDGCVYATGLNDSGQLGVSESKQYSMEPLRVLGHEKKFVQISAGYNHSCAITVDGELYMWGKNTSGQLGLGKKAPNTVPWPTKVEYLNGITIKMAALGSEHSLAISDGGEAFSWGMGGSGRLGHGHESSILGFFKSSSEYTPRLIKDLEGIKVKSISAGLLSSACTDENGSVFIFGERGIDKLRLKEMSSATKPSLVSELPYSEEVACGGYHTCVLTNSGELYTWGSNENGCLGIGSSDVVHLPEKVQGPFLKSSVSQVSCGWKHTAAISEGKVFTWGWGGSNGTFSDSGHSSGGQLGHGSDVDYINPTRVYFGEDVKALQVSCGFNHTGVILECT >OIV96581 pep chromosome:LupAngTanjil_v1.0:LG15:18093475:18096313:1 gene:TanjilG_28438 transcript:OIV96581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGDQIPQSHPINPSQPQTQVHDSHSPDYVPYPKLDPNDLVPPQPLNSSIPPDSRVPISGDAATTLPTDSNPYITPAPVAAPASAKNTLDSVKDVLGKWGKKAAEATKKAEDFAGDMWQHLKTGPSFADAAVGRIAQSTKVLAEGGYEKIFRQTFETVPEEQLLKTFACYLSTSAGPVMGVLYLSTAKLAFCSDNPLSYTAGEQTQWSYYKVVIPLHQLRTVNPSTSKTNPSEKYIQIISVDNHEFWFMGFVHYDSAVKNIQGALQPH >OIV96944 pep chromosome:LupAngTanjil_v1.0:LG15:13893075:13893815:-1 gene:TanjilG_00526 transcript:OIV96944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGNQLLKVIDNPLDSIFNKIEESSKEEDSTKSSNPLSDSEDNVQQNPSPCTFEAAIALMESMEYKKEMPENLPGGILIDHIFAVSPHDLNVFLFAPNSKFMKDLEALQGTTNVKESPWTWKSEDMSCLTRVVAYTKAATKLVKAVNTTEEQTYIRVTKEEFCVHFNIGIPEIPFSNTFKMELLYKIMPGGLSSGEVSSQLVVSWGIVFIQRTIMKSIIERGIRQGIKESFDQFCKLLAQNFKVL >OIV96790 pep chromosome:LupAngTanjil_v1.0:LG15:15974929:15977618:1 gene:TanjilG_18250 transcript:OIV96790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDREMLNSNVVGNNSVAENYRCIKLEKQSKKAEVRCAELEFELQKKNDHCEALMAKLVVMEVEKFAVEDELKVLRVSSEGLTAKKEVANEKEREVVRIIDLTDDSEVAQLMTENTVLECEKKKAESEVEIWRKRYKNLESWALQFGLGSGSYYQENNGKKKHHEQINNEDCWHQGANLDSKVCPVRKFRKHLTFEIEDSPLKKMNPSTPPISVIDIDAISDGPSISQHPLLHKKGSENVAVSMSFAGENGKMSSNSYAQNNEEDLNSGDDVPLIPICKRKRTCNVVTSESEHDDDDNDNVPLCKLKSIHIPEVSPNRVKCDINGKISRNTYAQNNEEYLNFGDDDPLVAMPKRRHACNVVTSESERDDDDDVPLSKLMRMHIPEVSLDQVRCEINSSVTAAASADDKATSTRKRRKHLVPLRKFERKSQEGKISSCRSNKAKHQENITTDDVDAASESEDDLSGCEDKDLSDFVVDDSDESNCDDTSSSSENVSNDLVDTDYSNSQDIPDNDKDSGSQDLSDADMDYGKILSQIQRKKDHIVEWEYEADMLAAFGKDPELCMKAVCVLYRQQTEDEQMSKGALHRNGRGFNRLDADRGCNLAEFLTDGAPYGGLKKTVKELQEYDPEAVELCRTLADRYSKQLYQIYKNKEDQFFP >OIV96975 pep chromosome:LupAngTanjil_v1.0:LG15:12338712:12342910:-1 gene:TanjilG_31866 transcript:OIV96975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGNARNRSSKWDMRDNPEFAPDNKQSRSYFEGNDKLKSGMGFSSKEPFYRGRISNKDDIMNKDYSDLDETMEWDADETYNKKFSPGFEERKYKRHSQSPKNRRSMSGRSSRSRSPPHGFRRDSGFNDRNKTRAGGLTQPCREFAAGKCRRGSLCHFLHHDNQNHEDSWESKHREDGAPKYSASHDSRDHSHRSGRSNDGYSKGSMDESTRERENDRRRRDYSFDQGGGHGPNRSGLTCKFFANGNCRNGKSCRFSHDKQTYGSPNRRSRDDTWESNPGGDHQTLDRPKLTDSVSPTRRRRDDRWGSDGNMVENDNSKQNDAVTVSDTEKLVEDTCGNIGAIDPGFTAWPTKDGWGHGLDNNRVHGEPTFSSNKKENDHQVAENAGSDMHVSQPTGPSNWSGNEMPLDWNYRMGSSSRIQEEHEQNKHGITQDGTYLATEHEGTQVPGKGFNQNAQNINPLHSSSGHGVRQSQVTVPILPSRGVVTDVLPVQDFNQNVQNINPFHPSSGHAVGQSQVAVSGLPSRGVVESMHTQQVSTDVLPGQGFNQNEQNINPLHSSSGHAVGQSRADLPILPSRGIVERIHGQEVSAEKKYTVEPNITDAGNSQVSSGKPQTQNMVSNEQLAQLSNLSASLAHILGSGQQLPQLYAALNSHDAKGSPSLAKTEVLAMPVSNTFIKPEPVIGITKEYDPMSDSIETKNVVASGATKAFSLSKKNAEDKEKNEVVVVEKQSSPSGHKNTKENGPSENMDQNGEPDDTKKTKDAKGIRAFKFALVEFVKELLKPAWKEGQINKEDYKAIVKKVVDKVTGTMQGANVPQTQEKIDHYLSFSKSKLSKLVQAYVEKVQKA >OIV97347 pep chromosome:LupAngTanjil_v1.0:LG15:2000963:2003672:1 gene:TanjilG_07099 transcript:OIV97347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHDLKHLFPSASTLFTFLWIAVFTSVFLWQKIAIGGYFVFQDGTPLRTLLPMLRPVAFNLIDFGGVGDGVTLNTKAFERAVSEISKLRDKGGGQLNVPPGLWLTAPFNLTSHITLFLAQDAVILGIQDEKYWPLMPPLPSYGYGREHIGPRYSSLIHGQNLRDVVITGHNGSINGQGQTWWTKHLHKLLNYTRDSCEDMLIEDCYISVGDDAIAIKSGWDQYGIAYGKPSRNIVIRNLVVRSNVSAGISIGSEMSGGVSNVTIENILVWNSRRAIRIKTAPGRGGYVSQITYRNLTFNNVSVGIVIKTDYNEHPDARYDPKALPVIKDISFTNVRGKEVKVPVRIQGSEEIPIRNVTFHDMVVEITKQKKHIFQCAFVQGQVIGTIFPAPCENFDQYNEQGQLVKHSSTYNVTNIDYGI >OIV96984 pep chromosome:LupAngTanjil_v1.0:LG15:12512542:12516670:1 gene:TanjilG_31875 transcript:OIV96984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGSSTPAEPNIPAEQADAESVAASTGALPMLKKSFSKLANPETQAVPFENLQRFFSFSREEGRSYASNVPDLFPVLLDHLGSSIVDQFFIVEKEGIDWVQFVKGYNKSCARVSASISLNTLIRLFIAITRKTNLALNLEFESEDDDSKISGYLRPNHVLLLLYICWVMSWDCRNLKDSEGKRNLSLPDLDHLVLSAVTSCAKIEGGLDAWECEISSLEVQLPAGKFVTWVMSMVPCLLDCLQHYFHARLQMALTAGDELTSSSSSVGDISSKAASDYILSHGRAWSISITQRSTVNEEIYRAFISSEGAIAESPLYRSSIHGRGINRFWSCVEGYKGPLLILVTASSGDAHEGNSINKKWVLGALTDHGLENKDIFYGNSGCLYSIGPLFHVFPPTGKEKNFVYCHLHSARVYESHPKPAGIAFGGTLGNERIFIDEDFAKVTVRHHANDKTYQSGSLFPDQGFLPTEARISEVEVWGLGGKSAKEVQSSYKKREELFTEQRRKVDLKTFASWEDSPEKMMMDMMSDPNAVRRENR >OIV97296 pep chromosome:LupAngTanjil_v1.0:LG15:2469684:2472477:-1 gene:TanjilG_07048 transcript:OIV97296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFAATRILARQLVRLRQQITNLQGSRAQIRGVATHTQALYASTSISTGMKGATKAMVAMNKQMAPAKQAKVIQEFQKQSAQLDMTIEMMSESIDETLDKDEAEEETENLTNQVLDEIGVDIASQLSSAPKGRIGTRNTENVAPRSESQDVEELEKRLASLRRI >OIV97141 pep chromosome:LupAngTanjil_v1.0:LG15:7563346:7567115:-1 gene:TanjilG_00170 transcript:OIV97141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGGAAPPADTVMSDAAPPPPHPNPQQHHHQQHPVPGMGVENIPATLSHGGRFIQYNIFGNIFEVTAKYKPPIMPIGKGAYGIVCSALNSETNEHVAIKKIANAFDNKVDAKRTLREIKLLRHMDHENVVAIRDIVPPPQREVFNDVYIAYELMDTDLHQIIRSNQALSEEHCQYFLYQILRGLKYIHSANVLHRDLKPSNLLLNANCDLKICDFGLARVTSETDFMTEYVVTRWYRAPELLLNSSDYTAAIDVWSVGCIFMELMDRKPLFPGRDHVHQLRLLMELIGTPSEADLEFLNENAKRYIRQLPLYRRQSFQEKFPQVHPAAIDLVERMLTFDPRQRITVEDALAHPYLTSLHDISDEPVCMNPFNFDFEQHALSEEQMKELIYREGLAFNPGYQQ >OIV97024 pep chromosome:LupAngTanjil_v1.0:LG15:11302198:11308982:1 gene:TanjilG_19571 transcript:OIV97024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSFSNQKNHNEPGSAPPPRTDRSNSSLSSELHHHHHHHYMLPAKPPSFRSLSSCSRSTRGTTPSSSSSSQQVQRSVSARFNRQNTTRGEVVLNDVVGNGISGILYKWVNYGRGWRPRWFILHDGVLSYYKIHGPDKIVLNREVENGFKVIGEESRRRIAGQRHVLSRHCKPVSEIHLMVCSIRQNKTDEKRFSIYTGTKKRLHLRAESGEDRTTWLGAMLAVKDMYPRLPNAEIMAPVVSVVISTDKLKQRLLQEGLNETAIKESEDIMRAELSTLHKYIVALKQKQFMLIDALRHLETDKVDLENTVVEDQIQSKEEGDSFLSTHEKYSADGSATDSSDEHDRHDHSDDEDAFFDTSDILSTCSSDHMRSCHESEIDDTNPNGICDSISVITPVGSYYPNIKRRNKLPDPVEKENGISLWSIIKDNIGKDLTKVCLPVYFNEPLSSLQKCCEDVEYSYILDQAYEWGKRGNSFMRMLHVAAFAVSGYASTRGRSCKPFNPLLGETYEADYPDKGIRFISEKVSHHPMIVACHCEGNGWKFWGDSNLKSKFWGRSIQLDPVGVLTLEFDDGEVFYWSKVTTSIYNLILGKLYCEHYGTMRIEGNREHTCKIKFKEQSIIDRNPHQIHGIVEDKKGKNVGTIFGKWDESLHYVIGGNSGKGKGSNVSSKPNLLWKRSPPPEHQTRYNLTQFAITLNEITPDLKEKLPPTDSRLRPDQRCLENGEYEMANAEKLRLEQRQRQARNMQEKGWKPRWFAKDKGDNTYHYIGGYWETRDKGNWESCPNIFGQHSTDNDLNPSP >OIV97317 pep chromosome:LupAngTanjil_v1.0:LG15:2337084:2341565:-1 gene:TanjilG_07069 transcript:OIV97317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINKTLFLTYLYLFIYILLSSGVILYNKWVLSPKYFNFPLPITLTMIHMGFSGAVAFLLIRVFKVVSPVKMTFEIYATSVVPISAFFASSLWFGNTAYLHISVAFIQMLKALMPVATFIMAVMCGTDKGRCDVFLNMLLVSVGVVISSYGEIHFNIVGTLYQVTGIFAEALRLVLTQVLLQKKGLTLNPITSLYYIAPCSFVFLFVPWYLLEKPTMDVSQIQFNFWIFFSNALCALALNFSIFLVIGRTGAVTIRVAGVLKDWILIALSTVIFPESTITGLNIIGYAIALCGVVMYNYIKVKDVQASQLSAESLPDRITKDWKFEKKSSDIFVPDNTSDNEENVGVSGSASDINIDEEAPLIPSSRLSHIGRTQLTGK >OIV96520 pep chromosome:LupAngTanjil_v1.0:LG15:19152061:19152786:-1 gene:TanjilG_07912 transcript:OIV96520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENEEQQSQVLATENGKVIVESGEQVPQPIGQRGSSSFPTFFGKHRLQAAISQLNNQINIIQEELEELETIGESSKVCQNIISSVESIPDPLLPLTKGVVDSSWDRWFGGGSHNSRNHKRWI >OIV96527 pep chromosome:LupAngTanjil_v1.0:LG15:19099194:19105908:-1 gene:TanjilG_07919 transcript:OIV96527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGISLEEIKNENVDLERIPVEEVFDQLKCSREGLSSDEGANRLQVFGPNKLEEKKESKVLKFLGFMWNPLSWVMEAAAIMAIALANGSGRPPDWQDFVGIIVLLVINSTISFIEENNAGNAAAALMAGLAPKTKVLRDGRWSEQDAAILVPGDIISIKLGDIIPADARLLEGDALSVDQSALTGESLPATKKPHDEVFSGSTVKKGEIEAVVIATGVHTFFGKAAHLVDSTNQVGHFQKVLTAIGNFCICSIAVGIVIELIVMYPIQHRKYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLSVDKNLIEVFAKGVEKEYVILLAARASRTENQDAIDAAIVGMLADPKEARAGVREVHFLPFNPVDKRTALTYIDSDGNWHRSSKGAPEQILNLCNCKEDVRKRAHATIDKFAERGLRSLGVARQEVPEKTKESPGAPWQFVGLLPLFDPPRHDSAETITRALHLGVNVKMITGDQLAIAKETGRRLGMGTNMYPSSSLLGQHKDPAIEALPVDELIEKADGFAGVFPEHKYEIVKRLQDRKHICGMTGDGVNDAPALKRADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVFGFMFIALIWRFDFAPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLKEIFATGVVLGSYMALMTVIFFWLIKDTDFFSDKFGVRSLRNNPAEMMAALYLQVSIISQALIFVTRSRSWSYVERPGFLLMGAFLIAQLVATFLAVYANWSFARIKGMGWGWAGVIWLYSLVTYVPLDILKFAIAYALSGKAWNTLLENKTAFTTKKDYGKEEREAQWATAQRTLHGLQPPETTNLFNDKNSYRELSEIAEQAKRRAEVARLRELHTLKGHVESVVKLKGLDIDTIQQHYTV >OIV97436 pep chromosome:LupAngTanjil_v1.0:LG15:682271:689223:1 gene:TanjilG_16197 transcript:OIV97436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNPKPEPSPPPPPPPFDPTKPSLPISYPIKTLQQLESRSYFDSFHYPFNKATFPIQNGSFGLPNRRRMLVCHDMGGGYLDDKWVQGGTNSDAYAIWHWNLIDVFVYFSHSLVTLPPPTWINTAHHHGVKVLGTFITEWDEGRAACDTLLSTKESAQMYAERLVELASALGFDGWLINMEVNLDKGQISNLKEFVNHLSITMHSAVPGSLVLWYDSVTIDGKLDWQDQLNEHNKAFFDICDGIFVNYTWKEDYPRLSAVVAGDRKFDVYMGIDVFGRNTYGGGQWNANVALDVIRKDDVSAAIFAPGWVYETKQPPNFETAQNSWWGLVEKSWGLLRNYPGVLPFYTNFDQPLLQLADPTDSIQVLVDLKESSYFGGGNLTFVGSLEEHAYFERKIFQGEFLLSELPIHFVYSVKSNGNSSLGLKLEFTSSNNKNMSILLASEAANKFSNKFSKIITVREQKNISPGWVLNESIVARNGYTLKEIHAVCYRSDHNDSTVASPSDYSAVLGHITIKPLGYKPDFPASKSWLVDGEYIKWTSGPQGSKILNVKLSWKLEDGNDYYIFPSYNLYLVKLSKEEEYSNQGTTIEHVKEYLGVAHVTCFYVSDIEVPSGISCLKFIIQACNDDGTIQEFDESPYYQLEVEGS >OIV97276 pep chromosome:LupAngTanjil_v1.0:LG15:2711775:2716414:-1 gene:TanjilG_07028 transcript:OIV97276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKSLDPSSLGSSKIRSIINMPTEAGRAAWDVLSGSDSYHTSSDASLFSSSLPVLPHGKLNLNGTENSYQSVDDISSGFKKLHEDVEDNDSPEDVDSHAIGTMLPDDEVELLAGIMDDFDLSGLPCSLEDLEEYDLFGSGGGMELETDPQDSLSVGMSKLSFSDSTAGTGLPLYSFPNGVGAVAGEHPLGEHPSRTLFIRNINSNVEDSELKALFEQYGHIRTLYTACKHRGFVMISYYDIRAARTAMRALQNKPLRRRKLDIHFSIPKDNPSDKDINQGTLVVFNLDPSVSNEDLRQIFGAYGEVKEIRETPHKRHHKFIEFYDVRAAEAALKSLNRSDIAGKRIKLEPSRPGGARRTLMLQLNQELDQDEYRSFLYQVGSPMANSPPSNWLQFSSPVEQNSIQTMNHSPGSRIISPTTGNHLPGLASILQPQVSNNVKGAAIGKDLRTSSHGESIFTSMNSTHGASFQSHSLPEPKFRQHFGPLSSFGQSTSNGSSIETLSGPQFLWGSPTLYSEHNKPSAWPRPSVGHPFTSNGKSHAFPYSSRNNSFLGSSQHLNHHHVGSAPSGLPFERHFGFHPESPETSFMNNVGYGGMSLGHNDGNYMVNVAGSVNAGINIPRNMPDNGSPNFRMRSSPRLNPVFLGSGPYPGLLPTTMDNLTDRARSRRIENNGSQFDSKKQFQLDLDKIKNGEDTRTTLMIKNIPNKYTSKMLLAAIDENHKGTYDFLYLPIDFKNKCNVGYAFINMLSPLLIVPFYETFSGKRWEKFNSEKVASLAYARIQGMTALVSHFQNSSLMNEDKRCRPILFHAEGPEAGDQIIQEHLPSNNLNVHAHRPSELHSSDFPGTTPNDGLPMSLETY >OIV96444 pep chromosome:LupAngTanjil_v1.0:LG15:19738510:19741593:-1 gene:TanjilG_09871 transcript:OIV96444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASERDLFSTEIVNRGIESTGPNAGSMTFSVRVRRRLPDFLQSVNLKYVKLGYHYLINHGIYLLTIPLLLVVFSAEVGSLSKEDLWKKLWQDASYDLATVLTSFGVFVFTFSVYFMSRPRPIYLIDYACYRPEDELKVSREQFIEVARKSGKFEEGSLEFQKRILMSSGIGDETYIPKAVISSTENTATMKEGRAEASMVMFGALDELFEKTRIRPKDIGVLVVNCSIFNPTPSLSAMIINHYKMRGNILSYNLGGMGCSAGIIAVDLARDILQSNPNNYAVVVSTEMVGFNWYQGKERSMLIPNCFFRMGCSALLLSNRRRDYSRGKYRLEHIVRTHKGADDRSFRCVYQEEDDQKFKGLKISKDLIEIGGDALKTNITTLGPLVLPFSEQLLFFGTLGWRHLFGSNKKSDGNNLASSKKPYIPDYKLAFEHFCVHAASKPILDELQRNLELSDRNMEASRMTLHRFGNTSSSSIWYELAYLEAKERVKKGDRIWQLAFGSGFKCNSVVWRAMRRATKGSRNNPWLDCINTYPVSLT >OIV97076 pep chromosome:LupAngTanjil_v1.0:LG15:10551519:10552943:-1 gene:TanjilG_14621 transcript:OIV97076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTQALVSSSSLTFSSEALKQSLGARSLQSPIGFSKKSSFLVKAASSPPVKQEANRPLWFASKQSLSYLDGSLPGDFGFDPLGLSDPEGTGGFIEPRWLAYGEVINGRYAMLGAVGAIAPEILGKAGLIPEETALPWFKTGVFPPAGTYDYWADPYTLFVFELALMGFAEHRRFQDWYNPGSMGKQYFLGLEKGFVGSGNPAYPGGPFFNPLGFGKDEKSLKDLKLKEVKNGRLAMLAILGYFIQGPVTGVGPYQNLLDHLADPVNNNVLTSLKFH >OIV96345 pep chromosome:LupAngTanjil_v1.0:LG15:20331151:20332710:-1 gene:TanjilG_09772 transcript:OIV96345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFTSVSSHFLQLEFPSTKKYSNHRSNIYTFSFPQSQIKATSTFETPSTSQELPLRKIPGDYGLPIVGPIKDRIDYFYNQGRDTFFASRVQKYNSTVFRANMPPGPFISSESNVIVLLDGKSFPILFDVDNVEKRDLFTGTYMPSTELTGGYRILSYLDPSEDKHDKLKRLMFFHLRSRSNHVIPEFHSTYTKLFDNLEKQLAANGKVNFGELHDQAGFIFLTKSLFGTNPGEMKLGNDAPKIITKWILFQLSPILSLGLPQVIEDSIFHSFRLPPALVKKDYQRLYDFFYESSGFVFDEALRLGISKEEACHNLLFATCFNSFGGMKLFFPNVLKWISRAGIKLHVQLAEEIRSAVKSNGGKITMAVLENMPLMKSVVYEAFRIEPPVPLQYGKAKRDLLISNHENTFKVKEGEMLFGFQPFATKDPKIFDRPEEFIGDRFMGDGEKLLKHVLWSNGPENESPTVANKQCAGKDFVTLISRLLVVELFLRYDTFGIQVGKTAIGSAITITSLKRASF >OIV96913 pep chromosome:LupAngTanjil_v1.0:LG15:13330013:13353237:1 gene:TanjilG_00495 transcript:OIV96913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSQSHLHSLYDSHFNYMLHMLYLAQNRYFEDEGFTEYLKYLQYWQHPEYIKFIMYPHSLYFLELFQNANFCNAMAHPNNKELTHRQQFYFWKNYRNNRLKHLLPRSLPKTSATPTPSAPISTSNQMPLPALPPVPTTNVTMTTSSTRAPSPMPYRIPPGSTIPKNEMRNSAVEKMTENGDHSDLDKTANIMSDIICPVLLETVVKDVILDKAAVKQSGDAWRIVHDSCLPILHLIDTRRSIPYAIKQIQELLGISCTFAQAIQRLATSVKMVAKGLLREHLILLASSMTCGGNLVGFNTGGYKALARQLNIQVPFTDATLFTPRKCFERAAEKFHTDSLSSVVASCSWGKHVAVGTGSKFDVVWNAKEIKSSENRGMDVYNFLYMVKDFTSGEEEKNACLGEDIDDLLEDENMDWGMSPQHTSGFDDAVFEENCELPNGSTSNGWDINGNKNETKSNDWSGWGSNKAEGVSENAKEDSWSSGKQKTDVVKEDSSRSNAWDANTKKTDTKSNDWLAWGGNKSETQDGSSDRAQEDSWSSGQRKANVTQEDPKSGSWDADTNQTKTKSNDWTAWGGKKSEIQDVGFKRAEEDSWSCGNKKANVAQEDSSKPGAWDANTNQTKTKSKDSLDWGGKKSEIQDGGSGRVQEDSWNSGQRKASVIQDDSSKPGAWDAKANQAKTPSNEWSGWGGKKSEVQGGGFDRSQEDSGSSWKWNAESKVGSKVIQEEASKSNAWDANTDQKKTKSNDWSAWGRSKSEIQDSGSERAQEDSWGSAKLKAESNVGADVRQKDSSRSNAWESNFNKSSENPAWGSQNESNQAASSQGWDSQIASANSGPDKNFQWGKPKSAESQSWDPKNESNQAASSQGWDSQVASANSDSDKSFQWGKQGRESFKKNRFEGSQGRGSNAGDWRNMNRPPRPPPPMYTPEEQEVRKDIDSIMQSIRRIMQQEGYNDGDPLAAEDQAFVLENVFEHHPDKETKMGDGIDYVMVSRHSNFQESRCMYVVLKNGKKEDFSYRKCLENLVRKKYPDVAESFNDKHFRKPRGRAGGDQAPTTPLRTSTETNQAPRTPWPTSTETNQTPKSSWPTSTETNQAATSSWPTSTETNQAPTSSWPTSTETNQAPTATWPTSSEGNE >OIV96511 pep chromosome:LupAngTanjil_v1.0:LG15:19235483:19236585:1 gene:TanjilG_07903 transcript:OIV96511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLNPYAASYVPLSKRESAGRTLVTDKGSENHSSKKMTGNFQTNSQFASNSYGSSSQNRVQSADNHFTDDDHIDLDIEYMKMSFPGISEESLRDVYLLSRDDLDAAIDMLSQLEFDHAVESSGSLPETLDIGDVSEPTLSADSASLKLKNVAAEATISSNPLAPSKLS >OIV97421 pep chromosome:LupAngTanjil_v1.0:LG15:815175:817607:1 gene:TanjilG_16182 transcript:OIV97421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKPPSSAVNLLLTAATLFAVFIFFFIPSSHALGSGTTLAVTDDSATVCGVVSGPLPHRIECYRAGQVISVIPNVSFSTISGGRSFFSGLRSGNTSLLLWDTTNSSDTFQRKRLYNNGNVPLENIAVGDSHVCATVANSGTVECWRISNTFELPSGYESFDSISSGYGFSCGILNKNGSFVKCWGERAISSEIENGFRNMSMLSIVAGGEHVCGLNSTGFLVCRGNNSLGQLNVPQGEALEYSGLALGAEHSCAIRSNGSVICWGGRDQFSYNVTQDVSFELIVSGSNFTCGLTTVNYSVVCWGPGWSNSSDSRFEELPLPRILPGPCVQSSCSECGIYPDSQSLCSGLGNICKPKPCLPQIPVPNSPPLSSPPPPPVVFAPSPSQSKTLTRGLLAFAIVGSVGAFAGICTIVYCLWTGICFGKKKVHNSVQPTITRGGSSSTSNGGSVSNSTPPSRSSTIRRQASRIMRRQRSGTSSFKHHDKAEGFTLAELVAATDNFSLENKIGAGSFGVVYRGKLADGREVAIKRGFETSSKMKKFQEKESAFESELAFLSRLHHKHLVRLVGFCEEKDERLLVYDYMKNGALHGHLHGKHNVEKSASVLNFWKMRIKIALDASRGIEYLHNYAVPSIIHRDIKSSNILLDANWTARVSDFGLSLMSPEPDRDQKPTKAAGTVGYIDPEYYGLNLLTAKSDVYGLGVVLLELLTGKRAIFKNGEDGGNPIRLVDFAVPAIMAGDLLKFLDQRVGPPEPNETEAVELMAYTAMHCVKLEGKDRPTMADIVSNLERALVLIDSSHDSNSSGIISIVSE >OIV96925 pep chromosome:LupAngTanjil_v1.0:LG15:13548231:13548452:-1 gene:TanjilG_00507 transcript:OIV96925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEATFRPMEEEEDEEEEEDLDDDEEEESNITDLDAYNSARVEYHQNQLDEESDSSVGDHDNSDASGSSIISG >OIV96650 pep chromosome:LupAngTanjil_v1.0:LG15:16925724:16926257:1 gene:TanjilG_09192 transcript:OIV96650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMDYNDNDEYDSFKKPGSVPFKWEVKPGVPIIHHHQNQRVQSEPPSPKLRPPPAGSYLFSPVQTCSRSFRSAPKVRSDRFRFDHPLFSRPESVSAGCFFSPFLRRLKSNKKTTANRCNNSDNEPDYELEEIETLSRWSLSSKKSISPFRASTTSSYSITSSPGPISDAEWAGFSLF >OIV97339 pep chromosome:LupAngTanjil_v1.0:LG15:2104526:2105206:-1 gene:TanjilG_07091 transcript:OIV97339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTHFTLTLSTLLFYFLITFTFSSTFFFSTSATSASNKPQDLIHSSCIHSRYPRLCLRTLSNYVGPINTTLDVAQAALRVSLAHAGRASKYLKALSATAPDSSGQSPNDKRQRVALKDCVEQMADSVDELRRSLEELQHLRPETFRWQMSNTQTWVSAAITDGDTCLDGFVSDGGDNDGKVKRGVKRRVTDVAMVTSNALYMINRLGQGDARKGKHRPGGSFDSKN >OIV96752 pep chromosome:LupAngTanjil_v1.0:LG15:16715046:16717430:-1 gene:TanjilG_11748 transcript:OIV96752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEKVGANNNIIHHQTFDVSVDVHKQGASKCFDDDGRLKRTGTVWTASSHIITAVIGSGVLSLAWAIAQLGWIAGPAVMILFSLVTYYTSTLLSACYRTGDPVSGKRNYTYMDVVHSNLGGFKVKLCGLVQYVNLFGVAIGYTIAASISMMAIKRSNCFYKSGGKNPCKMNSNIYMISFGIAEILLSQIPDFDQLWWLSLVAAVMSFTYSTIGLGLGIGKVIENKGVKGSLTGITVGPVTETEKVWRSFQALGDIAFAYSYSMILIEIQDTVKSPPSESKTMKKATLISVIVTTLFYMLCGCFGYAAFGDASPGNLLTGFGFYNPYWLLDIANAAIVIHLLGGYQVYCQPLFAFIEKNASKTFPDSDFINKSIEVSIPGFKHPYKLNLFRSVWRTMFVITTTVISMLLPFFNDIVGLLGALAFWPLTVYFPVEMYITQKKIPKWSTKWICLHMLNIACLIISVAAAAGSIAGVVGDLKSYKPFKSDY >OIV97456 pep chromosome:LupAngTanjil_v1.0:LG15:22865:24199:-1 gene:TanjilG_10980 transcript:OIV97456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEQFILRVPPSVAERIEHLLNNNNNNSDAPSSSSEDNKSLDLSFSEDGRSGSFLIGNERFAASLLDLPCVVESFKTYDDSSLIKTADIAQMIMVRESGDPAPDVIEYRHGLTPPMRDARKRRFRREPDLNPELVSRVEKDLLKIMARGNVDNLDILLSCLVQTDQEEGDEIAGGPAEKPAPAPAPVPKHDVPVTHTNAVEQDRSDSDESDDSV >OIV96754 pep chromosome:LupAngTanjil_v1.0:LG15:16684792:16703684:-1 gene:TanjilG_11750 transcript:OIV96754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTAQPTIDLPECPVCLETYNDTVSIPRVLSCGHSICEACLVSLPQRYPNTIRCPACTQLLKYPSDQGPSSLPKNIDLLRISLQQSPPSSESDHYKKQNQRSTINVAKFWSDEFYATWKDWILPVDAVLVEPESEPEPGGFVRFGSLNYSRVCFRGDNNGNGLSLVPVVSLPSIVNDSKFRFSYVARIIKCLEGMKEVEREGLILILEASERRRKRMCRVYGLWSEVEEVDDAALYIVCERHNGSNLLDKFSDLRNGFLEDGFFSFAMIGKGICESVLALHSEGLVAGCLGLSCFYYDEFGGVCIDLNEALVMGRKVCSNVMDTVSGATSSKHKEDKAICKDWLKSKVFVSPEVMLRLLHKEDISRENGHSRYPIGYGSDIWSLACVVLLLLVGDAFPQYTFQMNEENDFDYSDGYACWLEEVNSVIDDKLGPQYLSSRQTLCKCLDINPGNRPDVVDVRKCIQDMLVKPQFDFLGNLEVTINKSSMNHCLILGELFQFHRDSSNEQRECELQDNEDRDQPDFVGDGEDNSDEDFVAGLYKGMTEFNDLRGHLDCITGLAVGGGYLFSSSFDKTVHVWSLQDFSHLHTFRGHENKVMALVCVDEEEPLCVSGDSGGGIFVWGITSPLRQDPLSKWYEQKDWRFSGIHSMTAYRNHRLYTGSGDRTIKAWSLKDGSLICTMNGHSSVVSKLAICDEVLYSGSWDGTVRLWSLNDHSQLTVLGEDMPREVKSVLAITVNRQLLVAGYENGCIKVWSNDVFMNSKTLHDGAIFAMDMQGKCLYTGGWDKTVNIQELSGDDEFELDVKAFGSIPCSSVVTALLCCQGKLIVGYADKSIKENVGLFRKSLTDFTSLNYWVVRDYYRLVNSVNGFELRIQALSDEQLTKKTQEFRLRLGKGETLADIQAEAFAVVREAARRKLGMRHFDVQIIGGAVLHDGSIAEMKTGEGKTLVSTLAAYLNALTGEGVHVVTVNDYLAQRDAEWMGRVHRFLGLSVGLIQRGMDSEERRFNYRCDITYTNNSELGFDYLRDNLAGSSDRLVMRWPKPFHFAIVDEVDSVLIDEGRNPLLISGEATEDAARYPVAAKVAELLMQGRHYKVELKDNSVELSEEGIALAEMALETNDLWDENDPWARFVINALKAKEFYRQDVQYIVRDGKALIINELTGRVEEKRRWSEGIHQAVEAKEGLEIQADSVVVAQITYQSLFKLYPKLSGMTGTAKTEEKEFLKMFQVPVIEVPTNLSNIRKDLPIQAFATARGKWEQVRREAEYMFKQGRPILVGTTSVENSELLSGLLREWDIPHNVLNARPKYAAREAQIVAQAGRKHAITISTNMAGRGTDIILGGNPKVGVPMLAREIIEDSILSFLTQEDPNIDLAGEAVSEKALSKIKVGSSSLALLAKTALMAKYVSKSEAKSWTYQYAKSFILEAIEMSMSHSLEELEKLANEESEMYPLGPTVALAYLSVLKDCEEHCLHEGSEVKRLGGLHVIGTSLHESRRIDNQLRGRAGRQGDPGSTRFMVSLQDEMFRKFNFDTEWAVRLISKITNDEDMPIEGDAIVKQLLGLQINAEKFFFGIRKNLVEFDEVLEVQRKHVYDLRQLILTGDDESCSQHIFKYMQAVVDEIVLSNIDPMKHPHSWSLSKLLKEFMTVGGKLLHESFGGITDDNLLKSLGQLHDISSLDIVYFSLPNLPAPPNAFRGIRRKSSSLRRWLTICSDDLIENGKYRTTSNLLRKYLGDFLIASYLNVVEESGYDEKHVKEIERAVLLKTLDCFWRDHLVNMNRLSSAVNVRSFGHRNPLEEYKIDGCRFFISMLSATRRVTVESLLQHWTSPMESEELYSS >OIV96662 pep chromosome:LupAngTanjil_v1.0:LG15:17076883:17080510:1 gene:TanjilG_09204 transcript:OIV96662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLKNRAFTLAMLFLFLLFSFPFTFIEANRPFFNLTTTPLNNNRPSSIVLPIRGTLGRYNVSLNIGDTPKSFELEFDTAGDLSWIECDAPCVNCKTPIDKRYKPHKNIVACADPLCAPVPKPRNFKCVKPNDPCNYFVKYADKDSTSGVIVQDNINLKFTNNVVKKTSIVFGCGYDQTYDADFSSGILGLGNGKSSILSQLHNLGLIANVVGHCLREKEGFIFLGDEFNSAPGIVWAPMLPSSQRSLYTIGPANILFNEKPTSVTGLELIFDTGSTYTYLNDKFYQPVFDLVTNGLPKTWTEIVRDESLPICWKGPKPFRTIGYVRFYFKPLALTFTSSKNVQFELPPESYLSISEGKVCLGILKSSANNLRQLNIIGDIFFLDKLVVYDNEKKRIGWVSRKCA >OIV96267 pep chromosome:LupAngTanjil_v1.0:LG15:20787513:20797740:1 gene:TanjilG_05107 transcript:OIV96267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDSSDSPPPESNTPPSSSPPPPSDDNSSSPPPPSGDNSSPPPPSDSSQSPPPPSDDNSPPPSSPPPSSPPPSSPPPPSDDQKSPPPPDNDNGSSQSPPPPPPNNKSPNHQPPPPPSVGNGKFSPPPPRHELSEPSPNSGKSNNTPSSDGGGGSSIGVPAIIGIAAGAGLLLLVAILLIIACSRRKKKSSDSPVRYFNHTSDDNYFKRQNPPAAGPNQYGYNNNREHVLNIPPPPGAVWGGALTPPPPPPPQFNSSEMSSSSYSNSGPHGPVLPPPHPSVALGFNQSSFTFEELSAATSGFTQRNLLGEGGFGYVHKGFLPNGKEIAVKSLKSTGGQGDREFQAEVDTISRVHHRHLVSLVGYSISDSKKLLVYEFVPNKTLEYHLHGKGRPVMDWGTRLRIAIGSAKGLAYLHEDCHPRIIHRDIKAANILIENNFDAKVSDFGLAKFSQDTNTHVSTRVMGTFGGKLTDKSDVFSYGIMLLELITGRRPIDNTAQYEDQSLVDWARPVCVKAIESGSFEELVDPRLEGNYIIQEIAHMVACASACVRHSAKRRPRMSQVVRVLEGDVSLDVLNHEGVKPGQSTMYSGASSGEYGASAYLADMKQFKKLALESGTANSDLYGNTNDYSPFSGIEKGAVLQEARVFNDPQLHPTTCSQVITKLLYLLNQGETFTKVEATEVFFAVTKLFQSRDMGLRRMVYLIIKEISPSADEVIIVTSSLMKDMNSKIDMYRANAIRVLCRITDGTLLTQIERYLKQAIVDKNPVVASAALVSGIHILQTNPEIVKRWSNEVQEAVQSRAALVQFHALALLHQIRQNDRLAVSKLVTSLTRGTVRSPLAQCLLIRYTSQVIRESGNNNQSGDRPFYDYLESCLRHKSEMVTFEAARAVTELNGVTSRELTPAITVLQLFLSSSKPVLRFAAVAMTHPMAVTNCNIDMESLISDQNRSIATLAITTLLKTGNESSVDRLMKQITNFMSDIADEFKIVVVEAIRSLCLKFPLKYRSFMNFLSNILREEGGFDYKKAIVDSIVMLIRDIPDAKETGLLHLCEFIEDCEFTYLSTQILHFLGIEGPKTSDPSKYMRYIYNRVHLENATVRACAVSTLAKFGAAVDALKPRILVLLRRCLFDNDDEVRDRATLYLNTLGGDGSVTETDKVKDFVFAYLDIPLVNLETSLKNYVPAEEAFDINSVPKEVKYQPLAEKKATGKKPTGLGAPPSGPTSTGDGYERMLSLIPEFANFGKLFKSSAPVELTEAETEYAVNVVKHIFDKHVVFQYNCTNTIPEQLLEDVIVIVDASEAEEFSEGFSKPLRSLPYDSPGQTFVAFEKPEGVPTVGKFSNILKFIIKEVDPTTGETEDDGVEDEYQLEDLEVVAADYILKVGVTNFKNAWESMGPDFERVDEYGLGPRESLAEAVNTVINLLGLQPCEGTEVVPPNSRSHTCLLSGVFIGNVKVLVRLSFGLDGPKDVAMKLSVRSDDETVSDAIHEIVGSG >OIV96641 pep chromosome:LupAngTanjil_v1.0:LG15:18717353:18723750:-1 gene:TanjilG_28498 transcript:OIV96641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVGVLSSSYYPRFSPSLKRVVSGVQPTGSIHLGNYFGAIKNWVALQNTYDTLFFIVDLHAITLPYDVQQLSKATKSTAAIYLACGVDPSKASVFVQSHVRAHVELMWLLSSTTPIGWLNKMIQFKEKSRKAGDEEVGVALLTYPVLMASDILLYQSDLVPVGEDQKQHLELTRDLAERVPEPLIPPAGARIMSLTDGLSKMSKSAPSDQSRINILDSKELIANKIKRCKTDSFPGMEFDNPERPECNNLLSIYQLISGKTKEEVVQECQSMNWGTFKALLADALIDHLHPIQVRYEEIMSDSGYLDEVLADGAKTATEIADATLHNVYQAMGFCRRQ >OIV96830 pep chromosome:LupAngTanjil_v1.0:LG15:15492076:15496399:1 gene:TanjilG_08691 transcript:OIV96830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLTDSNNVQDIDDSILFPVEEIVQYPLPGYVSPTSVTFSPDDTLISYLFSPDQTLNRKIFSFDLKTNKQELLFSPPDGGLDESNISPEEKLRRERLRERGLGVTRYEWVKTSSKRKVVMVPLPAGIYIQDVSNSKVELKLPSISGSPIIDPHLSPDGSMLAYVRDSELHVLNLLSNESKQLTNDAKENGLIHGLAEYIAQEEMDRKTGYWWSLDSKYIAFTEVDFSEIPLFRIMHQGKSSVGSEAQEDHSYPFAGASNVKVRLGVVSVAGGSVTWMDLLCGGTEQKNHEEEYLARVNWMHGNILTAQVLNRHHTKIKILKFDIKTGQRKNILVEENSTWITLHDCFTPLDKGLTKFSGGFIWASEKTGFRHLYLHDASGTCLGPITEGEWMVEQIAGVNEATGLVYFTGTLDGPLESNLYCAKLFVDGSQPLQVPVRLTHSKGKHIVVLDHHMQSFVDIHDSLDCPPRVLLCSLDDGRIITPLYEQSLPIPRFKRLQLEPPEIVEIQANDGTTLYGAIYKPDASRFGPPPYKSMINVYGGPSVQLVSDSWVSTVDLRVQYLRNQGILVWKLDNRGTSRRGLKFESHFKHKLGQVDADDQVTGAEWLIKKGLAKAGHIGLYGWSYGGYLSAMALSRYPDFFKCAVAGAPVTSWDGYDTFYTEKYMGLPSENQAGYESGSVMNHVHKLKGKLLLVHGMIDENVHFRHTARLINGLVAAGKPYELIVFPDERHMPRRHRDRVYMEERIWDFIQRNL >OIV97406 pep chromosome:LupAngTanjil_v1.0:LG15:923823:938641:1 gene:TanjilG_16167 transcript:OIV97406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEQTKFSVLCSLFTWTQRTKSSFKKRSKFRKFLDSFCTDGNFFPAIRLILPNLDRERGTYGLKEHVLATCLIDALAISKDSDDAVRLINWRKGGAKTGSNVGNFALVATEVLQRRQGTASGGLTIKELNDLLDQLSSSENRAEKTLVFSTLIQKTNAQEMKWIIMIILKDLKLGFSEKSIFHEFHPDAEDLFNVTCDLKLVCEKLRDRNQRHKRQDIEVGKAVRPQLAKRVANATDAWKKLHGKEVVVECKFDGDRIQIHKNGTEIHYFSRNFLDHSEYAHAMSEIITQNIIVDRCILDGEMLVWDTFSNRFAEFGSNQEIGTYAVSFSVNSSTINSCYKELRCGFGFNGNTSVIHQTLKERHEILRKVLRPVKGRLEILIPNDGLNSHRSAGEPCWSFIAHNVDEVERFFKATIENRDEGIVVKDLSSKWEPSDRSGKWLKLKPEYVHPSSDLDVLVIGGYYGSGRHGGEVAQFLVGLAERPSPNTHPKRFVSFCRVGTGLSDDELDALVTKLKPYFSKYEYPKKRPPSFYQVTNHSKERPDVWVDSPEKSVILSITSDIRTIDSEVFAAPYSLRFPRIDRVRYDKPWSECLDVQSFIELVHSSNGTTQWNTGFGKEQDNFVNVPPSYSLESLHKMVAENGGTFSMNLNNSVTHCVAADSKGFKFEAAKRHGDIIHCTWVLACYEQKKLVPLQPKYFLFLSEQTKNKLQEEIDQFSDSYYLDLSLKDMIQLLSNIHRPEDISTIDHYKKKYCPKDKWCFFYGCSIYFHTAIPSLKGDWEVLLGISLRRFRLEILMGGGKVTDNLTRATHLVVLSVPRYHTDIEEIQRSFTSVERKFLQSKKLNIVKSQWLEDCLDHGQRLPEETYSLKPLGTEESTDEDIEPDLALEAHMGEDNVTDQNISVSDKEVKQRTVKTAHGEGKAFMSQEKGGQRKRGRPPGRGSKNAKPAGNQAQRARPRTVRKRAKICEHESDENDSHDKKPCEEIDTAKGSVDFYRRHSEPQETEKHTTSEVSETRESLERNKEVMCEDLKDSEPRRMFVPEIEMTNNNNDQSSEVTDKLEILTDPVQSMLFDMIPSLATKKVEEPKNHNIGEEKPSEIINAEPSTTTKKKKVSYKDVVNDFLKDG >OIV97230 pep chromosome:LupAngTanjil_v1.0:LG15:3782757:3795779:1 gene:TanjilG_27213 transcript:OIV97230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSTFSFPTPQVHATATATTTTINNHNHHHHHRHHLQIKRKPHKNLRFLFIPTPTPLPLTSIIHSHPHNHHLLSDSTSDNFFIESSLNSVTDSEEDSPSPSRPGIFIPEPIWISSFFLKNWLKKTNTEFSLQFKEIDKAKYNSLRRRQIKEETEAWERMVEEYKELEKGMREKHLAPHLPHVKSLLLGWFEPLKEAVEAEQKEHRMRSKKQQGSIAPHVDSLPAAKIAVIVMHKMMCLMMENESGGCVQLVHAAVQIGMAIEQEVRIHDFLEKNKRHQSKKAEAGTEDSTDNDKEKLRDRINVLIKRKRLKEVQMVLKKEEFSPWGRPTQAKLGSRLIELLINTAYVLPPVNQCSDTPPDIRPAFKHGFKAVSRNPRQKIPRNYGVIQCDPSVLAGIDKCAKHMLIPYMPMLIPPKKWKGYDKGGHLFLPSYIMRTHGSRKQQDLMKNVDGTQMQKVFEALDILGNTKWRINRRLLDVVESVWAAGGNIAGLVDCKDVPVPDKPFEEDLKLIQEWKCSVRKAKKINRERHSQRCDIELKLSVARKMKDEECFYYPHNLDFRGRAYPMHPHLNHLGSDLCRGLLEFSEGRPLGKSGLRWLKIHLANVYAGGIEKLSYDGRLDFVENHIRDIFDSADNPINGNRWWLMAEDPFQCLAACINLSEALRSSSPSSVVSHLPIHQDGSCNGLQHYAALGRDNLEAAAVNLVANEKPADVYTEIAVRVHDIMTRDSNKDPATYPNALLAKVLTDQIDRKLVKQTVMTSVYGVTFIGAREQIKRRLQEKGLITDERLLFTASCYAAKVTLAALGEVFEAARGIMGWLGDCAKVIAYDNQAVRWTTPLGLPVVQPYCKTQRHLIKTSLQVLALQREGNAISVKKQRSAFPPNFVHSLDSSHMMMTALACSDAGLRFAGVHDSYWTHACDVDKMNRILRENFVELYNMPILENLLEGFQTTYPGLAFPPLPKRGDFDLQKVLESPYFFN >OIV96690 pep chromosome:LupAngTanjil_v1.0:LG15:17398602:17400302:-1 gene:TanjilG_09232 transcript:OIV96690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLELWAIFGPGVSGAVFGAAWWIWLDAVVCSSTTVPFLHYLPGIFASLAALMFNCVRKEDIDYSPYDEGEWRLKLWLFIAYVVSFVSLAGSAGLLIQDSLDKSSPSVWTGVAGILQCVFVLIR >OIV96412 pep chromosome:LupAngTanjil_v1.0:LG15:19928969:19929298:1 gene:TanjilG_09839 transcript:OIV96412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDFHRSRSYGNGQNMEIENYYGAARSHDFRSYSTSYVQTQIGKDLKLKKGKSISGSLSKSWSMADPEIRRKKRVVSYKMYSVEGKVKGSFRKSFRWLKNKYTQVVYGW >OIV96945 pep chromosome:LupAngTanjil_v1.0:LG15:13906579:13906791:1 gene:TanjilG_00527 transcript:OIV96945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKTPWSLAFTTRVAGCAQPGQTAIIARSELVVTARTTCASEHKIDSTPHDGAVLGSTVSCTRSPLEAE >OIV97207 pep chromosome:LupAngTanjil_v1.0:LG15:4496524:4499204:1 gene:TanjilG_15272 transcript:OIV97207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTSTVVVLDNGGGLIKAGLGGERDPSTILPNCLYRSPASKKWLHPNPLPTTTTSTTTTTIEPDLTSAAVRRPIDRGYLINPDLQREIWSHLFSSILHINPSHSSLLLTEPLFTLPSIQRSLDELVFEDFNFNSLYVADSPSLVHLYEASRRPDTLVSRAQCSLVVDCGFSFTHASPVFQNFTLNYAAKRIDLGGKALTNYLKELVSFRAVNVMEETFIMDDVKEKLCFVSLDVPRDLTIARNRGKDNLFRSTYVLPDGVTHMKGFVKYPEQAHRYLSLADGGLSRPPEGDMNCLEFAERPEERKTVDLTKNEFDLTNERFLVPEMIFHPADLGMNQAGLAECIVRAVNSCHPHLHPVLYESIILTGGSTLFPQYAARLEKELRPLVPDDYNVKITAQEE >OIV96513 pep chromosome:LupAngTanjil_v1.0:LG15:19217596:19220623:-1 gene:TanjilG_07905 transcript:OIV96513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVKSAHSSYRDRTYEFESIAERLNKSRSPPITSNTTTSDEQRSAIAFQSEFNRRASKIGYAIHQTSQKLANLAKLAKRSSVFDDPSMEIQELTSVIKQDINALNSAVVDLQSLSVSRNQSGDDTTNHSTTVVDDLKTRLMTTTKDFKDVLTMRTQNLKVHENRRQLFSSSASKETANPFVRQRPLATRSAASSSNAAAAAPPWASSSGSPSSSQLFPKKPVDGESQPLLQQQQQQQEMVPLQDSYMQSRAEALHNVESTIHELSNIFNQLATLVSQQGEVAIRIDENMDDTLANVEGAQGALLKYLSSISSNRWLMIKIFFVLIFFLMVFLFFVA >OIV97423 pep chromosome:LupAngTanjil_v1.0:LG15:787224:792405:-1 gene:TanjilG_16184 transcript:OIV97423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLWKNNNLSICVVVLFMFLFGVFMYNENFKSITNFPFSWPKAQQVQEEESNTNTVTTTVTVQRGTKNNDTITLTILNTSETQDEKIQEDQDSEETQDENIGLEEVEEEKVEFLPPKECDLFKGEWVFDNVTHPLYKEEQCEFLTEQVTCMKNGRPDSMYQNWRWQPRDCSLPKFKGRVLLEKLRGKRLMFVGDSLNRNQWESMVCLVQSVIPHQHRKSMNKNGSLSIFTIQDYNATVEFYWAPFLVESNSDDPKMHSILNRIIMPESIEKHGVNWKNVDYLIFNTYIWWMNTATMKVLRGSFDEGSMEYDEVPRPIAYGRVLKTWSKWVEDNIDSTTTKVFFNSMSPLHIKSESWNNPDGIKCAKETTPILNMSSLLQVGTDHRLFIIANNVTQSMKVHVNFLNITTLSELRKDAHTSIYTIRQGKLLTSEQKADPATYADCIHWCLPGLPDTWNEFLYTLIISQS >OIV96661 pep chromosome:LupAngTanjil_v1.0:LG15:17067456:17068711:-1 gene:TanjilG_09203 transcript:OIV96661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRNEYRKNHTPPNKGRVSYDSIPSPSSSWNNSGNLKPPNLQSKTSSRKSNPIMYSNSSGMLKPPPIEKKIECTLEELCYGCQKKIKITRDVLTNTGGVTQEEEMLTINVQPGWKKGTKITFEGKGNERPGAYREDIIFFISEKRHQLFRREKDDLELGIEIPLVKALTGCTISVPLLGGDKMNLTVDEIIYPGYEKIITGQGMPIPKESGLRGNLKITFLVEFPTQLTRNQRSETKFLNLWNTMSKAK >OIV96295 pep chromosome:LupAngTanjil_v1.0:LG15:20642819:20645674:1 gene:TanjilG_09722 transcript:OIV96295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFTTNALISSKFLGSKVVASPPIPKITKSTLPLSLRYLNVQNEINNSSKQLISKTSEAALAALIFSSLTLTPQAIASDLITPPPVIESQQPNKLNTATSLSPFSQTAPNPQSQADIPDGTQWRYSEFLNAVKKGKVERVRFAKDGSALQLTAVDGRRASVTVPNDPDLIDILANNGVDISVSEGESSNGGLFNFIGNLLFPILAFAGLFLLFRRAQGGPGGPGGLGGPMDFGRSKSKFQEVPETGVTFGDVAGADQAKLELQEVVDFLKNPDKYTALGAKIPKGCLLVGPPGTGKTLLARAVAGEAGTPFFSCAASEFVELFVGVGASRVRDLFEKAKSKAPCIVFIDEIDAVGRQRGAGMGGGNDEREQTINQLLTEMDGFSGNSGVIVLAATNRPDVLDSALLRPGRFDRQVTVDRPDVAGRVKILQVHSRGKALAKDVDFEKVARRTPGFTGADLQNLMNEAAILAARRDFKEISKDEISDALERIIAGPEKKNAVVSDDKKKLVAYHEAGHALVGALMPEYDPVAKISIIPRGQAGGLTFFAPSEERLESGLYSRSYLENQMAVALGGRVAEEVIFGRENVTTGASNDFMQVSRVARQMVERFGFSKKIGQIAIGGPGGNPFLGQSMSTQKDYSMATADIVDAEVRELVEKAYSRATEIVNTHIDILHKLAQLLIEKESVDGEEFMSLFIDGKAELYVA >OIV96828 pep chromosome:LupAngTanjil_v1.0:LG15:15508123:15512057:-1 gene:TanjilG_08689 transcript:OIV96828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLKLSDNGGSSSYSEYHPPPTTTTTTMKKKIIFLSLLTIVLISASAASAVLILSVHFRPSDNGQNAPTRLSKPTQAISITCNKTRFPSLCTNYLLQFPASKTASEKDLIHISINITLQHLTKALYSSVSLSDSISTVSPRVQAAYDDCLELLHDSIDALSRSLISVAPPSSSVSGDIRPLGSYDDVLTWLSAALTNEDTCAEGFADTNGGITDQMANNLRDLSELLSNCLAIFSSIGAGDDFSGVPIQNKRRLMTMREDNFPRWLKTRDRRLLSLPVNEIQPDVIVSKDGNGVVKKISEAIKKAPEYSSRRFIIYVRAGRYEENNLKVGRKKTNLMLIGDGKGKTVITGRKNFLNGTTTFRTASFAASGAGFIARDITFENYAGPDKHQAVALRVGSDHSVIYRCSIIGYQDTCYVHSNRQFFRECDIYGTIDFIFGNAAVVFQNCSLNARKPMAQQKNSITAQNRKDPNQNTGISIHDCQIRPTPDLEAVKGKYQTYLGRPWKLHSRTVYMMSYMDDHIHQCGWLEWNKTFALNTLYYGEYMNYGPGAAIGQRVQWPGYRVITSTVEASRFTVGQFISGSTWLPSTGVSFMVGLSS >OIV96368 pep chromosome:LupAngTanjil_v1.0:LG15:20187446:20189570:1 gene:TanjilG_09795 transcript:OIV96368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVITNFNGTGVGFGMPLNFLGLGAGGGCGVGVGLGWGFGTAYGSKYRSSRITFQGVEFDNKEKGNINEFSKPSPQVKS >OIV97455 pep chromosome:LupAngTanjil_v1.0:LG15:3089:6621:1 gene:TanjilG_10979 transcript:OIV97455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCVGDVMEGRQNRSRSYRNGVMRRSLSSKQKCHDLWILSSTHYSNKDIFLRELISNASNIKLDKEKKILSIRDRGIGMTKEDLIKNMGTIAKLGTSGLYLVLVVLLERIFTVFGVCFYPVYLVSDYVEVISKHNEDKQEVCVGIKDDGEFAISEDTWNEPLRCGTEIRLHLKDEAGEYLEESKLKELVKRYSEFINFPIYIWASKEVDVEVPADDDCNEEDESSESSSLDEETEEDSDKKPLQVIYFTDPVDEYLMQYLMDYEDKKFQNVSKEGLKLGKDAKDKELKESFKDLTKWWKNTLASENVDDVKIFSQLDASKQAYMCSKRVLEINPRHPIINELRERVVKNPEDESVKHTAELIYRTALFESGFLLDNSKDFASRVYDSVKSSLDISPDAAVEEEGKMTLKRSRLKVSRKKSSVPKLKLAMMMSRSCRFFCCLCLGLAL >OIV96282 pep chromosome:LupAngTanjil_v1.0:LG15:20877039:20881715:1 gene:TanjilG_05122 transcript:OIV96282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGVAVVVVPHLKLNQFQFFPIHHSKFCRAAPCGVPFLPSLTLKLKLKLKQQRHHAFPPICTATDAVSEEDSDNNDNNKSVDVSGDSIRRRFLKFYESRGHKVLPSASLVPDDPTVLLTIAGMLQFKPIFLGNIPSKLPCATTSQRCIRTNDILNVGLTSRHHTFFEMLGNFSFGDYFKKQAIQWAWELSTLEFGLPPHRLWISVYENDDDAFHIWSHQVGVPVERIKRLGEEDNFWTSGVTGPCGPCSEIYYDFHPERGYADADLGDDTRFIEFYNLVFMQYNKKDDGSLEPLKQKNIDTGLGLERMARILQKVPNNYETDLIFPIIEKASELANVSYATADDHTKRNLKIIGDHMRAIVFLISDGVAPSNVGRGYVVRRLIRRVVRTGRLLGIKGDGRGDLEGTFSPIIAEKVVELSTHIDSDVKNKAPRILEELMREELRFVQTLERGEKLLEEKLTAAVWNAENNKTEPCLAGEDVFLLYDTYGYPMEITKEVAEERGVSIDMNGFDIEMEKQRRQSQAAHNTVKLAMGNGANIADNVPDTEFIGYDSLYAKAIVQSLVVNGDPAVQASEGSDVEVLLNKTPFYAESGGQIGDHGFLYIAEGESQPIAVVEIIDVQKSLGNIFVHKGTVRKGVVEVGKEVDAAVDVNLRQRAKVHHTATHLLQAALKKVIGQETSQAGSLVSFDRLRFDFNFHRPLFDSEHAEIEGLINGWIQEATVLETKVMPLDDAKRAGAIAMFGEKYGEEVRVVEVSGVSMELCGGTHVSNTSEIRGFKIISEQGIASGIRRIEAVAGEAFIEYVNARDFYLKQLCSTLKVKPDEVTTRIENLLEELRVARNENLSLRAKAAVFKASVIAKKALLVGNSKQYRVLVEYVDDVDAESLKSAAEYLIETLTDPAAVVLGSCPGEGKVSLVAAFTPGVVDQGIQAGKFIGQIAKLCGGGGGGKPNFAQAGGRKPENLASALEKAQSELVAALSEKGN >OIV96323 pep chromosome:LupAngTanjil_v1.0:LG15:20462518:20463282:1 gene:TanjilG_09750 transcript:OIV96323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKSGMGIDERKQLKKPAQASSRKGCMRGKGGPENASCKYKGVRQRTWGKWVAEIREPNRGARLWLGTFDTSLDAALAYDSAARKLYGVDAKLNLPELYVNSQSLASSVSTQVAQMQGNMQPPHTMIQPNSDMSTCYNMMNTNPPVSMASQQVGVGPIYSNINESIVSLPCLDTNTRPLETYDKPMENNDIELHPFWGTMNEEGWPVLDDSIYAEAAMSLDIPMIAETGFYQANGNLNLTDMTTWDSFNTPWCM >OIV96960 pep chromosome:LupAngTanjil_v1.0:LG15:14416653:14421416:-1 gene:TanjilG_00542 transcript:OIV96960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPLRMKTCLDMKLPVFTCLDEGIRTQRVNCRNLRRRNCRGIVACNGNRGGESSSSRSFFCRNHNYALLKHQMEVAAKSEDYEEAARIRDSLKCFEEDVPVLRLRKLLKEAIEDERFQDAARYRDELKDIAPYSLLKCSSDATTLGIRVQVRSAYIEGRSQPSEDIYFFSYRIRITNNSNRPVQLLRRHWIITDANGKTENVWGLGVIGEQPAILPRTSFEYSSACPLSTQNGRMEGDFEMIHIDKVGSRSFNVAIAPFSLSLLGDGDGDTI >OIV96602 pep chromosome:LupAngTanjil_v1.0:LG15:18274324:18274929:1 gene:TanjilG_28459 transcript:OIV96602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAFSHLAWWLWSRKNQEPILSNGSSTNSSADSGVKELDALRFHLINQAKVPSSSTRVKQKWYSREENKIDREYDVVLVPSDVGCVSGSESDDSDWSIGWLEPHGAEFSSGDDESRETDNSFAVLVPCYGSNYSGMEEEDPKSNLLINVGNFPDGYSEGKSSFFNIYKSLPHVVVYWNFTLYSVFNGSFEIELLHSRIQS >OIV97397 pep chromosome:LupAngTanjil_v1.0:LG15:1463765:1472855:-1 gene:TanjilG_17581 transcript:OIV97397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWVVLPLILQLVKFCIIFSFVAVQGSNSKGPIVSPSPAFLPVINHIGEAPDPIHLGESWGSNAPSSPSERDGFVISASPTTLPVHPSPTEAPIILPLRDPWKTIAPSSPEVPKGPFLHPPVTLPLPHPTSAPTQHKRIEPFKSLSPSPSTITLSPPYKTVPAASTSQRNGTPTSAPVPRTSKGIESSISFSPSPTTITLSPPYEVVPAPSTSQQKGLSTSAPAPRQGKGIEPSISLSPSASIITLSPPYKAVPTPSNSQQDGLPTLAPAPRKNKGIEPSISFSPSPSTITLSPPYEAVPVPSTSQQKGLPTSSPAPRNSKEVEPSISFSPSPSTLTLSPPYEAVPAPSTAQRNVPISSQPRPPRRKPPVAWPPESTPTTPAPFAMPTSNLPKNAPISQPSPRTVTLSPSFPASSTAQGNVPSSIQPSPPSPQRKAHPVWPPASTRIAPAPVSIPSVNLSKQSPISQPIEHGNLPPKVDKRNANKSHTPGTVSPAPVATPSTNLPIISPVSQPTENGSSTNVHKEGANKAPIAASVPQHSPVSQPTEHGNFPPTFHKRNSNRSHILEPVSQAPVAIPPTIFPDDSPVSQPTHHGSFLPSVHDRTENKDHSHIQEPIPPEAIASPPWKMEDNQPAGHPLLRKIIPSSLPAPVTSPTIAIPVIASQDHPVTPMISPSKLPGGAEPVVSSALTPSRSFNWKKGGEPVSAPFYKAPKPLPPIVHSADHAAHKARQFHHAPKPPISSPEPPINKEDHFPASSPSTTFHKDNHMRNRITSPAPASSYFVSPPTSKHQEQLSPPSLLPTSGQRHHAPPPMDTGSSVSPFALPVLSPVSHVSPTPAPAPVPSFKISPHQPKIPLHTRKVSPYRSSSMIPKTPGLPPMQALPPPPPNEDCLSTICSEPYTNSPPGAPCGCFWPMKVGLRLSVSLYTFFPLVSELASEIATGVFMRQSQVRVMGANVDSQQPDKTVALIDLVPLMEEFDNTTAFLTSERFWHKEVAIKASYFGNYDVLYVSYPGLPPSPPLPPSSMTIIDGGPYSSDGNNGRTIKPLGVDIHKRQQHKSRLSKGIIAIITLSAFLAVILCSAAAWALFKYRDHVNQSAPTSLVLPPPLAKATGTAGSLVGGGVASTSSSFRSSIAAYTGSAKTFSMSEIEKATDHFNDSRIIGEGGFGRVYSGILEDGTKVAVKVLKREDHHGDREFLAEVEMLSRLHHRNLVKLIGICSEVSLRCLVYELIPNGSVESHIHGVDTENDRLDWSVRLKIALGAARGLAYLHEDSSPPVIHRDFKSSNILLEDDFTPKVSDFGLARIASDEENRHVSTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVVLELLTGRKPVDMSQPPGQENLVSWARPLLTSKEGLEAIVHPSLRTNVPFESVAKVAAIASMCVQPEVSDRPFMGEVVQALKLVCDEAKEAGSKVSSVEDLSVVDLNNVSAHQPDNFLRQFSATNYNSGVDFEKGLSASELFSSSARFGRQASGSFRRHSYSGPLRTGRSRRMWQIIRRLSGGSVSEHGIKYKL >OIV97083 pep chromosome:LupAngTanjil_v1.0:LG15:10156162:10157536:1 gene:TanjilG_25673 transcript:OIV97083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSDAISTAPIPSAASTKNMGKKKRTNRSAKLKQCKFDARREQWLSQVAAKNKGCSDDDARTPMKGSNGSLEKLEMRSRDEEEDEGLIGHVSYSESVSNSPTSVNSCIHSGTIFTGSCSSGSSSSSSTCSAGCRSGNVTEEEDDECLDDWEAMADALAANDKPQNPEAEPVDKMVLPGELISGLNAGPQNWKPDSATLVPCSSGNGRAWRPDDTFRPQCLPNLSKQHSLPNPDRRCRGGVPWAFTASPSSCPICCEDLDLTDSSFLPCLCGFRICLFCHKRIVEEDGRCPGCRKQYECEPVETEASVHGGSLTLRLARSCSMVGRS >OIV96532 pep chromosome:LupAngTanjil_v1.0:LG15:19050909:19051931:-1 gene:TanjilG_07924 transcript:OIV96532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDDNNEREPLIPGLPDEVSELCLVHLPYPYHFLLRSVSSSWNSTITNPSFFNIKQSLSLSQSYLLIFAFHKLTSTIQCHALHPSSACCFLLPPPPLAAISSPGFACAALPRQGKLFVMDGNKSNVVYNTAVNKWSPASPMPTAKSLFAAESVNGKIITVDGSKTEIYYPESDTWKIGIGLGDELASLDVVAVNGKVYLTEGWRWPFTFGPRGWVYDCEHDMWQMMKKGMREGWTGIGVTVAGRIFVITEYGDCPIKVYDEDSDTWQYVRGDKFPRDVMKRPYVLRGFEEKIYVVSDGLNVAIGSVVICEDDVVRVRWEVVEAPKVFGELSPSNCQVMYA >OIV97130 pep chromosome:LupAngTanjil_v1.0:LG15:7401638:7408089:1 gene:TanjilG_00159 transcript:OIV97130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKISKTSCKSSPHMLFKDKAKNRVDDLQLMFLDLQFARKESRTVDAAVLEEQVHQMLREWKAELNEPSPASSLQQDGSLGSFSNDICRLLQLCEEEDDASSPLDAPKPEPNDQTLLTGGKVVCQESQQRRDFPLVDEYKDNTSGVQNLAASNPERPALEHHQFDLHQDFDHSFYTGFNSTGYSEEDAIPHISSYLPSICPPPSAYLGPKCALWDCPRPAQGLDWCKDYCSSFHAALALNEGPPGMAPVLRPGGIGLKDNLLFSALSAKAQGKDVGIPECEGAATAKSPWNAPELFDLSVVEGETIREWLFFDKPRRAFESGNRKQRSLPDYSGRGWHESRKQVVNEFAGLKRSYYMDPQPLNHIEWHLFEYEISKCDACALYRLELKLVEGKKNSKAKATNDYVVDLQKQMGRLSAEFPPDNRRPAKGRAKINANVGIGSVYSTSNRVASTPLNGTYEYGFILETRFRGLFLLCQVIVNNSFSLEHGISGCWVRNSNHRRELLQLICYVGIPECEGAATAKSPWNAPELFDLSVVEGETIREWLFFDKPRRAFESGNRKQRSLPDYSGRGWHESRKQVVNEFAGLKRSYYMDPQPLNHIEWHLFEYEISKCDACALYRLELKLVEGKKNSKAKATNDYVVDLQKQMGRLSAEFPPDNRRPAKGRAKINANVGIGSVYSTSNRVASTPLNGTYEYGLVAPYDYLVENMGNYYGT >OIV97158 pep chromosome:LupAngTanjil_v1.0:LG15:5370046:5374419:1 gene:TanjilG_28909 transcript:OIV97158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVHTQTLSTFDLNSNPSFPYSTVNGIHHPLPTSPLTNDQPLKTPNVELPECHAPSRAWHEDTAALRVQKVYRSYRTRRRLADSAVLAEELWWQAIDFVRLNHSTISFFNLPETAASRWARVKLNASKVGKGLSVEAKAQKLAFQHWIEAIDPRHRYGHNLHYYYEEWCKADADEPFFYWLDLGKGKNLDLEKCPRSKLRKQCIKYLGPQEREHYEYIVCEGNIIHKHSGDVLHTKEGSEDAKWIFVMSTSKKLYAGKKKKGSFHHSSFLAGGATLAAGRLEAEHGILKSISAYSGHYRPSDDSLDSFLSYLKENGVQLDEVEICSAKDDTDMYENGKLTEKDTASEVSMTAKMSEYGIFEEAENTLSSDKEDLQPQSVGCYQRTLSGGLQSPRAEVPKTAILQRINSKKSTNSYQLGHNLSRKWSTGAGPRIGCVADYPVELRLQALEIVNLSPRTHPSPSSDTRMNGLISPIGCPTPKAYVI >OIV96957 pep chromosome:LupAngTanjil_v1.0:LG15:14270653:14288742:-1 gene:TanjilG_00539 transcript:OIV96957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPSLPQTSHNLISSDLQLVQSCSSASDEVLWSKAVGEEDVSLRAKVASHPLFPRLLHAYIDCLKLGSPPPEMAQLLDEIRGENNGLCQISSFYGVDPELDNFMETYCNLLVKYKSDISRPFDEATTFLNDMETQLNSIRNGLPNISVLTEASEKGPSIVENEAIDNNRINEERELKDNLLHRYSGYISSLKHELSKTKKKQRLPEEAKQILLAWWNVHFEWPYPTDADKVTLAEWTGLDQKQVNNWFINQRKRRWKKTEEMQTVLKGLYGPFCMSDSD >OIV97429 pep chromosome:LupAngTanjil_v1.0:LG15:728767:732321:-1 gene:TanjilG_16190 transcript:OIV97429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSMTTISIISFTFFLSLPPLTYSSYNITALFAFGDSTMDAGNNNHFNTPLRSDHLPYGRDLPQHVPKGRFSNGKLSTDYLVNILGLKELLPAFLNPNVTDNDLLTGVTFGSGGSGLDNLTTAVTGVLDLAKQFELFEEVLKRLRKVMGKEKAENVINNALFVISSGTNDMMYNAYGLPTRVLQFGSVQRYQDFLLQNLLSFIQKFGLQKLYGGGARRIMVAGLPPIGFKETLKGCCGTGLLEIGPGCNKLDITCVDASKYLFWDAVHPTQSGYCFLAHNARQTVLPYVTT >OIV97234 pep chromosome:LupAngTanjil_v1.0:LG15:3444492:3453121:-1 gene:TanjilG_13713 transcript:OIV97234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFATDSPSPLHSSSSDDFATFLDTALDANSSSDHDEIQNQDDDYNDDDNAQSVRIKRHKVESIEETEASTSEGIVKQNLVVAEESVEVDVCSHPGSFGNMCIRCGQKLDGESGVTLSYIHKGLRLHDQEISRLRSTDMKDLLRHKKLNLVLDLDHTLLNSTLLMDLNSEEVHLTTETDSLEDVFKGSLFKLHHIHMMTKLRPFVRTFLKEASKMFEMYIYTMGDRPYALEMAKLLDPGGEYFNSKVISRDDGTQKHQKGLDVLLGQESAVLILDDTENAWMKHKDNLILMERYNFFASSCRQFGFNCKSLAELKSDESEPDGALAKILEVLKRAHSRFFDELQEDLAGRDVRQVLKTVRSEVLSGCVLVFSRIVHSALPALRKMAHQMGAICLTELDPSVTHVVATDVGTEKSRWAVKEGKFLVHPRWIEAANYFWEKQPEENFIVKKKE >OIV97418 pep chromosome:LupAngTanjil_v1.0:LG15:832295:835203:1 gene:TanjilG_16179 transcript:OIV97418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENHVSKSLGCYVAEVANPNKIWRGETVLGFYLPNLAAQIALILFTTRSLHYILRPLNQPLIVAEIIASFLMSRAIFGSEKIFTDLYRMKSVLNVETVSHIGIIYYVFLIGLDMNMDTILKAKKKATSIAMSGTFFAMALNGFIYSLLQKWHTKDSNYFSAYDTSRAYLFWSLIFSVTSFPILAQILADLKLLYTGLGKVALTAAMINDLGNWLMWALLAPFAIHNLDIAIYSLLSNIAFVLFIFFVLRPYLGRIIIHKTNQNEWDNNQLFFVIMGAFVCAAITDILGTHPIAGALMYGMIIPRGKFTAMLTKKSEDFAVGFLLPLFFYGCSIRLNIVSVVHAYGWLHIMAIVLLSCIPKILSTIIITFFFGMTTLDGVALGLLMNTKGILPIIMLNIASDKEILSREAYTIALMSILVMTLMVPLIINLIYKPMKRFEQNKLRTIQNLKVDAELKVLACVHNTRHAKGMMNLLEASNDIKVSPLHVFALQLIELTRATTALFDAGDEKSNHQQADSQEDIENIANVFKSYAEGKNNTEVETSVAVSAYSTIHEDIYNLAQEKQTTFILLPFHKHSSIEGILELTKSAYKDINQNVMLDAPCSVGIFVDRGLGSLLKVKLKVLMLFIGGPDDREALAVAWRMSKNQGVQLSVVRILLLEEGAEVEASSFAENHGLLSAVVDNEKQKEFDDEYVSSFRLKAVNIKDSISYSEKEVQSGDDIKVVISELDKLGFDLYIIGQGTGRNSLVLSNLLKWTDCPELGVIGDLVASNIFGSSSSLLVVQQYGFGGMTFGTAAQHPSENIEDNDGSEALFVKVE >OIV96589 pep chromosome:LupAngTanjil_v1.0:LG15:18161506:18166404:1 gene:TanjilG_28446 transcript:OIV96589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTSLKLYSSSPTLNFHNHSPSLSPFSLRPKLTTDRTLTLSVAREVPAELSPINDGAIANETKKKPGLEKDHIALWHRYVEWLYQHKELGLYIDVSRVGFTDEFVNQMEPRFEKAFRAMVDLEKGAIANPDEGRMVGHYWLRDPNRAPNQFLKTQIQNTLEAICNFANDIISAKIKPPSSPEGRFTQILSVGIGGSALGPQFVAEALAPDNPPLKIRFVDNTDPAGIDHQIAQLGPELASTLVIVISKSGGTPETRNGLLEVQKAFREAGLNFSKQGVAITQEDSLLDNTARIEGWLARFPMFDWVGGRTSVMSAVGLLPAALQGIDIREMLIGASLMDEENRSTVLKNNPAALLALCWYWATDGVGSKDMVILPYKDSLLLFSRYLQQLVMESLGKEFDLDGNRVNQGISVYGNKGSTDQHAYIQQLREGVHNFFATFIEVLRDRPPGHDWELEPGVTCGDYLFGMLQGTRSALYANNRESITVTVEEVTPRSVGALIALYERAVGIYASLVNINAYHQPGVEAGKKAAGEVLALQKRVLTVLNEASCKQPVEPLTLEEVAERCHAPEDIEMIYKIIAHMAANDRALIAEGSCGSPRSIKVFLGECNIDDLYV >OIV97135 pep chromosome:LupAngTanjil_v1.0:LG15:7498238:7499200:-1 gene:TanjilG_00164 transcript:OIV97135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRYNNIEGGEGSNANRHACAACRHQRRKCKEDCVLAPYFPMEKTQQYLAVHKVFGFSNMTKILSNLVEQERKEAVESFQWEAMMWQQDPVQGPLGAYKKLCDMINNLLTQQAIQSFDSNLMKTHDLLGFNNGGSNEAQTIAVSNNGVPPITNNWGLNNFGQSSKTSYEKSLVSHSQVFRNEVEESKVLRYVADPNALFQRDSSLLQHNYIPSLASVATQDITQVPYSNMAQPNQNRVLQYSNGNMVNKQGGIMMRRGQGTEGNVENIYYDGHGVVNHVENGVIEANSDHAMAQNRGRSQITGFQHFLYQLGHDRIKQDP >OIV96328 pep chromosome:LupAngTanjil_v1.0:LG15:20429893:20432888:-1 gene:TanjilG_09755 transcript:OIV96328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCLKGPIVVSPKVGGLCTVPPMIGPVNATCIRTQFLGLTSTKIKVNLNMRKCNNTVYCSFNSSSNGTGSMAENFNEKDEDYVNSSVLEAVEVKSGAEGFMIKMRDGRQLKCIHNNPHGGILPDYAPHPAIVLKMEDGTDLLLPIIVLEMPSVLLMAAIRNVQIARPTLYQVVKEMVDKMGYEVRVVRVTKRVRESYFAQLYLSKVGNEAECMSFDLRPSDAINIAVRCQVPIQVNKYLAYSDGMRVIESGKLLTQSPGSDGLLFTELDRPSGKPCTETKEFDLLHNMLKAVVEERYQDAALWRDKLNQLRAEKNTNNRSWTL >OIV96893 pep chromosome:LupAngTanjil_v1.0:LG15:13065860:13069041:1 gene:TanjilG_00475 transcript:OIV96893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDAQERFRNIRLQIEYDTYDPKGPSVVVLPCLRKRSKIIEIVAAQDIVFARAQSGVCVAFSRETNQRICFLNVCPDEVIKSLFYNKNNDSLISVSVYASDNYSSLKCRSTRIEYIRRGQPDAGFALFESESLKWPGFVEFDDVNGKVLTYSAQDSIYKVFDLKNYTMLYSISDKNVQEIKTSPGIMLLIFSKESSHVPLKILSIEDGTVLKSFNHLLYRNKVDFIEQFNEKLLIKQENENLQILDVWTFELTEISRSEFMTPSAFIFLYENQLFLTFRNRTVAVWNFRGELVTSFEDHLLWHPDCNTNSIYVTSDQDLIISYCKADSDDPLSEGNAGSINVSNILTGKCLAKIRANNGFPMEKECSDADDCSGSTSNSMKRKCVSKIRSTVAEALEDITALFYDEERNEIYTGNRHGLVHVWSN >OIV97309 pep chromosome:LupAngTanjil_v1.0:LG15:2415666:2416238:-1 gene:TanjilG_07061 transcript:OIV97309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENTLLPFFPLPFPQLKRPTTVLTFTAALPITITVQASNSDIPVTFIVKTNSVSVTNIINAIEPTPQYKLPDDFLGLLLPIGRLTLPTRIIITTNTMLITIHVSMNGIISVNPIAQNSNVATLSLFPQGMNITNPVTLPFTLTILLSTNITIAIKIRFFLDNTIIITSHSFAGTDNYAAFLSPVYSEEHL >OIV96850 pep chromosome:LupAngTanjil_v1.0:LG15:15192662:15193501:-1 gene:TanjilG_08711 transcript:OIV96850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSSKPPQSQPPMLERSRARPQKDQALNCPRCNSTNTKFCYYNNYSLSQPRYFCKTCRRYWTEGGSLRNVPVGGGSRKNKRSMNPSTSTTTTTSSQHGPSNKKLPDLSTTPNNFSHSASQNPKIHHGQDLNLAYPPPTEDYSTLSKFIEVPYSTELDKTQHHHLQNQSSSAMELLKTGITTSFMPMTLSDSSTMYNSAGFPPLQDFIKPGLNFSLEGFENGYGGIHGIHQDGASGARILFPPENLKQQVPSSAEFHEQNRNQGDSSGYWNGMLGGGSW >OIV97383 pep chromosome:LupAngTanjil_v1.0:LG15:1690047:1691775:-1 gene:TanjilG_07135 transcript:OIV97383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSPPDKDERSLNVPEGAIEDSECIVHYEKSPAHLNRETHGLHNGIDDNTSLDEVKAPNLFERAKEEFQAIAQVFHHKKEASTHETSYGNQMAESKHKEQIPSSLSDKNEIEENIFLKAKKEIKAIIHHDKPQHHHNKETHGTSDNIDESTPPNEVKGPNVLERVKEEFEAVLHAIHPKKES >OIV96804 pep chromosome:LupAngTanjil_v1.0:LG15:15837379:15838550:-1 gene:TanjilG_08665 transcript:OIV96804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFHVVAIFLLLVRTNANSEGDALYAFRKAVKDPNNVLNSWDPTLVDPCTWFHVTCDNHNRVTRLYVINKKHVFQLLGLLGLIICYVMLCRDLGHAKLSGYLVPQLGRLQHLQFLELYKNELVGPIPKELGRLKNLVSLGLYHNNLTGSIPSSFSNLSNLKFLRLNSNRLSGRIPRELAKLGNLKILDLSNNDLCGTFPTFGSFSKFPEQSLKNNPRLEGPELMGLVRYDDDGLCK >OIV96857 pep chromosome:LupAngTanjil_v1.0:LG15:15095114:15099596:1 gene:TanjilG_08718 transcript:OIV96857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSSDTRLLHELLLYAASAALSCLVLFTGLRQLDPNREASKKALEHKKEIAKRLGRPLIQTNPYEDVIACDVINPDDIDVEFNSIGGLEKIKQALFELVILPLKRPDLFSHGKLLGPQRGVLLYGPPGTGKTMLAKAIAKESEAVFINVRISNLMSKWFGDAQKLVAAVFSLAHKLQPAIIFIDEVDSFLGQRRTTDHEALLNMKTEFMALWDGFTTDQNARVMVLAATNRPSELDEAILRRLPQAFEIGVPDQRERAEILKVILKGERVEDNIDFGHVAGLCEGYTGSDLFDLCKKAAYFPIRELLDEEKKGKRSSVPRFLSQLDFEKALATSQKTNVAASEYGGISLQSPSRFTVHSETGDYPLQAAINEFSKLVVSNMINLHQSDAQDP >OIV96732 pep chromosome:LupAngTanjil_v1.0:LG15:17824205:17825832:1 gene:TanjilG_09274 transcript:OIV96732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGVSDLRSWDELIPDALGVIFTNLSLQERVTVIPRVCKSWAKAVTEPYCWQGIDINEWSNGCKPDKLDRMLEMLITRSSGSLKKLSVSDVQTERIFTFIAENACSLRALRLPRCSMSDSIVEHIAGRLSMISFLDVSYCIKIGASALEIIGKNCKLLEALCRNMHPLDTAGKPLQDDEAYAIANTMPKLKHLELAYHLISTSGVLKILSHCPKLEFLDQRGCWGVTLDNMYVKQKFPKLKVLGPFVLDTYGNDAWDDYSDISYSSEWDFMDGGMGEYDVDDSESNDGVWDDEGRLDDELQFRFYEGIEDAGMYWPPSP >OIV97492 pep chromosome:LupAngTanjil_v1.0:LG15:256879:258881:1 gene:TanjilG_11016 transcript:OIV97492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPHPLLPRPSKNLKKYGSWALITGPTDGIGKGFAFQLAGKGLNLVLVGRSPDKLKAVSDSIAAKFGKIKVVTVVVDFATSDLDSGMEKIREAIEGLDVGVLVNNVGISYPYARFFHEVDEELFRNLIKVNIEGTTKVTQLVLNGMLKRKKGAIVNIGSGAAIVIPSDPLYAVYAASKAYIDQFSRSLYVEYKKSGIDVQCQVPLYVATKMASIKRSSFFVPSTDGYAKAGVRWIGYEPRCTPYWPHTLLWALAYSLPESVVDAWRLRFCLGIRKRGQLKDSKKKE >OIV97522 pep chromosome:LupAngTanjil_v1.0:LG15:492647:500231:1 gene:TanjilG_11046 transcript:OIV97522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSNMGTCKSEHMQVDIDQKNSLQDTIVRSQWVLNAPEPPSPLHVVADSVVKTISRVRDRFFSMTDQSCTTLMFSVLQGIFPILGWGRSYTIAKLRKDFLAGLTIASLCIPQSIGYATLAHLDPQYGLYTSVVPPLIYAVMGTSREIAIGPVAVVSLLLSSMVQKLVDPTTNPLAYTKLILLATLFAGIFQTAFGLFRLGFLVDFLSHAAIVGFVAGAAIVIGLQQLKGLLGITHFTTKTDIISVMKAVWESFHDPWNPRNFILGCSFLVFILTTRILGKKKKKMFWLASISPLISIILSTVAVYLSHADKDGVKIVKHVKGGLNPSSIHQLDFNNPYVGEVAKIGLVVAIVALTESVAVGRSFASIKGYQLEGNREMVSIGLTNIIGSFTSCYVATGSFSRTAVNYAAGCETLVSNIVMAITVLISLQFLTKLLYYTPTAIIASIILSALPGLIDVNEAYKIWKVDKLDFLACSGAFFGVLFASVEIGLIVAVTISFAKIVIISIRPGIETLGKLPGTDLFCDVYQYPMAAKIPGVVIIRVKSALLCFANANFVRERIVKWVTQEESEGDMKNSRSKINLIIIDTSNLVNIDTSGIASLEELHKSLSSHGKQLAIANPRWQVIHKLKVSNFITKISDRVFLTVEEAIGCKTEC >OIV96446 pep chromosome:LupAngTanjil_v1.0:LG15:19727935:19729179:1 gene:TanjilG_09873 transcript:OIV96446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERNDDGHGQSLKRKSPENGNHELLNNFSLDDLNEDLFERVLSWLPTSTFFRLTSVCKRWKSVAASSSFKLACSHIPSREPWFLMVAPNLNQSIIFDSAESTWKRLNHPPLLHEESNQSFMPVAASGGLICHRKLSGNFIVSNPVTGSCSELPPLNFASQHQPLNAIVMSTVSKDQLSYKIVLVFGELPNLWFNVYNASSGCWEDVVAMKRNVDDDSVENDSTDDNAVYFLSKAGTVVVSNMQRSPSKQYSSVITTNKDGEEVIFFLSSSAKLIACNLTSKCFFEYPRLLPVLSEYSIDVVECNGEMLVVLLSEFFETASLRVWKYDEANRGWNQIAAMPAAMSHEWHGKKADINCVGSGSQIFICLNSTELCTYVLCDLVTNKWFELPKCCINGQVMDFMSAFSFEPRIEASV >OIV97137 pep chromosome:LupAngTanjil_v1.0:LG15:7515502:7517361:-1 gene:TanjilG_00166 transcript:OIV97137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASILGTSSSIALLHSPRYSSSSIPSLSLATGKIFRHKSYKEIGLHGIKGKSQFSVASVATEVNSVEQVQKIASKESQRPVYPFSAIVGQDEMKLCLLLNVIDPKIGGVMIMGDRGTGKSTTVRSLVDLLPEIKVVAGDPYNSDPEDPEFMGVEVRERVIKGEQLQVVFSKINMVDLPLGATEDRVCGTIDIEKALTEGVKAFEPGLLAKANRGILYVDEVNLLDDHLVDVLLDSAASGWNTVEREGISIAHPARFILIGSGNPEEGELRPQLLDRFGMHAQVGTVRDAELRVKIVEERSRFDKNPKEFRDSYNAEQEKLQQQISSARSFLSSVQIDRDLKIKISKVCAELNVDGLRGDIVTNRAAKALAALKQRDKVSAEDIATVIPNCLRHRLRKDPLESIDSGLVIIEKFYEVFT >OIV97378 pep chromosome:LupAngTanjil_v1.0:LG15:1732465:1734279:-1 gene:TanjilG_07130 transcript:OIV97378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASLLRLHFHDCFVNGCDGSLLLDEDGGDSEKFATPNLNSVRGFEVIDTIKSSVENACDGVVSCADILAIAARDSVFLSGGPFWYVELGRRDGLVSNGTLANETIPSPFDTLDTIISKFNNVGLDAKDVVTLSGAHTIGRARCTFFSNRLFNFSGTEEPDITLETNMLNELQYLCPQDGDGNITTFLDQNSAEQFDNNYFNNLINGKGLLSSDQILFSSDEAIATTKPLVEYYSYNERYFLMDFAYAMIKMGNINPLTGFDGEIRKNCRAVNSDF >OIV96512 pep chromosome:LupAngTanjil_v1.0:LG15:19221070:19222127:1 gene:TanjilG_07904 transcript:OIV96512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVKSAHSSYRDRTYEFESIAERLNKSRSPPITSNTTTSDEQRSAIAFQSEFNRRASKIGYAIHQTSQKLANLAKLAKRSSVFDDPSMEIQELTSVIKQDINALNSAVVDLQSLSVSRNQSGDDTTNHSTTVVDDLKTRLMTTTKDFKDVLTMRTQNLKVHENRRQLFSSSASKETANPFVRQRPLATRSAASSSNAAAAAPPWASSSGSPSSSQLFPKKPVDGESQPLLQQQQQQG >OIV96670 pep chromosome:LupAngTanjil_v1.0:LG15:17164822:17167473:1 gene:TanjilG_09212 transcript:OIV96670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNQKPISHTTLILFLSQLLLINVAQVTSKSTIEPCTNSDSCNALLGYTLYTDLKVSEVASLFQIDPISLLTANAIDISYPDVEHHILPSRLFLKIPISCSCVDGIRKSVSTHYKIRPSDSLSFIADSVYGGLVSDDQLREANSVTDPSVLDVGQSLVVPLPCTCFNGTDNSLPAIYLSYVVKRVDSLAAIAARYFTTLTDLMNVNALGSTAIADGDILAIPIPACASNFPRYASDYGLLVPNGSYAVTAGHCVQCSCGPRDLNLYCMPASLAVSCSSMQCKNSNLMLGNVTVQQTGGGCNVTSCNYDGIANGTIITTLSPTLQPRCPGPQEFPDLIAPPTTVTRESIFAPAPAPQSHGSGLTTPKSSVVPSTGSFSGFSPANGPISGIASGASAACSFANPLPATLASALLLLFAKLMLPEAL >OIV97424 pep chromosome:LupAngTanjil_v1.0:LG15:762105:772589:-1 gene:TanjilG_16185 transcript:OIV97424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAANAPISMRETLTLPSVGINPQFMTFTHVTMESDKYICVRETSPQNSVVIIDMSMPMQPLRRPITADSALMNPNSRILALKAQLQGTTQDHLQIFNIEMKAKVKSYQMPEQVVFWKWISPKLLGLVTQTSVYHWSIEGESEPVKVFERTANLANNQIINYRCDPTEKWLVLIGIAPGSPERPQLVKGNMQLFSVDQQRSQALEAHAAAFAQFKVPGNENPSVLISFATKTLNAGQIISKLHVIELGAQPGKPSFTKKQADLFFPPDFADDFPVAMQISHKYSLIYVITKLGLLFVYDLETATAVYRNRISPDPIFLTSEATSAGGFYAINRRGQVLLATVNEQTIVNFVSGQLNNLELAVNLAKRGNLPGAEQLVVERFHELFAQTKYKEAAELAAESPQGILRTPDTVAKFQSVPVQAGQTPPLLQYFGTLLTRGKLNAFESLELSRLVVNQNKKNLLENWLAEDKLECSEELGDLVKTVDNDLALKIYIKARATPKVVAAFAERREFDKILIYSKQVGYTPDYLFLLQTILRADPQGAVNFALMMSQMEGGCPIDYNTITDLFLQRNLIREATAFLLDVLKPNLPEHGFLQTKVLEINLVTFPNVADAILANGMFSHYDRPRIAQLCEKAGLYVRALQHYTELPDIKRVIVNTHAIEPQSLVEFFGTLSREWALECMKDLLLVNLRGNLQIIVQVAKEYVEQLGVDGCIKLFEQFRSYEGLYFFLGSYLSSSEDPDIHFKYIEAAAKTGQIKEVERVTRESSFYDPEKTKNFLMEAKLPDARPLINVCDRFGYVPDLTHYLYTSNMLRYIEGYVQKVNPGNAPLVVGQLLDDECPEDFIKGLILSVRSLLPVEPLVEECEKRNRLRLLTQFLEHLVSEGSQDVHVHNALGKIIIDSNNNPEHFLTTNPYYDSRVVGKYCEKRDPTLAVVAYRRGQCDDELINVTNKNSLFKLQARYVVERMDGDLWEKVLIPDNVYRRQLIDQVVSTALPESKSPEQVSAAVKAFMTADLPHELIELLEKIVLQNSAFSGNFNLQNLLILTAIKADPSRVMDYINRLENFDGPAVGDMAVEAQLYEEAFAIFKKFNLNVQAVNVLLDNIHSIDRAVEFAFRVEEDAVWSQVAKAQLRDGLVSDAIESFIRADDATQFLDVIRAAEGADVYHDLVRYLLMVRQKSKEPKVDSELIYAYAKIDRLSDIEEFILMPNVANLQNVGDRLYDEELYEAAKIIYAFISNWAKLAVTLVKLKQFQGAVDAARKANSAKTWKEVCFACVDAEEFRLAQICGLNIIVQVDDLEEVSEYYQNRGCFNELISLMESGLGLERAHMGIFTELGVLYARYRPEKLMEHIKLFATRLNIPKLIRACDEQQHWRELTYLYIQYDEFDNAATTIMNHSPEAWDHMQFKDVIAKVANVELYYKAVHFYLQEHPDLINDVLNVLALRVDHARVVDIMRKAGHLRLVKPYMVAVQSNNVSAVNEALNEIYVEEEDYDRLRESIDLHDNFDQIGLAQKIEKHELLEMRRVAAYIYKKAGRWKQSIALSKKDNLYKDAMETASQSGERELAEELLVYFIDQGKKECFASCLFVCYDLIRADVVLELSWTHNMIDFAVPYLLQFIREYTGKIDELVKDKIEARIEEKAKEKEEKDVIAQQNMYAQLLPLALPAPPMPGMGGGYAPPPPMGGGFGMPPMPPYGMPPMGSGY >OIV97152 pep chromosome:LupAngTanjil_v1.0:LG15:5005585:5005893:1 gene:TanjilG_28903 transcript:OIV97152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYFSPRHNTEVHRTSTVYDDVSQYPNGHLTKKVVYEEDEIEGSRRHHHHNPEVRERVEVIEYEQVPTYNNRVSEVVYEENVVDVESARYYPRRNKGCILRR >OIV96485 pep chromosome:LupAngTanjil_v1.0:LG15:19404094:19405995:1 gene:TanjilG_07877 transcript:OIV96485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGFSGEDFYPGGSLYPNPKEANLFLSLGHQAGFYYPLPKRSRVSVPFDFSGEWFVQKPKTTIESLPDECLFEILRRLPVGQDRSQCASVSKRWLMLLSNISKNEICINEKEGGDEGYGDEGYLSRTLEGKKATDVRLAAIAVSTASIGGLGKLSIRGNNKSECGVTDLGLKAIGCGCPSLKSLSLWNVASVGDEGLVGIAAGCQQLQRLDLINCPTISDKSLVAVAMKCPNLSELSIESCPNVGNEGLQAIGKLCPKLRSVSIKDCSGVGDQGIAGLLTSTSHVLTKVELESLTVSDLSLAVIGHYGISVNNLVLNCLPNVTEKGFWTMSNGRALQKLKSLTIGSCRGVTDVGLEAIGKGCPNIKYFQLRKCPFLSDNGLVSFAKAAPSLENLQLEECHRVTQFGFFALLFTCGAKLKVLTLVSCYGIKDINVKLPEVFPCVSLCSLSIRYCPGFGNSTLALLGKLCPQLQNVELNGLEGITDAGILPLLESSKAGLIKVNLHGCVNLTDKVVSSIANLHWSSLDVLNLDGCKKIGDASLKAIASNCQVLSDLDVSRCAITDTGIAALAQGNLHSLKILSLARCASVSNKSVPALKKLGRTLVGLNILACDRLNSSTVIKLLEHLWTCNILS >OIV97292 pep chromosome:LupAngTanjil_v1.0:LG15:2552100:2557333:-1 gene:TanjilG_07044 transcript:OIV97292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVMSSMEEDGKIGICKERKRLIKQLVGIRGEFSDSLLVYLRALRNTGATLRQLTESDSLEIDTPSNDLAGPPSPQPRLPPSPPPPPPPPPFISDKQGSQEEIVEHDYKDVHGMEIHPSMSSLWPFSPTHHNYEVVESIEEESWEETKTEFEDDEMEAAVARVVKSCSGKQKAKEPVDDNSLAMSLYRKDTKAMPIVVRKSAKTLEGIVKELDDYFLKASRCVKEIAVLIDISVGDTLRWQNSGHHNRKGGNSAKVFSVLSWSRSKSPHFTRDAAECSAPGEPCRPGAHCATLKKLYEAEKKLFKAVKEEGIAKLEFERKSLLLQKQEDENIDWVKIEKTRSSVKNLESVIESQGQSISETTSSILELIDQELTPQLVAITAGLTHMWSTMHECHQAQELISHKLSNLSDNQNALLNSEYHHQATVQFEVEASYWYNGFCKLVKTQQAYVKTLCKWIQLTKHLRDGGEGSDNSSTIRTICAQWKDGLEQLHDKEAAEAIKDLLSSIRLIIAQQAEEDNILKKLEKLERRLERCMNSLTEMTKKFEGSFGDDGDIPVNMSPRHPLSLKKTKAETLKKQVESVKTDYLDSIQCSRVMTLNHLKTTLPPVFQSLMAFSRASAEAIDGRNLEKPEECSDISSQS >OIV97109 pep chromosome:LupAngTanjil_v1.0:LG15:8674628:8710669:1 gene:TanjilG_10055 transcript:OIV97109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGVSRIKKKLHFSKIYSFARGKTTFKGDHSHIGGHGFSRVVFVNEPDRFDGEVRDYADNSVRSTKYTVANFVPKSLFEQFRRVANFFFLVIGILALTQLAPYTAVSAILPLCVIVGATMVKEGVEDWRRKTQDIEVNNRKVKVHKGDGIFEYTEWKNLRVGNIVKIEKDGFFPADLLLLSSSYEDAVCYVETMNLDGETNLKLKQGLDVTSSLYEDIEFRDFKATIKCEDPNANLYSFVGSMEFEDQKYPLSPQQLLLRDSKLRNTDFIFGAVIFTGHDTKVIQNSVDPPSKRSKIEKKMDKVIYFLFCILFLIAFVGSILFGIITKDDLDNGLMKRWYLRPDDSTIFFDPKRAAAAAIFHFLTALMLYGFFIPISLYFSIEIVKVLQSIFINQDIHMYSEEADKPAHARTSNLNEELGQVNTILSDKTGTLTCNSMEFIKCSVAGVAYGRTVTEVEKAVARGNGSTLSDEHANGSESGEFREPPDLKAPIRGFNFTDERIMNGNWVNEPYSDVIQNFFRLLAICHTAIPEVDDDTGNVSYEAESPDEAAFVIAAREVGFEFYKRTQTSLSMYELDPVSGGKVERTYELLHVLEFNSSRKRMSVIVKDEGRILLFCKGADSVMFERLSVNGREFEGETLEHVQEYADAGLRTLILAYREIDEEKYKEFATKLSEVKNLVTEHRETLIEEVSDKVEKDLILLGATAVEDKLQNGVPDCIDKLSKAGIKIWVLTGDKMETAINIGFSCSLLRQGMKQFIIHLDIPEIQALEKGGDKTAIAKASRECVRHQISEGVRQITANTATSHQAFALIIDGKSLAYALEDNLKNTFLELAIHCASVICCRSSPKQKALVTRLVKSGTGKTTLAIGDGANDVGMLQEADIGIGISGVEGMQAVMSSDIAIAQFRYLERLLLVHGHWCYRRMSSMICYFFYKNITFGFTMFLYEVYASFSGEPAYNDWFLSLYSILFSSLPVVALGVLDQDVSARYCLKVKPAIIDAIGTKTIPTNFSTLIVNFQTSCWSCSLRKGP >OIV96918 pep chromosome:LupAngTanjil_v1.0:LG15:13458092:13458724:-1 gene:TanjilG_00500 transcript:OIV96918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRITINSPKHCAEKQALRIERNYKKLFFPFSAIFTTLILLILLIYLILLPSKPQFSLTQVDIYQLNLSGPKLNSSIQLTLLSKNPNKKVGIYYDEFQVYATYKGQQITGYTSMPPFYQSNEESNLLTASLVGNGVPVAPSIGYEVGRDQSSGRLVLNLKVNGKLRWKVGTWVSGHYRFNVNCVSFMAFGSSMTSSPISSNQVAHCSTTI >OIV97411 pep chromosome:LupAngTanjil_v1.0:LG15:883968:888885:1 gene:TanjilG_16172 transcript:OIV97411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPSVVDPLLLPPSLPPLSSDIVPRSSKDQRFGDLRGLKWRINLGVLPSSSSIDYLRRATADSRRRYASLRRQLLAEPHIAKDGSSSDDVVMDNPLSQNPDSSWSRFFHSVELERIVVQDLSRLYPEHGSYFQTPGCQGMLRRILLLWSLKHPECGYRQGMHELLAPLLYVLQVDLECLSEVRKHYEDLFTDRFDELLCQENDLCYSFEFRKSQDSLDDETDSHGKAMKVNSLDELDPEIQTFVLLSDSYGAEGELGIVLSEKFMEHDAYCMFDALMTHGSVATANFFSSSPAAASLSGLSPVIEASTALYHLLSLVDSSLHNHLLDLGVEPQYFSLRWLRVLFGREFSLDNLLIIWDEIFASDNNSKVEKNADDDIEWGFRILRSPRGAFISAIAVAMLLHLRSSLLATENTTTCLQRLLNFPESINLEKLLEKAKSLQALALSVDISSSSPLFLGFHHRSKSMLSRSVTLQSESASPTMPLTSLPDSYWEDKWRVAHSTEESKQEVQISVPTRKKGWTEKVKISLRRTESDPPPSRIQSGKRLPKASVRRSLLEDLRNTLGLEEDAEQTNCREILCLQDNLSEVVEEEQQDGDCKGDSNYSSDDRSPSGSTGSEDNSSVFSECNETSQTSLSDPRVPTSVPPENIPETSGSNDNDEGNSASDPKERKQNKIQWLLKFGRNTVDYIFDKVGGAAKAAKSANSSSNQNNTPSPTSSTANADDSFVSCNGDSVDQDMKGSLKNIGQSMHDQIQVIESIFQQDQGQRALIENLSKNVVVGKGHAISALKELQKISNILSEM >OIV97353 pep chromosome:LupAngTanjil_v1.0:LG15:1930912:1933576:1 gene:TanjilG_07105 transcript:OIV97353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPFFVLIILSVLSLSSTISLDSKSTYIVHIKHQNKPDIYSTYHEWYTATLSSLSSLSTTDFDSNSNSNPLLYTYTTAYNGFAASLTSQQVDELLKSDYVIGIYQDTLYQLHTTRTPEFLGLQTHSGLPKGLVNETLNKSLYDVIIGVLDTGVWPESKSFNDTGFPAIPKRWRGECESGKDFASSLCNKKLIGARSFSKGFSMAMKNKNDEGSETIIKSPRDTEGHGTHTASTAAGSYVTNASFFGYASGIARGIAPQARVAAYKVCWADGCFSSDILAGMQRAIEDGVDVLSLSLGGTSAPYFSDAVAIGAFAAIKKGIFVSASAGNSGPTPESVSNVAPWITTVGAGTLDRNFPAYAVLGNKKQLTGVSLYSGKGIGNKPVSLVYNKSSSLCTAGSLDPKLVNGKVMVCDRGSNGRVAKGEIVKKAGGVGMILANTADSGEESIADKHVLPAVAVGKKDGDTIREYVGSDPNPTVVLSFGGTVLDVKPAPVVAAFSSRGPNTVTPQILKPDIIGPGVNILAGWTGVVKPSTLLTDTPTSPFNIISGTSMSCPHISGLAALIKAAHPKWSPSAIKSAIMTTAYTLDNTNTTLHEASVGAVSTPWAHGAGHVDPQKALSPGLVYDASANDYVTFLCSLNYTLEHVKTIAQNPNVNCSRKFSDPGQLNYPSFSISFGSNKRVARYTRTLTNVGDANSVYNVAVDGPLTVNIIVKPSELVFGKVLEKKRYTVTFVSKKDVAIKAAFGSITWSNSQHQVKSPIAFTWSSK >OIV96349 pep chromosome:LupAngTanjil_v1.0:LG15:20314918:20316739:-1 gene:TanjilG_09776 transcript:OIV96349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVVGFSLVLFLFLILSFSSLSFALSNIEASFIARRQLLHLHENEELTESYVESYKTDLKFDNPRLKNAYIAFEAWKKAIYSDPSNITSDWVGPDVCSYKGVFCAPALDDPKIEVVAGIDLNHADIAGYIPPEFGLLTDLALLHINSNRFCGVLPKSFCNLKLMYELDISNNRFVGPFPQPILCLQDIKYIDLRFNDFEGELPSELFNKTLDAIFLNSNRFVSTIPENLGNSPASVIVLANNHFNGCIPRSIGKMDKTLNEFVLVNNNISGCLPVEIGKLSNIEVFDVSHNMLVGVLPNSLHGLGKVEELSIANNKLTGSVLHGICKLPGLVNFTFSDNYFNVEEEGCVPKSRKNIDLNDERNCIHGRPKQKGESECNVVLSKPVDCSKAQCGHTSTPSHSNNPPSETPSEPEPEPEPNPTPSTSSPSIETPSEPKSPPQTPETQPAPTPEMPKATPPPTPETQSPPTPETPKGEPPSTPQTPKPHPPETPQSSPPPPQEDDPHKEAPRGRPRTPPPTHSPPPPVHSPPPPVHSPPPPVHSPPPPVNSPPPPVHSPPPPAVNFPPPPVRLLPPPPVNSPSPPVHSPPPPVHSPPPPVHSPPPPVHSP >OIV96864 pep chromosome:LupAngTanjil_v1.0:LG15:15004315:15008006:1 gene:TanjilG_08725 transcript:OIV96864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSSYCTLVPSNINPFSIPSSLFNSLNLSSFWQSQKVKMGPLTVSPMGFGTWAWGNQLLWGYQESMDDELQQVFNMALDNGVNLFDTADSYGTGRFNGQSEKLLGKFIKDFQEQKGNQRDIVIATKFAAYPWRLTPQQFVKACRASLDRMQIEQIGIGQLHWSTANYAPFQELALWDGLVAMYEKGLVKAVGVSNYGPKQLLKIHDYLKERGVPLCSAQVQFSLLSIGKDQLEIKNICDSLGIRLIAYSPLGLGMLTGKYSQSKLPTGPRALLFKQILPGLDPLLNSLRGIANKRRKTMSQVAINWCICKGTVPIPGVKSVKQAKENLGALGWRLSSDELLQLEYAAQESPGRMIQNIFQTR >OIV96418 pep chromosome:LupAngTanjil_v1.0:LG15:19898744:19899546:1 gene:TanjilG_09845 transcript:OIV96418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDKTPTISDSPDEDWSFELSEYLKFDNDQWPDDDPESFVSDHVLMNTNEVVDNFGGSGSQVEGSSSRELINAQVEEQRVAFKTKSEVEILNDGYRWRKYGKKTVKNSPYPRNYYRCSADRCPVKKRVERDRVDPRYVITTYEGKHTHLVPNNLGN >OIV97156 pep chromosome:LupAngTanjil_v1.0:LG15:5096734:5098814:-1 gene:TanjilG_28907 transcript:OIV97156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISSASSKLIYSLSPSSPSYPSNSPSSFLTTNPKLTPLSSSLKPSTILHLSPSTATTRHRSFTIRAARGKFERKKPHVNIGTIGHVDHGKTTLTAALTMALAANGNSTPKKYDEIDAAPEERARGITINTATVEYETENRHYAHVDCPGHADYVKNMITGAAQMDGAILVVSGADGPMPQTKEHILLAKQVGVPNMVVFLNKQDQVDDEELLELVELEVRDLLSSYEFPGDDIPIVSGSALLALEALMANPAIKRGDNQWVDKIYELMDNVDSYIPIPVRQTDLPFLLAIEDVFSITGRGTVATGRVERGTIKVGDTVDLVGLRETRSTTVTGVEMFQKILDDAMAGDNVGLLLRGIQKIDIQRGMVLAKPGTITPHTKFSAIVYVLKKEEGGRHSPFFAGYRPQFYMRTTDVTGRVASIMNDKDEESKMVMPGDRVKMVVELIMPVACEQGMRFAIREGGKTVGAGVIQSILE >OIV96466 pep chromosome:LupAngTanjil_v1.0:LG15:19541813:19542605:1 gene:TanjilG_07858 transcript:OIV96466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPQQRYRGVRQRHWGSWVSEIRHPILKTRIWLGTFETAEDAARAYDEAARLMCGTRARTNFPYNPNAPQSSSSKVLSATLTAKLHRCYMASLQMTMASPLPEPRSVTASPNNVISTSNLLPMKGSETDAMSLPSKREQEEQETEGNWVYKKVKVESSQQFKPLEEDHIEQMIQELLHYGSIELCSVFPSQAV >OIV97466 pep chromosome:LupAngTanjil_v1.0:LG15:97895:99446:1 gene:TanjilG_10990 transcript:OIV97466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLVEKTTSGREYKVKDMSQADFGRLEIELAEVEMPGLMASRTEFGPSQPFKGAKITGSLHMTIQTAVLIETLTSLGAEVRWCSCNIFSTQDHAAAAIARDSAAVFAWKGETLQEYWWCTERALDWGPGGGPDLIVDDGGDTTLLIHEGVKAEDIFEKTGQFPDPNSTDNAEFKIVLSIIKDGLKTDPKRYHKMKDRVVGVSEETTTGVKRLYQMQASGTLLFPAINVNDSVTKSKFDNLYGCRHSLPDGLMRATDVMIAGKVAVVAGYGDVGKGCAAALKQAGARVIVTEIDPICALQATMEGLQVLTLEDVVSEVDIFVTTTGNKDIIMLHHMKKMKNNAIVCNIGHFDNEIDMLGLETHPGIKRITIKPQTDRWVFPETNTGIIILAEGRLMNLGCATGHPSFVMSCSFTNQVIAQLELWNEKTSGKYEKKVYVLPKHLDEKVAALHLGKLGARLTKLSKDQADYISVPVQGPYKPAHYRY >OIV97509 pep chromosome:LupAngTanjil_v1.0:LG15:375126:378376:-1 gene:TanjilG_11033 transcript:OIV97509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFMAAPSSSFLSGDSLRALYNMGSSRTLNHRRGSRLIVRADADYYSVLGVSKNSSKSEIKSAYRKLARNYHPDVNKEPGAEQKFKDISNAYEVLSDDEKRSIYDTYGEAGLKGSAGMGGMGDFNNPFDLFETLFEGMNGGGRSSRGSRNGAVEGEDEYYSLVLNFKEAVFGVEKEIEIRRLESCGTCNGTGAKPGTKSSRCSTCAGQGRVVSQTRTPLGIFQQTMTCSSCNGTGETSTPCNICSGDGRVRRTKRISLKVPAGVDSGSRLRVRNEGNTGRRGGSPGDLFVILEVIPDPVLKRDDTNILSTSKVSYIDAILGTTIKVPTVDGMVDLKIPAGTQPGSTLVMARKGVPRLNKSNMRGDQLVRVQVEIPKRLSSDERKLIEELADLSKGKTATSR >OIV96409 pep chromosome:LupAngTanjil_v1.0:LG15:19944828:19946370:-1 gene:TanjilG_09836 transcript:OIV96409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSVNEMKGISVKEESETVTVTVAPGSSSSSSSNLSPQPMEGLHEMGPPPFLTKTFDVVEDPSTDSIVSWSINRNSFVVWDSHKFSTSILPRYFKHNNFSSFVRQLNTYGFRKVDPDRWEFANEGFLAGQRHLLKTIKRRRNVTQSQAQGMMQGSDVCVELGEYGLEDELNRLKRDRTVLMTEIVKLRQQQQNSREQLIAMEARLQSTEKKQQQMMAFLAKAMSNQSFIQQLARRNVQNKLQGGEFSRKRRLTARPSMVNLQQQHSVPIETVEYATQQEDDLATIESEMESFFSAGYYDNESSTEIKEPIMNHAATGGSDLGSVSDAIWEDLLNEDLINGNHGDEVLIGDFSQIDVPVEDLVAQPDDWTEDLQNLVDHIGSLGSKP >OIV97312 pep chromosome:LupAngTanjil_v1.0:LG15:2391249:2391734:1 gene:TanjilG_07064 transcript:OIV97312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFQRSSTMTLNLMFLLCLVAIINNHVTLTSARELKNPSSEENKEITSNESGENQAYPTKNNNKTNEEKEEIVSEKSVGIDEAEDPNKNKKNNNKAMIGKGLTDEKQTFPFPFPWPWPMQPPLGGFPFPSPFDIPMIPPFPFPPFSFPPLDIPGIVPSPPA >OIV97298 pep chromosome:LupAngTanjil_v1.0:LG15:2453292:2454504:-1 gene:TanjilG_07050 transcript:OIV97298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTNVEKVELGFNVDSDTKPKKDWVLLSLRVIAFLATASATIVMATNKQTKNLIVATIGSTPIRATLTAKFNQSPAFVYFVIANASASLHNLVMISVDILGPLYDYKGLRLAMIAILDMLAMALASSGDGAATFISELGKNGNKDARWNKICDKFENYCSQGGGALIASFIGFILLLIITVISITKLINPNRINHASS >OIV96849 pep chromosome:LupAngTanjil_v1.0:LG15:15259191:15262286:1 gene:TanjilG_08710 transcript:OIV96849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSFSGDEECPFFDAVEDVVSIADTKLDNDSVFTPREGKSNGFDYEFWIQSPRSVKERRSRFMKRIGLSVEGKGVRDSVDLCGGGGVDVEEVNDRLNDSSSAVDRSCGFEEEFCSSRSSLSCWSTMNSSEEFGLVKNLPCNVDQEGQDRKMCDCSRYVDSGDSDRLVVAEEPEVSENTFQRLSSRGFEETDADVNALSKRMNRSRRGWFRRLRSIMCIMDTQGESENQGQGDSCRFLGCKLQKVKVRQCKKQMKELSALYMKQDIQAHEGSILAMKFSPDGQYLASAGEDGVVRVWQVVVEDRCNEIDIPEIDPSCIYFTVNNLSELTPLFMEKEKNSKVEGLKKTSDSACIIFPPKIFRLLEKPLHEFHGHKGEVLDLSWSKNNYLLSSSVDKTVRLWHVKHENCLKVFSHSNYVTCIQFNPLDDNYFISGCIDGKVRIWAIPDCHVVDWVDIRDIVTAVCFRPDGQFLPQDSNKVMVTSSGSQVRILDGLNVTGKYKSLSAASAMSASFTSDGKHILSACEDSNVYLWNVSQDESSPTKAKKITSCERFFSNASVAVPWQGLKSQDIESVHQLDALDKRSTPQGMQFNPPASFSLDQKFFLESLPKGSATWPEEKLPVSSPKAKTCAMRKSEYKFLKSSCKSTSCSHAWGMVIVSAGWDGRIKSFHNHGLPIPV >OIV96515 pep chromosome:LupAngTanjil_v1.0:LG15:19206173:19211573:-1 gene:TanjilG_07907 transcript:OIV96515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDRKGKSQGAAIPKKSKSSDLKSLYESKVSKETSQKNLKRKSISPSGVDEKGHQRKKVKKELSLSSFENADSSTKKIDDEECHKESGSKSEARQRSSSCTEINRTSLIPDENVFRIPKRKRDFVRRKKCEVVQALTLAGHPSLKNVNGHGDHRLKLSSDHLEKGIESSKSKKKKDFAKFKESRSSKSNSVQHFRGNVDRASHSLVNSGDASLKRSQKKDKKGKASIPDRVRVANEAEPLVNGGKTNHLREDDDVNLEENAAMMLSSRFDPNCTGFSSSSKASTLPSASGLHLPISSNRNIISRGSKSLLDSESASVDAANRALRPRNQLKEKGSSRKRRHFYEILLSDLDPYWILDRRIKIYWPLDQSWYLGLVNDYDEEKKAHHIKYDDREEEWISLHTEKFKLLLLPSEVPGKSGRKRAVMKSRSSDQLKGNKARKDRQAREVPTENESCSESSMDSEPIISWLARSSHQVKSPPLHDAKKRKSTVIVPNTASSLLYDEPSNGQGCLAKSSPVEGKSNLSSGSATADKLADHFGKRIMSKLDKPPIVYFRKRFRKPTPRFTHISEENHVDVGVSCSISFNPVGGGDMDLREPDGRRDEIEGPLCFTYNAGVSKVFLDLGSSVLKFDLLYPTCLVLNDSFRSDVLWLLRAVLLRQYGTLNIMWPRVHLEMLFVDNEVGLRFLIFEGCLMMATSLVFWVLGVFHHPAAQGKYIDLQLPVTSMRFTFSGVHVVKKPIVFAHYNFSRMNSSFWIYLDSKLKRHCLLSKQLHLSECTYDNIRALQNESHEYPITSIRGEPSLVKVMQKRTRPGIKIMGVSKELCQVDTTQSDGGKRKIPPFSLSFAAAPTFFLSLHLKLLMEQAVTHISYCDRALADDQEDSDLMMDDCYSTDDCSNRNVEFNSKKDMVILSKDAMCGGLPCAGSDLLIGPANCGGQILSQNADVHDAQHSSDFSCDISGGVIPNPNPTAPKSSWHHNKNSSSPLGFQSHGWSEGKADPLHNGFRNGPKKPRTQVSYSVPFAKYDFGSRHGSHHQKGLPLKRIRKANDKKSLDVVGRPEKNLEFLSCDANVLITFSDKGWRETGAQVVLELFDHNEWKLSVKLGGITRYSYKAHQFLQTGSTNRYTHAMMWKGGKDWILEFSDRSQWALFKEMHEECYNRNIRAASVKNIPIPGVLLIEENDDNEPELTFLRSSKYFRQVETDVEMALNPLHVLYDMDSEDEQWMLTFQNSENDNRGLDGISEEMLEKMMDMFEKAAYAQQCDQFTHTEIEELMVDVGPSCVAKIIYEHWQQKRRKKGMALVRHFQPPLWQRYQQQLKEWEVGMTKNNVPISNGCLDKVEPLEKPPMFAFCLKPRGLEVVNKGSSKHRSQRRISVSGHTNNIFSEQDGFNTFGRRSNGFAIGDERFAFSGHNYDSLDESPLAQTSPRVFSPRDAGIMGYYSMSNNGKYHRSKSRKFGSYMYHNDSHMNSSSPNGHQRHVTEKLDGPDLEEFKLRDPSGAARHARNMAKFKRERAQKLLHRADIAVHKAVVALMTAEAIKASSEDTNGDG >OIV97068 pep chromosome:LupAngTanjil_v1.0:LG15:10384974:10386234:-1 gene:TanjilG_14613 transcript:OIV97068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPQPEPVSYICGDCGMENTLKPGDVIQCRECGYRILYKKRTRRIVQYEAR >OIV96733 pep chromosome:LupAngTanjil_v1.0:LG15:17832132:17833900:1 gene:TanjilG_09275 transcript:OIV96733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATASPREEYVYLAKLAEQAERYEEMVEFMEKVSAAADKEELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEESRGNEDHVSTIRDYRSKIESELSNICDGILKLLDSRLIPSASSGDSKVFYLKMKGDYHRYLAEFKTGAERKEAAESTLAAYKSAQDIANTELPPTHPIRLGLALNFSVFYYEILNSPDRACNLAKQAFDEAIAELDTLGEESYKDSTLIMQLLRDNLTLWTSDMQDDGTDEIKDAAPKPDEQQ >OIV96985 pep chromosome:LupAngTanjil_v1.0:LG15:12521899:12522573:1 gene:TanjilG_31876 transcript:OIV96985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPSTSFTSHPLLENFNSRRLLQTSLKPLNSVNPPTSTNIHNSTESSNFDANVVMVLSVLLCALICSLGLNTIIRCALKCSNLVANDLSTTNTYTPTVVANTGIKKKALKTFTTVTYSAELNLPSLDSECVICLSEFINGDKVRILPKCNHGFHVRCIDKWLSSHSSCPKCRQCLIETCEKIIGCTTRQETSSIQQPMLLVPETIVTIAPLEPEGLVLNYREVS >OIV96435 pep chromosome:LupAngTanjil_v1.0:LG15:19814702:19817842:1 gene:TanjilG_09862 transcript:OIV96435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTSLYSGFLRRCFRAAGLSSQSIDLDDKTTLHYWGPTEISKTQKPSLVLIHGFGPMAIWQWRQQVQFFSTHFNAVCVVKLMEKLEVKKFDVVGTSYGGFVAYHLATMLGEERVEKVVIASSGVNMRKSDNVALLERAEMDKIEDLMLPSTPQHLRKLMALSVSSMRFYIPDFFLKDFLNKLYSENRKEKMELLHGLSLGRDDTSIITPLQQEVLIIWGENDQIFPVHKAHELKEVISNNKVKLELIKNASHVPQIEKPAEFNNIILNFLHRTT >OIV96657 pep chromosome:LupAngTanjil_v1.0:LG15:17023242:17027590:-1 gene:TanjilG_09199 transcript:OIV96657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTIPNASFTVKKSSFLDGNGYVSVSPLPTNLKFICSSNSRPSPHLFAVRATNSENGSGPLHKLGLSDAQCEAAVVAGNVPHAPPVPPTPAAPIGTPVVPSLLLPRRPRRNRRSPVLREAFQETSLSPANFVYPLFIHEGEEDTPIGAMPGCYRLGWRHGLVEEVAKARDVGVNSVVLFPKVPDALKSPTGDEAYNENGLVPRTIRLLKDKYPDLVIYTDVALDPYSSDGHDGIVREDGVIMNDETVHQLCKQAVAQARAGADVISPSDMMDGRVGAIRAALDAEGFQHVSIMSYTAKYASSFYGPFREALESNPRFGDKKTYQMNPANYREALTELREDESEGADILLVKPGLPYLDIIRLLRDNSPLPVAAYQVSGEYSMIKAGGALKMIDEEKVMMESLLCLRRAGADIILTYFALQAARSLCGEKR >OIV96374 pep chromosome:LupAngTanjil_v1.0:LG15:20160603:20162381:1 gene:TanjilG_09801 transcript:OIV96374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METRAAKRKAIAATVSDIHDQNVKKQRVVLAEISNLPSFDEEPRCVKNPRIKRVAAKKNLSSFDFDFEDENNNKTVGNSSNAKSNTNAKFSDPNNCEPFVEDIYSYLCRMEREGKRRPMFNYIDKVQHDVTPHMRGILVDWFLSQNRVSKPKLQLLGVSSMLIAAKYEEITPPHVEDFVLITDNTYDKAEVVKMEADILKSLSFEMGNPTVKTFLRRFVGIGCEDNKAKKLQFECLCNYLAELSLLEYCCLKFLPSLVAASATFLARYIMWPKLHPWTSTLCKSTGYDVAELKECVLILHDLYLARRGGSFSAIREKYKQHKLKKVALLPSPPQVPNSIFEEE >OIV97405 pep chromosome:LupAngTanjil_v1.0:LG15:953793:957769:1 gene:TanjilG_16166 transcript:OIV97405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSGVCTLQQTLTAEAASILKHSLVLARRRGHAQVTPLHVAATLLSLRASSPFKRACLKSQPQFQTSSHPLHCKALELCFNVALNRLPTTQGPSFLQNQPSLSNALIAALKRAQAHHRRGENQKKQHFLGSYTNSHEYSTPLMFPITHEEDMKVVLDILLGKNKKKNSVIVGDSLSLNEGLVDEIMRKFERREVPNELKTTNFIKFQFSSLSFMNKDEVKMNLSSLKKKVDSVISSGRGAIFYVGDLKWIVEGISYESVDVVVEEIGKLFSELRDSNTSKVCVMGTASYQTYMRCQMRQPPLETHWNLQVVPVPSGGLGLTLHAPSVYDSKMTNSHNPSQILETKLFSIKEEHDKLNCCEECDSNYEKEAVLFKPSHKKLLPSWLQSHSTEVHHKDEITQLKRKWNGLGHCLHQRKQPQSHWSNSLYENHSSNAKIYPYNSSYPWWPSQTSVFTDSSSISFADSAAARPAYSSNLVPHFKHQQSCTIEFSFINDVTDEKKEETTMLDSVKGIVEDKEVKVTLALGNSTYSDGSREKVESISDNTRLVQQAHICKMLQENVPWHSEKVSSISEALVLGCSKSAMQKSATWLFMHGNDYVGKTRLARAIAESFFGSVNDDKFLHLDMMIKTCDDLGTETQFSEILNGALKTHEQLVILIENVDSADAHFKKFLADEFETLKLGNLCNNEENSCNAIFILSNDGCTKRTYNEKHNKDFVMKLVLQVSETKSSLEPSSSPCLSHKRNLSQLDFFTKIKKARIEEKEQEGVLVCETKKEFSTQSSFNTLDLNIKAHDNEEEEEEDYEKSSSNSSDSTREIIADNLNSNGFVNSIKNRFELNQSPSRERKMKDMFLFKIKGSFEEVYGVMNFSVENKVIEEIGVSCGSFTNSMFEKWLKDIFQTSLRIVNFGGKEKENEGIVFTLCWGGKGDKKCHSGFMSSCLPKNIQVNYFMD >OIV96588 pep chromosome:LupAngTanjil_v1.0:LG15:18154246:18156488:-1 gene:TanjilG_28445 transcript:OIV96588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPFSENLNKDQYVYLAKLAEQAERYEEMVHFMQKLVTSSTPSSELTVEERNLLSVAYKNVIGSLRAAWRIVSSIEQKEEGRKNDDHVALVKDYRSNVENELSNVCGSILNLLDANLIPSATATESKVFYLKMKGDYHRYLAEFKIADEKKSAAEQTMLSYKAAQDIAQADLAPTHPIRLGLALNYSVFYYEILNQSDKACAMAKQAFEEAIAELDTLGEESYKDSTLIMQLLRDNLTLWTSDVQDQLDEP >OIV97491 pep chromosome:LupAngTanjil_v1.0:LG15:252078:254374:1 gene:TanjilG_11015 transcript:OIV97491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMMPYQLSRLPYQDTLKLLEADIQHANALAAAIPRGKGGTLLQMKLVYNQLAPLFLLLLQWMDCSCAGFLIHRYLNLFHILIYKVHNDGRSIMSTHGRKATVEDFYAVILPSLERLHGSLGKLEISEEEHSRIEEGSSRCKKMIEGDGKLNNVDFQRDDECGICLEPCTKMVLPNCCHDMCIRCYRKWNTRSQSCPFCRGSLRRVNSEDLWVLTCDEDIVDAETVSKEDLWRFYLYISKLPKDHPDARFLTYYEYLI >OIV97102 pep chromosome:LupAngTanjil_v1.0:LG15:8920158:8921205:-1 gene:TanjilG_10048 transcript:OIV97102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKDGKSAGKGKGKAGGSDETASKGKGKGAKGGDGLGTCTYVKARHILCEKQGKINEAYKKLQDGWLSNGDKVPAAEFAKVAQEYSECPSGKKGGDLGWFPRGKMAGPFQEVAFNTPIGVTSAPFKSTHGYHIILSEGRKN >OIV96745 pep chromosome:LupAngTanjil_v1.0:LG15:16809926:16810204:1 gene:TanjilG_11741 transcript:OIV96745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYMNRVWMAATVAVAQSHADPGHKCKTALKSINQNKARLFSAGGLSDLRPLSGVMGSDVAGAVAENGVDRRSEQADDSLRKVMYLSCWGQG >OIV97200 pep chromosome:LupAngTanjil_v1.0:LG15:7182013:7183227:-1 gene:TanjilG_28951 transcript:OIV97200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETSASICIDIPNLLSSFVDTFVDFSVSGLFLLPQPPPPPPSAVAPEFPNSHSRPLPPLPTHLPSPPRLIAIGDLHGDLEKSKQALRLAGLIDASDRYTGGSATVVQVGDVLDRGGDELKILYFLEKLKREAERSGGRIITMNGNHEIMNIEGDFRFITKTALDEFRVWGEWFTVGNKMKSLCHGLITPKDPFEGVPKSFRGVRKELFDGFRARVAALRPNGPISRRFLSQNVTVLVVGDSIFVHGGLLPHHVSYGLEKINEEVRNWINGSTGRFSPAYCRGRDALVWLRKFSDEVAKNCDCSTLEHVLSTIPGVKRMVMGHTIQAVGINGVCENRAIRIDVGMSKGCGDGLPEVLEISGNSGLRILTSNPLYQNKGNADVGKEEGFGLLLPEHGPKQVEVKA >OIV97142 pep chromosome:LupAngTanjil_v1.0:LG15:7642772:7644523:-1 gene:TanjilG_00171 transcript:OIV97142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPAPSSAPCLRILHTNRTFSSAFRYQRPFPTTTKKPLTVVAMAPIKKVDKYDQNWKKEWFGAGIFFEGSEEVEVDVFKKLEKRKVLSNIEKAGLLSKAEGLGLTLSSIEKLGVFSKAEDLGLLSLLETAVSFSPSVLASAALPVLVAALATIILIPDDSAGLVAVQGVVAAALGVGGVGLLVGSVVIGQLQESD >OIV97510 pep chromosome:LupAngTanjil_v1.0:LG15:381108:386309:-1 gene:TanjilG_11034 transcript:OIV97510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAAGSKLEKALGDQFPEGERYFGLENFGNTCYCNSVLQALYFCVPFREQLLDYYGNNKSLTDAEENLLTCLADLFSQISSQKKKTGVIAPKRFVQRLKKQNELFRSYMHQDAHEFLNFLLNELVDILEKEDQAAKNDEETSPPSEKVANGPKNGLSNGAKKEPLVTWVHKNFQGILTNETRCLQCETVTARDETFFDLSLDIEQNSSITSCLKNFSSTETLNAEDKFFCDKCCSLQEAQKRMKIKKPPHILVIHLKRFKYIEQLGRYKKLSYRVVFPLELKLSDTVEEADIEYSLFAVVVHVGSGPNHGHYVSLVKSHNHWLFFDDENVEMIDESAVQTFFGSSQEYSSNTDHGYILFYESIANRN >OIV96853 pep chromosome:LupAngTanjil_v1.0:LG15:15147546:15164969:-1 gene:TanjilG_08714 transcript:OIV96853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GYVMRFLFCEGVSRLKEKWSEYNQPKRLKRLASLFVSHTAKHVAVAVENQITILSKEDDYQEPCGVFTNCSPSTFSVGAWSEDDDILGVADDSDTLYFIKFNGEVVTKITKKQLKFSSLIVGLFSDNNLDRQKSYSFTIITSDGSLQQIELDYGQSGSTFPKCISNHKSSLCNNIFYSDWHHELNLFVAVHKNSGSCHLSVWHNNSSTELEQLSSLQLEGLYSKPKGYKGQLTHPKVLISPQGTFVSTLDLTGRLNIFKLDKKGFTLSWFVLGDRNDSPMSDNLSNGGRKYFVDCMDFTWWCDHILVIVDRNGVVMLIDILNGSKLQEEDPAFFLPVLERARNYKGCLFLLASPSSLEGYNNTSDFGTTDDFDKKEWVTEDRFNQFHFSRLLWCLISFAEKSIPEMYGILISKKRYQAASDFADSHGLDKDEVLKSQWLNSSQGISEVNMFLSNIRDRDFVLSECVDRIGPTEDAVKALLAYGLLITDHHRFSEVDDDNSSQVWDVRLTRLQILQFRDRLETYLGINMGRFSVQEYSKFRVMPINEAAVALAASGKIGALNLLFKRHPYSLSPFMLDILAAIPETVPVQTYGQLLPGRSPPSGVAVRHDDWVECKKMAHYINVSVKNLDIQIQAKTEPLVNYSHGYFWPSVDELSNWYKDRAKAMDDFSGQLDNSFSLLEFALRKGISELQQFHQDVLYLYQIIYSDAYDGEISFHMSLSNWGELSDYDKFKFMLKGVKEEDVTERLHNRAIPFMREKFHRVSLVEDVIFYDSVNQNMEESFLVRWLKETASENKLDICLVVIEEGCRNFQSDIYFITEVEAVDCALQCIYLSTVTDRWNIMAAILSKLPKLHDGAIEVENLERRLRVSEGHIEAGRLLALYQVPKPLNFFLGAQSDEKGVKQMIRLILSKFIRRQPSRSDSEWASLWRDMQYLREKAFPFLDLEYILIEFCRGLLKAGKFSLARNYLKGTSSVALASEKAENLVIQAAREYFFSASSLSCSEIWKAKECLNLYPSSGNVKKEADIIDALTVKLPNLGVNILPMQFRQIKDPMEIVKMAIISQAGAYFHVDEIIEVARLLGLRSADDISAVEEAIAREAAVSGDLQLAFDLCLVLARKGHGSVWDLCAAIARGPALENMDVYSRKQLLGFALSHCDEESIGELLHAWKDLDMQGQCETLMMSTGTNSSNFSAQGSSVNSLPGQSMQNMLDRNASTDNQDVHLEKTRDMLSIVAKTLAVGDRTDWAACLTENGKVLSFAALQLPWLLELSRKGEHDMKHSTGNKYLNTRTQAVVTILSWLARNEFAPRDNLIASLAQSIMEQPVTEEGDIMGCSYLLNLVDAFSGVEVIEEQLKIRKDYHEICSIMNVGLAYSLAHNSGIGADPAQRKELLQKRFKEKHASSSSDEIDKLGKVQSSFWREWKLKLEEQKRLTEHSRALEKIIPGVETERFLSGDSIYIKNVVISLIESIEFEKKHILKDILKLADTYDLSYTEVLLRYLGAVLVSDVWTNDDITVEIEGYKGEIIGNSARTTEIISTIVYPAIDGCNKLRLAYVYGLLSECYLQLENTKDLSPIIQSDHANTNIRFTHYYKVIEEECKNVSFINNLNFKNIAGLHGLNFECFQDEVYACIEESNLSALSKMVQALGNIYGDSLPEGFLSWQDVYKYYIQNSLRALVTKASIDSSIRTPEYLQGFISNLEQSYGLCRMYIKLLAQADALGIMKHYFTVIMPLYSSYGSLPDNSTWQDCLIILLNFWTRLTDDMKEIALQENSGETISFNPECLMSCLKVFMKLVMEDIISPSQGWGSIYGYVNFGLSGDSAVEIYNFCKAMIFSGCGFGAVAEVFSAASSEAGLASDCSMGSHNLPDFYLGILEAVLKELVTGSHENQNLYHILSSLSKLEGDLEVMQCVRQVIWDRMVQFSDNLQLPSSIRVYVLELMQFISGKSIKGFSTEIEANVQQWEEWNELLYANRMSERDVNQQLRDDHKDSSSRFTSTLVALKSSQLAASISPSIEITPDDLVNADMAVSCFLRLCGESSTDLHFDALLAILEEWDGLFSTKKDGETTAKPSDGENVWNSDDWDEGWESLEEVDNLEKEKKDDSVSVHPLHVCWTEIFRKLINQSRFNDVLRQIDQSSLKPNVLLLDEDDAQSLNQIALGINCFLALKMTLLLPYKTLQLQCLGAVEDNLKQDIPETRSTDNELLILILSSGIITSIITDSTFGTTFSYICYLIGNFSHQCQQALVPGTGINKSEDEENQLLLFRRILFPNFLSEFVKADQHVLAGFLVTKFMHTNEALSLINIAETSLNRYLEMQLHMLQVNEFPVDKTCKTLKNTVSSLRGKLSNLVQSTLSLLSATVR >OIV96825 pep chromosome:LupAngTanjil_v1.0:LG15:15544142:15553016:1 gene:TanjilG_08686 transcript:OIV96825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIKRNLKSQMPSVKRSKLSDSVGEKDECSYARKRRKKTSNDYYPLNLLGDIFTGEIPVSFHGLLTENGFSASLFTEVSCSPPCEVDSNSKGGGSGSGDGGSDAKKIRGGGEAQRPPLVRTSRGRVQVLPSRFNDSVIDNWRKDSKSSLRDCEFDDEFEPKRGKLGFKAPKNCNQNAKKLRKDEKIGYKPQKYSALCEEKARKDVGVRFRSFDERERFVEMEGVESMGNERVLKEIRERKDGLYGPEDFYAGDIVWAKARRREPFWPAIVIDPMSQAPELVLRSCVADAACVMFLGFAGNKNQRDYGWVKDGMIFPFMDNVDRFQEQSEFIYYNPSQLQVAIEEAFLADQGHTEKLIADINSAAANTDIDDTILKVLREDTGPNQYARYHFLDQDLFDKKDTRSCEACGLALPFKMSKKTKDSTPGGQFLCKTCARLTKSNHYCGICKKVWNHSDSGSWVRCDGCKVWVHAECDKISRSIFKNLEGTDYFCPTCKAKFDFELSDSEKLRSKAKCNKNSGQLVLPKKVTVLCNGIEGIYFPSLHLCQIAVHQECYGARNVKDFTSWVCKACETPHIKRECCLCPVKGGALKPTDIDTLWVHVTCAWFQPQVSFPSDEKMEPALGILSIPSNSFVKICVICKQIHGSCTQCCKCSTYFHTMCASRAGYRMEMHCSEKNGRQTTKWVSYCAYHRAPNPDNVLIVQTPNGIISTKSLLKNKKKGGSRLIASNKMKQDDTSPVDNTEDEPFSAARCRIFRRTNHTKKRAADEAIFHQVRGPHHHPLDAIQRLNKYRNVEEEPRSFSSFRERLRHLQKTEDERVCFGRSGIHGWGLFARRDIQEGEMVLEYRGEQVRGSIADLREARYRLEGKDCYLFKISEEVVVDATDKGNIARLINHSCMPNCYARIMCVGDDESRIVLIAKTKVSAGDELTYDYLFDPDEPDESKVPCLCKAPNCRKFMN >OIV97465 pep chromosome:LupAngTanjil_v1.0:LG15:91559:93767:-1 gene:TanjilG_10989 transcript:OIV97465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVSAWGNTPLASIDPEIHDLIEHEKHRQCRGIELIASENFTSFAVIEALGSALTNKYSEGMPGNRYYGGNEFIDQIENLCRSRALQAFHLDAQSWGVNVQPYSGSPANFAAYTAVLQPHDRIMGLDLPSGGHLTHGYYTSGGKKISATSIYFESLPYKVNSSTGYIDYDRLEEKALDFRPKLIICGGSAYPRDWDYAKIRAVADKCGALMLCDMAHISGLVAAQEANNPFEYCDIVTTTTHKSLRGPRAGMIFYRKGPKPPKKGQPENAVYDFEDKINFAVFPSLQGGPHNHQIGALAVALKQATTPGFKAYAKQVKANAVALGNFLISKGYSLVTGGTENHLVLWDLRPLGLTGNKVEKLCDLCNITVNKNAVFGDSSALAPGGVRIGTPAMTSRGLVEKDFEQIGEFLHRAVALTLEIQKEYGKLLKDFNKGLVNNKAIEGLKADVEKFSASFDMPGFLVSEMKYKD >OIV97345 pep chromosome:LupAngTanjil_v1.0:LG15:2014474:2016690:1 gene:TanjilG_07097 transcript:OIV97345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSEYSEFSGQKPVKSPEKTSFSHTCSLLSQYMKEKGSFGDLTIEMTCNKEQIDLVVVVVVAESVSFTESPETSCQSATTMNLFPTKENNIAPKNLTALDLLSPQAAFRPHLPAEEIPTLTNSSVIKPVSKGSKPAQLTIFYAGQVIAFDDVPADKANEIMSFASKGISQSQNYSVQTYTRSQPSFPHNLVRTSADSIAPNVNIIPSTRANSILQHPQPSSRPVVCDLPIARNASLHRFLEKRKDRIAAKAPYQVTNHIEATNKPIESKSWLGLGAKSSQSYEQL >OIV97295 pep chromosome:LupAngTanjil_v1.0:LG15:2479692:2498800:-1 gene:TanjilG_07047 transcript:OIV97295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSPTTHSSSSPSFSSFIHRTSSSSSSPLSKSNSDAIQSLSSILNNPHASDPNASWVTWWSSSAALAPPDFNPITAKPTSEITRSDFDSFLSPISEPYHRFQDIRNHETKEIDDAGQNGVVSGQGEALVACLREVPSLYFKEDFRLEDGGTFRAACPFSNVSENLALQEKLSHYLDVVELHLVKEISLRSSSFFEAQGQLQDLNAKIVEGCSRIRELKETIRLLDSDLVENARQIQELNGTRTNLLVLQQKLRLILYVNQAVSALKLLVASADCAGALDVTDDLQHLLDGDELTGLHCFRHLRDHVIGFIEAINSILSAEFVRASIHDAAETDAIILSKAKARASLPMNGKDDEVTLQEEDTANFKDRLLPTVLGLLRTAKLPSVLRIYRDTLTADMKSAIKTAVAELLPVLAARGSDLEFFSGDKAVDADGGGASLASKLRSLSSDCFVHLLSAIFMIVQAHLVRASEVKKAIEWILSNFDGHYAADSVFPTISHGSAVSEISQESEVHGTAFLPYSPQRSVAKGPTFQGKAIDATSSSNMSKNFRADVLRENAEAVFAACDSAHGRWAKLLGVRAVLHPRLKLQEFLTIYNISQEFITATEKIGGRLGYSIRGTLQSQAKAFIDFQHDSRMSKIKAVLDQETWVEIDVPDEFQAIINLLFSNDALTSENFNGSEDDNSTSYNVQPIAYTGQSNDEQNNSIEASISNAASDRSKPLDESMERNRAHSRIPSAQSNHTDTKDNKKSVSQALLYKGVGYHMVNCGLILLKMLSEYIDMNNLLPSLSSEVVHRVVEILKFFNTRTCQLVLGAGAMQVSGLKSITSKHLALASQVISFIHAIIPEIRQILFLKVPETRKMLLVAEIDRVAQDYKVHRDEIHTKLVQIMRERLLVHLRGLPQIVESWNRPEDAADQQPSQFARSLTKEVGYLQRVLSRTLNEEDVQAIFRQVVIIFHSQISEAFSRFDISTPQAQNRLYRDIKHILLCIRSLPSGDLSKSDTANWGQLDEFSVQRFGRDTVQ >OIV96311 pep chromosome:LupAngTanjil_v1.0:LG15:20548390:20551969:-1 gene:TanjilG_09738 transcript:OIV96311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSGDDHRPHQFKFELLNPHSHVQNNPIPIFSSLHLHPHLSPLTLNQKYEVSNFMPQTSTTEASPTLSRTVNLPPLQTEPVIGGKHQNKSKVSRKSGINKSNIESPNLAAVSNCRYDSSLGLLTKKFVSLIHEAKDATLDLNKSTEILQVQKRRIYDITNVLEGIGLIEKTSKNHIRWKAYDGYGQHDLDDQVTRLKAEVESLYAEEFNLDECIRYLFVTKEDILNLPCFQDQELIAIKAPQASFIEVPDPDEEFGFRQKQYKMTVRSATGPISLHLLRSKRKSNFEDSSIKQVQLIDQPWNSDHCRMRSVGLLEGQDDQQNAPQSYQSLSSEEFEIQEITPRDCEVEDDYWFQTDPGVSLTDLWGGSNF >OIV96380 pep chromosome:LupAngTanjil_v1.0:LG15:20126942:20129289:-1 gene:TanjilG_09807 transcript:OIV96380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFKGFGNDPGGSDNGAGGRPPAPGNYLSRQSSVYSLTFDEFMTTMGGSGKDFGSMNMDELLKNIWTAEEVQTTGSATAIQSGTGTLGGVGVAHLQKQGSLTLPRTLSQKTVDDVWKDISKDYGGSSGSAVPNLAQPEKQPTLGEMTLEEFLVRAGVVREDAQQFNAKQNDSVFGGLGMGMGYHQQLNKVNGLTSNNTNRIGGGGGVNNNDPNSMVARLQSPSTNLPLSVNGVRSSNQQQQQMQQNSHSQQHQQQIFPMGFATQMPLASTQGMRGGIVGLSAEQGINGGGNLVQGMVGLQPGPVHVPIGSPATSDKIGKSNGDTSSVSPVPYVFNGGLRGRKNGGAVEKVIERRQRRMIKNRESAARSRARKQAYTMELEAEIAKLKEENQELQKKQEEIMEIQKNQVS >OIV97498 pep chromosome:LupAngTanjil_v1.0:LG15:299255:302363:-1 gene:TanjilG_11022 transcript:OIV97498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGKSSRESDSGSSVRRHVPSTSWDHNNYGYDGGYPSHQNPYQTPQNHHASAPVLDYGYQQPKRMLDKRYSRIADNYHSLDEVTAALANAGLESSNLIVGIDFTKSNEWTGKLSFNRKSLHHIGGGYNPYEQAISIIGKTLSVFDEDNLIPCFGFGDASTHDSDVFSFHSDERFCNGFEEVLTRYREIVPQLKLAGPTSFAPIIEMAVTIVEQSGGQYHVLLIIADGQVTRSIDTQHGHLSPQEKKTIDAIVKASEYPLSIVLVGVGDGPWDMMKEFDDNIPLRAFDNFQFVNFTEIMSKNVDATRREAEFALGALMEIPSQYKATIDHGILGTRRGHSPDRVALPPPLYGIGRNSSSNTGRSFRSNSFQQSAPMDTSRGHTEPSPSSLYDNRVCPICLTNGKDMAFGCGHQTCCECGEDLELCPICRSTIKTRIKLF >OIV97041 pep chromosome:LupAngTanjil_v1.0:LG15:11133279:11143419:-1 gene:TanjilG_11558 transcript:OIV97041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGATGWYRGRVKAVPSGDYLVIVAIASSKPGPLPEKTITLSSLVAPRLARRGGVDEPFAWESREFLRKLCIGKEVTFKVDYNVPSINRDFGTVFVGDKNVALLVVSQGWAKVREQGQQKGEASPYLAELLRLEEQAKQEGLGRWNKDPGASEASIRNLPPSAIGDSSNFDAMGLLAANKGAPMEAIVEQVRDGSTIRVYLLPEFQFVQVFVAGIQSPSMGRRAVVESVVESEVTADDTNGDAPGKPQGPLTSAQKLAASASAETTVADPFALDAKFFTELRVLNRDVRIVLEGVDKFNNLIGSVYYPDGESAKDLALELVENGLARYIEWSANMMEEEAKRRLKTAELQAKKTRLRVWTNYVPPPSNSKAIHDQNFTGKVVEVVSGDCIIVADDSIPFGSPLAERRVNLSSIRSPKIGNPRRDEKPAPYAREAKEFLRTRLIGRQVNVQLEYSRKIAPTDGSAVPSGAADSRVMDFGSVFVLSPAKGDGDGDDAPSSAPPAGAQHTGVNVGELVVSRGLGTVIRHRDFEERSNYYDALLAAESRAISGRKLIHSGKDSPASHITDLTTASAKKAKDFLPSLHRIRKTSAVVEYVLSGHRFKLFIPKETCSFAFSLSGVRCPGRGEPYSEEAIALMRRKIMQRDVEIEVETVDRNGTFLGSLWESKTNVAITLLEAGLAKLQISFSNDRIPDLHLLQKAEQSAKKQKLKIWENFVEGEEVSNGAAVENKQKEVLKVIISEVLGGGKFYVQTIGDQKIVSIQNQLASLNLKEAPVIGAFNPKKGDIVLCHFLADNSWYRAMIVNTPRGPVESPKDKFEVFYIDYGNQEEVAYSQLRPLDPTVSAAPGLAQLCSLAYIKLPNLEEDYGQEAAEYLSEITLNSGKEFGAKVEERDTTGGKVKGQGTGTVLAVTLVAVDSDISVNALILQEGLARIEKRNRWDKRERKLALDNLENFQEEAKTTRRGIWQYGDIQSDDEDTAPPARKARLKGLFFSIDIVNTPRGPVESPKDKFEVFYIDYGNQEEVAYSQLRPLDPTVSAAPGLAQLCSLAYIKLPNLEEDFGQEAAEYLSDLTLNSGKEFKAMVEDKDTSLGKVKGQGTGTVFAVTLVAADSEISVNALMLQEGLARTEKRNRWDKRERQLALDNLENFQEEAKTSRRGIWQYGDIQSDDEDTAPPARKAGGRK >OIV96820 pep chromosome:LupAngTanjil_v1.0:LG15:15626684:15640538:1 gene:TanjilG_08681 transcript:OIV96820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFSRSILTCSSLIGGCTLPSTTTNKKPHLNAISRVNVAKKFYGTRLRSMKPPGSERLHMWQSEGPGRSPKLRVVVRSSMTAVPKKSLGLYDPAMDKDSCGVGFVAELSGESNRKTVTDALEMLVRMTHRGGCGCEANTGDGAGILVALPHNFYNQVVDFELPPPGKYAVGMLFLPTSSSRREESKKAFEKVAKSLGHSILGWRSVPTDNSGLGKSAVQTEPVIEQVFLTPSTQAKVDLERQMYILRKLSMVAITSALNLHNDSITDFYICSLSSRTVVYKGQLTPAQLKDYYFADLGNERFTSYMALVHSRFSTNTFPSWDRAQPMRVLGHNGEINTLRGNVNWTKAREGLLKCNELGLSENDLKKLLPIVNANSSDSGAFDAVLEFLIQSGKSLPEAVMMMIPEAWQNDKNMDPQRKAFYEYFSALMEPWDGPALISFTDGHYLGATLDRNGLRPGRFYVTHSGRVIMASEVGVVDIPPEDVSRKGRLNPGMMLLVDFEKHIVVNDDALKEQYSLARPYGEWLRKQKIELKDIVDSVHESERVPPSIAGVVPASSDDVDMENMGVHGLLAPLKAFGYTVESLEMLLLPMAKDATEALGSMGNDTPLAVMSNREKLTFEYFKQMFAQVTNPPIDPIREKIVTSMQCMVGPEGDLTETTEEQCHRLSLEGPLLSIEEMEAIKKMNYRGWQSKVIDITYSKDCGKKGLEEALDRISAEAHDAINDGYKILVLSDRAFSRKRVAVSSLLAVGAVHQHLVKKLERTRVALMVESAEAREVHHFSTLVGFGADAVCPYLAVEAILRLQVDGKIPQKAGGELCSKDELVKQYFQASNYGMMKVLAKMGISTLASYKGAQIFEALGLSSEVIEKCFAGTPSRVEGATFEMLARDALQLHELAFPSRVFSPGSAEAVALPNPGDYHWRKGGEVHLNDPLAISKLQEAARTNSIDAYKQFSKLIHELNKACNLRGLLKFKKASVEIPLDEVESASEIVKRFCTGAMSYGSISLEAHTTLATAMNKLGGKSNTGEGGEQPSRMEPLPDGSRNPKRSAIKQIASGRFGVSSYYLTNADELQIKMAQGAKPGEGGELPGHKVIGDIAVTRNSTPGVGLISPPPHHDIYSIEDLAQLIHDLKSANPAARISVKLVSEAGVGVIASGVVKGHADHVLISGHDGGTGASRWTGIKSAGLPWELGLAETHQTLVANDLRGRTVLQTDGQLKTGRDVAIAALLGAEEYGFSTAPLMTLGCIMMRKCHKNTCPVGIATQDPVLREKFAGEPEHVINFFFMVAEEMREIMSQLGFRTVNEMVGRSDMLELDREVIKSNEKLENINLSLLLKPAAELRPEAAQYCVQKQDHGLDMRLDNKLISLSNAALEKGLPVYIESPIRNVDRSVGTMLSHEVTKKYHLNGLPTDTIHVRFTGSAGQSFGSFLCPGITLELEGDGNDYVGKGLSGGKIVVYPPKGSTFDPKNNIVIGNVALYGATKGEAYFNGMAAERFSVRNSGAKAVVEGVGDHGCEYMTGGTVVVLGITGRNFAAGMSGGVAYVLDIDGKFHSRCNHELVDLDKVEEEEDVVTLKVLLQQHQRHTNSLFAKEVLDDFNNLVPKFIKVIPREYKHVLASMKLKEASNDAVEPAAKDEAELVGKDAFEELKKLAGSSLNGKPSQAESSKRPSQVIDAVKHRGFVAYEREGVQYRDANVRMADWNEVMEETKPGPLLKTQSARCMDCGTPFCHQENSGCPLGNKIPEFNELVYQNRWREALDRLLETNNFPEFTGRVCPAPCEGSCVLGIIENPVSIKNIECAIIDKAFEEGWMVPRPPAKRTGKKVAIVGSGPAGLAAADQLNKIGHIVSVYERADRIGGLMMYGVPNMKADKVDTVQRRVNLMAAEGVNFVVNANVGHDPLYSLDRLRAENDAIILAVGATKPRDLPVPGRELSGIHFAMELLHANTKSLLDSNLQDGNYISAKGKKVVVIGGGDTGTDCIGTSIRHGCSSIVNLELLPQPPQTRAPGNPWPQWPRVFRVDYGHQEATAKFGKDPRSYEVLTKRFIGDENGAMKGLEVIHVRWEKDETGKFQFKEIEGSEEIIEADLVLLAMGFLGPEPTIAEKLGMERDNRSNFKADYGRFSTTVKGVFAAGDCRRGQSLVVWAISEGRQAAAQVDSYLVKEDSDHNVSGKRQYQEAAGAH >OIV97449 pep chromosome:LupAngTanjil_v1.0:LG15:589156:589635:1 gene:TanjilG_16210 transcript:OIV97449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAFQSWVSEHKLSSIGALWATGIGASLVAFSRSKAPMKPSLRLIHARMHAQALTLAVLSGAAVYHYYENRDIEPKPVPNIPSSNATHMVEWDLHNPF >OIV97282 pep chromosome:LupAngTanjil_v1.0:LG15:2668489:2669893:1 gene:TanjilG_07034 transcript:OIV97282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPGAKKRKAAKKKKQKETKTNPQGNDKLKSHGDEKGRDGGEGSSPSYSDHDDDHQHPFTEGNGDVEENEAFPAQPSAADAKSVEESPSDVNIDEAVQGKQGGDGVVRDLKSEESSERENVSDAHLQSAKESDYSNGNTSIGLNDETVTENAKDGSNDLVKEAVTFDELVKSIDYSHTKMTSITENPPVVETGNSVLESHVDPVKAVASISEGKCSDTGSALPVKSVASQVGPIDLSMKKNGNEVHPSSDIVDRVNDYDTPECSEKQPLITSAPRAVRKTSWLNCCGLFDVLTGSDR >OIV97181 pep chromosome:LupAngTanjil_v1.0:LG15:6186918:6188078:1 gene:TanjilG_28932 transcript:OIV97181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQFKSSAMSTHTDSSPIPSITTLPLNLHFNLHHVLPLLLLLFILIQFPPPATAQLPNTLTPPPPDNTISNVQFNKSMVMALVILVVVFVLLGFVSVYTRQCTERRMRGRHDLSIPIIGSNHRPRGLDREIIETFPTFIYSTVKSLKIGMATLECAVCLNEFQDDEKLRLIPVCNHVFHAECIDAWLVNHSTCPVCRANLVSTPSEVVPFMTIQLPDQTDPEPDPVHVDEFSGRQGTVMKESPKLSNNNSVNQNRPRRSRSTGFRFTNLLPRSHSLVQRGENLERFTLRLPEEVRNQLVTSTLSRTKSLGVAFTPESSERRGYRTRSVGSGCGRNNLERLDQSDRRMFRWMSRAGSNISKKVTEFNKDDVGERSSDRLFSGKENDM >OIV96270 pep chromosome:LupAngTanjil_v1.0:LG15:20816126:20823564:-1 gene:TanjilG_05110 transcript:OIV96270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSMQTDGGLAELEKNDNKNDNEIDSAYALQQYLDHIPISSIPAIQSSQVLELKAGDSLRDAIHMLYQKDIFSAAILDVSHSNTTPPTMTFTTSYIAIIDFSTMVLWCLQEYEKILENSMENSPNDGVPKVKDIESHGFFSILDRFPQIGQTKVGDLAKSFLWEPFFPVRLDDTILHALLLLSKHRLCLLPVTQQPGPGLVGFVTQNAVVQFLLQSSGLEWFDSVADKNLSYLSFENRKHPSCVSRGQSVADALKVLWQNQTCAVAVLDEQTKKLIGNVRNSDVYHLVNNDNLLRNRMILTVEEFIHTEIDNIGSEDEHGGFVAARSLSLKSSADNNNLNDMLNPTSVVSFWFLLFLSLFHLTLEQIEPLSSPTDREALLQLRGSLGLRGKEWPIKPDPCLIWVGITCRNGNVVGINISGFRRTRLGRRNPQFAVDALSNFTSLQSFNASNFMLPGPIPDWFGLRLPLLRVLDLRSCSIIDAIPSSLGNLTNLTTLFLSHNKLTGTVPDSIGQLSALSVLDLSRNSLTGSIPTSLAFLPNLSSLDMSSNFLSGPIPPGIGGLSKLQYLNLSSNGLTSLPSQIGGLGNLVDLDLSDNSFTGGVVPSDLKGLRNLQRMMLANSMLGGPLPRNLFGISLHLQSVVLRQNNFTGSLPVELWSLPRLTFLDVSANKFGGLIPKSSSAANGTTAVLNISHNVFYGNLTHVLGRFRFVDLSNNYFEGRVLNFTYNASLASNCLQNVTNQRTTVDCASFYAVRGLTFDNFGHPNSTKPSAAEGPGKSNKTKIILAAVLGGLGLIAFLVLLVVLLLLCARKRGDPNHRGNGVGPAPAGGSPSHPTGESVDFSNVGDSFTYLQLLQAAGDFNDGNLIKHGHSGDLFNGVLESGIPVVIKRTDTRSTKKDTYLLELEFFNKVSHQRFVPLLGHCLENENEKFLVYKHMPNGDLSNSLYFKNNTPGDGTLQSLDWITRLKIAIGAAEALSYLHHECIPPYVHRDVQASSILLDDKYEVRLGSLSEVCAQEGDSHQSRRLGFMRLPQSYDPSSSGPSTSVCAYDVYCFGKVLLELVTGKLGISASSDGEVKEWLDQVLPNITMYDKDLVTKIVDPSLFLDEDFLEEVWAIAIVARSCLNPKPAKRPPMRYVLKALESPFKVVREESSSSARLRATSSRGSWNAALFSSWRQSSSDATTVIPQTSGASKGEGTSSLKLSGPGSQSQGSFHNGAGDISLSRRRYSKELFSVRSGLHDVERVDQE >OIV96302 pep chromosome:LupAngTanjil_v1.0:LG15:20599949:20609720:1 gene:TanjilG_09729 transcript:OIV96302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKEMKDDNEAEVSNNGYFGQVSNSSFSSLLSSKDRDFLLSPNGAQVKVSDIEGKVVGLLFAANWYPPCRGFTQMLVGIYEELKNSDPQFEIVYVSSDEDLDAFNNFYGNMPWLAVPFSDLETKKLLNRKYDVEGIPCLIMLQPEESNKEDGAALRCGVELIYRYGIHAYPFSKDKLEQLQEVEREKRDNQTLTKLLANKHRDYVLSQAEQRKVSVASLVGKTIGLYFSAEWCVPCAKFTPKLISIYEKINHELAKTGENDDFEIVLISSDHDQSSFDSYYSKMPWLALPFKDPEIKNLAQHFDVQGIPCLVIIGPDGKTITCHGRNLINLYQENAYPFTDAKVKMLEKQLEEEAKDFPRLVYHEGHHHDLNLVSDGNGGGPFICCVCDEQGSSWAYQCLECGYEVHPKCVTIIAFKFQISTSLSASNYKYRFGTARTVIASSGSDKEKNSIEDNKRSNYSGVRLEETVDDGTRSGKLRLDNWISSRINGISRARVQSSIKAGLVHVNGRVVDKSSFNVKAGDEVKCTITELQQLRAVPENIPLDIVYEDEHVIVINKAAHMVVHPAPGNTSGTLVNGILHHCNLPNFEFSNQEALPDNEDSDDEFKNFDSDASSCEGLDSRLSVASIRPGIVHRLDKGTSGLLVVAKDELSHMKLSEQFKLRTIKRVYVSLTAGVPTPTAGRVEVPVGRDPHNRLRMTAVVGPASSMKARHAASRYRVIEILAGGSCALVEWKLETGRTHQIRAHAKYLGVPLLGDEVYGGTKSMVLSLLRPRTPPSLHGQIAQMVSRLDRPCLHALTLGFQHPHTGEQVHLSSEPPLDFDEILSQLRRIGSQRISLSKHSISS >OIV97011 pep chromosome:LupAngTanjil_v1.0:LG15:11986541:11993708:-1 gene:TanjilG_03585 transcript:OIV97011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMEVVVEEVTDTPPLPPRRVFLISAGASHSVALLSGNAVCSWGRGEDGQLGHGDTDDRLLPTQLSALDGQDILSITCGADHTIAYSESLSSVYSWGWGDFGRLGHGNSSDLFIPQPIRALQGLRIKQIACGDSHCLAVTMEGEVQSWGRNQNGQLGLGTTEDSPVPQKIQIFQGLPIKMVAAGAEHSVAITEDGELYGWGWGRYGNLGLGDRNDRWIPEKVSSVDCDKMVIVACGWRHTISVSSSGGLYTYGWSKYGQLGHGDFEDSLVPHKLLALSDNSICQVSGGWRHSMALTSSGILYGWGWNKFGQVGVGDNVDRSSPVQVKFPCDQKVVQISCGWRHTISVTERANVYSWGRGTNGQLGHGETVDRNFPKIIEALSVEGSSGQHIESSNTDPLSEKTWPSLSERYAVVPDETVKEDRLIDLSVPEGDAKRLRI >OIV97369 pep chromosome:LupAngTanjil_v1.0:LG15:1810747:1815802:-1 gene:TanjilG_07121 transcript:OIV97369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGAYNPRSVEAVFRDFKGRRAAIVKALTTDVVEFYQQCDPEKENLCLYGFPSEKWEVNLPAEEVPPELPEPALGINFARDGMQEKDWLSLVAVHSDAWLLSVAFYFGARFGFDSSDRKRLFTMINDLPTIFEVVTGAAKKQSKEKSSVSNHTSNKSKSGSKGRGSESRKYSKQANDEDEEEELELDDDDDEHCGACGENYTSESVEFWICCDICEKWFHGKCVKITPARADHIKQYKCPLCTSNKRARP >OIV96989 pep chromosome:LupAngTanjil_v1.0:LG15:12598191:12598601:1 gene:TanjilG_31880 transcript:OIV96989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRAMQTARIFTNGKTPRMRLAEIAARKSSTRISAVKKHRRFKPGSVALKEIKKYQKSTENLIPKLPFQRLVQEIGQKIKPGLRFQDIAIASLQEAAEAYVVKLFEDTLFCATHAKRVTIVPDDMKLARMMKEEKP >OIV97290 pep chromosome:LupAngTanjil_v1.0:LG15:2573731:2575823:1 gene:TanjilG_07042 transcript:OIV97290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFPLLSRSGVLFRLITEASESEEEEECVIHPPDIPGGAKTFELVAKFCYGVKLELTASNVVYLWCAAEHLEMTEEHGEGNLISQAETFFNQVVLRSWKDSLRALQTCDDVLSHAETLHIVKRCIESLAAKASTDPNLFGWPVLERGGPMQSPGGSVLWNGISTGARPKNSSSDWWYEDVATLSLPLYKRLVAVMESRGIRQDIIAGSLAFYAKRYLPGLNRRHVSGESNTHLTSVTLGSPPSEDDQKVLVEEIDRLLPMEKGLVQTKFLFGLLRTAMILRVSQSCMSNLEKRIGMQLDQATLEDLLMPTFSYSMETLYNVDCVQRILDHFLAMDQVTCAASPCSIDDGQLIGSPSLTPITMVAKLIDGYLAEVAPDVNLKLPKFEALAAAVPEYARPLDDGLYRAIDIYLKSHPWLVESEREELCRLMDCQKLSLEACTHAAQNERLPIRTIVQVLFFEQLQLRTSIAGCFLVSDNLEGSRQLRSGLIPTNEGGWATAVKENQVLKVGMDNMRMRVSELEKECSNMRQEIEKLGRSKGSNTWGTVSKKFGFKIKSNMCSAQEGSVSNQNNENSKVEKLKNRQVVKHKKSSSISDKASVSSIVPF >OIV97519 pep chromosome:LupAngTanjil_v1.0:LG15:452713:453354:-1 gene:TanjilG_11043 transcript:OIV97519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLQHTNQHSVSFLSPKNVPMKHIGTPNAKPTKLYRGVRQRHWGKWVAEIRLPKNRTRLWLGTFDTAEEAALAYDTAAFKLRGDIAKLNFPHLHHNGAHVYGEFGKFKPLPSSVDAKLQAICENLDTKRMQQGNIEKPCSNDVDTKPKLEDYKVDINQMLSPMSEESSSSCIGSASSPESDITFLDFSDSNESNTFGLDLGKYPSVEIDWEAI >OIV96872 pep chromosome:LupAngTanjil_v1.0:LG15:12760958:12763481:-1 gene:TanjilG_00454 transcript:OIV96872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIHFLQVKFYSIGVYLDPEIVGHLQQWKGKSAKELEENDGFFDSVIFAPVEKVVRLVVIKEIKGAQYGVQIESAVRDRLAADDKYEEEEEEALEKIVEFLQSKYFKKHSVITYHFSADSTTAEMCICAAPVEKVVRLVVIKEIKGAQYGVQIESAVRDRLAADDKYEEEEEEALEKIVEFLQSKYFKKHSVITYHFSADSTTAEIVVSLEGKEDSKFVVENANVVETIKKWYLGGSRAVSPSTISSLASTLSTELSK >OIV96802 pep chromosome:LupAngTanjil_v1.0:LG15:15851952:15856210:-1 gene:TanjilG_08663 transcript:OIV96802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESCSNMKQLKQIQGHMTVTGLISHTFPVSRVLAFCALSNKGDFNHARLLFHNIHNPNTYIWNTIIRGSLHSNIPTIAFSFFLHMIRLHAQMDSRTFVFALKACHNFMGISHGESVHSLLLKMGFHSHLLVLNGLIHFYAAQRPSLNNARNLFDQCSHKDVVTWTTMIDGYATLDCSDAAMELFNLMLMTGVEPNEVTFIAVLKACSQKGDLEMGKSIHENIEKSSKSMRCSLSLHNALLDMYVKCGCLTGAIELFDRMETRDVYSWTSLVNGYAKCGDLESARSFFDRTPQKNAVSWSAMIAGYSQNNKPKESLKLFHEMIGAAMVMVEHTLVSVLSACAFYMDGWRGTGGCTSIFTAAAITDWLDGFIARKMNLNSTFGAFLDPVADKLMVATTLILLCTQPLDLPLFSQTPWLLIIPSITIIGREITMSALREWAASQGSKLQQAVAVNNLGKWKTATQMTSLTILLATRHCSHGGPALLVASGVLLLYMSAGLAIWSFVVYMSKIQKVLLR >OIV96839 pep chromosome:LupAngTanjil_v1.0:LG15:15387019:15388906:-1 gene:TanjilG_08700 transcript:OIV96839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSNSYKLDLLHEPTYITPTRISLLNSRPIFTPPEDAPPPPPPPPQTEVSIPFMWEEAPGKPRRCHTQSEPNNNNNNTTRTLELPPRLLFLDKVEVPSPTTVLGGPYVGRAMSFSSSYRTPRECWNSNFGSSRWSGSRKINKESEGSFDYSGTITLGTPQPNRGKMTRVHRRGSIFSLSQPKPKSQFWGSIYESFKHMVPWRRGQEKHRKWASN >OIV96629 pep chromosome:LupAngTanjil_v1.0:LG15:18591644:18595715:1 gene:TanjilG_28486 transcript:OIV96629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLREELPCSNGDDEKEEVIEEVQNQLCPVVNAGFSCPKRVIVVGYALTSKKIKSFLQPKLQGLARNKGILFVAIDHNKPLSDQGPFDIVLHKLTGREWRQVLEDYRQSHPEVTVLDPPDAIQHLRSRQYMLQAVADMNLSDSYGKVGVPRQLVIKRNASAIPELVNKAGLTLPLVAKPLVADGSAKSHELSLAYEQYSLHKLEPPLVLQEFVNHGGVLFKVYIVGDAIKVVRRFSLPDVSKWELSKDAGLYHFPRVSCAAASADDADLDPDVAELPPRPLLEKLAKELRWRLGLRLFNMDIIREYGTRDNFYVIDINYFPGYGKMPEYEHIFTDFLLSLRQGKYKKKSC >OIV96355 pep chromosome:LupAngTanjil_v1.0:LG15:20280026:20282813:1 gene:TanjilG_09782 transcript:OIV96355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWGKLGEGTRNSTTEGGKNSSRDRKLALQQDTLGLVAEVAVLEEEIVRLEEQVVHYRKDLYQEAVYILSSKMKLNNAIPYSSPKLGKLKSLSQTSSKYATFATSPTTTLPVNRQGKEDQSYSNPSKRSKQSTSTGQATKNRTKKLPDDNTSLQKNCDLPKRQQELRHSNLPIAEVRNLSPHEKPPGDESPNIISENILKCLLSILLRISGVKNPGAAVDIPVPPSWDLKHQNCTEGKEFKDPYGIYVEFGKRDVGLYKQLRVIEAKPFNPKRTANSLFLLHRLKLLLRKLASVKLENLNHQEKLAFWINIYNSCMMKAFIENDMLESTDMVVALTHRATINVGGHLLSATTIEHCILRLPCHWKLLNSKQSLSKEVKNHGMTLRSLYGLELYEPLVIFALSCGTWSSPAVRVYSASEVEYELEVAKREYLQAAVGISKSMFVIPELLDWHLPELAKDFETLLDWICLQLPSEPGHEAIKFLQKRNMEPLSQFVQIMPYDFRFRYLLCT >OIV96494 pep chromosome:LupAngTanjil_v1.0:LG15:19351824:19353086:-1 gene:TanjilG_07886 transcript:OIV96494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYKDVVNDIKAMLERAEPPISGDCCIYRVPFDIRLLNQDAYTPKVVSIGPFHHHHPRLQNMERHKLIYFKKFLERADVNLDTLVIHVEEAERNVCRSYADTLDLTKEELVKVILVDSCFIIELFWRFYYDEWSEGDALLLKPWLTTKIRLDLLLIENQLPFFVLESIYNRAFISSSSNIPSFLVLTFDYFAYYNSCNLASDNVTIRHFTDLLRMFYLQQPIERRPPRIEESVMHLHSATELLEAGVRFKVNTKTKCLLDLKFSKGVLEIPQFRVEDWTELLFRNLVALEQCHYPYESYITDYVAVLDFLINTNRDVDALIQNGVLVNWLGDTDSVTILYNGLWKNITHLNFSSHYFHLCQDLNAFCRNPRHKLRATLQRDYGNTPWQAAASIAGIVLLLLSLLQSVCSVLQLVQQYKAS >OIV96562 pep chromosome:LupAngTanjil_v1.0:LG15:18824699:18826792:-1 gene:TanjilG_24215 transcript:OIV96562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQASRARLFKEYKEVQREKSADPDITLVCDDSNIFKWNALIKGPSETPFEGGVFQLAFSVPEQYPLQPPQVRFLTKIFHPNVHFKTGEICLDILKNAWSPAWTLQSVCRAIIALMAHPEPDSPLNCDSGNLLRSGDVRGYQSMARMYTRLAAMPKKG >OIV96622 pep chromosome:LupAngTanjil_v1.0:LG15:18482711:18492646:1 gene:TanjilG_28479 transcript:OIV96622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAGDAADQVIRQVEKMIKNGDRFTRDARVSKDDLPSTQSAVSGELSRKRLVPPDNEQLANGHVAISKRIRSGPDPYFTLPPQINDSGEGLNSVNRVSPNVPVMVSELTAVEQMIALIGALLAEGERGAESLEILVSKIHPDLLADIVITNMKHLPKTPPPLAGHGNSPSTRQVVSQVSQSQFLAASTPTNSVQSFTNTAQAPIPSTTATSSLLSDIPNVSNLPADSKRDPRRDPRRLDPRRVAVTPGGIAVTSTDDTGATKLEFDDPVSSIKPVSQPVATRDDNTAVDPTNKIKNDIISVAPPVRSPDWITPKTEAERPGDIPQIADTNTLDPSLSLTNTRFEDLSTVKVSDDAEKNETDSLSVLEFDQFSLDDQVASMSEDTCLELPSLPLYVELSKEQESKVKNIAHRQIIESYKHLHGTDCQQFSMSLLARLVAQIDDDNDFLVMLQKHILEDHVRKGHELVMHVLYHLHSLMTLDSAGCSSSSGVLYENFLLGVAKSLLESFPASDKSFSRLLGEVPLLPESALTILNDLCYSDIIDHDGKIIRDIERVTQGLGAIWSLILGRPQNRQACLGIALKCAVHSQDEIRAKAIRLVTNKLFQLSYISEDVEKFATNMLLSAVQHEVSDTGLLQSGRTAQNAEAEVESMEISGTSKVPESTISENESARVAKSMPQSVPSISFSDAQRLISLFFALCTKKPSLLWIVFNVYWQAPKTVKQAFHRHIPILVRALGQSHSELLQIISDPPRGSENLLTLVLQILTQETTPSPDLISTVKHLYETKLRDVTILVPLLSSLSKKEVLPIFPRLVDLPLEKFQRALALILQGSAHTGPALTPVEITDACSACFEQRTVFTQQVLAKALNQMVDQSPLPLLFMRTVIQAIDAFPTMVDFVMEILSKLVTKQVWRMPKLWAGFLKCVYQTQPHSFHVLLQLPPPQLESALNKHAYLRGPLASYANQPTVKSSLPRSTLAVLGLANETHVQQHLSTSLHPSDTNSAVRGATLM >OIV96371 pep chromosome:LupAngTanjil_v1.0:LG15:20177168:20178992:1 gene:TanjilG_09798 transcript:OIV96371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWWWAGAIGANKKKSNEEEEEPPTSFNNVGLVIGVTGIVGNSLAEILPLADTPGAPWKLYGVARRPRPPWNSGHSINYIQCDISDPDDAQSKLSVLTDVTHIFYVSWSQQPTEAENCNVNGAMLRNVLTSVIPNAPNLRHVSLQTGAKHYLGPFELFGEINPHDPPFTEDLPRLEVPNFYYTQEDILFEEVNKKENLTYSIHRPHFIFGFSPYSFMNLIGTLCVYAAICKHEGLPLKFPGTKEAWECYSTASDADLIAEQHIWAAVDPNARNEAFNCSNGDVYKWKNLWEVLAEQFEIEEYGFEEGCDLRLEELMKDKGHVWDEIVKENELLPTKLEEIGEWWFSDLMLGMEPVLDSMNKSKEHGFLGFRNSKNSFITWIDKNKAYKIVP >OIV97000 pep chromosome:LupAngTanjil_v1.0:LG15:12232873:12237428:1 gene:TanjilG_25825 transcript:OIV97000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKPANATDEYIVCYAPTMITTNGIWQGDNPLDYSLPLFILQLSLVVAATRIFVFILKPFRQPRGGVLLGPSVLGKSTAFANAVFPLRSVMVIETMANVGLLYFLFLVGVEMDISVLRSVGKKAVAAAISGMILPFMIGAAFSYLLHREYDNHMSQGTYILFLGVALSVTAFPVLARILAELKLINTELGRIALSAALINDVCAWILLAFAITLADSETTSLASLWVLLSSVVFVAFCVYAVRPAASWIVRKTPEGESFSEFYISLILAGVMISGFITDAIGTHSVFGAFVFGLAIPNGPLGVTLVEKLEDFVSGLLLPLFFAISGLKTNIGLGGVLLGPSVLGKSTAFANAVFPLRSVMVIETMANVGLLYFLFLVGVEMDISVLRSVGKKAVAAAISGMILPFMIGAAFSYLLHREYDNHMSQGTYILFLGVALSVTAFPVLARILAELKLINTELGRIALSAALINDVCAWILLAFAITLADSETTSLASLWVLLSSVVFVAFCVYAVRPAASWIVRKTPEGESFSEFYISLILAGVMISGFITDAIGTHSVFGAFVFGLAIPNGPLGVTLVEKLEDFVSGLLLPLFFAISGLKTNIGLVRGTYTWSILILVIFLACIGKIAGTLIVAFFYQMPIREGATLGLLMNTKGLVEMIVLNVGKDQKVFDDESFAIMVIITVLMTAIIVPSISVIYKPSRRSTYYKRRTVQMSKPDGEFRILVCIHSPRNVPTMINFLEASNPTKKSPICVYVLHLVELSGRTSAMLIVHNSRKPDYPALNRTEAQSDHIINAFENYEQHASFVSVQPLTAISPYSTMHDDICNLAEDKRVSLIIVPFHKQQTVDGGMEATNMAFRGINQNVLANAPCSVGILVDRNLSGSNRLASNQVSHHVAILFFGGPDDREALCYGWRMLEHPGISLTVMRFVPGKLVASDHAKKHSSIDLDEPRVLTVETDKNMQKQLDEKLIHEFRMRNENDDSVDYVEKVVNNGEETVAAIRTMDDIHDLFIVGRGQGMISPLTAGITDWSECPEIGAIGDLLASSDFAATASVLVVQQYVGVGSNGEGMDTPESKVQTNEEFISELSQHSAPPPRGGHNVFNTERL >OIV96394 pep chromosome:LupAngTanjil_v1.0:LG15:20039364:20042836:1 gene:TanjilG_09821 transcript:OIV96394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIGAVSLDGLGGSEFVSDPETKHNKWYGFGFLKHERSGTTTEDDLRSSKLAKTIDDMSLVSKAMLFKNNNNSLLRSNATLFSDGQQMLSFSSPKSDSLLVDKSSQNPTFPFSYHPLSTYNKNTAGYSSESMHGILAGTRGLFTPSQWMELEHQALIYKYIIANVPVPSHLLIPIKKALDSAGFCNFSTGLLRPTALGWGGFHLGFSNNTDPEPGRCRRTDGKKWRCSRDAVVDQKYCERHMNRGRHRSRKPVEGQSGHALTGTTSTTNTTNSSITTTSKQMMMPDNNAVVPRSSASNNLAFTQQHEPKNIHTLASDTPAPNNINRMFMNKENGGEKIPNADALPMLPPTLELNPKENPFMMHKQQFQYEESSRNEFGFVTSDSLLNPSQKSSNLQTSSRSFGSSQNQTNQETDSQHFLRHFIDDWPKTQSNSSGLAWPELHMQSDRTELSISVPMTSTDFMSSTSSPSNEKVTLSPLRLSKELDPIQMGLGVGNALSESNNTREANWIPITWESSMGGPLGEVLNVSNNNNNNTSDHSANNNSSSALNLMNDGWDNSPPLGSSPTGVLQKTIFVSLSNSSAGSSPRAEKKTQEGATLCNHLIGSTLGN >OIV96769 pep chromosome:LupAngTanjil_v1.0:LG15:16560689:16560916:-1 gene:TanjilG_19928 transcript:OIV96769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAEIKAKGIEEKHVLHKPKKNGSVIPPKRRSVKQMIWERVVDPSIAANKGTKKKKKNNNYVVVHPTFSSESFID >OIV97467 pep chromosome:LupAngTanjil_v1.0:LG15:102788:106867:-1 gene:TanjilG_10991 transcript:OIV97467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQPNLISWSSILTSCNISNLPHIALSISRTILTTTLLIPDHFVFASIVKACANLTALRQGKQVHARILLSPFSNDDVVKSSLIDMYAKCGVPDYAHGVFNSISKLNVVSWTAMIHGYARSGRKFEALKLFDQMPDRNLFAWTAMISGFVQSGYGIDGLHLFVEMRREGVDIVDPLVLSSAVGACANLAVWELGKQMHGVVITLGYESCVFISNALIDMYAKCSDIVAAKDVFCRMLRMDVVSWTSIIVGTAQHGQAEEALALYNDMVSAGVKPNEVTFVGLIYACSHAGLVSKGRDLFKSMVEDYGIRPSLQHYTCLLDLFSRSGYLDDAENLIRTMPVNPDEPTWAALLSACKRHGKNDMAIRIADNLLSSKPEDPSTYILLSNIYAGADMWENVSKVRKLMMVMEVKRVPGYSCIDLGKESHVFYAGETSHPMKDEIKDFEVNNVMTKRVCTKSIGECLRLAEPEMDMMDSESNRRMLAMQKKYISYETLKRDMVPCDRAGASYYNCHARQANPYNRGCEVITGCARGVQAIKT >OIV97182 pep chromosome:LupAngTanjil_v1.0:LG15:6217484:6229323:1 gene:TanjilG_28933 transcript:OIV97182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPMDIVGKAKEDASLPKATMTKIIKEMLPPDVRVARDTQDLLIECCVEFINLLSSESNEVCGREERRTIAPEHVLKALGVPFVLIDVGRRPNSGYVVVNLDGSRHPLPLTTVYHKLQPINASPYTFLQALFGHEYPGLGFGEYIEEVYAAYEQHKVETMQDSLKGAKLSNRAEMTEEEALAEQQRMFAEARARMNGGAIASKQPDTDQTLNGQGGARRITLGRASTLGVKVGMLVMRVGTLGNQIMQHLGVSSWVGARRGSCSNRCVIGMDKPCGKLIAMFLSLRRRLSQPWGNLKACWHMEKIRGFEFKSHVRRARGIQGNKLVKSKLKLLLDGLVVLSPIKEYEMKFKYATTSSKPKENILDLED >OIV96771 pep chromosome:LupAngTanjil_v1.0:LG15:16604872:16606022:-1 gene:TanjilG_19930 transcript:OIV96771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSCSYMVSTKLSMLGNWAGAKRELLRNKRAFFISAQQQTDIKEAENVQEQEEQQVESSEKVKAKGTPLRPVEPQWNVKSKNMSREYGGQWLSSATRHVRIYAAYIDPETCEFDQTQMDKLTLILDPTNEFVWNSETCNQVYSYFQELVDHYEVVLYGEIVTIDCTKQGAPLTEYTLRLIGSDIEHYIRKMLYDGEIKYNMNAKVLNFSMGKPRILLNNDIPTEDIVET >OIV96951 pep chromosome:LupAngTanjil_v1.0:LG15:14149071:14155105:-1 gene:TanjilG_00533 transcript:OIV96951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPSLTKNVPIEVMSLVNSGNLPLSITLEGSNFLANGHPFLTEVPPNIIATPSSFPSLKSIDAKITVQGCFIGFDADQPKSRHVCSIGKLKDIRFMSIFRFKVWWTTHWVGCNGHEVEHETQMLMLDKNDVGRPYVLLLPILEGSFRASLQPGLDDYVDVCLESGSTRVCGSRFRSCLYMHVGDDPYRLIKEVMKVVRVHLGTFKLLEEKTPPGIVDKFGWCTWDAFYLKVHPKGVWEGVKGLVDGGCPPGMVLIDDGWQSICHDEDPITDKEGMTRTSAGEQMPCRLIKFEENYKFKGYRSPNGSTGMGAFVRDLKEQFRSVEHVYVWHALCGYWGGIRPKVEGMPVSKVVTPKLSQGLKMTMEDLAVDKIVNNGVGLVPPNLVYEMYEGLHSVLESVGIDGVKVDVIHLLEMLSEEYGGRVELAKAYYKALTASVKKHFKGNGVIASMEHCNDFFLLGTQTISLGRVGDDFWCADPSGDPNGTYWLQGCHMVHCAYNSLWMGNFIHPDWDMFQSTHPCAEFHAASRAISGGPIYVSDSVGEHNFKLLKSLVLPDGSILRCEHYALPARDCLFEDPLHDGKTMLKIWNLNKYTGVLGLFNCQGGGWCPVTRRNKSASEFSHTVTSSASPKDIEWSNGKQPMCMKGVNIFAVYFYKEEKLKLIKLTDKLEISLEPFSFELLTVSPVILLPKRLIQFAPIGLVNMLNCGGAIQSVEFDDHANVVKIGVRGCGEMKVFASEKPVSCKIDGVAVKFEYEDKMVRVQVPWPSSLILSEVEYLF >OIV97040 pep chromosome:LupAngTanjil_v1.0:LG15:11176215:11176457:1 gene:TanjilG_11557 transcript:OIV97040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRGHYECLSSEEHALSYNISMSEMYPQTHKLGYRRHQALPDFGHGFQSPHMRTSTDDSTSSKQLMRFKTLRMFSCITCA >OIV97159 pep chromosome:LupAngTanjil_v1.0:LG15:5419113:5422130:1 gene:TanjilG_28910 transcript:OIV97159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEESQLNEPRKRKFVKKQGRKNSSKKPKVTPVPHGQKKVKIDKNMKKLFHKRARDYNSDDEDEVAAPATSITKKNKKVIESEELSEDEGAARGEKTLKKNVTDRNNHLSEDEGEDDGEVLPGITKFSEGCRAFKMAFRNIIKKSVPDEALGPVLSGHKKLIVEKLAEEEAERKNKGEARKEKHLLAEKGHVIPANYLDTHEKFLKSVATKGVVKLFNAVNKAQSVQKGLDSSRTKDAKELRKRTKEAFFTELGKPLRHSAGTSAKANASTDMEEDDQPAWAPLRDNYMLTSSRLKDWDKMPANNVSNDDIGKDSEDSSSDED >OIV96526 pep chromosome:LupAngTanjil_v1.0:LG15:19112294:19115641:-1 gene:TanjilG_07918 transcript:OIV96526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEPLSSSSSSSAIAQQTWELENNIIPMETPSSTTNADDSIFHYDEAAQNEFHREKPWINDPHYFKRVKISALALLKMVVHARSGGTIEVMGLMQGKTDKDAIIVMDAFALPVEGTETRVNAQADAYEYMVDYSQTNKQAGRLENVVGWYHSHPGYGCWLSGIDVSTQMLNQQFQEPFLAVVIDPTRTVSAGKVEIGAFRTYPDGYKPPDEPISEYQTIPLNKIEDFGVHCKQYYSLDITYFKSSLDSHLLDLLWNKYWVNTLSSSPLLGNGDYVAGQISDLAEKLEQAENQLAHSRFGSLISPAPRKKEEESPLAKITRDSAKITVEQVHGLMSQVIKDILFNSSHQTNKSRAETSGPEPMIES >OIV97217 pep chromosome:LupAngTanjil_v1.0:LG15:3979127:3981183:1 gene:TanjilG_02925 transcript:OIV97217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAPCCDKANMKKGPWSPEEDAKLKAYMDKNGAGGNWLALPHKIGMKRCGKSCRLRWLNYLRPNIKHGGFTEEEDNTICSLYISIGTRWSIIAAQLPGRTDNDIKNYWNTKLKKKLLGRFKQSNQNPKDMNQIEDNSYSNALSNSSLERLQLHMQLQSPFSFYNNPTLCPKLHPFQEKMTQRTMSGSFNPMVQNDLPSPHTKQEKDEFYKPTSPGDALQEDGSKVNLVENYYNYSSVLINASWNNPMNSSFVPKKEEGEKNNEGIQQVCALQDELDDILNDKTMGYKSHEDQISEFDCFREMNSSNDNLIWWYNDFEAKSSASSNSWGFINGSNSSV >OIV96365 pep chromosome:LupAngTanjil_v1.0:LG15:20204369:20206828:1 gene:TanjilG_09792 transcript:OIV96365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNDAQDPRLARISSAIRVIPNFPKPGIMFQDITTLLLDTKAFKDTIDLFVERYRDENISVVAGVEARGFIFGPPIALAIGAKFVPMRKPNKLPGEVISEEYSLEYGTDKIEMHVGAVQPGERALVIDDLIATGGTLCAAVNLLERVGVHVAECACVIELPELKVGA >OIV97054 pep chromosome:LupAngTanjil_v1.0:LG15:10822445:10823605:-1 gene:TanjilG_25128 transcript:OIV97054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQFKSSAMSTHTDSSPIPSITTLPLNLHFNLHHVLPLLLLLFILIQFPPPATAQLPNTLTPPPPDNTISNVQFNKSMVMALVILVVVFVLLGFVSVYTRQCTERRMRGRHDLSIPIIGSNHRPRGLDREIIETFPTFIYSTVKSLKIGMATLECAVCLNEFQDDEKLRLIPVCNHVFHAECIDAWLVNHSTCPVCRANLVSTPSEVVPFMTIQLPDQTDPEPDPVHVDEFSGRQGTVMKESPKLSNNNSVNQNRPRRSRSTGFRFTNLLPRSHSLVQRGENLERFTLRLPEEVRNQLVTSTLSRTKSLGVAFTPESSERRGYRTRSVGSGCGRNNLERLDQSDRRMFRWMSRAGSNISKKVTEFNKDDVGERSSDRLFSGKENDM >OIV96560 pep chromosome:LupAngTanjil_v1.0:LG15:18838285:18841018:1 gene:TanjilG_24213 transcript:OIV96560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIISDALRQVFMPKREYESLREEDKAWGKLQRPLTMASVGVISVAIMICTVISLRIVFPGRDGMRPFCVNRIFQPVQLGMKGGGVGGSDSDLFHGAFYLTDKEIVDYYWMVVFLPSVIIFAVSVVYLVAGITVAYYAPTRHWCLKVVENNYCASRRGGVRCLSILNLIFAIVFGLLALFLGSSLLTLVGNCSAPLFWCYEVASWGLVLLYAGTAFFLRRKAAIILDEGSYSGWNHGLEMLEANPLEVTPEVERRVNEGFKAWMGSSLLSSDEEDEPDSYEEAPHLTHSNSNRQRL >OIV96498 pep chromosome:LupAngTanjil_v1.0:LG15:19321005:19322478:-1 gene:TanjilG_07890 transcript:OIV96498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFKKCSKVEVLANTKEFCVEWRCARIISGNGHNYSVRYDGSRMKNEAGVERVPRNAIRPCPPLIKGVKGWEANDVVEVYDDGSWTAAIVLKLIGGDFYLVRLCISCKELEVQKINTRIRQSWHNGEWVVKPLVSDRSGNSGVGKPGWNSISNSCKVIPEVQHVSTEISQQGSNDRLIGLDASDRWEPRPASSTTLKRVSSYCSTPVDAYPRKIRVVINKGEHERFKAVSTAPLMEKVDAFAYPQNELGDKCMHVSFTNGSNQYYALGKGNHSKVSNHFLERNEESVYSCSNVSSVGSCSVISNSTNNFSSGMLAGPCQDEDALSSDAESVDTTRDKGFPISPREVTPEKIHRLELHAYRSTLEVMYASSCLSWKQEELLTDLRILLHISNAEHLMELKKLISACPHL >OIV97444 pep chromosome:LupAngTanjil_v1.0:LG15:630054:634344:-1 gene:TanjilG_16205 transcript:OIV97444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHMQSSLQKPAVVLSHNVRWNSKSRLSIQRLANGEKHIISHIRGKKTLVSCAKAVEAINTTKSDASSDSTPQKSLEKKPLEAATFPNGFEDLILEVCDETEIAELKVKVGEFEMHLKRNVGATKAPLSNISPIAPPPIPSKPMVESASDALPPSPPKPSPEKTNPFANVSTEKSSKLAALEASGANTYALVSSPTVGLFRRGRTVKGKKQPPICKEGDLIKEGQVIGYLDQFGAGLPVKSDVAGEVLKLLVEDGDPVGYGDPLIAVLPSFHDIKLM >OIV97154 pep chromosome:LupAngTanjil_v1.0:LG15:5050999:5051337:-1 gene:TanjilG_28905 transcript:OIV97154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAHEAAHQNNRDRQNMTHRSDRENMALAHQTDQGTIGLAHQCMGLAHQEDQCRQDMVHEHDRGREGQEHEADQDRMIMTHTTSSWSMTEEGESHEPDNQLWPIMMANPFN >OIV96651 pep chromosome:LupAngTanjil_v1.0:LG15:16947271:16954520:1 gene:TanjilG_09193 transcript:OIV96651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNHQQQQSLGGSNRIVAVDVTPPNNLHHDASSNQQKQEPVWRKFMAYVGPGFLVSLAYLDPGNLETDLQAGAHHKYELLWVVLIGLIFALIIQSLAANLGVSTGKHLAEICKAEYPLPVMYCLWLFAEFAVIAADIPEVIGTAFALNILFKIPVWAGVLVTGCSTLSLLGLQRFGIRKLEMLISILVFVMAACFFGEMSFVKPSASGVLKGMFVPTLSGTAATGDAIALLGALVMPHNLFLHSALVLTRKVPTTVRGINDACRYLLIESGFALFVAFLINVAVISVSGSICSANNLSAEDAQHCSNLTLHNATFLLKNVLGRSSSTVYAIALLASGQSSAITGTYAGQFIMQGFLDLKMKTWIRNLVTRCIAITPSLIVSIIGGPSGAGRLIVIASMILSFELPFALIPLLKFSSSSDKMGPHKNSIIIIVISWILGYGIICINVYYLSTAFVGWLIHSSLPKVANVFIGIIVFPLMIVYIGSVIYLTFRKDTVKTFIETKDDPRMQTNMENGFMNQDDQLELSLEPYREDLIHKPLP >OIV96325 pep chromosome:LupAngTanjil_v1.0:LG15:20448904:20450505:-1 gene:TanjilG_09752 transcript:OIV96325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQAERSEMSHTKHLMNLLGTANERSNQTQGLALSLGSHMLDPSDEYRHRPLNQGLMNSRYLKPAQSLLEDIVGVGANVIDRMNEKHVVKLFHGSRKGARTLSSELKAELRNIGPLLLLAEKQEHQMKIAKLIALLDEIESRYEKYFHQMQEVESSFEMIAGLGAAKCYTVLALQAMSRHFCSLRDAIVSQINVEKRKLSQDLHKINSGLSQLSLFDRDNRHSRMSLQQLGIIQNQRQIWRPIRGLPETSVAILRSWLFEHFLHPYPNDSEKLMLTSQTGLTKNQVSNWFINARVRLWKPMIEEMYKEEFGESSEDSNPPVNNYLTREDIIDYVEN >OIV96708 pep chromosome:LupAngTanjil_v1.0:LG15:17601113:17602911:1 gene:TanjilG_09250 transcript:OIV96708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSRVALVLFMCVLPAMIAAIRPEKNPFSVKGRVFCDPCRATFETSATTYIAGAEVILQCTDRATNEVVYTKKGITDSTGTYIITVNEDHKDQVCNAKLVNSNHPTCNEAAPGRDAARVILTGYNGIASNNRFANAMGYMTREVASGCADVLKQYQEFDKED >OIV96430 pep chromosome:LupAngTanjil_v1.0:LG15:19845147:19846762:1 gene:TanjilG_09857 transcript:OIV96430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLNVKDSCFINAQTPLALPFLNLIPPPITTVADNFLKSLNSFASENPFLNKIASLSSQFHTLCFQIRCSNSNRKMRLLSSHNFAAVLPGDSVAGLVVANGVQSFLSLYNTLLVVRLVLTWFPNTPPAIVSPLSTICDPYLNIFRGLIPPIGGTLDLSPILAFLVLNAFTSTAAALPAELPVSEQSEQGLAAPLQSTDIVTTSQKDKWMRRIHGNRSTTSGRVN >OIV96461 pep chromosome:LupAngTanjil_v1.0:LG15:19585283:19604644:-1 gene:TanjilG_07853 transcript:OIV96461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLVERLRVRSDRKPIYNIDDSDDDDLLPRKPGTTQEKFERIVRSDAKENLCQACGESGNLLSCETCNYVYHPRCLLPPLKGPVPDSWRCPECVSPLNDIDKILDCEMRPTVAADNDATVSGSKQIFVKQYLVKWKGLSYLHCSWVLEKDFQKAFKTHPRLKTKLNNFNRQMALVNSSEEDFLAIRPEWTTVDRVLACRGDDDEKEYLVKWKELPYDECYWEYESDISAFQPEIERFNTLRSKSSKLTPSNQKSSDKFDAELKKHQKEFQQYEHNPDFLSGGMLHPYQLEGLNFLRFSWSKQTHVILADEMGLGKTIQSIAFLAALSEEGISPHLVVAPLSTLRNWEREFATWAPQMNVIMYVGSAQARAVIREHEFYFPKKQNIKRKKSSQFFSESKQDRIKFDVLLTSYEMINLDTTSLKPINWDCMIVDEGHRLKNKDSKLFSSLKQYSTRHRVLLTGTPLQMEGTDGTLFDAFQNNLDELFMLMHFLDAGKFGSLEEFQEEFKDINQEEQISRLHKMLAPHLLRRVKKDVMKELPPKKELILRVELSSKQKEYYKAILTRNYEILTRRGGAQISLINVVMELRKLCCHPYMLEGVEPDIDDAKESFKQLLEASGKLQLLDKLMVKLKEQGHRVLIYSQFQHMLDLLEDYCSYKNWQYERIDGKVAGAERQIRIDRFNAKNSSRFCFLLSTRAGGLGINLATADTVIIYDSDWNPHADLQAMARAHRLGQTNKVLIYRLITRGTIEERMMELTKKKMVLEHLVVGRLKAQNINQEELDDIIRYGSKELFVDENDEAGKSRQIHYDAAAIDRLLNRDQVGNEEATLEDEDEDGFLKAFKVANFEYVDEAQAAAEESAQERVMESLDSSERTNYWEELLKDKYQEHKVEEFSSLGKGKRSRKMMVSVEEDDLAGLEDVSTDGEDDNYEAELTDGDSNSTGTTSARRPYRKKARADSSEPLPLMEGEGRSFRVLGFSQNQRAAFVQILMRFGVGDFDWKEFTSRMKKKTYEEIKDYGTLFLSHIAEDITDSSTFADGVPKEGLHIQDVLVRIAILLLIKDKVKFASENPRIALFSDDILFRYPGLKGAKIWKDEHDRVLLGAVLKHGYGRWQAIVDDKDLTIQEVICKELNLPLVTLPVPGHVGSRAQNGPNLANVEGPPSQSRENCETDIAADGAQGSADAKNQAQLYQDSLVLYHFRDMQRRLVEFIKKRVLLLEKGLNAEYQKEYFGDLKANEEHKSEPKATSFPNYKLGGPDTQMNDQLPLVEAIVLEEISGAACDKDPNQMELVRLYNQMCKVVKENPLDLVRTSLEREQAEGNLVKNIPSLGTICEDINCLLTLAQDQTTAEMTVSNSDNKAEAAIAKGDRKLDNSADNESKYLIIEPKPENESYSSLVQKMGGDAEMGETKNDAELNENTDKSGAGESDD >OIV96403 pep chromosome:LupAngTanjil_v1.0:LG15:19977918:19980118:-1 gene:TanjilG_09830 transcript:OIV96403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRGPFSWDKRDLVGQASYSVGTTTLDGIHLENPSHVAEEKVGVLLLNLGGPETLNDVQPFLFNLFADPDIIRLPRLFRFLQKPLAKLISVLRAPKSKEGYAAIGGGSPLRKITDDQALALKVALEAKGLSSNVYVGMRYWYPFTEEAIQQIKRDGITRLVVLPLYPQFSISTTGSSIRVLQQVFREDEYLSSVLVSVINSWYQREGYIKSMADLIEKELHSFSEPEEAMIFFSAHGVPVSYVEEAGDPYRDQMEDCIFLIMQEMKARGINNEHTLAYQSRVGPVQWLKPYTDEVLVELGQKGVKSLLAVPVSFVSEHIETLEEIDMEYKELALESGIKNWARVPALGLTPSFITDLADAVIETLPSATALYAPTSTSEDVDHDPITYFIKIFFGSILAFILFFSPKMIMAFKNHVI >OIV96523 pep chromosome:LupAngTanjil_v1.0:LG15:19131169:19135179:-1 gene:TanjilG_07915 transcript:OIV96523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAPRKQTILRGPTWIIVLVSVACFFLMVLLIHPLLSPTATCKLFPTKACRIYNYDDMRPNDFSRELTDEEIESRVVVKEMLKLHPVMTKTSKVAFLFMSPGSLPFEKLWHMFFQEKPVHVSRYFAGRDIRSEPMVDPGGIANWSVTYVDWSEGKWHPRSFSAQDITYHLMTYLTSIDESPHITSDPQRTVLITPCMWNGSKRPCYLFARKFHPETLDKLMHLFPNSSTS >OIV96296 pep chromosome:LupAngTanjil_v1.0:LG15:20639184:20640056:1 gene:TanjilG_09723 transcript:OIV96296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITRSNLAEQLREYQIRSKHDWASISFFSSTSSSSSTVTTSNSRVDVVVFVIWELFILAFLVFSVVSLYFRHIKLAFILICITVLLLLFMKISKHLRLARKRKQRMLLPLSM >OIV96290 pep chromosome:LupAngTanjil_v1.0:LG15:20673848:20675675:-1 gene:TanjilG_09717 transcript:OIV96290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVVDLMGVMAILNIQLNAVSVVNLVMSVGIAVEFCVHMTHSFTVTSGDKDQRMKHALGTMGASVFSGITLTKLVGVIVLCFSRTEVFVIYYFQMYLSLVLLGFLHGLVFLPVALSIFGPPSRCTNNEQGEDSSSTSS >OIV97496 pep chromosome:LupAngTanjil_v1.0:LG15:283053:286037:-1 gene:TanjilG_11020 transcript:OIV97496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLFDLIGNYRWDAKVVLVLAAFATKYGEFWNLTQLYPCDTLAASIMRLKKLPYKLRVLKPQIKALSLLVKTMMDVAMCIIKFEAMPLQHVELGNDVLIVTKFSDSTIIAAWELSSLAYRLSNIYSILKDQVDVCQQEIERNLHVKLLSLVDEMQNDNQKILNLLFASNNYLSLKDCPTQVKLGVSELKNKIVLLLISKPKLFSLEELLLLVQQTCDHHLSESYKIVWVPLPSSDTWTDSEDTSFNFLSDSLPWYAIWKPRSLRSAVVKYIREEWNYNEEPLMVVLDSNGKVTNNNALDMINIWGARSFPFSASKEAELWQDQNLTMQLLLSDINPLLAYWVKEGKNLCIYGSENLAWIKQFNDNITQLKKTGLQLETIYVGNSKLSEQHIKEIMATTTAKNLSVLLSFTKLQFFWLRLESMRRSKLRQGKTPSSDHVLEELSTLLEMNDKEQGWAVFGSGSLTNIMRLQGEKVMELLKKYHEWGENVPKLGLLGAIRNFLDPTFVAGPCSHFYLVPSSEEVITQGSVICEICMHPMKKFVVYQP >OIV97513 pep chromosome:LupAngTanjil_v1.0:LG15:400619:401203:-1 gene:TanjilG_11037 transcript:OIV97513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSHNLPSLCFELRIIQAKNIECIKSSGNSLFARFYLPTGNNNKIQLNTKKVSSKSMNPFWNESFNLECSCPQEFLETLDQESMVLELRQRKKKIWGSNLIGKGLIPWKKILDSSNMMLKEWVKMDLVNGSDDIIKAPEVQVEIKIRVSSMENEGNNQTKWDKCGCKNSHDLHPWLSAEDYDIFTLGSALEAF >OIV97328 pep chromosome:LupAngTanjil_v1.0:LG15:2230434:2242680:-1 gene:TanjilG_07080 transcript:OIV97328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLSFDADASTYDNTVRSEFLKVSPSEFVGSVNEVVDVLQDVTSILSEFGNDFGDFRLSNAVSDCLDLLDLSSDELSWSVSATQSPKGKHNSTGNLSSDLRTWLSASLTNPETCMDGFDGTNNIVKGLVSNGLVQVISLVQQLLTQVNPVIDNFPFTNKGQFPGWVKARDRKKLLEENGVVVADAIVASDGSGNYTKVMDAVKKAPNYSMRRFVIYVKKGVYNENVEIKKKKWNVMIIGDGMNESVISGNRSFIDGWTTFRTATFAVSGRGFIARDISFINTAGPEKHQAVALRSDSDLSVFYRCGIFGYQDSLYAHTMRQFYRECKITGTVDFIFGDATALFQNCQILAKKGLPNQKNTITAHGRKDPGEPTGFSIQFCNISADSDLRPVVNSTHTYLGRPWKSYSRTVFMQSYITDVLRPEGWLEWNGEFALDTLYYAEYMNYGPGSGLGDRVKWPGNDLGEFQFSNAISDCMELLDLSSDQLSLSISATESPQGVHNGTGNLSSDLRTWLSAALTYIDTCMNGLEETNINFKNLLISTQIDQVNSLVKNLLSKVDPISGQVSTQHVHFPSWVEPHDQNLLQTGEVAVDVVVAADGSGNYKTVMEAVNAAPEYSMRRFVVFIKKGVYIENVVIDKKKWNLMIIGEGMDETIISGSLSKTVNLTTYKTATFATNGRGFIARDISFKNTAGPEKNQAVALRSDSDLSVFYRCGIFGYQDTLYAHTLRQFYRECKITGTVDFICGNATAVFQNCQILAKKGLQDQKNTITAQSARYPNQSSCFSIQFSNISADYDLLPFTKSIKTYLGRPWKAYSKTVFMQSYISDVLSPEGWLEWNGSIYLDTLYYAEYQNYGPGSALDKRVKWPGYHVLNDSNQASNFTVAQLIHGDLWLPLTGVNFTLGF >OIV96541 pep chromosome:LupAngTanjil_v1.0:LG15:18971239:18973703:-1 gene:TanjilG_24194 transcript:OIV96541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESAPQSLLPPPPIFPGFFKRLSELDIYDDQWYNELANDVDDVKPDYFRFTGNGEMIGGVCSDDLTPAAAAIQNSTDAVTAFSTCGDTIIGSQNGTPKHSNMSATIDYQASNCGTGNDLGTAVGSPVSANKPNSSENQTKGATSGSSEQSDEDDEFGPCEQSTNPLDVKRLRRKVSNRESARRSRRRKQAQLAELELQVEKLKLENATLYKQFTHASQQFRDADTNNRVLKSDVEALRAKVKLAEDMVTRSSFTLNNQFLQTQSQLSTPPQLNTTNLRAMAHVSPTITVHGNDASYGGVTVGGQNSTHGLGNLDIAYNNVNNGVLSDAVSSVTMWQ >OIV96359 pep chromosome:LupAngTanjil_v1.0:LG15:20252804:20254665:-1 gene:TanjilG_09786 transcript:OIV96359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAAYEESRQKRLEENKKRMEALNLHQLSQSLHKSPSPKPSPAKFRITPKPKSKHLLVPRRSTRVANLPTPLYKEVVVDRVTIPRRSYNRHRDYSKRVYASDEAREEALEKANKLESDLGSHCPTFVKTMLQSHVSGGFWLGLPVHFCKGNLPKGDEVMNLIDEDGNEYPTIYLARKTGLSGGWKGFAVAHDLADGDALVFQLIKRTTFKVYIIRVNGPAEEEG >OIV96669 pep chromosome:LupAngTanjil_v1.0:LG15:17156077:17156883:1 gene:TanjilG_09211 transcript:OIV96669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPFATMILALPAMLLEGNGILEWLSNHPYPWSALVIIFSSGVLAFCLNFSIFYVIHSTSAVTFNVAGNLKVAVAVLVSWMIFRNPISYLNAVGCAVTLVGCTFSGYVRHMLSQQPQVPGTPRTPRTPRSKMELLPLVNDKLEDKLYQV >OIV96327 pep chromosome:LupAngTanjil_v1.0:LG15:20440738:20443090:-1 gene:TanjilG_09754 transcript:OIV96327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQSIELPECIYFSDQPITITPCAPTPNHSLYLSNIDDQKFLRFSIKYFYLFKKSVSFEILKCSLSRVLVDYYPLAGRLRKSSVHDQKLEVDCNGEGALFAEAFMDTTAEELLESCKVPNKSWKRFLYKVEAQSFLDVPPLVVQVTSLRCGGMILCTAINHCLCDGIGTSQFLHAWAQLTTTKSHSDLTILPFHHRHVLNPSQPPQVKFHHPSYTSTTPNPNPNPHLDLFNFIQSQPLVPTSFTFNPTHLHFLKKKCAPLLNCTTFEAVAAHTWRSWVKSFHLKLPPTLVMKLLFSVNIRSKVKLPKGYYGNGFLLACAETSVRELVVPNLHHGVKLVQEAKEKMNDSEYIRSMIDFLEDRRVETDLSTSLVISQWSKMGLEEVDFGEGKPLHMGPLTSDVYCLFLPDIGNVNSVRVLVSVPESMVESFQYHMNQTCGNHTVDENGTNGFHEEQNG >OIV96879 pep chromosome:LupAngTanjil_v1.0:LG15:12888143:12891068:1 gene:TanjilG_00461 transcript:OIV96879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHVITSIHHACALAQSLESGLPNLANQPARLCLSINEIVKTLSDAKERLMILSQHDQTPLSSFAQLMMMPHEMHHQPQMDAISMQEWISCSYTQTTDQLLQAAMSSIPLDVRTLPETKMIMGMEAMEASPSRSRKRKVDLEKRTIMVPAPQFGNTEMPPEDGFTWRKYGQKEILGSKYPRCYYRCTHQKLYGCLAKKQVQRHNDNPSMYEVTYRGNHTCHMSSTAPLSIPPQQLLLDVTQRTISPQLSPTTASASSMWLSSINVGLQQGGGGGMAPAAAGASDGPSTSRDGADYPVVDMADVMFNNGSSSGNSIESLFPPTEDN >OIV97493 pep chromosome:LupAngTanjil_v1.0:LG15:259970:262587:-1 gene:TanjilG_11017 transcript:OIV97493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSFPLMLQFLLLTTTLTSSSFVQDPEYVVQEVEKKTNDSRRNLALYSCGTGNPIDDCWRCDPNWESNRKHLADCAIGFGKHTIGGKNGQIYIVTDPSDNSKNPKLGTLRHGVIQEEPLWIIFMHDMVIKLKMDLLMNSHKTIDGRGVNVHIAEGPCIKVEYKTNIIIHGIHIHDCKSGGSGYVRDSPNHVSMRGKSDGDGVTIFGGRHVWIDHCSLSNCFDGLIDVVHGSTSVTISNNYMTHHNKVMLLGHSDSFKQDKNMQVTIAFNHFGEGLGGRMPRCRYGYFHVVNNDYTHWQKYAIGGSSSPTIYSQGNRFLAPDDENHKEVTKHFHSSKSGWKNWNWRSEGDLMLNGAFFTQSGAGARARAGAEAGVGASLMYAKASSMVARPTKLVTSMTAGAGALDCRKGYIC >OIV97366 pep chromosome:LupAngTanjil_v1.0:LG15:1828319:1828915:-1 gene:TanjilG_07118 transcript:OIV97366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDVVSPIIVSSSPKPSPSSTIYTIPFLYYGLVVVGTAAMVLAIYNFIITKCCNNRVQTQPSQPSGHSRSLVDLVVNESRNFNNNNSQKRNLFSISSFKYKKEVVLTNEGGKEKQQQQQQQQQGGVDDYECPVCLSFYEEGDEVKNLPLCKHSFHAICIDMWLYSHFDCPICRTPVSPFFHHPFPAQNSHHRFDATYV >OIV96591 pep chromosome:LupAngTanjil_v1.0:LG15:18182401:18183714:-1 gene:TanjilG_28448 transcript:OIV96591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVKLLTTSILISLIYLTASTSLDPCASQPDDLDLNVIPIYSKCSPFNPPKPDSWANTLINMASKDPARVKYLSTLVGQKTVTSTPIASGQAFNIGNYIVRVKIGTPGQLLFMVLDTSTDEAFVPCLGCLGCSTTTFLPNASTSYGPLECSVPQCGQVRGLSCPAEGTGTCSFNQSYAGSSFSATLVQDSLRLATNVIPNYSFGCINAISGGSVPAQGLLGLGRGPLSLLSQSGTQYSGVFSYCLPSFKSYYFSGSLKLGPMGQPKNIRTTPLLRNPHRPSLYYVNLTGIGVGHVLVPVPKEYLEFDTTTGSGTVIDSGTVITRFVEPVYNAVRDEFRKQVTGPFSSLGAFDTCFVKNYETLAPPITLHLEGLVLKLALENSLIHSSSGTLACLAMAAAPNNVNSVLNVIANLQQQNLRILFDTVNNKVGIARELCN >OIV96779 pep chromosome:LupAngTanjil_v1.0:LG15:16221035:16222751:1 gene:TanjilG_18092 transcript:OIV96779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKNSEISSAFEEVSMIVIVKPGGNHESAHIPTKPFLSLCYLVLQVLDKIGPTMAVLRQDVHQNIKRLEAMHESNSSMNSNLVEILKSEASNGNTGKRFSCSKAFVWLTRSLDFTSALLHRLAKDPFKNMEQVVEESYASTLAPFHGWISSAAFQVALKLVPDSKTFMDLLREKDENYDTLKDKMQILVSLLVPFLQDIHCIIEAYNLDRFKST >OIV97167 pep chromosome:LupAngTanjil_v1.0:LG15:5753149:5756264:-1 gene:TanjilG_28918 transcript:OIV97167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITIFFFLLCLGVSDGTRGISLEGSKGKWQFLLKNTGVVSMHMALTYKNTVIMFDEIGAGPSRYPLRKRFGSGRRCTRRAKDLMDSTCFAHSVEYDISANSLRPLRLDTDPWCSSGSFLSNGTILGTGGYGKGAKRIRYYTPCGKNRQCDWNESKNYLSDERWYASTQTLSNDRAIIVGGRRVFTYEFVPKMGYGEKSYNLPFLQQTYDKSAKGNNLYPFLHLSSDGNLFIFANRDSILLNPRLNKVIKTFPRIPGDGSRSYPSSGSSVMLPLDHRDNFQKVEVMVCGGAATGALRNAGKGRFIEGLRSCGRMVITGNNHKWEMEYMPEPRLVHNMLILPTSHILFINGAKLGCAGYDNAKNASLEPYLYNPYKRLGTRITILESTNIARMYHSSAILLPDGRVLVGGGNPHGRYLFRNVSYPTELRLQAFIPHYMDKRFNSFRPSNLTIESYGGGKSYGIVYGREFEVRFMVGKFVSNNNEVKFSAYAPPFTTHGLSMNQRMLKLRCKRMVQKISGGWVTAVLEAPPSPHVAPAGYYLLTVVNGAIPSMSEWIQFRNA >OIV97525 pep chromosome:LupAngTanjil_v1.0:LG15:530964:535056:-1 gene:TanjilG_11049 transcript:OIV97525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHSCMNFNRKAFQILTTFLLLCPNLCSNSATAAIPAAGNVTDFHALLDFKNHIVGDPLQIMSLWNDSTNHCNWTGITCNISNGRVIYLNLSSQGLAGTVTPSIGNLTFLTRLNLLSNSFYGELPQEVGRLLYLQRLNIDYNNFAGRIPSNLSRCTELTGLSAGANNLTGTIPTWIGNLSSLVGISFSMNNLHGSIPHEVGSLSSLTFLGVYGNYLSGTIPSSIYNISSIFYFTVAQNNLHGNIPANIGFTLPNLQVFAGGVNSFTGTIPASLLNASKLEVLDFASNGLTGTLPKNIGILNRLTRLNFDDNRLGTGRADGLSFLDSLVNCTVLETLGLAMNTFGGELPRSIANFSKQLRTFTIGSNGIQGNIPVGISNLDNMAKLGLEGNRLTGSVPDELGMLQNLKELYLNGNKFSGRIPSSIGNLSSLTKLFLEENNFEGTIPASLAKCRKLLTLSLYRNKLSGTIPEEVISLSSLAIYLDVSHNALSGTLPYEVGKLVNLGKLVLSENNFSGVIPSSLGSCTSLEQLHLQGNHFEGNIPQTLTNLKGLEDIDLSRNNLSGKIPEFLGDFKVLQHLNLSYNDFEGEIPKNGIFKNASSISIYGNKKLCGGVPELKLPACTIKKASLQRKLLATKVAIPVASALLIFLLFLSCFIIISTMIKRSRKGSSMSTTTNNSELEISYSEIAKCTGGFSQDNLIGSGSFGSVYKGTLSSDGSIVAVKVLNLRQRGASRSFIDECQVLRSIRHRNLLKIITAISSVDRQGNEFKALVFEFMSNGSLEDWLHPTNTLQYQTKTLTFIQRLNIAIDVACALEYLHHSCETSIVHCDIKPSNVLLDNDLVAHVGDFGLATFLYEESSNFSRQSHSSANLKGSIGYIPPEYGMGGKPSILGDIYSYGILLLEIFTGKRPTNDAFEGGIGIQEFIAMALPNNVMDIIDPSLFKQEFGEENHELEVEENAIMREFDIENQAKSFMEDFIVSLMQIGVSCSSTLPSERMHITSVVNKLQAIKNSFMKIKQNAI >OIV97008 pep chromosome:LupAngTanjil_v1.0:LG15:12009133:12010521:-1 gene:TanjilG_03582 transcript:OIV97008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGKGKRKENDHASDDSEGHAPPNKTAKKDSDDPDSIVVCEISKNRRVSVRNWQGKIVVDIREFYQKDGKQLPGKKGISLTMDQWNVLRDHIEEIDEAVNENS >OIV96720 pep chromosome:LupAngTanjil_v1.0:LG15:17729549:17733457:1 gene:TanjilG_09262 transcript:OIV96720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGLTVSDSPAAESDGKITFSLVITCIVVASSGLIFGYDLGVSGGVSTMVPFLEKFFPDLLRKAASAETNMYCVFDSQVLTLFTSSLYLAGLVSSLAAGRVTLAMGRRNTILLGGVIFLAGGAINGGAQNLVMLIVGRLLLGLGIGFTNQAGPLYLSEVAPPKWRGAFSTAFQFFNQLGVLMAGIINYFTAKHSWGWRLSLGLAVAPATIMTLGSLLISDTPTSLVERGKIELAKKALLKVRGSNIDVQPELENLIKSSEVAKAMKQEPFRTIFEKQFRPQLVFAFAIPFFQQLTGINIVAFYAPNLFQTVGFGNDAALLATIILGAVNLGSILVFSSIVDRCGRRFLFILGGIQMLLCLVVVAIVLAIGTGVHGTKNLEKGYTELVLVVMCIYSAGFGLSWGPLLWLIPSEIFPLQIRNTGQSIAVAVQFITIFILAQTFLTMLCHFKFAAFLFHAGWVLVMTMFITLFLPETKGIPLESIYTIWCRHWFWRRFVKGVVLGDSTD >OIV96540 pep chromosome:LupAngTanjil_v1.0:LG15:18978839:18980107:-1 gene:TanjilG_24193 transcript:OIV96540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSYLPATTDSIALALEAKDPSEAISILYRVLDDPSSSPEALRMKEQAITNLSDLLRQENRGEDLRSLLTQLRPFFSLIPKAKTAKIVRVVIDSVAKIPGTSDLQIALCKEMVQWTRAEKRTFLRQRVEARLAALLMESKEYSEALSLLSGLVKEVRRLDDKLLLVDIDLLESKLHFSLRNLPKSKAALTAARTAANAIYVPPGQQGAIDLQSGILHAEEKDYKTAYSYFFEAFESFNALEDPKAVFSLKYMLLCKIMVNQADDVGGIISSKAGLQYVGPDLDAMKAVADAHSKRSLKLFEVALRDYKAQLEDDPIVHRHLSSLYDTLMEQNLCRLIEPFSRVEITHIAELIELPIDHVERKLSQMILDKKFAGTLDQGAGCLIIFDDPKTDAIYPATLETISNVGKVVDSLYVRSAKIVA >OIV97251 pep chromosome:LupAngTanjil_v1.0:LG15:3144297:3147463:1 gene:TanjilG_10785 transcript:OIV97251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVENQRGPVVDEEGASDPFPVGMRVLAVDDEPICLRVLETLLRKCQYHVTTTNQAIEALRMLRENRNNFDLVISDVNMPDIDGFKLLELVGLEMDLPVIMLSAHSDTKLVMKGVAHGACDYLLKPVRLEELKNIWQHVVRKKKTDSGDKPSKEEKGPNIAGEGSQGILSESSADQNKALGKRRKDQSEDEEEDPDADEEETEDPSAQKRPRVVWSVELHRKFVGAVNQLGLEKAVPKKILDMMNVEGLTRENVASHLQKYRLYLKKATQQASMVAVFGGSDSYMRMGSIDGYGDFCASSGSGRLSTATLPSYASSGIFGRLNSPAGLNMRGISSSALISPVQSQNISSSFNTVGNIQPSIFPANHSSSLFHGIPTSIELTPAKQSNCTTGLTQLSQVDQSGFTAASGFPDSRVTVGNANNSIPCVSNNHLMLQGNSQQTHSGAFRNQSSVRAAPLGTESFDVGMCSSSNMLDYNRSNENWPSAAQLPKFPANSLPFCETFNNDQLPLTGMNVSNSSTLIGNSPVDFSSRIAISVPLEDSRSELQCQEDLTRNILQPSSYTPRQRWDEHRLDYNENMSRPFNSVNSLVSSSGVMNTLGNSFNQNSTTCGNRIDASLCGQLNGASPSMPQCNEVEKLSSGIRFKPNDAYILEQMKSQDGFIHNNYGTLDEIMGAMVKRV >OIV96583 pep chromosome:LupAngTanjil_v1.0:LG15:18104018:18104650:-1 gene:TanjilG_28440 transcript:OIV96583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHSKTQARTKTSAIANNHRSGSVIYKECQKNHATIIGGYVFDGCGEFAAAGKEGTMEADICAACNCHRSFHRKEIEGVVNINSYVHHPLPQPQPLLYTCYHHLPPPPPIGYHHHIVAASPLSQHRPIALPTAPDGDFSCEEGDISNRNDNDDGGKVKTRFRTKFTIGQKDKMLAFVEKIGWKIHIHDATAVEQFGAETGVKRQVLKAWI >OIV96718 pep chromosome:LupAngTanjil_v1.0:LG15:17716208:17718487:-1 gene:TanjilG_09260 transcript:OIV96718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENRNTPVRKPHTSTADLLTWSETPISDSPLPHSSASRSSLRSHQPSDGISKVVFGGQVTDEEVESLNKRKPCSEYKMKEITGSGIFVANGEDETSEAGSANPGSNKTGLRMYQQAIAGISHISFGEEESVSPKKPTTIAEVAKQRELSGTLESEDSKLKKLLSDAKCKELSGHDIFAPPPEIKPNPITPRILELKGSIDIGVPTQHQADEEQGDLKSTGEPLKTAKKIYNQKFAELSGNNIFKGDAPPSSTEKSLSGAKLREMSGSNIFADGKVESRDYLGGVRKPPGGESSIALV >OIV96630 pep chromosome:LupAngTanjil_v1.0:LG15:18602083:18603673:1 gene:TanjilG_28487 transcript:OIV96630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPCPFVRLTVRNLALKIPVASKPARSVVHPSSSPCFCKIKLKNFPLQSAVVPFIPPESNFPDSHAHPIAATFHLSKSDIDKLAGKSIFAGKLSVKISIYTGRRGTTCGVNSGRLLGKVSVPIDLAGTTTKATVFHNGWITVGKEAKGSSAQFHLNVKAEPNPRFVFQFDGEPECSPQVFQIQGNISQPVFTCKFSFRNGDRNQRSRSLQSDLGRSRSWISSFGSDRERPGKERKGWSITVHDLSGSPVAAASMVTPFVASPGSDRVSCSNPGSWLILRPGDGTWKPWGRLEAWRERGGSDGLGYRFELIPDSSGSMSAAGIVLTESTLSTSKGGKFVIDLSSKAGNGTNGRVTPGSATSPVNSPRGSGDYGYGLWPYCMYRGFVMSSTVEGEGKCSKPTVEVSVPHVNCTEDAAVFVALAAAVDLSVDACRLFSQRLRKELCQQLDLHG >OIV96587 pep chromosome:LupAngTanjil_v1.0:LG15:18150308:18152350:1 gene:TanjilG_28444 transcript:OIV96587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEANNNTVPSIVVYVTVPNKESGKKLAESIVKEKLAACVNRVPGIESVYVWKGEIQTDSEELLIIKTRQSLLEALTEHVKANHEYDLPEVISLPITGGNLKYLEWIKESTRD >OIV96935 pep chromosome:LupAngTanjil_v1.0:LG15:13732381:13735683:1 gene:TanjilG_00517 transcript:OIV96935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAENVGTKIGSSSQNLDNSVVSSETITEVEKTKPRNGSIDGGDENVNGVFNHHYGAPNDNYKVQMGQIPNGFEGNEVQNQQMVVNNNGYGGANGENGGESFKRDMRDLEELLSKLNPMAEEFVPPSLTQNLGYLAPPSAGFGYPNNFVLHNNFGNVNRQNNRRRKNGYNNGKRRANNNIDMEREEEMIRRTVYVSDIDQLVTEEQLAALFLNCGQVVDCRVCGDPNSILRFAFVEFTDEEGARTALNLTGTMLGYYPLRVLPSKTAIAPVNPTFLPRSEDEREMCSRTIYCTNIDKKLTQADVEHFFESICGEVQCLRLLGDHQHSTRIAFVEFTVAESAIAALSCSGVILGSLPIRVSPSKTPVRSHFPRTSMH >OIV97086 pep chromosome:LupAngTanjil_v1.0:LG15:10053554:10058000:1 gene:TanjilG_14000 transcript:OIV97086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGVLSTSFFLCCLLLLLDSSLSLPLCLDSRAPFTLNSTLKFCPYNGSTCCNLTQDAQIKKQYQGMNISDPSCASVMKSILCASCDPFSKELYTVQSSPRSVPVLCNSTIPTNSSQSKTEVEDFCSQVWDTCQTVSTTNSPFAPSLQGQAGGAPVDKNTTKLTELWQSKTDFCKAFGGTSDNSSVCFEGVPIALNKSETPIIPPHGLCLEKIGNGSYLNMVAHPDGTNRAFFSNQMGKVWLATLPDEGSGRTLELDESNPFVDLTDQVHFDTMFGMMGMAFHPNFAKNGRFFASFNCDKSKWSGCSGRCSCNSDVDCDPSKLATDQGAQPCQYQTVIAEYTANGTTSQPSLAESASPTEVRRIFTMGLPFASHHGGQILFRPDDGYLYFMMGDGGSTGDPYNFAQNKKSLLGKIMRLDIDNIPSASEISKLGLWGNYSTPKDNPFSEDNDLQAEIWALGLRNPWCCSFDAERSSYFLCADVGQDLYEEVDLITKGGNYGWRVYEGPHLFNPTQSPGGNTSLKSINPIFPIMGYNHSDVNKNEGSASIIGGYVYRSTTDPCTYGRYIYGDLYAGAIWAATEDPTNSGNFSTNKIPFSCAQDSPIKCDSTPGSSLPALGYIFSFGEDNMKDIYILASTGVYRIVPPSRCSYTCSLEKETTTAGHAPSPTSPSHASSRWCNFYGNLFLQFSSFLLLLFGFM >OIV96529 pep chromosome:LupAngTanjil_v1.0:LG15:19075806:19078127:-1 gene:TanjilG_07921 transcript:OIV96529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPQQSLRIDLGELRAQIVKKLGVEKSKLYFYYLNRFLSQKLSKTEFDKLCFRILGRENLPLHNHFIKAILKNAIQAKTPPPVQPSGPPKSTAHATDISPGREDGHEQSVGSFQNQNQSQPIWSNGVLLVSPRKVRSGMRDRKLLRDRPSPLGPNGKVDSVSHQSLGTEDSSSKDDMENAVLTPCDYQRPTQYLQAVAELPENERGDADQRPAKKPRIHGKGPAEMPIVEDGEEVDQLSRLRFPRSPLVAPLGIPYCSASVGGARKALPVGSTGDFGSCSDSGRLSDTDTLHRRMEQIATVQGIGGVSMECAHMLNNMLDVYLKRLIKSCIELVGARSTNELRKPLAPKQQIQNKVINGMRPSYNQLLLQSVGGSIEPVPEHKPLCSVSLHDFKTPPPVQPSGPPKSTAHATDISPGREDGHEQSVGSFQNQNQSQPIWSNGVLLVSPRKVRSGMRDRKLLRDRPSPLGPNGKVDSVSHQSLGTEDSSSKDDMENAVLTPCDYQRPTQYLQAVAELPENERGDADQRPAKKPRIHGKGPAEMPIVEDGEEVDQLSRLRFPRSPLVAPLGIPYCSASVGGARKALPVGSTGDFGSCSDSGRLSDTDTLHRRMEQIATVQGIGGVSMECAHMLNNMLDVYLKRLIKSCIELVGARSTNELRKPLAPKQQIQNKVINGMRPSYNQLLLQSVGGSIEPVPEHKPLCSVSLHDFKVAMELNPQKLGEDWPLLLEKISMLSFEQ >OIV96423 pep chromosome:LupAngTanjil_v1.0:LG15:19881788:19882891:-1 gene:TanjilG_09850 transcript:OIV96423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSFDNNRFINFDEKNPSFSSTLLDKIYRSIDEGERKSSDMKFYRETTTVRKQSRSNVKFIRASDKVDIQGRQHNISQMHHHRVHDHERDVMFFSSVSNSSDSSSGTLSSSDTESLYGMRSKASCFVPYPKPKPVRTNVSEKTEKKITERKHSNMFLEQKKNAQIFDSYSNIESSEEGLIKSKSRAMKIYNNLKKVKQPISPGGKLTNFLNKLFATGNNTKKPKSTSSVEDTNAERKTQAGSTCSSASSFSRSCLSKTSPSSREKLRNNGVKRTVRFYPVSVIVDEDSRPCGHKSLYEEKELQFQQNKSNFHPTGIDDDAASDSSSDLFELDHLTVFGNDDRYFEELPVYETTHLSTNRAIVNGRIM >OIV97304 pep chromosome:LupAngTanjil_v1.0:LG15:2428286:2428951:-1 gene:TanjilG_07056 transcript:OIV97304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENNVQQPEPQPEPEPQPQPQPQPEGPQLQEVLFQSYDHNPPITSLNQTTTFPINIAVYLAPMILDITVTNNSISITPTQPNNDNRPPQLHPLPDHLLFRRYAINLPYCLSFPTNITMATNTMFTTLYVDNTIFFDESRIIRRNNNTLVYENTLVHPIILPIYLHLNLINTTTNTTITVSFAIYTNNTIIVALLGMGITESIASFSVPNPIRNLGLAAPAA >OIV96628 pep chromosome:LupAngTanjil_v1.0:LG15:18577302:18582119:1 gene:TanjilG_28485 transcript:OIV96628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTDRFQRNSMYIETPEGYGDGGKNFDDDGREKRTGTWVTASAHIITAVIGSGVLSLAWAIAQMGWVAGPAVLFAFSFITYFTSTLLADCYRSPDPVTGKRNYTYSQVVRSILGGRKFQLCGLAQYINLVGVTIGYTITASISMVAVKRSNCFHKHGHEDKCYTSNNPFMILFACIQIVLSQIPNFHKLSWLSIVAAVMSFAYSSIGLGLSIAKVASGGEHVRTSLTGVQVGVDVTGSEKVWRTFQAIGDIAFAYAYSNDTLKSSPAENKVMKRASLIGILTTTLFYVLCGTLGYAAFGNDAPGNFLTGFGFYEPFWLIDFANVCIAVHLVGAYQVFCQPIFGFVESWSKEKWPESKFVNVDHAVKLPLCGTFSVNLFRMVWRTIYVIITAVIAMLFPFFNDFLGLIGSLSFWPLTVYFPIEMYIKQSKMQKFSFTWTWLKILSWVCLIVSIISAAGSIQGLAHDLKKYQPFKATQ >OIV97077 pep chromosome:LupAngTanjil_v1.0:LG15:10554293:10555684:-1 gene:TanjilG_14622 transcript:OIV97077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVGREVSISLDGVRDKNIMQLKKLNIALFPVRYNDKYYADALASGEFTKLAYYSDICVGAIACRLEKTESGGQVRVYIMTLGVLAPYRGLGIGTKLLNHVIDLCSKQNISEVYLHVQTNNEDAISFYKKYGFEITETIKNYYTNITPPDCYVLTRYTAPSPTKK >OIV97235 pep chromosome:LupAngTanjil_v1.0:LG15:3468220:3475260:-1 gene:TanjilG_13714 transcript:OIV97235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQVSSSNKRRSKNTSVVVAAGSVWERRMKSEEEEEGIGRLKRNQIVGVAASGGKRKTWNSDKNSEDGIKKGPIQRKKVGASADKSVRRSIIHTRKIRSEKEGGESGEGKLLKSKSDSVNKNDSDSADSLRKSKSDSDYVLDETRNVDDVLDSSRCEMVVEDDNNKNDCDENCKDFEVCQENVISSNSYNVSVVNDEGDEEDEIDEEEVEIELEKETFDVKEISIPESNSNVVVHEPEKKVIVVIDESENKKKILNESEPKKIVSANMRFHHRNEKPLSVPIVVKQSSQIRRHSTINQNFSKANSIPKEEYHSFPQTQNKLQSLVDLIMWRDVSRSAFIFGFGTFVIVSSSYAKDINLSIVSVMSYIGLIYLAVIFLYRSLVCRGVIDVEDTKYVLGEEEAIWVLKLVLPYLNELLSKFKALFSGDPGTTIKNLILAIMYELQLAVLLFVLARCGSCITVWKMAKIANFWILRFRDAWDSCSHKKAVALGIFGLVWNLSSVIARIWAVFVLFVAFRYYQQHYLMRDECMEDEAECDETWDEPVEVGLKKGHVACFIDTNKVKKRF >OIV96410 pep chromosome:LupAngTanjil_v1.0:LG15:19940536:19942794:1 gene:TanjilG_09837 transcript:OIV96410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASGKWVKALIRVKKPDKVKESGKNKRFRSWKSSTTCSSHSGDSPLSVVEVDSYTAAVATVIRAPPKDFRLIRQQWASIRIQTAFRAFLARRALRALRAVVRIQALVRGRQVRKQAAVTLRCMQALVRVQARVRARRVRLSIDGQAVQNILNERRTTSDLLKQAEEGWCDSTGTLEEVKAKIQMRQEGAFKRERAIAYALAQKQGRSAPSSNSATLSSLKNHGSDKSNRGWSWLERWMVAKPWESRLLEQSQAESSDKSKTTPTPPKKFVDSFLTNSNSKPSVEPCFITVKKNEVNASISARPRLHIGQAQAMRSFSSPSSEFLYGESSASSSFCTSTTPISGNSCDRTEDSSNTRPSYMNLTQSTKAKQRRNQMYNRFQRQQSMDEFQFLKKCTIFSNGDSKSNVDSDPSINFFRPLCLSTNSLGYELSEAKVRSEKSLLPM >OIV97419 pep chromosome:LupAngTanjil_v1.0:LG15:826507:829850:-1 gene:TanjilG_16180 transcript:OIV97419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMKVKVHKYKVLNFLTRKFEVHKTEPPLDIKKTFLKFANGGNLMFNDQLLQFMMEYQGEKNCTIKDLEPIIEKILHEGNSTCNAEGCKGQGLSLNDFINFLLLHDFNGHNSYLTGNQLTSECSDEPIIEALLHGVRVIELDLWPASSKDGIKVVHGRTLTTPVSLIKCLESIKKYAFIKSDFPVILTLEDHLTSTLQAKFAEIATEIFGDMLHCPQTDCLTEFPSPESLKKQVVISTKLPKEDPQNEEELCGKALLDSMVKLKTEYLNESYEDEEDISTKKPNLRGAVQYKHLITIHGVKSKGTIKELLKVDGKVRRLSLSEKKLKSASESHGTDIIRFTRENILRVFPNGERVKSSNFRPHLGWMYGAQMVAFNIQGHGKPLWYQQGMFRANGGCGYVKKPEFLLCKQSDKDEFDPKRTSPVKKILKVKVYNGEGWKSDFSSTHFDLFSPPDFYTKVCIVGVPADSVKKHTSVVMNKWFPVWDEEFEFPLTVPKLALLRIQVKDKDKGGKDDFAGQNCLPVPELRHGFRSVPLYDKRGKKYESVKLLMRFQLENVE >OIV96574 pep chromosome:LupAngTanjil_v1.0:LG15:17991591:17994204:1 gene:TanjilG_28431 transcript:OIV96574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRILLIISCAVLAIGVSGGPLMMRLYFLDGGHRVWLPSFLEACGFPIILIPLSIAYIRRRRSAAATQSTKIKLMLMEPPLFFAFVVIGLITGLNNFFFAFGVSRLPVTTSSLILATQLAFNALFSFLLVRQKFRAYSVNTIVLLTIAAGVLAMHSTGDRPAGESNKQYAMGFALTVLAAVLEGFILPVVELAYKKVKQTICYSTVLEAQFIMCMFASFIGITGMIVTNDFKSISKEARQFDHGEVVYYTVLAGTALLYQCFFVGAIGVVFCASSLLSGVIVAAFLPVTEVLAVIFFKEKFNAEKGVALILSLWGFVSYFYGEIKQAKKMKKNVTLEAEIPQVLPIPNP >OIV97228 pep chromosome:LupAngTanjil_v1.0:LG15:3718914:3736661:-1 gene:TanjilG_27211 transcript:OIV97228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEATGRTRITPYTRNQTLRIFCAKRTGKQRYPSEKKKLKSKNKEILSDSKDKDKFEGTWRLFKLAVPLDQDPGKDFLVISDALLQEIAKALKFPVASLLPQEAFTIVRKSFDARKKLKEPKFVHTVDMDAKKLLSLEPRTWDFISRLEPKVGLVEHVLDRRDFGDLRSIIRDCKENKESVVKGENGHSIFSGLSHIQTARKPKIAIVGSGPSGLFASLVLAEFGADVTLIERGQPVEKRGRDIGALVVRRILELESNFCFGEVMRSLVEFGAPKQILIDGKPHLGTDRLVPLLRNFRQHLQELGVTIKFGTRVDDLVIKDGHVLGVTVSESSDRLRSSSQKMEYDAVVLAVGHSARDIYQMLLSHNLDLVPKDFAVGLRIEHPQELINSLQYSELASEVCRGRGKVPVADYKVANYIDKEEFYGYSGSEPANRSCYSFCMCPGGQVVLTSTNPSEMCVNGMSFSRRASRWANAALVVTVTKKDFEALNYHGPLAGVEFQLHLFVPVTSVPPSSYRLGVKAANLHQLFPIHITEALQHSLLSFDKETRTSSPIQIPRDVDSYESTSLKGLYPVGEGAGYAGGIISAAVDGMHAGFAVAKRFGLFHGDLESVLGKAQNVGFLKY >OIV97457 pep chromosome:LupAngTanjil_v1.0:LG15:28095:31696:1 gene:TanjilG_10981 transcript:OIV97457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKVRKKSRIAVKDKEITTGSVSVSVTKDTNNSCPHLLRGVNLNTLSTKIASSSQVRCEDCAERRGNKGKGKHAKNKASSDSKSDSKAIWVCLDCAQFTCGGVGLPTSSQCHAGRHASLTRHPLAIHLEKPQLCWCFHCKMPIQLDRLEETDEASRLLSGAVKLLKGRPSGKTPLDTEDVHIGDGSVTSEIKSKILFTTTNSYVQGGYVARGMVNLGNTCFFNSIMQVLLAMNKLRNNFLNFDAPVEGALTSSLKKLFNETNPESGLRNNINPRSFFGCVCSKYPQFRGYQQHDSHEYLRCLLDGLSTEELAARKQNGSPKKDGTTPNNTLVDALFGGQISSTVCCIDCGHFSTVYEPFLDLSLPVPTKKPPPRKAQHVSRTKKSKLPPKKGGKTRYKGNKDASPLPVQSLLKQSPSHVSSCPAQSNISSVAGEMLGSSADSSVLGSGEVSSMADKQNSSSPNSVAVEESQHTLQVLDNATEETLASADDFTWLDFVEAGTVVDEGDSISQKEDTPEVHETKNNNECLKELHVQAASCESSGSFCFLKDEEDQNLRPDSSSANRWEDEVPLQVQGSEVLLLPYKEESSSAAEITGDDREASSSVLGCAQEEIEFDGLGDLFDEPETVFAGPAPRPSSSGGVKEAGFIVGNNNSESDPDEVDDADTPVSVESCLAHFIKPELLSDDNAWHCENCSKTHRQKMETYTQAKNASDGNETRCHNEPGYAACSVKVGGIGNGDMGNEKNVESSVSHVKLDTKLESGQIDELNTNTNDRDHGTLGMKDNEELQSSGSHKACNEESCDRPPADSCTTLHMIGTVQKGDTQMLGQDNNDSDECSEEEASSESVKVKRDATKRVLIYKAPPVLTIHLKRFSQDARGRLSKLNGHVSFRERMDLRPYMNPGCISEENYEYNLVGVVEHSGSMRGGHYVAYVRGGQRNRGKADKEVEGSTWYHASDAYVREVSFNEVLRCEAYILFYEKN >OIV97238 pep chromosome:LupAngTanjil_v1.0:LG15:3548919:3549932:1 gene:TanjilG_13717 transcript:OIV97238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVADSSWSHRFKTIMTEKYKKKPTPYWILLIVSIIAMLVAFPASSILSRLYYSNGGQSKWIISWISVAGWPLTALLLLPTYFVKKTLPTPMTLMLFLSYIFLGFLSAADNLMYAYAYAYLPVSTASLVASTSLVFSSIFGYFIVNNKVNASIFNAIVVITAAMTIIALDSSSDTYGTITQREHILGIVWDVLGSALHGLIFALSELVFVKLVGRRSFIVVLEQQVMVSLSAFLFTTIGVIVSGGFKGMKAEAETFKGGKSAYELVLIWSAITFQVGVLGGTAVIFLASTLLAGVLNAARTPITSIGGVWLLHDPMSGFKILSLIITIWGFGSFIYGS >OIV96768 pep chromosome:LupAngTanjil_v1.0:LG15:16546779:16547021:-1 gene:TanjilG_19927 transcript:OIV96768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQQKVTSTKCETKMKNLGKNKKNATVIPAPRKLVKTMIFESVVDFIIHLFSAEDGKPTKKEMMVTAPCCCRRKTKCICS >OIV96992 pep chromosome:LupAngTanjil_v1.0:LG15:12652228:12658428:-1 gene:TanjilG_31883 transcript:OIV96992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGNKPYVVVFIIQAIYAAMFLLSKAAFDHGMNNFIFVFYRQAIATLFLLPFAFFFEWKTAPPLSFLTFCKIFFLSFFGITLSLDIYGIGLIYTTATLAAAATNSLPVITFVLALLLSFFGLWLVLQGIMVTGVTYYLQTWVIEKKGPVFFAMSTPLSLILTILSSALVLGVIIRLGSLDIMDNSLGIRASSLVRKNNIAQQKQELVQNRKLEVIDSAIRISSKTITTNILKGFFSQLKNMLDLAMRFIISVHLLQEKSSLLSHNC >OIV97325 pep chromosome:LupAngTanjil_v1.0:LG15:2259213:2260274:-1 gene:TanjilG_07077 transcript:OIV97325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGITPMNHEEHEEVEGTPERPRLSVFRSNKHLSVQVIDDTNMHTLASASTLQKTIFEEFNYTSGPTIEVAKRVGEIIAKSCIEKGIKKVAFDRGGYPYHGRVQALADAAREHGLEF >OIV97223 pep chromosome:LupAngTanjil_v1.0:LG15:4330453:4377560:-1 gene:TanjilG_02931 transcript:OIV97223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSPKQLLSTLESTLLGPSPPTAAQRVELLHAIRTSSSSFQSLLSYSTPKSSDRNQVQSKSVRLPDSSPITLDDQDVQIALKLSDDLHLNEVDCVRLLVSANQEWGLMGRGPLEILRLAAGLWYTERRDLITSLHLLLRAVVLDQGLEDEILVDIQKYLEHLINSGLRQRLISLIKELNREDSSGLGGPQCEPYILDSRGSLVERRAVVSRERLIIGHCLVLSVLVVRTSPKDVKDIFSVLKDCASEVSVSNTTVKHQITFSLLFALVVAFVSDGLSTVPDKASILSSDASFRREFHELVMATGNDPVVEGFIGGIRLAWVVHLMLIQDGVANEDEDMIYMYNAYLHKLITCFLSNSFARDKIKESKERTMSVLSPYRVVGSHDFAQDRNSSSQHGSDMGALTFNSILDFVSEIYQKEPELLSGNDVLWTFVNFAGEDHTTFQTLVTFLNMLSTLASSQEGASKVYELLQGKAFRSIGWSTLFECLTIYDDKFKQSLQTAGAMLPDIQEGDAKALVAYLNVLKKVVENGNPIERKNWFPDIEPLFKLLSYENVPPYLKVYDMQFELNEIEARREQYPSTISFLNLINALIAEERDLSDRGRRFIGIFRFIYDHVFGPFPQRAYADPCEKWQLVGACLQHFRMILTMYDVKDEDFEGIVDQSRLSTMKESSPLQTQLPVLELLKDFMSGKTAFRNIMGILLPGVNSIIAERSSQIYGQLLENAVQLSLEIIILVLEKDLLLSDYWRPLYQLLLKSNAANSLIEDYAACLELRSEESQTVENNTDDPGILIMQLLIDNISRPAPNITHLLLKFDLDVPVERTVLQPKFYYSCMKVILDILENLLKPDVNALLHEFGFQHLDTICSATLPKRNSNQPLRISSLHQRAWLLKLLAVELHAGDVSSSTHREACQTVLSHLFGQDMLEIGGGQAMSPFSLQATYENAAIRTVSKSKVLELLEIIQFRCPDTSSNLSNIVAGMKYDLLVEDILGNPGNSGKGGVYYYSERNDRLIDLASFHDKLWQKYTSTYLQVSNLGSEVELNDVRETIQQLLRWGWKYNKNLEEQAAQLHMVTAWSQIVEVALTCMAKLRDERFLFPGSLSSDNITCLDLIVVKQLSNGACLTILFKLIMAILRNESSEALRRRQYALLLSYFQYCQNVVDPDVPTTVLQFLLLSEQDNDYIDLPKIYSIFIIIDSEIEELMFPAQIKRVSLDVYIHQTYLSHRYDDTDFFVWFKDGGLSLDSLQRACTFEAELALLLRISHKYEKSGAQVLFSMGILEHLSSGRIISSQMKNKIVREIIDFVKGHQPLLDHILRVDIAEADDLRMEQINLVVGILSKIWPYEQSSEYGFVQGLFGMMHALFSRDLKVSSFAQSISPKNQRNSELQMFKLCFSLSSYLYFLVTKKSLRLQYSDATSSYPTSVEIQQPTLSLLNSLLTSVTTALERASEEKSLLLNKIRDINELSRQEVDEIINMCVQQDYVPSSDNIHKRRYIAMVEMCQVVASWDQQIILLLPLSEHVLNIILIHLQESCVASDSTLTTKTITYGAKYNPEQDIAFLCGKLVPALERLELLSEDWKMLMFSAFTRLCVSKSLSSSESSS >OIV96361 pep chromosome:LupAngTanjil_v1.0:LG15:20238598:20239257:1 gene:TanjilG_09788 transcript:OIV96361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNQPRNHVTLPSSETNPSYMSNLDMEEGQIDSKENKANIIDYAKRAQWLRAAVLGANDGLLSTASLMMGVGAVKKDSKTMIIAGVAGLIGGACSMAIGELVSVYSQYDIELAQMEREGDTSDKDKLPNPFQASIASAIAFATGAMVPLLGAAFVKDYKTRLGVVVAVVSVALVVFGWLGAVLGKAPLVRSSLRVLIGGWFAMALTFGLTKLFGSAGV >OIV97255 pep chromosome:LupAngTanjil_v1.0:LG15:3068991:3072101:1 gene:TanjilG_10789 transcript:OIV97255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSLNLWPTPNSFSPPLSVVYNYSLPPSPSPLRSHHSLTQHPLRCAVLGAGYAGLSVVWHLLKHSPKELNIRIDLYDEVGIGGGASGVSGGLLHPYSPKVKLLWEGSQCWKETMKLLRVAEEASLSYSNDCIVGESAEDMKAFVAQKRGILRPATDLKNMTKVIDNVKTCLPSCRVETLNNKEAQILVPDLCLPFTTAFYMPEALCINSQNYLQALFRGCENLVKESSTLDSGQKQLTLHKRSIHRLSEFEGEYDAVIVCLGAKVNILPEICGRLPLRTCRGVIAHLELPDYRREAYPEGGPSILSDAWIAVQGPRSLDVGSTWEWKSINSSPNVSTDEASKALEELLPKASAIYPGIKDWVFTGARAGLRAMPPVTSHGSLPLLGCIDDFMGRNHSCKYWLFGGLGSRGLLYHGWLGNLMAHAVLSCNEEVIPSELTSWKNIKP >OIV96887 pep chromosome:LupAngTanjil_v1.0:LG15:12974257:12978151:1 gene:TanjilG_00469 transcript:OIV96887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIDNPFEYDTGENGGFYETSLLEMYDLEQVAKWTERILPFLLLLLAVFLREHFQGVFVMMFTSFVLFKSNDIVKKQTALKEDRRALVLAGFSSASMLYVICIYWLYRNDDLAYPLIMFPLKETPSLWHALFTILVNDTLVRQAAMALKCMLLISFKNCRRNNFLWQGKMLTLVEHTLLLYRSLLPISVWNTFFMNKDYGTLFSLVMIGLYLTFKLSYALEKVNDAGDLCAICHERMYAPTQLLCKHIFCEDCVCQWSVHTFIVCEDF >OIV97371 pep chromosome:LupAngTanjil_v1.0:LG15:1801642:1805529:1 gene:TanjilG_07123 transcript:OIV97371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMFAKSEAVEKSGAGNQKKLVKDVETINKAMYLDRNSSKISTSSAISRSKSTWKPQSPEPKSRLKGSSSSSSSGRSNDNDNLQKDKKSIWNWRPLKALSHIRNKRFNCSFNLQVHLIEGLPSNFDDASLSVSWKRRDGVLVTRPAKVVQGVAEFEEKLSYTCSVYGSRSGPHHSAKYEAKHFLLYASLITAPELDLGKHRVDLTRLLPLTLEELEEEKSSGKWTTSFRLSGMAKGGVMNVSFGYMVVGDNASATRDSHKAPNVLTSRQNSTALMKPDVKPKQFDGSSNLRRAQSLSTKQFDEVKDLREILPVSKSALASSIDVLYKKFDEEKACSPSHSKPELDVFTENLDPIKPDACASPDLQEEKPEEHVGDDGNTCPAHDKHEVDVFQEKLKMVEPDGYPLPDSGKENPEDCQGDEFFVVDKGIELSLDEPVKPEESIIKAPEDAATVYSTDSLDAAGIQVSSKDSVKHDSLDEVNGSSSKDQAAAPGFSCQEDDLYTEELLLQEFESALNSVSDLKTVAWESPKIMEAKSEYDRRKSHSFDDVTELVTSEFLSMLGVGHGPTGLSSESEPESPREILLRQFEKEAQDGGFSLFGFDMEYDNEEYGGVDASIGSEEWNSSEGIKSSSLLQSMPEEHVVESHDVGSKQRAQMLEGLETEALMREWGLNEKAFHHSPPKDCSGFGSPIPLPPEEPPTLPPLADGLGPFLQTKDGGFLRSMDPSIFSNSKSGGSLVMQVSNPVVVPAEMGSGIMDILQCLASVGIEKLSMQAKELMPLEDITGKTMQQIAWEAMPALEGTERPSHLQHDSITGLDTTSVQRELKGTAPGLKPNKISSSSVRNQTGSDSEFVSLEDLAPLAMNKIEALSVEGLRIQSGMSEEDAPSNIVAQSIGDNISALKGKGVDVSGSLGLEGAAGLQLLDVKDGSNDGVDGMIGLSLTLDEWMRLDAGEIDDMDNISEHTSKVLAAHHANSFDSIRGSSKGKRGKGRKCGLLGNNFTVALMVQLRDPLRNYEPVGTPMLALIQVERVFVPPKPKIFFNVTELRNNEDKDDESEVVAAAKVEEIKEDNKEDKSSEQEGIPQFKITEVHVAGLKTEPQKKKLWGSSSQQQSGSRWLLANGMGKNNKRLVMKSKAAVKSSAPVTTKVQPGDTLWSISSRIYGTGAKWKQLSAMNPHIRNPNVIIPDETIRLS >OIV96524 pep chromosome:LupAngTanjil_v1.0:LG15:19128116:19128940:-1 gene:TanjilG_07916 transcript:OIV96524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYKTSSFSCLALLLAFSSCIHAFDVMKIFASDPEFSQFTKALNDTKLAEQINKRNTITILALNNGAMSSLSGKPISTLKDILSTHVLLDFYDEKSFFYAHTQHTQMVTLFQASGKAVYDQGFIYVSLINEGELAFASAVKNAPYNSLLVRTLGSQPYNISVVEVSAPIIAPGIDGTPTTLAPAPSSPKSNATAPVASAEEPAAGEVSTTAAPAPAKVAESPVEAEAPGPVAEEAADTTEAADDKAAPASSSASTTHFGLVGAVMALASLFVSL >OIV97320 pep chromosome:LupAngTanjil_v1.0:LG15:2318314:2324238:1 gene:TanjilG_07072 transcript:OIV97320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVILNLGWKKEKGKEEKRMQLGKYELGETLGEGNFGKVKLAKDTYTGQFFAVKILEKTKIIDLHNTDQIKREIATLKVLKHPNVVRLYEVLASKTKIYMVLEYVTGGELFDKIQSKGKLTEAAARKIFQHLIDGVSYCHNKGVYHRDLKLENVLVDAKGNIKITDFNLSALPQNFRADGLLHTTCGSPNYVAPEILANRGYDGSTSDTWSCGVILYVILTGYLPFDDRNLAVLYQKIFKGDVQIPKWLSSGAQKMIKRILDPNPKTRITMAMIKEDEWFKKGYTPVNPEDDEEDVYIDDEEALSIHEVTLESEQRSPESPTLINAFQLIGMSSCLDLSGFFEKEDVSERKIRFTSHHSPKDLIERIEDIVTEMGFIVQKRNGMLKVTQENEKQKSLCSLSAAAEVFEISASLYVVELRKSYGDPTVYRQLCKKLSNDLGVPPKQVGSSEMIGLREENLEVR >OIV97023 pep chromosome:LupAngTanjil_v1.0:LG15:11275314:11279190:-1 gene:TanjilG_19570 transcript:OIV97023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVADLVNAATSEKLAETDWAKNIEICEIVAHDKRQARDVVKAIKKRLGSKHPNTQLYAVMLLEMLMNNTGEHIHEQVIDTGIIPVLVKIVKKKSDLPVRERVFLLLDATQTSLGGASGKFPQYYNAYYDLVSAGVQFTQRTEAVQSNRPSSQPTRSSNMPNREQASPKHEGVAKQAESQPVPESSIIQKASNALEVLKEVLDAVDAQNPQGARDEFTLDLVEQCSFQKQRVMHLVMASRDERIVSQAIELNEKLQKVLARHDDLLSGRATTTAARFDHEEAQDEEDPEQLVRRLRKGKACAMPEEEDSKTEIPHLGLLGERLNRPLIRPLTLEPSRETNARSPPALIPPLRAKQNGELPRAAIPPPPPKQNGELLPIAIPPPPAKYTERERYFQENKDGSNLSGHMRGLSLHSQNSSNSHSGSYDFSD >OIV96422 pep chromosome:LupAngTanjil_v1.0:LG15:19888286:19890500:1 gene:TanjilG_09849 transcript:OIV96422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDPQFVVPYHEPYDISLHPNLFNLINNNTNLLNTLPQQKIHNGLLEDVINNTTLLSPPSQQIHHHPIGNNGLQDVNHNSILAPMSQPIYYGLGNNAVQEFNHNNNHVQSFMDPLIQDHSMHNMSNNYFLNGGGIGGGSGGSNIFEAGPSQVHAESSTQPLPNWNDNLLGSQNFNNNNNNVDVMPLSYWPEPPTRFSCTCCQVLREILHTNGNNFNKLEIHGRLGMICHAIYHQNISAWNNNWGSSSNPQIQMIDFSTKNIQEIKNFLVQYCLGQSSSGYAMVQDPFYAYYEALCTGFDWADDLDDYIDLNPNTSGGQSDEMEQEVENGRVSRLNLAEKRERISKMNLSDLSDYFHMPIGEAARRLDLCVTAVKKVCRRGDLERWPHRKIKSVVKQIRVLRRSLNSADAMTRARTEADIIRLEELMKQHCGGIAPTAINYNP >OIV97469 pep chromosome:LupAngTanjil_v1.0:LG15:123448:125403:1 gene:TanjilG_10993 transcript:OIV97469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHQRFLLITYPAQGHINPSLQFAKRLITLGVHVTFATTIHMQRCINKNKTIIPGLSITAFSDGYDDGFNSAADVDVLSYISELKHRGSECLTNVIAYAIQQGNPFTCITYTLLLPWVATVAREFQLPSALLWIQPATVFDMYYFYFHGYEEYMIQNVKEPTCSLELPGLPFIFKPRDLPSFFWPSNMYSFALPSFKEQLEVLDLETNPIVLVNTFEELEHEALRAIEDIRMIPIGPLIPSAFLDGKDPNDTSFGGDIIHGTNDYVTWLDSKPKLSVVYVSFGSLAVLPKRQMEEIAIALLDSKHPFLWVIRENNAKEVLKYRDELEQGGKIVKWCSQVEVLSHHSLGCFVTHCGWNSTMESLVCGVPVVAFPQWTDQTTNAKLIEDVWKSGVRVDHELNEDGIVERDEIRKCLEVVMGSGEKGQELRRNSYKWKDLAKEAVKEGGSSDKNLRTFLDVVGNGK >OIV96743 pep chromosome:LupAngTanjil_v1.0:LG15:16818384:16825468:1 gene:TanjilG_11739 transcript:OIV96743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPSPSSSCSFSSFLRLPLSPPPPSPLRNQYLIFKRCTTTCWRKNHALSSAHISLGKSSTPLFTDNQARLSLKEPLSQRYYKICGQAYIYHDSGFRIPANAERPEWWWRTLSCVPYLVALHMSATGYYLGPLIEKFPLFENLVYFIPGAVNRFPTWFHILYCYSAIVFVVKNRKFPFIFRFHMMMGMLLEIGQQILWVSSNFLPLIHFKGTLGLYYWAAVALAYILVMMECIRCALLGNQIESITALSKRTYKNERIVEGLNMTEEMLNPNSVTRQVNDQISLAKAFVVIAKESKNLQFAWELSAQIRNSQILLSNAATRRSPLTTIESENAIHDMALLLYQSQQLHYDSATMLMRFKAKIQALEEQMNSVSEKSSKYGQIAAEEVPKSLYCLGVRLTTEWFKNHNIQNKLKDKRQVEMKLKDNNLYHFCIFSDNILATSVVVNSTAINSKNPDTIVFHLVTDEINYAAMKAWFAMNDFRGVTVEVQKFEDFTWLNASYAPVLKQLQDSEVQNYYFSGNSDNGRTPIKFRNPKYLSMLNHLRFYIPEVFPALKKVVFLDDDVVVQKDLSALFSIDLNGNVNGAVETCMETFHRYHKYLNYSHPLIRPHFDPDACGWAFGMNVFDLVQWRKKNVTGIYHYWQEKNIDRTLWKLGTLPPGLLTFYGLTEPLDPSWHVLGFGYTNVDPQLIERGAVLHFNGNSKPWLKIGIEKYKPLWEKYVEYSHPLLQQCNFH >OIV96414 pep chromosome:LupAngTanjil_v1.0:LG15:19913424:19916630:1 gene:TanjilG_09841 transcript:OIV96414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAVDKDKNSAYAFRWAINHLDNPVIIAVHVKHKNLHHNGGTNVHPPDEEDMDNIFSALRGMCNRKAVEVKEAVIDDIDIVRGLQEYAHRNLVHSIVVGASRNSLSSLKKLKGYDVPTAMLKTAPDYSSVYVISKWKIVQARSAIRPMANVPIPPKNPLMHPIPYNESENGTRIPPYHPNGMYYEKNNYNASRRPRSAGSNQSMDHIDIAARSRHMSMDEKDIIPGVMSMDLSKQNLDLSESHGNSPISQSSKEMEAEMKRLRLELKQTMDMYSSACKQAISAKNQAEQIRQWKLKEERMVEESRLSQEAALAMAAQEKLKAIAAWEEAEEAKKKAEREAKKRREAEMKAKKEAEEKDRVLTALAQNDNRYRRYTIEEIEVATDQFSSSKKIGEGGYGPVYKGKLDHTPVAIKILSPDASQGRKQFQQEVEVLSRIRHPNMVLLLGACPEYGCLVYEHMDNGSLDDRLFRRNNSPPLSWRKRFQISAEIATALLFLHQTKPEPLVHRDLKPANILLDRNYVSKIADVGLARLVPPSVADTVTQYYMTSAAGTFCYIDPEYQSSGMLTPKSDIYSFGIMLLQVITARPAMGLSHQVKRAIEKDNFEEILDPTVTDWPVQEALEFAQLALQCAELRKKDRPDLAKVLLPKLSSLREFANASHNNQVSCSVDQMNSYLARPL >OIV96682 pep chromosome:LupAngTanjil_v1.0:LG15:17284299:17286104:1 gene:TanjilG_09224 transcript:OIV96682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQSNSLKPKRVLLPKRNVSKDVNKSHDMASKESISHINNTPSDSDATPPSILTFDEASNPFVTQEQQYHDKHDVYNLKDNNFSVPSMQVQNAHKKVNFSIQNHTAPHGANDGKATELDNLSSHMSSLGFTEMDWVESGQPEPSIEESKLQKIQEAEHDTSVRAERGVSSMLPKRTVITQDLMQDFRNFLGHPATQSSAMGTSCATTTSVNSSSAPMLNSVSHYSHLYLDSGSQVAAEPLGEPNVNPHPITEGIMKSSNSSLKDTNRMSVDQAPIAVQGSGSSVDAELAFKEGAPSNEQQGCSSKETSISKYTSYHDDKSTKGEELADVNIQSQAPMTKTSSSDVKLESSKLEKREKTTSGKGSSASRRKIYDPNLFFEVNGKLYQRLGKIGSGGSSEVHKVISSDCKIYALKKIKLKGHDYATAFGFCQEIEYLNRLKGKDNIIQLTDFEVLFSTSDFCYVHSGSTYHF >OIV96808 pep chromosome:LupAngTanjil_v1.0:LG15:15766675:15771893:-1 gene:TanjilG_08669 transcript:OIV96808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVSTQPQFRYTQPPSKVLHLRNLPWECTEEELIELGKPFGKVVNTKCNVGANRNQAFIEFQDLNQAIAMISYYASSSEPAQIRGKTVYLQYSNRQEIVNNKTAADVAGNVLLVTIEGEDARLVSIDVLHLVFSAFGFVHKITTFEKTAGFQALVQFTDTETATSAKDALDGRNIPRYLLPEHVGPCSLRITYSGHSDLSVKFQSHRSRDYTNPYLPVAQSAMDGSGQAMVGLDGKRLEAESNVLLASIENMQYAVTLDVLHMVFSAFGPIQKIAMFDKNGGLQALIQYPDVQTAVVAKDALEGHCIYDGGFCKLHMTYSRHTDLIIKVNNDRSRDYTIPLTPVVNAQPSLLGQQPVSNPMMGPPPQQQYNQYPPVSEPGLMPQSQAGWGTAPPAAPQSMPMQMHNNGYMPSGTVAPPMAPGMPFSSHSAQQPSSTMSTYGPDRIR >OIV96546 pep chromosome:LupAngTanjil_v1.0:LG15:18943290:18946718:1 gene:TanjilG_24199 transcript:OIV96546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVQSRIDNEESVARCKDRKNLMKEAVVARNAFAAGHSGYSMALKNAGAALSDYGHGETNIDLELQHHQPPLPPDAGSNPLPPPPPLIEDSLLPPPPPMLNFSPSPILKRASTMPPVMAMQNHRRVTDTATIAEENEEEEEEEESLKVNGRNGGKNLMKKGAGAAVRSPPEMKAVPPPLPESKEAWDYFFMGHVDNMSGPCLSGEDENEEIEGEGEGEGEGAGVENNNGNDNNGVVVDEVEPKTPEKVKGSVNVELGHVEITPESHHIQHSKTAPADFRRALKGVPNFNLLQILNYLDDDFLKSSESAQEVNKLLEATRLHYHSNFADNRGHIDHSARVMRVITWNRSFRGVSNGDGAKDDFDSEEYETHATVLDKLLAWEKKLYEEVKQGELMKFEYQRKVAILNKQKKRGAGAESLEKTKAAVSHLHTRYIVDMQSMDSTVSEVNHIRDAQLYPKLVALVSEMADMWKSMYSHHDNQLKVVTLLKSLDISQAPKETTKHHYERTVQLWNVVQEWNSQFEKLVSHQKQYIQALNSWLKLNLIPIESNLKEKVSSPPKASNPPIQALLLAWHDYVDKLPDELAKTAISSFAAVIKTIILQQEDEMKLKEKCEETRKEYLRKKQSFEDWYQKYLMKRGPDEADHEGGEEGNTTNNPVLEKQFVVESLKKRLDEEVESHQKLCVQVHEKSLQSLKTRLPELFRALSDYARACADAYERLKSISQSPNGAA >OIV96550 pep chromosome:LupAngTanjil_v1.0:LG15:18892210:18894731:1 gene:TanjilG_24203 transcript:OIV96550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGLLHLPRFPLSIFLRSHSTPFSTSKFPTRTTLFFSPKSTLTTPEPITDNDSPSSDSEIPHVELSLDKLFIPPETRVPVDSGSARILKGSNIVLSNYARDSNVVQADYVKSSVRTEDCPSDGLPEFALVGRSNVGKSSLLNSIVRRKKLALTSKKPGKTQCINHFKINDSWYLVDLPGYGYASAPQELRMNWDNFTKDYFLNRSTLVSVFLLIDASIPAKQIDLDYASWLGQNQIPMTIIFTKCDKRKKRKNGGRRPEENVNDFQDLIRGSFETVPPWIMTSSVTNQGRDEILLHMAQLRNYWLKH >OIV96801 pep chromosome:LupAngTanjil_v1.0:LG15:15857108:15862357:-1 gene:TanjilG_08662 transcript:OIV96801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKKESKDVGSRSKRVGRSQRKLVAEEDLHLQALSMALQQHQLSQRFEGSTSRRIGSTSSRRRNLSESFSANNKKVPEILENIKTKKFVLIHGEGFGAWCWYKTVALLEEAGLLPVALDLAGSGIDLTDPNSVTTLAEYSKPLIDYLENIPEDEKVVLVGHSIGGACISYALEHYSEKISKAVFLSATMVTNGQRPFDVFHELGSAEHFMQESKFLIHGNGKEEPPTGFMFEKEMMKGLYFNQSPSKDVALAMVSMRASPLGPIMEKLSLSPDKYGTGRRFYIQTLDDHALSPDVQEKLVRENPPEGVFKIKGSDHCPFFSKPQSLHKILVDIAQIS >OIV96262 pep chromosome:LupAngTanjil_v1.0:LG15:20742166:20745618:-1 gene:TanjilG_05102 transcript:OIV96262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEAKKVLQRLRGREDVSGEMALLVEGLGIGGDTSIEEYVIGPADEVVDGHEHTTEKDKIRLYGSQAGLSWLAKPVTGQSSLGLVSRHGSIINQSVPLMDPLVTLFGSVHEKLPDTGSMRSTLFPNFGSMFSTAEPHVKTEQWDEESLQRDGEDYTSDAGAGDSDDNLQSPLISRQTTSLEKDMPPPSGSILSSMRNHSSLMQGSGEPVDGTGIGGGWQLAWKWSDKGEDSKKEGGFKRIYLHQEGITGSRRGSIVSIPGEGEFVQAAALVSQPALYSKQLLGQKSVGPAMVHPSETSSKGPIWKALLEPGVKHALVVGIGIQLLQQFSGINGVLYYTPQILEEAGVEVLLSDLGIGSESASFLISALTTLLMLPCIGLAMRLMDVSGRRQLLLVTIPVLIVSLLILIIGSAVDFGSVINAAISTVCVVMYFCTFVMAYGPIPNILCAEIFPTRVRGLCIAICALVFWISDIIVTYSLPVMLSSIGLAGVFAIYAVVCFISWIFVFLKVPETKGMPLEVITEFFAVGAKQAASAKNE >OIV97402 pep chromosome:LupAngTanjil_v1.0:LG15:985141:999752:1 gene:TanjilG_16163 transcript:OIV97402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNGFANGSSPRRRRPENDIEAGHASNRSGKFDDADLDDPFDITRTKNASVERLRRWRQAALVLNASRRFRYTLDLKKEEEKKQILRKIRAHAQAIRASYLFKAAAVPVGQVNETIKPPSTTSTGEFPIGQEQLASISRDHDTTALQQYGGVAGISNLLKTDLEKGVLDDDTELLKRRNAFGSNNYPRKKGRNFLMFLWDACKDLTLIILIVAAAASLALGIKSEGIKEGWYDGGSIAFAVILVIVVTAISDYKQSLQFQDLNEEKRNIHLEVVRGGRRVEISIYDIVVGDVIPLNIGNQVPADGILIIGHSLSIDESSMTGESKIVHKDSKDPFLMSGCKVADGSGTMLVTGVGINTEWGLLMASISEDTGEETPLQVRLNGVATFIGIVGLSVAAIVLIVLLARYFSGHTKNPDGSVPFKAGKTKVGDAIDGAIKIVTVAVTIVVVAVPEGLPLAVTLTLAYSMRKMMADKALVRRLSACETMGSATTICSDKTGTLTMNQMTVVEAYAGGKKIDPPHNKSELSPMLHSLLIEGVAQNTNGSVYVPEGGNDVEVSGSPTEKAILHWALKLGMNFAAARSESSIIHVFPFNSEKKRGGVAIQTTNSDVHIHWKGAAEIVLACCTAYVDATEQLVELDEEKMTFYKKAIEDMASDSLRCVAIAYRPYEKESVPTSEEQLAHWSLPEDNLVLLAIVGIKDPCRPGVKDAVQLCQKAGVKVKMVTGDNVKTAKAIAVECGILASIADATEPNIIEGKTFRALSDAQREEIAEQISVMGRSSPNDKLLLVQALRRKGHVVAVTGDGTNDAPALHEADIGLAMGIQGTEVAKESSDIIILDDNFASVVKVVRWGRSVYANIQKFIQFQLTVNVAALIINVVAAVSSGDVPLNAVQLLWVNLIMDTLGALALATEPPTDHLMDRPPVGRREPLITNIMWRNLLIQAMYQVSVLLVLNFRGVSILGLGHEKHDHAIKVKNTLIFNAFVFSQVFNEFNARKPDEFNIFKGVTKNFLFMGIVGLTVVLQIIIIEFLGKFTTTVRLNWQQWLISIIIGVIRSVKSSALECSVSKDNVLNEKCHVLK >OIV97484 pep chromosome:LupAngTanjil_v1.0:LG15:209028:212583:1 gene:TanjilG_11008 transcript:OIV97484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVAELEENLFAASDAKLHGGMCEQLSAIYCKILSIFPSLEEARPRSKSGIQALCSLHVALEKSKSVLRHCSDCSKLYLAITGSSVLLKFEKAKCALEGSLKLVKDIVPQSIGCQIDEIVKELASMVFALDPSEKQIGDDLIALLQQGIQFNDSSDSGELEYFHQAATSLGIISSRSALTERRALKKLIERARSEEDKRKESIIAYLLHLMRKYSKLFRSEFSDDSDSQGSQPCSPTVQRYQEDSVPSGNCQTFNRQLSKLSSFNLKATSRKSGQTNLPPNELRCPISLQLMSDPVIIASGQTYERVCIEKWFRDGHNTCPKTQQELSHLSLTPNYCVKGLVASWCQQNGVPIPKGPPQSLDFNYWGLALSDSESTNSRSVNSVSSCKLNGVEVVSVEESAISEKTETNATESLSTEEEDTTHYLSYLKVLAEGSDWKKKCEVVERLRQLLRDDEEARIFMGDNGFVEALLKFLQSAVHEGLLMAQESGAMALFNLAVNNHRNKETMISAGVLSLLEEMISNSSSYGCATALYLNLSCLEDAKPMIGSSQAVQFLIQILQTNTDVQCKLDSLHALYNLSTVPSSIPNLLSSGIINTLQSVLVGQGGSLWTEKCIAVLINLAVSQVGREEIMSAPGLISALASILDTSELHEQEQAATCLLILCNKSDKCIEMVLQEGVIPALVSISVNGTSRGREKSQKLLILFREHRQRDHDRDHLPAETLQHPPEASNLSTAPPEVKPLCKSVSRRKVGKAFSFLCKSKSYSVYQC >OIV96656 pep chromosome:LupAngTanjil_v1.0:LG15:17016459:17022401:1 gene:TanjilG_09198 transcript:OIV96656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVSLADQLTSTTYHGALLTNHTCYSQHNKHVLSPPLFICKNKTQRSKSSESECDVWSKACSEVVMSVARRPEIAEWLKKVRRKIHENPELAFEEIETSRLIRKELDLMEVSYRYPLAKTGIRAWIGTGGPPFVAIRADMDALPIQEAVKWEYKSKVAGKMHACGHDAHVAMLIGAAKILKTREHLLKGTVILLFQPAEEAGNGAKRMIQDGALEDVEAIFAVHVSHQYATSIVGSRPGPLLAGCGFFRAVISGKKGTATNPYHSVDPILAASAAIISLQGIVSRESNPLDSQVVSVTSFNGGDNLDMTPDTVVLGGTFRAFSNTSFYRLLERIEQVIVEQASVFRCSAEVDFFEKEYTIYPPTVNDDRMYEHVKKVSIDLLGENSFKVVPPMMGAEDFSFYSQVIPSAFYYIGIRNETLGSIHTGHSPYFMIDEDVLPIGAAVHATIAERYLIEHG >OIV96266 pep chromosome:LupAngTanjil_v1.0:LG15:20784889:20786076:1 gene:TanjilG_05106 transcript:OIV96266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDKNLPKEAFQHKCEDSLVTNAQLVTIEKKLFDEEFEPRPNISAYGDNNVDAKEKEVVKDFEPRSNISAYGDNDVDAKKKEIVKDFEPRPNISAYGNNDADAKEREAVKDFEPRPNISAYGDNDVDAKEKEAMKDFEPRPNISAYGDNDVDAKEKEVVKDFEPRPNISAYGDNDADAKEKEVVKDFDPRPNISAYGDNDVDAKEKEAVKDFEPRPNISAYGGNDVDAKEKEVMKDFEPRPNISAYGDNDVDAKEKEAVKDFEPRPNISAYGSNDVDAKEKEAVKDFEPRPNISAYGDNDVDAKEKEVMKDFEPRPNISAYGDNDVDAKEKEAMKDFEPRPNISAYGGNDVDAKEKEVVKDFEPRPNISAYGDNNVDAKKKEVVKDFETRPYMST >OIV96449 pep chromosome:LupAngTanjil_v1.0:LG15:19708384:19709524:-1 gene:TanjilG_09876 transcript:OIV96449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKLCDSCKSTTATLYCRPDSAFLCAACDSNVHAANKLASRHPRVTLCDVCEQAPAHVTCKADAASLCFACDRDIHTANPLAARHERVPVTPFYDQSIHSVKSHFDDFPDDDHRFFANDANADVSTDEAEAASWLLPNPKGADLNSYAEIEPIPYVDLDYDLKPQQQKSSSVTDGVVPVQNNEPFSYSYKFHSSQSQSPMSHSVSSSSIEVGVVPDENPMTEIYSKVAVAEGGNRSVGVAADREARVMRYREKRKNRKFEKTIRYASRKAYAETRPRIKGRFAKRSDADSHPVDGYGVVPTC >OIV96950 pep chromosome:LupAngTanjil_v1.0:LG15:14146778:14148157:-1 gene:TanjilG_00532 transcript:OIV96950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGGDISPDNYTYACVIRACSDNFNFAMLRLVHGGSVASGLGYDPICCSALVTAYSKLGFVNEACKVFNGIVEPDLVLWNSLISGYGCSGAWDRGMQVFSSMRHEGKKPDEYTLAGLLVGIGDPSLLSIGRGLHGLILKCRLDSDSHVGSLLVSVYSRCKCMLSAYRVFCSIFQPDLVTWSALIAGYSQSGDDENALIFFRKLIMENKKADSVLIASVLASIAQTAYVGPGCEIHGYVLRHGLESDVRVSSALVDMYSKCGFVHLGICVFRLMPERNIVSYNSVISGLGLNGCASEAFKMFHKILEKGLVPDEATFSALLCACSHAGLVNDGREIFRRMKDEFDIKPKPKHYVYMVKLLGSAGELEEAYNLTQSLPEPVDKAILGALLSCCNSCGNSDLAEIVAQQLFKNNPDDNVYRVMLSNIYAGDGRWDDVKKLRDKVTGGLRKMPGISWIEGSFC >OIV96517 pep chromosome:LupAngTanjil_v1.0:LG15:19196578:19198029:1 gene:TanjilG_07909 transcript:OIV96517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRKRSGSFQKEQQNMGHMTNTDVSLDNHSSQSHVLGHNMKGHSIFNVPCLFVGLGPKSLLDSDSVRSPTSPLDARVLSNLSNSVRTPRSIPTSEGGGNHRSWDCCKVGLSIIDSLEDCPKFCGKILRSSETKNIGVSPEMMIKTPNCKAYMYSFESSKSLPKDFCKAPYTKNGSTFHKGESSVLFEIGESSLDHELFGKIKSCSLESLSPLKTLSGLTDSDTDNNFALKDKDSTIHMNSPQFFGGTQNSNSNTLSLSSSNDFIKSLSASEIELSEDYTCVICHGPNPKTTHIFGDCILETHSNEFKNHFKNEKKEEEKENGGVTPVDHTLHTPQTPIQYPSSDFLSLCYHCNKKLLEGKDIYIYRGEKSFCSLTCRAMEIMSDEELEKSSTLSEKSPEPELGEQIFEISILTAT >OIV96886 pep chromosome:LupAngTanjil_v1.0:LG15:12956295:12957418:-1 gene:TanjilG_00468 transcript:OIV96886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSCAGADRLQTGMRGAFGKPQGVCARVAIGQVLLSVRCKDSNSHNAQEALRRAKFKFPGRQKIIVSRKWGFTKFNRSDYLRYKSEGRILADGVNAKLLGCHGPLANRQPGRAFIDSATA >OIV96390 pep chromosome:LupAngTanjil_v1.0:LG15:20058189:20067369:1 gene:TanjilG_09817 transcript:OIV96390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSKNVVVCDNGTGYVKCGFAGENFPTSVFPSVVGRPMLRYEESLTEQQLQDIVVGEGCAELRHQLDISYPVNNGVVQNWDDMCHVWDHAFFDKLKINPPDCKILLTDPPLNPSKNRETMYVKCGFAGENFPTSVFPSVVGRPMLRYEESLTEQQLQDIVVGEGCAELRHQLDISYPVNNGVVQNWDDMCHVWDHAFFDKLKINPPDCKILLTDPPLNPSKNRETMVETMFEKYNFAGVFIQIQAVLTLYAQGLLTGLVVDSGDGVTHVAPVVDGYSFPHLTKRMNVAGRHITSYLIDLLSRRGYSLNRTADFETVREIKEKLCYISYDYKREYQLGLETTILVNNYTLPDGRVIKVGTERFQAPEALFTPELIDVEGDGMADMVFRCIQEMDIDNRMTLYQHIVLSGGSTMYPGLPSRLEKEIQDRYLDVVLKGNKDGLKKLRLKIEDPPRRKHMVYLGGAVLAGIMKCLRQFNKTQDAPEFWINREDYLEEGLSCLSRCGQA >OIV96506 pep chromosome:LupAngTanjil_v1.0:LG15:19268478:19271441:1 gene:TanjilG_07898 transcript:OIV96506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEQHNEEQQQHIVLHDFLGMKNFPDSTQNPHLRLSQPSPSSTSRGPFSSSTSDIASEKHVGNHLEGVPFYGTEISNILVGNKRSNSDSTFIGSSRDAFQMVPDSFHNSHLMKMLRNAGGGGERVRRPNDDEVLLGMQSMKPTSASQKWERSILIQHPQHGGQITPFVHQKTSNKLRDASAGPSFISQPAADEGSRTGIKAPGILNSMNTTTTALEKMSPVVLLGGSRPKHVTNVMDPESSTPQSQQGLTSGSRQMTIFYGGQAHVFDYVHPHKANVIMSLAGSNGGSWSTAFSPKSAGKLVSDSNLHSGENETGTMSNVPLPQELHGRLSITGSSSHAVGPSDRVSTPAGAHQGSIVAKDTRKQVQAADPSSEA >OIV96827 pep chromosome:LupAngTanjil_v1.0:LG15:15513314:15515838:1 gene:TanjilG_08688 transcript:OIV96827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIISDLEEPEQKNQIESSKPSPSKPQTAPSNFSASFDPSKPLAFVERAFEFISRESDYLASENAEKEITSLVRAVKEKKKREEEAKAVEEREKAEKRLKEEKKKEQKVVEEKKQEEKKEESSVRVPNKGNGLDLEKYSWTQSLQEVNVNIPVPEGTKARFLTVEIKKNHLKVGLKGQPLIIEGDLYKSVKPDDCYWSIEDQKAISILLTKHDQMEWWKCLVKGDPEIDTQKVEPESSKLSDLDPETRSTVEKMMFDQRQKSMGLPSSDELQKQEVLKKFMSQHPEMDFSRAKIA >OIV96619 pep chromosome:LupAngTanjil_v1.0:LG15:18464566:18470285:1 gene:TanjilG_28476 transcript:OIV96619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNGSSNFNFSRSYSTNSRLPLIQTHIPETEAVCHDDSTHPIKAQTIDELHSLQKKKTSTPSTPIRSPLAGSFSNLNDLERHAQKLQSISASLASLTRETGPRVVSGDPASKGTESPNKGRAAAAAAVDQHHLHHGPPKFNVSDSALKFTHVLYNLSPAELYEQAIKYEKGSFVTSTGALATLSGAKTGRSPRDKRVVKDETTENHLWWGKGSPNIEMDEETFLINRERAVDYLNSLEKVFVNDQFLNWDPEHRIKVRIVSARAYHSLFMHNMCIRPTFEELEEFGTPDFTIYNAGQFPCNRYTHYMTSSTSIDINLSRKEMVILGTQYAGEMKKGLFSLMHYLMPLRNILSLHSGCNMGKEEDVALFFGLSGTGKTTLSTDPNRYLIGDDEHCWSENGVSNIEGGCYAKCIDLAKDKEPEIWNAIKFGTVVENVVFDEYNREVDYTDKSVTENTRAAYPIEYIPNAKIPCVAPHPKNVILLACDAFGVLPPVSKLSLAQTMYHFISGYTALVAGTEDGIKEPQATFSACFGAAFIMMHPTKYAAMLAEKMQQHGATGWLVNTGWSGGRYGIGNRIKLVYTRKIIDAIHSGTLLDAEYTKTDVFGLEMPTALEGVPSEILNPENTWSDKEAYKETLLKLASLFKNNFEVFATYKIGEDQSLTEEILSAGPIF >OIV96351 pep chromosome:LupAngTanjil_v1.0:LG15:20299859:20307412:-1 gene:TanjilG_09778 transcript:OIV96351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAEAQIYRSLIHRIYAPLANEIHFAMPLSSFRINELELVRGVLRMLQGFSGSLFCWDHSANTFRCKSGVYVSHLSLKSLHSLLNHFIHAATCLRLVEIVVEKVETIGSKPPPPTLMAFMCSASAWLKRLRNIALKEEMSMSNVDGMTTPTLLGLENTLSSLCSGAEFLLRTVYEAIPAVYFEFGVSVPAAELAIHVLDYLHKKLDEMCIVQGGEEEAYQMVLFMYVGSLLPYIEGLDSWLFEGTLDDPSDEMFFFANKEVTVDEAEFWEKSYLLRMLQHSKLDAEFSSTNYVGDSVLSSNGKKEMHRRGSASLSGTIKGKEQGIGDRPACPFFIRDLAKSIVSAGKSLQLMRHVRNSLAVCSKGSNYEIGSTKSLSYGQRMAGLTLSEVFSVSLAGLISHGDHVCKYFCQDDWFESVSVSSFASYGNEEKIDSVNNGNLIALPYSEKIWFKFLIDTLSEKRSADLKLKYEDVNNATRDQRGARVVDDELLLLRSHIENPVITVCRKNLGKNGDAFKTLNLSEKFCLPSLNDEVLRKAIFGGDSSAFSDSKGTDYAFGFRFGESEYLHSQDERKLLEMLFPFPTLLPSFQDDLPVSEYLPFQRNSTLPSRVLRWMQNVDLRTTPLPLVIMQYCLTIYVQKQVDYIGRNMLLKLMNEWRLMDELAVLRAIYLLGSGDLLQHFLTVIFNKLDRGETWDDDFELNTILQESIRNSADCMLLSAPESLVVSTTKNVVDSDEQAITTGVVLSTPHKSHVNSFGIDGLDLLKFTYKVPWPLELIANTEAIKKYNQVMWFLLKVKRAKFALDKVRRWMWKGRGSASNNRKHHWLVEQKLLHFVDAFHQYVMDRVYHSAWLELCESMTTAKSLDEVIEVHDAYMLSIQRQCFVVPDKLGALIASRINSILGLALDFYNIQQTFSSGGAVSAITARCEMEVDRIEKQFDDCIAFLLRVLSFKLNVGHFPHLADLVTRINYNYFYMSANGNLRTASTSGSVTSRLGKASG >OIV97303 pep chromosome:LupAngTanjil_v1.0:LG15:2430421:2430660:-1 gene:TanjilG_07055 transcript:OIV97303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENQQVVEEVPFSIVPNLQGQQCSEAADIQLHLPITLPISITIATNSIYLPITITPNSITIFSIKRKDNDNDNNNNHQR >OIV96859 pep chromosome:LupAngTanjil_v1.0:LG15:15041793:15042171:-1 gene:TanjilG_08720 transcript:OIV96859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDHPGGDEVLLSSTGKDATTDFEDVGHSDSAKEMMDKYYIGEIDPASVPLKRKYIPPQQAQYNSDKTPEFVIKILQYLVPLLILGLAFAVRHYTKKEE >OIV96417 pep chromosome:LupAngTanjil_v1.0:LG15:19901447:19904454:1 gene:TanjilG_09844 transcript:OIV96417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGIMQVPYHTTTKLMLASLERNLLPDPIIRRLTRLLLASRLRSCSKPSSQLQLDDLIHFVHSLQEMPIAIDTDKAKSQHYELPTSFFKLVLGENLKYSSCYFSSTTKTLEEAEEAMLELYCERSKLKDGHTVLDVGCGWGSLPLYIAKKYSNSKVTGICNSTTQKAYIEERIRDLQLQNLDIIVADIRTFEMESSYDRIISIEMFEHMKNYGELLKKISKWMKEDSLLFVQHFCHKVFAYHFEDKDDDDWITRYFFTGGTMPSANLLLYFQGDVTVVNHWLLNGKHYAQTSEEWLKRMDKNITSIKPIMESTYGKDSATKWTVYWRTFFIAVAELFGYNDGEEWMVAHFLFKKK >OIV97385 pep chromosome:LupAngTanjil_v1.0:LG15:1679091:1680349:1 gene:TanjilG_07137 transcript:OIV97385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVRLRLSRFGCKNKPFYRVMAADSRSPRDGKHLELLGYYNPLPGQDGEKRMGLNFDRIKYWLSVGAQPSDPVERLLFRAGLLPPPPMVAMGHKGGPRDTRPVDALTGRILNQEKPASTSQKEDDGEHDTTTTGNP >OIV96363 pep chromosome:LupAngTanjil_v1.0:LG15:20219349:20224438:1 gene:TanjilG_09790 transcript:OIV96363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRNSQRKSAAAASAMFDSDDDSSVTSSSTARSDLMSLSGTEDVQFDQDSLLDQALDALDEKRSSTRENAFSSIIEAFNSNMQHQFVEKKFATLLHQCLASVKKGSKKASSKEIALAAHAIGCLALTVGCGNNAHEIFEESIRPIDESLASKSDVSKMPSLLECLAIITFVGGNDPEETERSMDIMWRVILPKLGSNVVSVKPSAPLITAVVSAWAFLLSTVSNLKLNSKNWQNSISYLSTLLDKEDRSVRIAAGEALALIFEIGVIDKYSAESRSASVTTEEEIKPHESYIFLQGLKGKVINQCKSLAMEAGGKGSAKKDLNSQRNLFRDISDFFEYGYSPEISMKIGGDSLQTSSWSQMIQLNFIKHFLGGGFTKHMQENEFLHEVFDFTPKRKGLNNVHRMSDLEKRMFKSPNSALNKARTQLLDKQRMLTEGRKVGHYAVNMVDDEA >OIV96980 pep chromosome:LupAngTanjil_v1.0:LG15:12411019:12413742:-1 gene:TanjilG_31871 transcript:OIV96980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDQGKLFIGGISWDTNEDKLRDYFGNYGDVCHASVMRDKNTGKPRGFAFVVFSDPSVIDRVLEDTHVIDGRTSISENDVVHTSIMREKNTVTFMLHECYLFVDEHVHLYYTVDAKRALSREDQQVSFNSRSGNSNSARNSGNGGNIRTKKIFVGGLPPTLTEEKFREYFEAYGQITDVVVMYDQNTGRPRGFGFISFDNEDAVDSVLYKTFHELSGKNVEVKRALPKDANPGASNRIGGAECGGYLGYGASGGNQNAYDGRIDSSRYMQPQNNASGFPPYGSSGYTAPGYGYGAANNGIGYGAANNGIGYGAYGGYSGATAGYGGPAGASYGNPNAANAAYAGGPPGGPRSSWSAQAPSGYGSAGYGSTAPWGAPSGGAGTGSGGPGSAPSGQSLSAVAGYGNQGYGYGGSDSSYGNPGAFGAVGGRSGSAPNSELQGSGGSYVGSGYSDSNGNSGYGNAAWRS >OIV96961 pep chromosome:LupAngTanjil_v1.0:LG15:14431092:14434361:1 gene:TanjilG_00543 transcript:OIV96961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQISSFTLSHSSSSFSLFHNQNPNPKHSLPFNPIRFSSKPITETTSNASSSSSFTRRSWPLPISSSSSFKFRPQPSLFSSPSSDHFNPSAVSVSDDGATADSGSLIKTLQLGSLFGLWYLFNIYFNIYNKQVLKAAHFPVTVTAVQFAVGTVLVAFMWGFNLYKRPKLSGAQLAAILPLAMVHTLGNLFTNMSLGKVAVSFTHTIKAMEPFFSVILSAMFMGELPTPWVVGSLVPIVGGVALASITESSFNWAGFWSAMASNVTNQSRNVLSKKAMVKKEDSMDNITLFSIITVMSFFLLAPVAVFLEGVKFTPAYLESAGLNVRQVYIRSLLAALCFHAYQQRVSPVTHSVGNCVKRVVVIVSSVIFFKTAVSPVNAFGTAIALAGVFLYSRVKRIKSKPKTA >OIV96776 pep chromosome:LupAngTanjil_v1.0:LG15:16175249:16176718:-1 gene:TanjilG_18089 transcript:OIV96776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLNNLVSKPTFSPLVLVEHEKNSIGDLRKQCKQEKWKAWCSDDGSCGVVPEFLPPPSYKEIIIEFYKALNTKDTETLEQLLSPNECSYEDYVLYGRVEGKEGIMNFFENAMDAMGSNIHIVVDEIKENNHQTATVFWHLEWEETKIPFTNGCRFFTFEEVEGRICISKITGMEELPVKPGELMLVCS >OIV96389 pep chromosome:LupAngTanjil_v1.0:LG15:20069084:20070169:-1 gene:TanjilG_09816 transcript:OIV96389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHGGTPSTSDDFGRAVSNLAVAQLCDSAGFDAVNTSALDAFADVTIRYLLDLGKTTQFYANLSGRSQCTVFDLILGLQDLESPLGFPSQQQQQQCLVSSGIVSEVINYVNLVDNEIPFENPIPRFPIVRNRKNIPSFSQFGETPPSKHIPNWLPALPDPHTYIHTPVWNERVSDPREDKIEQARQRRKAERSLLSLQKRLLSCSNGSVESSSIAATADDDDSKGQGHEVVVDKNSTQGNNKEASPVVALVCKLSDEAVDGKGVSVLEAFAPAFEGLKGDILCDDGQEEKIDLPAVRPTVHFKFKIGKKFIGESLDTRHRNKGALRTAALGGREDERDDKKRRAEYILKQSMENTQELTLL >OIV96996 pep chromosome:LupAngTanjil_v1.0:LG15:12161797:12165246:-1 gene:TanjilG_25821 transcript:OIV96996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIGKYTRLDGRRSSSSYCSTVTIVVFVALCLAGVWMMTSSSVVPVQNGDAQESKNEVKEQSEIKEQVSEVDNSNTRQFEDNPGDLPEDATKGDSNVSSEDNSNLPEKQEDKSSEDNKVEDADKKTDEEDSKTENTDSNSEVTENSKDSDETSTKDSSENEKSESDDDHKSDQEESEKSSDNSTETTDSNIEEKVKQSDNKDSDESSSEKNTDDNAKNQSSDEVYPSGAQSDLLKESTTQTGSFSTQAAESKNEKESQESSKKTTGYKWKLCNVTAGPDFIPCLDNWKAIRSLRSTKHYEHRERHCPEEPPTCLVSLPEGYKRSIEWPRSREKIWYYNVPHTKLAEVKGHQNWVKVTGEYLTFPGGGTQFKHGALHYIDFIQEFVPEIAWGKRTRVILDVGCGVASFGGFLFDRDVLAMSLAPKDEHEAQVQFALERGIPAISAVMGTKRLPFPGKVFDVVHCARCRVPWHIEGGKLLLELNRVLRPGGLFVWSATPIYQKLPEDVEIWNAMKSLTKAMCWELVSISKDQVNGVGIAVYKKPTSNDCYEKRSKNEPPVCPDSDDPNAAWNVPLQACLHKAPVSSSERGSQWPEKWPARVAKVPYWLSSSQVGVYGKPAPEDFAADYEHWKHVVSKSYLNGMGIQWSNIRNVMDMRSIYGGFAAALKDLNIWVMNVVSIDAPDTLPIIYERGLFGIYHDWCESFSTYPRTYDLLHADHLFSKLKERCNIAAVVAEVDRILRPEGKLIVRDTVEIVEELQSMIKAMRWEIRMTYSKDNEGLLCVQKSMWRPEESETLKYAIV >OIV97113 pep chromosome:LupAngTanjil_v1.0:LG15:8418762:8424312:-1 gene:TanjilG_10059 transcript:OIV97113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVRSVKLREAHSHKGGLPSFCSVLWDHQAQHLVTASSCDISISIHDPLLPSATPRILRHHRDGVTALSLSSNSTCLASGSIDNTLKLYKFPGGEFQTNITRFTLPIRSLAFNKKGSMLAAAGDDEGIKLINTVDGSIARVLKGHKGSVTGLAFDPNGEYFTSMDSKGTVIIWELHSGEILHNLKGIAPDTGLDVSTRNVLCWSPDGLTLAVPGLRNDVVIYERDTAEKLFSLRGDHIQPICFLCWSPNGKYMATSGLDKQVLIWDVDKKQDIDRQKFDETICCMAWKPTGNALAVIDVTGKHGIWESVIPSSMKSPIEDIPKQGSNSNGLLLFDEDDPENSASGESLSDAGENSNGEFEPPSRKRLRKLSFSEENLEENDASDEFAFYPLVQSRKKRSHPHKENLDSVNGGSKITRVPTSKTKMQEAFQPGSTPMQPGKRRFLCYNMLGTITTVEHDGYSHIEIDFHDTGSGPRVPSMTDHFGFTMAALNENGSVFANPCKGEKSMSTLMYRPFSSWANNSEWSMRFEGEEVKVVALGDAWVAAVTSFNYLRIFTEGGLQRYVISLDGPVVTASGFMDKLAVVTHASDCLSSNDQVLEFRVFNVSHGTQPFRGRLPLTPGSSLSWFGFSEEGQLNSYDSKGVLRSYTSQFGGSWLPLFSAIKEKSDENYWLTGLNASKLFCVVCKKPDNFPQVMPKPVLTLLNLSFPLASSDLGSEALENEFMMNNMHLFEIQKRIEEMTRVGLDPSSLDDDAFNFEAAQDRCILRLIASCCNGDKLVRATELLKLLSLEKSMKGAIKLVTALKLPNLAERFSSILEEKLLNEKKKNKETKTQENYLAPIAADAQLSRSQAPTKTEASKTVNNLSSSPKLSAPLFTKKNKTPEGAKVGINRTMANETLMVRQTGVETSDKLGKMEERSQVQVVNKSEARLVQPSRPSNPFLKSSIK >OIV97066 pep chromosome:LupAngTanjil_v1.0:LG15:10288144:10291523:1 gene:TanjilG_14611 transcript:OIV97066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTMEESPRSPEAKIGMRVEDLWDIQEPQLSPNDKLNACFESIPVSAFPLPPSNQEIEIKSDATLAEAVKILAKHNILSAPVVDVDAPEDASWIDRYIGVVEFAGIAVWILHQSEPTSPKSPSSGTVIAAAANRMTSALELDPLDLGSAATASGNFFEDLISSDLYKNTKVRDISGSFRWAPFLALERSNSFLTMLLLLSKYKMKSVPVVDLGAGRIDNIITQPAVIHMLAECSGLQWFESWGDKKLSEVGLPLVTPSQIIKVYEDEPVLQAFKLMRKQRIGGVPVIQRGGSKAVGNISLQDVQFLLTAPEIYHDYRAITVKDFLTVVPTTSDLSTCKKDCTVKELIQLLDHKKILRVYVVDDDGNLEGLITLRDIISRLVHEPHGYFGDFFDGVLPLPPNSRV >OIV96572 pep chromosome:LupAngTanjil_v1.0:LG15:17966535:17967575:1 gene:TanjilG_28429 transcript:OIV96572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQQKRLRILAHKLKDKASVIAAVLSIKRHESSVHVHVIRATTHALAAPPSESRIAAVLNVGHGPYQQLPRACIDALMDRLHYTRSATVAMKCLFTLHNVVVKGPFTLKDQLSYYPSYGGHNFLNLSTFRDDSDVESVELSSWLRWYAVVLEQCLTVSRILGYYLHPSSNNSVKEYPAHDRKSLVFGFSNADLLYKMEGLVQFVEQVSCVPESLHLQKNELVYEVVRLVGEDYRSVQREILLQVEELGNRTEDMDVGELNELLGYLRRLEESKENLVRLFVNRIKNNGFWDLVKKVKMKVLAMKEEIEGKWLTVVTSTATELTRSTNPFLEPGQIVPVPPSSFATV >OIV96488 pep chromosome:LupAngTanjil_v1.0:LG15:19387268:19391128:-1 gene:TanjilG_07880 transcript:OIV96488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGETKDEGYEEELLDYEEEEDKAPDSVGTKVNGEATKKGYVGIHSSGFRDFLLKPELLRAIVDSGFEHPSEGKYLHLCMQHECIPQAILGMDVICQAKSGMGKTAVFVLSSLQQIDPVPGQVSALVLCHTRELAYQICHEFERFSTYLPDLKVAVFYGGVNIKVHKDLLKNECPHIVVGTPGRILALARDKDLSLKNVRHFILDECDKMLESLDMRKDVQDIFKLTPHDKQVMMFSATLSKEIRPVCKKFMQDVMSHGQYYLNLKSLFIMPAFPESFLDILECCGFINIHFCAHKCACLSVGSTCLVLLPVLISPMEIYVDDEAKLTLHGLVQHYIKLKEEEKNRKLNDLLDALDFNQVVIFVKSVSRAAELDKLLVECNFPSICIHSGMSQEERLKRYKGFKEGHTRILVATDLVGRGIDIERVNIVINYDMPDSADTYLHRVGRAGRFGTKGLAITFVSCSTDVDVLNNV >OIV97483 pep chromosome:LupAngTanjil_v1.0:LG15:204240:206335:1 gene:TanjilG_11007 transcript:OIV97483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTRETAFSIRKLPLVKAKRYLEDVLAHKQAIPFRRFCRGVGRTAQAKNRHSNGQGRWPVKSAKFILDLLKNAESNAEVKGLDVDALYISHIQVNQAQRQRRRTYRAHGRINPYMSSPCHIELILSEKEEPVKKEPETQLATKKKSQALRSGASS >OIV96601 pep chromosome:LupAngTanjil_v1.0:LG15:18268357:18272418:-1 gene:TanjilG_28458 transcript:OIV96601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESVSSISAVSAPPPPPSLSSSSFYSHSRAQPPFTFLRNFNPRMEPLRSSSHVDATAKPKEAKLWGGRFEESVTDAVERFTESVSYDKQLYKHDIMGSKAHASMLAHQGLITVSDRDSIIEGLNEIERRIENGEFNWRTDREDVHMNIEAALTDMIGEPAKKLHTARSRNDQVLTDFRLWCRDAIDKILKSMKHLQISLVTLALKNDGLIVPGYTHLQRAQPVLLQHLLLAYVEEIERDAGRLVDCRARMNFCPLGACALAGTGLPIDRFMTSEALGFTAPLRNSIDAVSDRDFVMEFLSANAITAVHLSRLGEEWVLWASEEFGFITPSDSVSTGSSIMPQKKNPDPMELVRGKSARVIGDLVTLLTLCKGLPHAYNRDLQEDKEPVFDSVKTILGMLEVSAEFALNITYNRERIQKALPAGHLDATTVADYLVKKGVPFRTSHDIVGRAVALCTSKNCQLLDLTLDELRSIDTIFDQDVYEFLGVENSIQKFSSYGSTGSACVASQLHYWTKKLEIN >OIV96728 pep chromosome:LupAngTanjil_v1.0:LG15:17798529:17798711:-1 gene:TanjilG_09270 transcript:OIV96728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKISSQQNTSLTTQEKEQLQQVMDQWPEVFQTPKGLPPHRPFDHAINLVPGQGPVTVRP >OIV96548 pep chromosome:LupAngTanjil_v1.0:LG15:18923077:18925436:1 gene:TanjilG_24201 transcript:OIV96548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVATHATLNPEVYWNSKLPTTPMPKAITDILHPDWIEEKNTNVGVGKGGVDVDVRKGKPGGTTVNVGKGGVNVHAPGKGKPGGTAVNVGKGGVVVHAPGKGGQGGTNVNVGGGKGGGVIVGTKGPKGKPVYVGVGKGNVPFLYKYAAEDTQLYDNPNVALFFLEKDLHAGSKFDLHFTKSSNNDATFLPRHVADSIPFSSKKVDDIFDVFSVKPGSEKGEAMKKTINECEDSGIKGEEKYCATSLESMVDFSTSKLGKNVEALSTEVKKETRLQKYTITKGVKNIARDKAVVCHKQNYPYAVFYCHKTESTRAYFVPLEGADGVRVKAVAICHTDTSEWNPKHLAFQVLKVIPGTVPVCHFLPQDHVVWVPK >OIV96366 pep chromosome:LupAngTanjil_v1.0:LG15:20200736:20201482:-1 gene:TanjilG_09793 transcript:OIV96366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMEALKSPTFAATTTATTTSPLKFDEDNLHYLESAPWAKRKRSKRSRMDHHHHHHRSCTEEEYLALCLIMLSADKTHTIPAPSPPLATEESKLSYKCSVCNKAFPSYQALGGHKASHRKLAGANGGEDQPTSSAVTTTSATTASSKGGNKTHECSICHKSFPTGQALGGHKRCHYDGGAGNSSVVTASEGVGSTHTVSHSHQRDFDLNLPAFPDFPTKFLVEDEVSSPHPLKKPRLLTIPSIQISQF >OIV97071 pep chromosome:LupAngTanjil_v1.0:LG15:10451825:10458948:-1 gene:TanjilG_14616 transcript:OIV97071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNAEQSAKTAQDQEQNDQQSHKEWETMARAWLSSFPEAKEVSMAEVEAWIDSNLASLPPGLRSMPRPDLCLRLISIQNCMRFPTPQESAKQVDPSHAQVDPSHAQVDPSHARFQRSGQWLPVYSWLETLDKDEVVNSKEITDWLIENPEGLEQPVKDTSLKAQQYVMEHRAPLPSRSVNKLPKDSDLYLAKRNEAYRKYQIDQEFIRSYTGNNLFACEVVYIYHPSQTLLGWSLLSVLEKGESDAKVRFTTPLGGSLDYVLEIYHASVYLTMFRFQFGGVGEAAFPSILEET >OIV97064 pep chromosome:LupAngTanjil_v1.0:LG15:10239874:10256559:-1 gene:TanjilG_14609 transcript:OIV97064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSTRRNTGSLSSNNTKRPSSSDDNNKPPSSPSSKRHKVDNGGDASEKPAPAAENSKDLRTLEPPADPGECGTGDTQISEAGGADGKCDAAPAVAVAAPIAEGSSPTTLVAEKQRASFSSWGIYQKQNQKTDCSVPWCRLLTQSSQNPNVVICTPNFTIGSSRNCNFPLKDQTISGNLCKIKYTEREGNAVAVLESTGSKGSVLVNGTLVKKNTSSVLNSGDEVVFGLLGNHSYIFQQLNIEGTVKGADIQNGVGKFVQIERRNRDSSAVAGASILASLSSLKHDLTKLKSPSQTANKPHHVTDVSGHSVLRDGTESELDGLESNSAPNVGTDKASDVGASDKNSPLDCDPDDTGTEAGNVKLSGVLEERNGTRDTLAASTSGTSVRCAVFKENVEAAVLDGKEIDMSFDSFPYFLSENTKNVLVAACFIHLKHKEHAKYTADLTTINPRILLSGPAGSEIYQEMLAKALANYFGAKLLIFDSHLLLGGLSSKEAELLKDGSHADKSCSSAKQSPTATDMARSMDPSTSDTDTPSSSNTPTPHGLESQPKLEIDSVASTSGTAKNCLFKLGDKVKYSCSSSGTLYQTSSSRGPTNGSRGKVVLVFEENPLSKVGVRFDKPVPDGVDLGGACEGGQGFFCNVTDLRLENGGIDELDKLLINTLFEVVFTESRTEPLILFMKDAEKSIVGNGDPYSFRSKLEKLPDNVVVIGSHTHNDSRKEKSHPGGLLFTKFGSNQTALLDLAFPDGFGRLHERGKEVPKPNKTLTKLFPNKVTIHMPQDEGLLASWKQQLDRDVETLKIKANLHHLRTDEGLLASWKQQLDRDVETLKIKANLHHLRTVLSRCGMECEGLETLCIKDQTLTNEIVEKIVGWALSHHLMQNSEADPEAKLVLSCESIQYGIGILQAIQNESKSLKKSLKDVVTENEFEKRLLADVIPPNDIGVTFDDIGALENVKDTMKELVMLPLQRPELFCKGQLTKPCKGILLFGPPGTGKTMLAKAVATEAGANFINISMSSITSKWFGEGEKYVKAVFSLASKIAPSVIFVDEVDSMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKETERVLVLAATNRPYDLDEAVIRRLPRRLMVNLPDPPNRAKILKVILAKEDLSSDVDLEAIASMTDGYSGSDLKNLCVTAAHRPIKEILEKEKKEHAAALAEGRPAPALSGSADIRSLNMEDFRHAHQQVCASVSSESVNMTELLQWNELYGEGGSRRKSSLSYFM >OIV97475 pep chromosome:LupAngTanjil_v1.0:LG15:148343:156908:-1 gene:TanjilG_10999 transcript:OIV97475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFLGVSGSKMWWLKLWEKILGNNVLSHQYYYEYIGSKKVRKALWKKLLLIWVIGWCIVCLWIFCCMSLQVIEKRKETLTSMCDERARMLQDQFNVSMNHIQAMSILISTFHHAKDPSAIDQVPRSLFILNWYTERTAFERPLTSGVAYAVRVLHSEREQFEKQQGWTIKRMDNLEQNPVHKDEYAPESLEPSPIKEEYAPVIFAQDTVSHVISVDVLSGKEDRENVLRARESGKGVLTAPFRLLKTNRLGVILTFAVYKRELPSNATPNERIQATNGYTERTAFERPLTSGVAYAVRVLHSEREQFEKQQGWTIKRMDNLEQNPVHKDEYAPESLEPSPIKEEYAPVIFAQDTVSHVISVDVLSGKEDRENVLRARESGKGVLTAPFRLLKTNRLGVILTFAVYKRELPSNATPNERIQATNGYLGGVFDIESLVEKLLQQLASKQTVIVNVYDTTNHTHPIPMYGSNVSGDGFYHVSALSFGDPFRKHEMHCRFKQTPPWPWLAITTSIGILVIALLVGHIFHATVNRIAKVEDDYHEMMELKKRAEAADVAKSQFLATVSHEIRTPMNGVLGMMNMLMDTDLDVTQQEYVRTAQGSGKALVSIINEVLDQAKIESGKLELEAVLFDIRAILDDVLSLFSEKSQAKGIELAVYVSDRVPEQLIGDPGRFRQILTNLMGNSIKFTDKGHIFITIHLVEEVVHSIEVDKESTSKDTISGFAVADSRRSWEGFEAFSQEGPLGSLSSTSSDLINLIVSVEDTGEGIPLEAQSLIFTPFMQVGPSISRKHGGTGIGLSISKCLVRLMNGEIGFVSEPKIGSTFTFTAVFTNGCPNSSECKSQQINNRPHTVSSEFQGMVALVIDPRPVRAKVSRYHVQRLGIHVELVSAFNQGLSTIGNGNIVINMVLIEQEIWDRDSAISTDFVNNASKVDRGGTPPPKLFILVNSSSSITSGVHNPTVIIKPLRASMLAASLQRAMGVGNKRNLQTLSLHHLLLGRKILIVDDNSVNRTVAAGALKKYGATVVCASSGKDAISLLKPPHQFDACFMDIQMPEMDGFEATMRIREMERSMNREMSVENSSKWHIPILAMTADVIQATHEGVYIGAKFDWLRGI >OIV96795 pep chromosome:LupAngTanjil_v1.0:LG15:16090864:16093318:-1 gene:TanjilG_18255 transcript:OIV96795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPPDSPYAGGVFLVTIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRNKYESTARSWTQKYAMG >OIV97273 pep chromosome:LupAngTanjil_v1.0:LG15:2742366:2747913:-1 gene:TanjilG_07025 transcript:OIV97273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSRSGHGHGGSSGGRTRVGKYELGRTLGEGNFAKVKFARHVETGENVAIKILDKEKVLKHKMIGQIKREISTMKLIRHPNVIRMHEVMASKTKIYIVLEFVTGGELFDKIARNGRLKEDEARKYFQQLICAVDYCHSRGVFHRDLKPENLLLDASGLLKVSDFGLSALPQQVREDGLLHTTCGTPNYVAPEVIHNKGYDGAIADLWSCGVILFVLMAGYLPFEENNLMALYKKIFKAEFSCPPWFSSSAKKLIKRILDPNPATRITIAEVIENEWFKKGYKPPRFEQANVSLDDINSIFSESMDSQILVVERRTDGPVAPVTMNAFELISTSQGLNLSSLFEKQMGLVKRETRFTSKCSANEIISKIEEAAGPLGFDVKKNNCKLKIQGEKTGRKGHLSVNTEVYDLPIFSHDLCCPLLLIYLISVVFQIFEVAPSLYMVELRKSDGDTLEFHKFYKNLATGLKDIVWKAEPIDEEKDGSSASK >OIV96425 pep chromosome:LupAngTanjil_v1.0:LG15:19870652:19871029:-1 gene:TanjilG_09852 transcript:OIV96425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSASISMVLPLTSATQNKLSQPSSGSFFKPLNIRQQKRILFTKPVSKTKVGIQASLKEKAVTALTAASLTASMVIPEVAHAAGSDLSPSLNNFLLSIFAGGVVLGAIFGAVIGVSNFDPVKRT >OIV97324 pep chromosome:LupAngTanjil_v1.0:LG15:2264412:2264744:-1 gene:TanjilG_07076 transcript:OIV97324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSWKKTITTPFKKACTFFNPQSPREHKKSQTERENHVMDLQGEVMACGYGDVQVMWSILDKSKSTTCNITSS >OIV97221 pep chromosome:LupAngTanjil_v1.0:LG15:4214090:4215513:-1 gene:TanjilG_02929 transcript:OIV97221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSHKRKDFEYFKDIGFGFRGYIKDSKSIYIVHIKHLNKPGIYSTHHVWYTTTLSSFSSIYSISNPLLNTYTTAYNGFTSSLTSQQADELLKSDFVIGIYQDTLYQLHTTRTPEFLGLQTNSGLPKGLVNETLNKCLHDIIIGVLDTRVWPESKSFNDTGMSDIPKR >OIV96378 pep chromosome:LupAngTanjil_v1.0:LG15:20143397:20146568:1 gene:TanjilG_09805 transcript:OIV96378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPFLSRALWSLSRGKSCVSQLIRHNKNSGCQCLTTISKRDTHQIQIQIQIQPFGSSPFMRGLCNINNYNYNYVQTRKFLGYGDGEEGVLTRNYEEKRVLGYSPEQLFDVVAAVDFYNGFVPWCQRSEILKHYPDGSFDAELEIGFKFLVESYVSHVELERPKCIKTTVSQSNLFDRLINIWEFSPGPVPGTCNLYFLVDFKFQSPLYRQIASVFFKEVASKMVGSFTERCRSIHGPEVRVLENSYMEK >OIV97333 pep chromosome:LupAngTanjil_v1.0:LG15:2171495:2174716:1 gene:TanjilG_07085 transcript:OIV97333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALCYRVRSEIFNKLGFLSSQRSNLQRSLISPSTSLASRNYADLPGQKEKKVKIPKALYGGSGNYATALYIAAVKANAVEKVESELLAFAEAVKNSAKFSDFTKDPSVAKDIRVKAIQEICGEAKFSDVTKNFLVIVSENLRLKNIDTIAKRFVELSMAYKGEVKATVTTVFPLPPDEEKALKDTLQEMLGTGAKVHLEQKIDPSILGGIVLEFSQKVFDMSIRTRAQQMERILREPVNIGDI >OIV96385 pep chromosome:LupAngTanjil_v1.0:LG15:20088893:20090263:1 gene:TanjilG_09812 transcript:OIV96385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPSVHRVSECFIKPLYPVQKSNQICYLAPQDIAMLSVHYIQKGLLFNNPSPSSQDQQGFMIQNLLEKLKHSLSLTLNHFYPLSGRLVTQKTQDPPSYVVFVDCSSKSPGAGFIHATLDMTISDIVSPIYVPLIVQSLFDHHKALNHDGHTMPLLSIQVTQLLDGVFIGCSMNHCIGDGTSYWNFFNTWSKIFQDPNVPISHQPIHNRWFPENCDPLINLPFTHHDEFISRFEAPNLKERIFQFTAESIAKLKAKANKEFNTNKISSFQSLSALVWRSLTRARRVPHDEVTSCKLSTNNRSRLEPPVAEEYFGNLIHLVIAKTTARELLDHDLGWAAWKVHLAVANHNDSVVQQFMKDWVQSPFVYQPGKFTDPYTVLMGSSPRFNMYGNEFGMGKAVAVQSGYANKFDGKVTSYPGHEGGGSIDLELCLFPHTMSALESDEEFMNAVSVFNPIS >OIV96712 pep chromosome:LupAngTanjil_v1.0:LG15:17643502:17644584:1 gene:TanjilG_09254 transcript:OIV96712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDLFNNMSQLHSDPFRGELMEVLETFMKSPSPTSTPSLSPSFSSSSPFSNSPQPSTSSSYSYNTPSPTYFNSSSFIPTQHPIESSYNSQNIIGFEQLCSSSPSSLLGLNHLTPSQINQIQAQIHLQNMQNFQQNNTTFNFLSPKPIPMKLVGTPPKPIKLYRGVRQRHWGKWVAEIRLPKNRTRLWLGTFDTAEEAALAYDKAAYKLRGDFARLNFPNLKHQGSCVGDEFGGEYKPLHSSVDAKLQAICEGLADMQKQGKSEKKSKAVISSKRSKSKLGSKMAQPEKSVSLDVKGLEENSCKVETFSLSSVMTESEGSEGSSPLSDLTFADVNEPQWEGPSDNLNLQKYPSYEIDWDSL >OIV97461 pep chromosome:LupAngTanjil_v1.0:LG15:50940:54984:-1 gene:TanjilG_10985 transcript:OIV97461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSPIIATVLLCSVFIVVVVDVDGGNIPTTLDGPFKPVTIPLDNTFRGNAVDLPHTDPLVQRTVEGFEPEQISVSLSASYDSVWISWITGEFQIGDSIEPLDPETVASIVQYGRFGRSLSHQALGHSLVYNQLYPFEGLQNYSSGIIHHVRLTGLRPNTLYQYRCGDLSLSEMSDIHYFRTMPDSGPKSYPSRIAVVGDLGLTYNTTSTVNHMISNHPDLILLVGDVCYANLYLTNGTGSDCYSCTFHDTPIPETYQPRWDFWGRYMQPLISNVPIMVVEGNHEIEKQAQNQTFVAYSSRFAFPSEESGSFSTFYYSFNAGGIHFIMLGSYISQDKSGEQYKWLERDLASVDREVTPWLVAIWHTPWYSTYKAHYREAECMRVAMEDILYRNGLDIVFNGHIHAYERSNRVYNYTLDPCGPVHITVGDGGNREKMSINHVDEPGNCPKPSPSKGDYMGGFCAFNFTSGPAEGKFCWDRQPDYSAFRESSFGHGILEVKNETHALWSWHRNQDLYGNAGDVIYIVRQPERCPVKPEVHKR >OIV97196 pep chromosome:LupAngTanjil_v1.0:LG15:7019858:7020076:1 gene:TanjilG_28947 transcript:OIV97196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVVVGMVMLLQFALKESVTMRLIILAFLLFHPWKVTMTMMVVMTMLPQHKLLHCLLRSHLSPLAKVIRFNS >OIV97384 pep chromosome:LupAngTanjil_v1.0:LG15:1686067:1688952:1 gene:TanjilG_07136 transcript:OIV97384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKYGIMEMEGFGENSNKSQFAVKTFEIVENPETNAIVSWNETRDSFVVWNVQSFTQNILPNYFKHSNFSSFIRQLHFYGFRKVDSGRCEFANEGFKGGMKHLLKNITCKRPKFKKLHQGSSNLMDPNLKDEVEQLKKDNAFLKVEIVKLRQKNQNLDNQVSSFKERIRRAELNRNQMTHFIARMDKMKKLVAMLHQNGQEKEQHDKVGTQYQNVEHKHGIEQLFSLQSEFIEVMSGILKTNKVVNQAASPIGSTCSESLHDESFDFEGMLKKLLGESCADEKVDANHSCIDIELELFLGKSTDWTGFGGEIGKGN >OIV96545 pep chromosome:LupAngTanjil_v1.0:LG15:18950876:18952219:-1 gene:TanjilG_24198 transcript:OIV96545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQSLQQKASEWSGVQTADAFAIDKDQTNLFQKLGLQAFINLSTNFYNRVYDDEEEWFRSIFANSEKEKAIQNQYEFFVQRMGGPPLYSERRGHPALIARHRPYPVTHEAAERWIHHMQQALDTTSDIDDDSKIKMMNFFRHTAYFLVAGVELKDKNQHPCKDAPEKDHPCKNF >OIV97237 pep chromosome:LupAngTanjil_v1.0:LG15:3509122:3511686:1 gene:TanjilG_13716 transcript:OIV97237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFGIFGSVLVLTFFFKHSSSQQPNTDDFLVSEFLKKMSFTSTYSEAYYNFSASICSWERVSCDANREHVVKLVFSGMGLSGPIPDTTIGKLSQLESLDLSNNKITALPSDFWSLSLLKKLNLSSNNISGSLTNNIGNFGLLEIFDLSSNNFSGEIPETIGSFESLEVLKLNNNRFQKSIPHAILKCRSLVSIDLSTNQLNGTIPHGFDAAFPKLRTLNLAQNNIYGSDSDISGLNSIVSLNLSGNSFQGSVMGVFQGSLEFMDLSRNQFQGHLSQVHLNSNYNWSQLVYLDLSENQFSGEIFRNLNEFHNLNHLNLAHNRFTRQKFPNFAMLSRLEYLNLSKSSLVGYIPDEISNLSSFNALDISMNHLIGRIPLLNTKNLQFLDLSNNNFSGEVPPLILEKLPQMETYNFSYNNLTICAPEIKPYVLKTAFFGSMNSCPIAANPSLLKKRDSQHKVMKLALALSLSVICLLTGLLVAFGCLRKNKSRPLKQSSHKEEPNISGPFSFKTDSTTWVPDVKQATSVPVVIFEKPLLSITFADLLAATSNFDRGTLLADGKFGPVYRCFLPGGIHVAVKVLALGSTLTDQEAARELEYLGRIKHPNLVPLTGYCVARDQRIAIYDYMENGNLQNLLYDLPLGLLQRTDDWSTDTWEDDNRIQIAGTEGFLTTWRFRHKIALGAARALAFLHHGCSPPIIHRDVKASSVYLDYNLEPRLSDFGLAKIFGSGLDEEIALGTPGYVPPEFSQPEFDTLTPKSDVYCFGVVLLELLTGKKPVGDDYLVEKEATLVSWVRGLVRNNNASRAIDMKIRDTGPEHQMEEALKIGYLCTADLPSKRPSMQQIVGLLKDIEPSAN >OIV96453 pep chromosome:LupAngTanjil_v1.0:LG15:19678911:19679249:1 gene:TanjilG_07845 transcript:OIV96453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIIKRKIYLIKNATNNRVLSLKLSLPIIKNSDLFLREAIERGSDIFVVLAHLCEGSASIFSGKHGVGTTGAVEGFAGGDVEDAALNGDVDGLGWIGAVELGELVGCKLCCH >OIV96286 pep chromosome:LupAngTanjil_v1.0:LG15:20899910:20903371:1 gene:TanjilG_05126 transcript:OIV96286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIENERMDEMKMEMEMENKNKARLRGWFERVDSDKTGSITALQLKRALAVGNLEFPLSVVQQMIRMYDFDRNGTMSFEEFLALNNFLLKLQHTFSDLERGRGFLLPDDVYEASVKIGFMLDSPAFYSVCESFDQSKNGKFRLDDFISLCIFLQSARNLFNSFDTAKQGRVTLDLNQFVYCTAGFEPNPSSLSHGALL >OIV96407 pep chromosome:LupAngTanjil_v1.0:LG15:19958141:19959505:1 gene:TanjilG_09834 transcript:OIV96407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPPETEPAIKKYISLQELSHHNKRNDLWISIHGKIYNVTNWANHHPGGDLPLLNLAGQDVTDAFLAYHPITASKYLHNFFTGYYLSDYTVSEVSKDYRKLLTHFSSIGLFEKKGHGVFLTLCFMVFLFCASVYGVFFNDDPFVHLGCGGLMGLFWIQSGWIGHDSGHYQIMKTKGFTRFAQILSGNCLAGISIAWWKWNHNAHHIACNSLDFDPDLQHMPFFVVSNKFFNSITSYFYDRKMNFDGFTRFLVSYQHWTFYPVMCLARLNLFAQSFILLLSKRKVPNRVQELIGLLVFWIWYPLLVSYLPNWSERILFVIASFSVTGIQHVQFCLNHFSSSVYVGHPTSREWVEKQTSGTLDVKCSPSMDWFHGGLQFQVEHHLFPRMPRNHLRTISPYVKELCKKHNVPYNCVSFLKANVLTIQTLRDAALQACDLSNPIPKNLVWEAVNTHG >OIV97340 pep chromosome:LupAngTanjil_v1.0:LG15:2096513:2100683:1 gene:TanjilG_07092 transcript:OIV97340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASQPVQEATMTKTPPIKERRVVVTGMGVVTSLGHDPDVFYNNLLDGVSGISEIDTFDCAEFPTRIAGEIKSFSTDGWVAPKLSRRMDKFMLYILTAGKKALVDGGITEDVLEELNKQKCGILIGSAMGGMQVFNDAIEALRISYKKVNPFCVPFATTNMGSAMLAMDLGWMGPNYSISTACATSNFCILNAANHIIRGEADLMLCGGSDSTIIPIGLGGFVACRALSQRNSDPTKASRPWDINRDGFVMGEGAGVLVLEELEHAKKRGATIYAEFLGGSFTSDAYHVTEPHPDGAGVILCIENALAQSGVAREDVNYINAHATSTPAGDLKEYQALMHCFGQNPGLRVNSTKSMIGHLLGAAGGVEAVATIQAIRTGWVHPNINLENPDKGVDASVLVGPKKERLDIKAALSNSFGFGGHNSSIIFAPYK >OIV97473 pep chromosome:LupAngTanjil_v1.0:LG15:143749:144132:1 gene:TanjilG_10997 transcript:OIV97473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLITLTTLITLLSILSTQSCGRVLVGGKMEISEVKTNMQVQELGKFSVEEYNKGIIMRLNGGDGEEELKFVEVMKAQYQVVAGLKYYLEISAMQNGIHKVFNSIVVVKPWLHSKNLLNFGPLSSNFE >OIV96648 pep chromosome:LupAngTanjil_v1.0:LG15:16881946:16901259:-1 gene:TanjilG_09190 transcript:OIV96648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTRTRNFSSSSPSSSSSEKKKVTAAKKSQTTKKRPALTDVTNKRNASLCGARNFVAPSSIPLVPCTSKAAKTKKDSIGSSQKDSIVSSRRKDSITSSQRKDSIASSQRKDSIASSQKNNSIASSQKKDSIATSQKKVMSGDTLPASLSTKSSGFVLSERTCSTKSSQLKAVVQPFLANMACASRRKSLSPSKSFTNSVSLDESMSTSDSLKSPEFEYIDNYDVVSTKYIENKTSSILNISDSSKISGRVYSGDTVVKTEETNEVVDIDNNIKDPQFCASIAHEIYEHLRAREEIRRPSMDFMEKTQKDIDASMRAVLIDWLVEVSEEYRLVPDTLFLTVNYVDRYLSSHSINRKQLQLLGVACMRIAAKYEEICAPEVQEFCDITDNTYSKEQVLQMEFAVLNSLKFEMTAPTVNCFLRRFIIVAQRTCEVPALHLEYLAAYLAELSLLEYAMLKYTPSLVAASATFLATYILLLPRKKPWNSTLRYYTGYQAPELQECVMVLHWVCCNGYHALPAIREKYSQHKVTCATKVSKAKKEPPPCTTSSAISDNTLLPSLPNLKSNIAVFPKTTFLPQRDEDTLKAATVTVPSVSISSMDSSPIKSDGMSVSIDESMSSSHSFKSPDIEYLDNTDLSAVDSIDRKTFTNLNISDTTEPEGNTCSRDTLVELEKDDKIVNVDNNYKDPQLCATFACDIYKHLRASEVKKRPSTDFMEKIQKDLKPSMRAILIDWLVEVAEEYRLVPDTLYLTVNYIDRYLSANVMNRQRLQLLGVASMMIASKYEEICAPQVEEFCYITDNTYFKHEVLEMESAVLNFLKFEMTAPTIKCFLRRFVRAAQGVTEAPSLQLECLTNYIAELSLLEYSMLRYAPSLIAASAIFLAKFILFPSMNPWNSTLQHYTLYHPFELCDCVRDLHRLFCCSPNSDLLAIREKYNQHKYKYVAKKYCPPSIPPEYFQN >OIV97482 pep chromosome:LupAngTanjil_v1.0:LG15:196143:200546:-1 gene:TanjilG_11006 transcript:OIV97482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIWDEVGEDKFEREKVLLDLEQECLEVYRRKVDRANTSRAHLHQELAEAEAEFTHLLLSLGERFLPGRPEKRKGTLKEQLDSITPALREMRLRKEERLKQFRCVLGQIQKISSEITGNSVNAPSSIVVNENDLSLKRLEEFQNELQRLCNEKNERLEQLEKHTDMIHSLSTILGKDSSVIIMEVHPSLHDLCEITKNISDTILDKLSTTVQSLFEEKQNRLDKVHHLGKELSNLWNLMDTPYTDRLPFSHVINLLSVSSEEVSEPGSLTLEIVRQAEAEVKRLDQLKASKMKELFQKKQEELELICKKSHVEIPSRTEMNNIINLINSGEIDHSDLLMSMDEQISRAKEEASSRKVIMEKVEKWMLACDEERWLEEYITDDNRYSVTRGAHKNLKRAERARIMVSRMPALVDLLTKMTRSWEEERKKVFLYDQIPLMEILEEYNKLRQEKEEDKKRQQPWEKKKVQNQVVVERDNTYTSRPSTSSRRLPIRSFNGGLDSTMPLNRRLSINIEQLGSNSINSGYQGTPFIKDGRKVNRKKIFGEPGFPSHTRNEAPSVVSAHSGPFSP >OIV97072 pep chromosome:LupAngTanjil_v1.0:LG15:10491767:10493094:-1 gene:TanjilG_14617 transcript:OIV97072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRPLKHLHKRTTDKHDFFDALFDDLVVFILSKLSSTASSPSQFINILSTCKRLNRLGLHRLVLSKAGPKVFSIKAKNWSEHVHRFFKLCVNAGNLNACYTLGMIRFYCLKNRASGLSLMAKAAMKSHAQALYSLAVIQFNGSGGTKRDKDLRAGVALCARASLLGHIDALRELGHCLQDGYGVKQNVSEGRRLLVKANALELAFVIRAVTSASPSRSLSTSSVLTWRAVDYTVCPLLSDYGYNVAVPVVQPVNWFMREWFETGPGRVEMVAGLRLCAHIGCGRPETRAHEFRRCSVCGKVNYCSRGCQALDWKLRHKMECSPEEVVGEDNNGGAGGGGVDLGNDDVV >OIV96424 pep chromosome:LupAngTanjil_v1.0:LG15:19872006:19874962:-1 gene:TanjilG_09851 transcript:OIV96424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENKTEVVVTIPNSGEESHDSKGVGSLTTKQCRDDSPHTMFNDNEVTAKSPPLHCASPEIRFSPSPNKPPRVPNVNGNLIRRKSLSRSMYSKPKSRFGEQPYHIDGNMLEDNSISALQEQLADNSPYRNSFSNASPGNKPGLVNRTASITSVITPKTPLMGEDEGEIIYRKVELSKGKRKRVKTKVLIEWFIFVYLVSNLVASLTVDKLKSTSIWGLGVWRWTVLLMVTFCGHLATRWFMRIVVFLIEINFLLRKKVLYFVHGLKKSVQVFIWLALVLLTWVLLINHVVRRSKLATKVLDAVTWTLLSLLIGAFFWLLKTLLLKILASNFHVKSFFDRIQEAIFHQYVLQTLSGPPLMEDAEKIGGLRSVGQFSFRSTAGKGGKNKDVIDMAKLHKMKQEKVSAWTMKILVDAVMNSGLSTISNSLDESFYDGGTDPIDKEITNEMEATAAAYSIFRNVAGPGCEYIDDYDLRRFMIKEEVDLVLPLLAQVETGQINRKSLTEWVLKVYQERKALAHALCDTKTAVKQLNKLITGILVVVSIVVWLLLMGIATTKVLVFLSSQLVLSAFMFGNTCKNIFEAIIFVFVMHPFDVGDRCVIDGVELLVEEMNILTTVFLKLNNEKVYFPNSVLATKPISNYYRSPDMGDSVEFSIDFLTPVEKIGALKEKITEYMDGNPQYWHPNHNLVVKEIENVNKIKMGLYVTHTMNFQDFGQKTKRRSELVMEVKKIFEELNIRYNLLPQGVHLRHMESDKTVLK >OIV97408 pep chromosome:LupAngTanjil_v1.0:LG15:909700:915225:1 gene:TanjilG_16169 transcript:OIV97408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLSCLAFNGDNLSQYAMAEGLAEALMMYTKPFNGSSTTEDYYDGEKHCILVAAGNPVPLKMLVTVPRVLDGKLVLGRLQQNIEADFLEVTQLFTQGNNITEMAYAALCNYKVDQFSVMLSRNFKEAREAIHEKRVEDSSQIRNLQSMRTSDINHTEIFNTDFQVNVYEDIMAEMDAVHDNVLPSEKSDTSLEFVENPLSNLFDVPAQPTFDDVQYSLGQDQTNSTIKMVSMEALKTVETELGKTLEGSSSSQAASGNENYLIDLTNDDDEEVEVPREALPEVSSAAITTTTTTTITSGIRSPNGLLVDEPRGNNPWALDFSLVNNSSSSAFLTHQNSSTLWSTTQPSWYRGLQYGNVIPPIRSGNYGQTESENQAENGFGNYLLQHSTQNSVINEFQPFTSATLNNNVYNDVSSTQVGGISMVPFSSQYPLHDVQQGIGTNTTTWVPTMSGIPQLTQWEPLLPPPPSVMDFSDYVQAWEAYTMVG >OIV97394 pep chromosome:LupAngTanjil_v1.0:LG15:1416463:1418283:-1 gene:TanjilG_17578 transcript:OIV97394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPTNHYSVSSTMPLDLNEDQSYQLFSTNYEASYATSSDLLYHQASSSNSSLLNPEFLQPGHGSYHQEPNHLGSDEEGEKIVPSSGSWDHIGVESRSKLKVKVLWKKEEGNENLEAEDGSVKWMSPKMRIMHRMAVSDQTGSDITGISKQKFEQQKQHLSPLGNDNSTNSSNQSNITDRFCSSNFKQMFEEQKQQFSLQGTSDNSGNNSSNNNNITVRVCSDCHTTKTPLWRSGPRGPKTLCNACGIRQRKARRAMAAAAASTAASAGGTILMAAEPTHVKGKNLQSKEKKSKNKLTPQKKMKPKLGCKPCIGRRKKFSFEDLTISLSKNLALQQVFPQDEKEAAIMLMALSYGLLHGFPSDRYLD >OIV96497 pep chromosome:LupAngTanjil_v1.0:LG15:19327710:19332825:1 gene:TanjilG_07889 transcript:OIV96497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKKNPLVFMDVSIDGDPFERMVFELLYDVAPKTAENFRALCTGEKGISPNTGKSLHYKGSFFHRIVKGSFVQLSELQALFHLHVSYDNGTSGESIYGSDFSDESSRLKHDAPGLLSMAISDRDTLGSHFIITLKADHHLHRKHVVFGKLVLGHNILNKIEDVGDEEGRPTVTVKIVNCGEYAEARHKGKLKKSSKGRRKRRRRYYLSESESSSDSDMESSETDSDSESDVSSSSDISSSSDDRRRKRKRSRKDKHRHGKRRNKRRDKRQRRQDKRSKRRSRREPGSDLDGESNQDVSFDGATLDDQGKDQNHKDHSHKNGAKVRSPLVVVRDSHNKDRAVDMPETGEELPKENGKWLTSGIGTDYRSDQHEERQPDVMDYHSGKSRSQSMSPKRPMSNSLSISARRSKSKSPSVTLKERFSRGPTGSRSPPARRSVSRSPVRSINRIPSKSISRSPVRGRKGRSLSRSPARGGKGRSVSRSPVRGGKDRSVSRSPVRSRKGRSISRSPVRSRSLRSVSKSPVRFSPRSRRSSPRASSRKTISRSPVRVSRKGVSRSPVRSPSRSLSRSSGRVPSRRDISRSPARAPIRSNRRSYSRSPSPVRRTRTPHGRSVSRSVSPAASPKRVRRGRVFSERHSYARRYNTPSWSPVRSYRYNGRDRDRYSSYRRYSPRRYRNPPPRARTPPRYRSRRTPSVSPSPRYRARRYSRSRSPVSSHSPIEPHRSHPSSRVERRLSSSRSKSPAKSRSSMESQSPRKASRDKKSGSSSSRSPDFKKGLVSYGDGSPYSG >OIV96289 pep chromosome:LupAngTanjil_v1.0:LG15:20679638:20681190:-1 gene:TanjilG_09716 transcript:OIV96289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSRNLTLAFSSESSIEEELKRESTADAITILISYLVMFAYISLTLGDTPRLSSFYISSKVLLGLAGVMLVMLSVLGSVGIFSALGVKSTLIIMEVIPFLVLAVGVDNMCILVHAVKRQQLDLPLERRMSNALVEVGPSITLASLSEVLAFAVGSFISMPACRVFSMFAALAVLLDFLLQITAFVALIVLDSSRAEDKRVDCLPCMKVHPMHVDFDKGITKL >OIV97020 pep chromosome:LupAngTanjil_v1.0:LG15:11703687:11709412:-1 gene:TanjilG_03594 transcript:OIV97020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTNQTLRFILLLLLICHISSVLSWKKDEFRNCDQTPFCKRARSHKPGSCKLFAADVTISDGNVIANLISKPKPESESESEAVAEPKKPLILTLSVYQNGIVRLKIDETEPKNKTRFEVPDVVVSEFSNHKLWLQKLSTETLDGDSSPSSVVYLSEGYEAVIRHDPFEVYVRESGSGDRVVSLNSHGLFDLEQLREKKDGEEWEEKFRSHTDSRPYGPQSISFDVSFYGADFVYGIPEHATSLALKPTRGPGVEESEPYRLFNLDVFEYIHDSPFGLYGSIPFMISHGKSRGSSGFFWLNAAEMQIDVLGSGWDAESGILLPSKQGRVDTFWMAEAGLVDVFFFIGPKPKDVLQQYTSVTGTSALPQLFSTAYHQCRWNYRDEEDVEHVDSKFDEFDIPYDVLWLDIEHTAGKKYFTWDSVLFPHPEEMQRKLYAKGRHMVTIVDPHIKREDSFFLHKEATEKGYYVKDASGNDFDGWCWPGSSSYPDTLNPEIRSWWADKFSYQSYVGSTPSLYIWNDMNEPSVFNGPEVTMPRDALHLGGVEHRELHNAYGYYFHMATSEGLLKRGDGKDRPFVLSRALFAGSQRYGAIWTGDNTADWDHLRVSIPMVLTLGLTGMAFSGADIGGFFGNPEPELLVRWYQVGAFYPFFRGHAHHDTKRREPWLFGERNTELIRDAIHVRYALLPYFYTLFREANTTGVPVLRPLWMEFPSDKATFSNDEAFMVGNSLLVQGIYTEGAKHTSVYLPGKESWYDLRTGTVYKGGVTHKLDVTEESIPAFQRAGTILTRRDRFRRSSTQMTNDPYTLVIALNSSQAAEGELYIDDGSSFNFLKGGYIHKRFIFANGKLTSVDLAPASSGNVRYSSDVVIERIILLGHTSGSKNALIEPSNQKVDIELGPLWVQRARSPAAVTIRKPNVRVTDDWTVKIL >OIV96977 pep chromosome:LupAngTanjil_v1.0:LG15:12361520:12366817:-1 gene:TanjilG_31868 transcript:OIV96977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGTMGTSPHAVEARHRLPASMWIWGSEDPRYTSNVDTDWNDIMKITKKLTGKNDFQGIGLLNFNKTELVHWENVIPDATHVVLHMDYAAKNVTWESLYPEWIDEEEETEVPVCPSLPSLGSPGIRLNLIAVKLPCHDTRNWSRDVGRLHLQLAAAGLATSFKGNYPVYVLFITNCFPIPNLFTCKELVGHEGNVWLYKPNLSVLREKVQLPVGSCELALPMRGQELVYNGNVPREAYATILHSAHDYVCGAIAAAQSIRMSGSTRDLVILVDQTISGYHRSGLEAAGWKVRTIQRIRNPKAEKDAYNEWNYSKFRLWQLTDYDKIIFIDADLLILRNIDFLFGMPQITATGNDATLFNSGVMVVEPSNCTFQLLMEHINEIESYNGGDQGYLNEIFTLWHRIPRHMNFLKHFWVGDEEEKKQMKTMLFGAEPPILYVLHYLGMKPWLCFRDYDCNWNSDLFHEFASDVAHEKWWKVHDAMPELLQKFCMLKSKQKAQLEWDRRQAEIANYTDGHWRIKVKDKRLKKCIDNLCNWKSMLKHWGETNWTDDESYTPTPPAITTSHLSSL >OIV96746 pep chromosome:LupAngTanjil_v1.0:LG15:16805032:16805298:1 gene:TanjilG_11742 transcript:OIV96746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTTKAWAVAASVGVVEGLKDQGICRWNHALNSAQHYLKNHVRSLSQTKKLSSSSSAMVSKKVKGEKAKQSEESLRTVMYLSFWGPN >OIV97437 pep chromosome:LupAngTanjil_v1.0:LG15:676523:679986:-1 gene:TanjilG_16198 transcript:OIV97437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLSPHSLFRTKPLFSSYLFTTLLVFILFTAILYVHHFFIFSQFTPFSTFHNLTQFSSQENSNVHKVAFALEDTKEGCDVFKGRWVKDELARPLYEESECPYIQPQLTCEHHGRPDEDYRHWRWQPRGCDLPKFNASLMLETLRGKRLMFVGDSLNRGQYVSLVCLLHKLIPEDSKSEETFDSLTVFTAKEYNATIEYYWAPFLLESNSDNAVIHRVTDRIVRKGSINKHGHYWKGADIVVFNTYLWWITGSKMKVLHGSFDDKEKVIVEMPTEDAYRMAMKSMVRWVRLNMNTNKTRVFFTSMSPSHTKSKEWGGKEGGNCYNETTPIDDPTYWGSDSEKSIMQVIEQVFRKSKVPITFLNITQLSSYRKDAHTSIYKKQWNPLSTEQLANPASYADCIHWCLPGLQDTWNELLFAKLFYP >OIV97056 pep chromosome:LupAngTanjil_v1.0:LG15:10659752:10669192:1 gene:TanjilG_00254 transcript:OIV97056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIVWQTPANPPHPQDYIFRNGIRYVKPYYFEFIAHVKNRWAGKTIVDLFADEFKGRPYEYYVSAVKCGRIQVDGEMVPVSYMVKSSQKISHFVHRHEPPVMACDVPILQKEPDVLTVCKPASVPVHPCGQYRKNTVVGILEAEHGLAPLFPIHRLDRLVSGLLILARNAAKADMFRQQIEGGLVKKQYIAKVVGEFPEDELTVDANIDYNAREGRSTAEVRDSAKGKTASTKFSRISSNGTQSIVLCEPVTGRTHQIRVHLQHLGHPIANDMLYISDQTVDRSIKGSSADRSARMSDASLPSNFDEKVINECEENSDSDLSIDPMCTNCPNLAPKGYDDDEEGLWLHCFRYCGPGWEYECPYPDWAKLS >OIV96260 pep chromosome:LupAngTanjil_v1.0:LG15:20731056:20734620:1 gene:TanjilG_05100 transcript:OIV96260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVLQIDLTTQIVRATTSLKVENTGSDPVSEILLTVPDNQANHLAYLKATLNEGKGKTKASAGVSLPVEVYHPKDAPPSLTFYSISLPKGLGKGDTLNLVVLAIYTHILEPFPQKISQADIQLLLFQDSAHYLSPYPVKAQSLTVKLPDARLESYTKLENVKLQGSELKYGPYENLPPFSYLPVVVHFENNQPFAVAKELVREIEISHWGNVQITEHYSLVHGGAQSKGEFSRLDYQSRPYVRGASAFRRLVAKLPPRAHSVYYRDEIGNISTSSLWGDSKKTELEIEPRYPMFGGWKTEFTIGYGLPLKDFLFGLDGKRFLNITFGSPMNELVINTLFVKVVLPEGSKDILASVPFPVKQWQETKVSHLDVAGRPVVVLEKNNVVPEHNEYFQVYYKFSSLSMLREPLMLVSGFFLLFVAYIIYMRADLSISKSSASYLAKLQWEEVQATVQQLHKIISRCLTAHDKLEASLPDLSRTGDVQACKATRKSVDSLLKELSKELKPLVAFLQSSPQASQIFPKVDELVAKERDLQERLVAKHTTVVDCYEKKLGGREIENRIASHQQKITALRQEIDDLVDFIDEI >OIV97262 pep chromosome:LupAngTanjil_v1.0:LG15:2937754:2938658:-1 gene:TanjilG_10796 transcript:OIV97262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGMLPGVESARRRRFHHSGGCLDSPSLSPHNSTRRSSFCLYAKNNESLLPSFSSLQRSMFNQTPPDENIVGAAREAKQRLDEKFKQQKKSENKRQNTYIEGRRTSPEELQNCGSKKSGLRKFSWTKLSLKALEQEDRAVCLESQITEGWRDTDPSPL >OIV96873 pep chromosome:LupAngTanjil_v1.0:LG15:12766504:12769832:-1 gene:TanjilG_00455 transcript:OIV96873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSEDIENGLNSPLVFQDAVLRFNSGPPPRRIDDPLPKTREPSVFVDEKMFAMDRDRFFAAQGAEFRRNVYGDCSGQRDTPDGRNWSRNGSSGDDSDDSEDDEDDDIDDDVEGEVEDLIGNKRNSNAIGEINNNSGSGGNLAIVANGKTQHQSPYVCGRELLGKDGEIGHLGHNSVSGGDEDHQRERLGKSQNAVVVAETECEEYYSQYLHGTEGSGSVQKVMVDENGCGFSGRKDAMYPGESGESLRAILSDTVTGALMDDAMILPCGHSFGGGGIQHVIRMKACCTCSQPTTEESISPNLSLRAAVQAYCREEESQFYRASKRRRERFDQGGFGDSAVMEPSRSRGVQFPFAVMDRVVIKGNKRTPQRFVGREAIVTTQCLNGWYVVKTLDNAESVKLQYRSLAKVLDDPSKPVSSKVGPNWL >OIV96794 pep chromosome:LupAngTanjil_v1.0:LG15:16078978:16085734:-1 gene:TanjilG_18254 transcript:OIV96794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLADIGVSATINILTAFAFLLAFAILRIQPINDRIYFPKWYISGGRTSSRSNTGNFVGKFVNLNFRTYLTFLNWMPQALRMSETEIINHAGLDSAAFLRIYTLGLKIFVPVTVVALLVLIPVNVSSGTLFNLKKELVVSDIDKLSISNVPPKSIRFFVHIALEYLVTIWVCFLLYKEYNNVSTMRLQFFVSQRRRVEQFTVVVRNVHHTHGHSVSDSVDNFFQTNHPNHYIGHQAVYNANKFARLVRKRNGLQNWLDYYQLKSERHPDKKPTVKLGFWGLWGRKVDAVEYYRRIITELDKMMILERQKIIKDPKCILPVAFLSFNSRWGASVCAQTQQSKNPTLWLTDWAPEPRDVYWQNLAIPFVSLSIRKLIISSLVFALVFFYMIPIALVQSLANLEGLEKVVPFLRPVIELQFIKSFLQGFLPGLALKLFLYVLPSILMIMSKIEGHIALSTLDRKTSAKYYYFMLVNVFLGSIVTGTAFEQLHAFLHQSPTEIPRTIGVSIPMKATFFMTYIMVDGWAGIASEILRLKEMIIYHLKNMFIVKTERDREKAMDPGSVDYKETIPSLQLYFLLGIVYAVVSPVLLPFILIFFAFAYLVYRHQIINVYNQQYESAAAFWPHVHGRIIASLIISQLLLLGLLSTKEAAKSTPLLVILPILTYAFHKYCQSRFEPAFRQYPLEEAMAKDLLEKTTEPELNIKAYLADAYLHPIFQSFEIEEHELIEVRVDKQQTQVTSPSSNDTTSPSSSHYHHHLPSPPPSPPHDDYPGFFHHVPHYGYQYQLEP >OIV96799 pep chromosome:LupAngTanjil_v1.0:LG15:16134161:16136124:-1 gene:TanjilG_18259 transcript:OIV96799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLNNLVSKPTFSPLVLVEHEKNSIGDLRKQCKQEKWKAWCSDDGSCGVVPEFLPPPSYKEIIIEFYKALNTKDTETLEQLLSPNECSYEDYVLYGRVEGKEGIMNFFENAMDAMGSNIHIVVDEIKENNHQTATVFWHLEWEETKIPFTNGCRFFTFEEVEGRICISKITGMEELPVKPGELMLKLLKAIRMLFDKYPLPTKAILESHASDGMHFDLFGRKR >OIV97146 pep chromosome:LupAngTanjil_v1.0:LG15:7741453:7758456:1 gene:TanjilG_00175 transcript:OIV97146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSTPARKRLVRDFKRLQQDLPKCPDDTPWDGGTFKLTLQFYEDYLNKPSTLRFVSRMFHPNMNSSADLEGFQPFSHVLSLILISHEANSALGSIGSLLCDPNPNSPTNSEAARMYSENKREYNHRVRESVE >OIV97129 pep chromosome:LupAngTanjil_v1.0:LG15:7371419:7371829:-1 gene:TanjilG_00158 transcript:OIV97129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVSALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >OIV97362 pep chromosome:LupAngTanjil_v1.0:LG15:1846736:1847089:-1 gene:TanjilG_07114 transcript:OIV97362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGDTFSGLVSNGTQIDGKILQTFQKSFVQVQNILDQNRLLINEINQNHESKVPDNLNRNVGLIRELNNNIRRVVDLYADLSSSFTKSMEVSSEGDSSGAVKSDGKGSSGQKRHRPI >OIV96920 pep chromosome:LupAngTanjil_v1.0:LG15:13478465:13480798:1 gene:TanjilG_00502 transcript:OIV96920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLFNFQTSHLPSPSLSFSSYPSSTLSLLSPPHFSTTKLTTSFTQTLKIASTNAISPTTNRSGGSISPVRMSWDGPLSSVKLITQGKNLELSDVVKQHVEEKVGKAVQKHSHLVREVDVRLSLRGGGEFGRGPLTRRCEVTLFTKRHGVIRAEEDAETIYGSIDLVSSIIQRKLRKIKEKESDHGRHMKGFNRSKVREVVEPVPVDDDEISPQEEEESIEVVRTKFFDMPPLTVSEAIDQLENVNHDFYAFRNEETGEINIVYKRKEGGYGLIIPKGDGQAEKLEPIAREPSLKE >OIV96473 pep chromosome:LupAngTanjil_v1.0:LG15:19480329:19482367:1 gene:TanjilG_07865 transcript:OIV96473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTIRLFKSLILFIFSLLPLSFAQSSTSGFSDGYTIYGRVKIPSTGTNEFILPGKVSNVKVILNGGQRVTLLRPDGYFSFVPAGTHLIEVSALGYFFSPVRVDVSARNPGKIQAALTETRRGLSEFVLEPLKGEQYYEIRQPFSIMSIVKSPMGLMMGFMLLVVFVMPKLMEGIGMCSKIHL >OIV96308 pep chromosome:LupAngTanjil_v1.0:LG15:20569392:20572373:-1 gene:TanjilG_09735 transcript:OIV96308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLEDFFTLSEIKDGLTAPYRVQEFVSVMQKEKDCVVKNAGDATRQWAAVASTIAATENRDCLDLFIQLDGLWFINRWLKDAQNFAIGANDRFIDESITGMLRAVEQLHLDSQKSVSSGIHETVSNLLGHHSSRVQNKARVLVDSWKGSGNGDAESHDIAEVNDASSKLVREEGQPSSVTEAGNNSDHTPGLVGNEKSLFRSPDNSLPERIASAQIQSSGNASLECEEIKEISPNYLASVSSSAQEVVSVHEGLPLCAPSENALVGTCNLLVPKQDNVEGQSDIVPSTDFAKTEYQEQNVKDPPEKLDAPEICLVSTKLEPEPISVSASDAKALEPVKEPTLQHNGENNELGVCHKIVTSDGVGSPASGRKNRVNRVIAVSKASENNDDCHSNVLWDSSVSESELGKTEVLEMSISGTKYVRAVKEGKGHVSSEGENTSNGYDFNKPGKGSRSPSIIDRKSSADEFDNGIVDAIEVTRQIALEIEREVCSSSDKITEGGIRQPGSPDSVKKEDEPSLVLPKEVSSRESHSTGACSDEEQCLSISNNIVAESECRPNKESVQASEAAQDSGGNSEKRLCLFDLNEDGSDDMDVSVNAMSTPRPVVSDSMPAPSPGLPGAPLLFEGTLGWKGSTGTSAFGLASPCNSERNFSGDENSDISKQRQDWLDFDLNVAEDDDGSAKPIAESSFLPSGQLLVESSPKRSSRLELDLNSLGDDCDGQPSVQRMEGQFFLGRNGYWSPSPASSTSSMQPSVRNIDLNDRPRLQTDLVDQGPGNSPHFIDAFGLSKSDAPAISIFGTKVDIGRRREYVPQTLFLPNGKAIEPAIDLTMSRAGGILGMPPTASYNLSSVLGYNGVVSASAPPLSFSSAMYGSGGTIPYMVHSRGAPLVPQVASGSSSTVLPSYSQPPFIMNMTATQLALNGVGPSHPPNLDLNSGFMIEGGGNRDALTTRQFFFPGQGTMPRPSSSGVGGKRKEPDSGWESYPFNYKHQQPPWK >OIV97253 pep chromosome:LupAngTanjil_v1.0:LG15:3089287:3090972:-1 gene:TanjilG_10787 transcript:OIV97253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATFNFIHFLAITTLIAIVTTITITAIVTTTATTTVITTAAAIKGAYWFDQEIFPASAINSSLFTHIFYAFLIPNYTTYALQISNSESNSLSKFTNSLRTKNPPLKTLISIGGGGSNPNLFAEIVATRAARAAFIQSTISVVRRFGFDGVDLDWEFPKTVEQMSNLGILLREWRRAIDIDSKISGKPPLLLTAAVYFADSFFLSATQRRYPVASINNNLDFVNIMSYDLYGSGNNSTGAPAALFDPKSNINVVSGINLWIRAGLNPNKMVMGLPLYGKKWVLRDSNINGIGAPATGIYGVDGTKAFFQIEEFNKETNATVVYDMDTISMYSYSNTSWIGYDDPFTVTIKVGFAQALGLRGYFFWAVGYDNDIITRQAWKAWILYERH >OIV97019 pep chromosome:LupAngTanjil_v1.0:LG15:11712571:11713099:-1 gene:TanjilG_03593 transcript:OIV97019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDQNGVLQIADDELLEDPAVTSAVDEETEFNALVEEEAALLDSLLGKR >OIV96316 pep chromosome:LupAngTanjil_v1.0:LG15:20503588:20505228:1 gene:TanjilG_09743 transcript:OIV96316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSIFLLLFLLFLLHILLPTTTEAGGGTGEWQLLQNSIGVVAMHMQLLHNDRVVIYDRTDFGLSKLNLPGGKCRHDPNELVVKTDCTAHSVEYDVVGNKFRPLFVQTNVWCSSGSVGPDGTLVQTGGSYDGERATRIFKPCATCDWEEVQFGLSARRWYSTNQILPDGKQIIIGGRRQFNYEFYPKNDAAANNIYSLPFLVQTNDPAENNLYPFVFLNVDGNLFIFANNRAILFDYSNGRVVRTYPTIPGGDPRNYPSTGSAVLLPLRNLQATNIEAEVLICGGAPRGSFEKTLKGEFIGALNTCGRIKITDPKPKWVMETMPGGRVMSDMVPLPNGNVLIINGAAVGSAGWESGRNPVLNPFLYKTNDPVGSRFVMQKPSAIPRMYHSTAVLLRDGRVLVAGSNPHMGYNFSNVLFPTELRLETFSPSYLDPGSNNVRPTISFPASKSKVKYGQKLKVRFHVAATLDRNDVSVTILAPPFNTHSFSMNQRVLVLEPNVLNDVGKSNYEVEVTTPGSPILAPPGFYLLFVVHQDIPSHGIWVQIM >OIV96478 pep chromosome:LupAngTanjil_v1.0:LG15:19445360:19447480:1 gene:TanjilG_07870 transcript:OIV96478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFAAENGLKGDPRLESISQAIRVVPHFPKQGIMFQDITTLLLDHKAFKDTIDIFVERYRDMHISVVAGNEARGFLFGPSIALRIGAKFVPLRKPRKLPGEVISEKYDLEYGTDCLELHVGAVQPGERAIVIDDLVATGGTLKAAIKLLERVGAEVVECACVIGVPDVKGQCSPIGKPLYVLIEPR >OIV97520 pep chromosome:LupAngTanjil_v1.0:LG15:473677:476612:1 gene:TanjilG_11044 transcript:OIV97520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFIENFKVESPNVKYTDSEIQSVYNYQTTELVHQNNEWIVKPKTVKYEFKTNTHVPKLGVMLVGWGGNNGSTLTGGVIANRDNMNLADAMGRAKVFDIELQKQLRPYMESMVPLPGIYDPDFIAANQGERANNVIKGTKKEQVQHIIKDIKEFKEANKVDRVVVLWTANTERYSNVVVGLNDTMENLLASVDRNESEISPSTLFALACVTENVPFINGSPQNTFVPGLIDLAIKKNSLIGGDDFKSGQTKIKSVLVDFLVGAGIKPTSIVSYNHLGNNDGMNLSAPQTFRSKEISKSNVVDDMVNSNGILYAPGEHPDHVVVIKYVPYVADSKRAMDEYTSEIFMGGRNTIVLHNTCEDSLLAAPIILDLVLLAELSTRIEFKNEAEGKFHSFHPVATILSYLTKAPLVPPGTPVVNALSKQRAMLENILRACVGLAPENNMILEYK >OIV97291 pep chromosome:LupAngTanjil_v1.0:LG15:2571688:2571882:-1 gene:TanjilG_07043 transcript:OIV97291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLIPNLFLKDRNFITIPSTPQNQNSKIHHQIQQKNIPKKVENPKPTPLKLTHTHLTKSHYKLN >OIV97261 pep chromosome:LupAngTanjil_v1.0:LG15:2970837:2978716:1 gene:TanjilG_10795 transcript:OIV97261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQIIAKYQRATGTHIMQQDHHLREDILKELGMLRQETLRLELGIQRYLGEDISCLQYEDLTKLEEELENSMAKVRDRQERILEDEHSNLSNWVAVEGNKPMQQHEQQVMDYFPFFEDQPADTLLQLAAPVLPHFHPYLHLAQPNFQHSQEP >OIV96953 pep chromosome:LupAngTanjil_v1.0:LG15:14220996:14221163:1 gene:TanjilG_00535 transcript:OIV96953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLDSEPEGDWLGRGARALDNPRSTTGDESLDKLYRLRADLALFGESDPSPFGI >OIV97189 pep chromosome:LupAngTanjil_v1.0:LG15:6443256:6450487:1 gene:TanjilG_28940 transcript:OIV97189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDARHVSRAAIDPRIRRVGFFASRAEPDPTEPDSLPISDSPASNLVNPVMTQPPRHLSERTAAVPVPETGFRRQVSGDMLPIGSYNPSESLLGTSPTASGVGMDDGQFSEDSSGWFLRSESNFAGGRFDLPAVKPLEILVEGNLAVAVNVKNLAGGIHKESKNPEEKREYAVKEVRSDLVAISKPLKEKATKAERRALQEAQRAAKADSKAPGKSTKQSSQRKDAPTVTSTAVTDKRSGNRPSEKERKKDVPATRMQFDDKNRVDKAKRRAVVNQTEARNRAELFRHLPQYEYGSQLPQLEAKLFQLDSVHPAVFKVGLRYLAGDISGSNARCIEMLRAFQEAIGDYSTPPEKALVRDLTAKISSYVSFFTECRPLSISMGNAIRFVKSRIAKLALNLSESEAKAALCSDIDRFINEKIILADKVIVEHAATKVRDGDVLLTYGSSCVVEMILLYAHDLGKQFSVVVVDSRPKLESQALLCRLVANGLNCTYTHINAVSYVMHEVTRVFLGASSVLSNGTVYSRVGTSCVAMVAHAFHVPVLICCEAYKFHERVQLDAVCSNELGDPDAVATVPGRMDINYLSNWTSEENLQLLNLMYDATPSDYVSVIVTDYGMVPPTSVPVIVREYGREHLI >OIV97431 pep chromosome:LupAngTanjil_v1.0:LG15:722267:722785:1 gene:TanjilG_16192 transcript:OIV97431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMMHFSILSLLIVAFTYAEADEHVAHATEKKLEVVVEATVYCQSCEHFGTWSMIGAKPIPSAKVSVTCKTHKGQVSYYKVFETDKNGYLYAPLEGFKIKHYILDHPLQSCFVKPVWSPVESCSLLSNINYGLNGAPIRYEDKRLIGSRYEAIIYAAGPLAFRPSNCSKSHY >OIV97470 pep chromosome:LupAngTanjil_v1.0:LG15:127931:129363:-1 gene:TanjilG_10994 transcript:OIV97470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTCNRCYVLMVLGIVVISSMVASCGANLLQDFDLTWGDNRAKIFNKGQLLSLSLDKASGSGFQSKKEYLFGRIDMQLKLVSGNSAGTVTAYYLSSQGPTHDEIDFEFLGNVSGDPYILHTNVFSQGKGNREQQFYLWFDPTRNFHTYSIIWKPQHIIFLVDNIPIRVFKNAESVGVPFPKNQPMRIYSSLWSADDWATRGGLVKTDWSKAPFIAYYRNFKATEFSSISSNSLSDEAVQSNEIDANGRRRLRWVQKYFMIYNYCKDLKRFPQGLPAEC >OIV96386 pep chromosome:LupAngTanjil_v1.0:LG15:20082786:20086624:1 gene:TanjilG_09813 transcript:OIV96386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDVEDKHPLESSSKIAEQTPLADHGEEKLPDEFSFKIADEMPLAELVEVGLPSESSSKVSEETPLTGNVEDKLLFEFPTIVSDGTLVEEHIEDKLPSESSSKIAETAQLIELTEENTEVIDLSDNQTSIEAPFSPLGNGKVASATHLPVPELVELVTLPNAFDGQTAIQDEYHPIGDSASTTNATVDATERSHQGTLEEYESGAVENIFGSHKLQDDFTTVTPDNDVDNENIFPASSSKTKDLQNDHSELKIDPPETNVADGAVETIDLSNHAKHLDATRTLIDTAAPFESVKAAVSKFGGIVDWKAHKMQTVERRNLVEQELEKAQEEIPEYRKQAEAAEKAKVQVLKELDSTKRLIEELKVNLERAQTEEHQARQDSELAKLRVEEMEQGIADDSSVAAKAQLEVAKARYSAAISDLTSVKEELEALRKEYASLVTDKDEAIRKAEEAVAASKEVEKSVENTTIELIATKELLESAHAAHMEAEEQRIGSVMARDQDSLNWETELKQAEEELQRLSQQILSAKDLKSKLETASALLLGLKAELYAYMESKLKQEGDEEGISNGDLEVPEKKTHTDIQAAVASAKKELEEVKLNIEKATAEVSFLKVAATSLKSELEQEKSTLASTRQREGLASIAVASLKAELDRTRSEIALVQMKEKETKERMTELPKKLQQTAEEANQANLLAQAAREELQKVKTEAEHAKAGVSTMESRLLAAQKDIEAAKASEKLAIAAIKALQESESSRSNKEMNPITGVTLSLEEYYELSKRAHEAEERANSRVAAANAEIDIAKKSELKSFEKLDEVNREIASKRESLKIAMDKAEKAKEGKLGVEQELRKWRAESEQRRKAGESDKGVVNQSKSPRGSFEGSKETHNFDQAQGAASPAHHMSSPKAFEPLDHDGSGSSPDSNHGKKKRRSLFPRVLMFFARRKTHTTKLG >OIV96911 pep chromosome:LupAngTanjil_v1.0:LG15:13304427:13313810:1 gene:TanjilG_00493 transcript:OIV96911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNSPLFDDIRIHSDIDPPQIEELTDVSELVNDPTQTAHKPNGIVSSSVRELLECPVCLNAMYPPIHQCSNGHTICSSCKPRVHNRCPTCRHELGNIRCLALEKVAASLELPCKYQECSVMGDIPYLASHLKEDHKVDMHSGSTFNHRYVKSNPQEVENATWMLTVFSCYGQYFCLHFEAFQLGAAPVYIAFLRFMGDDNEAKNYSYSLEVGGNGRKMVWQGVPRSIRDSHCKVRDSYDGLIIQRNMALFFSGGDQKELKLRITGRIWKE >OIV96644 pep chromosome:LupAngTanjil_v1.0:LG15:18737394:18741311:-1 gene:TanjilG_28501 transcript:OIV96644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSVETGMEPLTSGASNRIIPILKALRASLIFVYTLFLSFLLFVLPRRRRLSSTVAGLPPPSPKKRFKRRWLIREEEDTFRRRTLAEGVGMGRDDGCCRWNTSIFYGLRNNALFCRSWFPVTGHLKGILIIIHGLNEHGGRYADFAQKLTSCHFGVYAMDWIGHGGSDGLHGYVPSLDYVVADTGAFLEKIRSENPGIPCFLFGHSTGGAVVLKAASLPHIEVMVEGIILTSPALRVRPAHPIVGAVAPLFSLVAPRFQIKGANRRGIPVSRDPAALLAKYSDPLVYTGPIRVRTGHEILRISSYLMRNLKSVTVPFFVLHGTADKVTDPLASEDLYNKAASEFKDIKLYDGFLHDLLFEPEREEIAQDIINWMEKRLFTI >OIV96921 pep chromosome:LupAngTanjil_v1.0:LG15:13482380:13484538:-1 gene:TanjilG_00503 transcript:OIV96921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVASLTLLTNTSYLSSILFSFFGEIGIGYEEVELLLVNNHDLTLASIDSLRSRVLSLQSLGLDHVDLYHSVIRQPTLLTAKEIDPLVCFLKDELEGQLEQSQVKHLLSASEPRFLADFPHKVQLLLDRGVPRDKVVYVLNRVNLPKAFIHRSLDEIDRIIDFLEPFGSASLIVKRPTLLNYDLDKQLIPRVGVLVELSGGDKDGTGKVLRTLPAILNYSVEHVEGHIRLLRSFAGLDDQEIFKIILVFPGIVTASRDRKLRPRIQFLKDCGLDSGDIFKFLVKAPLFLGHSFHENIAYKLVFLVKIGFKYRTKELTMAIGSTTRTSCENMQKVITLFLSYGFSCEDIVAMSKKQPQILQYNHTSLEKKMKYLIEEMDRDIEELLVFPAFLGYKFDDRIKLRYEVEKGVKGEQMSLNKLLTVSTKRFAGKQKRATSIDNLN >OIV96477 pep chromosome:LupAngTanjil_v1.0:LG15:19450755:19451249:-1 gene:TanjilG_07869 transcript:OIV96477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNKVISTILVLYLFAYLTFIEANCPPPTPTPTPKPTPPTPKPTPPTPKPTPPTTKPPPYVPPTPTPPTTTITPPPPPQKCPKDTLKLGACADLLGLVNIIIGTPPSSNCCALINGLVDLDAALCLCIAIKANVLGINLNVPVTLSLILSACQKNVPTGFQCP >OIV96747 pep chromosome:LupAngTanjil_v1.0:LG15:16798285:16798975:1 gene:TanjilG_11743 transcript:OIV96747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSCATSKAWIVATSVGVVEALKDQGLCRWNYALRCAQQHLKTHVRSLSQAKNVPSTSSLVARKKGAKVKQAEESLRTVMYLSCWGPSMKAWVVATSVGIVETLKDQGICRWNSTFKSAQQHVKSHVRSLSQAKKVSSSSSAMISRKLKDEKAKQSQESLRTVMYLSCWGPN >OIV96998 pep chromosome:LupAngTanjil_v1.0:LG15:12205832:12206563:-1 gene:TanjilG_25823 transcript:OIV96998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNNHNEHLARNLHIYSPTSTPHTLHESAGDMEFSFWGSSNTTSCKNYCDMLGPNEALRLNKEAVFAETYCFNFSDPSRNKAIEEGRRELMEMVQNMHDESTYELSFQDMVINDKHVLQESYQNETSFDKEHMHSSSNDNNKVQLKKQKNKSSNRPSHILRVESMESENFLLKMFFPTSLKKVKVEKSHSKVCPKPSSLQESVKQVGKEWRITRYFKKGDYSGDNEDGISGNNSSSNSSNKSR >OIV96729 pep chromosome:LupAngTanjil_v1.0:LG15:17800630:17800848:-1 gene:TanjilG_09271 transcript:OIV96729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKIGMGLMGTLLKNKSQMRERRSGKCIPEGHVSEDHEGSFLPTRSCNRKIPQGKWIISERGKVEKGTKVSL >OIV96383 pep chromosome:LupAngTanjil_v1.0:LG15:20099877:20103972:1 gene:TanjilG_09810 transcript:OIV96383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRGALGSMPETIDFHSSSTSSNATMDQQIFWNNVQNTAENQMPEYILSPSDINSVYVNSINHEWQNLSEWNLGEPSSSNTPNEINSNEQKRELGWSSPLNAGALSDPRLEERHLEPTNALSLDNVNTGPIYMCSSNSHLISRNLNSNSDIADNGSDNSQHLDQPNLPKSSRSVNEHIPPSNGFLAEDTDGRPGCSLDTRRVSCKRKAVEGNAGQSSDVGNSSYTQHGDGSAWHPFPTQDNAQNSLRMAISAEQLNARLGLGMGDGASESVPGSYVAGSSESLHRNFRLRINPSNPQNSVPPAAFIAENVIRHSGALSSSTSQRFHSVDSSLYLRTAPPIDNVIPPSQPPVIQAPALPRNRQSYRWNGGSSSRNILSSNSIICPDWDNLPQEGASFGSMSRNAVEHPVFTPATDLRNLAQHPAIRATNPSSASTWASRPNPPQHPRRSSDYVRRSLFPPASEATGGPSSNPSSLRPGPFTSEPRVLSSGANPRSALWLERRGGDSEFGIPPSLRTLAVASEGSSRIVSELRNALGRIRRARNLQFEDVMILDQSVFSRRADIHDRHRDMRLDVDNMSYEELLALEERIGNVSTGLSEETILKLLKQKKYVAETGSQLDAEPCCVCQENYGDGDDIGTLNCGHDFHSNCIKQWLMHKNMCPICKTTGLAT >OIV97138 pep chromosome:LupAngTanjil_v1.0:LG15:7534565:7538139:-1 gene:TanjilG_00167 transcript:OIV97138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPKIYLFGDSITEDSFSEGGWGASLANHFSRTVDVVLRGYSGYNTRWVLKVLDRVFPATQSGDGGIDGAPIAVTVFFGANDASLPDRYSGFQHVPLDEFKQNLHSIVSFIKKQWPTTVVLLITPPPIDEDGRIKHPYAENPEGLPERTNEAAGEYARACITVAGECGIPVIDLWRKMQQCPSWRKDFLWDGLHLTRRGNQVVFEEVVKKLKDEGLSLESIPIDLPPIADIDHNDPLKAFQLKAFQL >OIV96369 pep chromosome:LupAngTanjil_v1.0:LG15:20184555:20186056:-1 gene:TanjilG_09796 transcript:OIV96369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTFDRWEKDPFFNAAEEVQESSDRMESAYRTWIHAEKDPLSPWNNDELCRDVHTALGTAKWQLDEFQRAVGSSYSESSSEDAIIRHRDFISAIENKIAQIEHSLRESAHSGGKAHPTWVRLDEGECDELALFLSGMPSHEGKSPRKCIGSGSENPQSSDKDSFSKFSDNVRVSSGQGSYEAMEVKSHGHRRTASADANIPSWKIAVSGDVQIQNSSNNSSGPMHKVSSLSGFFNSMESVSKLKWPKNGYRKLQTVNHHEETDDMLLPTTQFNRTMKEVRVTLIVVVNVMISNCMGGMGLSKDSFKDLNTICNTVVLLK >OIV96608 pep chromosome:LupAngTanjil_v1.0:LG15:18353189:18369225:-1 gene:TanjilG_28465 transcript:OIV96608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTLRSSWPSRLRQLLSNEGAIVPSIKLSSEPSPKIKAFIDKVIQCPLEDIAIPLSDFKWEFSKGNFHHWRPLFLHFDTYFKTYLASRNDLTLSDNLQDDSPLPKHAILQILSVMQIILENCPNKSSFDGLEHFKLLLASKDPEILIATLETLSALVKINPSKLHGSEKMVGCGSVNSYLLSLAQGWGSKEEGLGLYSCIMANEKAQDEALCLFPSDVDNSCDQSNYRIGSTLYFELHGPSAQNKEHSVDTVSPSLRVIHMPDMHLCKEDDLSLLKQCIEQYSIAPEIRFSLLTRIRYARAFRSLRVCRLYSRICLLAFIVLVQSCDAHDELVSFFANEPEYTNELVRVVRSEETISGSIRTLVMLALGAQLAAYTSSHERAQILSGSNMTFAGGNRMILLNVLQRAILSLKSSNDPSSLAFVEALLQFYLLHVVSTSSSGSSIRGSGMVPTFLPLLEDSDPAHIHLVCFAVKTLQKLMDYSSSAVSLFKELGGIELLAQRLQLEIHRVIGLVGENDNVMLTSESASHSTDQLYSQKRLIKVSLKALGSATYAPTNSTRSQHSQDSSLPATLAMIFRNVDKFGGDIYYSAVTVMSEIIHKDPTCFAALHEMGLPDAFLSSVVSGVLPSSKALTCIPNGLGAICLNAKGLEVVIETSSLRFLVDIFTSKKYVLAMNEAIIPLSNSVEELLRHVSLLRSIGVDIIIEIIHNIASFGGCNDTGSSGKANESSSMDTDSEDKENESRSCFVGTTGSSAEGISDEHIIQLCIFHLMVLVHRTMENSETCRLFVEKSGIEALLNLLLRPAIAQSSDGTSIALHSTMVFKGFVQHHSTPLARALCSSLREHLKKTLAGFSVASGPLLLDPKMTTDSSIFSSLFSVEFLLFLAASKDNRWVTALLTEFGNGSKDVLEDISHVHREVLWQLALLENKKPDFEDDGACSSADSQQAEVDANETEEQRFNSFRQFLDPLRRRRTSGWSVESQFFDLINLYRGLGRATGSQHRSGTVSPSNMRLGSSNQFHHPGSAEASGDTNKNEYDKQRTYYISCCDMVRSLSFHITHLFQELGKNMLLPSRRRDDTLVVSPASDIVASTFAHIALDHMNFEGHRTFSGTEASISTKFRYFGKVIDFMDSIVMERPDSCNPIILTCLYEHGVIQSVLTTFDAACQLLFPFNRIPASPMETDDENVKRDDMGDADHSWINVSLASYGKFMDHLVTSSFILSPLAKHLLSLPLTSIDIPFPHDAEIFVKVLQSMVLKAVLPVWTNPQFVDCSYEFISTIISIIRHAYSGVEVKNVNDSSSARISGPRPNETTISTIVEMGFSRSRAEEALRQVGSNSVELAMEWLFSHPEPEDMEEDDELARALAMSLGKSDSDTEDGVPNVKAQQLEEDMAQLPPVDELLSTCTKLLQKETIVFPVRDLLVMICSQNDGQYRSIVVTYIVDQIKECGLASSNANMNVLAALFHVLALILNDDSVAREAASKSGLVKIASDLLYQWDSSLDSREKLQVPKWTTAAFFALDRLLQVDQKLNSEVAEQLKKEVMNHQQTSLTIDDDKQHTLQSALGLPSKHADLHEQKRLIEIACSCMKNQLPSDTMHSILLLCSNLTRNHSVALTFFYAGGINLLLSLPTSSLFPGFDNVAASIFRHVLEDPQTLQQAMESEIKHSLAVASNRHPNERVNPRNFLLNLASVISRDPIIFMQAAKSVCQVEMIGERPYVVLLKDRDKDKAKEKEREKDKWFEKDRTQNNDGKVVLGNTNTTMSGTGHGKVHDSKNVKSHRKPVQTFTNVIELLLESICNFVVPPLKDDIGSNVLPGTPTSIDMDIDISTDKGKGKAVATNSEGNETDNQETSASLAKIVFILKLLTETLLMYSSSFHVLLRRDAEMSSTKSTHQKNNAGLSTSGIFYHILHNFLPYSRNLKKDKKVDGDWRQKLATRANQFMVAACVRSTEARRRVFTVISHIINEFVDSCNGVKPPGIEIQVFVDLLNDVLTARTPAGSSISAEASVTFMDAGLVMSFTRTVQVLDLDHADSSKVATGIIKALELVTKESVHSVDSSAGKGTKSSDLSQPGRTDNVGDISQSMETTSQVNHDSLQVNHVGSYNAVQSYRGSEAVTDDMEHDQDLVGGFTPANDDEYRHGTAGGRGLENGIENVFGRDNGFPNEGLHAMPVEVFGSRRPGRTTSIYSLLGRTGDTATPSRHPLLVGPSSSFHLATAQSDNILEPPSSLDNIFRSLRNGRHGHRMNLWSDNNQQSGGSNTGVVPQGLEELLVSQLRQPAPEKSSNNSIAEVSRHSKVEVSQMQGPGDSRLEIPIESNAIQEGGTVTRASIDSNNERADIRPAGNGSLLADVPSTRSQTVEMQFEHNDAAVRDVEAVSQESGGSGATFGESLRSLDVEIGSVDGHDDGGERHVTADRIAGDSQAARTRRANTPFGHSSPIGGRDASLHSVTEVSENSSRDADPNGPTAEPQVNSDAGSGAIDPAFLDALPDELRAEVLSAQHSQVAQPSNSESQNLGDIDPEFLAALPPDIRAEVLAQQRAQRLHQSQELEGQPVEMDTVSIIATFPSEIREEASFSVLLTSSDAVLANLTPALVAEANMLRERFAHRYSRNLFGMNSRSRRGETSRREAIIGLDGAGGSISSRRSGGAKFVEADGAPLVDTEALHAMIRLFRIVQPLYKGQLQRLLLNLCAHSETRTSLVKILMDLIMLDVRKPSSYCSKVEPPYRLYGCQNNVMYSRPQSFDGVPPLLSRRILETLTYLARNHPYVAKNLLQFRLHHPASREPVNADVARGKAVMVVEDEGNIGGNNAGCVSITILLSLLKHPLYLRSIAHLEQLLYLLDVIIDSAGNKSSSSDKSQISTEPETGPQTSTMEADMNTDSVAISFGLDAPPKADDSSKPTTSGDKESETEQVLSNLPQAELRLLCSLLALEGLSEKAYGIVAEVMKKLVAIAPTHRQLFVTELAAAVQKLTSSAKDELHVFSETIEALLSTTSSDGAAILRVLQALSSLLTSLTEKESDGKIPDLSEVWEINSALEPLWLELSHCISKIESYSESASELLTSSRTLVSKPSGATPPLPAGSQNILPYIESFFVVCEKLHPAQSGATQGSRVPVNSDVEEASTSAIQKKTSGPAVNIDEKHAAFVKFSEKHRKLLNTFIRQNPGLLEKSFSLMLKVPRFIDFDNKRSHFRSKIKHQHDHHHGPLRISVRRAYVLEDSYNQLRMRPTQDLKGRLNVQFQGEEGIDAGGLTREWYQLLSRVIFDKGALLFTTVGNELTFQPNPNSVYQTEHLSYFKFVGRVVGKALFDGQLLDVHFTRSFYKHILGAKVTYHDIEAIDPDYFKNLKWMLEASNDISDVLDLTFSIDADEEKLILYERTEVTDYELIPGGRNIKVTEENKNQYVDLIAEHRLTTAIRPQINSFLEGFSELIPRELISVFNDKELELLISGLPEIDLDDLRANTEYSGYSVASPVIQWFWEVVQGLNKEDKARLLQFVTGTSKVPLEGFSALQGISGSQKFQIHKAYGSPDHLPSAHTCFNQLDLPEYPSKQQLEDRLLLAIHEANEGFGFG >OIV96721 pep chromosome:LupAngTanjil_v1.0:LG15:17755339:17758958:1 gene:TanjilG_09263 transcript:OIV96721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGFAVDSPGGGFDGKITLSVVVTCIVAASAGLIFGYDIGISGGVTTMIPFLAKFFPEILRKAASAHVNMYCVYDSQVLTLFTSSLYLAGLVSSTAASRVTAAVGRRNTILLGGALFLLGGVLNGAADNIAMLILGRILLGLGVGFTNQAAPVYLSEIAPAKWRGAFNTGFQFFLGVGVVVAGCINYATAKHKWGWRLSLGLAIVPAGIIIIGAFLISDTPSSLVERGKKDQARKALHIVRGSKIDIEPELEEIIRVAEYNKSLNEEPLKTIFERQYRPHMVLAFLIPFFQQMTGINIVAFYAPNLFQSVGFGHDASLLSAIILGVVNLASILISTAFVDRFGRRVLLITGGIVMFVCQIAVAALLGAVTGVHGNKDISQGYAILVLVLLCMYTAGFGWSWGPLTWLIPSEIFPLKIRTTGQSIAVGAQFITIFILSQTFLTTLCHFKFAVFLFYAFWILVMTFFVIFFLPETKGIPLESMYTIWGKHWYWRRFVKAEANDQDNRP >OIV96965 pep chromosome:LupAngTanjil_v1.0:LG15:14655287:14740859:1 gene:TanjilG_00547 transcript:OIV96965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIVTSRDVQEIVDKLSSVKVKAREEGIKLLNTWLEGERSYNFCKYLGLNTARLKPDEVPHSETWPFLVSLLIQSASMEISSSKRRNPKMIYAKTLRVVVQRAEDAKHSGKMLPLSSVVKPLFSHVWDVLSNIPSFHSEYGIILRHLLAVRDYSFQMRKRIYCSLVFLYLEKVEVSLDGKNINQCTSKEEVFRYVLTLHSLLENPPGDYPDNLREDIVKGFVSICSFIREEGKISRKLIECINTYLLNDGPNLGHQLLEIHNAMQQFVFCYWLTTHDRLLKDSLMLYAKIQLNLMRGATDRCLFVEQLLDVVCKDLDQCSMSGTSMPRSDGNKDDKLGALSSSQSGLVELASVLFYRACLNTTGALLSEKRAKREPAAVLLREALMKGKWLWTAAFCFLTRNYHTRMCKDLFLYWFEGIWMSFDRKINVPFLSKLSQYLQVMLDNAVRIIEEDNDLQAFNCLSYDPSCDETSSLAASICSFLSTPIFNKWTDQKMMDFVPFDFGEVIQSVERLLKAFVNVYEGYSQHVVNLQSESLTPDVAAIDSIQSSSPYGHSKSRIVDVELDVNDDSRDVDSLAVGKTIGSGVSSAAEKWKLGVVLLISSFFSASHVLTWDVLFKLMEKESDRKVRGKILYHLCRHPNWSSSSKFIDLVNAINDIILEEVRLKLFCGDVLTSTHALLANLSSLDAVGKDKCGLYLREVETEQCFLLLGNVVSKLSEFDIDWFGRVKLIDCICHLVLLNPQIGQTMIERLLLMLKDTDYRVRLFLARRISVLFQTWDGHDELFQDICLNFGVQLVVYSKVKVINAKEVLAAGPQPQPMMETVLITLMYLALHSEKVELEAVFMMCVVSAIDPYHRELVCAVLDNLSRELQYKTRMKYLEELLGSILFCWVACDVSLVALVETRHLFVPDAEPDHFLQYCCHWILPALLLHESSSNLNWVAKVLCQPLTVIVKNNFAPIFSVCMALHCSNKPGSERGTLVLQSSILHFAQISENERDKLIKRHMVSIVSCILSLSSCSSDPVVPFFSNDTVSFAIKTVVDGFLDMDANHTSAAVVDKINIFRPDRAFMFLVEIHYKIAAASHYRHKCHRLAGIEVLISILGLRVAALSICNYLFNLIGPLIGCHPLRDQCFRMLSTLLLRFKKNPSADIIICASQFLVSKLVACCVPSETKGSCDSPTSHVLSLLHLLTVDSDPSMYDYVKELEPFPELEVFDEIRRFHKELCNTYSIRDHLLKALHKKLMIGEAIQRGGRADNIYWHDDHEIVHAVWTLVHMCGSNDASGVREFVSDFISRVGAGDPHSVVFHLPGESSHISVGKSIDITCAMETGSNMDTCISEELLVVLLKILKKYLMDDSVKIVDMASQTLRGILSTERGQSALESFDSYQKSLIEVHSKGVNIELVENFLLDLERKSKVEAFSLEKSDVWVTHGKTFEKWICPLVYSLIVYCNDVILRLCQDIVLFKAEVAELLLPSIFVNLAARKDLEIDLHKLFCLQLKEHIFTESNKLTKSIQVVLNCLNELRICNVMERSSVSSKRGTSKNSKPSSYGSKTRSTPAKSRESAVVSSALAKSPFSWEKVSSSLHFLFHRVQCFLKVYWLSIDYLLVAKSAVSCGSYFTSMLYVEHWCEEHFKGMTVGGPDFSHNEMLPDHIEVLVSAVTRINEPDSLYGILQSHKVLTSQVITMEHEGNWGKALEYYDLQVRSGVSIQKDGSARNLSLEKTGAANLSSFATEELVWSVSHASEESTEYIYLSIIKLQMLHHLGMAWDLRWRTFEDHNTKFCLQKQNVSLEPVIPSIEQLSWLDMDWFSILQRMQLHMNLLEPFIAFRRVLLQILSCKDCMLQHLLQSSSTLRKGTRFSQAAAALHEFKFLSIETKGPSSSLYWQGRLEEAKLFRAQGQNEMAINLAIYISQNSHCSEEASDVYRLIGKWLAETRSSNSRTILEKYLKPAVSVAEDMNTTDKKAMERKCQTNFHLAHYADALFRSHEERLNSNEWQAAMRLRKHKTIELEALIKRLRSSTKDDRDNLLSLALEGYKRCLVIGDKYDVRVVFRIVSLWFSLSSRKNIVNSMLSTIDEVQSFKFIPLVYQIASRMGSSKDGQGPLNFQFALVCLVKKMAIDHPYHTILQLLALANGDRIKGNQRSRSSFVVDMDKKHAAENLLNELSSYHGAIIRQMKQMVEIYIKLAEMETKREDTNKRVTLPRDLRNLPALELVPVVTAPVSVDPGCQYNEGTFPYFKGLADSVMIMNGINAPKVVECLGSDGCRYRQLAKSGNDDLRQDAVMEQFFGLVNTFLRNHQDTWKRRLGVRTYKVVPFTPSAGVLEWVNGTLPLGEYLIGSLRNGGAHGRYGIGDWSFLKCREHMANERDKRKAFQEVCSNFRPVMHYFFLERFLQPAEWFEKRLAYTRSVAASSMAMSMLFIKVGYIVGLGDRHAMNILVDQATAEVVHIDLGVAFEQGLMLKTPERVPFRLTRDIIDGMGVTGVEGVFRRCCEETLSVMRTNKEALLTIVEVFIHDPLYKWALSPLKALQRQKDMDDDLVTSLEDPQNDYEGNKDAARALLRVKQKLDGYEEGEMRSVHGQVQQLIQDAIDSERLCQMFPGWGAWL >OIV95992 pep chromosome:LupAngTanjil_v1.0:LG16:2164566:2168439:-1 gene:TanjilG_27096 transcript:OIV95992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQLPSQDILALLEFKKGIRLDPTGYVLSSWNEESIDFDGCPSSWNGVLCNGGNVAGVVLDNLGLSADADLSVFSNLTKLVKLSMSNNSISGKLPDNIGDFKSLQFLDISNNLFSSSLPSGIGNLGSLQNLSLAGNNFSGLIPDTISKMVSIQSLDLSRNSFSGALPASLTKLKSLVSLSLSNNGFTGKIPKGFELMPSLEKLDLHGNMLEGHLDAEFILLSSASYVDFSDNRLISSDSQRQKFLPRISESIKHLNLSHNLLTGTLVSGAEQAIFENLKVLDLSYNNLGGELPGFDFVYDLQVLKLSNNMFSGFIPDGLLKGDSLVLTELDFSANNLSGPLSIITSTTLHFLNLSSNGFTGELPLLTGSCTVLDLSNNNFEGNLTRMVKWGNIEYLDLSVNRLTGSIPEATPQFLRLNYLNLSHNSLSNFLPRVLTQYPKLRVLDISSNQLDGLLLADLLAMPTLQELHLENNMISGSINLSSSTGHSDLRILDLSHNWFNGYFPDQFGSLHGMKVLNIAGNNFSGSLPTAISNMISLESLDISENHFTGPLPNNMPEGLNNFNASQNDLSGVVPEDLRKFPTSSFFPGNAKLHFPNVPPGKTASPPESSKKKHMNTIVKVIIIVSCVVALFILILLAIFIHYIRISRSSPEYDTSKDIHGRAQPIISGPVPVCTSERGGALVVSAEELVTLRKGSPIEAISPDEKMAAVTGFSPSKASHFSWSPEFGDSFTAENLARLDTRSPDRLIGELHFLDDIIALTPEELSRAPAEVLGRSSHGTSYKATLDNGLLLRVKWLREGVAKQRKEFVKEIKKFANIRHPNVVGLRGYYWGPTQHEKLILSDYISLGSLASFLYDRPGRNGPPLTWAQRLKIAVDVARGLNYLHFDRAVPHGNLKATNVLLDTADMNARVADYCLHRLMTQAGTMEQILDAGVLGYRSPELAASKKPTPSFKSDVYAFGVILLELLTGRCAGDVIFGDEGGVDLTDWIRLRVSEGRGSECFDATLMPEISNTIVEKGMKEVLGIGIRCIRPVSERPGIKTIYEDLSSI >OIV95974 pep chromosome:LupAngTanjil_v1.0:LG16:2009374:2019988:1 gene:TanjilG_27078 transcript:OIV95974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLRYSHTLILLHNFPSKLITMSTQTSFNPTPLKKCLSSQRNRFYTRKPLSTVFCSKPISQNDSLPLKTNGYSRSYTSNVTVPRPVHLENPNEAKVEMQFELLKKKLKAIGIDTAICVPGQYSHLLCPNCQGGDSIEKSLSLFIENDGGSAVWVCHRGTCGWKGSTQAFAGSRPLPIKKSKPVKKTREITEEELQLEPLCNELLAYFAERLISKETLQRNAVMQRKYYDQIVIAFTYHHNGALISCKYRDIDKKFWQEADTKKIFYGLDDIEGESDIIIVEGEMDKLAMEEAGFRNCVSVPDGAPPAVSTKELPPEEQDTKYQYLWNCKDQLTQASRIILATDGDQPGQALAEELARRLGKERCWRVRWPKKRGDVNCKDANEVLMYLGPQALKEVIENAELYPISGLFNFRDYFDEIDAYYHQTLGYELGVPTGWKNLNELYNVVPGELTIVTGVPNSGKSEWIDALICNLNRDAGWTFALCSMENKVREHARKLLEKHIKKPFFEARYGENVERMSVEEFQQGKIWLNDNFHLIRCEDDSLPNVKWVLDLAKAAVLRHGVRGLVIDPYNELDHQRPSNQTETEYVSQMLTLIKRFAQHHGCHVWFVAHPRQLQNWVGGPPNLYDISGSAHFINKCDNGIVIHRNRNPDAGPIDQVQVCVRKVRNKVAGTIGEAILLYNRVTGEFMPADNDMKA >OIV96136 pep chromosome:LupAngTanjil_v1.0:LG16:907720:908178:1 gene:TanjilG_13068 transcript:OIV96136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPITTFIFFTLLLLIAASTSLATRPVSTESSPKGQLKNSNNNNNNGGGNNYGGFFGPGGGFNIPGYGNGFGNGIVGGGYGSGYGSPNGGHSKNGVVRPTLVCKDKGPCYQKKVTCPAKCFTSFSRSGKGYGGGGGGGGCTIDCKKKCIAYC >OIV95908 pep chromosome:LupAngTanjil_v1.0:LG16:1467710:1468475:-1 gene:TanjilG_27012 transcript:OIV95908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCNGCMQKVKKALNGINGIYDIYIDFQQKISIIGCADPEKVVKAIKKTRTMTTICNIEQPDESP >OIV95131 pep chromosome:LupAngTanjil_v1.0:LG16:18014940:18015451:1 gene:TanjilG_21521 transcript:OIV95131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKEGSTKGGRGKPKASKSVSRSQKAGLQFPVGRIARFLKAGKYAERVGAGAPVYLSAVLEYLAAEVLELAGNAARDNKKNRIVPRHIQLAVRNDEELSKLLGSVTIANGGVLPNIHQTLLPKKTGKGKGEIGSASQEF >OIV95265 pep chromosome:LupAngTanjil_v1.0:LG16:17426462:17430929:-1 gene:TanjilG_07421 transcript:OIV95265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSSTGSGRVVEERRGIPGAQFVEDVQIYLTQIGLDANSALSFLQERLQQYKVVEMKLLTQQRDLQAKIPDIEKCLDAVATLQAKKGTGEALTADFEVSEGIYSRARIEEIDSVCLWLGANVMLEYSLEEATDLLQKNLENAKASLEVLVSDLQFLRDQVTITQVTIARVYNWDVHQRRIRQAGATPAQD >OIV96096 pep chromosome:LupAngTanjil_v1.0:LG16:3645729:3646022:-1 gene:TanjilG_27200 transcript:OIV96096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTFWLRNALIANANNVDMNYLLWAINQNLMDIDEWKKGKDETQHVTIMAPNGAEAFNGESATVGDDVKGMMENQDWAFDLGNDGGDAMLPIGDDNL >OIV95278 pep chromosome:LupAngTanjil_v1.0:LG16:17300720:17303305:1 gene:TanjilG_07434 transcript:OIV95278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTRNFQTLAEANLLFVEFPIGVGFSYTNTSSDLTILEDSFVAEDTYNFLVNWLERFPHFKSRDFYIAGESYAGHYIPQVAELVFDRNKDRNNKYPFINLKGFIAGNPETEDYYDNKGLLEYAWSHAVISDQQYNKAKKVENDYSVGRMRIFGGYDPYGRVPVIGTRYWIETLGLPLKSTWHSWYHNNQVGGRIVEYEGLTYVTVRGAGHLVPLNKPSEALSLFHSFLTGDHLPHKV >OIV95225 pep chromosome:LupAngTanjil_v1.0:LG16:19363710:19369351:-1 gene:TanjilG_21615 transcript:OIV95225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKVTSEPGGGGGFHAVHAERDLQSNWEVDLAKKLEEYLLKISSGEITGYEENHDTLNFAEAALLLQGSIQVYSRKVEYLYNLVLRALEFLSHKRQQDPIDGESIQPEENGPCAVVDEENDQFWVLDDIPVDEKNSLEISTGKEVNLNHFIKPPSNLVVLEGDCLDSTGDGGELESYLLSTTDLYQDFILLDTSDAVAVDGFVKGSKTDIAKNAAERATSARKTFLSPTRCSGGSAHKSSDAKSKRANSNCSPKLNCSYDDNNVHPISPIFAGLDDCNFGLNMDDGFDPSRDSDNSDADDPWKPLNPHEPGNLRVKPFRKVKTLKKNRINMTRQVSMSMLFPVAKLHGPISPELMEMWEMRHHAHECQKDSQSAPLYEKLRQTLTSETLNFESDNDDNGHDTGNPDFDMPGNAYMDEDFPPWNKEQKFEDVHDEAGEYPEYPNSQESLEDLCRSHLNALLASIAESEKQTEMAARVSTWKQRIEHHLEGQESHPPFDIRDYGERILDKLSVEESSNSVLPFSDLVSGQEKYDVARSFSSLLQLVNNGEVNLERNGVDGEPVCYTSVNPFQVKLLKHNKKQDDAQFRFSKKRAKSPTKKSAAKGDKIKGGREKSLNSSLPTGSPPSSCKFPVKLGKASTVRLSPETKRRRRSLRSAG >OIV95666 pep chromosome:LupAngTanjil_v1.0:LG16:6544977:6548039:-1 gene:TanjilG_01460 transcript:OIV95666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEARNDLKEEVPEVVPFDPTKKKKKKKITIIDPADDPVDKLAEKTENLSASFSSAVSEGVESTFAGLKKKKKKPVMGSNPKNSLFACDNKAKYTYLPQTPLGGSLVHWATLVEISNLNDESGDVPEDVDALDPAEEDEDDISSLQPRYPWEGSDRDYEYEELLGRVFNILRENNPELAGDRRRTVMRPPQVLREGTKKTVFVNFMDLCKTMHRQPDHVMAFLLAELGTSGSLDGQQRLVVKGRFAPKNFEGILRRYINEYVICLGCKSPDTILSKENRLFFLRCEKCGSGRSVAPIKAGFVARVGRRNAGT >OIV96222 pep chromosome:LupAngTanjil_v1.0:LG16:196315:197083:-1 gene:TanjilG_14899 transcript:OIV96222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCGSNPKTNEGVEEVPLPVPVVIEEVKVVQQQENKLEDNASKVEDLPLSTQNSEPKVEEPKEAEKGEIKPEINEEKPSADKN >OIV95540 pep chromosome:LupAngTanjil_v1.0:LG16:11305407:11305682:1 gene:TanjilG_10928 transcript:OIV95540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHHSSTTTNHSPPTRYLSPRSKRLKRTQSDKERGRERESERSNVSERGRGSDREDRNGRSRHMSQSPQQVHASAAVNAKPLDEVSDYIQP >OIV95112 pep chromosome:LupAngTanjil_v1.0:LG16:17834345:17840889:-1 gene:TanjilG_21502 transcript:OIV95112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQHRKMSLDSGWLAARSTEVEFSGTQLTTTHPPPPPPTTSPIPPWMNALVPGTVLGTLVKNKVIPDPFYGLQNESIIDIAVSGREHYTFWFFTTFHCSLLENQYCDLNFRGINYYADVYLNGHEISLDKGMFRRHSLEVTDIIHPDGHNLLAVLVHPPNHPGTIPLEGGQGGDHEIGKDVTTQYVEGWDWMAPIRDRNTGIWDEVSISVTGPVKIIDPHLVSSFSDDYKRAYLHTTIELENRSLSTAECSVSIQVTTEVEDDICLVEHLQTQSLSIPSKSRVQYTFPELFFSKPNLWWPNGMGKQSLYNVVIVIDVIGFGESDLWSHHFGFRKIETYIDDATGGRLFKVNGERIFIRGGNWILSDGLLRLSKKRYNTDIKFHADMNFNMIRCWGGGLAERPEFYQCCDNYGLLVWQEFWITGDVDGRGVPVSNPKGPQDHDLFLFCARDTVKLLRNHPSLALWVGGNEQIPPDDINAALKIDLRLHPYFEHADENGKPIRELSPMSKDPSQYLDGTRVYIQGSLWEGFADGKGNFTDGPYEIQNPESFFRDDFYKYGFNPEVGSVGMPVASTIRATMPVEGWQIPLFKKLPNGYVEEVPNPIWEYHKYIPYSKPAKVHDQIQLYGDAKDLDDFCLKAQLVNYIQYRALLEGWTSRMWTKYTGLLIWKTQNPWTGLRGQFYDHLLDQTAGFYGCRCAAEPIHVQLNLATYFIEVVNTTSEELSNVAIEVSVWDLEGTCPYYSVHENLSLLPKKVEPIAEMKYPKSENPKPAYFLLLKLYNMSDYRIISRNFYWLHLSGEDYKLLEPYRKKNIPLMITSKVSVQGSTYIIQMLVKNTSKSQYRKDLPYEHSSSARLMDGLYGTDSVEAAHNGTDKEHELSWFNRIHRSFFGKSDGLKVSEIKGYDVGVAFFLHFSVHDASKIGYKEGKDTRILPVHYSDNYFSLVPGEALSVNISFEVPLGVNPRVTLHGWNYNAEHNIL >OIV95262 pep chromosome:LupAngTanjil_v1.0:LG16:17472882:17476555:-1 gene:TanjilG_07418 transcript:OIV95262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFHALMNLFSVCWKPFRRGTDDTGREGKEGLLWFRDVGKYGSGEFSMAVVQANQVLEDQSQIESGPLGTFVGIYDGHGGPEAARYTCDHLFRHLKAISAESRGIVTAETIERAFHQTEDGYTAHVSDLWSTRPQIANAGSCCLVGVIFQQTLFVANVGDSRVVLGRKVGNTGGMAAIQLSTEHNASLEEIRHELKELHPDDPQIVVLKHGVWRVKGIIQVSRSIGDVYMKQAQFNREPLAAKFRLPEPMNMPYMTANPTILSHRLQPNDSFLIFASDGLWEHLSNEKAVDIVNSNPHAGSAKRLIKAALHEAARKREMRYSDLRKIDKKVRRHFHDDISVIVLFLNHDLISRGTVLNSPLSIRSALDH >OIV95903 pep chromosome:LupAngTanjil_v1.0:LG16:1407931:1412146:-1 gene:TanjilG_27007 transcript:OIV95903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYHYNYKSSFGTNGVSSRGRLPSIPSSYSLVLDVEKGELVKSSGRILRKKDISEAKSLAALKKHCEAERRRRERINGYLATLRALVPPTAKTDKATILAEVISQLKELKKNAMEASKGFLIPTDYDEVKVEPHDNKNGDGSISYKASICCDDRPGLLSDLKQTLDTLQLQLVKVELSTLGERVKNVFVFKCCKENINIHIETCQLIAISVRQALSSVINKASRSQDYSLTSSSQPCKKPRLCLLETSTSSCNHDFCSF >OIV95761 pep chromosome:LupAngTanjil_v1.0:LG16:5055113:5061343:1 gene:TanjilG_05309 transcript:OIV95761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLVSSYKGVVGFVFGNENSSNADSYVERLLDRISNGKLEEDRRNAIIELQAVVSESQASQLAIGATGFPIMLSVLKEERDDVEMVTKLLHCHVRGALETLVSALTPINHAKVSSNEVQPALMNADLLSREAESIPLLLSLLEEDDFYVRYYTLQLLTALVSNSPQRLQEAILIIPRGITRLMDMLMDREVIRNEALLLLTHLTHEAGEIQKIVVFEGAFEKIFSIIKEEGNSDGGVVVQDCLELLNNLLRNDASNQVLLRETIGLDSLISILNLRGSAYTFTQQKTINLLSTLETIKLLIKGGPEASSGKDVNKQTNKTTLVQAMQCIGDLITGDSKNLDLLATKFLGEEPQVEPALNSILRIILRTSSMQEFIAADYVFKSFCEKNADGQSMLASTLIPQPYSMNHAPLEEDVSMSFGSMLLHGLTLGENDGDLEV >OIV95255 pep chromosome:LupAngTanjil_v1.0:LG16:19838938:19839793:-1 gene:TanjilG_21645 transcript:OIV95255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERLDVGCVLMAIHVDSKLDRIMKVRIGEGLFNDRLLEDEMFMPYNIHRTRRPSTWREEGIDSMGSEDGEWWPENNSDDRGFKKQDRGICDGGHSPAQYEGGSAGVEEEEQLFTKMDKSSTVEVMDVWIENQNKREVEEVVRLGEAGEERERLRKRKGIVKGLEEDDGFTLQIGPNYSLPSPNQFNLEDKLKRHVPAHVGTNHVLSKEMQKYVKKVPQLGLQEVAQLPFLEISCEKMGSKQIHNSSNVDLESNILP >OIV95610 pep chromosome:LupAngTanjil_v1.0:LG16:8634120:8635382:1 gene:TanjilG_23841 transcript:OIV95610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVDIQGKLRLALGSVKDHASIGKAMIYHHQHDGLSSIEIAVLRATGHDNGTIDDKYMHEILFLVSNYPGSIPFLAERISRRLGKTKDYLVALKTLVLIHRLLRGGNRSFEKELCKAHVSGHLQISIRCFTKNDSDPSLSFLHKYAAYLEERMNWLINQAGKLEPVMSKGLEFLRYDEKSIDMVFRTLPKCQVLIDRVLECSPTHDILHSDHNLAQAAMSNTLRESFQVYMTFSEGIAALVNMYFDLTASAKGLACEILKKASMQSQKLHDLYESCKKIVENKNLEYPFVQIISMDHIMALDQFGSPQNQFAASHISKLPQISSHFKRSKDIELVLAAKEDQKNEEKIDINFSPTLYSWTLETKISKVWVLFEDEAPKESQVFPEQQKHGDVYALNDIEIEYNRASVFLNPFSSSIDTKV >OIV95190 pep chromosome:LupAngTanjil_v1.0:LG16:18661385:18662033:-1 gene:TanjilG_21580 transcript:OIV95190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVLIFLVKFGNLVILMSYYKCTFPGCSVRKHVERASQDLSAVITTYEGKHNHDVPAARASGNHSIKRSLPNDTTNNTITTAVTAIRPSNVTQYNNNSYNNSIQDFRPQEGQSYFNQWMLPSPRSFGFSEFENLMESYMDE >OIV95152 pep chromosome:LupAngTanjil_v1.0:LG16:18217482:18222575:-1 gene:TanjilG_21542 transcript:OIV95152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTKTEETELNNLENQVDNGGGGAWDYLSLVRNLKVRRSDKVLKHGLSILNNPKFRSTLGPDEWTLYEQVAVAAMDCQCLDVAKDCTKALRKRFPESKRVGRLEVMLLEAKGSWELAEKAYTSLLEDSPLDQIIHKRRVAMAKAQGNISGAIEFLNKYLEIFMADHDAWRELAEIYISLQMYKQAAFCYEELILSQPTVPLYHLAYADVLYTLGGLENLQTAKKYYASTIDLTGGKNTRALFGVCLCTSAIAQLVKGKIKDDKEGSQVQSLAAKVLEKDYKQRAPEKLPQLTTVLKSLTLSS >OIV96133 pep chromosome:LupAngTanjil_v1.0:LG16:875152:881336:-1 gene:TanjilG_13065 transcript:OIV96133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKKFLEREKRCLDSNSAEEEQPERKRPALASVIVEALKVDSLQKLCSSLEPILRRVVSEEVERALAKLGPAKPGGRSSPKRIEGSDDSNLQLQFKSRLSLPLFTGGKVEGEQGTAIHIVLVDANTGHVVTSGPESCVKLDIIVLEGDFNNEDDDNWTEEEFDSHIVKERDGKRPLLTGDLQVTLKEGVGTLGELTFTDNSSWIRSRKFRLGLKVASGFCEGMRIREAKTEAFTVKDHRGELYKKHYPPALNDEVWRLEKIGKDGSFHKRLNKAGIHTVEDVLRLVVRDPQRLRNILGSGMSNKMWDVLVEHAKTCVLGGKLYVYYPDDARNVGVVFNNIYELSGLIANDQYYSADSLSDSQKVYVDTLVKKAYDNWMHVIEYDGQSLLNYNQNKTLEPSHSQAATGSHDYSISHSLDQHISIPSLPASVPTGQPSIDPGVTSGGFHDGMATRFLLQPQNSTLNSSIQFDNTAFPLQNQLMTVSHHAQLPTNENGLTLGLPQSSTLGFQTASLSDPTYRGFDDFFPEEEIRVRSHEMLENEDMQNLLRIFSMGGQAHTPFNAPEDGYPYSSAYMPTATMSYNFDDVRNRSSGKAVVGWLKLKAALRWGIFIRKKAAERRAQLVELDDS >OIV96020 pep chromosome:LupAngTanjil_v1.0:LG16:2414485:2418266:1 gene:TanjilG_27124 transcript:OIV96020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFEELPQSQDKKPLSSYICHDPKSLGSSRNKVEKDKNGSSSSQFKRVALSSERSNSKSLVSADSRTFAGPLMDEVAIKAVIAILSGYVGRYVKDEHFRGTIREKCNSVLERRIKDSSDDEFFVNMELGMEKVDRLVEDQGTKKHAKNLRNSIEILTIVASLNSKTSKDASTWGVSYSNLSACAELYLAIAYKLQKNERVSSKHLLQVFGDSPCLARTYLLPDLWEHFFLPHLLHLKIWYNKELEFLSNEEHGVKKKKMKVLSKVYNEKMDTGTTLFALYYKQWLKVGASEPPFPIVSLPSRPSYGSSRRRSSDSSISNSSINPNLYKAVFGPQIEQKSSGLGEQNGVLTISTCSATDEKLCGDEYNCNSIKKEDNMYPGRCLSQIDKSHARLSPESQKLDYLQWFSCRAIPTECFANSNYRSKNALVRQETSVFSSDFVGAIRTLCSSDILRECEFAIRVITKALLNSPGDPLIEEALTQTTVIEAIIEVLFASTEDDILELIISILAELIGRNNAIGEILLNSDPQLEIFVRLLRSSSLFLKAAVLLYLSKPQAKQMLSSEWVPLVLRVLEFGDKLQTLFTVQCSPQVAAYYFLDQLLTGFDEDKNLENARQVLSLGGLTLLMRRIEEGKFNEKYNASFIVLCCIQAEGSCRSFLADNINKTSLLELIVLGNKQNSSGYAFSVLVELLFLDRGESLMEKWLLQQAGFREISLEDSFPGNESIVHDSLFNTKDEEDESWQKRAACILFKSGNKNFLSALADSIANGIPCLARASLVTISWMSNYLHLVEGRKLPQMAFSILRPQLLQSLNYDKDVEERVLASYSLLSLTKNSACVSVLQSVDKHSLRNLRNLSLVTWTANELISIISKSSLQSRQ >OIV96199 pep chromosome:LupAngTanjil_v1.0:LG16:336231:338102:1 gene:TanjilG_14876 transcript:OIV96199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQKNNQKSFDTTAPLPEPPLLLLRNVISSILNLINLEKSFLSFLHNHHNLLETFRFIFITIYLFFIRFVPSFFLRSLYRYDTVKATKPYKYLYDTRAPQNDTGVGRMLSQLLTILNDVPVSSRKYELVRSSAERIIRDNHEEGVHALREVNRVVLSAAFARALGQLEKEAMERVEGERVEGDYQYYLRRVVRAVGWRGRGGEGRLSGVEAEKLAAELMWVAEKLAECGCGEEASRRWAGAGNLGCIALTADPRLQTSLLKLSAFLFKEAKDMGLYEIEESKKKEYMQVKLKMLQSWLPLLCRASNGTDVPALSIRERAELEKVLEDIIERLEQDEQEQVLSLWLHHFTLSPSSDWPNLHACFARWCTASRKQLI >OIV95137 pep chromosome:LupAngTanjil_v1.0:LG16:18055584:18057871:-1 gene:TanjilG_21527 transcript:OIV95137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKNRLQELAQRSCFNLPAYSCIREGPDHAPRFKATVNFNGETFESPTFCSTLRQAEHAAAEVALNTLAKRGPSRALAARVLDETGVYKNLLQETAHRAGLNLPVYTTVRFGPGHVPNFSCTVEIAGLHFSGDPARTKKQAQKNAASAAWSALRKLSENHLSSSTSSSFSSESKGNEEQEQVIIARVLASLHPFESNFSESNHQHRLQNCTATSLVSTYPIPSMYPMPRQHCGISSFSPEVALYQIWHQEQIIQQKNHPLALTIPPTILSAPHIYPFMQSVLQPDHCLYFPSTELASVPVGPNFSAATSGPSFYSSNQIVPEFSRGRSTVTISEIQEEKAEDPPTAPEDERQKHGRPESKSRNAEMGAQRGKSEWSSQWNMSCVHRPVISNPSAHSQASTNRSFTPPPVGASSMVKTMAPTSAHSTPQHREVPLAVGSRMRTGLPRSSGMLRSPMPVFMAPAVRIRSVVPVCSAPPRRSIAEEQKIKENNF >OIV96114 pep chromosome:LupAngTanjil_v1.0:LG16:761925:762422:1 gene:TanjilG_13046 transcript:OIV96114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSNYLSFSLFFHVLIIVNIVGHVAYAQDSKEDYLKGQNAVRAEVNVPNLVWNETVAAFAQNYANQRKDCLLEHSGGDGRFGENIAMSSGEMFGVDAVSMWVGEKPNYDHNSNSCVGGECGHYTQVVWRNTKSIGCAKVRCNNGGTFITCNYYPPGNYLGEKPY >OIV95821 pep chromosome:LupAngTanjil_v1.0:LG16:3734450:3735718:-1 gene:TanjilG_06797 transcript:OIV95821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATILISTTHSSFSCCSTRKFAATINVPKIQATKIQKPTPPNRSLVAKLDYQNNYTSATTTTNVKEDPYYTCSIKSSAPNSMEIVKLHLIMEIVSDRLEMHKNIGIQRDNWNHLLMTSVNMITLSAATLVGIVANGSSGAPLVAMKVSSTILYIAATGFLLVMNKIQPSQLAEEQRNAARLFKQLHGELRTRLYLGNPSENDVNEAMEKVLALDKAYPLPLLGSMLEKFPQTVEPAVWWPLMKQRYRRTEEGSKGNNGWDSRLENGMKEIMKVLKKDMGEYFRLSRIVLNLNKILAVSGPVLTGLAAFGSACLGSVNAPWPVMLGVIGGALATVVNTLEHGGQVGMVFELYRAALGFFKLMEESIEHNVIEQDPDKRENGELFEIKVALQLGRSVSELRQFAAVVSSSDDENASEEFASKLF >OIV95552 pep chromosome:LupAngTanjil_v1.0:LG16:10838823:10855513:1 gene:TanjilG_09083 transcript:OIV95552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKRNTLLLKILLFLFALNSISIFFYFTSHSSSSSHSNNTHPFSNIRPFIQPQQQHQQHQRFSKPWPILPSYLPWSRPSRNNTNVLVPLPSCEDYFGNGFTCRLEPFTGAGWFRCWHSETLQSSICEGGRVRMVVDRIRMAKGGEELKDVIGRKEEDELPVFQNGAFEVDGGEDFSGNEEKMVVEHDFLDRYVRNGEVMMHTMRDLISKIRIVAGKDFSCHEWIEEPTLLVTRFEYANLFHTITDWYSAYVSSRVIGLPNRPHVVFVDGHCMTPLEETWKALFSSIRYAKNFSGTVCFRHVILSPLGYETALFKGLTEDISCSGASAQELWQKPDNHKTARLSEFGEMIKAAFGLPLNIRNVRKPVSGHNVLFVRREDYLAHPRHGGKVESRLSNEQEVYESLKSWASDYNGCKINLVNGLFAHMSMKEQVRAIQDASVIIGAHGAGLTHIVSALPETVILEIIASQFRRPHFAYIARWKGLDYHAINLVGSHVDPGTVINELISIMKSLGC >OIV95440 pep chromosome:LupAngTanjil_v1.0:LG16:14909524:14912204:-1 gene:TanjilG_06902 transcript:OIV95440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQNKIDCHELIQHSHGLNGELNSEFVNYSCEYFDMTQTWNMRSCNQPLEMAGVGGGGGAQLPYISTAKSSNTVISRFESPASAFYAPERCMGFLEYDCQGGSNNSHSLSPEISKVNDLEFPFYQSPRENIFMDSANQPEPNFELCNSITLQGMVNAQLNSNKCCTSPQKFNKNPCGNFPITKFIPIEQQKLFIDGTSSLNRSSSFPIEGNQDHNTVAYGSYNLPVPQMNISSWNEKSTTILTGNGSTSSGNPASDGTIVTNKTRIRWTGDLHEKFVECVNRLGGAEKATPKAILKMMDSHGLTIFHVKSHLQKYRIARYMPEPAQGKSDKRIDMADVHHLDVKTGMQIRETLQLQLDAQRRLHEQLEIQRTLQLRIEEQGRQLKKMFDQQQKTNYNHMNATQNRDNDDIAISHKDVNVSISEES >OIV95836 pep chromosome:LupAngTanjil_v1.0:LG16:3862169:3865123:-1 gene:TanjilG_06812 transcript:OIV95836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPMNSSSSSSFRRKHRCSATVAYAATRRMFMTHKKYNSYCSNSNSSMMDDEVSARELAAGLWHLRFMEEVSGDGSHSQVANGNEDITFSHYDNIREKLGNVDVKMFPHYHNSGEVKKIKDLQRPITILRSRSGLECELKSSVPCLKCSKEEAKLDPALAEASNGSTKNHSMKFLEDKMLVGYHDSVVTALLNELLLAQKSINMLKSAYKSSKKKAEQFFQNVEEEKVHWKHREYKKIQAMLDNLNDKLSRETKSREGMELVNTKLLHELAEANFYGKQIMKNYEKEKKERELTEQVCNQLVMQIGEDKAKIEELLSVSLKLCEEVEEERNMMQMVNLWREERAQMKLDDAKLVLEDKYNQMIQLIGYLQVFLRSRGAELSTMFESVNIQQIVELPYYFSKFEEIFPISEKLGRDNAGPLSTLDIVSPHEKTFNKNSVFDQSSPSGDYNADLEQTNSSETSYNVEDQKISSSPHRRGTYLINDNRYKNILGNEAECSENLGMKSLNSPSKCKPSSASKLLRLCPNVRTTSSYAKASQHRRQGKGSIQGSFRHKKLLGQGNSRDTMNPHIARGMKGCIEWPRGTSKENSKVIPLEERVRSQKSQLQNILKGKA >OIV95678 pep chromosome:LupAngTanjil_v1.0:LG16:6771295:6773304:-1 gene:TanjilG_01472 transcript:OIV95678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFNYAVMATSSESKWSKSSLRSSLPSLSSSPSSSSSSSPISIRFPLSSPSSKTLRISHTKPISLLQSFTGLAPLNPLFLSTPSSSEFTGFDHCFTIIDNGGRVYAMRHGRKTTRARASAIRKWVDKMITLAKDGSLHKRRQALGFIYEKQIVHALFAEVPERYGERNGGYTRIIRTLPRRGDNAPMAYIELV >OIV95973 pep chromosome:LupAngTanjil_v1.0:LG16:2002268:2007763:1 gene:TanjilG_27077 transcript:OIV95973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMILHNQWLCRPISKFIFSNLLPTTYAALVLPPKHVITCSLFVVHATNAPFPPHGVEEFVENDFDEPKVKILKQKVEVLGIRFENSCLPGQYYNLFCPKCKGGELKERSLSFHIVPDREFAMWRCFRAKCGWCGRVFADDKDLYSRSEERLGLEPLGPKLIAYFKERLISEETLSRNAVRQMSDSKTVIAFTYKQNGLLVGCKYRTTGKRFWQGKGTEKILYGIDDISDAAEIVIVEGEIDKLSLEEAGFRNCVSVPGGAPGKVSSKDLPPIEKDTAYQYLWNCKEYLDKAVRIVLATDNDPPGQALAKELARRLGQERCWQVHWPKKDEFTSFKDANEVLKYMGADALKRIVEKAEPFTIAN >OIV95711 pep chromosome:LupAngTanjil_v1.0:LG16:7398815:7400206:-1 gene:TanjilG_01505 transcript:OIV95711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSLSSTSISTPIPSSPPPPPHSQPRRPHQDTSPPPETSFYHRVADKRRKLEANRDGSLIYGFDLRPTATALLHSNDVEPLRIFIDDFTQTPIKRKHSKKKVFDSLALHYPNSFLLKLSKLLSINPPIHIRNEVVSLLHETIIETHGNDYDIIRCDMFIELKPLILESFKIELQERLLPQLAKIIMDLAARIYKFGIRGWVELLEYIVSCIYSDSDDELKLKKGLMLLADLPCNAVENEEFWKNHYGALHVNLVNRLLAESDNEDLQALIFDSMFKMLGIAQPLEEYEIGDSILLILLEFIDQHSKEEIVVKRVQDLVDFVSMDVDVILSGKEGIVFRAMLRIVEKNDASRELRCAAIQVLKELSELRWDIMGKVIYEISDANVARVIMVSLSMMFESNEQSSKLGQFLLNLLSFNDGGILVVRAAAVFLRTRYAASRDWKEYHRGMIIVAAFADERQNDVT >OIV95661 pep chromosome:LupAngTanjil_v1.0:LG16:6497031:6504074:-1 gene:TanjilG_01455 transcript:OIV95661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSDIYMNALCVHDWKKGWPLRSGGFAQLCTKCGFMEEWKHGILDPLSAYEMSVFCDKFHRQKTGWVECKFCNKPIHCGCVVSRSLFEYLDFDGIGCVSCVASQLHMQMRNTENPNGSISSTKNNASDQHPAHIDGTLFVDSVDDGKLMQLCRIVEATESSHWNNPQRDSTISRSGQNSQEVKCSLGEGDTRFPNVIKPSVQSPTFSKLENNRSTWEIKNIHESTAQPSLNGNPSGNSNVLPSSGEFVDGRLDDKASPLFHQGQRFRPILRKPSKTGISMDLETDKGTLSHARIARPPAEGRGKSQLLPRYWPRITDQELERLSGDLKSTVVPLFEKVLSASDAGRIGRLVLPKACAEAYFPPISNADGLPLPVQDVKGNEWTFQFRFWPNNNSRMYVLEGVTPCIQAMQLCAGDTVIFSRIDPGGKLVMGFRKASNSIDTQDASTSAHSNGISTKGTTNSGGTENLPSGSSYADILQSIKGNGEPHLNGHPEHLRLGAGAAGLLNTENCEKTNNHSPQQPIPVSEKKRTRNIGPKSKRLRIDNEDAMELRLTWEEAHDLLRPPSSVQPVIVTIEGQVIEEYEEPPVFGKRTILCVCSSGGKVQWAQCDDCSKWRRLPVDAVLPPKWTCFENVWDACRSSCTVPEEVSSRELANPLIPNKDFKKRRTLENGKSIKEHEPSGLDALASAAVLGENLIDPAELSAGATTKHPRHRPGCTCIVCIQPPSGKGKHKPTCTCNVCMSVKRRFKTIRLRKKRRQSEREADAAAKKDHNHGRDKSEPNGTSKSKDNTIHSEKEGGLKGQPEVGVSGAGQVYLNYYANRAATQMDIARLSMSINDLEITNHQVSEYMNENGLKRFNSEVQASQHSSLLTQSNGEGKEYLPVLKGEQSQNNLS >OIV95441 pep chromosome:LupAngTanjil_v1.0:LG16:14918537:14921217:-1 gene:TanjilG_06903 transcript:OIV95441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQNKIDCHELIQHSHGLNGELNSEFVNYSCEYFDMTQTWNMRSCNQPLEMAGVGGGGGAQLPYISTAKSSNTVISRFESPASAFYAPERCMGFLEYDCQGGSNNSHSLSPEISKVNDLEFPFYQSPRENIFMDSANQPEPNFELCNSITLQGMVNAQLNSNKCCTSPQKFNKNPCGNFPITKFIPIEQQKLFIDGTSSLNRSSSFPIEGNQDHNTVAYGSYNLPVPQMNISSWNEKSTTILTGNGSTSSGNPASDGTIVTNKTRIRWTGDLHEKFVECVNRLGGAEKATPKAILKMMDSHGLTIFHVKSHLQKYRIARYMPEPAQGKSDKRIDMADVHHLDVKTGMQIRETLQLQLDAQRRLHEQLEIQRTLQLRIEEQGRQLKKMFDQQQKTNYNHMNATQNRDNDDIAISHKDVNVSISEES >OIV95083 pep chromosome:LupAngTanjil_v1.0:LG16:17570136:17572976:1 gene:TanjilG_21473 transcript:OIV95083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDSSWNSLLTSKKWNYFLQSRSDMFMGFDENDGESDTTAEEFLCPFCSEQFDIVGLCIHVDDEHPLEAKNGICPVCTLRVGVDLVSHMTLQHGSVLKIQKKRKTRKGGSHSTLSLLKKELREGNLQSLFGGSSFTVSSSNADPLLSSFILPVADEFATSQSQFHTKTMPSKKSSDETLLKRNVETSTLSLEDKEEKAKRCEFVQGLLMSTILDDNS >OIV95389 pep chromosome:LupAngTanjil_v1.0:LG16:15543808:15544569:-1 gene:TanjilG_06258 transcript:OIV95389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKLIEEDADSFAQRAEMYYKKRPELVNMVQDFYRTHRSLAERYDQIKPETGIGFLTTVGGSPFASAKHQIEKLMSFADNGYDTYSENCYTEDCTESEVDDPEQEEEEENIKEKHVSFMAVNEEVMKLNDEIKRLNEEKKNDKDQLKQKDIICDEVMKLREEMKRLGEENKTQMEQLKQKDEEKLEVIRQLSFTIDMMKQENVKMRNFIAKEGTKKLKKNPFEFSKFVGAFSGKLFDNGTPENEPWVELSKLG >OIV95714 pep chromosome:LupAngTanjil_v1.0:LG16:7411950:7412660:-1 gene:TanjilG_01508 transcript:OIV95714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRKKVKLAFIVNDASRKATYKKRKKGLMKKVGELSTLCGIDACAIVYSPYDPQPEVWPSPIGVQRVLAKFRRMPELEQSKKMVNQDSFLRQRILKAKEQVKKQSKDNREKEITQVMFQCLSAGKILPNISMVDLNDLAWLIDQNLKDINRRIETLTKKAQSQAQIVAPVVPDVAAKIEEKEEGCHGNGLDLDMNIDAMEKQNWFTNLLNNGGGDEALPFGDVNHSNGFWPNSFFH >OIV95553 pep chromosome:LupAngTanjil_v1.0:LG16:10139275:10140696:1 gene:TanjilG_02908 transcript:OIV95553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRSLISLTGRIVMGLYGKTVPKTAENFRALCTGEKGTGKSGKPLHYKGSSFHRIIPSFMLQGGDFTHGNGMGGESIYGEKFPDENFKLKHTGPGLLSMANAGPDTNGSQFFITTVKTSWLDGRHVVFGKVLSGMDVVYKIEAEGNQSGTPKSKVVIVDSGELPL >OIV96210 pep chromosome:LupAngTanjil_v1.0:LG16:257876:262079:-1 gene:TanjilG_14887 transcript:OIV96210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYIQNREPISSIFICFSFFIATGLLTYEKVNGQTSSIVFACDVAKNPALGGYGFCDISLSVEDRVSDLVKRLTLQEKIGNLGDSVAEISRLGIPKYKWWSEALHGVSNVGPGTHFSSLVPGATSFPMPILTAASFNTTLFEAIGKVVSTEARAMYNVGLAGLTYWSPNINIFRDPRWGRGQETPGEDPLLASQYAIQYVKGLQQTDDADFNKLKVAACCKHYTAYDLDNWKGVQRYTFNAVVTKQDMDDTFQPPFKSCVIEGNVASVMCSYNQVNGKPTCADPDLLKGIIRGEWKLNGYIVSDCDSVEVLFKDQHYTSTPEEAAAKSILSGLDLDCGNYLGQYTQGAVDKGLVDEASINAAVFNNFATLMRLGFFDGDPSKQPYGNLGPKDVCAPDNQELAREAARQGIVLLKNSPGSLPLNAKAIKSLAVIGPNANVTSVMIGNYAGIPCKYITPLQGLADLVPTTYAPGCPDVHCANAQVVDATQIAASADASVIIVGASLAIEAESLDRDNILLPGQQQLLVTEVANASKGPVILVIMSGGGMDVSFAKTNDKIKSILWVGYPGEAGGAAIADVIFGFHNPSGRLPITWYPQSYVDNVPMTNMNMRADPATGYPGRSYRFYKGETVYSFGDGMSFFAIGHKLVQAPEMVSIPLSEDHECRSSECKSLDVADEHCQNLAFDIQIGVKNTGKMNARHTVLLFFSPPDVHNAPQKHLVGFEKVHLAGKSESQVKFKVDVCKDLSVVDELGNRRVPLGKHLLHVGNLKHSLSVRI >OIV95231 pep chromosome:LupAngTanjil_v1.0:LG16:19503849:19506045:1 gene:TanjilG_21621 transcript:OIV95231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSTIFLAYALCFLCFFGSAYCQDRFSVEGLVYCDTCRIQFITKLTEFLEGATVRVECKEENSDKVTFSKEAVTDSSGSYKLEVDGDHEEEICEVKLVKSPRPDCAEIDKEFHLEQSAKISITKNNGIVSDIRNANPLGFLRKKRLPACAEVLKDLGVHDDGTPI >OIV95918 pep chromosome:LupAngTanjil_v1.0:LG16:1538405:1545520:-1 gene:TanjilG_27022 transcript:OIV95918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAECNREDYFTAPDVKQGAGVNQQSTEAVETLRPKLKPQIVKLSGNADKDVLETLPGGGDSGGGGDGIRTGGGGGGGGVGFGDPTQIISKTLLCFNDKYIYSSCEESCRLNENGNLNVPTQKVDEFCKGPCLTETNLVLSCLDNVFSNFIFYNMATIQDIRETIQSGCGYGPQRGNFNVAEHIQTEESKALKTSHYLMIGLALILMGNGLLL >OIV95281 pep chromosome:LupAngTanjil_v1.0:LG16:17281510:17284376:-1 gene:TanjilG_07437 transcript:OIV95281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNDDELEMPRDAKIVKSLLKSMGVEDYEPRVIHKFLELWYRYVVDVLTDAQVYSEHAGKSAIDCDDVKLAIQSKVNFSFSQPPPREVLLELAQNRNKIPLPKSIAGPGVLLPPDQDTLISPNYQLAIPNKRPAEPIEETEDEEAANPNPAQEDQMDMQMQQNPHQRVSFPLPKRQKD >OIV96156 pep chromosome:LupAngTanjil_v1.0:LG16:1048504:1053949:1 gene:TanjilG_13088 transcript:OIV96156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLRQRPAAVRGGVVNRAPPVNSVYNIIPVHDLLTDHPSLRYPEVRAAAAALRTAGDLPKHPFVPWDPDMDLIDWLRVFFGFQIDNARNQREHLVLHLANSQMRLEPPPANVESLDPGVLRRFRRKLLHNYTAWCSFLGLKSNVILNQRDPSGVLRRELLYVSLFLLIWGESGNLRFTPECICYIYHFMAKELNYVLDDEHIDPDTGRRFLPSYSGDCAFLKSVVMPICYTIKTEVESSRNGKAPHSAWRNYDDINEYFWSRRCLKRLRWPLRPDCNFMGTTPKSSRVGKTGFVEQRSFWNLYKSFDRLWVMLILFFQAAIIVAWEGTTYPWEALERRDVQVKMLTLFITWSGLRLLQSVLDAGTQYSLVSRETPWLGVRMVLKTMVAIAWTVLFAVFYVLIWSKKGSSRRWSDAANQRIITFLKIVFVFLIPELLSLVLFIVPWLRNFIEELNWRVVYLLTWWFHTRIFVGRGVRQGLVDNIKYTVFWVAVLAAKFSFSYFVQIKPLVAPTKALLNLKGVKYKWHEFFSNTNRTAVVLLWMPVVLVYFMDLQIWYSIFSSFYGATIGLFSHLGEIRNISQLRLRFQFFASAMQFNLMPEEKLLNAQATLLKKLREAIHRLKLRYGLAFREEDLISDKEVELLELPPNCWNIRVIRWPCFLICNELLLALSQAKELEKESDSSLWLKICKNEYRRCAVIEAYDSIKYLFLMLLDIEKEEFRIVTNIFRNIDSHIQASNLTQIYKMSLLPEIHDKVSKLVQLLLQPKRDLDTAVNLLQALYELSVRQFPKVKKSAPRLQEEGLALHSSTTDEPLLFENAIEFPDAEDAVFNRHLRRLHTILTSRDSMHNVPFNREARRRIAFFSNSLFMNMPRAPHVEKMMAFSVLTPYYDEEVLYSKEALRRENEDGVTTLFYLQKIYEDEWNNFMERMRREGLKDEDDIWTTNPRDLRLWVSYRGQTLSRTVRGMMYYYRALQMLAFLDSASEMDVRQGSQHLASYSSANRNSGFNGLPSDRPPGLRNLRRADSSVVLLFKGHEYGKALMKFSYVVACQMYGRQKAEKNPRAEEILYLMKNNEALRVAYVDEVSLGRDETEYYSVLVKYDQRLQQEVEIYRIRLPGPLKLGEGKPENQNHAIIFTRGDAVQTIDMNQDNYFEEALKMRNLLEEFNINHGIGKPTILGVRENIFTGSVSSLAWFMSAQETSFVTLGQRVLANPLKVRMHYGHPDVFDRFWFMGRGGISKASRVINISEDIFAGFNCTLRGGNVTHHEYIQVGKGRDVGLNQISMFEAKVASGNGEQVLSRDVYRLGHRLDFFRMLSVFYTTVGFYFNSMIIVLTVYAFLWGRLYMALSGIEDAAMKNASNNKALGAVLNQQFIIQLGLFTALPMIVENSLEHGFLPAIWDFLTMQLQLASLFYTFSLGTRSHYFGRTILHGGAKYRATGRGFVVEHKSFAENYRLYARSHFVKAVELGVILIVYATHSPLSTDTFVYIAMTISSWFLVLSWIMSPFVFNPSGFDWLKTVYDFDDFINWIWYPGGPFKKAEYSWETWWYEEQDHLRTTGLWGKLLEIILDLRFFFFQYGIVYQLGIAGKSTSIGVYLLSWIYIFVVVGIYIIIAYYRDKYASTQHLYYRLVQLLVIVAIVLVVVLLLEFTEFKFIDLLTSFVAFIPTGWGIILIAQVLRPFLQSTIIIWETVVALARMYDLLFGIIVMAPMAVLSWLPGFQSMQTRILFNEAFSRGLQISRILSGKKST >OIV95203 pep chromosome:LupAngTanjil_v1.0:LG16:18934128:18935438:1 gene:TanjilG_21593 transcript:OIV95203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHMEENKGGKVGVGEDNISEGMQCINHPHRNNNNPGGICAFCLQEKLGKLVSSSSSLSIHPSSSPSNSFRSNNAYSSFSATTYSINHSASIISSKATSTLAPTSFSSVEKSGTNHHHEHYMRSRLPFLLPRKNKNKKQYTNSSTSSDSAAATSDIIVKRSKSTATPRRRDKFLDDDGEEGNGDIGIEDFNSSQRKRNWFWSFLYLSSNPSSSSSKKFDAKSLRENINGSPRISAVNAASCTSREKCSLGASSLRRKSDMVVEEQEEEVDSVTSAASFERKVSRSRSVGCGSRSFSGDFFEKISTGFGDCTLRRVESQREGKTKIGAVVNRHNHYMKEKVMCGGLFGGFMINSSSNSSSSSTYWVSSSNDDGINSNYNNGESTNGRGSKSWGWAFSSPMRAFGTKTSSSKDNKKNASDKNVTPNLSAIPSLLTVSS >OIV95115 pep chromosome:LupAngTanjil_v1.0:LG16:17855620:17856162:-1 gene:TanjilG_21505 transcript:OIV95115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLLHALINSLDEEDSVFKGINSYRQVQKLTPFIKVSKASCLAEEVAEDLEDEPCENVNQYAPGLTSSPKIPNLEKHIDKCDININTTIDGVVLPVCVSKLEPTIVLSNYTHTDRYSRYLNNSVYTGAGLGSEHDWMVLVLTTNTTTGSFSASASDAVGATSLLGNVAAMIGFLMLGLFI >OIV95078 pep chromosome:LupAngTanjil_v1.0:LG16:19877787:19881032:-1 gene:TanjilG_10898 transcript:OIV95078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSSTNTVVSLSLLCYLSFFALFSSSQSQRVSLELYYESLCPYSANFIVNYLPKIFSNDLLPIVDLTLVPWGNAKLNGNSNFTCQHGPYECLLNTVEACAINIWPELNQNFPFIYCVETLVYERKQKEWESCFDKLGLDPKPIDQCYNGEYGKELELEYAAETNALQPPHKYVPWVVVDGEPLYEDYENFLSYVCNAYKGTDTPQSCTKASLNAVPKGDAKPKHSVSNMERMIPTWEKVRSTITSWMQKMNF >OIV95818 pep chromosome:LupAngTanjil_v1.0:LG16:3718127:3720608:-1 gene:TanjilG_06794 transcript:OIV95818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQAFRRASGRIRTPSDPKTAINHRPPPPKPAADNATEISKAAKIDALDTADDRPRVNVDNILEERDPTYDVMLGQMVGSIRSKPGGKPEMGEAFLVQKSTRPMPRLRNTKPDSGNYEERQVPTGTLNISQLRHIFLLHEGKADDHSGPMGAHQIAKKFQVDIVQIQRILQFLSKPPEDSSSKDKNKIPR >OIV95123 pep chromosome:LupAngTanjil_v1.0:LG16:17925048:17930470:-1 gene:TanjilG_21513 transcript:OIV95123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMEPTQFSSPLLSNVEEQNQNINCSMPPILILSTLVSVFGSYVFGSAVGYSSPVQSEIMDELNLGVAEYSLFGSILTIGAMIGAIVSGRIADYAGRRVAMGIAEVFCIFGWLAIAFSKLMICCGVSLTYLLGAYLNWRILALIGTIPCLIQFLSLPFIPESPRWLAKIGNMERSESSLQHLRGKNADICEEATEIREYTESLQQQKESSIIGLFQMQYLKSLTVGIGLMILQQFGGVNGIAFYATSIFISAGFSGSIGTIAMVVVQIPMTALGVLLMDKSGRRPLLLVSASGTCFGCFLAALSFFLQDLHKLKEVSPILALVGVLVYTGSFSLGMGGIPWVIMSEIFPINVKGSAGSLVTLVSWLCSWIISYAFNFLMNLSSTGTFFIFSIICGFTVIFIAKLVPETKGLTLEEVQASLNPYSTKG >OIV96128 pep chromosome:LupAngTanjil_v1.0:LG16:844301:849946:1 gene:TanjilG_13060 transcript:OIV96128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKSTEQEEEPLPDHLRCSRTDGRKWRCRRRVMIGLKLCDTHYFQGRHRQNKEKVPDSLKLQRKSTNNINNNQNGDVSENVEIREKLMKKKKRKQNSEDVIGPARKKKKKNCDIRLELLTMVLEREIQKRDNKKKKKKKKKKKETKKKNQKEKKRNIMEEEVDEDELCYSEEELRRELPNGVMEIAPASSMHNVGSYSDAKVSSFDRRSATLTTRYFRSKNVDRNTNIVSCGRNLKKGKRKRRCHWCQRSESWNFIKCSNCEKEFFCMDCIKERYSETQDEVKMACPVCRGTCTCKDCFASQRRDNESKEYLHGKSRVDRILHFHYLICMLLPVLKQISEDQQTELEIEAKVKGKRVSDILIKQVQFGCNEESFCNHCKTTILDLHRSCLSCSYSLCLSCCQEFSQGSTSEEISSSMSKLPDKMNTCIASESHLSDDKASSSSNLSAISILPEWTSSNGTGSVSCPPKELGGCGDSHLDLRCIFPLSWVKEMEGKGHPVVVLDVLQSTSKLSWDPLVMICAYLERSITRYENDKDLLEACLDWCEVEINIKQYFTGSLKYQSHKNTCHEMLKLKGWLSSQLFKEQFPAYFAEVINALPVRDYMNPVSGLLNLAANLPKGSSKHDTGPYVYISYDCADKEAVSVTKLYYDSYDVVNIMAHARDDPLSAEQLTKIRRLLKKHKALCQRESSKNTTDNAEDTEQKVLWSMVRDRKDFFRRVNRTSCISTEDRTVGSQCSNTNISADGECGSNSDTEKVQSSLTHQGTTQHDEISPDHDPGNPVENTAGYKSKMLTENCALWDVFRKEDVPKLLEYLKRHHNEFSYIDAYHEKMVHPILDQSFFLDDTHKMRLKEEFKIEPCTFEQHIGEAVIVPAGCPYQIRNPKCCVHVVLEFVSPENVTECVKLIDEVRLLPKDHKAKVDKLEVKKMALNSMSTAIKEIHELTCRT >OIV96181 pep chromosome:LupAngTanjil_v1.0:LG16:462754:466455:1 gene:TanjilG_14858 transcript:OIV96181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNEGVEEMESETSIEEPLREHCLYPSLCVGLAKKRAYEFDGMGNFSQKDWDLADLYRKEQPDMDATEGRGANKFSWYHVELPKGNQKLTQSAQDLIGVLCPPLKLQDILSLVSNGPFCAHVDGALVFRVNSPGPSSSDFTFRIAARVTETSVITVSLGRVPRLGFSRMGESLLSEIPSIERSPRSRGQQQEGSGIVIKEHVLEFLLTMNHSEEADKPVPRSVSNLVAHIIDTHVDQLQDIVTKLEMELDSAELDLDKGGHDLKKEMLDDRRFPKLHINLQRLLQVITYGEQIYLRVKEKCSSKRWFANEDINSLEELIGRLRRLKENVGFIINRVTAIQAGLDSWQSEQINRKLYYLSFLSIVFLPLSIITGVFGMNVGGVPWTGQNVSELNDGFRNVMLLCVIMVLFILLCFIFPALYRHVAAAWQNKRTPGRSWPLNRKSLLRRTSRIVNQDRGSYLRI >OIV95603 pep chromosome:LupAngTanjil_v1.0:LG16:8759373:8762601:-1 gene:TanjilG_23834 transcript:OIV95603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQKQNHHSDSDLSKETKKRRRVAFSAVVSSKEEFHAPESFVLDPVDLSIFFDDDGKIYGYEGLKITIWVSSVSFHAYGEITFQSSSDRGKGVTDLKSALQRIFAETLVESKDEFLQTFSSDKDFVRTTISSGEVLKHKVFSGYSGDSNNGLANSATSDIEAVRMVVGDIAAGHLYSRLIPLVFLLVDGSSPIDVTDPCWELYLIIQKETDLQGEVQYRLIGFTAVYRFYHYPDSSRLRLSQILVLPPYQHKGYGRYILEVLNEVAISENVFDFTIEEPLDHFQHIRTCVDTLRLLRFDPIQHSVKVAVSLLKQGKLSKKTNNPRLTPPSSAVEDVRKSLKINKKQFFQCWEVLIYLGLNPSDKHMDDFVSIISNRVKYDIIGKDSGTSGKQLIDVPSDFDPETSFVMFRSEANESSTVQLDDNQTNQEEQLEKLVQERVKEIQLIAEKVTLHLKSSDASVN >OIV95965 pep chromosome:LupAngTanjil_v1.0:LG16:1962268:1963594:1 gene:TanjilG_27069 transcript:OIV95965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSERDAVFAHEALNKSKPDYKVLIEIACTRTSQEILAIKGSYQFLYKHSLDEDLASKTNSDIRKLLVAIVSAYRYDGDEFDESVAHSEANILHHAIQNKVFNHDEIIRILSTRSKKQLCVTFNAFRNIYGTTITKGLLSNPIDDDDDEYLGALRTTIRCIKYPQRYFAKVLHHAMNDLISEENALSRVIITRAEKDLSEIKDLYFKRNNVSIDDSVARNISGNYKIFLLALLGNNSL >OIV95943 pep chromosome:LupAngTanjil_v1.0:LG16:1763995:1766363:1 gene:TanjilG_27047 transcript:OIV95943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNANTSILNNNNNNKLFLSSEIVEEDASSTIFPTTLSNEIASYGFMELITVPDYNSPLFDWLPTLKAETDTDEEIILVSSVVQNTSVVSLTSPTSINIMKEQQHHQGNNALMSNGVVERRNKERKQKEQRYVIHANTNVTNIEDGFKWRKYGQKYVKNNHFPRSYYRCTTLGCNVKKRVERSSEDNNFVITTYEGNHTHLPPNTPRIISFNLIHNGGTITNSSGGVGFLPPSPPPPPQQYQHLNQPIIPHHHFHHPNVQQQQQQSEPFLFSTFVEGDENHGGEFVASNNSTPPMSDYMNSTSSSSGYVEEHENNGEDFGSSDDILIDNEALLRNNGMLEDILMCHLG >OIV95400 pep chromosome:LupAngTanjil_v1.0:LG16:15660521:15661351:1 gene:TanjilG_06269 transcript:OIV95400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKLAARGSKAEQKAKKKQVEEEISQLSARLKVEHAKELAALGYSGGNGNEKNNVDTLVKAIAGVSVTSQPEHTKSSKAKQRRDKRAQQEAEREQRIQAEQSDTVSDRMIENEKLEKKLKPLGLTVNEIKPDGHCLYRAVEDQLAVLSGSISPYTYQELRGMVAAYMRKHSSDFLPFFLSENLIEADSDESPVQKFENYCKEVESTAVWGGQLELGALTHCLKKHIKIFSGSFPDVEMGKEYKCEDGNGSSNLSIMLSYHKHAFGLGEHYNSVVPT >OIV95767 pep chromosome:LupAngTanjil_v1.0:LG16:4945429:4948931:1 gene:TanjilG_05315 transcript:OIV95767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVLSQQAALNQFLLIKSCKPTTTTLSTQIPEVNLFDPEAKTLIVKACQEFGFFKLMNHGVPMEFMNNLENEALRFFKKTQSEKDKTGPPDPFGYGSKRIGFNGDVGWVEYLLLTTNPDIISSKSEIIFQQNPENFRYAVEGYLGAVKRMCYEVLELMADGLGIKQRNVFSRLLKDEKSDSCFRINHYPPCPEVQALNIGNLVGFGEHTDPQIISVLRSNSTSGLQICLRDGSWVSVPPDHTSFFINVGDTLQVMTNGKFKSVKHRVLADTTKSRLSMIYFGGPPLSEKIAPLPSLMSKEEESLYKEFTWCEYKKAAYNSRLSDNRLEPFEKSSG >OIV96224 pep chromosome:LupAngTanjil_v1.0:LG16:181495:187283:1 gene:TanjilG_14901 transcript:OIV96224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQRLGWVVGLNHKNKRLLSEDQPPKPKSMLNDVVHEVAIYIHRFHNLDLFEQGWYRIKITMRWEDGEDSYPAIPARVVQYEAPDVAADNIYRVWMIDDTDNSFSTPPFRIRYTRQDVFLSIMISFYLSFGGYEGKSSAVILKFELMHSPTSEIGPELQNSLDSCFASVHEYRIPPKALLGLHSYCPVYFDSFHAVLVDASFHISLRTGYSRPQLKVPSDSWDSGSTYGEEDNVGSNKVVLLKALLSARDILLEDLRRISKGIDQAIDLTGITFKPDVTKSFHSSSPSLQLPDSPPVSVEKYAYLVNCLILTAESLQPLSWDDMLNSFQSVGSQLLYLWNTFLKFHRENTTKVIEFLRNSWANDRRTECSIWMVCSKVEMPHQYISNRVEGTSLYRGLRGRSSSTRRSIDDPVQTAIMRAELQRRGIAQMKINNRSLQDMHIFEDTLRAPIVIVEHLENMYRCSSVNSYFSPLEEKGRYVMENGFRAINKLSGGSLLQNGRVLRLVVFVHGFQGHHLDLRLIRNQWLLIDPKIQFLMSESNEDKTSEDFREMGFRLAQEVISFLKRKTDKASRNGTLKDIKLSFVGHSIGNLIIRSALADRIMEPYLKYLYTYVSISGPHLGYMYSSNTIFNSGMWLWKKIKGPQCIHQLTFTDDLDLENTFIYHLSKKNTMENFRNVILLSSPQDGYVPYHSARIELCPAASLDFSKQGKVFLKMLNNCLDQLRTHSDHRVIMRCDVNFETSSHGRSLNTLLGRAAHIEFLECDIFVKFIMWSFPELFI >OIV96021 pep chromosome:LupAngTanjil_v1.0:LG16:2430479:2431760:-1 gene:TanjilG_27125 transcript:OIV96021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVEATYMNLLPSHQLFTTREPNNNQQQQHQLLYSGIYNNAQMDSTAMNLPGKMAQPFMPFYQFNVCDANKADSGLTCHNIPLQRKRPRDFTTELTSLPVHQKNKLLHQSSFIDQDVLYQIQNQQQCEIESVLAHHAEKVRMGLEEQKMRQSSMLLGTIQEAMAKKLREKDEEFQRIEKMNMTLQEKVKNLCVENQIWRELAQTNETTANYLRSNLEQLLAHVGEDNHVAAAAAVADDAQSSCGSNGVAEAGEDTAASVAVGGGRNKCKNCGVRESIVLLLPCKHLCLCTNCGSTIHNCPMCDSRIDASVHVNLSY >OIV95860 pep chromosome:LupAngTanjil_v1.0:LG16:4074397:4076470:-1 gene:TanjilG_06836 transcript:OIV95860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVTADPWCSSGGLAPDGTLVSTGGWNDGNKTVRYIRDSCSDCDWREHNDIFQEPRWYATQHILANGDFIVIGGRGAFSYEFVPKEGQISEKAYYLPLLYETTDFFAENNLYPFVYLIPDGNIFVFSNYRSILLNPTTNKVVKTFPILLGGSRNYPASGMAALLPIRFDYNNFSINAIKVEVIVCGGNSPDAFVKALNKQGFLPALQDCARMIITDPNPLWDIEMMPSRRTLGDALNLPNGQILFINGAQNGTGAWWNAEEPNLTPVIYSPDKPKGQRFKVLNPNTIARMYHSSSAVLPSGKIWVGGSNPHDTYKDVDKYPTETRVQAFYPPYLDPNLDKFRPLIIQESSDKKLRYKVKFETQFSIQEGDKLTDDDIKVTMYSPPFTTHGFSMNQRLLVLKSEDIVEVEKGVSYKISSMAPPFAEVAPPGYYLLFVVHRGVPSKGMWVQI >OIV95696 pep chromosome:LupAngTanjil_v1.0:LG16:6963879:6969869:-1 gene:TanjilG_01490 transcript:OIV95696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIEENNNRWKEEIAEDCCFFCKDGGLMRICDYDDCLKACHPKCEGQEDSFLEREDYWCCGSHYCSVCRKSSLYKCYCCPKAFCRSCVLEENDDFAIVKGKKGFCSHCLQLAVLIEEKTDVTSEGVKVDFKAPGTYECLFSEYYKWIKEKEGLNYSHVQCADIMLKKAEASPSVPQNHKAKAPLSNCSSKKGKEAIATTPTTTTVYKRRRTSSTSSYLGPRRYSSRRLQTRSSIAFPNLPELGDLGVDAMHATASVAETVDNVCDNVADETVTKPLVSSTDPLVGVPDNFASWTSRDDAKLASSFVSVHPDIVFPDSSYAQCFLEPAYKTFVFLLKMFRDSSSTHDLVSENRAVLVEKLKGMKLFGFSGSWLENLLEKLDGPNSLHAPVDISLLNQTEESYASQRVSLMARIDELTSSLEASNNKLIVVEEKLKDIAAEKKIYEEACDELGSFFNF >OIV95483 pep chromosome:LupAngTanjil_v1.0:LG16:14242387:14243292:1 gene:TanjilG_23926 transcript:OIV95483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFSLVSLTFHESNSQSTKSVHVLDLIIRDYTFKSLDKTFKTGTPQSVKLPANLSGIKVDTVRFRCGSLRRYGANLKEFHLGIGVSVHPCIERVMLIRQNMVQNLASIYYTNYDLNGYQLVSPIVGILAYNADEDANSSNPFQLGIIAGENPITIDFTNTSFNKEKGNNPLCASFEGNGRITFTKSSTSSPLSCVAKKHGHFGLVIESLTPSEDDFRKPLSKWKVAVWSTIGAAIGASLIGLLLVAMVVRVKKRTRMVELERRAYEEEALQVSMVGHVRAPTASGTRTISAIEHEYIHHSH >OIV95143 pep chromosome:LupAngTanjil_v1.0:LG16:18109660:18112180:1 gene:TanjilG_21533 transcript:OIV95143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNARRIGAFKEYMVGRSSEVTFNTAFEKSEAIVRFLALFDPTGENLQTAQKQAAAKHCNCTIADVENALAKFTWAKEAQKKIQTLKDEGKPMPKSFGDLQKMVGSTPFDLARSNLAQSGQISRNAPCPCGSKKRYKRCCGKD >OIV96235 pep chromosome:LupAngTanjil_v1.0:LG16:99055:101237:-1 gene:TanjilG_14912 transcript:OIV96235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSIRLRRPLSAALSTFHRSLSTPIHRAHLTPNHYHVLQTPPSQFQSTRAFRSSPVSLLSTRPSSSNDEIGPDTILFEGCDYNHWLFVMDFPKDNKPSPEEMVRVYEDTCAKGLNISVEEAKKKMYACSTTTYTGFQAVMTEEESKKFESLPGVIFVLPDSYIDPVNKQYGGDQYINGTIIPRPPPVQYGRNQRRQDDRRAPGRYNQQGNQMSNPPENFSYNNRGPVQGDGRNYDPAQNYRQPQQNHGQPSQNFPPQQNHGQASQNYPPQQNYGQASQNYPPQQNHGQASPNYTSHPQQQRHVAASPQYAQQQNFGPPGQGERRSYVPQQNFGPPGQGERRDPATSPGGTGASQSWGDTSFTPSSVKDFKPSYMEEFGQANQGNYPPKEQTGSQQRYPPPPGQGNFTGEV >OIV95946 pep chromosome:LupAngTanjil_v1.0:LG16:1781003:1781886:-1 gene:TanjilG_27050 transcript:OIV95946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGHFESGSSEKKSSNGLNELLTLNAENLQSNMKIIYYSRTFLSIIGGVVAGILGFTGLKGFVFYILLMAVTSIGLLAKAKFSIHTYFDSWNRVLLDGFLSGLMSFVLFWTYPSLVKYLVEYDCIFNLIQSNTIKIF >OIV95627 pep chromosome:LupAngTanjil_v1.0:LG16:8163503:8165966:1 gene:TanjilG_23858 transcript:OIV95627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLNSKDGSREDGGLSSKVSSSSMLLAPRTEDDILQSSNLKNFTFNELKTATRNFRPDSLVGEGGFGSVFKGWVDEQTLAPTKPGTGFVVAVKRLNQESSQGHSEWLTEINYLGQLHHPNLVKLIGYSLEDDHQILVYEFLAKGSLDNHLFRRASYFQPLSWNIRMKIVLDAAKGLAFLHSDEVDVIYRDFKTSNVLLDSNYNAKLSDFGLAKDGPEGDKSHVSTRVIGTYGYAAPEYISTGHLTKKSDVYSFGVVILEIMSGKRVVDNNRPSGEHNLVEWAKPYLTSKRKIFQVMDARIEGQYSLREAMTLAHLAIQCLSVESKFRPKMDAVVRFLEQLQNSRDIGEVEGSSRVETNDHSSSSSGTKQHRTRFNGEGTSTPTPSASPLHT >OIV95594 pep chromosome:LupAngTanjil_v1.0:LG16:9011519:9013008:1 gene:TanjilG_23825 transcript:OIV95594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKAADEALLWPLRNKSNNVVNNSDLFGFPSEFPYELGISSPVESVAESTETDSSTEEEEFFAALTRRLSQSSLHDSRKHHPITSPIITNKKTENVKLKKNDLATSPQSTLSGIGSWSGRSGSSGDGSPNGSSRVPSPLTTPFVEKNDPLEAIYAVAGEVARLKYINSETMSFNFQNRELGLPHATTMFPNYSSQMREEHVLKQQCGDSVWGRQQVKPNWLVQQQQLQVQNRGYESVKCTRPSLPQSAWPPLQVQPQNQRVQCTGSGSRPSGSAVKKGCGGTGVFLPRHHVNNPSETRKKPGFAPVLVPAKVVHALNVTSQSQFSNAFTLDYDTLLARRNALLMQKQRLSLRREEVASYETRLPQEWTY >OIV96207 pep chromosome:LupAngTanjil_v1.0:LG16:285856:289306:1 gene:TanjilG_14884 transcript:OIV96207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNMSICTIKFSTNILQPRMVVARLSCRLKNGSVGIGHCTKVPRNANMEKLQHGYLFPEIERHEVMHLEKYPHANIIDLGIGDTTEPLPTIVTTSMVDFVHGLSTRKGYKGYGPEQGEKALRKEITDVFYKDLGIKPTEVFVSDGAQCDITRLQLLMGPNLKIAVQDPSFPAYIDSSVIIGRAGEFVDKAGKYKNIEYMKCGPQTNFFPDLSTTSRPDIIFFCSPNNPTGHAATRKQLEQLVDFAKVNGSIIIFDSAYSAYITDDSPKSIFEIPGAREVAIEVSSFSKFAGFTGVRLGWTVIPEELLYSNGFPVVHDFNRIVCTCFNGASNIAQAGGLACLSSKGFRAVKSLAAYYMENARILVNALGSLGLTVYGGKNAPYVWVHFPGSKSWNVFAEILEKTHMITVPGSGFGPGGEEYIRISAFGPRQSIIEASQRLKHLLYQEDN >OIV96085 pep chromosome:LupAngTanjil_v1.0:LG16:3534342:3536119:-1 gene:TanjilG_27189 transcript:OIV96085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSCFHGLRLRRSKSNKPSPAPSSSYKAKLKCEMENNNMERKRFDSMESWSMILESENVETWEVPKEDQEEWTADLSQLFIGNKFASGAHSRIYRGIYKQRAVAVKMVRIPTQDEERRVLLEQQFKSEVSLLSCLFHHNIVQFIAACKKPPVYCIITEYMSQGTLRMYLNKKEPYSLAIDTILRLALDISRGMEYLHSRGVIHRDLKSNNLLLNDEMRVKVADFGTSCLETRCRETKGNMGTYRWMAPEMIKEKPYTRKVDVYSFGIVLWELTTCLLPFQGMTPVQAAFAVAEKVRDNYV >OIV95103 pep chromosome:LupAngTanjil_v1.0:LG16:17755675:17759810:-1 gene:TanjilG_21493 transcript:OIV95103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFTLSDNGLKTFTRCITCLARIGNELAIQASSSQLLFHTINTSRSAYQCIIFKSGFFDGYTVSNNPVQCSVLLKAVCAVLRTPLSNIDHLTVKLPDPDAPKVMWILDCYSGMKKTYWITCNVEPDIQHLSLDRQKFPSNFVVRPRDLNRLLGNFQSSLPEITIIATEPASLPPDAANEIGGKAVELRSYIDPTKDPKEEFLQYFHSGDPIDVTFSVKELKAFLSFCESCEVDIHLLFEKAGEPILLAPKFGFEDGSNSNFDATLVLATMLTSQLHEGTASEPPHVATRTHAQTEERNESPMQQDNCRTDASEPQSDHTRIWSDLSASAIKNGGALEERQAQGGTSPNDNEQREIQRISTIRISGVTSVAGNNPVDANLCQATEKRSEQEPQDMLCNNDHGFSQHFPSNWVDAEEDDEDDGDGNEQYIQATPPYYEDQ >OIV95957 pep chromosome:LupAngTanjil_v1.0:LG16:1897115:1903665:-1 gene:TanjilG_27061 transcript:OIV95957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAEAASAGSGVRYAPDDPTLPQPWKGLIDGSTGVLYYWNPETNDTQYERPAPLAPPASSGPAPGASTPNLAPIPSAHTVQPEQQQGSHLGQQYGQPMQQQQQQQPSQVAHSEQQMNSQLAQSGYQVRPQVMHPQGQHMMQPQGQQMMYPHGQQMHNQIPSQPMHSQHYGQGMSQDHGSHNVQPQAHQFTPQNMHYMSYQQNMIQSGQPNSQSVQPNMNASGQPNSQHIQHNMNGQPSFESQQDYKTAYPKTNDAEFKNGSQVGHSPSQYQQRSALPGHNNQNVSAEISSGKVPNVGANAAQPQQFRGLSGNVHQSPSGMQSPPGGSDLFYQHGSGPNFQNQMSSGMMHGHPSHVHPAGQKMGHEDNLHGRPGNEYHYNSSKEVPTMSRHQPDLAQIPISGNRQDARIGNPTFQNVMPGGSGIAGNAMHNTFTPPIGAPPSVSSNAPTRPPYIGSSDVSGLSQAELYCQQHEVTATGDNIPPPFMSFDAAGFPPELLREIYSAGFSNPTPIQAQTWPVALQGRDIVAIAKTGSGKTLGYVIPAFLLLRQRHNNALNGPTVLVLAPTRELATQIQDEVVKFGRSSRISCTCLYGGAPKALQLKELDRGADIVVATPGRLNDILEMKKINFGQVSLLVLDEADRMLDMGFEPQIRKIVNEIPPRRQTLMYTATWPKEVRKIASDLLVNPVQVNIGNVDELAANKSITQYVEVVSQMEKQRRLEEILSQQERGSKVIIFCSTKRLCDQLARSIGRSFGAAAIHGDKSQGERDYVLNQFRSGKSPILVATDVAARGLDIKDIRVVINYDFPTGVEDYVHRIGRTGRAGATGVAYTFFSEQDWKHAGDLIKVLEGANQHVLPQLRDIASRGPPNFGKDRGGMNRFDSGGRVGGRWEGGGRGGMRDGGFGGRGGMRDAGFGGRGGMRDGGFGGRGGMREGGFGGPPGGMRDGGFGGSPGGLRDGGFGGRGGIRDGSGGRGGRGDFISGRGNRGRGFDGPRGGHGGWGRDEEGTNDRYSMDGRGRGRGRGRFDNRRDVGFRNRGRSTSRSPERVRTWDAGSRSRSRSRSRSWSRGRSRSRSWSRDRSRSYSRSISPHRSRSRSRGRRSYSRSRSRSPVAYVRRNRPSKFSDIAPEVGAATDPKMLGAEHVEQVPVVGSTGPDNPEAVDLSHQPGSGV >OIV95492 pep chromosome:LupAngTanjil_v1.0:LG16:13967200:13968075:1 gene:TanjilG_26755 transcript:OIV95492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKQRSISHPTPTPPASLEEEVNNVEEDLTHVDQVQTLSKTPSISTSNHEPISSDSKYTKRPNESNGDPLSPKRTKIEGSDSKPPFHRFWSFDDELIILKGMHEFISENGTHPHKFINDFHNFIKKSLHVEASSTQLKEKVRKLKLKFLKNSENPSFSNAHDSELFELSKKIWGNTEGGHSNGTLEKAESDETKEGKHVKIVDVGKDEEIENDEKDSKTTLYLMEVFQLNGFDKDAKKEGIELLGESDRVELKVLWKEFQNAELELSVRRAELVAKQARLMLEARKSSKN >OIV95404 pep chromosome:LupAngTanjil_v1.0:LG16:15687198:15687515:-1 gene:TanjilG_06273 transcript:OIV95404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVLARLIAENPVVIFSKSTCCMSHTVKALIFSFGANPAVIEIDKMPNGHQIERALIQLGCHPSVPAVFIGQQFIGGADKVIGLNIQNKLAQLLLNAKAIFIWGK >OIV95331 pep chromosome:LupAngTanjil_v1.0:LG16:16649691:16653905:-1 gene:TanjilG_07487 transcript:OIV95331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLILVILILPFVISARSETINTTHSLFSFFQNDAVQPQPLTIPLTLIQSAASKGAVCLDGTLPGYHFHPGSASGANSWLIQLEIFSYADMFSSFAQREGWLPCDLNVMNSNPGNSLLGCEDKIAYINPPGSLGGGWCNTIRSCVFRKTTRRGSSKFMEKQLPFTGILSNKAEENPDFFNWNRIKVRYCDGASFSGDSENESAQLQFRGQKIWLAAMDELMSKGMNKANQALLSGCSAGGVASIIHCDEFRNLFPQSTKVKCLSDAGFFLDAVDVSGGRPLRNLFGGVVNLQGVQKNLPQSCLSKLDPTSCFFPQNLINHVQTPLFLLNAAYDAWQVQESLAPPSADPHGSWNECKSNHAKCNSSQIQFLQDFRNQMLNDVKGFSSSSQTGLFINSCFAHCQSERQDTWFADDSPLINNKPVAIAVGDWYFDRQAVKAIDCAYPCDTTCHNLVFK >OIV95259 pep chromosome:LupAngTanjil_v1.0:LG16:17519353:17522162:-1 gene:TanjilG_26956 transcript:OIV95259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNTSNNVGGVDNTFRRKFDREEYLERAPKGPLVQRKPLKHRDYEVDLESRLGKTQVVTPVAPLSQQAGYYCSVCECVVKDSANYLDHINGKKHQRALGMSMRVERASLDQVRERFEVLKKRKDHGSFTEQDFDERILKQQQEEEERKRLRREKKKEKKEKAIEEPEMDPDVAAMMGFGGFGSSKK >OIV95787 pep chromosome:LupAngTanjil_v1.0:LG16:4583586:4584458:1 gene:TanjilG_20237 transcript:OIV95787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSFFLQHNTSSPCSERRGRRKQQEPGRFLGVRKRPWGRYAAEIRDPTTKERHWLGTFDTAEEAALAYDRAAFSIKGSQARTNFIYSHNNSTSFHNLITPMQVQSLLPTPQFITNTQNTSNFNNNAMCNETETAFASAKDDNFFFSNDSNNNSGYLECIVPDSCLRPVSSDQKGSYINTKTMQGQSYFDNNAFSQEALSMQTTMQVSNFEGSSYQNEPSQGLLDNQQSWDCNSSELSSIFNKPLRFEDEYCMGALCPISESPSYETVSSCSPSFPPPFGDIDLGYSPSPF >OIV96123 pep chromosome:LupAngTanjil_v1.0:LG16:815105:818014:-1 gene:TanjilG_13055 transcript:OIV96123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNKDSFFEYEETDSLAFPMSEFDECSNFGDDEILHQKSSIQDEEANKEPLSSLAAEYQSGSPILLEKIKVLEEQYAAIRRTRGDGNCFFRGFMFSYLEHILESQDQAEIDRIKENVEKSRKALQTLGYPDLTFEDFFSLFLEELDCVIQGKENSISHEELVLRSRDQSISDYVVMFFRFVTSAAIQKRSEFFEPFILGLSNTTVEQFCKSSVEPMGEESDHVHITALSDALGVPIRVVYLDRSSCETGAVSVNHHDFMPDDGDLSNAVTSSEKKNPFITLLYRPGHYDMLYPKC >OIV95184 pep chromosome:LupAngTanjil_v1.0:LG16:18502511:18502870:-1 gene:TanjilG_21574 transcript:OIV95184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFDDFFTGEIVTELLKMLVNISRKSLLCRSSAVQLITYIHELQPTIDEIKYSGVELSEHRQFQLNRVSEVLRSGVDLSLKVLSSSRWNVYKNLQLAKKMEKLEKNLSKFIQGPMQVGT >OIV95118 pep chromosome:LupAngTanjil_v1.0:LG16:17877420:17879046:1 gene:TanjilG_21508 transcript:OIV95118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALEAVVYSQEYPFGYYGSNKNIYNNNNFNNLEKHEDDDEQVSNITSFLQNNIENNYPYGESSSSSMLIPNMNNIDEVHVPNNDDDDDIPISSVVSRPKRRRVKSRRNKEDIENQRMTHIAVERNRRKQMNEYLSVLRSLMPQSYVQRGDQASIVGGAINFVKKLEQRLQFLGAKKEIVDGKFDANKNMSFSDFFTFPQYSTSATFCENSEQMSEVKSGIADIEVTMVETHANLKIRSKKRPKQLLKIISSLHGMHLTILHLNVNTNGEIVLYCLSVKVEDDCNLGSVDEIAKAVSQMLNRIQQDAIMLND >OIV96170 pep chromosome:LupAngTanjil_v1.0:LG16:1170498:1172539:1 gene:TanjilG_13102 transcript:OIV96170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFNIANPTTGCQKKLEIDDDLKLRAFWDKRISQEVLGDALGEEFKGYVFKITGGCDKQGFPMKQGVLTPGRVRLLLHRGTPCFRGYGRRTGERRRKSVRGCIVSPDLSVLNLVIVKKGDNDLPGLTDIEKPRMRGPKRASKIRKLFNLSKEDDVRKYVNTYRRTFTTKNGKKVSKAPKIQRLVTPLTLQRKRARIADKKKRIAKAKSDAAEYQKLLASRLKEQRERRSESLAKKRSRLSSATKQPATA >OIV96253 pep chromosome:LupAngTanjil_v1.0:LG16:16859:22983:-1 gene:TanjilG_14930 transcript:OIV96253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNVDEFLQQCQHSGDAAYAAIRSVLERLDDPNTRSETRIFLSNIQKRFQTKEDCDKCFDTYHFKIEDIMLEEHPEPVDKRRSKLTMMVIPSIFLPEDWSFTFYEGINRHNDSIFKDRTLAELGCGNGWISIALADKWLPSKVYGLDINPRAIKVSWINLYLNALDHNGNPIYDEENKTLLDRVEFHESDLLSYCRLNHIQLDRIVGCIPQILNPNPDAMTKLITENASEDFLHSLSNYCALQGFVEDQFGLGLIARAVEEGISAGDTDIAALVEIEKNSPHRFEFFMGLSGDQSICARTAWAYGKAGGSISHALSVYSCQLRQPNQVKAIFEFLKDGFQEVSSSLDLFFEDDSVADEKIPFLAYLASILKNNSIFPYEPPAGSKRFRNLIAGFLKTYHHIPLTADGNPTHEASTKWGMRKVDVHNLTTTSGRDWDLHVLKASAIENALRLFSPRLAVVDEHLTQHLPRQWLTSLILENTRTIDPLDDTITVIEAPRQSDLMIELIKKLKPEVVVTGITHFEAVTSSAFVHLLDTTREIGSRLFLDISDHFELSSLPRSNGVLKYLSGTPLPSHAAIICGLVKNKVYPDLEVAFVVSEEETLFSALSKTVELLEGNTALISQYYYGCIFHELLAFQLAGRRAPAERNCENVKSVDMIGFAKSALSVLNDAELSIDGEENGSLIHMDVDQIFLPVPSPVKAAIFESFARQNMSESETDVTTSIKEFVKSNYGFPTGGSTEFIYANNSKALFNKMVLCCIKEGGTFCFPAGSNGNYVSSAKFLKADTMTVPTDANVGFKFTEKTLTGVLGTVKNPWVYISGPTVSPTGLVYSNNEIEEILSTCARFGARVIIDTSSSGLEFDCDGWGGWDLEGCLSKLNTSCKPSFCVSLLGGLSLKMLNGVFRFGFLILNQPILVDTFYSYSGLSKPHGTVRYAIKKLLELREQKPSKLLDAIVEHTRVLRSRSKCFKEALEKNGWDVVESCAGVSIVAKPSAYLNKAVKVNMGNDTIEITLDDSNIRNAILKATGLCINSGSWTGIPGYCRFNIALEENDFKKALDCIAKFKEVVLN >OIV95573 pep chromosome:LupAngTanjil_v1.0:LG16:9498479:9502979:-1 gene:TanjilG_22716 transcript:OIV95573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRHNLKHHYKVIGEESQENERKYVVKAVSEPSFEYEPKVSIWDTIKDAFNAFYRFTRPYAAIESGMAVASASFLAVEKLSDLSPSFFTVLFKLLVASFFMNIFHCGFNQLCDIEIDKINKPYLPLASGEWSYTKSVIIVASSLLLCFGLAWIEGSWPLFWGFFIGAVLTAVYSLDLPLLRWKNSALFAATNIILNAGVVRPLGYYLHMQTRVFNKPATITRPLIFCTAILSLYFLVVALFKDIPDTEGDKKFGVQSLSVRLGQKPVFSICISLLQMAYGASILVGATSPFLWSKLITGVGHGILALALWYRAKSVDLKRSDSFQSFYMFIWKLLSIEYLLIPLFR >OIV96055 pep chromosome:LupAngTanjil_v1.0:LG16:2709946:2714168:-1 gene:TanjilG_27159 transcript:OIV96055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEDKVEEKKKETIEEKKEEETQEIVLKVDMHCQACARKIAKALKGFEGVEEVTADSKASKVVVKGKSANPIKVLERLQKKSGKKVELISPLPKPSEEKKEEIKEPQPVEKKEEVSPPPVVTVVLKVGMHCEACAQVIQKKIRKIQGVESVETDFGNDKVIVKGVIDPTKLVDYVYKRTKKQASIVKNEEKKEEEEKKEDKEEDKQKESEENKGEDDSNKTDIKRNEYWPSRHYVDYAYAPQIFSDENPNACSVM >OIV95733 pep chromosome:LupAngTanjil_v1.0:LG16:5444480:5446388:1 gene:TanjilG_05281 transcript:OIV95733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSQFLGFENFIPPFANTSGSDILKGVNYASGSAGIRDESGGHRGAHISLRLQLANHRVIVSQIASKLGGFEKAKQYLSKCLYYVNIGSNDYLNNYFIPEYYPSSAIYTPEQYAEALIDEFTLNLLDVGARKYVLVGLGSLGCIPIHISDGSCSQDINKASLIFSEKLKSLVHQLNKSPTTSEFIFVNSTTSALINAVGFTVSTFACCSSGPYGECVPDQSPCSNRREYVFWDQCHTTEAWNAVTAIISYNSSYPDSTYPMNIKQFVEQDIKIESDFIKDFTSQASGSYAGE >OIV95490 pep chromosome:LupAngTanjil_v1.0:LG16:13952911:13957417:-1 gene:TanjilG_26753 transcript:OIV95490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRAMVSDSTSSKKQVEVVYNPDERINKLADEVDKEAPLSRLTLFSPCKINVFLRITDKREDGYHDLASLFHVISLGDIIKFSLSPSKTMDRLSTNVSGVPLDDRNLIIKALNLYRKKTGSEKFFWIHLDKRVPTGAGLGGGSSNAATALWAANQFSGCLATEKELQEWSSEIGSDIPFFFSRGAAYCTGRGEVVQDIPPPISLDIPMVLIKPQQACSTAEVYKRLKLDQTSTVDPLGLLEKIKRNGISKDVCINDLEPPAFEVLPSLKQLKQRITAAGRGEYDAVFMSGSGSTIVGVGSPDPPQFLYDDVEYQDVFLSEQLFDSELWRNGKVVCLVSEVMSSNLGNNIFACESKTVNNYPPQTPCAPD >OIV95106 pep chromosome:LupAngTanjil_v1.0:LG16:17782466:17784232:-1 gene:TanjilG_21496 transcript:OIV95106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVDDDYEEYVPVAKRRAMEAQKILQRKGKVAAVADADLEKEQVVETKPTLLEKASQLKREQPEISVTEQIVQQEKEMIENLSDRKTLMSVRELAKGITYTEPLPTGWKPPLQIRRMSKKGCDLIRKQWHIIVDGDNIPPPIKNFKDMRFPEPVLNKLKVKGIVQPTPIQVQGLPVILSGRDMIGIAFTGSGKTLVFVLPMIMMAMQEEIMMPIVPGEGPFGLIICPSRELARQTYEVIEDFLTPLKEAGYPELRPLLCIGGIDMRSQLEIVKKGVHIVVATPGRLKDMLAKKKMNLNNCRYLTLDEADRLVDLGFEDDIREVFDHFKAQRQTLLFSATMPTKIQNFARSALVKPIIVNVGRAGAANLDVIQEVEYVKQEAKIVYLLECLQKTPPPVLIFCENKADVDDIHEYLLLKGVEAVAIHGGKDQEEREYAISAFKAGKKDVLVATDVASKGLDFPDIQHVINYDMPAEIENYVHRIGRTGRCGKTGIATTFINKNQSETTLLDLKHLLQEAKQRIPPVLAELNDPMEDNEAITDISGVKGCAFCGGLGHRIRDCPKLEHQKSVVIANNRKDYFGSGGYRGEI >OIV96069 pep chromosome:LupAngTanjil_v1.0:LG16:2968942:2974211:-1 gene:TanjilG_27173 transcript:OIV96069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGEHTSPPDSDALKAPLLPLHQPIQTTHTTHLNIKSILTLKNFYVILGPLLSLIICLFVNLDAPVTSKKMLAVIAWVFAWWVTEAVPLPVTSMCPLFLFPLFGVATADSVAHSYMDDVITLVLGSFILALAVERYNVHKRLALNVTLLFCGERLNPSMLLLGLCATTFFVSMWLHNVAAAVMMMPVATGILHRLPPPDEQSNTVNKFSRAVVLTVVYATPIGGISTLTGTGVNVILIGMWKSLVPNGKPISFNNWFCFGFPVAAIMLLCFWCIICLLYVKKDSGRALSSYLDKAHLKSDLEALGPMAFAEKMVLLVFGLLILLWMTRNITNDIPGWGTFFHGYVGDGSVSVMVAVLLFIIPNMKQKGEKLMSWSECKKLPWNLILLLGAGFALADGVQSSGLADVLSRALDFLEDVPYLAIATAVSLMSSIITEFITSNDATATLILPLLYHIGKTMHVHPLLLMIPGAIASEFAFLLPTSTPSNVVGFTTGHIEIQDLLKVGLPLKVVGIAVLSFLMPTLGAIVFGTDEGKWVTRANSRWLRN >OIV96045 pep chromosome:LupAngTanjil_v1.0:LG16:2642872:2645707:1 gene:TanjilG_27149 transcript:OIV96045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSKTSAIAVPKQHPDTSGIEPLKAKDIRPPSLPISKTCKPEQYVPEDMSKSVQNSSRQNTVEIPDMKKLGISAHKGSASSSVLDKEVDQAVNENVGSQEISIDHDKKTSGYGSVKNSPVSAKISDGACSIAKTSGSAKISDRADFVESGKSSICRASTSSDVSDESTCSSFSGSINKPHKANDIRWEAIQVVRTRDGVLGLGHFRLLKRLGCGDIGSVYLSELSGTKCHFAMKVMDKGSLASRKKLLRAQTEREILQSLDHPFLPTLYTHFETEKFSCLVMEFCPGGDLHTLRQKQPGKHFPEQAVKFYVAEVLLALEYLHMLGIVYRDLKPENVLVRDDGHIMLSDFDLSLRCAVSPTLVKSTIMDSESLRKNPTYCVQPACIEPPSCIQPSCVAPTTCFSPRFFSSKSKKERKPKNEIGNQVSPLPELVAEPTDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVVGQPLRFPEGPVVSFAARDLIRGLLVKEPQHRLAYKRGATEIKQHPFFEGVNWALIRCATPPEIPKHVELEKVPSPASSAVEKAVNHMSIGNNQKGPDNYLEFDFF >OIV96028 pep chromosome:LupAngTanjil_v1.0:LG16:2492794:2497168:1 gene:TanjilG_27132 transcript:OIV96028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEELNQSLEYTPTWIVAGVCSIIVFISLIVERCLHWLGKLATQMLPCKRPHESSEESTHRLIYYDTIINRRRLFSTETGFEHCKEKGKVPLLSQEALHHLHIFIFVLAIVHAIFCVTTMLLGDDYHHHEFFKKHADGYWRRAAVVGWLISFFKQFYGSVTESDYTALRQGFIKEHCSHKPDFNFHKYIMRTLEVDFKKIVGIRWHLWLFVVLFLLLNLHGWHTYFWLSFLPLIILLLVGAKLEHIIVRLAQESVEKLAEGNFVTQAVGNLGRRKEQDAKPVKPSDGYFWFNRPALVLDLLHFTLFQNSFEIAFFFWIWCTYGFDSCIMEKIGYIIPRIIMGVIVQVLCSYSTLPLYTLVTQMGSRFKKGMFDEVVEAGILSWAGKSISGADDESSEPDRRRTMSHKMIKESFQPSQISGQAIIMVEDETTSTIELPTLSKFH >OIV95461 pep chromosome:LupAngTanjil_v1.0:LG16:15345005:15347861:1 gene:TanjilG_06923 transcript:OIV95461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPGGSAFKEVIVSHLISSDYETGKAKSEAKSNITMTKSVVGLNRKNVISSKNGVHDLLVCPVCKNLMYPPIHQCPNGHTLCSNCKIEVHNICPTCHHDLRNIRCLALEKVAESLDLPCRYQTLGCHAIFPYYSKLKHEQNCGFRPYNCPYAGSECSVFGDIPTLVSHLKDDHNVDMHDGCTFSHRYIKEANPFEVENATWMLTLFNSFGRHFCLHFEAFQLDTAPVYMAFLRFMGNESEAKKFGYSLEVGANGRKLTWQGIPRSIRDHHRKVRDNQDGLIIQRKLALYFSGGDRKELKLRITGRIWKEG >OIV96200 pep chromosome:LupAngTanjil_v1.0:LG16:331701:334165:1 gene:TanjilG_14877 transcript:OIV96200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLPSMLPKISKYFSFTASKDWFYRHSFSMAGLRSVATNLGEGTTMHCWVPKIHYPCKPSLVLIHGFGANAMWQYSEHLRHFITHFNVYVPDLIFFGESFTSRPERTEKFQAQCLMKLMEAHGVHRMRLVGISYGGFVGYSVASQFPDAVDRLVLCCTGVCLEENDMEDGLFRVSSLDEASSILLPQTPDKLRELMKLSHVKPIRGVPSYFLADFIQVMCSNYVEEKRGLIEAILKGRQLSNLPKITQPTLIVWGEQDQIFPLELGYRLKGHIGENAQIVVIKNAGHAVNLEKPKEFTRHLKSFLIDTQSMTPSSQLTLKEQIQKTFAFSK >OIV96120 pep chromosome:LupAngTanjil_v1.0:LG16:795677:798306:1 gene:TanjilG_13052 transcript:OIV96120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEFLQVGENWWSINGTRSVFPLMSSSSTCSVAANDAGNYSTWQTNFLDLKPTRSCVEDTNHNNLVSDDSFGFLDAHKPQQHSESATGSGNGSILMDSTTIQMMGFGLSSSTSLNWNQSIFHSSGSQESNFYSMTQEPGIDSSNNSQIQKEWSSNKSQTTVNAPMNHEFCIDQQGLSSVTSSDQSCGFPIESTSTYGYPSNLTQSLYEPAYPQPQPHNSLFNNPSTMSYSSAPNYGTSSSNELSPTTWSKVPNSFAQKEQLSSGLHFSNNTPFWNASAEALNDIRVGAFASSQAQYQTPTFEDKPISQSTLLNKVKEDDKSSNTISVGKKSGCEPDFKRPRIETPSPLPTFKVRKEKLGDRITALQQLVSPFGKTDTASVLHEAIDYIKFLHDQVSVLSTSYLKNKESPIQNQQGCDNMNDSEGQNQDLRSRGLCLVPISSTFPVTNETTIDFWTPTFGGAFNSS >OIV95741 pep chromosome:LupAngTanjil_v1.0:LG16:5326037:5334474:1 gene:TanjilG_05289 transcript:OIV95741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQNTKETPPSSSKDEKKKATQKVSFFKLFTFADKLDVTLMIIGTVFSMANGLANPIMIMVFGRLINTFGITDPAHMTHEVSKVSLLFVYLAIGIGISSFLQVSCWMVTGERQAARIRSLYLNTILKQDIAFFDTETTTGEVIGRMSGDTILIQDAMGEKVGKFIQLASTFIGGFVIAFIKGWEMAVVLLACIPLVIIVGGTMSMMMSKMSSRGQIAYAKAGNVVEQTVGAIRTVASFTGEKKAIEMYNIKLKDAYNTMVQQELASGIGMGVLLLIVYSTYGLAVWYGSKLIIEKGYNGGTVMNIIISLMTGGMSLGQTSPSLNAFAAGQAAAYKMFNTIKRKPKIDAYDTKGVVLEDIRGDIELRDVHFSYPARPDEKIFAGFSLYVPSGTTAALVGQSGSGKSTVISLLERFYDPDAGEVLIDGLNLKRFQVRWIREQIGLVGQEPVLFAASIRENISYGKEGATDEEINTAITLSNAKKFIDKLPQGLDTMAGEHGAQLSGGQKQRIAIARAILKNPRIILLDEATSALDAESERIVQEALERVMSNRTTVVVAHRLTTITHADTIAVVHKGKIVEQGTHDELTKDPEGAFSQLIRLQEGEKEGEAIQNSEADKPNNSFNSGSHTSRSNSRGSSRSRHSLSFSSILPYQSVVDQSGERANFDIEKSEVHDVSHKKFSIKRLAYLNKPEIPFLVLGSIGAAVQGVVFPVFGLLISSAITMFFEPPKQLRKDSVFWALIYMGLGFVSLVALPTMNYFFGIAGGRLIERIRSLTFEKVVHQEITWFDYPSNSSGAVSARLSTDASTVKTLVGNTLALIVQNTATITAGLVIAFTANWILAFIVLAVSPVILVQGVLQMRFLKGFSDDAKVKYEEASQVANDAVGSIRTVAAFCAEPKVMDMYTSKCIGPEKQGVKLGLISGIGFGFSYFAMYCTNAFCFYIGSVLVQHGKATFPEVFKVQLRQSPLDAYVSFGQVLTRYLVQVFISLTVTAISVSQTSSLASDTNKAKESAASIFDILDRKPEIDSSSTEGTTLETVTGDIELQHVSFKYPTRPDIQILKDLCLKIPAGKTVALVGESGCGKSTIISLLERFYNPDSGLVLLDGVDIRKFRLSWLRQQMGLVGQEPILFNESIRSNIAYGKEDATEEEIVAAAQTANAHKFISYLPNGYDTCVGERGTQLSGGQKQRIAIARAMLKHPKILLLDEATSALDEESQHIVQDALDRVSVNRTTVVVAHRLTTIKGADIIAVLVNGVVAEKGRHDALIEINDGVYASMVSLHKSAS >OIV95425 pep chromosome:LupAngTanjil_v1.0:LG16:14512107:14513096:-1 gene:TanjilG_06887 transcript:OIV95425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTITFFRLSFLLLFLSHAFSDLCNPQDKKVLLQIKKDLNNPYLLASWDPNTDCCDWYTINCDPKTHRINSLTIFSSTPDTNFSAQIPPSVGLLPYLETLEFHKLPKLTGPLPPAITKLTHLKFLRISWTNLSGPVPAFLSELTNLTFLDLSFNNLTGSIPGSLGNLENLDAIHLDRNHLTGSIPPSFGSFKSNPDIYLSHNNLSGTIPTSFKNLNSTVIDLSRNKLVGDASPVFGSALQRVDLSRNQFSFDLSKVEFAPSLTSLDLNHNKVYGNIPQVLTTLDLQFLNVSYNRLCGQIPVGGKLQNFDVYEYFHNKCLCGSPLPSCKN >OIV95204 pep chromosome:LupAngTanjil_v1.0:LG16:18942074:18943384:1 gene:TanjilG_21594 transcript:OIV95204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHMEENKGGKVGVGEDNISEGMQCINHPHRNNNNPGGICAFCLQEKLGKLVSSSSSLSIHPSSSPSNSFRSNNAYSSFSATTYSINHSASIISSKATSTLAPTSFSSVEKSGTNHHHEHYMRSRLPFLLPRKNKNKKQYTNSSTSSDSAAATSDIIVKRSKSTATPRRRDKFLDDDGEEGNGDIGIEDFNSSQRKRNWFWSFLYLSSNPSSSSSKKFDAKSLRENINGSPRISAVNAASCTSREKCSLGASSLRRKSDMVVEEQEEEVDSVTSAASFERKVSRSRSVGCGSRSFSGDFFEKISTGFGDCTLRRVESQREGKTKIGAVVNRHNHYMKEKVMCGGLFGGFMINSSSNSSSSSTYWVSSSNDDGINSNYNNGESTNGRGSKSWGWAFSSPMRAFGTKTSSSKDNKKNASDKNVTPNLSAIPSLLTVSS >OIV95109 pep chromosome:LupAngTanjil_v1.0:LG16:17815388:17818910:-1 gene:TanjilG_21499 transcript:OIV95109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIITEQDPKPQQQHQKQQQKTKPKSSTTTKTTTNDPFSFWFYFTLSISLITIFFTFFTSSLSSHDTKSWFLSLPTTLRHHYSNGRTVKVQLHPNQPPIQVFTFQQSPSTKASENVVVLHGYGLSSFSYRKVLNFMASKGLQVVAIDLPGNGFSEKSMEVVSVEPLNGFFDTFWYVYGEIKEKGVFWAFDQIVETGQIPYEEILARMSKRKIVKPVDLGPEDMGRVLGQVINTLGLEPVHLVLHDSALGLSANWISDNSEFVRSVTLIDTSNSGALPICVLEYPLIREAFLGFNFVYAKVLNSCCSKGVGVNDADADAQRVLLKGMDGRKAVVAIGKRLNSSFDVEEWGGSDGLKGMPMQLLWSKGWSKEWSDEGNRVARALPQASFVTHSGGRWAQEDAADEIAEKISNFIFSLPKSVRKVEQEAIPDHIQKLLDEAKNNDHDHHHGHGHDHHDDANIHEPDYMNAYGLGQSGHHHGW >OIV96002 pep chromosome:LupAngTanjil_v1.0:LG16:2262639:2266347:-1 gene:TanjilG_27106 transcript:OIV96002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKNRNNNRNDPFFTHDSRKKRKPNKDQPLEDDAEIESDFEDEQDDAFFTRDGEDNSDNESLEKEDEETEAEARKRIANDYLKMVRDISQKEKDQMDDDDDESDDDGARDSLVAQKLIKEQQEESGRVRRSIASRVQVAETSGGGFRVLVKHRNSVTAVALSEDDSRGFSASKDGTIMHWDVNSGKCEKYKWPSDSVLKSHGVKEPQGKATKQSKHVLALAVTSDGRYLATGGLDRHVHLWDTRTREHIQAFPGHRGPVSCLTFREGTSELFSGSYDRTVKIWNAEDRTYMNTLFGHQSEVVGIDCLRKERVLTVGRDRSMQLFKVHEESRLVFRAPGSSLESCCFVNNEELLSGSDDGSIELWTLLRKKPVFILRNAHALLTNGIKSDQNHTEGIPNGDLENGHHHPENHSCSSVFSWVSAVNVCRNSDLAASGAGNGSVRLWAVESETKDIKPLHDVPLVGFVNSLAFAKSAQFLVAGVGQEPRLGRWGRTPEARNGVAILPLKLS >OIV95498 pep chromosome:LupAngTanjil_v1.0:LG16:13755053:13774167:-1 gene:TanjilG_23229 transcript:OIV95498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIIRAVHLKKWNALTLTIRRIFTSSGLYGFPHLKSPQGFQAFADEAILRSGELVGYIKTMPSAVEIVQAMDEISDTVCSVVDSAELCRHTHPNREFVEEANKASMKMNEYLHYLNTNHDLYDAVKKAEQECHMLSEEGQRGLRNLRVDFERGGIHLCREKLDRVNTQNIEISQLCREYNENIVMDAGYVDIYPSSRIPKNLHYFVKPVYRSESITKDLSGPNGTLKEKGFRIKTDPHTLASVLQFSSDDEIRKMVYIRGNSVPHANVNVLKRLISARHKLAQIMGCKSYAEFAVKPNMASSPKVVMPFLLEMSNMVRAKAKEELELIKKFKRERCGQSDGDLRPWDEAYYMTMMKSSTYKLDSSVVASYFSLSNCLEGLKVLVQSLFGATFHNMPLATGESWDPQVLKLSLHHPEEGDLGYLYLDLYSRKGKYPGCAHFAIKGGRRISQSEYQLPVLKTTLVAQYPLRYSNPSLWSISPPVQQPSDSYTSTSPFSPQFSLPLLSTKLVEKNFLTWKQVTYHIIRPNHQILFAWLLNMISDSIQPMLLFAFMCGSRGVISDVVSVNIAAANPYGPSSPASVQSQSQFIRMSCNFPSLQPLKSTHLIYPLRHSCYCGGCGGFGRGCGSGRGLFLDCGYCQRQGHNLFQCYYAPSNSYGTPPSYSAQFQSYHTPVGYGVSSQSVYYAPTSGPTFGLKPHVLLMCTLCHITLTKAQLLLSNIDTLCVTFYLPDPHTFLCSEWFYSSTCYDSQGPYAMTTTIDKNAPTNVVALVCNFSGSRNPSSDYQHFSGTRVVLDFAEIPSNLFEYYAWDYRVLRTFARHHSTGEEIPQKLVEAMRGARDMFAATDLQRQIYYALVDQTLFGEQTFPLEDVSSVVAELKRKHTYWEHVDGTHWETRFSHLLNYGAGYYSYLYAKCFAATIWKMCEEDPLSLMTGHALRTKFLQHGGAREPSDLLNDLAGDGIYRHCDGGIIPDISSLCDEMKLLK >OIV96091 pep chromosome:LupAngTanjil_v1.0:LG16:3587271:3587831:-1 gene:TanjilG_27195 transcript:OIV96091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGVDGGTRRRRSLKERLGFIGMGCCGATWVFRSTTLTATNGREQQPQQEEEEHNQHEFLELGSGQDPNLSQPECLGPIASGSSSGMNLAAALAAERELRGPNEVERVSLMRLLEETEEVVVVEEKGVTTAMGNDSVCCVCMGRKKGAAFIPCGHTFCRVCSRELWLNRGSCPLCNRSILEILDIF >OIV95070 pep chromosome:LupAngTanjil_v1.0:LG16:20132730:20133744:-1 gene:TanjilG_10890 transcript:OIV95070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAPTSINIVQGEKRVKQLFCCFYKLNPYFFLLSTKNGNESDSDANSNESPEHYQPISAVEDNYDNSDGEHHVEFHQLPNAKNGISYLDLNDSVKQKISDEEEEGEEDEERMRETSIRRAFSEEENQRSAPLTVENATRVMEAMCGVSFGGVAPDWVAEVPEDCWIDQLHRLRQTPNT >OIV95193 pep chromosome:LupAngTanjil_v1.0:LG16:18680738:18682132:-1 gene:TanjilG_21583 transcript:OIV95193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANQKTLFSLHMKDVVIVKPSKPTPSVTLSLSTFDNNPGLNILCHTIYVYKANLDYPNGQHQLDPAHVIKEALSKALVYYYPLAGKLITHDDGKLRINCNGDGVPFLEASANCELSSLHYLEGSHVPTSQKLFFDNPSQDETSEHALVFKVTKFLCGGFTFGLGLSHAVCDGFGAAQFFRALAELASGKCEPSVKPVWEREKLVGTILKEPLKLPMDETLKAVSPFWPTTEISHECFNLNGQIIQRLKMRLMKEGDKSVKDSFTTLETLGAYVWRSKARASELSSEGQTLFSVAVGVRSLLDQPLPEGYYGNAFVAANVVLTVKELNERPLSYVAKLITESKKLAFKNEYIRHSINMLETMRKYNIRIESTGASLILTDWRQLGLLEEVDFGWKASVNIVPVPWNMFGYVDLCIFLPPSNLDPSMKGGVRVFVTLPKASMPKFREEMEALKVMKDWELMREAPS >OIV95628 pep chromosome:LupAngTanjil_v1.0:LG16:8142291:8149631:1 gene:TanjilG_23859 transcript:OIV95628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPILSLVLPSKTGRVLSIQSHTVQGYVGNKSAVFPLQLLGYDVDPINSVQFSNHTGYPTFKGQVLNGEQLWELIEGLEGNNLLYYTHLLTGYIGSVSFLNTVLEVISKLRSVNPELTYVCDPVMGDEGKLYVPQELISVYREKVVPVASMLTPNQFEAELLTEFRIQSEEDGREACRLLHSAGPSKVVITSINIDGNLLLIGSNQKEKGQEPKQFKIAIPKIPAYFTGTGDLMTALLLGWSNKYPDNLEKAAELAVSSLQALLHRTINDYKSAGHDPQSTSLEIRLIQSQDDIRSPQVKIKAEIYD >OIV96185 pep chromosome:LupAngTanjil_v1.0:LG16:429075:431803:1 gene:TanjilG_14862 transcript:OIV96185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSGVIRHNKNILRSGSFRALSSSSNVMTVRDALNSAIDEEMSADPKVLLIGEEVGEYQGAYKISKGLLDKYGPQRVLDTPITEAGFAGIGVGAAYYGLKPVVEFMTFNFSMQAIDHIINSAAKSNYMSAGQISVPIVFRGPNGAAAGVGAQHSQCYAAWYASCPGLKVLTPYSAEDARGLLKAAIRDPDPVVFLENELLYGESFPVSAEVLDSSFTLPIGKAKIEKEGKDVTITAFSKMVGYALKAAEILAKEGINAEVINLRSIRPLDRSTINASVRKTNRLVTVEEGFPQHGIGAEICASVVEESFGYLDAPVERIAGADVPTPYAANLERLAFPQVEDIVRAAKRACHRSGKAAATA >OIV95395 pep chromosome:LupAngTanjil_v1.0:LG16:15616226:15622808:-1 gene:TanjilG_06264 transcript:OIV95395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQNSDSVVSTETREDFGKLQHGCEHYKRRCKIRAPCCNQIFPCRHCHNEAMSSLSNPNDRHELVRRDVKLVICSVCDIEQEAAKVCSNCGVNMGEYYCEICKFYDDDTDKKQFHCDQCGICRVGGRHNFFHCEKCGSCYSVGLQDNHLCVENSMKNSCPVCYEYLFDSIKGTTVLRCGHTMHVECFEEMATQNQYRCPICSKSIFDMSQNWGHLDQEIETVPMPEEYNFEVSILCNDCNTTSTVSFHIFGHKCRNCGSYNTRRQ >OIV95776 pep chromosome:LupAngTanjil_v1.0:LG16:4680771:4685658:-1 gene:TanjilG_20226 transcript:OIV95776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSHSRFLNHLPPRSSTNRLNLLPSSSSFHFHSSPFNLHLSIIQRESCRCCVRRNVLLTRAFDEDSFDMPMLDDWNADESSLEHAFSSSDGEDSDDEVLLTPMNDVDLPSVSVSNNEAITLAAHRFANLGRDRKKHRQAYWCAWRIVRLPLSPFYLTRPFLISAVLVSFAGYVCVPIFRRFKVVHVIKQQGPFRRRSSKRTPTLGGLFFIPVGITVAQVIAGSSSIEVSGAAGVTIAFAAVGLLNDILSLTKNHRRGLPAVAEVLLEGAVGTWFSFWLDINSISSPYGMKMLVPLPLGLVYLGRYYKLLTSFCFVSVGHGMKFADALDGLAGGTAALAFTGMSIAVLPICSELGIFGASMAGSCVGFLLHNRYKASVFMGNTGSLALGGALAAMASSTGMFFPLFISSGIFIVEASSVVIQMVYLKINKGFQGGGWRLFRMRPFHHHLQLHGFREPNIVLGAYLISSLLALLGGYIGLVSA >OIV95764 pep chromosome:LupAngTanjil_v1.0:LG16:5012836:5014053:-1 gene:TanjilG_05312 transcript:OIV95764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRVRFSSQQAPVHKLGDPQMTLSPKFRLAVTQSSLANPSPESECSLRGEPLIPGLPDDVALNCLLRLPVQSHSSCRAVCKKWHLLLGNKEKFFTIRKQMGLKDPWLFVLAYHKCTGKIQWQVLDLTHFSWHTIPAMPCKDKVCPHGFRCVSIPREGTLYVCGGMVSDVDCPLDLVLKYEMQKNRWTVMNRMITARSFFASGVINGMIYVAGGNSTNLYELEAAEVLDPRNGCWRSISSMGTNMASYDAAVLNGKLLVTEGWLWPFYVSPRGQVYDPRTDNWENMNVGLREGWTGSSVVVYGHLFVVSELERMKLKVYDTETDTWEAIEGGPLPEQICKPFAVNACDCHIYVVGRNLHVAVGHISRLNQKESCEGKWSFGVRWQVIDAPKSLSDLTPSSSQVLFA >OIV95322 pep chromosome:LupAngTanjil_v1.0:LG16:16737619:16739995:1 gene:TanjilG_07478 transcript:OIV95322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYRWTNGRHETGGHDRKQSKKDQAYQDSLIDDFSEDFRLPINHRPTENVDLENVEQASLDTQLSSSNIGFKLLQKMGWKGKGLGKDEQGITEPIKSGIRDPRLGVGKQEEDDFFTAEENVQRKKLEIELEETEEHVKKREVLAEREQKIQTEVKEIRKVFYCDLCNKQYKLAMEFEAHLSSYDHNHRKRFKQMKEMHGSSSRDDRQKREQQRQEREMAKFAQIADAQKQQQLQLQQESGSAPVSSESKTATALTDQEQRNTLKFGFSSKSSVSKISFGAKKHNVAKKEKVPISSVFGNDSDEE >OIV95533 pep chromosome:LupAngTanjil_v1.0:LG16:10912139:10914334:1 gene:TanjilG_10921 transcript:OIV95533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNITTINDASNDENGLVSMISVSGGGGHSVVEEVALKKGPWTTTEDAILIDYVTNHGEGNWNAVQRNTWLARCGKSCRLRWANHLRPNLKKGSFSHDEEKLIVLFHSQFGNKWARMAALLPGRTDNEIKNYWNTRVKRHHRQGLPLYLDEHDQPTTPTASSPCVTPTGSNTNTVTDFDFLHQNHHHHHTVFKLSPVLDHPILETELHHHSPISPKAQPSHHPPFSSPPSYSPHHFMDPTPSNSNPQLSSLSFTFQKPTPVLDSALRFKRYRSYPNFSLYHLPSITPYSTSAPLDQIADLDAFRFPQQNNSSFSPQYFQTPLLDYERMVLPSNFVFSSKLEHPSDQLSQPLLQPEVKHHDIATFETNSGLLGDFIFDAQALASGQNSKKRNYFSLNEGNDVFEGYQNFEDCPLTSTYWFSTSGVNPKEEASDLSKSMNEDISKFLTVMPSTMQGTEGNNNSEAEVSNVQSSTVITDDNFGLDMKPIASLFPLSNATNHNENQGYYYYWDNLPGLC >OIV96175 pep chromosome:LupAngTanjil_v1.0:LG16:1221266:1221814:-1 gene:TanjilG_13107 transcript:OIV96175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGGMNVKDMKEVHFRGVRKRPWGRYAAEIRDPTKKTRLWLGTFDTPEEAAAAYDAAARNFRGPKAKTNFPLSSVSDNLNNPTYTNIVVSNSTPLDLNLAPPTVRYPFHYHLAANKGLFFDAVGPSSGRVFGHYNYNNQVAAGAHSNSHSSTMIDLNHYDRDLNSVRVFDIDLNKPPPQEYA >OIV95759 pep chromosome:LupAngTanjil_v1.0:LG16:5071971:5074991:1 gene:TanjilG_05307 transcript:OIV95759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFLLNLAAPLSFAHQILDQEDNLVQLFKETSPSVVFIKDLELSKVPKASSNEVIQLNEDEDAKVEGTGSGFIWDKYGHIVTNYHVVAKLATDTNGLQRCKVSLVDAKGNSFYREGKIIGFDPAYDLAVLKVDVDGYEIKPALIGQSNNLHVGQSCFAIGNPFGYENTLTTGVVSGLGREIPSPNGGAIKGAIQTDAPINAGKLYPELRSIY >OIV95587 pep chromosome:LupAngTanjil_v1.0:LG16:9167747:9179708:1 gene:TanjilG_23818 transcript:OIV95587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGNAENNSTKVYAKEDPTDIEVPDTAHKINSDSWVQVAFVLTSGVTGAFVLGYSGTIMVPLGWVGGVVGLVLANAISFHANALVAKLHEHGGVKHIRYRDLAGYLYGKKAYSLTWIMQYINLFMINTGYIILAGSSLKAFYILFRDDDQMKLPHFIAIAGVACGMFAICVPHLSALGIWLGVSVVLTIIYSVIAVVLSIKDGLRSPARDYSIPGEGASKIFTTIAATASLVFAFNTSMIPEIQATIREPVVKNMMKALCFQFTIGAIPFFVVVFVGYWAYGSSTGTYLLNNVNGPVWVKGLANIGAFFQGVITLHIFASPIYEYVDTVYGIKGSSLKTKNLSFRILVRGGYLIFNTFVAALLPFLGDFISLTGAASILPLTFILANHMYLVAKENKLTSIEKLWHWFNIVFFSIVSLAATIAAIWLIVDHSRTYHVFADM >OIV95951 pep chromosome:LupAngTanjil_v1.0:LG16:1838658:1855575:1 gene:TanjilG_27055 transcript:OIV95951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFSGDPSTRKRVDLGGRSSKETDRKNLLEKTRLERNRRMWLRQQNSAALIIQKCFRGRKVVRTEQSKLREKFHKYYGKNLLKLDSFSLDRNQLKDQLLSTPEESTTSTIPLLEVLVLLLDAKLPWGCKVVGYLCQNNAFGLLRQIILTEKDNAGNYIDIGKGSSLERVLTIVMCHIGQKPCICSHIDPRYSFSSQILTIPFLWHVFPNLRQVFVTQGLGEHYFRQMATFVPNLISFLPKDVSDEFPSYACLLGNILETAGIVLCHPSCSFYMTVDLAAVTAFLLEAHPSLKASNSRENSMIAEDDMAGDDEVMEVALDRKLEQQICGAIDTRFLLQLTNILSRHISSTNGDNGPDDREVVAVGAVCGFLYVTFNKLPLERIMTVLAYRTELVPMLWKFMKRCHENKTWSSLSERLSHLSGDAPDCLLPLAVFCPVYKHVLMILDNEEYYEQEKPLSLKDIRSLIILLRQVLWQLLWVNHTSSANSVKSVPVSISSKRQSVEAIQKRVSIVVSELLSQLQDWNNRRQFTSPTDFHADGVNDLFTSQAVIENSRANEILKQASFLIPFTSRVKIFTSQLAAVRQRHGSQSAFTRNRFKIRRDHILEDAYNQMSQLPESDLRGLIRVNFVNEFGVEEAGIDGGGIFKDFMENITRAAFDVQYGLFKETSNHLLYPNPGSGMIHEQHLQFFHFLGTLLAKAMFEGILVDIPFATFFLSKLKQKHNYLNDLPWLDPELYRHLIFLKHYKGDISELELYFVIVNNEYGEHIEEELLPGGKNLRVTNDNVITFIHLVANYRLNFQMRQQSSHFLRGFQQLIQKDWIDMFNEHELQLLISGSLDSLDVDDLRLHTNYAGGYHGEHYVIEMLWEVLKSFSLENMKKFLKFVTGCTRGPLLGFKYLEPLFCIQRTGGNASDEALDRLPTAATCMNLLKLPPYRSKEQMESKLLYAINADAGFDLS >OIV95959 pep chromosome:LupAngTanjil_v1.0:LG16:1912295:1913853:1 gene:TanjilG_27063 transcript:OIV95959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSFGKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELREAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNISSKG >OIV95618 pep chromosome:LupAngTanjil_v1.0:LG16:8540662:8545125:-1 gene:TanjilG_23849 transcript:OIV95618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACVREIGVSEILQGTCRQSLFLGKKFQRQRDGSRLHWGTLCYRNRVLGSTRKALSLRCHAQENPRAVVSGGASSSVDDKSGLVKKPASEVIHLYRVPFIQESAADELLRGAQTKISNQIVDLQTEQCYNIGIASSLSSSQLSVLKWLLGETFEPENLGPESFLEKKRKEGLNTVIVEVGPRLSFTTAWSSNAVAICQACGLTEVTRLERSRRYLLYTTSELQDNQINEFASMVHDRMTECVYVQKLTSFETSVVPEEIYYIPVVERGRKALEEINQEMGLAFDDQDLEYYTKLFREDIRRNPSNVELFDIAQSNSEHSRHWFFTGKIFIDGQPVNKTLMQIVKSTLQANPNNSVIGFKDNSSAIKGFPVKHLRPVQPGSASPLNITARELDILFTAETHNFPCAVAPYPGAETGAGGRIRDTHATGRGSFVQAATAGYCVGNLNAPGFYAPWEDPSFTYPSNLAPPLQILIDASNGASDYGNKFGEPLIQGYCRTFGMRLPSGDRREWLKPIMFSAGIGQIDHHHITKGEPDIGMLVVKIGGPAYRIGMGGGAASSMVSGQNLAELDFNAVQRGDAEMSQKLYRLVRACIEMGDNNPIISIHDQGAGGNCNVVKEIIYPKGAEIDIRKIVVGDHTMSVLEIWGAEYQEQDAILVKPDSHELLQSICEREKVSMAVIGTISGDGRVVLVDGLATQKCLSSGLPPPPPAVDLELEKVLGDMPQKSFKFNRVVYEREPLDIAPGITVMDSLKRVLSLPSVCSKRFLTTKVDRCVTGLVAQQQTVGPLQIPLADVAVTAQTFTDVTGGACAIGEQPIKGLLDPKAMARLAVGEALTNLVWAKVTSLSDVKASGNWMYAAKLDGEGAAMYDAAISLSESMIELGIAIDGGKDSLSMAAHAGEEVVKAPGNLVISVYVTCPDITKTVTPDLKLEDDGILLHIDLSKGKRRLGGSALAQAFDQVGDECPDLDDIPYLKKVFEGVQDLLTDELISAGHDISDGGLLVSALEMAFAGNRGFTLDLASQGNSLFQTLYAEELGLILEVSQKNLAIVLDKLNSVGVSAEIIGHVTVTPSVEVKVDGVTCLKEQTTILRDTWEDTSFQLEKLQRLPSCVDMEREGLKHRYEPKWGLSFTPSFTDEKYLSATLKPKVAVIREEGSNGDREMAAAFHAAGFEPWDVTMSDLLNGKISLQSFRGIVFVGGFSYADVLDSAKGWSACIRFNEPLLKQFNEFYKRPNTFSLGVCNGCQLMALLGWIPGPQVGGVLGAGGDLSQPRFIHNESGRFECRFTSVTIKDSPAIMFKGMEGSTLGVWAAHGEGRAYFPDEGVLERIVHSDLAPVRYCDDGGNPTETYPFNVNGSPLGVAAICSPDGRHLAMMPHPERCFLMWQFPWYPKQWDVEKKGPSPWLRMFQNAREWCS >OIV96183 pep chromosome:LupAngTanjil_v1.0:LG16:439074:446028:-1 gene:TanjilG_14860 transcript:OIV96183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSEGVTEDCLGWAARDPSGVLSPYKFSRRAVGDDDVYVKITHCGVCYADVIWTRNHHHDSKYPLVPGHEIAGIVTKVGSNVHRFNVGDHVGVGTYVNSCRDCEFCNDQVEVNCVKGSVFTFNGVDFDGTITKGGYSSHIVVHERYCFVIPKSYPLASAAPLLCAGITVYSPMIRHKMNQPGKSLGVIGLGGLGHMAVKFGKAFGLNVTILSSSISKKEEALTLLGADKFVLSSDPEQMKASAKSLDFIIDTASGDHPFDPYMSLLKTYGVFVLVGFPSVIKFGPANLNIGMKTLSGSITGGTKDIQEMINFCAEKEIYPNIEVIPIEYANEALERVVNKDVKYRFVIDIENSLKSSKSVDYASTQNYTIGLLLKKLNKLTKLVVNVMFRLSHNSEGVTEDCLGWAARDPSGVLSPYKFSRRAVGDDDVYVKITHCGVCYADVIWTRNHHHDSKYPLVPGHEIAGIVTKVGSNVHRFNVGDHVGVGTYVNSCRDCEFCNDQVEVNCVKGSVFTFNGVDFDGTITKGGYSSHIVVHERYCFVIPKSYPLASAAPLLCAGITVYSPMIRHKMNQPGKSLGVIGLGGLGHMAVKFGKAFGLNVTILSSSISKKEEALTLLGADKFVLSSDPEQMKASAKSLDFIIDTASGDHPFDPYMSLLKTYGVFVLVGFPSVIKFGPANLNIGMKTLSGSITGGTKDIQEMINFCAEKEIYPNIEVIPIEYANEALERVVNKDVKYRFVIDIENSLKA >OIV96043 pep chromosome:LupAngTanjil_v1.0:LG16:2606437:2614300:-1 gene:TanjilG_27147 transcript:OIV96043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFMKLGTRPDTFYTEQATRTVVSDLPVDLVIKIDDITYLLHKSPLLSKCGLLQRLCSDSRDSESVSIELHDLPGGADAFELCAKFCYGISINISAHNIVSALCSAKFLQMNDSTEKGNFVGKLEAFFSSCILEGWKDSIVTLQTTDKLPEWPENLGITRKCIDSIVEKILTAPSQVRWSYTYTRPGYTQKKHHSVPKDWWTEDVCDLNIDLFRCIIMAIRSTYVLPPQLIGEALHVYAYKMLPGVTKLKSSGTSASSQTEESKKDRKILETIVSMIPADRGSVSVGFLLRLLSISGHVSVSPLTKTELIKRASIQFDEATMKDLLYDQNVYDIELVLAVSESFLKLWKRMFSGAVDNRNFLRSIRNVGKLIDSYLQVVARDDNLPVSKFVALAETVPTIGRLEHDDLYQAINFYLKVHPDLSKADKKRMCQILDCQRLSPEVRAHAVKNDLLPLRTVVQLLYFEQEKSSKVTTTSHKLQKPHELVKKTPATRDSLGKQTLELEKEELDREEVLTRKTSLNESREKGTHKTKRSDGKLALELERKMVIRGETEEKRTEKGSGVKEEGGLKGKDDSATKSAKGRRVQFSKEGPFESMMSDSPNAGGKGDFSKGGKGDKVANGKKTSASKEAQASDPKIGQELPENVKCLMDCEAADILQRIQDQMVNLSRDPSIKIPVSFDKGLQYAKSNSKYAKPESVGQNLQHLAGYGVSDSEICVIGNACPDTADEAYALIPSLKGKRSLTGEIVEDALTELAKLRQPI >OIV95462 pep chromosome:LupAngTanjil_v1.0:LG16:15350211:15354071:1 gene:TanjilG_06924 transcript:OIV95462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCCGGAEEESSGPPASQYTAPARGGSTYGGGGGNDRAEPRSNVVKSGGPQKVLPIEIPAMQLDELNRLTGNFGNKAFIGEGSYGKVFFAKLSTGTDAAIKKLDTSSPEPEDDFANQLSIVSRLKHDHFVELIGYCLEANNRILVYQYASMGSLHDVLHGRKGVQGAEPGPVLSWNQRAKIAFGAAKGLEFLHEKAQPPIVHRDVRSSNVLLFNEYEAKIADFSLTNQSSDTAARLHSTRVLGTFGYHAPEYAMTGQITQKSDVYSFGVVLLELLTGRKPVDHTMPKGQQSLVTWATPRLSEDKVKQCVDPKLNNDYPPKAIAKLAAVAALCVQYEADFRPNMTIVVKALQPLLNAKPPGPESNV >OIV95375 pep chromosome:LupAngTanjil_v1.0:LG16:16170660:16171250:1 gene:TanjilG_14529 transcript:OIV95375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIIARFMLLALLFSFLANTSQMVFAKGSDEVQEACKVTRYQNLCIHSLSQFSNTSGRSPSKWARASVSVTIEEVKNVQTYLQKLNRNMSMRGRNRIALSDCIESFADAIDELHKSLGVLRNLSKSIFSTQMGNLNTWISAALTSEDTCIDGFEGQSEIQIKLLRNRVRNVSCITSNALALVNKLATTGIGSITDP >OIV95368 pep chromosome:LupAngTanjil_v1.0:LG16:16111708:16115018:1 gene:TanjilG_14522 transcript:OIV95368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVMYHSSTFLLPSERDTLNNTSPSPFSLSYALIILNQRLPSFAPLLWDHAQLRVCADGGANRLFDEMPLLFPHQDISYVRSRYKPNLIKGDMDSIRKEVLDFYVEQGTKIIDESHDQDSTDLHKCVRHICEVMPKNDKSPLCILVAGALGGRFDHEMGNINVLCRFSNTRIILLSEDCLIHLLPKNHCHKILIQSSSEGPHCGLIPIGTPSGSSTTTGLKWNLKNTEMRFGGLVSTSNIVEEDIVTVQSDSDLLWTISIKKL >OIV96148 pep chromosome:LupAngTanjil_v1.0:LG16:1000263:1002789:1 gene:TanjilG_13080 transcript:OIV96148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFVMGDDGAEIIKLFLANQENFHKDADKAYWKTIAQLIPREVPNIEKKRGKRDQEKKPSITVVQGPKPGKPTDLARMRQILLKLKHTPPPNMIHPPPAPAKETASKTTGSAAEGAPASPSKDATSHGASDELQKEASATESQPAA >OIV96125 pep chromosome:LupAngTanjil_v1.0:LG16:825457:828436:1 gene:TanjilG_13057 transcript:OIV96125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDLEKQERVVVAVEEDGGGDGEEERLLDFNMLCSSVAMQTAHGTWGKKKLGVEDEEEEEQGEGGGVLRLWEGELFDCFDDRTIAFQSACCPCYRFGKNMKRAGFGSCYVQTVAYFLLAMGAFLNFIAFTITRRHHYLYLAIAFIITVGAYLGFFRTRMRRKFNIKGRDSSLDDCAHHFVCPCCSLCQESRTLEMNNVQGGTWHGRGDTICIGGVSDGSKALFELSPPHVVAINYSDESCMEKKSIDVSNQS >OIV95911 pep chromosome:LupAngTanjil_v1.0:LG16:1479881:1480950:-1 gene:TanjilG_27015 transcript:OIV95911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVFSFENEQSSTVAPAKLYKALTKDSDEIIPKVIEPIQSVEIVEGNGGPGTIKKITAIHDGHTSFVLHKVDAIDEANLSYDYSIIGGAGLDESLEKITFESKILPGPHGGSIGKINVKFHTKGDVLSETVRDESKFKGIGLFKAVEGYVLAHPDY >OIV96024 pep chromosome:LupAngTanjil_v1.0:LG16:2463658:2467178:-1 gene:TanjilG_27128 transcript:OIV96024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNASRIIKKKVPQASRRWRILEDCPSIKNILLLDSDGKRVAVKYFSDEWPTNVAKESFEKLVFTKTQKTNARAEAEIAMFENNIVVYKFIQDLHFFVTGGDDENELILVTALQAFFDSVGILLRGNVEKKEALENLDLILMCIDEIIDGGIILETDPSVISGKVASNSIDSGASLSEQTLSQAFATAREHFTRSLLR >OIV95307 pep chromosome:LupAngTanjil_v1.0:LG16:16915117:16917149:-1 gene:TanjilG_07463 transcript:OIV95307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRGKSFNQMMFLSLLVMSCFALLGQCHEPHNVEREDDSVGPICDQTHHSQTCVPPLTSLVPSCNPLDVKCGVDGVLKLLCSTAIYKDKCEEPLSELIGKEPKITTPKDILKNYLKFAAEAVSKASDKSRDLKLESEQEEGAFEDCKQLLHDAKEDLHTSVHEIGKIDFNIFSTMTPDFNSWLSAVISFQQTCIDGFPENSKLKKILSNHFRDSREFVSNALAIVSRVNTIGIEGGLFPHIPKSPFGPLDKDPIGHLDKDPIAPLDKDKFPKWLHNEDRRILKAADDKPTPNVTVAQDDSGDFKTISEALEKIPEKYEGRYVVFVKEGVYNETVIITKKMQNLTLYGDGSQKSIITVVLGEGFIGKAMGFRNTAGPEGHQAVAARVQADRAVFANCRFEGYQDTLYTQAHRQFYRSCVISGTIDFIFGDAAVVFQNCIMNVRKPLDNQQNMVTAQGRMDKQQTTGIVLQKCVIQADETLVPVKDQIRSYLGRPWKEYSRTIVMESEIGDFIQPEGWTPWAGDFALKTLYYAEFNNTGPGASTDARVKWPGYKVINKDKAKKFTVGEFLKGDWIHGTGVPSIQGMYF >OIV95245 pep chromosome:LupAngTanjil_v1.0:LG16:19741377:19744870:1 gene:TanjilG_21635 transcript:OIV95245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDKETPTSVINDSLTGHIISTTIGGKNGEPKQTISYMAERVVGTGSFGIVFQAKCLETGEAVAIKKVLQDRRYKSRELQLMRVMDHPNVVSLKHCFFSTTSTDELFLNLVMEYVPESMYRVLKHYSNANQRMPIIYVKLYMYQIFRGLAYIHTVPGVCHRDLKPQNILVDPLTHQVKLCDFGSAKMLVKGEANISYICSRFYRAPELIFGATEYTTSIDIWSAGCVLAELLLGQPLFPGENAVDQLVHIIKVLGTPTREEVRCMNPNYNDFRFPQIKAHPWHKIFHKKMPPEAIDLASRLLQYSPSLRCSALEACAHPFFDELREPNARLPNGRPLPPLFNFKQELSGGSPELVNKLIPDHVKRQLGLQFAHQAGS >OIV95681 pep chromosome:LupAngTanjil_v1.0:LG16:6795095:6797202:-1 gene:TanjilG_01475 transcript:OIV95681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGGLRVFLSQGNVIKSAVLQRIRVVNPSLLQPASFSRHESTAAPASPAAGIEEHGFESTTIADIMKGKGKGADGSWLWCTTNDTVYNAVQSMTQHNVGALVVVKPDEEKSIAGIITERDYLRKIIVQGRSSKSTKVGDIMTEENKLITVTPNTKVLRAMQLMTDNRIRHIPVIDEKGMIGMVSIGDVVRAVVSEHRQELDRLNAFIQGGY >OIV95537 pep chromosome:LupAngTanjil_v1.0:LG16:11162627:11180067:1 gene:TanjilG_10925 transcript:OIV95537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKVDKGRCIFSLTSLQIGDLQSYFADLSLFLANDSKIMYILVDNRPWLRDLGSRGAHIWQLMVTKSRLSPFACTKARRERKDRKAVCSQSSTSKAKKFKRWFSLTKAVMLSRKRVLLPVKNLRNSLQFSSELHRTLYGFIVFEVAWTSVRGINYFNELQTDTSLAIEAKLMKRWEFDSIAQAASCMSSWFSGTLSEQLLLKEHLDSASGEIFYDAGEDFPGTVSIDDENDDTTYTDILTGVGVYSDDTEETKDMLHTPPPAGPNKRRKLMNSLSAGVEIDSYLEAETHDSWNCSQSSSGVSDNTVETTQYSDVLLLFRFNHHDLPFKLREVIVSDLRLLTLLEAGLPSWVLFLQSYPVLCNLYRPWMCPLARLLYVLISFVTVLIGFYDLYKNVPVLKATASRICGPLFDWIETWEMLSRVKYLGTMLFLHNFQKAVRWFLAFTHTTRSFFSVLVQPLAESLVEIFGFLLPSLNFLFELVESIFSVIWFGIETSCNLVGDVLELLFLPLWFVLNVVWSIATCILYPLFWILWEILYAPVRVVLALSSSMTFICSYICNILGNTWQFVSSIFQLASTSEATVNTYEVSMWRSLWNDLFSQIFRALKSILYGFVAFFTACNRHRLSIYNHLHEFIQRLYRQPERSQEADLTDSRKSCLTSNLEEEKKKV >OIV95862 pep chromosome:LupAngTanjil_v1.0:LG16:4089067:4090927:-1 gene:TanjilG_06838 transcript:OIV95862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSNYFGELNMGNERGNGSSNSSRKGKKNNQDKPKQPQRGLGVAQLEKIRIHGQIASGYHPPQHGSYPSTFNNMRLSEYERTNTRYHDSQPTRTRWEHAILKTHSSAQPITGSLLNLYDSQQHIETKKHRSDSVGSSSQNYESSDPQEPDLELRLSL >OIV95749 pep chromosome:LupAngTanjil_v1.0:LG16:5178777:5184747:-1 gene:TanjilG_05297 transcript:OIV95749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDGVCTFHLERMGRELKCPICWSLLNSSVSLTCNHVFCNSCILKSMKLASDCPVCKVPYTRREVRPAPQMDNMVSIYKSMENASGISMFVTQNVPVTKLSDKEKQHEDDDCEMKDLGETHKNHAQKKNSFRKEESKKKIMTSTKGVDIAKPSFPAKKRIQVSQDPLSETPLKNYKFGGPLSEMDKLGTEEGLILANEKDNHALSPFFWLRDEEDGEKISQHSNGDQLIDCATPTPPSFSDLKDSDDESPPKKAPSDVVQKKASVNLPDSEIFEWTQRPLSPELFSSPVKMQVEDTGEIDKNQEDFVASAQELEKNCTIADADNIKFKNSKQINGLDDKLPRIVASQIITSDGQNGIKKSTKRGRKAGGKARQSKTGGQRDPIDGMDVYTYISLEDNQEKTLDHKHNSSNMGKTNRRAKGVSFRTRRNQIPQMACTASNTLVPSNGEVAMANNSSHKEEIEKHTPQEVSGKSMRKRSERPKLDYVQDLAEELSPVQNKTNDFAVSLSSILTPAMEDIGKASRQSIRNSSRKSMSCNRELGSTKKLKLSSDGIIKTKNDVEIQPNASLQQIPVVKTLNDTSKETQCPLTNRPLLQKCESHMKKYQCVFCLSSEESEVSGPIVHYYDGKPVTTDYEGGYKVTHSHKNCTEWAPNVYFVDDNAINLEAEISRSKRIKCCLCGLKGAALGCYEKSCRKSFHVPCAKLTSQCRWDMENFVMLCPLHASSKLPCESSGSQERSNKTTTRESKSPAHKHDTIVESWTTRGTSNKLVLCCSALSVQERGVVSEFEKVSKVTVLKKWDSSVTHVIASTDENGACRRTLKVLLGILEGKWILNIDWIKACMKEMGPVDEGRYEINVDIHGIKDGPRLGRLRVLNKQPKLFNGYTFYFMGHFEPSYKGYLQDLVIAAGGNILHRKPVSADQETMFPDMHLYQTLIIYSLELPGKCSPSKKDKILNQRLCDSEAVASSTGSKVASNTWILNSIAACKLQSPAP >OIV96100 pep chromosome:LupAngTanjil_v1.0:LG16:681793:688881:1 gene:TanjilG_13032 transcript:OIV96100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTKKRNSATASDEETTNDVNLTTTTTTTTTDSPKPPKKKKPKKDKDAVSLPSSSDKPMERKKKRKTIDKLKHRKTTEPDSKPSTEAEPVIQSLDLGSTSGGSAVPEFHIGVFKDLAAASEFVREAAAKQMVLELKAVQNAYEKNGKEIGDGEIKLEAEKDDGLEDCAPSVRYALRRLIRGVSSSRECARQGFALGLTVLVGTVHKLRIDSFLKLVVDLLEVTSSMKGQEAKDCLLGRLFAYGALARSERLTQEQSADKNTPYIKEFISVLISLANRKRYLQEPAVSIILDLVEKLPVEALLNHVIEAPGLQEWFEAATEVGNPDALLLALKVREKTSVDHSIFGKLLPNPFSSSQFFSADHLSSLSNCMKESTFCQPRVHSVWPVLINILLPNTLQTEDAASASNSLKKHKKSRKSGSSDEETAKSLQSFCEIIIEGSLLFSSHDRKHLAFDVLLLLLQKLSASLVPIVLSNKVVQCLIDILSTKNTWLYKVAQHFLKQFSDWVGDDDVRRVAVIVALQKHSNAKFDNITRTKTVKDFMSQFKTEAGCMLFIQNLMNLFVDEGNALEEPSDQSQTTDENSEIGSVEDKDSPRINGNSDFLKSWVIESLPSILKYLKLDHEEKSRVQKEIMKFLAVQGLFTASLGTEVTSFELKEKLKWPKSPTSNALCKVCIDQLQLLLANAQKGESSRALANSLELNDLGIYFMKFFGTLCNIPSVSLFRTLEEEDDKALKKIQAMEAKLSKEEKSKGLAQPADANKLHALRYLLIQLLLQVLLRPGEFSEAASELVICCKKAFSAFDLPGSSGEDDSDDDDAPQLMDVLVDTLLSLLPQSSAPMRSSIEQVFKYFCDDITDDGLMRMLRVIKKNLKPARHPDAASSDEDEEDDDFLNIEDEEIDQAETGETGESDGQTDDSESVVELDETGEGHNEASEDSDSGMDDDAMFRMDTYLAQIFKEKKNQAGNETAHSQLVLFKLRILSLLEIFLHENPGIKFNIALSGILIAAHHCKPQVLMVYSNLAQAFVNPHTAEVSEQLGQRIWGILQRQIFKAKDFPKGDGVQLATLESLLEKSLKLASKPFKKQKSASNPSKQSAALNRQKLVSSLAQSSTFWLLKIVDSRNFSESELQRIVLIFQGVFVGYFDSKKSQIKSGFLKEIFRRRPWIGHSLLGFILEKSGSAKSEFRRVEALDLVMDILKSLATVSDDQNAPKKILKSNLDKFSYLMKELVTNMPSKPARRTEVLKFCKRAFEILSKHNLTKSFVKTLAPDTKAALEAQLGQQFFSLKKQET >OIV95406 pep chromosome:LupAngTanjil_v1.0:LG16:15701599:15703886:-1 gene:TanjilG_06275 transcript:OIV95406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSYGRNPIRNGSNPTNQTEWHSSGTNTGLEESMWQLTLSSSESYPERTGAPNCVYYMRTGFCGYGGGCRYNHPRDRAAVAAAVRATGEYPERVGEPPCQYYLKTGACKFGASCKFHHPKHGGGSLSQAPLNIYGYPLRPGEKECSYYLKMGQCKFGITCKFHHPQPAGTSLPASAPQFYQQVQSPTVPLPDQYGGASTSLRVARPPILPGSYVQGAYGPLLLSPGVVPFPGWNPYSASVSPALSPGAQPAVGATSLYGVTQLNSSTSAFARPYTPLPSSSGPSGSNQKEQVFPERPGEPECQYFLRTGDCKFGLACRFHHPRDQVVAQPLLNPIGLPLRPVSMVTHLI >OIV95706 pep chromosome:LupAngTanjil_v1.0:LG16:7263742:7268057:-1 gene:TanjilG_01500 transcript:OIV95706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIWSRLTRRSHLSPFLRTLQNASLESRCLSIVPEGSSYKFINFNTGIQHNFNCKIRLSSFEHEFSTKSFHQCYRSSAFASQHVGRSCSMPSVRQFSSHTSQEQKSRKMLLYLTGLVFAMVGCTYAAVPLYRRFCQATGYGGTVQRRETVEEKIARHDKDKTVTSREIVVQFNADIADGMQWKFVPTQREVRVRPGESALAFYTAENKSSTPITGVSTYNVTPMKILHPLLPT >OIV96241 pep chromosome:LupAngTanjil_v1.0:LG16:72755:73687:1 gene:TanjilG_14918 transcript:OIV96241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPDFSSNVDTSRPFSSVKEAVAIFGERLLVGEIYSPKPFSSDSEYYSPSPVIKREPSWRFSSSLTPITKPKEEDSLVDTVKKLEEELEKTKAEVKLMKERGSETEVALATLNAELHKNMSKLAQAEAMEAGKAAGATKNVRFEIQREIEIEEEADKEGMNMREKKGFIIRKNSQTLAHILSLGENDKLFGGNNKKHRKRMKHKPIIPLVADLFSSRRKASSINHNNPLYASPF >OIV95411 pep chromosome:LupAngTanjil_v1.0:LG16:15761979:15768903:1 gene:TanjilG_06280 transcript:OIV95411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKIHGVNSCSDGKRETMLSDSIGQIMGSESWHSNIDDPEDILALSNECSNDLRKVEPPQALKLLTPSSDDSNKKHVLGVSSSQSRERRKVQLMEQPGQKTASRSPQAIRAQPVSQGRPVSADDIQKAKMRAFFMQSKYGKTGPSKESKGAKINGLNKPQTNQASISACSSKVPIPSKIEGKKSLLLPSKTTNRLEASDSKLKMDLKEPLWEKCKRVQIPWTMPAEMILDNSWKVGAGQNSKEVEVEKNRNHRDKETIYKSTQEIPSNPNEPWDLEMDYDDTLTPEIPIEQLPDGDGDDDGAEIVDPNEVAAHAIQVQGVATTSSNSNNNAVNAEPDLELLAVLLNNPDLVFALTSGQGGSITNEETVKLLDMIKRGGLNLGLSENNANASTNANHGMCSKAPETVEVSLPSPTPSSDSRTSGWSTEASTKNPFSRQSLATDRVIHSSPTVATTNLLSQFPAAATTVRQQPPTTATVSRYPLPQANNIVPHALSSVHVQTPSSLEIGLRTMKNNIITANASSVNIHSAHSPLAMHAYSTSNVKPVPKLSAQEGVYNSFQQYPILTSQTPSSLSATQQQENTTHLMQQQNTHFSEPSYHSNPLHSYPPQIEKPGPVSNVWRVMQQNMPPNYHHSERNQNNYNNTLVGGSMQSDSSWDRNNHATREGYETWSPENSPTRKNPRSVPGRNFNESRMNSNHGRNQRHDWSRQQLGSSGHWDPARQGNKKWHDQKQ >OIV95827 pep chromosome:LupAngTanjil_v1.0:LG16:3778727:3788056:-1 gene:TanjilG_06803 transcript:OIV95827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHPLPIIHKVGVPPKQTLFQEFEHNVKEIFFSDNPLTKFKNQSGSRKFVLGLHSVFPIFDWGRGYNLTTFRYDFIAGITIASLCIPQDIAYARLANLDPQYGLYTSFVTPLVYAFMGSSRDIAIGPVSVVSLLLGTMLTEEISDYKSHEYLRLAFTATFFAGVTQMTLGVLRLGFLIEFISHAAIVGFMAGAAITIALHQLQGLLGIQGFTKKNDVVSVMRSVWSTMHHGWNWHTIVIGVAFLVFLLVTKFIAKKKKKLFWLAAIAPMVSVIVSTVFVYITRVDKKGVSIVRHIEKGVNPISAGEIFFSGKYLGPGIRIGMVAGMVALTEAVAIGRTFAAIKDYSLDGNKEMLAMGTMNIIGSLTSCYVATGSFSRTAANFMAGCKTAVSNIVMSTTVLLTLILITPLFKYTPNAVLSSIIIVVVLGLVNIDVVFLLWKIDKFDFVAFMGAFFGVIFKSADIGILIAVVLSFAKILLQVTRPRTVVLGMIAGTGVYRNIQQYPNATQIPGMLIIRVDSAIYFSNSNYIKERILRCLADEDAERTGSELPRIQYLIVEMSPVSDIDTSGIHAFQQLYKSLQKREVQLVLSNPGPIVMEKLHASKLTDLIGEDKIFLSVAEAVATFGPKAESSTYEEEKEPFVGVNIGTDISNILSPQNLVSFLTHQKITHIRLYDANPDILGALSGSDIHVIIGVPNNQLIGLGSSNTTAATWIRKNVVAYYPKTLITGISVGDEVLTTVPSFSPILLPALQSLYNSLVSSNLHKKIIISTPHAASVILNPFPPSQAFFNQTLSSVITPLLNFLSETGSPLMLNLYPYYVFMQNKGFVPLENSLFKPLSPSKEMVDPNTLLHYTNVLDAMIDAAYFSMKNLNFTDIVVLVTETGWPSKGDSKEPYATKENADTYNSNLIKRVFGRSGTPLHPETTSSVYIYELFNEDLRSPPVSEANWGLFYGNATPTYLLHLSEIGTFLANDTTNQTYCVAMDGADSKTLQAALDWACGPGRANCSEIQPGESCYQPNNVKNHASYAFDSYYQTQGKGPGACDFKGIAMITTSDPSHGSCIFPGSKQLTKQTKQVVNSTQSSNAGEKLRISLNFLEQRLKRNGTVDNLHV >OIV95417 pep chromosome:LupAngTanjil_v1.0:LG16:15933764:15938187:1 gene:TanjilG_06286 transcript:OIV95417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRQNLFSKPAGSPFEDDEVEMPDFKEGEISNILGEIVANSSDEEVISDDESHKYVRFGASISKFDRLPEIFKAVDPGASATLDGNHLEDEGKIEINSDTEPAESKAHAHGANLPSMADLFDKMQDKTSLYFTHDSQRRGKTVQLFQKRSRFQTLNTIVDSEDSPEPVGSGSSSDNEVSEQKMKITSPGKKMQTIADRFQEALGSSSVVDDGTNIPALNSFRSGIFEKLQQVMQKEKETDMDFWKQLQTGADSSKVAGVDGREERQVTVIFNPRVCDNVDLEVGNLIRIHPPWKEVHVGNDDIILCTYFSEIPSTF >OIV95244 pep chromosome:LupAngTanjil_v1.0:LG16:19731829:19736705:1 gene:TanjilG_21634 transcript:OIV95244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPKIEEISHQPMDQLQGLEYCIDSDPSWVESIALGFQHYILALGTAVMIPSFLVPLMGGNDRFLSTMRAVQGAMIVASSIQIILGFSQLWAICSRFFSPLGMVPVIALVGFGLFDRGIKIPYPLEWGAPTFDAGHSFGMMAAVLVSLIEYHDRENVGLLGSTRVGSRRVIQISAGFMIFFSMLASVGLSFLQFTNMNSLRNLFITGIALFLGFSVPEYFREYTSKALHGPTHTRAGWFNDFLNTIFFSSPSVALIVAVFLDNTLEYKDSTKDRGMPWWANFRTFKGDTRNEEFYTLPFNLNHYFPPS >OIV95548 pep chromosome:LupAngTanjil_v1.0:LG16:11658964:11659212:1 gene:TanjilG_10936 transcript:OIV95548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFAIRENILINWPQQILKVMYGISTSSSKLVAYGIFISQIIDYLEIDTSDMMIISTNSREHLIGDNLIHKMGIYKYDNAWKY >OIV95313 pep chromosome:LupAngTanjil_v1.0:LG16:16814338:16818500:1 gene:TanjilG_07469 transcript:OIV95313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGLFPLFLMVLVQFVYAGMNITSKLAMLSGMNPLILVAYRQIFATLSIVPFAYWLEWKTLPKMTKRIMYQIILSSLLGVLGSLLIVLGLYSVLWGKNKEMNNEDTIDLTIIESIKDNEKEEKNDLKL >OIV95890 pep chromosome:LupAngTanjil_v1.0:LG16:1292688:1293179:1 gene:TanjilG_26994 transcript:OIV95890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELPLNENDSQDMVIYQVLNEANAMSNTFNPQKNHHHHHHHHHHHHEALSSSQHGLEPSSNITKKHYRGVRRRPWGKYAAEIRDSARHGARVWLGTFQTAEEAAIAYDRAAFKMRGSKALLNFPPELVAVASSINFSSKLDFKNNQDSSDSTSSTNSIIEDQF >OIV95506 pep chromosome:LupAngTanjil_v1.0:LG16:12911585:12912235:-1 gene:TanjilG_25177 transcript:OIV95506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTITLGTTFVTSWYTRGLASSYLEGCNFLTAAVSTPANSLAHSLLLIWGPETQVDFTRWCQLGGLWTFVALHGAFGLIGFMLRQFELARSVQLRPYNAIAFSGPIAVFVSVFLIYPLGQSGWFFAPSFGVAAIFRFILFFQGFHNWTLNPFHMMGVAGVLGAALLCAIRN >OIV95084 pep chromosome:LupAngTanjil_v1.0:LG16:17574373:17577662:-1 gene:TanjilG_21474 transcript:OIV95084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRGGKVSGKSNFRLRVRSKKGGGSDDSDEDYVISDEGGDVSDCPEDYGLDECASEESFDGFLEEEEGTRRVRKFNRSKAKNSICGLRGNASKISRKRSRITYAEQLELQQQKEREGGGDEVENNEDEDEDFNYNDDEGEEEDEDEDDDFNYDDDEEFTPEEEYCSDMEEETRGRNKKNNGVKMGKKILKKRVSVTSTRDRNMEDEYEDLNYDDSDDDDDEFTLEEEYCSDVEEETRGRKKKNSVKMGKKVLRRGVSTSSTRGRKRRRSRASKKPSRKKRRKNGGLRRKVRSNEVDDFIDNGAATRKKSRKILVRVRRRRVLLEGSNSDSDHVSGSPGYKFTVSEEEREQVREAKELCRSLRRNLRSSSIQMKNAEVRVHEDLQHQWRPPGWKGKEKIEEPQGRKGKEKVEDLKSEMGKQVCGICLSEENKRRVRGVLNCCTHYFCFACIMEWAKVESRCPLCKQRFKTITKPARSTAGLDLRESVIQVPECDQVYQPSEEELMRYIDPYESVICSECHQGGDDGLMLLCDMCDSPAHTYCVGLGREVPEGNWYCDGCRPVAVGSSSSQVQLPVAPRMTIQSPPVRPSPVHVPESIDFNLISSPRTPFSEVFGHLSSSRFSGIIEAASPVSGGGTLSERHGILCQFQQLPSVDRITSTTGSTNGIPVTTSTSNLCSSQIDQSRETAIEHPRTLDVGTSYHTFFEERLRNNVSPSMQNGDPFSMRISISRRPVSQDSTTMFTDKPVNEVLWPVHVEMPGISDFGPVCQFSSRSNMVTDGNLSMAIKEESNFPLVKEQLQSMVKSHLKRFSRDVDVGYSIFKDIARSSTHTILAACGLEHKRSEVCTVPPPCICPHIELMGGGEKSLIQGCCSSCFDSFVGDVVKRVLETRMSLQLRLDL >OIV96032 pep chromosome:LupAngTanjil_v1.0:LG16:2531601:2535860:1 gene:TanjilG_27136 transcript:OIV96032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEQFINFVIRPPRAEYNPDQYLWEKEFTLAGKRYQRQDLEATQECQRPYLTVGCRVDANEAAVLLLPSNITVFTLDFSGSGLSDGDHVSLGWHEKEDLKMVVSHLRSNKQVSRIGLWGRSMGAVTSLLYGAEDPSIACMVLDSAFSNLYVLMMELADLNNIRLPKFTVKMVVHYMRRVIEKKAKFDIMDLNCLQVAPKTFIPVLFGHANDDQFVQPHHTDLISESYAGDKNIIKFDGDHNSSRPQSFYDSVSIFFYNVLRPPRISKARKLEKYYSLGSSRIGSDESLLYEILASLQPATTGAASSSSALPSVLTKAPISKLLPVVAPVTPAESVIKGDTMHGYDEPAYSKNEPNGLTEECYSCASSTRENWDRCSSLALSDEESFPDFQGDDNNSEVFETPLGSMREQSSDRKEDIKNQKKQAKKVKSDRFERLESFSRRLRHCLLKGSAHRRHKSLS >OIV95565 pep chromosome:LupAngTanjil_v1.0:LG16:10100691:10104104:1 gene:TanjilG_04902 transcript:OIV95565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVAVSVVTSSMVESHASPCLCVDALPTTSVKITSGGDVVTFKNFIRRKHLIRRRTMELNSSFIDAGKDWRVFVSRSYKKQCRDKRVAIVNELGGQYDDTFDDVKTLCCIKQASRWEAFSSNPWQGATTSCRKSDGNAASSLW >OIV96134 pep chromosome:LupAngTanjil_v1.0:LG16:891043:896602:1 gene:TanjilG_13066 transcript:OIV96134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAKSWSQLAGSGPVPWKQAPNLFSSVSSSELRPDVSPLVGLSNGIDKIYGNERLLASSLEKKSEDLSGISVNWSTNFGPREMMLMNGVRNEDKSSSCLNMCQQPPSLKEDMSPASFALSVPYATPNERNGQIGVTGSHSQQTTPLPGKQFSDTMHLSMDSSGEAQTLSASDAGRIGRLVLPKKCAEAYFPPISHPEGLPLKILDAKGKEWIFQFRFWPNNNSRMYVLEGVTPCIQSMQLQAGDTVTFSRLEPEGRLVMGFRKASSATPSDQDNETNKTGIEFSAQGEVELADPSSWSKIDKSGYIAKEVLGSKSSIRKRKSNILGSKNKRLRIENEDLIELKITWQEAQGLLRPPPSHVASIVVIEGFEFEEYEDAPVLGKPTIFTTDNMGEKIQWAQCEDCLKWRKLPANALLPSKWMCSNNSWDPERSSCSAAQEMTAEQLENLLPTCNSAVSKKMKAAKRDPDNAEALEGLDTLANLAILGECEALPASSQATTKHPRHRPGCSCIVCIQPPSGKGPKHKQTCICNVCLTVKRRFHTLMLRREKKQSDREAETTRKKQQQQHPQPLPSSETLLDDDSLPFSNTGDSSSPNQNKEGNDGSDDDPIQIISSTSPFKGQIDLNIQPEREEGFSPHSDSGGMKYLHDATDMHLKQQTILNSSTGDASGSQSQQVGDEKLRNGVSHGSSSHNNADKEHAQALPMNV >OIV95967 pep chromosome:LupAngTanjil_v1.0:LG16:1971279:1978705:1 gene:TanjilG_27071 transcript:OIV95967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWPFIGNMWSFLRAFKSTDPDSFISSFVTRYGSSGIYKALMFGKPSVIVTTPEACKKVLTDDDKFKPGWPLSTIELIGKKSFIAMSYEEHKRLRRLTSASINGMEALSLYLTYIEENVKSSLEKWSNMGEIEFLTQIRKLTFKIIMHIFLSTESEPVMEALEREYTTLNYGVRAMRINIPGFAYHKAFKARKNLVTMFQSIVDERRKLRNEYIPIKAKDMMDALIDVEDENRRKLDDEEIIDIMLMYLNAGHESSGHITMWTTYFLQKHPEYLQKAKEEQEEIIRKRPSTQKGLTLKEIRQMDFLYKVIDETMRVITFSLMVFREAKSDVNINGYTIPKGWKALVWFRSVHLNPEIYPNPKEFNPHRWDRDHKAGEFLPFGAGTRLCPGNDLAKMEIAVFLHHFILNYQLEQINPKCPVRYLPHTRPMDNCLGRIKKCSTKSM >OIV95769 pep chromosome:LupAngTanjil_v1.0:LG16:4787059:4802637:1 gene:TanjilG_23191 transcript:OIV95769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLPTKRSSTISSSSSDAAATNPMKKAKSHGPLDDAVFNPSSMAIDNDLKPSSDDATTAVPSRGLIAANLARKKATPPQPPKKLLIKLHKVEEGGEKYEAGVGELLSGAALELRNEGEETLSKGNWNGFGSKPTLPTNFEEDTWAKLKSAICAIFLKQPDSCDLELLYQAVSDLCLYKMGGNLYLRIEKECEAHISAALQSLIGQSPDLVVFLSLVERCWRDLCDQMLMIRGIALYLDRTYVKQTPNVRSLWDMGLQLFRKHLSLSSEVEHKTVTGLLRMIESERLGEAVDRILLNHLLKMFTALGIYAESFEKPFLECTSEFYAAEGMKYMQQSDVPDYLKHVETRLQEEHERCLIYLDATTRKPLIAKAENQLLERHIPAILDKGFPMLMDGNRIEDLQRMYSLFSRVNALESLRQALSSYIRKTGQGIVMDEEKDKDMVSSLLDFKASLDTIWEESFSKNEAFSNTIKEAFEHLINLRQNRPAELIAKTMNWFVVLSFMVEEGGEKYEAGVGELLSGAALELRNEGEETLSKGNWNGFGSKPTLPTNFEEDTWAKLKSAICAIFLKQPDSCDLELLYQAVSDLCLYKMGGNLYLRIEKECEAHISAALQSLIGQSPDLVVFLSLVERCWRDLCDQMLMIRGIALYLDRTYVKQTPNVRSLWDMGLQLFRKHLSLSSEVEHKTVTGLLRMIESERLGEAVDRILLNHLLKMFTALGIYAESFEKPFLECTSEFYAAEGMKYMQQSDVPDYLKHVETRLQEEHERCLIYLDATTRKPLIAKAENQLLERHIPAILDKGFPMLMDGNRIEDLQRMYSLFSRVNALESLRQALSSYIRKTGQGIVMDEEKDKDMVSSLLDFKASLDTIWEESFSKNEAFSNTIKEAFEHLINLRQNRPAELIAKFLDEKLRAGNKGTSEEELEGMLDKVLVLFRFIQGKDVFEAFYKKDLAKRLLLGKSASIDAEKSMISKLKTECGSQFTNKLEGMFKDIELSKEINESFKQSSQARTKLPSGIELSVHVLTTGYWPTYPPMDVRLPHELNVYQDIFKEFYLSKYSGRRLMWQNSLGHCVLKAEFPKGKKELAVSLFQTVVLMLFNDAEKLSFQDIKDSTSIEDKELRRTLQSLACGKVRVLQKFPKSRDVDDDDSFVFNEGFAAPLYRIKVNAIQLKETVEENTSTTERVFQDRQYQVDAAIVRIMKTRKVLSHTLLITELFQQLKFPIKPADLKKRIESLIDREYLERDKSNPQIYNYLA >OIV95508 pep chromosome:LupAngTanjil_v1.0:LG16:13011732:13013019:-1 gene:TanjilG_25179 transcript:OIV95508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAICHGLNSVETRRIGGELYNCCMQVEDDQGYSGFRLTKSLVKYAAQALTMNLQVMSPKILPLPNHRPKMSGVVRMLEGGGLAEKWEASQRAESTRSREMNSLLQSTTPIILMILHYLLKQWNFLGSEFM >OIV96146 pep chromosome:LupAngTanjil_v1.0:LG16:991445:992797:1 gene:TanjilG_13078 transcript:OIV96146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGAIIFIREDLKITEVQQEVLVGILSIISLLGSLAGGKTTDAIGRKWTIGLAAVIFQIGGAIMALAPSFKVLIIGRLLCGVGIGFGVMIAPVYIAEISPAVARGSLTSFPEIFINFGILLGYISNYAFSKLPAHINWRIMLGVGLIPSVVIAVALFFIPESPRWLVLQNRIEEARVVLINITNSEKEAEEKLQEIQIAAGSANADKYESKAVWLEILRPSPPIRRMLITGCGIQCFQQITGIDTTVYYSPQIFKNAGITGNSQAFAATIAVGFTKTLFILIAILFIDKLGRKPLLYASTIGMTVSLFSLSFSLAFLSHAKAGIILAILAVCGNVASFSVGLGPICWVLSSEIFPLRLRAQASALGAVGNRVSSGVISMSFLSVSRTITTAGTFFIFGLISCFAVAFVHYCVPETKGKTLEDIEVLFQNEGELQVSQIEMGDAERLMQKS >OIV95635 pep chromosome:LupAngTanjil_v1.0:LG16:7962881:7965514:-1 gene:TanjilG_23866 transcript:OIV95635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIWDFGFACVANPKRAKKSSERSHNDKKIATLEHNKAWLLAESGGCGRPELTNADPQSVHSSFRFSFCSQVELESLNMSSSNAATVLMVNLDNGVSESLAREMKWRRIESLERSISPVAHSLIRFSYGEILSATHNFSEGRVLGRGALSCVFRGRVGILRTAVAIKRLDKEDKESSKAFCRELMIASSLHSPNVVPLVGFCIDPEEGLFLVYKYVSSGSLECHLHGRKKGVKGSSPLPWSVRYKVALGIAEAMAYLHNGTERCVVHRDIKPSNILLSSKKTPKLCDFGLATWTSAPSVPFLCKTVKGTFGYLAPEYFQHGKVSDKTDVYAFGVVLLELITGRKPIETKRPPGEENLVLWAKPFLQKGKGAVEELLDPQLKHSLRFSNQIDRLIEAAAACVSNEESGRPRINEIIAILKGEEEPVYSKRNKSGYLGNGCVVDCYSQLQQTNNEMKSHLALAMLGVSEFEDDDYLYSR >OIV95539 pep chromosome:LupAngTanjil_v1.0:LG16:11234382:11234621:-1 gene:TanjilG_10927 transcript:OIV95539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVPQFGGWEQKPPGVPTDYSMVFNQARANKKNQKTDLDEVKRLNLGKERVPINANNRQSHGHGHHAKEDPPVLVRPLS >OIV95341 pep chromosome:LupAngTanjil_v1.0:LG16:16553582:16557889:1 gene:TanjilG_07497 transcript:OIV95341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTDLLNIMEQRLRVIEHTTTSLNRILNHPEVSPSEYAKANKELRKLSGSLDLISELRAKQKEINGLKSLMAECSEDKDMLNMATEEIGQVVEEERRLQNLLLKSLLPKDDADERDSILEVRAGTGGEEASLFAMDIFKMYEKYAHQKGWKFEVVDIAQSDHKGYKEASATIAGSGVFGKLKFESGIHRVQRVPATEKLGRIHTSAVSVAILPQADVVDVQLKNDDLKIDTYRSGGSGGQHANTTNSAVRVTHIPTGIVVAIQDERSQHSNKAKALKLLCAKLYEMERSRLHSNRSKLRSEQIGSGDRSERIRTYNFPQGRVTDHRVGITYHSIDDVMQGESLDVFIEALLLQEEIDAIATFSASQ >OIV95151 pep chromosome:LupAngTanjil_v1.0:LG16:18214649:18216353:1 gene:TanjilG_21541 transcript:OIV95151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFRGKQMMNKVLKKVGEKGLAPRVKESLEKYIPQSKVIMGRAKRGLFAGRHIQFGNNVSEDGGNKTRRTWKPNVQEKRLFSYALDKHIRIKVTTHALRCIDKAGGIDEYLIKTPYHKMDTELGLFWKAKIEKLYEELGNKEVVFFSPEDEAKFEQGFKDLKLSEKEARKEIRRKVYAGMSKNKVIEVEHKDDQSNIEDEKSHDAPKLVPVSYVIAADKLKVGSVVSN >OIV95800 pep chromosome:LupAngTanjil_v1.0:LG16:4473511:4476856:1 gene:TanjilG_20250 transcript:OIV95800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTTLRTIIHDLKSRSHRVIQDASSIDAAVVSDGLTQSYWAHMPQELLREVLIRIEASEGTWPKRKSVVACAGVCRSWRYITKEIVKRPQFSSRITFPISVKQPGPREHLLHCFIKRNRSTQTYYLYLSLTSSLADDGKFLMAARKCRRPTSTDYMISFDADDMSKGSNTHVGRLRSNFLGTKFTIYDAQPPHAEAKISKSRSSRFANLKQVSPRVPTGNYIVAHISYDLNVLGSRGPRRMHCALDSIPASAIGPGGIDPTQTELSHKNRDTFCSSPFSRSESNSVNNSISGALGEQKDGGLVLKNKAPRWHEQLQCWCLNFDGRVTIASVKNFQLVASPENGPAGPEHDEIILQFGKVGKDMFTMDYRYPISAFQAFAICLSSFDTKIACE >OIV95522 pep chromosome:LupAngTanjil_v1.0:LG16:12445854:12447286:-1 gene:TanjilG_18738 transcript:OIV95522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEFGSSYGWNGGGWQQIPRGPSNINEINGSNSNVIPIGDGGVSNPFMGDVANDPVQRRRAANRQYSETYRRMKQEQVQHLEHLEKSLNVSLSDNTPQLGYHQGMESH >OIV95916 pep chromosome:LupAngTanjil_v1.0:LG16:1517257:1520915:-1 gene:TanjilG_27020 transcript:OIV95916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRPNARTEGRRNRYKVAVDADEGRRRREDNMVEIRKNKREESLQKKRREGLQAQLQFQTPIQASTTVEKKLESLPALVAGVWSEDNNQQLEATTQFRKLLSIERCPPIEEVIQSGVVPRFVQFLVREDFPQLQFEAAWALTNIASGTSDNTKVVIDHGAVPIFVKLLSSPSDDVREQAVWALGNVAGDSPRCRDLVLGHGALIPLLAQLNEHAKLSMLRNATWTLSNFCRGKPQPPFEQVRPALPALERLVHSNDEEVLTDACWALSYLSDGTNDKIQAVIEAGVCTRLVELLLHPSPTVLIPALRTVGNIVTGDDMQTQAIINHGALPCLLNLLTHNHKKSIKKEGCWTISNITAGNKEQIQAVIEAGLIAPLVNLLLNAEFDIKKEAAWAISNATSGGTHEQIKYLVSQGCIKPLCDLLVCPDPRIVTVCLEGLENILKVGESEKSLGNTGDVNLYAQMIDDAEGLEKIENLQSHDNNEIYEKAVKILETYWLEDEDETLPPGDNAQGGFNFGSSDLPVPSGGFNFS >OIV95904 pep chromosome:LupAngTanjil_v1.0:LG16:1426115:1427721:1 gene:TanjilG_27008 transcript:OIV95904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGGAIISDFIGVKRGRNLVTHQDLWAELDPLSDLLGFDATNPTSKDQQPPPFYFDHKPPQIPQTKESDKEKKKNLKVVAINNEYSHEEAPKGMAKGKVRKNVYRGIRQRSWGKWAAEIRDPYKGVRVWLGTFNTAEEAAAAYDKAAIRIRGDKAKLNFPSPPPAKKRCINHDTVGEGFDLKQQISDLEWFLELENEQPMQQFNSVDNNNSNNMDLWMLEDIVMSNPNFVY >OIV96099 pep chromosome:LupAngTanjil_v1.0:LG16:676399:679902:1 gene:TanjilG_13031 transcript:OIV96099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAAVLRSLRRRDFASASVSAFRSLTGTTKPAYVANKWSSLSRPFSSRPAGNDVIGIDLGTTNSCVSVMEGKNPKVIENSEGARTTPSVVAFNQKGELLVGTPAKRQAVTNPTNTVFGTKRLIGRRFDDPQTQKEMKMVPYKIVKAPNGDAWVEANGQTYSASQIGAFVLTKMKETAESYLGKTVSKAVITVPAYFNDAQRQATKDAGRIAGLDVQRIINEPTAAALSYGMNNKEGLIAVFDLGGGTFDVSILEISNGVFEVKATNGDTFLGGEDFDNALLDFLVNEFKRTESIDLSKDKLALQRLREAAEKAKIELSSTSQTEINLPFITADASGAKHLNITLTRSKFEALVNHLIDRTKAPCKNCLKDANISIKDVDEVLLVGGMTRVPKVQEVVLDIFGKSPSKGVNPDEAVAMGAALQGGILRGDVKELLLLDVTPLSLGIETLGGIFTRLINRNTTIPTKKSQVFSTAADNQTQVGIKVLQGEREMAADNKILGEFDLVGIPPAPRGLPQIEVTFDIDANGIVTVSAKDKATSKEQQITIRSSGGLSDDEIEKMVKEAELHAQKDQERKTLIDTRNSADTTIYSIEKSLGEYRDKIPSEVAKEIEDAVSDLRKAISEDNVDEIKAKLDAANKAVSKIGEHLSGGGSTGGGSSAGGPQGGDQAPEAEYEEVKK >OIV95723 pep chromosome:LupAngTanjil_v1.0:LG16:7704125:7704768:1 gene:TanjilG_01517 transcript:OIV95723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMASSLIAPTSTSFFQSKKDIGLSASSSRHRCHKPASRRICCSIAAPHQAQRQPSTTGSVKTATTMTEKIFARASEKSYLSPGDNVWVNVDVLMTHDVCGPGSIGIFKREFGENAKVIGLPFLNVLSGILI >OIV96252 pep chromosome:LupAngTanjil_v1.0:LG16:31250:32713:1 gene:TanjilG_14929 transcript:OIV96252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRNRDDNNDKHAGLLRLAQALSFLVVFVAGVVIGLTTTSHINRTSYTISQSKQQQQQQQQEQVVVVNNNNKEDEDECVFDFESFLHPTTLNHTMSDNELLWRASLKPHDDNNNNNNNNPFTTIPKIAFMFLTRGPLPMLPLWERFFQGHSHLFNIYIHSPPAFSLNLSTSSPFHNRNIPSQDVSWGTVTLADAERRLLANALLDFSNERFVLLSESCIPVYNFPTVYRYLTDSAHSFVESYDDPSRYGRGRYSRSMLPDIQLKHWRKGSQWFELNRALAVYIVSDTHYYSLFRKYCKPACYPDEHYIPTFLNMFHGSHNSNRTVTWVDWSMGGPHPATYGRVNITMSFIQAIRNNGTLCRYNSDMTSICFLFARKFDHTALEPLLNLSSEVMNF >OIV95984 pep chromosome:LupAngTanjil_v1.0:LG16:2077753:2079646:-1 gene:TanjilG_27088 transcript:OIV95984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQIIVHVLVPIFLLVLVAGAEGTPPGIANNPSHATCQIKKYKHCYNLVHVCPKFCPNGCEVECASCKPICVGGANPKSPSHSTPSPHTPTPTPSIPIYKPPSSPTPSTPKNPPPSPSTPSPSPPIPTLPPPTPRTPSPPPPTTTPPTPENPTPSPPTPSPSPPTPSTPENPPSSPAPSTPENPTPSPSTPSTPENPPPSSPTPSSPPPTTPSTPENPPPTSSTPPSPHTPENPTPSPSTPSQTPPSPSTPPTQPSTSPPSTSTPKKVRCKNKKYSKCYNIEHVCPNTCPGGCEVDCDTCKAVCSCDKPGTVCQDPRFIGGDGITFYFHGKKDSNFCLVSDPNLHINAHFIGRRNHNMKRDFTWVQSIAILFDNHQIFIGAHKTSMWEDSIDQLALSFDGEPINLLESEGATWNSPSFPVVHIARVSNTNNVIIEVEEKLRITAKVVPITEEESRVHNYGITKDDCFAHLDLGFKFLSLTNQVSGVLGQTYKPSYVSRVNIGANMPIMGGEKEFQTTSLFSTDCSVARFVGKNEESDEVAMEMPSMNCGSGIGGQGVVCKR >OIV95874 pep chromosome:LupAngTanjil_v1.0:LG16:4253578:4256381:1 gene:TanjilG_06850 transcript:OIV95874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHKLCVAGEGSIHVQVCELKRLSETSSTCNTMFEPRGVSGIEKRDSGDDNHVSPSMTTEDRAPEKKLTIFALRLAVLEKTATGLGTLGFIWATVVLLGGFAITLDNSDFWFITIILLIEGTRIFSRSHELEWQHQATWSITDAGINSFRMLRSSSNSLLESIKSLFRPISAVKKNMRKTVDDTLVAPQFRDATSTSTRTPTRTWISTDVPLVPYAKWFFISRNISKIFYWLQLLSATACVVLSLTKLIKHNYGEIAKGDTDKRNRKSALSIFYALALAEALLFLLEKIYWECKISYCKLLEEVNKECELGPFGIVSTRRFFYDAYSKCVNESIFDGLKMDMDSFAMDLLASNSTDEQVIGARILRQFAVSQRFSDDTLQKIGISIFMIERLVEMLNWTDYKEEELRLSAAEILSKLAGKKQNSLRIAGIPGSMESISSLLQTNRNSIPAADEIGEKKVMFDHPNYSFWTFNHLGLLILKKLAHDHDNCGKIGNTRGLLPKIIDFTHAEERLLKNENVTPSQILTLKRSLQLVKMLVSTTGTTGKQLRREISEIVFTISNIRDILRHGEKHPLLQKLCIEILTSLALENDATERIGGTGGVLKELFNIYFKQNIPIDQRDLTTVAGEALAMLALESNMNCHRILRLKMLERLVAALKVPLLRVNAARILRNLCIYSGPECLNQLEEVKAAAPTVLQAIMSEENKLQEVMVGLAANVFTFMTSYESSKVFEEAGITEVELANKLIQILKKHQYPSTKVPRIRRFTIEFSIWMMKNKSENIHTFKDLGMEEVLEGVLDTTSELESFNVFSGTVGLNKHILSIHTLVENALKLLEDL >OIV95223 pep chromosome:LupAngTanjil_v1.0:LG16:19312717:19313864:-1 gene:TanjilG_21613 transcript:OIV95223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYWTTKVLPKIKKVFEKDGTKKAVAAAEISKSFDDSKEEHNKSFEEKKTELQSKVVEIYEASSTEIKRLVKERDEAGLKKHSKAVNKFLQELVKIDFPGSKPVSEASSKFGPVLVSGPVYFVFEKVSTFIVTEEKVDVPAATETKTEEETSTTKEREIVIEEEKKEDEKAKVLVETTEKTESSEPPQAEPAKVEEKPDDAAKVEEKLAEVVASVEKA >OIV95524 pep chromosome:LupAngTanjil_v1.0:LG16:11997728:12005795:1 gene:TanjilG_11170 transcript:OIV95524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSGCNGSGGSPLINPQHQITSSSSSSAFHTKPCKKSYNNNKRKTSDKELEPPSKKSTNNDVDNEKNGPSKLSILLHNVETSDDDAAAATSQRFAVRQAHKFRFLTQDCKDAEGNLPHDENYDSRTLYLPLHFLKSLSGGQKQWWEFKSKHMDKVVFFKVGKFYELFEMDAHVGVKELDLQYMKGEQPHCGFPEKNFLMNVEKLARKGYRVLVVEQTETPEQMELRRKVKGSKDKVVRREICAVVTKGTLTDGELLSANPEAPFLMALTECHKDHPNANSKRIYGICLVDVTTSRVILGQFKDDLECSALSCILSEIRPVEIIKPAKLLSAETERVLLKHTRNPLVNELVPIVEFWDADITVDQLKKFYRHTNDVRTEEDSLDCLPDVLQALVEAGDESRSALSALGGALYYLKQAFLDETLLRFAQFELLPSSGFCGLDPKPSYMILDAAALDNLEVFENNQDGGSSGTLYGQLNQCVTASGKRLLKTWLARPLCHVRSIKKRQHAVAGLKGVNLPYALEFQKALSKLPDMERLLARIFSASEASGRNANRVILYEDAAKKQLQEFTSALCGCEMMVHACSSLGMILNHVKSSQLRHLLTAGEGLPDVRMDLNHFKEAFDWVEANNSGRIIPHEGVDLVYDSVCEAVKEIQSSLFKHLKEQKKLLGDTSITYVTVGKEAYLLEVPENSCGNIPRDYDLRSSRKGFFRYWTPDIKKFLRELSQAEAEKECLLKSTLQRLMRRFCEHHTKWRQLVSVTAELDVLISLAIAGDCYEGPTCRPRFLGTLSSKDAPYVYAKSLGHPVLRSDSLGKGSFVPNDITLGGQDHASFILLTGPNMGGKSTLLRQVCLAVILAQVGADVPAESMKLSPVDRIFVQMGAKDNIMAGQSTFLTELLETATMLQSSATHNSLVALDELGRGTSTSDGQAIAEAVLEYLVRKVQCLGLFSTHYHRLAIVYHQDPKVSLCHMACQVGDRNAGVDVVTFLYRLTPGACPKSYGINVARLAGLPISVLKKAAAKSREFEAMYGKCRMVSSEANSSEQNLFDEMTAIVRQLSDVVKDLNCPDTIFCSTLIELQGKAREFLQRL >OIV96052 pep chromosome:LupAngTanjil_v1.0:LG16:2694957:2695334:-1 gene:TanjilG_27156 transcript:OIV96052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSKAYVALFLCLNLTLLSFAMVSSNYIPSYPVPLTGPYQKGTCPIDTLKLGVCAKVLNLVDVKLGSPPTLPCCNLIKDLADVEVAACLCTALKANVLGINLNIPISLSAIINNCGKNNSGFKC >OIV95793 pep chromosome:LupAngTanjil_v1.0:LG16:4521123:4528798:1 gene:TanjilG_20243 transcript:OIV95793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPTKTQFSSDDEPLSNASSSSEEEQQQQEMNEQDDEDELEAVARSAGSGDDDNPPDSDEDSPPAQDADEDEEGGDDVDPEISKREKARLREMEKMKKQKIQEILDAQNASIDADMNNRGKGRLKYLLQQTELFAHFAKGDQSSSQKKTRGRGRHASKVTEEEEDEEYLKEEDDVANNTRLLTQPSCIQGKMRDYQLAGLNWLIRLYENGINGILADEMGLGKTLQTISLLGYLHEFRGIKGPHMVVAPKSTLGNWMNEIRRFCPVLRAIKFLGNPDERRHIKEELLVAGKFDVCVTSFEMAIKEKSALRRFSWRYIIIDEAHRIKNENSLLSKTMRIYSTNYRLLITGTPLQNNLHELWSLLNFLLPEIFSSAETFDEWFQISGENDQQEVVQQLHKVLRPFLLRRLKSDVEKGLPPKKETILKVGMSQMQKQYYKALLQKDLEVVNAGGERKRLLNIAMQLRKCCNHPYLFQGAEPGPPYTTGDHLITNAGKMVLLDKLLPKLKERDSRVLIFSQMTRLLDILEDYLMFQGYQYCRIDGNTGGDERDASIEAFNKPGSEKFVFLLSTRAGGLGINLATADVVILYDSDWNPQVDLQAQDRAHRIGQKKEVQVFRFCTEYTIEEKVIERAYKKLALDALVIQQGRLAEQKTVNKDELLQMVRFGAEMVFSSKDSTITDEDIDRIIAKGEEATAELDAKMKKFTEDAIKFKMDDTAELYDFDDEKDENKVDFKKIVSDNWIEPSKRERKRNYSESEYFKQTMRQGGPTKPKEPRIPRMPQLHDFQFFNTHRLSELYEKEVRYLVQAHQKNQVKDSIDVDEPEELGDPLTAEELEEKESLLEQGFSSWSRKDFNTFIRACEKYGRNDIKSIASEMEGKTEEEVERYAMVFKERYKELNDYDRIIKNIERGEARISRKDEIMRAIGKKLDRYKNPWLELKVQYGQNKGKLYNEECDRFMICMVHKLGYGNWDELKAAFRTSPLFRFDWFVKSRTTQELARRCDTLIRLIEKENQEYDERERQARKEKKLAKNNTPSKRASARQTEIPSSKKRRQLTIDDYSTVCILAMGRGENNRAYSLIRGARHAPPFSSQLGV >OIV95285 pep chromosome:LupAngTanjil_v1.0:LG16:17235999:17238213:1 gene:TanjilG_07441 transcript:OIV95285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAMAKLAKRNGYFLLSSQSIRYSLSLRGFASTSGSDENDVVIIGGGPGGYVAAIKASQLGLKTTCIEKRGTLGGTCLNVGCIPSKALLHSSHMYHEAKHSFANHGVKLSSVEVDLPAMLAQKDKAVSNLTKGIEGLFKKNKVNYVKGYGKFVSPSEVSVDTIDGGNTVVKGKHIIVATGSDVKSLPGVTIDEKKIVSSTGALALSEIPKRFVVIGAGYIGLEMGSVWGRLGSEVTVVEFASEIVPTMDAEIRKQFQRSLEKQGMKFKLKTKVVGVDTSGDGVKLALEPAAGGDQTILEADVVLVSAGRTPFTSGLGLDKIGVETDKVGRILVNERFATNVSGVYAIGDVIPGPMLAHKAEEDGVACVEFIAGKVGHVDYDKVPGVVYTNPEVAYVGKTEEQVKALGVEYRVGKFPFMANSRAKAIDNAEGLVKILAEKETDKILGVHIMASNAGELIHEAAIALQYDASSEDIARVCHAHPTMSEAVKEAAMATYDKPIHI >OIV95928 pep chromosome:LupAngTanjil_v1.0:LG16:1644987:1645286:1 gene:TanjilG_27032 transcript:OIV95928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMNVHALRKLLAAMEAEEAGQKSTNHGTQGSFNNYGSGGQNYSGSRINSGANSGDRYNRQTYDNHGGSSVNNSGTFNGNGNGANIAGNFDASTWNHRY >OIV95169 pep chromosome:LupAngTanjil_v1.0:LG16:18361394:18368869:-1 gene:TanjilG_21559 transcript:OIV95169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGEKMVLLFISTCIMAIVVNGDTDPNHAPKSNKHHTSGGGSTSHSGSGSGTSDGGKKSGIGAGGIAGIVISIIVVGAIVLVAFFLAKRKPKKLSSDVEKLDNQSFAPLPSNGVHEVKLQTSSLIDVNKLQTSASMNLKPPPIDRHKSFDEVELSKKPAVVKKIVTAPPNVKSYSIADLQIATGSFTVDHLVGEGSFGRVYRAQFDNGEVLAVKKIDSSVLPNDLSEDFTEIVSNISNLHHPNVTELVGYCSEYGQHLLVYEFHKNGSLHEFLHLSDEYSKPLIWNTRVKIALGTARALEYLHEICSPSVVHKNIKSANILLDTELNPHLSDCGLASYVPNADQILNHNIGSGYDAPEVALSGQYTLKSDVYSFGVVMLELLSGRNPFDSSRPRLEQSLVRWATPQLHDIDALAKMVDPALEGLYPVKSLSRFADVIALCVQLEPEFRPPMSEVVQALVRLVQRANMSRRTFGSDQGGTPRGSDDPALQEV >OIV95148 pep chromosome:LupAngTanjil_v1.0:LG16:18180657:18185057:1 gene:TanjilG_21538 transcript:OIV95148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMSTTLFLGATTPLSTFISKHNSFSLYHPLHTNFTFISKPIKTIPKRHLISSFHNKPQQQNSFNILSDIITNTLKALQKPLIATLLLTLLLTHDPNNSSMALAASGGRMGGRSFSSSRSSSGSYSVPRTSLSSSSGGFSFSAPYYSPPPFGGGLYVAPAFGIGAGSSFLFIMAGFAAFVLVSGFLSDRSEGSVLTASDKTTVLKIQVGLLGMGRSIQKDLNKIAEDADTSSPEGLHYVLTETTLALLRHPDYCISAYSSVDLKRDIEDGEKRFNQLSIEERGKFDEETLVNVNNIKRQSTRSQRSNGFSNEYIVITILAAAEGRHKLPTINGSGNLKEALQQLGTIPADKLLAVEVLWTPQNENDTLSERELLEDYPLLRPL >OIV96098 pep chromosome:LupAngTanjil_v1.0:LG16:673679:674318:-1 gene:TanjilG_13030 transcript:OIV96098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMKGRELEEEDEDEEEEEDEDEEEEEDEDEEEEEDEDEEEEEDEDEEEEEDEDEEEEEDEDEEEEEDEDEEEEEDEDEEEEEDEDEEEEEDEDEEEEEDEDEEEEEDEDEEDDRMRIG >OIV95067 pep chromosome:LupAngTanjil_v1.0:LG16:20241805:20245395:1 gene:TanjilG_10887 transcript:OIV95067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDYILVVTITHIVRFLFKPLRQPKVVSQIIGGVIVGPSVLKRSTWFKHYITSDGAQFLSRNLGVMGFIFFVFIYGVKMDPALIKKTGKMHLYVALVGISIPTIAVFGVALLLRKTMDKDIATNSSIGIIAAYLGITAFPVLYNVLKEFNLLNSDIGRMALAMAIIGDVLGVFTVVAFEAGKQGETGPENALWYMVSLVVIIGFVLFCVRPIMEWINDNISEGFPVDKSYVVAILLGALVMGFITDFFGLAIANGPLWLGLVIPDGPRLGATIVQKSETIMTDLLIPFSYIMVGSYTDVFAIAGVDWSNLAPLFTMVLTGYFTKFVSTWIAALYWQIPFRDGLTLSLIMSLRGQIDVILFVHMMDKGILKVPGFTLLVLMTTALTATCTPLISILYDPTRPYMVSQRRNIQHNPSNKDLTIVLCIFDTPSINGLIRLLDISNASLYNPLSVYALRIIELVGRSNPLFIDHVNQELPHIYRWTNTINTLKHFQELREFVRFQFFTSVSPKKSMFQDICLLSLEQDASLIILPFNKGGVHNHAIRTVNLQVLDHAPCSVAIFVDKGLLPSTNIGNSHRQYNTKHKFAMLFLGGADAREALVYADRMVANPEVSLTVIRFFSHNYLGDNEEEKKLDDEIVTSFWVKNELNNGVVYKEVVVRNGEETIAGIQAMNDGSYDLWIVGRKQGINPIFITGLSEWSENEELGLIGDFVSSPDFYGSASVLVVQQQMLRA >OIV96050 pep chromosome:LupAngTanjil_v1.0:LG16:2681246:2683837:1 gene:TanjilG_27154 transcript:OIV96050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVEEAHPTVHDGDILKGEEKEEPQNDEKALDNPTPLSKNAQKKLLRQKRYEEKKAEKKALEKEHKKKEAERKRKEWEEKLANVSEEERVKLLESRKNLRKERMDQRNQEKEKKRERLFKTKEFGQNVVVDLQFSHLMTPNEIHSLVQQIMYCYAVNGRCSSPAHLWLTGCDGEMGNQLQRIPGFDKWIIEKEKESYIEALQDRKENLVYLTADSENVVEELDLNKIYIIGGLVDRNRWKGITMKKAEEQGIQTAKLPIGNFIQMSSSQVLTVNQVFEILVKFLETRDWKTSFFAVIPQRKRCQADSEEGIASDTLQEENEQKDDQIARKKTCGKNEAPCDTLET >OIV95345 pep chromosome:LupAngTanjil_v1.0:LG16:16525354:16526535:1 gene:TanjilG_07501 transcript:OIV95345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETFLFTSESVNEGHPDKLCDQISDAVLDACLEQDPDSKVACETCTKTNLVMVFGEITTKANVDYEKIVRNTCRNIGFVSADVGLDADKCKVLVNIEQQSPDIAQGVHGHFTKRPEEVGAGDQGHMFGYATDETPELMPLSHVLATKLGAKLTEVRKNGTCAWLRPDGKTQVTVEYYNENGAMVPIRVHTVLISTQHDETVTNDEIAADLKEHVIKPVIPEKYLDDKTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYLVRQAAKSVVANGLARRAIVQVSYAIGVPEPLSVFVDTYGTGKIPDKEILQIVKENFDFRPGMITINLDLKRGGHRFLKTAAYGHFGRDDPDFTWEVVKPLKWEKPQA >OIV95224 pep chromosome:LupAngTanjil_v1.0:LG16:19318865:19324149:-1 gene:TanjilG_21614 transcript:OIV95224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESYGGFIVDEKAVRVENAFLDFLKSFRSGNRNELHYEVEIDFMRDNESNTMFIDFEHVVTFSDILQKAISDEYLRFEPYLKNACKRFVMELRPTFISDDNPNKDINVAFYNNPIVKRLRGLTTSEIGRLVSVTGVVTRTSEVRPELLQGTFKCLDCGGVIKNVEQQFKYTEPIICGDVTCNNRTRWVLLRQESKFADWQRVRMQETSKEIPAGSLPRSLDVILRHEIVEQARAGDTVIFTGTLVVIPDIMALASPGERSECRREASQRKDSSAGNEGLSGLRALGVRDLSYRLAFIANSVQICDGRREADIKNRKKDVDEEDLQFTEKELDEVEKMRRTPDFFNRLVDSVAPTVFGHQDIKRAILLMLMGGVHKVTHEGINLRGDINVCIVGDPSCAKSQFLKYTAGIVPRSVYTSGKSSSAAGLTATVAKEPETGEFCIEAGALMLADNGICCIDEFDKMDIRDQVAIHEAMEQQTISITKAGIQATLNARTSILAAANPAGGRYDKSKPLKYNVALPPAILSRFDLVYVMIDDPDDQIDYHIAHHIVRVHQKREQALAPTFTTAELKRYIAYAKTLKPKLTSDARKLLVESYVTLRRGDTNPGSRVAYRMTVRQLEALIRLSEAIARSHLDKEIKRHHVRLAVKLLKTSVISVESSEIDLSEFQEDQDNTTGSGGGGDGNDNNGDADGQVGDSTPQQAAGTNENVAEESNPQPKKLVISDEYYQRVTRGLIVCLRQHEETVMQGNGLAGMKQRDLIKWYVNQQNEKNKYSTMEEAAAEVHNIKAIIESLIRREGHLIVVDDGMQEPTEAAGAEQPASVSRNERILAVAPNYVIE >OIV95073 pep chromosome:LupAngTanjil_v1.0:LG16:20114530:20119324:1 gene:TanjilG_10893 transcript:OIV95073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSLTLPSISFNKLHSSPSLNGFSRNFCSSNQRSRVSISVSAGSQASVNDALFSDYKASNAFLFPGQGAQALGMGKEAQNVPAAAILYKKANDILGFDLLDICINGPKEKLDSTVISQPAIYVTSLAAVELLRVHEGGQQIIDSVDVTCGLSLGEYTALAFAGAFSFEDGLKLVKLRGEAMQDASDAAKSSMVSVIGLDSEKVQQLCDAVNQEVPEAEKVQIANYLCPGNYAVSGGTKGVEVLESKAKSFKARMTVRLAVAGAFHTSFMEPAVSRLEAALAATEIRTPKIPVISNVDAQPHADPDTIKKILARQVTSPVQWETTVNTLLTKGMKKGYELGPGKVIAGILKRVDKAAVIENIGA >OIV95815 pep chromosome:LupAngTanjil_v1.0:LG16:4329813:4329989:-1 gene:TanjilG_20265 transcript:OIV95815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQPPQKQNGEKPLAVATPQRLHQSGADEDDENVKQLDECSALYRLMQASEFSLSPKP >OIV95482 pep chromosome:LupAngTanjil_v1.0:LG16:14232094:14238061:-1 gene:TanjilG_23925 transcript:OIV95482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVKNIRQGLLKKHYHENCPGCKVDKAKELKTDVTIRNLFNIWMVVLCSSLPISSLFPYLYFMVQDFHIAKREEDISGYAGYVGSAFMLGRALTSILWGMVADRYGRKPIVMIGIVSVIIFNTLFGLSTSFWMAVIMRFLLGSLNGLLGPMKAYSSEIFREEHQALGLSTFSAAWGIGLIIGPALGGYLAQPVEKYPHLFPKDSFWDKFPYFLPCLAISVFALVVLVASFWLPETLHNHPHSDESNDDTEALETGSNETDNNKIIQKGDNLFLNWPLMSSIIVYCIISLHDIAYQEVFSLWAVSPRRLGGLNFTTDIVGDVLAVTGVGLIIFQLAIYPALEKAFGPIRFARITGVLSIPLLQSYPFIAMFSGVSLYVLINIVSVLKNLLTVTIITGLFLLQNRAVEQYQRGAANGLSMTAMSIFKAIGPAAGGAM >OIV95295 pep chromosome:LupAngTanjil_v1.0:LG16:17045627:17048920:-1 gene:TanjilG_07451 transcript:OIV95295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQKTPQMTTTTATTRSSLKQNQPPPQPPPPPRLRPYSKVPKSPPELVNVNGNGVSMSSSIRAKSVPPELKKISRVKRGLVLNKVKPNEEVVGSQKGSKEVEEGKVVVGVQRVFVLKEKELQEKLEVSENLIKHLQSEVLELKAELDKVKTLNVKLESQNRKLTEDLVAAEAKVEKNEPIGEHKTPKFKDIQKLIADKLEWSKVKKEATTEAFFVKASIPVPAASHVISETSSIGRKSPPKPCLPPPPPPPPPSIPSRPSAKLATSQKAPSVVQLFHSLKNQNEKKESKGYVNHQKPLPSSAHSSIVGEIQNRSAHLLAIRTDIETKGEFINDLIKKVVDARYKDIEDVLKFVDWLDGELSSLADERAVLKHFKWPERKADAMREAAVEYRELKILEHEISSYKDDPDIPCGSALKRMTSLFDKSERNIQRLIKLRNSAVRSYQEYNIPTAWMLDSGMMSKIKQASMTLVKIYMKRVTMELESIRNSDRESSQDSLLLQGVHFAYRAHQFAGGLDSETLCTFEEIRQRVPGHLAGSQELLACIAST >OIV95253 pep chromosome:LupAngTanjil_v1.0:LG16:19817826:19818929:-1 gene:TanjilG_21643 transcript:OIV95253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICGFCNVGKIEQALVLFERMKVEGLEPNEFTWNAMISAYARLRDSSKAFAFIDRMKKEGFVPDLIAWNALISGLVQSHEVMEAFKVFQEMLVSRIQPNQVTIAALLPACGSADSIKWGREIHGFIYRKGFDINVFIASALIDMYSKVGSVKDAQNVFNKIPCKNIASWNAMIDCYGKCGMVDSSMDLFKKMQEEGLQPNEVTFTCILSACSHSGSVQKGLEIFRSMKECHGIEPSMQHYASIVDLFCRFGRMVEAYEFIKAMPIQVTESIAGAFLSGCNVHGRRDLAKIMVEEIMRMQLKGPGGFVTLSNIYAADGKWDEVGNVRRVMKERNVHKKPGFSWLEKPAEILEWKKEKGENNMASGFSM >OIV95608 pep chromosome:LupAngTanjil_v1.0:LG16:8664059:8668563:-1 gene:TanjilG_23839 transcript:OIV95608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEYTMLETVMKDIIHVVTPVQEDWEIRFAIINDLRRIVESVESLRGATVEPYGSFVSNLFTRWGDLDISIELLNGSHITNTGRKQKQVLLGDLLKVLRKNGGWSRLQFIFNARIPILKLQNNRQSISCDISLNNVEAQMKSKILLWINKIDGRFRDMVLVVKEWAKAQKINDSKTGTFNSYSLSLLVIFHFQTCVPAIFPPLKEIYPTNMADDLIGVKVDVENLIAETFDANITRFISNKSRSINRKSVPELFVEFLKKFGQMNSWASDLGISPYTGQWEQIKNNTRWLPKTYALFVEDPFEQPQNTARSVSQGKLAKINNAFVNTYSLLTSKNLNQSSLLTHLAPPEVAMFIAKPVIPNYNGGYYHHPTQPQVQRARRPHPRSHPQPKPQVQPQPQPQVHSQNGGRGISSKCSTSKAPVQAHQGQQVWRQKTQ >OIV95424 pep chromosome:LupAngTanjil_v1.0:LG16:14509126:14509320:-1 gene:TanjilG_06886 transcript:OIV95424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAETPLHGGEPQWLSREPPWFSEDTPTIARRPRSSYFGQLCLTTMVPSSRRDVISLSGMVMSR >OIV95347 pep chromosome:LupAngTanjil_v1.0:LG16:16498852:16499950:1 gene:TanjilG_07503 transcript:OIV95347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERQRLEGKVALITGAASGIGEETVRLFAENGALIVAADVQDELGEQVAASIGSEKVTYHHCDVRDEKQVEETIKFTLEKYGHIDILFSNAGIVGSFSSILQFDFDEFDNIMATNVRGTAATIKYAARAMVAKKINGSIICTTSVAASIGGSDLHAYTTSKHALVGLVRSTCSELGAYGIRVNSISPYAVATPLTCKVLNVEPHEVEAHSSYEANLKGVVLKAKHIAEAALYLASDEAAYISGHILVVDGGFSVVKRSTTSFAPVYT >OIV95290 pep chromosome:LupAngTanjil_v1.0:LG16:17127723:17130612:1 gene:TanjilG_07446 transcript:OIV95290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDLNLWLSKKTSVFGLEVWELIGLIVGMFIIVILVVVSICLSSRKKSKRVKGMLPLGQMLSVTEDIKEIRVDQSSGNNHPQNGAFMSLCDTFSDKESEKVFTQAKNGDNSSRSGSFVHVEKDAGGSQSGEESGEKSLYRSSSHPITAPSPLSGLPEFSQLGWGHWFTLRDLEVATNRFSKENIIGEGGYGVVYRGQLVNGNPVAVKKLLNNLGQAEKEFRVEVEAIGHVRHKNLVRLLGYCIEGTHRLLIYEYVNNGNLDQWLHGAMRQYGFLTWDARIKILLGTAKALAYLHEAIEPKVVHRDIKSSNILIDDDFNAKISDFGLAKLLGAGKSHITTRVMGTFGYVAPEYANSGLLNEKSDVYSFGVLLLEAITGRDPVDYSRPAAEVNLVDWLKMMVGNRHAEEVVDPNIETRPSTSSLKRALLTALRCVDPCSEKRPTMSQVVRMLESEEYPVPREVTEMWDIVRHEFGLLDDCGIQIADLSLDEPELEAIVFNVDDQEGGDGS >OIV95722 pep chromosome:LupAngTanjil_v1.0:LG16:7621939:7624208:1 gene:TanjilG_01516 transcript:OIV95722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRQPCCDKVGLKKGPWSAEEDKKLINFILTNGQFCWRTVPKLAGLLRCGKSCRLRWTNYLRPDLKRGLLSEDEEKMVIDLHAQLGNRWSKIASNLPGRTDNEIKNHWNTHIKKKLKKIGIDPVTHKPLPNAVVQTQTQPHQPCQEQHQKLCLPVNQDPKFEPESDHDKEPETSFESSTLTEAIEDKTITPSFDSIMDEFCIDKVPIIESNKILVPHASSTSSKSSNFIEDLLLPDFELSPNNENNNINSNVNNNRSMALWDDDFINGWDFLVNDDGGDPRIIMDSKSWNYGLF >OIV95689 pep chromosome:LupAngTanjil_v1.0:LG16:6876604:6879039:1 gene:TanjilG_01483 transcript:OIV95689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTSDSSIKVLNKEDEEALIGQVEIWEQMCSFTDSVALKSAVELRIADIINRYDHPIPLSKIVKNIENAPSPDISLLQRIMRVLVRRKIFSAEKSESNGETLFGLTRASKWILLDGTNMTLAPMLLLENHPLHLSPCHYVSDIIREGTKNGTAFFRCHGHEQFEMTGLDPEYNKLFNEGMVCTARIVSQAVISGYKDGFNKIQTLVDVGGGIGGSLSEIVRAYPHIKAINFDLPHVIATAPEYDGITHVGGDMFVSIPNADAVYMKWILHDWSDEHCIKILKNCRKAIPEKTGKVIIVDHILEPEGTELFSNTGFAFDMMLLAHNAGGKERTEENWKWLFKETGFPHYNIIKIKALPSIIEAFPI >OIV95207 pep chromosome:LupAngTanjil_v1.0:LG16:19007617:19008687:-1 gene:TanjilG_21597 transcript:OIV95207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGNKDEALRCFRIAEEAIAIGNKDRALKFIKIAQRLNHNLPLDSLLNQCHRLDSQSSSVTNRATATTSAAKAGAADNEGLNGERNYSDENVQLIREIKGRSDYYAILGVEKSSSVEEIRKAYRKISLKVHPDKNKAPGSEDAFKKVSKAFKCLSDDISRRQYDQTGLVDEFEYSQQCNTNVRHRRRRTTTARDFFEDEFDPDEIFRAFFGQSNVFDRTRVYRTRATTSNHNRQESQGGSGLKIMLLIQLLPFLIVLLLAYLPFLESDYSLHENYPYQILKTTEVYGVQYFVKSQGFDSNYPSGSPARAKIEDNVIKDYSNMLHHYCQTEMQRRTWNRNLPTPHCEKLKSFRVASA >OIV95622 pep chromosome:LupAngTanjil_v1.0:LG16:8406094:8406369:1 gene:TanjilG_23853 transcript:OIV95622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASITSTTPTCSSTTEGTAPPFYFDEKWKLSKKEGSSRSRSSTTPLMKDSTQKKCAFARKCARLVKEQRARFYIMRRCVIMLICWREYSDS >OIV95423 pep chromosome:LupAngTanjil_v1.0:LG16:14483039:14487316:1 gene:TanjilG_06885 transcript:OIV95423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTVNLGMLHYVLDHIYGAFMHRTKISTPFFSRGWGGTKLEMLEKMINQLFPEVCGQNWPPAMIQPVWRTVWETKTASLREGVFRTPCDEQLLNALPPESHNARVAFLMPKSVPPHMMACVVHLAGTGDHAFDRRLRLGGPLVKQNIATMVLESPFYGQRRPVLQRGAKLLCVSDLLLLGRATIEEARSLLHWLDSEAGFGKMGVCGLSMGGVHAAMVGSLHPTPVATLPFLSPHSAVVAFCEGILKHGTAWEALRNDLAAQKVMTLEEVRERMRNVLSLTDVTRFPIPKNPDAVIFVAATDDGYIPKHSVLELQKAWPGSEVRWVTGGHVSSFLLHNGEFRRAIVDGLDRLPWKESPL >OIV95170 pep chromosome:LupAngTanjil_v1.0:LG16:18371917:18375361:1 gene:TanjilG_21560 transcript:OIV95170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSTENDNNHRFHYLIVNPEKGQVVIPKRGTETFISTIGHLDMRIDLHKGQILAQDSDIMDEALKPEMGNRALMDLCMMASKLAYENSQVIKKVVNHHWKMHFVDFYNGWNDYQKEMSTQVFILCDKAKDANFILISFRGTELFDAYDWITDFDYSWYEIPNMGKVHMGFLEALGLGNRVEPSTFYYHLQMKNKSSETPPPKTEMTAYYTLRSKLRSLLEEHKNAKFIVTGHSLGGALAISFPTILVMHEEMDIMEKLLGIYTFGQPRIGDRQLGKFMETHLEQPVPKYFRVVYCNDLVPRLPYDDKTFLYKHFGLCLYYNSFYSEKVKYFLS >OIV96219 pep chromosome:LupAngTanjil_v1.0:LG16:209022:211678:1 gene:TanjilG_14896 transcript:OIV96219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEEPVDQKKYLEESCKPKCVKPLLEYQACVKRIQGDESGHKHCTGQYFDYWSCVDKCVAPRLLPKLK >OIV96054 pep chromosome:LupAngTanjil_v1.0:LG16:2705528:2709125:1 gene:TanjilG_27158 transcript:OIV96054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNVNEREQVFNVDALSEVQQNHEGEEECEIVAPSELLMMMGQVPSASPRVTHSPFMFTPQVPVVPLQRPDEMHVPSPSWMQTSSGYEDMYCELGIPTMITWSYEGKEVAVEGSWDNWKTRIPLQRSGKDFTIMKVLPSGVYQFRFIVDGQWRYAPDLPWAQDEAGNAYNTLDLQDFVPEDIGSISSFEPPKSPESSYSNSQLSSEDYAKDPPLVPPYLQMTLLNVPSTNMEIQPPISKPQHVMLNHLYMQKEKGSPSVVALGTTHRFLAKYVTVVLYKSLQSEMLLIMALWSKIVHVLVQGAYHDQKPLDKVQQIVLTLPFQCTVQCVTLH >OIV96223 pep chromosome:LupAngTanjil_v1.0:LG16:188842:191413:-1 gene:TanjilG_14900 transcript:OIV96223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFRSIVRDVRDSFGSLSRRSFDVRLTGHHRGKSHGSVQDLHHQSLVIQSSRWASLPPELLYDVIRRLEESENTWPSRKHVVACAAVCQSWRNMCKEIVKSPEICGKLTFPVSLKQPGPRDGMIQCFIKRDKSNLTYHLFLCLSPALLVENGKFLLSAKRTRRTTYTEYVISMDAGNISRSSNTYIGKLRSNFLGTKFIIYDTQPPYSSAHICPPGTGRTSHRFYSKKVSPKVPSGNYNIAQVTYELNVLGTRGPRKMHCIMHSIPASTLDAGGTVPGQPELLPCSLEDSFRSISFSKSLDHSIEFSSARFSEIGGSCNEDNDNKMRPLVLKNKPPRWHEQLQCWCLNFRGRVTVASVKNFQLIAATQPAAGAPTPSQPAAPPEHDKIILQFGKVGKDMFTMDYRYPLSAFQAFAICLSSFDTKLACE >OIV95527 pep chromosome:LupAngTanjil_v1.0:LG16:11890607:11892190:-1 gene:TanjilG_11111 transcript:OIV95527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITMNPFPPPKDFTLLFGLVVKLKHYATAISLIKHLYSLGVKPNVFTLTIVINCLCHLNHTHFGLSVLGIMFKIGLNPTLVTLNTIVNGLCVEGNVSQAMTLVDEFDKMGYRPSSHTYGSMINGLCRMGDIPAAIRFLKKMEERKCKPSVVAYSTVIDALCKDRLVSEALNLRSEMVSKGIQPNLVTYTCLIHGLCNSGRWKEASTLLSEMMQKGIFPNVQTFTILVDAFCKEGLIMGAESIISYMIQMGEEPNVVTYNSLISGYCLQNKMNEAMKVFDLMIDKGCLPSIVTYNSLIHGWCKIKDVDKAIYLLGEVVSKGLDLDIVTLNTLIGGFCKARKPLAAKELFFTMHKYGQLPDLQTCAIMLDGLFKCNFHSEAILLFREMEKMNLDLHILIYNIMLDGMCSSGKTKDAWKLFSSLPAKGLKFDVYTYTIMIQGLCKEGLLDDAEDLLINMEEDGCMPDMCTYNVLVQGLLRKYDFSRSTKYLQIMKDKGFSIDATTTELLIYSFSSNERHDHLQEFLQQTV >OIV95075 pep chromosome:LupAngTanjil_v1.0:LG16:19978513:19983563:1 gene:TanjilG_10895 transcript:OIV95075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKVVCVTGASGAIGSWLVRLLLERGYTVHATIQDLKDEEETKHLEAMEGAKSRLRLFQMDLLDSDSIAAAIKGCAGVFHLACPNIIGQVKDPQKQILEPAIKGTVNVLKAAKEAGVERVVATSSISSIVPSPNWPADKIKGEDCWTDLDYCREKGLYYPIAKTLAEKAGWDFAKETGLDVVMINPGTALGPLIPPRINSSMAVLVKVLKGDKETYEDFFMGMAHFKDIAMAHILAFEKKNATGRHLCVEAIRHYADFVAKVAELYPEYNVATLQKDTQAGLLRAKDASKKLIDLGLEFTPIDQIIKDGVESLKSLGYV >OIV96132 pep chromosome:LupAngTanjil_v1.0:LG16:872033:872746:-1 gene:TanjilG_13064 transcript:OIV96132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKRVEASPMKSPLEALPLDILVKVLCGVEHEDLNQLFFVSKTIREGTLIAKKTHFEYSTPKKNTFAFHDPIVIENAEVHEIEAPNAPLRKSNLRLNGKKFDDISVNLFDIMEEEM >OIV95796 pep chromosome:LupAngTanjil_v1.0:LG16:4501548:4502795:1 gene:TanjilG_20246 transcript:OIV95796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLCYSSNFITLHSPKHQNLSINLSSESSQFFKLFRVSTDSQKSYKPIKFSRERLKLYASLTQSQAVEATPSTFRNRNPKDINVLVVGSTGYIGRFVVKELVKRGFNVIAIARERSGIKGRNDKNETLDQLRGANVCFSDVSNLVTLEESLKSLGFSFDVVVSCLASRSGGVKDSWKIDYEATKNSLVAGKKLGASHFVLLSAICVQKPLLEFQRAKLKFEAELMKEAEVDDGFTYSIVRPTAFFKSLGGQVELVKDGKPYVMFGDGKLCACKPMSEPDLASFIVDCVLSEDKVNRILPIGGPGKALTPLEQGEMLFKLVGKEPKFFKVPIEVMDFAIGVLDFLVKIFPSLEDAAEFGKIGRYYAAESMLLLDPETGEYSAEKTPSYGNDTLEEFFARVLREGMSGQELGEQTIF >OIV95784 pep chromosome:LupAngTanjil_v1.0:LG16:4601641:4601904:-1 gene:TanjilG_20234 transcript:OIV95784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKEYRDTTLNGAVEAMYNEMASRHRVRFPCIQIIKTATIAAKLCKRESTKQFHNSKIKFPLVYKKIRPPTRKLKTTYKAKKPNLFM >OIV95312 pep chromosome:LupAngTanjil_v1.0:LG16:16820645:16822891:-1 gene:TanjilG_07468 transcript:OIV95312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPNITTTAVNATAEQPKNGGGSGHAFVTFLAGNGDYVKGVVGLAKGLRKVKSDYPLVVAVLHDVPEEHRKILKSQGCIVREIEPVYPPKNQTQFAMAYYVINYSKLRIWEFVEYRKMIYLDGDIQVFENIDHLFDLPDNYFYAVMDCFCEKSWTHTPQYQIGYCQQCPHKVQWPSNLGPKPPLYFNAGMFVYEPNLITYHELLQKLQVTKPTSFAEQDFLNMFFKEKYKPIPNVYNLVLAMLWRHPENVELEKVKVVHYCAAGSKPWRYTGKEENMEREDIKMVVKKWWDIYEEETLDYEDPLSLECFKVALKDNASTIKFVPAPSAA >OIV95837 pep chromosome:LupAngTanjil_v1.0:LG16:3876885:3877235:1 gene:TanjilG_06813 transcript:OIV95837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCSTIPLPMEWACEDWGSETSEHEVFDDDEVDHGLKNVEKERLLGSLRASSDANGKVKVMISKKELEEFLLQKHDIGAGNASAEQVLVRLINAKYVVNHHRPWTPVLHSIPEVN >OIV95805 pep chromosome:LupAngTanjil_v1.0:LG16:4433827:4436215:1 gene:TanjilG_20255 transcript:OIV95805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQYHIYEAIGRGKYSTVYKGRKKQTIEYFAIKSVDKSQKNKVLQEVRILHSLDHQNVLKFYSWYETSAHLWLVLEYCVGGDLLSILRQDTQLPEDSVHDLAFDLVKALQFLHSNGIIYCDLKPSNILLDENGRTKLCDFGLARKLRDISKTPSSSLPQAKRGTPSYMAPELFEDGGVHSYASDFWALGCVLYECYTGKPPFLGREFTQLVKSILSDPTPPLPGNPSRPFVNLINSLLVKDPAERIQWPELCGHAFWSTKLTMVPLPAQLAFDDMIELHAKPCLPERNGDKSSHNRTPPKYREKDVKGLMKKDESSILGSRGIETPTRATPNGHRTQAKVSGKTAEVKQKGPSKISKVVNLLRLLHN >OIV95476 pep chromosome:LupAngTanjil_v1.0:LG16:14135306:14135982:1 gene:TanjilG_23919 transcript:OIV95476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKEVNERGNNRGIFTTLSANGLHLDKVHITSGLYDQVMDADTWVPAAPLEVGVNSPPLDGLPHCAPSLSSFALAQAPMHIQKELLDALNNMHQKDKFTAIPCASDSSAAVFPSTKPHYMPSSQSLATSSHKENPKVSLCSI >OIV95031 pep chromosome:LupAngTanjil_v1.0:LG16:20700671:20701509:-1 gene:TanjilG_10851 transcript:OIV95031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLSVNSSCSFVISNSYKPWSNSGKLSLNPSPYIPADSQQQQQQHQQQQFHQSYKAPPSPLPSQLASLDTPSRLQILSDRLGLWHQYTPLIPFLLNQGFSPPSIEEQTGISAIQQNRLVVAAQVRDSLIQSNTDPEVVSFFDIGGEQLLYEIRLLSAKQRASAAEFIVQNDLDVNGAQELARAIKDFPSRKDEKGRENFDYTLPGDCLAFMCYRQSREHDINSSQRNAALERALSVAQTHKAKIAVSQELNE >OIV96225 pep chromosome:LupAngTanjil_v1.0:LG16:168794:170828:-1 gene:TanjilG_14902 transcript:OIV96225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFMSFSANPGAFTFGILGNIISFMVFLAPLSTFYRIYKKKSTEDFQCLPYLVALFSSMLWLYYALIKKDVILLVTINSFGFFIEIFYIAMFITYATNKARKLTIKIFLAMNVGCFALILLVTHFAIHGSLRVQVLGWICVSIAVSVFAAPLSVMAQVIRTKSVEYMPFNLSFTLTISAITWFGYGLGLGKLQHHPMASLQQQLSLVLVPSPFQLPNIVGFTLGLLQMVLYAIYRKRGMKKKEIGVAELEKGKEQEPMKAVVVVKPLGDAEVYLVPENDAKVDIDNVNQQTQGGNECKV >OIV95426 pep chromosome:LupAngTanjil_v1.0:LG16:14530322:14538811:-1 gene:TanjilG_06888 transcript:OIV95426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHKKSFLLGQQEQEQETKLLGSSLIMASGSVKSTNPTTTTTQLEPLPQPRHNHQQQHHHHFHQIQDNQISFGMMQSSSSSTIPGNYLSMDSGAYDLGELDQALFLYLDGQADPSSVQDQRQNSSSSGMRPPTLNIFPSQPMHAVPSSSNSKRVTNRKGPTSSSEHEGPKTPDPKTLRRLAQNREAARKSRLRKKAYVQQLESSRIRLNQLEQELQRARAQGMFIGGGAIMGGEQQGLPVSMNTTSSEAAMFDVEYARWQEEHHRLVCELRAAVQEHVPENELRMYVDGCLAHYDQVMNLKSLVAKADVFHLVSGMWKTPAERCFLWIGGFRPSELIKIILSQIEPLSEQQILGICGLQQSTQEAEDALSQGLDALNQSLSDTITSDSLSSPPNMANYMGQMAVAMNKLSTLEGFVRQIILSQIEPLSEQQILGICGLQQSTQEAEDALSQGLDALNQSLSDTITSDSLSSPPNMANYMGQMAVAMNKLSTLEGFVRQVLGYIIYKQNYTPNKENYVQPN >OIV95568 pep chromosome:LupAngTanjil_v1.0:LG16:9800253:9802967:-1 gene:TanjilG_30535 transcript:OIV95568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACLGGTGLSTHSNTLAFNKDFNGRYLFSSHRLQSLNKESKTVSIKTSLDQKKHEGRRGFLKLLNVGIGLPTLLDGKAYADEQGISSSKMSYSRFLVYLDKDRVRKVDLFDNGTIAVVEALSLDNRVQRFRVQLPGLSRELLEKFREKNVDFAAHDAQEESGSLLFNLIGNLAFPLILIGGLFLLSRRSPGGMGGPGGPGFPLAFGQSKAKFQMVPSTGVTFDDVAGVEEAKQDFMEVVEFLKKPERFTAVGARIPKGVLLVGPPGTGKTLLAKAIAGEAGVPFFSISGSEFVEMFVGVGASRVRDLFKKAKENSPCIVFVDEIDAVGRQRGTGMGGGNDEREQTLNQLLTEMDGFEGNTGVIVIAATNRADILDSALLRPGRFDRQVTVDIPDIRGRTEILKVHGSNKKFDADVSLEVIAMRTPGFSGADLANLLNEAAILAGRHGKTAMSSKEIDDSVDRIVAGMEGTVMTDGKSKSLVAYHEVGHAICGTLTPGHDAVQKVTLVPRGQARGLTWFIPSDDPTLISKQQLFARIVGGLGGRAAEEIIFGEPEVTTGAAGDLQQITGLAKQMVTTFGMSDIGPWSLMDASAQSGDVFMRMMARNSMSEKLAEDIDAAIKRLSDEAYEIALSHIRNNREAIDKIVEVLLEKETMSGDEFRTLLSEFAEIPAENRVPPSTPSPLAV >OIV95676 pep chromosome:LupAngTanjil_v1.0:LG16:6702638:6703588:-1 gene:TanjilG_01470 transcript:OIV95676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVRTIFQELFSLSLLLSLTFSSSQLLPDSGNSSSALDATLQNYAFKAFYKPRTGVPYDAKLPKNLTGVKVSALRLRSGSLRTRGVQSYKEFQIPTGVIELPYVERLVLVYQNLGNWSDIFYHLPSYTYLTPVLGLLAYSGANLSGSDLPELDIRASDKPILIKFSNVKSAPYGSVAKCVYFDLHGSVQFDYLLPGNVCSTFQQGHFSIVVESIALSPEPAPSPQPIAALVKEVGGHKKSKVWKIVVSVIGVCIFLIILSLLAARVRRINKSMRIQQLEWAADSNEALDMRSIGGTKAPLAMGTRTRPALENDYIP >OIV95902 pep chromosome:LupAngTanjil_v1.0:LG16:1396304:1397398:1 gene:TanjilG_27006 transcript:OIV95902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESQTKTNAEANRNTTATDSPPPFSTLSPHDIHQMLQQFDHDQLLNLLHSAALRHADVRLAIRDLSDLDITFRRLYVRSLGGETTSETLHRVFSSFGEIEEAIVIVEKSTGKSKGFGFVTFKHMDGAYLALKNPSKRINGRMTISHLASAGVSHWDDDVSSRKIFVANIPFVIPPGKLLNHFLRYGEVEEGPLAFDRACGREKGFAFFIYKTEEGAEAAIREPLKVIDGYKMHCRLSVEYKKLNAQTQMMAPEYGGYGSGYGAGYDGGYGGGFYQGQGYTAFYVPPPMVLVPQFGDTSGGGYGGSSRGGGSSSNVDPRRAAPLPPSSSVGAPATASGSQSQGSSSHHEQQPQPKPARKRLPRGM >OIV96022 pep chromosome:LupAngTanjil_v1.0:LG16:2445154:2452877:-1 gene:TanjilG_27126 transcript:OIV96022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQNQKSLIYAFVSRGTVILADYTEFTGNFNSIAFQCLQKLPPSNNNFTYNCHNHTFNYLVHNGYTYCVVADESIGRQVPIAFLERVKDDFVSKYGGGKAATAPANSLSKEFGPKLKEHMKYCVDHAEDVSKLAKVKAQVSEVKGVMMENIEKVLDRGEKIELLVDKSENLHHQVLDRGEKIELLVDKSENLHHQAQDFRTSGTNIRRKMWLQNMKIKLIVLGILIALILIIILSVCRGFNCGK >OIV95185 pep chromosome:LupAngTanjil_v1.0:LG16:18508132:18508611:1 gene:TanjilG_21575 transcript:OIV95185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNNLRCCLACVLPCGALDLIRIVHLNGYVEEITRPITAGEILQANPNHVLSKPSSQGVVRRILILSPEIELKRGSIYFLIPASSLPESKRFAGNVNIGDSDLNKKSPSKKSKNCDDYCEGYLTVNDNKVSKEKKPSRQDHRRGRVGIWRPHLESILEY >OIV96172 pep chromosome:LupAngTanjil_v1.0:LG16:1187184:1190002:1 gene:TanjilG_13104 transcript:OIV96172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGNWAEGKRTLEYDDYEEEEEEDDVVISEIISNGDEGKNKKRAMTRDFCSSKRGSKAGGSVPPSCQVDSCKTDLSDAKQYHRRHKVCEYHAKAPFVLIADHQQRFCQQCSRFHDLSEFDESKRSCRRRLAGHNERRRKNAVDCYGE >OIV95619 pep chromosome:LupAngTanjil_v1.0:LG16:8532731:8535025:-1 gene:TanjilG_23850 transcript:OIV95619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSSVRLPFVIWQLNFNGTGAGPVPSSSFFGSNLKKVTSRLPNTKVSSGSFKVVAVEEIDPKKQTDNDRWRGLAYDVSDDQQDITRGKGMVDSLFQAPQDAGTHYAVMNSYEYISTGLRQYNLDNTKDGFYIAPAFMDKLVVHVTKNFMTLPNIKVPLILGIWGGKGQGKSFQCELVFSKLGINPIMMSAGELESGNAGEPAKLIRQRYREAADIIRKGKMCALFINDLDAGAGRMGGTTQYTVNNQMVNATLMNIADNPTNVQLPGMYNKEDNPRVPIVVTGNDFSTLYAPLIRDGRMEKFYWAPTRDDRIGVCKGIFRSDNVAEDDVVKIVDTFPGQSIDFFGALRARVYDDEVRKWIGTVGVDGIGKRLVNSKEGPPTFEQPKMTLETLLGYGNMLVQEQDNVKRVQLADKYLNEAALGNANEDAIKSGSFFQS >OIV96184 pep chromosome:LupAngTanjil_v1.0:LG16:435217:436005:-1 gene:TanjilG_14861 transcript:OIV96184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLGSTFKFITHASEVSCGFVLLGGSFPILFNIIGLLLIFVFSFKILHSRWSTHELLQFLYEFGTGTPRFPFDSEGSKVETLGKEGLKSSSGVKNKGYNGLDDGVEEKEKDDCIEDEVLDVMTLRRLVKIERQRCHAACAEIENERVAASSAAEEAMAMILRLQNEKSSIEIHANQFRRMVEQKQEYDQEVIEELRWAIMQHESQKSLLEDQLGIYREKLREYMSDDEIDQLEGIDSSRGFLNFSVECDHIDPSLETDLQTL >OIV95481 pep chromosome:LupAngTanjil_v1.0:LG16:14227030:14229054:1 gene:TanjilG_23924 transcript:OIV95481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRDSSSYCIDPIGVLGFVLKGAVFPWVDVTDFTYAVRVVAQVHAFRSYCCVQQKKVYKETTKRGDDVKLHPYLSLGQKMHSVTQKPVASESKDEYIIMSKREEKNMAIGSIRKRKRLEEPALRDCSLTTRRILSFSSMNEVLASLQITKSGEKDNNAVSFSSSALANCFSSCDGMEMDLYKAFLLQSSMKLKIPNPGQGFSVTLPCENISAACDTPLLKEASCHIFPQSGQVQESEGNAYKSTFTRPCISHFKMLEPEESVQKDKRIRMCFSETTINFTDEVQKVDLRKCQNLTLQQSFAHDSLPNLVLGFHSDNYEPDASTVKTNGMLGRNLSVYRKRLQIRSNDDATPLESRKSARAKLSFADCLVEDKDHYQCVASSSILNSKVRQRSRTHVPICSTSLYMKFPKTFNLPSKGVLIKKFSGFGPVDSSKTRLFSDTSSAQVVFFHETDAVAAHLYAKEKKVPFGEANIRFWLDPIVQKRRGSKHFDFMSPSARKPIGSPLKSCLKKSNSSRQEDRKKHYRVRFTLET >OIV96078 pep chromosome:LupAngTanjil_v1.0:LG16:3390856:3393602:-1 gene:TanjilG_27182 transcript:OIV96078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMANSDSSIVELNVRQGEPTLVKPSIETEKTLYFLSNLDQNIAVPLSTVYCFKSSSRGNEDAAQIIKDALSKILVPYYPMAGKLIISSDGKLIVDCPGEGAVFVEAEANCNIEDIGDLTKPDPATLGKLVYNTPGAKNILEMPLMAVQVTRFKCGGFTLGLNMIHCMKDGICAMEFVNAWSETARGLKPIPPFLDRTILKARDPSKIEFTHHEFDEVEDLSDTKKLYQDEDILYKSFLFGPEKLDLLKKKGTEDGVLEKCSSFEALSAFVWRARTEALRMQPDQETKLLFAVDGRSRFVPPIPKGYFGNAIVLTNSICKAGELLKNPLSYTVDLIHKAIDMVTDSYMRSAIDYIEVTRERPSLTATLLITTWTRLSFHTTDFGWGEPLCSGPVTLPEKEVILFLSHGHQRKSVNVLLGLPASAMETFEALVMQV >OIV95383 pep chromosome:LupAngTanjil_v1.0:LG16:16285507:16289662:1 gene:TanjilG_14537 transcript:OIV95383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFFLSSSSPPSLIFLLFLISTIFLHCYSFNDPAHYHQPSHHHPPHFAAHDYKDAFTKSILFFQGQRSGKLPHNQRISWRSDSGLSDGSAMNVDLVGGYYDAGDNVKFGFPMAFTTTMLSWSVIEFGGIMKGELENAREAIRWGSDYLLKATAHPDTIYVQVGDAKKDHACWERPEDMDTPRNVYKIDKNTPGSEVAAETAAALAAASLVFKKTDPIYSKILARRAISVFQFADKYRGSYSDGLKPIVCPFYCSYSGYEDELLWGAAWLHKATKNQMYLNYIKVNGQTLGSAEFDNTFGWDNKHVGARILLSKAFLVQKVTTLHDYKGHADNFICSVIPGASFSSTQYTPGGLLFKMNDSNMQYVTSTSFLLLTYAKYLTSAHMAVNCGGTIITPKSLRAMAKKQVDYLLGDNPLEMSYMVGYGPKYPQRIHHRGSSLPSISEHPRKIQCSEGFNIMNSQSPNPNILVGAIVGGPDQYDRFPDVRSDYEQSEPATYMNAPLVGALAYLAHSFGQL >OIV95757 pep chromosome:LupAngTanjil_v1.0:LG16:5084327:5093580:1 gene:TanjilG_05305 transcript:OIV95757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFLLNLAAPLSFAHQILDQEDNLVQLFKETSPSVVFIKDLELSKVPKASSNEVIQLNEDEDAKVEGTGSGFIWDKYGHIVTNYHVVAKLATDTNGLQRCKVSLVDAKGNSFYREGKIIGFDPAYDLAVLKVDVDGYEIKPALIGQSNNLHVGQSCFAIGNPFGYENTLTTGVVSGLGREIPSPNGGAIKGAIQTDAPINAGNSGGPLIDSYGHVIGVNTATFTKKGTGLSSGVNFAIPIDTVLRNVPYLIVYGTPYSNRF >OIV95407 pep chromosome:LupAngTanjil_v1.0:LG16:15715284:15720736:1 gene:TanjilG_06276 transcript:OIV95407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSMCSSAHDPEMWIIQGTLAWRTSPVRSGEPYMITKLESAEKTWKELSVKLADPDVVSNPSEYQKLAQSVSELDEVVSTYRSFKECEKNLEETKALAKDDGNDEDMAQMISYEIESLSRQLEELEGKLKLLLLPSDPLDARNIMLEVRAGTGGDEAGIWAGDLVRMYEKYSERSSWKFSPVSCSEAEKGGYKTYVMEIKGKRVYSKLKYESGVHRVQRVPLTETQGRVHTSTATVAIMPEADEVEVEIDPKDIELTTARSGGAGGQNVNKVETAIDLFHKPTGIRIFCTEERTQLQNRHRAFQLLRAKLLEIKVREQQESIRNQRKLQVGTGARAEKIRTYNYKDNRVTDHRLKMNFELTSFLNGDIENAVQSCVTMEQKELLEELAESVGAPAG >OIV95279 pep chromosome:LupAngTanjil_v1.0:LG16:17293362:17298432:1 gene:TanjilG_07435 transcript:OIV95279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPKVEDGFFFGLATAPAHVEDRLNDAWIQFAEEKTDDDSQEQEIKQPVDAVIGSAATDGGSQAATSPQHGAKQVKKGKKPLKVSMDAMLRGLEKFVDVEGQEEEEEKPHYKVTAWHNVPHPEERLKFWSDPDTELKLAKDTGITVFRMGIDWSRIMPEEPINGLKESVNYAALERYKWIINRVRSYGMKVMLTLFHHSLPPWAGEYGGWKLEKTVDYFMDFTRLVVNGVIDLVDYWVTFNEPHVFCMLTYCAGTWPGGHPDMLEVATSTLPTGVFQQAMHWISVAHSKAYDYIHEFSNSSKPIVGVSHHVSFMRPYGLFDLASVSLANSLTLFPFIDGISAKLDFIGINYYGQEVVSGTGLKLVESDEYSESGRGVYPDGLYRMLLQFHERYKHLNVPFIITENGVSDETDVIRRPYLLEHLLAVYAAMTMGVPVLGYLFWTISDNWEWADGYGPKFGLVAVDRANNLARIPRPSYHLFSKIVKTGKVTRNDREGAWDELQRVAKERKTRPFYRAVDKHGFMYAGGLDEPIQRPYIERDWRFGHYEMDGLQDPLSRFLRFITRPLSLKWIRKPQKKNAKLVLQPLELSS >OIV96164 pep chromosome:LupAngTanjil_v1.0:LG16:1103101:1103891:1 gene:TanjilG_13096 transcript:OIV96164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRWVWMRSTRVVVVVVVMTTVGWGLGRSMMVMVENGDVEDELERDDADVENELEREAGSDVENELESHDGDVENELERDDADVENELEREAGIDVENELESHDDVEDELERDDVVVENELEREAGSDVENELESHDDVEDELERDDVVVENELEREAGSDVENELESHDDVEDELERDDAAVENELEREAGSDVEDEPRLVLEKGIVGVNGGCHARGPTHPRRNSLGVGLIPEFLPLL >OIV95052 pep chromosome:LupAngTanjil_v1.0:LG16:20467739:20468323:1 gene:TanjilG_10872 transcript:OIV95052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAKLIVEVTSCASSSTIALAAAAKQTGGRLVCILPEPSLHELKEVIRTSGLKDQVEFRTEDPSMLLPFYENIDFSLVDCKDDAYTRFLNLLDVNPIRSVIVANNLDGDKKGLEGFVKRKGYGDEKVVVRSLKHPIGKGMEVTMISKNGENDKILGFRGHHSRIKNKSKWVAKFDEVSGEEHIFRVPQVDLSWN >OIV96007 pep chromosome:LupAngTanjil_v1.0:LG16:2303722:2312471:-1 gene:TanjilG_27111 transcript:OIV96007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSDGVLNFDFEGGLDAAPPSAATATVPSAAASSGPLIHHDASAAASSMANGSSVGITPHSAADHPSGNVQGRRSFRQTVCRHWLRSLCMKGDACGFLHQYDKARMPVCRFFRLYGECREQDCVYKHTNEDIKECNMYKLGFCPNGPDCRYRHAKSAGPPPPVEEVLQKIQHLYSYNYNGSNKFFQQRGASYNQQVERSQFPQGVNSTNQGVTGKPLAAESGNAQQPQQVQQLQQQVSQSQMQSPANGQPNQANRTAIPLPQGISRYFIVKSCNRENLELSVQQGVWATQRSNESKLNEAFDSVENVILIFSVNRTRHFQGCAKMTSRIGGSVAGGNWKYAHGTAHYGRNFSVKWLKLCELSFHKTRHLRNPYNENLPVKISRDCQELDPSIGEQLASLLYIEPDSELMAISIAAETKREEEKAKGVNPDNAGENPDIVPFEDNEEEEEEESDEEEESFGQGVGPPNQGRGRGRGMMWPPHMPLGRGARPMPGMPGFNPGMMGDGLPYGPDGFGMPDLFGMGPRAFAPYGPRFSGDFGGPPAAMMFRGRPSQPGMFPGGGFGMMMNPGRPPFMGGMGVGGPPRGGRPVNMPQMLPPPPPPPQNANRVPKRDQRTNDRTDRHGSGSEQGRSQDMQSQSGGPEDDMQYQQGYKANQDDQNEDSESEDEAPRRSRHGEGKKKRDT >OIV95205 pep chromosome:LupAngTanjil_v1.0:LG16:18968454:18973381:-1 gene:TanjilG_21595 transcript:OIV95205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTSSDSSELIHRSNRKPIPDQPHSSSSSPTWLRKQTTNRIITNIVLYRLPFLLIGITIAILFFYTFPLNDLDSPYYPDSSSSSLSSSTLPARRVLLEEPIMKKQNTMTRVPGGVRGKRKRIVVTGGAGFVGSHLVDRLIERGDSVIVVDNMFTGRKDNLLHHLGNPNFELIRHDVVEPILLEVDQIYHLACPASPTNVMGTLNMLGLAKRVGARFLLTSTSEVYGDPLQHPQAETYWGHVNPIGVRSCYDEGKRTAETLAMDYHRGAGIEVRIARIFNTYGPRMCLDDGRVVSNFVAQALRKEPLTVYGDGKQTRSFQYVSDLVEGLMRLMEGEHIGPFNLGNPGEFTMLELAQVVQETIDPNAKIEFRSNTEDDPHKRKPDISKAKELLGWQPTVSLREGLPLMVADFRQRIFGESKGNGVSAS >OIV95356 pep chromosome:LupAngTanjil_v1.0:LG16:16368658:16370113:-1 gene:TanjilG_07512 transcript:OIV95356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NQNNYLCLMSNLSLYIIYMKYESKFCYTNICYQNNHNIVDEKKNVTPAVATRVHADKCALIDCGFVGVQDTLFDSFGRHYYYNCYIYGHTDFIFGKGQSIFQDCKIFFSNGLAEPRDGVITANERSSIEDPSAFVFKNCTIDGSQGIKANLGRALELGNPRVIISDSYLADMIRPEGWNEVQEFVGYE >OIV95060 pep chromosome:LupAngTanjil_v1.0:LG16:20347424:20347768:-1 gene:TanjilG_10880 transcript:OIV95060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEKSLKVFHLNRQVHNQHHYGKKQQEIRDVPKGCLAIKVGQGEEKQRFVVPVIYFNHPLFIQLLKEAEEEYGFDQKGTITIPCQVEQFRNIRGLIDREITLHHNHHIGCFGL >OIV95847 pep chromosome:LupAngTanjil_v1.0:LG16:3925846:3937239:1 gene:TanjilG_06823 transcript:OIV95847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVTVAVALLSLSSCYRSSGQTTAARALGMSCSAIHKHHASNRCYRSSHNTNAIVAVETNSSTIDAANLTATSSSESYQNYDRLLPCPSHKSTPRVEHLVVSEEGNVLEFICKSVHLPPLYVADLIQFGAVHYALVCPPPPPSATEKQIRIFKEVTEPSVLRKRASIKGKTVQRAQKTFRITSVNEVVEPGMYIRVHVHPKRFPRCYEIDWRSRIIAVTELYVVLDKPAGTSVGGTTDNIEERCATFATRALGLTTPLMTTHQIDNCTEGCVVLARTKEYCSVFHGKIRDKKVKKLYLALAVSPLPTGIITHYMRPINMAPRLVSEDFIKGWQICQLEVMECSQVPWPTTAIQDKYCIEDCEWPSKDYAYECKIKLLTGRTHQIRAQFAACKAPLIGDSTYMAAAVAEMANPGLNPFGKYKKDFSCESEKETAVINWIAQHGKVPSVAIGLQACQISWDDDEHFYKAGLPWWRSQMA >OIV95606 pep chromosome:LupAngTanjil_v1.0:LG16:8688080:8693911:1 gene:TanjilG_23837 transcript:OIV95606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCDFKPFSESTQPGSSSSRSISETINGSHQFTIKGYSLAKGMGSGKYIMSDTFGVGGYDWAIYFYPDGKNPEDNSLYVSVFIALASEGTDVRALFKLTLVDQSHKGNDKVHSHFDRPLESGPYTLKYRGSMWGYKRFFRRSLLETSEYLKNDCLLMHCTVGVVKTRFEGSKQGIFVPQSNMGQDFKDLLESEVGCDIVFKVNSETFKAHKLILAARSPVFRAQFFGLVGDPSIDEVVVEDIEPFIFKAMLLFIYSDKLPDIYDVMGSVQICSYTVMVQHLVAAADLYNLDRLKMLCESKLCDEINADTVATTLALAEQHHCPQLKAICLKFIANSTNLGVVMQSEAFMHLKESCPSMLLELLETFASADDNSSQPFSRKRSSSSVNGQDLADGAVTESVNPNGRRLRRRT >OIV95632 pep chromosome:LupAngTanjil_v1.0:LG16:8065303:8070588:1 gene:TanjilG_23863 transcript:OIV95632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METPHSPSSSSERDPTFEPRTPIAVRRALQFLNSDEPQMRVQAARDIRRLTKTSRRCRRQLAEAVGPLVSMLRVDSPESHEPALLALLNLAVKDEKNKINIVEAGALEPMIKFLKSEDLNLQESATAALLTLSASSTNKPIISACGAIPLLVEILKEGSSQAKSDAVMALSNLSTHPDNFSIILGTNPTTFIVNILKACKKSSKTAEKCCVLIESLVGYDEGRTSLTSEEGGVLAVVEVLESGTLQSKEHAVGALLTMCNSDRCKYREPILREGVIPGLLELTVQGTAKSQTKARTLLQLLRESPYPRSEIQPDTLENIVCNIISQIDGDDQSNKAKKMLVEMVQVSMEQSLRHLQQRALVCTPNDLPIAGCASEVPSK >OIV95617 pep chromosome:LupAngTanjil_v1.0:LG16:8572855:8573529:-1 gene:TanjilG_23848 transcript:OIV95617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESEYMIWMKRKQILKSHIQAPIVIGACNNNNNSWEEKAFAEDAKRILGGCIWPPRSYSCNFCKRDFRSAQALGGHMNVHRRDRARLKQGLSPYHQSKEALHRFHHCQKIHPKSLSNSQFSSVNEREEIFNDLGCNDYVETSLSVGLNSVFGQKSSPNGSCDEEAMSYKRPKIYIPSMPVFIKPCSKDRSMAFKSAEEFVLGLKPGMEDLDLELRLGESQKVK >OIV95982 pep chromosome:LupAngTanjil_v1.0:LG16:2062430:2063632:-1 gene:TanjilG_27086 transcript:OIV95982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFPWKKNKVTTFSQIVADLHSPKRRVSLVVETGFPTSLIDLFVKNRTRFRKTKSNKPVQPHHEFTDSPPPPPPPPPPSPATTPHHHMNQTVIVNGDEDGISGKVGECEFGSGLNIGVAVLVKMVVVLVLVASVERLTVAFTVSAFALVFFEYAGERVVSPFSNAKIESLSKRVSDYVSVSVSDCVCWFQKVLELKFEKGNSEVGSVELGSIDEIEVVESKSEVGIFCEEGFFVDENESSNKVIESCGISECKSKGSSRSGRFKSKIVKKLLGKKFLRSKKEKEVEKITKEEFEVESISEVSSVIDEHKLDSFEIEEEEEENSSLLIGTKLECVRDNGDEVNCGITYSQKSLLIIALMGLVMGRFQALVLTITWCVILKFVKILWRSKNVPIIKCSVPKS >OIV95814 pep chromosome:LupAngTanjil_v1.0:LG16:4339352:4339960:-1 gene:TanjilG_20264 transcript:OIV95814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDKNKPSSSKTISTQNAKTSMYSKTLSNLGNLIKLLPTGTVFLFQFLNPVLTNNGHCITLNKYLSGAFLIFGGFNCAFSSFTDSYTGSDGQRHYGIVTTKGLYPSPASDGVNLSAYKLRVGDFAHAFLSVVVFAVLGLLDTDTVQCFYPEFETGQKLLLQVAPPVIGVVASTVFMVFPTYRHGIGYPTSADSNDISQKSDA >OIV95396 pep chromosome:LupAngTanjil_v1.0:LG16:15632309:15632611:-1 gene:TanjilG_06265 transcript:OIV95396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKVMRLASEKGVVIFTKSSCCLCYAVNILFKELGVRPMVHEIDQDPEGREMEKALLRLGCTAPVPAVFIGGKLRGSTNEIMSLHLSGSLTQLLKPYQSL >OIV95240 pep chromosome:LupAngTanjil_v1.0:LG16:19673803:19675296:-1 gene:TanjilG_21630 transcript:OIV95240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSELSQTDTQSMIDQLHLAKKKYEGDNQNSSPKIQRVPNVLRQNAEFAKFCTPNVISFGPIHHWDENLKQGEHYKLLWTSMYVEKYSKDTKKAIDQVPQYLLQTVQDNLVELKKLFAEDAIGTKTTDKDLCLMLFVDGCSLLHFMENIDKQNPQALKLKLDQMMYIWRDIVLLENQLPRRLLELLSENGTNLEYLMIKFHSMGDIQQLRALQVPHEAKHRTIRTPGIAIEFTNMKKKTDSEEVVVQLRSKRKPFHLLDYARTFITSSTSNDHVDENGVKDKQDGSQLKEMAIPDKSNGWLTYKNIRDLKAAGIRVKASLTDRWIWSSVSFASNLFYGELRLPMFMFDNASPYFFRNLLAYEMCPDFDNNLECCSFFCFMDSLIDNGEDVKELRLAGVIQNLLGSDEELAKLFTDLGGHLPTKMFNNTWCSDAMAYSKKYIQVKNQMEKHYKNKWRTFGAITINTYFNTPWSILAFLAATAALALTSAQTYYNVHPQN >OIV95054 pep chromosome:LupAngTanjil_v1.0:LG16:20457250:20458471:1 gene:TanjilG_10874 transcript:OIV95054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHFVKFYEEDFSLSILKTFVLVAVIKQCHNGHTLCSTCKTRVHNRCPTCRQELGDIRCLALEKIAESLELPCRYISLGCPEIFPYYSKLKHEAICNFRPYNCPYAGSDCSAVGDIPYLVAHLRDDHRVDMHSGCTFNHRYVKSNPTEVENATWMLTVFHCFGQYFCLHFEAFQLGMSPVYMAFLRFMGDERETPNYSYSLEVGGNGRKLTYEGSPRSIRDSHKKVRDSHDGLIIYRNMALFFSGVDKKELKLRVTGRIWKEQQNPDGEVCIPNMCT >OIV95658 pep chromosome:LupAngTanjil_v1.0:LG16:6389219:6389566:-1 gene:TanjilG_01452 transcript:OIV95658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMKSVSPKSSSSSSPSPRTMRYLKPGALAKLRDSKITATNHRYNLRKFTSLSQLLLTPISPSATTEGELQDSPNQDNGVPCFTSRADFNRPRSLTKKKLFAVTPTFTQIVTNQF >OIV95712 pep chromosome:LupAngTanjil_v1.0:LG16:7401035:7401364:1 gene:TanjilG_01506 transcript:OIV95712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RAHAFKYEVNRLVTELKSSSQYVEDKLDSIDEKAEEILQGSKQIHDSVVSIDVHSQKVAQTIENVEDHIGLVLRHSESVYEKTTKIAVSQSELQEGQEDMSRNLTIIWA >OIV95649 pep chromosome:LupAngTanjil_v1.0:LG16:6242440:6243756:-1 gene:TanjilG_01443 transcript:OIV95649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEIEHAAAAASSEEETALFGKYELGRLLGCGAFAKVYYARNVKTGQSVAVKIINKKKLAGTSLAGNVKREISIMSKLHHPHIVRLYEVLATKTKIFFVIELVRGGELFAKISKGRFSEDLSRRYFHQLISAIGYCHSRGVFHRDLKPENLLIDENGSLKVSDFGLSAVREQIRPDGLLHTLCGTPAYVAPEILAKRGYDGAKVDVWSCGVVLFVLAAGYLPFNDPNLMVMYRKIYKGEFRCPRWMSQELRRFLSKLLDTNPETRITVDAMTRDPWFKKGYKEIKFHEEDYGGGFGSKGVRSEKVVDLNAFDIISFSSGLDLSGLFQQMDGGERVVLQGSPEKVVELVEEVAVAAGLAVRWKKECGVELEGANVNFGIGVEVYRLTAEMVVIEVNRRGCDVVAFRDVWENKLKPKLLCSSSIATTSHHELPELQVAGD >OIV95445 pep chromosome:LupAngTanjil_v1.0:LG16:14994076:14996950:1 gene:TanjilG_06907 transcript:OIV95445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTRKRGRHDFNNFNGGFKKTKPETDSLSTGVGSKSKPCTKFFSTSGCPFGESCHFLHYVPGGYNAIAHMMHIAPAAPPSSRNIPAPQPVSNGSAQPTVKSRVCNKFNTAEGCKFGDKCHFAHGEWELGKPIAPSFDDHRPLGPPSASRMPGRMEPHGLAASFGANATAKISVEASLAGAIIGKGGVNSKQICRQTGAKLSIRDHESDPNLRNIELEGSFEQINEASNMVKELLFTLQMSAPPKLKSGAPGGHAPPGSNFKTKLCDNFTKGSCTFGERCHFAHGAADLRK >OIV95917 pep chromosome:LupAngTanjil_v1.0:LG16:1533797:1537584:1 gene:TanjilG_27021 transcript:OIV95917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVEKEGTKSGGGYVGGFFQLFDWTSKSRKKLFAAKSELPEPSKHGRKAHFKVAITQPYLANDDDENGIAAGVRRSCDRSYASSVTDDESCATRAPSVVARLMGLDSLPPSIFSDPYFDTGSLEDTQYFSESLNHQHDHQTLYSGKLVEKAEGSSRNFVEPKPQKNLTRPFEKFQTEVLPPRSAKSIPVTHHKLLSPIKCPGFVPSKNAAYIMEAAARIIEPGPQATTKAKTPLVASSTVSLRVKDLRDKVEASQKGPLIGSSSKTSRARDLREKREISHRTTRNSELFQRPVELHDANYQKGQSLNKSWNGSVDTVKSSTHAEEGSSLKSKGKSISLAVQAKVNVQRREGMSLSGGRSLVSQKEHLEPNRTSKANVQKNLHKKSSGVLRQNNLKQNSSVEKDKLRSKPLVSNSHSKKVMTADSPYARHRSSNSKSIAKSKVGSRKSIAEISDSEKEVLYTSTNNFPRKKRTTDRDWNDRIVDNLFIEKMPKSVQSSLVCNKQYSWAEETKNKDTDVVSFTFTTPLTRKSPGFETSGQASQKTNGLSLDERIKVLLDTENTRSPIGYNVIGGDALGMLLEQKLRELTNAVESSCHDVSCVKQPSSTAPISNDLVTQLNLGNTRLQQKKDQDVLLTDNLSSSQDSGISFTGLPEFSSKHKSWVDEMDPQLFNGRQPSPISVLEPSFSIESCESSMSTGVTSTEGSKLCSSVQAQEVHGMKLSRKFSPAESDAELSDSASSTSTGTMMIMKHTTTFPVTKLGRSSTWELDYVKEILSNIELMYMEFSLGQAREVTNPYLFNQLERRKGGLEFDGESTIRRKVIFDCVSECLDIRCRSYVRGGYKMWGKGVAMMKRKEWLAEEVYKEISGWDSMKDSMVDELVEKDMSNIYGRWVDFEVDGIELGAEIVDQIFNYLVDDVVADMLQL >OIV95960 pep chromosome:LupAngTanjil_v1.0:LG16:1916056:1918860:-1 gene:TanjilG_27064 transcript:OIV95960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNFGRSRTQSRATRSISMGGVDYVDPKRKGNFVGKVFLAAVLTTLCIIVIKRSPYLNSPSPFSIHEPGVTHVLVTGGAGYIGSHATLRLLKDSYRVTIVDNLSRGNLGAVKVLQNLFPEPGRLQFIYADLGDAKSVDKIFSENKFDAVMHFAAVAYVGESTLDPLKYYHNITSNTLVVLESMAKHGVKTLIYSSTCATYGEPEKMPITEVTEQKPINPYGKAKKMAEDIILDFSENSKMAVMILRYFNVIGSDPEGRLGEAPKPELREHGRISGACFDAARGITPGLKVRGTDYKTADGTCIRDYIDVTDLVDAHVKALEKAKPAKVGIYNVGTGKGRSVKEFVDACKKATGADIKVDFLPRRPGDYAEVYSDPTKILLELNWTAQHTDLKKSLQVAWKWQKAHRDGYGISSAI >OIV95727 pep chromosome:LupAngTanjil_v1.0:LG16:5711490:5712248:1 gene:TanjilG_05275 transcript:OIV95727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPMNFPLRWESTGDQWWYASPIDWAAANGHYDLVHELLRIDSNHLFKLTSLRRIRRLEVVWDDEEQFNDVAKFRSQVAQKLLLECESKRGKKSLIRAGYGGWLMYTAASAGDMGFVQVLLERNPLLVFGEGEYGVTDILYAAARSKNCEVFRLLFDFAVSPRFHTGKGGIFEEHIGEIPSVYKWEMTNRALHAAARGGNLKILEELLANCTDILTYRDAHDSTILHAAAGRGQVEVIFCSFYSLLIIAFSL >OIV95436 pep chromosome:LupAngTanjil_v1.0:LG16:14861044:14862718:-1 gene:TanjilG_06898 transcript:OIV95436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSERRYLEDDDSSLLKTIKGATTGLVAGMIWGTVVATWNDVPRAERNVALPGLIRTFRMMGNHGLTFAAIGGVYIGVEQLVQNFRGKRDFVNGAVGGFVAGATILGYKGRSIKTAISAGSALAFTSSILDINGQKLKHDSGKEYAAYTTKKRSSVDS >OIV95405 pep chromosome:LupAngTanjil_v1.0:LG16:15689150:15692759:-1 gene:TanjilG_06274 transcript:OIV95405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVVTVGELKHGISGKRTFHPSSSIRHATEWPISDVSSDITIEIGTSIFTLHKFPLVSRSGRIRKMLLEARDSKVSRISLPNVPGGAEAFDLAAKFCYGVNIEFTLSNVAMVSCAAHFLEMNEEFSEKNLATRAESYLKETVFPNITSTISVLHHCETLLPISEQIGLVSRFINAIANNACKEQLTSGLHKLDHNFPSKTFSKTMEPETPSDLWVKSFNVLNLDFFRRALSVVKSKGLKQDMISKILINYAHNSLQGISVRDPQIVKRSSLDLEYHKKQRVIVETIVCLLPTQSRKSLVPMAFLSFLLKAAISALASTHCRSDLERRIGLQLDQAILEDILIPTNSPQNTHSIMYDTDSMLRIFSIFINLDEDDDDDEDCCFRDESEMVYDFDSLGSPKQSSILKVSKLLDNYLAEVALDSNLLPSKFIALAELLPDHARIVSDGLYRAVDIFLKVHPNMKDSERYRLCKTIDSQKLSQEACSHAAQNERLPVQMAVQVLYFEQIRLRNAMNGGHNQFFFGGIQGQFPQRSGSGAESGAISPRDNYASVRRENKDLKLEVARMRMRLTDLEKDHFSMKKELVKSHPANKIFKSFTKKLSKLNALFRINNVKPISSESRFPFPKRRRHSVS >OIV95303 pep chromosome:LupAngTanjil_v1.0:LG16:16941962:16944058:-1 gene:TanjilG_07459 transcript:OIV95303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFQRFNTCFLLTLCILSQGLVKGAFGFACNWGLRSTHPLPPQVVVKLMKDNGFKQVKLFEADPGALKALGNSGIQVMVGIPNDMLESLASNVNAAIAWVNQNVSNYISKMGVDIRHVAVGNEAFLKTYNGRFVNATFPAIQNIQAALIKAGLGRQVKVTTPLNADVYQSDSGLPSGGNFRPDIQDQMISIIKFLYQSAAPLTFNIYPFLSLDADPNFPKEFAFFDGSNAPVVDGSVSYTNVLDANFDTLISALEKNGFGSMPVIIGEVGWPTDGTANANIKNAQRFNQGLINRIIKRQGTPKRSTPPDIYVFGFIDEDAKSIEPGPFERHWGMFNFDGSMKYPLNLGGGKPLVAAKGVKYLPKQWCVMSAQANAMDPGLADSLSKACTYADCTSLSPGSSCSGLDTKGNASYAFNMYYQTMDQRKDACQFNGLSVITSINPSPPQSTCHFEIMVDLGVHETRTTTSMGAQKMEIHSMIMLVLSFIFTIMLSLCI >OIV95105 pep chromosome:LupAngTanjil_v1.0:LG16:17779778:17780808:1 gene:TanjilG_21495 transcript:OIV95105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSGKVSIEFGIKAANSQWFNLFHKNLNQIQNICERVHQAHVHEGDWHTIGSVKNWTFIIDGKLVKHKEKVEAIDESKKTLTWSFFDEDLGQQYKVFILTMEVNEKDNGSALCKCTIQYELVNENVEPPYAYLDFINKSSKHVR >OIV95901 pep chromosome:LupAngTanjil_v1.0:LG16:1372466:1380122:-1 gene:TanjilG_27005 transcript:OIV95901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFPSSNRHRRCYSNPNLAIDPQPALLVFSGGTAFNGVVEELKNFTTRVAHVLPVSDDGGSTAEIVRVLGGPAVGDIRSRCLRLSDQSTAEALAVRNLLGHRLPFDPLLAKSEWYSIVEGDHLLWKGVSKAYRETIRAFLAYFQNQILRRAEESFCFSNGSIGNFFFAGARVFFQSLDAAIFLFSRVSEIPLESLVLPVISTNDRLTLGCELWDGTVIRGQNEISHPTSGTIKAINKESLSATALPAKIKRVFYMSSEGKNLLHEVFPSPNATVLEQLNSVDCIVYGMGSLFTSICPSLVLMLNGTHDRETNGFLASSFVTAITDALNRTYGDPCNHLNNSTNQYINTLLVPRNSAIQVDVDRLAAQGIFDVIVVDSLRDPKVGTIYDPMALIRALADLVDRYMKTQVNGLIDIR >OIV95418 pep chromosome:LupAngTanjil_v1.0:LG16:15940917:15944151:1 gene:TanjilG_06287 transcript:OIV95418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIWDDHQGRCIGELSFRTVVHGVRLRRDRIIVIVEQKIFVYNFADLKLLHQIETVANPKGLCAVSQGSDSLVLVCPGLHKGQIRVEHYALKKTKFISAHDSSIACFALTLDGQLVATASTKGTLIRIFNADNGTLLQEVRRGANVAEIFSLAFSATAQWLAVSSDKGTVHVFGLKVNSSIPENEKPQSSSNSDAAINPSNSPLSFIKFKGVLPKYFNSEWSVAQFRLQEGSHYSVAFGLQKNTIIILGMDGSYSVSVLLAYVKAVIIMLFPLQ >OIV96214 pep chromosome:LupAngTanjil_v1.0:LG16:224723:232121:1 gene:TanjilG_14891 transcript:OIV96214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHQHSKLSYSSSSGSGSGSGSCSSPEKKKEKKKRPSSSSSSRRARLKESMRHHLHLHRSNKGHSSSSLTNNIHFAGIALFTFIRAEMQFKDKWIACLSFGEQTFRTITSHHTDQPLWNSEKKLLLDHNGPRLARISVFETNKLSSNTLVGYCEIDLFEFLTQDSDSDIEIYDLLDPSVPGKVVGNISISCSVEDPIEMEKGFVRRILSIVDYNEDGMLSLSEFSDLIDAFGNQVAIDKREELFIAADKNGDGVVSMDELASLLAFQQEKEPLLSCCPVCGEVLQISDPVNDMIHLTLCFDEGTGNQVMTGGFLTDKQASYGWFFKLSEWAHFSSYDVGLRSGSSASHILVYDRKTQRLVEELIDKKIVLSMRAIYQSKIGLGLMDIGVKELLQSISEKQGARMDSPESSADIPKFVESYKGQINLAEVKHPLEHFKTFNEFFIRELKPGSRPVASAECDDIAVCAADCRLMAFTSVDDSSRFWIKGRKFSIQGLLGKETCSSDFVDGTMVIFRLAPQDYHRFHMPVSGIIEQFVNIPGCLYTVNPIAVNSKYCNVFTENKRVVSIISTADFGKVAFVAIGATMVGSITFTKKKGDHVKKGDEFGYFSFGGSTVICVFEKNSIAIDEDLVANSNRSLETLVSVGMRLGISNRKLC >OIV95160 pep chromosome:LupAngTanjil_v1.0:LG16:18284324:18286589:-1 gene:TanjilG_21550 transcript:OIV95160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYPKSQPLNHEQVLETSKVVSSRKHYKGNHDDLKMKVDELEKLFADHKLRINGSVRRIDAISFVNVNGDDDDYYGDDCRGKYYDKYMKKRDAKLREDWSMKREEKEARMKAMQDSFDQNSAEMKEKFSCSYHAHKFTSLKSFVKREQYRIDSIQNEDVGDVSELLEEKIYEQDSIVSESTFGDCAARQSKKNLPNKQVSAATSSTTKPSFGMSKTNQYRLRNYARSRSTLEGMEGIKEVKQKRTQSLRKSTSANPAEFYDLAPLKFDMEQTDLNHYDRSRRPFAEKGYSLGPDASGGATRMISSTKENEEFDELELDVEDSLDIAKEEQKEEIETFAIVDHVYTNNGMVRLSQDCEKSVNSGSEIGDSTRSLSQVEHALVAEMPNVMHESPVESPVLWNSHMQHSFSYPNESSDIDASVDSPSGSPVSRNSRSLIQGETDATAQMKKKSGSAQKKVIVVNSSISQPCKDVAKGFKRLLKFGRKNRASESLIEGDDKTEDRRDLANRSSEDLRKSTIRFSHAHPSDNSFNENELLNEQVQSLRSSIPAPPANFKLRDDHISGSSLKGEA >OIV95545 pep chromosome:LupAngTanjil_v1.0:LG16:11647363:11647644:-1 gene:TanjilG_10933 transcript:OIV95545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGDKSKFLDLSLKEKGFVTYGDNNKGKILGTETIGNSNQAVVENVQYVEGLEHNLLSISQLCDKGNRVSFDYECCIIRDKIYLIKLILSDIV >OIV95906 pep chromosome:LupAngTanjil_v1.0:LG16:1448481:1457266:1 gene:TanjilG_27010 transcript:OIV95906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLSRELVFLILQFLDEEKFKDTVCKLEQESGFLFNVKHFEEKALAGEWDEVEKYLSGFTKVDDNRYSMKIFFEIRKQKYIEALDRRDKARAVEILVNDLKVFSTLNEDLYREITHLLTLDNFRENEQLSKYGDTKSARNIMLVELKKLIEANPLFRDKLAFPSLSSSRLRTLINQSLNWQHQQCNNRLPNPDIKTLLSDHTCSPSNGARAATPVTFPVASGSKPLSYDPLGAHGGPFPPAAANVNALAGWMVNANPSSSVQSPFFAASPFPVPPSQVSALKHSRTPSNALGMMDYQNNDHEQQLMKRVRSAQSVDDVTYAAPPQQASSSLDDLPRTVVCTLHQGSTVISMDFHPSFQSLLAVGCRNGEVSLWEAGLRKRLISKPFKILNISACSALFQALTMKDLSISVNRVSWSPDGNFIGIAFTKHLIHLYAYQALNDLQQHLEALQIDAHVGGVNDLAFSHLNKQLCIVTCGDDKLVKVWDLTGRKLFNFEGHEAPVYSVCPHQKDKTQFILSTAFDGKIKAWLYDNNGSRVDYDAPGQWCTTMLYSSDGSRLFSCGTSKDRDYFLVEWNESEGTLKRTYSGFRKQFTGIVQFDTSKNRFLAVGEDNQIKFWDMDNINILTSTHAEGGLLSLPRLRFNKEGNLLAATTDDNGFKILANADGIKYLRAIEARSFESPKAPVETKGLGSSVVVNINPLTNKVEHVDQSSPFRHAPILNGVDSITRSIDRKRSLDDLSDKSKAWELTEIVDPVQCRTVTMPDSMGSSNKVARLLYTNSGVGLLALGSKGIQRLWKWSRNELNPSGKATASVVPQHWQPPNGVLMTNDVPENSEAAVPCIALSKNDSYVMSACGRKISLFNMTTFKVMATFMSPPPTSTFLAFHPQDNNIVAIGMEDSAIHIYNVRVDEVKSKLKSHQKHITGLAFSTKLNILVSSGADAQLVFWSIDSWDEKKSLSIQLPAGNAPHGDTLVQFHIDQVNLLVCHESQLAIYDASRMELIRQWVPQDGLSASISSATYSCNSQLVYAAFTDGSIGVFDADSLRLRCHIASSAYLHQTSSNSQNVYPVVITAHPHESNQIAVGLSDGSIKVIEPIESEGRWGIKAPVDNAMQNGRTASPSLTNNHTPQQLQR >OIV95294 pep chromosome:LupAngTanjil_v1.0:LG16:17059201:17068993:-1 gene:TanjilG_07450 transcript:OIV95294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQTITEATQKIVTRETLRYAAKQSQRCLVVPIRLRRAIKKYLQEQEEPHMKRKVLRLSQSFNDVKDVNLQLANTTSREIVEDPLKSLEQSKRWKIQSSYGDIGFTYRDDETIAYVASRMPAVYCACHRVLKEVRRRLPGFSPAKVLDFGAGTGSAFWALREVWPKSLEKVNLIEPSQSMQRAGRSLIQDLKNLPLIHSYDSIQSLSQSIGKSERGHDLVIASYVLGEIPSLKDRITVVRQLWDLTQDVLVLVEPGTPHGSSIIAQMRSHILWMEQRKHRKSAGKNNEVCKDLTTQKAGAFVVAPCPHDGTCPLVKSGKFCHFVQRLERTSSQRAYKRSKGVPLRGFEDEKFSFVVLRRGQRPRHVEPWPLDGLEFETLKEERAKRNPEDLEIDYATQKIVTRETLRYAAKQSQRCLVVPIRLRRAIKKYLQEQEEPHMKRKVLRLSQSFNDVKDVNLQLANTTSREIVEDPLKSLEQSKRWKIQSSYGDIGFTYRDDETIAYVASRMPAVYCACHRVLKEVRRRLPGFSPAKVLDFGAGTGSAFWALREVWPKSLEKVNLIEPSQSMQRAGRSLIQDLKNLPLIHSYDSIQSLSQSIGKSERGHDLVIASYVLGEIPSLKDRITVVRQLWDLTQDVLVLVEPGTPHGSSIIAQMRSHILWMEQRKHRKSAGKNNEVCKDLTTQKAGAFVVAPCPHDGTCPLVKSGKFCHFVQRLERTSSQRAYKRSKGVPLRGFEDEKFSFVVLRRGQRPRHVEPWPLDGLEFETLKEERAKRNPEDLEIDYEDWLKTQQPDDAVLDEVVDAVTTYDSDAIETDGADDDDDTEEDDKVEEEEETGNADLGGGWGRIVFMPVRRGRQVTMSVCRSTNMDASEGSYDRIVVTKSKNPTLHHQASKSIWGDLWPF >OIV95952 pep chromosome:LupAngTanjil_v1.0:LG16:1858522:1867690:1 gene:TanjilG_27056 transcript:OIV95952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKVRMNTADVAAEVKCLRRLIGMRCSNVYDLSPKTYVFKLMNSSGISESGESEKVLLLMESGVRLHTTIYMRDKSNTPSGFTLKLRKHIRTRRLEDVRQLGYDRIILFQFGLGENANYVILELYAQGNILLTDSDYTVMTLLRSHRDDDKGLAIMSRHRYPTESCRVFERTTTAKLHTALTSSKEDDNDNADEVNGNGTDVSNVAKEKQGTRKGGKSSATLKTVLGEALGYGPALSEHIILDAGLIPNTKVPKDKTWDDATVQALVQAVVKFEDWMQDIISGEIIPEGYILMQNKNFQTGSVSQMYDEFCPILLNQFKSRERTKFETFDAALDEFYSKIESQRSEHQQKAKEHSATQKLNKIRQDQENRVHTLKREADQCVKMAELIEYNLEDVDAAILAVRVALAKGMNWDDLARMVKEEKKAGNPVAGLIDKLYLERNCMALLLGNNLDEMDDDEKTLPVDKVEVDLALSAHANARKWYEQKKKQESKQEKTITAHEKAFKAAEKKTRLQLSQEKTVATISHMRKVHWFEKFNWFISSENYLVISGRDAQQNEMIVKRYMSKGDLYIHADLHGASSTVIKNHKPSQPVPPLTLNQAGCFTVCHSQAWDSKIVTSAWWVYPHQVSKTAPTGEYLTVGSFMIRGKKNFLPPHPLIMGFGLLFRLDESSLGSHLNERRVRGEEEAIDDYEESIPLEEKSDSESEKDDATDGKSAADSERNGNLSADILKPQSEDFIADSSQTSLPAINAKNTVSHDSLATETSTTTMVDREKLSDTGGNDLASATPQLEELMDRALELGYGAKPNKKYGVENSQIDISYEQLEESKAAVRDKPYISKAERRKLKKGPNHDVADSNVERGKDKPTVADISSNLPAKEAQKTGGQKTSRGQKSKLKKIKEKYADQDEEERSIRMALLASSGKSNKKEEIPDGNEALDKGKKPGSGPSDAPKICYKCKKVGHLARECKEQPNDSSHDAIGESEENPINNSQSDRVAMEEDDIHEIGEEEKEKLTDVDYLTGNPLPNDILLYAVPVCGPYAAVQSYKYRVKIIPGTAKKGKAAKMAMNLFSHTSEATNREKELMKACTDPELMASIIGNVKISSAGLTQLKQKQKKGKKSSKQQS >OIV95547 pep chromosome:LupAngTanjil_v1.0:LG16:11655925:11656614:1 gene:TanjilG_10935 transcript:OIV95547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNESKVPKYFWADAVHKTCYVMNRVLLRPNLLKNPYELLKGPKPTISHLHALGYSLHSKAFRVFNKYTMHVEESIHVHFDESSPNEKRNVLSNDVAGALEEAYTKDLEKDKDAQEDHEVSEDHYDLLRVWKTKKDHPLDKVIDDISMGVVTRNSLRNFCNFVAFVSQIEPKIVDEAIEDEFWGIAMQEELNQFERNNVWDVVPKSKDHLIIGSKWVFRNKLDEYGLII >OIV96094 pep chromosome:LupAngTanjil_v1.0:LG16:3627638:3628618:1 gene:TanjilG_27198 transcript:OIV96094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTTKSLKHEEQPSPHSPKSHCNSPEFEFWMLRNPSFPQPNLHSADELFVDGVLLPLQLLSTTNKPDPETVNLESLVQDPQPGPFPEPDSSPVITESSSSKRWKDIFKKSEKNNNTEEKEKEKEKEKEKGKKKERRSGSGASSAELNINIWPFSRSRSAGNSGTRPKLFTGAPATRKVNSAPCSRSNSAGESKSQKWQSSPGRAGVHVGRSSPVWQVRRGGKNSELQALNAEKGSKKRETTTIRRSKVAGSGSAKARVLNLNVPMCIGYRHNLSCRSDEDSAVGASGATATSGGDSGRGNGNDGGSGGNMFNLRNLFTKKSIVTSH >OIV95232 pep chromosome:LupAngTanjil_v1.0:LG16:19508252:19512775:-1 gene:TanjilG_21622 transcript:OIV95232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFEVPEILKCNYTTRNIPKGVFLLPLTLLIIVVLFPLIRNLNLSSYKIYSNRSETTPTTTTTTRCNITIGNWKPYLGGPYYNNETCHFMNDRQNCLKQGRPDSDFIKFRWKPDECELPLFDATLFLKLVRGKSMVFVGDSIGRNQMDSLLCLLNTVAHPEDVTTKYALKDENYFKWWFYADYNFTLAILWSPFLVKSDYNYLNDTAFYKAQNLYLDEADKAWVSQIENSDYVIISTGQWFFRPLTFYENGQLVGCQRCQENMTEPNLYGYRFAFRTALRTIINLKGFKGMTFLVTHSPNHFENGDWYNGGACNRTKPYTKEERHEYERGYFLRELYEIQVEEFSAAEKEGRKKGLKFGLIDISEVMSMRPDGHPNRYGTVIGTNKKVNDCVHWCLPGPVDTWNEFLLYMMRL >OIV95072 pep chromosome:LupAngTanjil_v1.0:LG16:20120981:20121991:-1 gene:TanjilG_10892 transcript:OIV95072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLPPLILSKVNESVHNLIFFIFSGEKRVKQLFCCFYKLNPYFFLLSTKNGNESDSDANSNESPEHYQPISAVEDNYDNSDGEHHVEFHQLPNAKNGISYLDLNDSVKQKISDEEEEGEEDEERMRETSIRRAFSEEENQRSAPLTVENATRVMEAMCGVSFGGVAPDWVAEVPEDCWIDQLHRLRQTPNT >OIV95220 pep chromosome:LupAngTanjil_v1.0:LG16:19275810:19286621:1 gene:TanjilG_21610 transcript:OIV95220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSQSMDSARDVGGASGIVLIPMRFVWPYGGRSVFLSGSFTRWSELLPMSPVEGCPTVFQVVYSVPPGCHQYKFFVDREWRHDENQPYISGEYGIVNTVFLATDPNCIPVVTPEIASGNNMDVDNEAFRRTVRLTDGTLSELLPRISDGDVQISRQRISEFLCMHTAYELLPESGKVVALDIELPVKQAFHVLHEQVVALDIELPVKQAFHVLHEQGIYVAPLWDFCKGQFVGVLSASDFILILRELGNHGSNMTEEELETHTISAWKEGKSYLNSQNNGRGTVFSRGFIHAGPYDNLNAIAMKILQKGVSIVPIVHSSSEDGSFPQLLHLTSLTGILKCICRYFRHCPSSLPILQLPICALPVGTWVPKIGEPNRRPLAMLRPSASLASALNLLVQARVSSIPIVDDNDSLLDIYCRRKNSKAHPNLSFGASKSTDIIADTPIVAQLYEAVIQCQSVELDNIRFNQGLLWGHALQLGQDANSPYEFRSQRCQMCLRSDSLHKVMERLANPGGFVFPFSMKHDIQFPFLCNY >OIV96221 pep chromosome:LupAngTanjil_v1.0:LG16:198568:201800:-1 gene:TanjilG_14898 transcript:OIV96221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHAADTNRTDLMTITRFVLNEQSKHSESRGDFTILLSNIVLGCKFVCSAVNKAGLAKLIGLAGETNVQGEEQKKLDVLSNEVFVKALISSGRTCLLVSEEVEEAIFVPPSQHGKYIVVFDPLDGSSNIDCGVSIGTIFGIYMAKDKDNATLDDVLQPGNKMLAAGYCMYGSSCTFVISTGNGVNGFTLDPSLGEFILTHPNIKVPTKGKIYSVNEGNAKNWDEPTTKYVESCKFPEDGSSPKSLRYIGSMVADIHRTLLYGGMFMYPADLKSPNGKLRVLYEVFPMSYLMEQAGGQAFTGKERALDLVPSDIHDRSPVFLGSYDEIEHIKELYGACKSDGA >OIV95665 pep chromosome:LupAngTanjil_v1.0:LG16:6531981:6533752:-1 gene:TanjilG_01459 transcript:OIV95665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERNVKQMLKLIEDDGDSFAKKAEMYYQKRPELISLVEDFYRGYKSLAERYDLVTSGEWSKNIPSDIQSQASGISDYSCESSSMLHCASPRKLGRRISGGNRAPGFDFFLGWGGNGYDANNKDGGDCGSSTLTDSDDEFDDASSITSYSGLYGSGGDHGMNKRIMDLEIELREVKEKFCMNDEAHVEGSSRGTRVDSVEDFLARVNVYEQKLKIVNQKLRLSEEEITKLKIELDKYRSMESPKFEVGINEDLGLKSLESQGKMDKEIVKSLKEELRITKEKLDSSEIQIASLKLEVGKSSESIHWQEKVNLAQRDIAAWKTKFHSEKREKTKIQERLGRLKTSLIDKDNEIRDLKVAASNNGEKIFLEKAKLKSEMSKLLGKQRRLEEHIREWECHAQSLEEKLKVEIEVLKKEIKEGKNNIMGLNQSLDALKLERDNLFEEVGSLKEHVISRDKEIEQLDKCLNHLNMEHVQLIGEVEELKSRTMELEEEIERQQVEIIDGAEEKREAIRQLCFSLEHYRNGYNVLRQALIGHKKFPVLAAKIYA >OIV95845 pep chromosome:LupAngTanjil_v1.0:LG16:3913623:3915874:1 gene:TanjilG_06821 transcript:OIV95845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCCLPCCKSEVESPSYTKVERKTRKVSAGRQTFKSIAAAVSIKTGSSRHRHITAEILKYGAAQNDVKVFTYEELAAATGNFSTDCRIGEGGFGNVYKGYIKSTNQTVAVKQLNREGTQGTREFFAEVLMLSLVKHPNLVKLLGYCAEEDNRILVYECMANGSLEDHLLDMGKDKEPLDWQTRMKIAEGAVRGLEYLHNGADPPVIFRDFKSSNILLDENFNAKLSDFGLAKLGPIEGKNPVSTRVMGTYGYCAPEYASSGQLSTKIDIYSFGVVLLEIITGRRVIDTARCAEEQNLIDWATPLFKDRKKFTQMADPLLEGNFPVKGLFQALAVAAMCLQEEPDMRPQVDDVVTALMHLTTQKNEEKDIVSDSLKSEGHVESFRATPSFEEPMD >OIV95949 pep chromosome:LupAngTanjil_v1.0:LG16:1794761:1795843:-1 gene:TanjilG_27053 transcript:OIV95949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLGDGVFPEEVVIQIMARLPVKSLFRSKTVCKLWYMLSSDKYFIQLYNEVSRKSHMTLVEISDSSDSKSSLICVDNLRGVSEFSLDFLNDRVKVRASCNGLLCCSSITDKGVLYVCNPVTRKFRLLPRSRERPVTRFYPDGEATLVGLASDSTFEKFNVVLAGCHRTFGHRPDGRFICLVFDSELNKWRKFMSFQDDHFTHMNKNQVVFVNNALHWLTASSTYILVLDLSCDIWRKKPLPDDLVYGMGNRIYLLDFNGCLSVIQISEAWMNIWVLKDYWIDEWHMVDKVSLRCIRGMVPGIFPISQTSEYVFLATHKQVLVYHRRSRVWKEMYTVKHSSTLPLWFSAYAYRTTMFSCN >OIV95988 pep chromosome:LupAngTanjil_v1.0:LG16:2128458:2131814:1 gene:TanjilG_27092 transcript:OIV95988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLQEVGAAVSVLLGFAPPSTLSAASSSKLNEVLSPNPFNRPHAVFLLEVNGITGLEKVIQDNAMFGNSFWSTDFSGSDKVDIQVSDGVSVASLDGISEDCTDKEISEFASFIGGSYAPDALEPLNGELTIPLANGASVSLHMSKKSERKFIVGLLSLIRNVKGAIQMHEELSQDRPSPAEVLIGQFNSIKVLQEQYEAESIAQHEVELLLATLTKIFSSLQEAYKGQIVGVIYSHEATLQELSNKFDVNFAPQHAARLLAESEALDVTKIAEVLLVRTTLAWVTGIILLISTLIGWYWVTLAQWKVVAL >OIV95277 pep chromosome:LupAngTanjil_v1.0:LG16:17311913:17312365:-1 gene:TanjilG_07433 transcript:OIV95277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVTEEMKAKAEVYYGDKVCREKFSLLLSEIGLPDGLLTLQDIEECGYVKDIGFVWLKLQKKREHRFDNILVCYDSVVTAYVEPNKIKNLTGVKARDFLIWFNLTEIYVKGSPKESMITFKSIVGLSMSFPVSLFKGGKEKISGESKAMV >OIV95848 pep chromosome:LupAngTanjil_v1.0:LG16:3939836:3943682:1 gene:TanjilG_06824 transcript:OIV95848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVTVAAASMLLSGGYRSLRLTAAARALGISPSAIIKHYNNHVPSRCYRSSHNSNTILAVETNSSTIDAANLTATSSSESYQNYDRLLPCPSHKSPPRIEHLVVSEEGSVLEFICKALDLPPLYVSDLIQFGAVHYALVCPKPPPNATEEQIRTFKEVTDPSVLQKRTSIKGKTVREAQKTFRITSVDQFVEPGMYIRVHVHPKRFPRCYEIDWRSRIIAVAESYVVLDKPAGTSVGGTTDNIEESCATFATRALGFTTPLMTTHQIDNCTEGCVVLARTKEYCSVFHGKIREKKVKKLYLALAASPLPTGIITHYMRPYNMAPRLISEDFIKGWPLCQLEVMECRRVPWPTTFIQGKYCVEDCGWPSQDYAYECTINLLTGRTHQIRAQFAAYKAPLIGDSMYMPAAIAEMANPGLNPFGKYKKDFSCENEKETAVINWVAQHGKEPSVAIGLQACQISWDDGEHFYNAGLPWWRSETA >OIV95502 pep chromosome:LupAngTanjil_v1.0:LG16:13632904:13636332:-1 gene:TanjilG_23233 transcript:OIV95502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRPRGVYASEYRRDGGFGRGQPGPKSFSQPPPPPLRRGGGAGGDVFVEAGRLAAEYLVSQGMLNPDVLSLRWNNGYNGIVGGVGVGGGVGGGGGFKKQVEIPVEGGGGRGSVINRLGNVSALDGGLSGRRKLGFDEFGQRGNGRRRGGLRSNGFDLGQDFRRNESWNDRYRGNMDFNDNDDNSLTRKQDEDEQEQELQGVDDASQKMESNEIVPRSEGGDDLDAEAGKDDYISGELLDSKQNSDGAENDTCDMETEFVKSSDDLENVSDGVEVIKEKDVSVHDNDDIEKSSISKNLSVESSDQENTSSSRVFTDLLSHCKSVKVPTRIRSSLTNKNLKADNHQHQNGGDEVVHDIGSLQGPEVLAENESVKGTSSGDLLSEETYDLEHTDSDIFKVEEPVHDVENVKELDTVSNAEEVQPIGSQSGQDVGYLHDNSHESSVTLPEYGSCSTMVEERGEKRAAEAGDIRGETKRLREWLPVPVPRTGEYYVNSNQIGTKESQGEDEILPIDKVTMTSDQGSLMSLMSSSRFTQGGDKQFLQCSEEKQSLPSSFRTCDLNLIEVSEVHESHVDHPILMYSPDTNAKKDVPVDIGLSMNHASVSGKFSTHSTSGKEIEIIDLENDSPEEEKPVENMERKTDTMFQGLEGLSNHAQSTADIHDVQDGYGLMISELLATDFPNCSSVPSDINSVHNEMGIHNGTGPLTAEDDSIYMSLGEMALGEIPLTRANTVTKQSKLSDWPLTLDSVADLLLCTPPTFVAV >OIV95284 pep chromosome:LupAngTanjil_v1.0:LG16:17239415:17244760:-1 gene:TanjilG_07440 transcript:OIV95284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEASGVVKHIVLGKFKDDVAPQQIHELIKAYANLVNLVPPMKSFHCLCTSDWNNINGNSAESVKEPVPGSSLEVKEENTEVKAQVDAMWEQMNKGVSNKTLRNFTSTPSSIAKKTTKKTSSNWMSYLGLAPKATESLEQGASQSGAGLMQKSTSDEGKKLAAAALAAVKDDAANSASGKGKLVITEVRDFAGQEIEVKKLVDSDSKEAIERAKAPAPSAVDAILEQIKKKQKLSVLDKTKKDWGEFKEENKGLEVELDAYKKSSNQYLDKVSFLQRTDYREFERERDARLALQTRKRPDMQDDA >OIV96233 pep chromosome:LupAngTanjil_v1.0:LG16:109893:113712:-1 gene:TanjilG_14910 transcript:OIV96233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERNSSSYSPHLTSSLKKNSTKHQLDDHSKQQQQHHLREDYISSSSFNLKNVSKLILPPLGVSNQNQLNSKGWVISPMDSRYRCWESLMVVLVAYSAWVYPFEVAFMHSSPNKKIDIMDNVVDLFFAVDIVLTFFVAYIDRTTHLLVRDSKKIVVRYMTTWLVMDVASTIPYDEMSYLLSGKHRVALPFLLLGLLRFWRIRRVKQYFTRLEKDIRFSYFWVRCARLLSVTLFSVHCAGCLYYMLAELYPHQGKTWIGAVIPNFKETSLWIRYISAMYWSITTMTTVGYGDLHAVNTNEMIFIIFYMLFNLGLTAYLIGNMTNLVVEGTRRTMEFRNSIEAASNFLCRNRLPPRLKEQILAYMCLRFKAESLNQHHLIEQLPKSICQSICHHLFFATVEKVYLFKGVSKEILLSLVAKMKAEYIPPREDVIMQSESPDDVYIIVSGEVEIIDCVMEKEITLGTLHTGDMFGEVCALCCRPQRFTFRTKTLTQLLRLKTNTLIEVMQIKKEDNIQILKNLLQHFKQLKELSIGDLMVENMEEEEEEDPNMSVNLLSVASIGNAAFLEELLRAGLDPDIGDSKGKTPLHIAASNGHEECVKVLLKHGCNVHIRDMDGNTALWDAIASKHYSIFRILYQLVALSDPHIAGDLLCTAAKRSDVTLMNELLKQGLNVDSKDHHGITPIEIAMEQNHVDMVKLLVMSGADVTGIHTHEFSASTLSEMLKKRAIGHLINIHEAIPSEIVLRGPCEEDKERIWGRYNGLNCPRVSIYRGHPILRKDKGVMEAGKLIRLPDSFEELKTIAGEKFGFDAKDVMVTDEEGAEIDSIDVIRDNDKLFLVE >OIV96227 pep chromosome:LupAngTanjil_v1.0:LG16:158308:159375:-1 gene:TanjilG_14904 transcript:OIV96227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGSKAQRGIASHQYRPTPYPLPPRKRDICEDMFPKNCSKALDKKDLEDVTCSVCMEYPHNAVLLLCSSHDNGCRPYMCGTSYRHSNCLDQYKKAYTKVISSSNGQQPQQGLISNQGVLQGSNSTCRENEVAKLSCPLCRGQVKGWTIVEPVRDYLNSKKRSCMQDNCTFSGNYRELKKHVRAEHPSACPRAIDPEHENKWRFLEREREREDVISTVTAAMPGALVLGDYVIEGHHNIDFNGVLQEEAAFDAAMGTSRNGRRQIPVEAINFFLMLHSIRQANNDLDNLSRRRLRQLSGQSVTQHETPPVDDGGNDRSSGGNDGVSLVSRLHRHGSGRVLLNRSGRRRRQREGHN >OIV95157 pep chromosome:LupAngTanjil_v1.0:LG16:18258384:18261515:-1 gene:TanjilG_21547 transcript:OIV95157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENQNSFRAPTMEKQQSFRRVMEKQRSFRGFMEKQKSFRIVMEKQLSFIGNGERKKSKESPGKRGDLPIHLAARAGNLSRLKEIIQNCASDELKNLLAKQNLEGETPLYVASENGHASVVGEILKHLDLETASIAARNGYDPFHVAARQGHVEVLRELLHSFPNLAMTTDLANSTALHTAATQGHIDVVNLLLESDSNLAKIARNNGKTVLHSAARMGHLEVVKALLNKDPSTGFRTDKKGQTALHMAVKGQNEEILLELVKPDPTVLSLGDNKGNTALHIATSKGRIQNVRCLLAMEGININMTNKAGETPLDIAEKFGTPELVSILRVAGATNSTDQAKPPSATKQLKQTVSDIKHDVQSQLQQTRQTGMRVQKIAKKLKKLHISGLNNAINSATVVAVLIATVAFAAIFTVPGQYVQEKTQGLSLGQANIANNAAFLIFFVFDSLALFISLAVVVVQTSVVVIEQKAKRQLVFVINKLMWTACLFISIAFISLTYVVVGPHSKWLAIYATVIGSLIMVSTIGSMAYCVILNRLEETKLRAESRSFSMSHASDQEILNSEYKRMYAL >OIV95154 pep chromosome:LupAngTanjil_v1.0:LG16:18244353:18248735:1 gene:TanjilG_21544 transcript:OIV95154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQYEILEQIGKGAFGSALLVKHKHEKKKYVLKKIRLARQTERSRRSAHQEMELISKMRNPFIVEYKDSWVEKGCYVCIIICYCEGGDMAEAIKKANGVMFPEETTFRFALLKSKRNISFQKLCKWLVQLLMALEYLHKNHILHRDVKCSNIFLTKDRNIRLGDFGLAKKLTSDDLTSSVVGTPSYMCPELLADIPYGSKSDIWSLGCCIYEMTSLKPAFKAFDIEALIVKISKSIVAPLPSKYSSAFRGLIKSMLRKNPELRPSVMDVKGSFVTILSFLLPFMYRWRQAAELLGHPHLQPYVHNILLKINSPRQSTLPGHWQQSNYVKKTRFLKREDDSVSIYKDKWHSFSNCQTSNPSVSGAEQDSLCSTREIDCTPDYLNQRLAELCVGDSHEVKSNVKPVVSRTSSIAKTPRLTLSKAPATHKKSIKHSKNHKELPISHSTKQTVHTTRRASLPLPQSRAIQQPSRRATIALSSNVNSPNISVNAPHIDKMAEYPLASYEDQLFPISRASPTSARCSSSFPPCNSDSTMIDKCTVEVCSIPPVRPSCSDTWQGIKRNMIKENNEEKSGSYDKNATAGASSHNSSDLRRRQFDTSSFQQRADALEGLLEFSARLLQQERYDELGVLLKPFGPGKVSPRETAIWLSKSFKVNTFN >OIV95871 pep chromosome:LupAngTanjil_v1.0:LG16:4216487:4217275:-1 gene:TanjilG_06847 transcript:OIV95871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSIASISMELFIGPDKHQPFDSDGTIPSNHLHNFEHSLISLTFFVYAAFAIILDKIHIESQNDLTNLLGSIAFSQQFLLFHLHSADHMGPEGQYHMLLQLVVLVSLVTTLFGIAMPKSFLISFVRSLSIFFQGLWFILMGFMLWTPNLIPKGCFMNLEEGHKVVRCNDHEALHRAKSLVNIQFSWFFILVTIFGMSLYLVLVKVYGGSKVQYFSLGNDEDGYEEENKSSDDVESQKKNMVHNPNSFLHVGKNFSPLDIER >OIV95187 pep chromosome:LupAngTanjil_v1.0:LG16:18569878:18570175:-1 gene:TanjilG_21577 transcript:OIV95187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYPSSSVSSPSDQLNGGVSLMSTFEYDQQINDESMVLNMMEPWESVLLQPGKHQVTITTYFKSTRTL >OIV95477 pep chromosome:LupAngTanjil_v1.0:LG16:14150529:14151418:1 gene:TanjilG_23920 transcript:OIV95477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSENARVQPNIFREYIGFKKVLTEFPDIINQSVYDFHYILAFATEVYDETTKKGTGTFNPNWDTSSFDINSITYLKSKNPYVKVIISIGGHGSEFPFDPTDVTIKWIDEAKNSLKRFSTDPSDEEYISEKVFFDGIQNLLKRKLLPGISVRDADSSKFTQTHQTPFHVEKLAQELIVKYNSWF >OIV95550 pep chromosome:LupAngTanjil_v1.0:LG16:11765630:11766280:-1 gene:TanjilG_10938 transcript:OIV95550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECNKDEAIRAKEIAEKKFAAKDIFGAKKFALKAQNLYPNLEGISQLIITFDVYISTKNRITGEPDWYGILGMDRFIDENTLRKQYRKLAFELHPDKNKSIGAHGAFILISEAWKILSDKGKRVAYDEKINAKGSKVSTNKGASLSAENNTSSCASNDQKKVPKEENAFSSFCSADPYEIEKLIQEVLEEEKRKRGERKAARMKHLRMFCVCSWTR >OIV95069 pep chromosome:LupAngTanjil_v1.0:LG16:20138402:20139544:-1 gene:TanjilG_10889 transcript:OIV95069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHPFRQHRAHLPILYDEIIFEILSWLPVKYLLQSRAVCKSWKFLISDPSFVKLHLQRSSKCTNIIVKSKLYDDKYIFAQPCAVRSLFEKPSTTIVPVDPSYRVNSAKYRFAGSCNGLVCLIGSIYSKYQVKQIWVRFWNPATRLRSTKSPSIRVHLTTLFVFGFGYDNVTNTYKVVVVLCNEKSTQVKVYKMGDGCWRTVQSLPIVPIPRINYGVHFNGTLNWLALSNSDTCKQLAIVSLDLGKETASQSLLPCPSDEISVSQPSLSLGVLRDGLCLSYDYKGTHFVVWKTTESGVLSWIQLLKVSYQDLRIRFKLHVSQLLPLRLYENGDILITLRYSGKLQAIKYNYKNNRVVRPKISSSIEWIYDIDYLESLISPI >OIV96195 pep chromosome:LupAngTanjil_v1.0:LG16:360557:364333:1 gene:TanjilG_14872 transcript:OIV96195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPEIAKTQEERKKMEQELASLTATSAVYDTDLYGGTDKDSYLTSIPANEDDDNVDAIDNEVARKLASYTAPKSLLKDMTGSGADTDSDLGFRKPSRIIDREDDYRRRRLNQIISPERHDAFAAGEKTPDPNVRTYADIMREEALKREKDETLKLIAKKKKEEEEAGKAAPEKDKAQKRRNRWDMSQDNEGAAKKAKTSDWDMPDSAATPGKWDATPTPGRVVDATPGRRNRWDETPTPGRIVDSDATPAGGATPGATPAGTWDATPKLPGMATPTPKRQRSRWDETPATMGSATPLPGATPAAGYTPGVTPVGGFELATPTPGALRGAVTPEQYNLLRWERDIEERNRPLTDEELDAIFPQEGYKVLDPPASYVPIRTPARKLLATPTPLGTPLYQIPEENRGQQFDVPKEVPGGLPFMKPEDYQYFGALLNEEDEEELSPDEQKERKIMKLLLKVKNGTPPQRKTALRQLTDKSREFGAGPLFNRILPLLMQPTLEDQERHLLVKVIDRVLYKLDELVRPYVHKILVVIEPLLIDEDYYARVEGREIISNLSKAAGLATMIAAMRPDIDNIDEYVRNTTARAFSVVASALGIPALLPFLKAVCQSKKSWQARHTGIKIVQQIAILIGCAVLPHLRSLVEIIEHGLNDENQKVRTITALSLAALAEAAAPYGIESFDSVLKPLWKGIRQHRGKVLAAFLKAIGFIIPLMEALYASYYTKEVMIILIREFQSPDEEMKKIVLKVVKQCVSTEGVEAEYIKSDILPEFFKNFWVRRMALDRRNYKQLVETTVEIANKVGVADIVARIVEDLKDESEPYRRMVMETIEKVVTNLGASDIDARLEELLIDGILYAFQEQTSDDANVMLNGFGAVVNSLGQRVKPYLPQICGTIKWRLNNKSAKVRQQAADLISRIAVVMKQCHEEQLMGHLGVVLYEYLGEEYPEVLGSILGALKSIVNVIGMTKMTPPIKDLLPRLTPILKNRHEKVQENCIDLVGRIADRGAEFVPAREWMRICFELLEMLKAHKKGIRRATVNTFGYIAKAIGPQDVLATLLNNLKVQERQNRVCTTVAIAIVAETCSPFTVLPALMNEYRVPELNVQNGVLKSLSFLFEYIGEMGKDYIYAVTPLLEDALMDRDLVHRQTAASAVKHMALGVAGLGCEDALVHLLNFVWPNIFETSPHVINAVMEAIEGMRVALGAAVVLNYCLQGLFHPARKVREVYWKIYNSLYIGAQDALVASYPALEDEHSNVYSRPELMMFV >OIV96109 pep chromosome:LupAngTanjil_v1.0:LG16:736952:740484:-1 gene:TanjilG_13041 transcript:OIV96109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETVPSPLPIIEEEEEIIDDDFYEKIQAPKFVDLTAPDPRRSGDDRHWFCFRVGCDQQHEQELDSEAIYKNFVLRVMAARSPNLRLRKALKARETSANLKCPNTAPPKSRVSRMTFISSVSHRINDNNAKVKPLSKKVPASSATPNAKVRQPPAMAKALTAPRNQKVSNLEQFRTVQSKKAMNVVVPKSRVVAKALVFHSPKKVKVVKTKSSVELNTPMKALCSAMKKLELYGAKKNGEGCSNTLPVASSRKQFRGREVKSRVFDSLYSNNRKAPEANAVKCLKENKVKSMQKGRVTMPDEEAENDDSSDMEIDDKSRGDSLERWHESVSSGGDINSLSSSNEEENKTNEGCENEERMNPLSEKGKIHEVTKRKGEKNSMASGDKENEVELTENDNKTTEGSENQERRSPLSKKGRIPEATSRKDEENSTAFDNKENEGAHKENGDKESASAQDENIVMITNYDPKKAIVGSKHEGLRKTPKKSTSTLAGTQIVKYRKLKPTNPKPFKLRTDERGIHKEANLVKKDPPPLKEITDKAGKLIRKHQNIKRASELDTDNYSSSEERSNQRTQGNQSGSIQSDNSNGKRQHILYAKTPHRNPGLKLQKPNDMDNPLDHGEKAVKELDDNFNRKSQMMQHKVLRPRGALSRKKEKVLSTTPCKVSVIVEKPLNSNILKPKEVEKPCNNDVSSPARKVAGSVSRPCSQRKKALTVPREPKFHSLHVPKSCITMKQT >OIV95638 pep chromosome:LupAngTanjil_v1.0:LG16:7947646:7950950:1 gene:TanjilG_23869 transcript:OIV95638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTIKRVATVVSNYQEDDSEKGSSLGCGRRCLSSCCLSVSKLPLYLFKKDGDLPMENGEVESSDEISEISFLHNLLLAQWDDRMNQGMFRYDVSTCETKIIPGQHGFIAQLNEGRHTKKRPTEFRVDQVLQPFDENKFNFKKIGQEEVLFMVEQSIDHKSHFVANAPIDKISPSPNVVAINVSPIEYGHVLLIPRVLDCFPQRIDHDSFQLAMHMAKEAADPFFRLGYNSLGAFATINHLHFQAYYLAAPFPVEKAEKQIIALDKGKCPQGVSVSQLVNYPVRGLVFEGGDTIRDLSDTVANSLVFLQNNNIPFNVLISDCGKRIYLFPQCFAERQALGEVSQEILEAQVNPAVWEISGHIVLKRRVDFDEATEAYAWKLLAEVSLTEERFQEVKAYILEAMLCQKVDVEEENYMIKSELVQNYEPTTPQAAPPQLPLDCLVQQ >OIV95930 pep chromosome:LupAngTanjil_v1.0:LG16:1657544:1657765:-1 gene:TanjilG_27034 transcript:OIV95930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDHIQQKMDALKGHFGVLNSNDDGEPQKTHNPRMPPSPFPIPMPGRNRLAAKYGYIERQWIKKGGLKNQAAG >OIV95799 pep chromosome:LupAngTanjil_v1.0:LG16:4479310:4482411:-1 gene:TanjilG_20249 transcript:OIV95799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISVMGSSRLKLGKCDMVYRELGFCNLKNNCSVFNDRVCFGSNKRWKKAGIQFTLKALQSEPVLEEKHYGLGKRFKSVNGVKLFVGLPLDAVSYDCNSMNHARAIAAGLKALKLLGVEGVELPVWWGIVEKDAMGKYDWSGYLAIAEMVQKVGLKLHVTLCFHGSKKPYIPLPKWVSKIGESQSSIFFTDRSGQHYKECLSLAVDNIPVLDGKTPVQVYQSFCESFKSSFSLFMGSTITGISMGLGPDGELRYPSHHRLLGDTKTQGVGEFQCYDQNMLNSLKQHAEASGNPSWGLGGPHDARAYDEPLHSNNFFKDGGSWESTYGNFFLSWYANQLLTHGDCLLSLAAETFGDTGVTVYGKIPLMHSWYGTRSHPSELTAGLYNTANRDGYEPVAKMFAKNSCMMIIPGMDLSGAGQSKETHSSPELLLAQITAACKNHGVKVSGQNISESGTMGGFEQIKKNISGNKVLDLFTYHRMGAYFFSPEHFPSFTEFVRSLNRPEMHSDDLPSEKEDGTESATRSQESSLSMQTA >OIV95703 pep chromosome:LupAngTanjil_v1.0:LG16:7144135:7151054:1 gene:TanjilG_01497 transcript:OIV95703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMGEDSLAPFTFDRTVEQAIISIKKGAYLLKCGHRGKPKLCPFRLSPDERNLIWYSGQQEKHLRLSAVTEIIQGQSNIRSHGHEEHGKDSHSFSLIHANGERSLDLICKDKAQVALWFVGLKAVISRCQHPKPFSSLRSCKGVQSCVSSPAGIFRRKKNLGLLDESSQFTQVHSVCASPTLSLSERCFSDGFSYTSDNIYSRTQAVVDNSVPSSPYINPDIPSKVDSLHLHKEYKKNLSYRPLMPPPSPRIRNNNVLKDVMVWGGGIGGPLGIGNERFVDQHGIYSLVPKLLESTMMLDVQNIALGGKHAVLVTKQGEVFCWGQGKWGRLGQKIDIDISSPKIVDSLNGIHVKNVACGEYHTCALTDSGEVYTWGNDACSADLADELRNRSQWIPHKLSGPLDGIAISSVACGEWHTAIVSICGRLFTYGEGTFGVLGHGDTRSFSQPKEVDSLSGLKVRSVACGSWHTAAIVEVIADHFRYNTASGKLFTWGDGDEGRLGHADNRSKLMPTCVSQLVDYDFVQVSCGRMLTVALTTMGKVFVMGSAKFGQLGNPHARGKAVMVEGLLKKEFVKVISCGSYHVAVLTSAGSVYTWGKGENGQLGLGNTEDRYTPSFVETLRNRQVDTITCGPSFTAAICLHKPISISDQSACSSCRLPFGFTRKKHNCYNCGLLFCHACSSKKALNASLAPSKCKAFRVCDQCFDKPQGSTYSGIASKSGSYNTQQVLKHQNKIPDLTEDRGEIKVAQSVMSLGQSCYRKSMPSGRKDWKNQQESQQHLEDNSSVLGGVPRWGKVPCPNSFNINCTERSVMRVSSSKNKLATVSPMEIESAACNFPIAETDTTKSDKMLIEEVQRLGAEARRLEEQIELKNQKIQECQQKLEESWLVAKEEAAKSKAAKEVIKALALRLHTLSGKDNAGQEEKVIHECLPNLAHIQTDIKSPKVSNMDSLSNSPIIFSDTLKSKLGRSMLLKNDKLLENSNFDRAEPQVDSNNLKAEWVEQYEPGVYITLTTLSCGKKGLKRVRFSRKRFSEKEAEKWWEGNQATVYQKYDIEGYTDTRQS >OIV96026 pep chromosome:LupAngTanjil_v1.0:LG16:2473289:2484212:1 gene:TanjilG_27130 transcript:OIV96026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSNLHNDQPQISSLPTPNQVLTFRGQVSQWNLVNEGDMLKLRRSWKVKTFTKGLEFFRRIAELAEAEGKKCIDKCGHVHIQFPFYLRNSNTTTYPHGFDLSCTPQHETILNLPAIPINLFIKRIDYQAQKIEVYDPHSCLPSLLLNLTNSSISPFQYKSPDYDSDIVKHNVSFFHCSSTYSSSCPILQLESDGDFVDSGIVSCTKELDVLSVGWLLSYNDPDTLLLGWSKPDCTSCATHGMKCRFKNNGTSGETECFICKTNKLATSAIVLISAGVIIGFMLLMLLVIALLYMYRYFKMRGDDQTRIEKFLEDYRAMKPTRFTYADIKRITASFKESLGEGAHGGVFKGMLSQEILVAVKMLNETQGDGKDFINEVGTMGKIHHVNVVRLLGFCADGFHRALVYDFFPNGSLQRFLAPPDNKEVFLGWEKLQQIALGVARGIEYLHLGCDHRILHFDINPHNVLLDDNFTPKITDFGLAKLCPKNQSTVSMTAARGTLGYIAPEVFSRNFGNVSYKSDIYSYGMLLLEMVGGKKNTNISAEETSFQVLYPEWIHNLIEDKDIQVNIEDEVDSKIAKKLAIVGLWCIQWNPIDRPSIKTVVQMLEGEGDKLVAPPTPFDSTTSSRSNVPIRHMNFELEVIHEIE >OIV95556 pep chromosome:LupAngTanjil_v1.0:LG16:10250357:10251493:1 gene:TanjilG_02911 transcript:OIV95556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESDSMQSANNVAIIFGVTGLVGRELAQRLVLQSWKVYGIARNPEISPNLIISPFYHFISCNLVNPFDTQKMLSSLQDVTHVFWVTWSSQFPCETQESCEQNKVMMSNALNSMLSVAKNLKHVSLQTGTKHYVSLQGPFDEEKLHYYYYYNEEFPRMSKVPNFYYSLEDLLMEKLNGKVSWSVHRPGLLLGSSYRSFYNFMGSLCVYGCICKHLNLPFVFGGRKKCWDEAYIDGSDARLVADQHIWVATKCDLLSTNGQAFNSINGPSFTWKEIWPVIGKKLGVHVPQDNMFVENFWFSKAMAEKHQVWEDIVVENGLVQTTMESLANWEFLDALFRLPFKLLGSRDKVDGFGFGAKYKTLHSILYWIDCMRDEKLIP >OIV95991 pep chromosome:LupAngTanjil_v1.0:LG16:2160725:2162686:-1 gene:TanjilG_27095 transcript:OIV95991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIELFDGSDSDNDDISKIKINEEYARRFEHNKKREDLHRFEELKKKGVISSTSSLHSDGDESESDSSDDDDYTELLNSRGDKEFFDALIKVKKQDPVLKEKDVKLFMSDHSSDEESDGKEKNKAKGKKDEKPMYLKDVMAKHLIEEGPDFGDEEEEINEKKKGKSVIREREEFVNKDGKKTYGDEQEELKRAFQDAVGREGLEDGEEGFFTVKEKAGEDKIDSEDKEFEEKLDEYFGGDVELNENSKFLKNYFMNKMWLDKNVDNLNVGEEDLEEISEDEMEIERQEEYEYRFQENPGDRVLGHARKVEGSVRKKTNTRKEQRKSKEERMAAAQKEREEELKHLKNLKKQEIQEKVKKIMKTAGIDNDDLIPLSAAEIENEFDPEEYDRMMKKAFDDKYYNAEDADPGFCSDDDDMEKPDFEKEDELLGLPKGWDEGGSGDGFLAAREKVLKEKIENTSDDDLQETEDENEEKIPEEGSRKRKRKTALLEKARQAMMDEYYKLDYEDTIGDLKTRFKYAKTKPSRFGMSAPEILLMDDKELNQYVSLKKLAPYREEEWKLSKLKRYQLKMRTKELLREASLNKKNKKSKNSSSKLSSSNNVWENGKANTEELNVNTENLSRKAKRRQGAKLPESRLKAYGKIPDKSKHGGKH >OIV95511 pep chromosome:LupAngTanjil_v1.0:LG16:13234912:13241659:1 gene:TanjilG_25182 transcript:OIV95511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPIFLLLLQPLSSSAVSALAFLFLVSLQCHVASSALILSLRHHHSQLLHQRPMIHSNQTNCALFMGTWVRDDTYPLYQSSSCPIIDPEFNCQMYGRPDSNYLKYRWRPLNCELPRFNGVEFLLGMRGKSVMFVGDSLGRNQWQSLICMISAAAPQTQTQLVRGEPLSTFRFLDYGVTISFYRAPYLVEIDVVQGKRILRLEELDGIGAAWRSADVLSFNTGHWWSHQGSLQGWDYIELGGKYYPDMDRLAALERGMKTWANWVDTNIDKSRTKVFFLGISPSHNNPSEWSTGVTTKNCYGETEPITGSGYPGAYPDQMRVVDTVIREMNNHVYLLDITILSAFRKDAHPSIYDGDLSPEQRAKPDYSADCSHCPSEWSTGVTTKNCYGETEPITGSGYPGAYPDQMRVVDTVIREMNNHVYLLDITILSAFRKDAHPSIYDGDLSPEQRAKPDYSADCSHWCLPGLPDTWNQLFYTALFY >OIV95162 pep chromosome:LupAngTanjil_v1.0:LG16:18296055:18301236:-1 gene:TanjilG_21552 transcript:OIV95162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLITKRKKIGTICGHTIYAIAETKMIPVPHPTVQSKVAYSNDENRYKKLLCSVDLTKDFFFSYSYHIMHSLQKNMSDNNTTGQLLYETMFVWNEFLTRGIRNTVQNTSWTVALVHGFFEQVKLSISEREFYLTVIARRSRHFAGTRYLKRGVNNRGRVANDVETEQIVFADARDGCPMQISSVVQIRGSIPLFWSQETSPLNIRPDILLSKKDHDFEATRLHFENLAKRYGNPIIILNLIKTHEKKPRESVLRTEFVNAVRSINKNLRGENRLKFLNWDLHLHSRSKATNVLTQLAKVAAYALKFTGIFYCQMTPGIRGLLGYCNSGDNRSVILPSSTIRIRSALAMKRVDEGTEINNHLLGDDVNGDSSVKSRMIQTGVLRTNCIDCLDRTNVAQFAYGLAALGFQLQAIGFIESSYIDLDSHLAREMMEVYESMGDTIALQYGGSAAHKKIFSERRGQWRPATQSQELIRALQRYCNNTYFDGNKQKAINLFLGHFQPQQDKPALWDLDSDQHYFAGKHGSYSTDDSVRPTMRRSLSDGNMLRESDTTIRNMEVTLCKHSSAKITNRRLPESTADIFTCGSDVCHCRHLYNGMVNDRSCEIDQVCCDEHGDSCDYSDALDMDRISSSANSCEEEVLGR >OIV95283 pep chromosome:LupAngTanjil_v1.0:LG16:17262331:17266612:1 gene:TanjilG_07439 transcript:OIV95283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSGGYIGISTMKPCSRIRSSLRPRVVLLIPNVASDIRSQSTSVDSHVNDTSFDKIYTQSGLNAKPLVIERIETDQGKFEEVAEERSDGSNVNIDNLEDLNKSKVESELSDIEKEAWKLLRDSVVTYCGNPVGTVAANDPADKQPLNYDQVFFRDFIPSALAFLLNGEGEIVKNFLLHTLQLQSWEKTVDCYNPGQGLMAASFKVRSVPLDGSSEAFEEVLDPDFGESAIGRVAPVDSGLWWIILLRAYGKLTGDYALQERVDVQMGIRLILKSCLTDGFDMFPSLLVTDGSCMIDRRMGIHGHPLEIQALFYSALRCSHEMLTVNDATKNLVAAVGNRLSALSFHMREYYWVDKKKLNEIYRYKTEEYSMDAVNKFNIYPEQIPTWLVDWIPEEGGYFMGNLQPAHMDFRFFTLGNLWAIVSSLGTTRQNREILNLIETRWDDLVAQMPLKICYPALESEEWRIITGCDPKNTPWSYHNGGSWPTLLWQFTLACMKMGKPELAQKAVNLTEERLSMDRWPEYYDTRNGKFIGKQSRLMHTWTIAGFLTSKMLLKNPKKASLLFWEEDFEVLQNCVCMLNKTGRRKCSRFAAKAQILV >OIV95394 pep chromosome:LupAngTanjil_v1.0:LG16:15607455:15607673:1 gene:TanjilG_06263 transcript:OIV95394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LDEDNLIHCFGFGDALTHDQDVFSFYLDERICNRFEEVLSRYREIVPHIQLAGPTSFAPVIEMGENVEQIKH >OIV96176 pep chromosome:LupAngTanjil_v1.0:LG16:638322:644332:-1 gene:TanjilG_14853 transcript:OIV96176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLTPLSQLHSLFNKPHIAPHFTSSFFLTRFHVSATVTRGALVSGMSMKGAVVVSAMTVEKPKKRYAGESKGFVEEMRFVAMKLHTRDQAKEGEKEVKEPEERTVAKWDPNFDGYLKFLVDSKLVYDTLEKIVQEPVYPSYAEFRNTGLERSTTLEKDLQWFKEQGHAIPEPSSPGLAYAQYLTELSQKDPQAFICHFYNIYFAHSAGGRMIGKKVAEKILNKKELEFYKWDGDLSQLLQNVRDKLNKVAEEWSKEEKNHCLEETEKSFKLSGEILRLILS >OIV95824 pep chromosome:LupAngTanjil_v1.0:LG16:3748606:3750521:-1 gene:TanjilG_06800 transcript:OIV95824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGGLWQLGQSITRRLANGDKKAVARRYFSAEAELKKTVLYDFHVAHGGKMVPFAGWSMPIQYKDSIMDSTLNCRENGSLFDVSHMCGLSLKGKDSVSFLEKLVVADVAGLAPGTGSLTVFTNEKGGAIDDSVITKVTDNHIYLVVNAGCRDKDLAHIEEHMKAFKAKGGDVSWHIHDERSLLALQGPLAAPVLQHLTKEDLSKLYFGQFRVLDINGSQCFLTRTGVYHDKMLNPNGSMHNNAIFAETFRYTGEDGFEISVPSENALDLAKAILEKSEGKIRLTGLGARDSLRLEAGLCLYGNDMEQHITPIEAGLTWAIGKRRRAEGGFLGAEVILKQLEEGPKIRRVGFFSSGPPPRSHSEIQDEGGNNIGEVTSGGFSPCLKKNIAMGYVKSGLHKAGTKVKIIIRGKANEGVVTKVPFVPTKYYKP >OIV95773 pep chromosome:LupAngTanjil_v1.0:LG16:4741107:4742012:-1 gene:TanjilG_20223 transcript:OIV95773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFCVALMLLKVCFLPGTLALGATNGLTKEEAMKNNILNFEDLENLKLAEDLAKTCVEMYSVTSTSLAPEIAYFHTEEFFEQGLDGGNTSSEYVNDIIIKPADCHNLL >OIV95464 pep chromosome:LupAngTanjil_v1.0:LG16:15389657:15390490:1 gene:TanjilG_06926 transcript:OIV95464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKNLQIADPAESSGDSGSAGSSKTNTKTYKCIECFKPFPTRVMLIQHQREDEACRSSQMIKAISKNTLNSLSFSQPTLQSLPSPSSPPSIPKRNISTLELLSSMLPSSSLPPPSPVEPNATNLALYPTFPPLSPSIVELNTSILAPSPTLPQPSLPNVKSYISSFAPALAPALAPLPSPPCDAANPTNYHHAYLMQEIMSIDAAAAAAYGEDDNCFSPDNRTLDLISQLDPTRNFLCLIDQQSEQQSGNNVNGGDAAPGPSVEMEPLDMDLDFKI >OIV95134 pep chromosome:LupAngTanjil_v1.0:LG16:18035487:18036800:-1 gene:TanjilG_21524 transcript:OIV95134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVREVSESCVDSLMREMIDSYSNRFYADKPDLAARRIEAIGYQVGHQLSERYTMDRLRFNDHLDAIKFICKDFWSELFKKQIDNLKTNHRGTFVLQDNKFLWLARMSIDPSSADNVNSAEDNSSPSAENIAAEAMSMHLYFPCGIIRGALSNLGIPCAVSADISNLPACVYLFDSLSL >OIV96129 pep chromosome:LupAngTanjil_v1.0:LG16:851160:854774:-1 gene:TanjilG_13061 transcript:OIV96129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGDIRRVAHSLLRIYRSRHVDVSRNGAIASVGPNIRQCRLYMQYKFPSQLHNSFLWYRSHGTGQSFHKCSSFRNFSATSASNAVIHHSLVPWKLLYRKYSSSGHGSFSTINIIAQAVSLALSRSYLLVPGIFAFACGEHALAQHNWVDVERYQSQSDLYMRAQDGYSYMFSFVFMAVEGLVLLVRALYLAVLFSPSIVMAPFADYFGPNFRKLWLRVIHRTLEKSGPAFIKWGQWAATRPDLFPRDLCIKLSELHTKAPEHSFSYTKKTIERAFGRKISEIFENFEELPVASGSIAQVHRASLKQRNPAQQAKPLVVAVKVRHPGVGESIRRDFAIINLVAKISKSIPALNWLRLDESVQQFAVFMMFQVDLAREAAHLSRFIYNFRRWKDVSFPKPVYPFVHPAVLVETYEKGESVARYIDDLPGHEQTKSALAHIGTHALLKMLLVDNFIHADMHPGNILVRVPQSKSRKRLFKSKPHVVFLDVGMTAELAGSDRVNLLEFFKAVARRDGRTAAESCLRLSKQQNCPNPKAFIEEVEEAFTFWGTPEGDLVHPADCMEQLLEKVRRHRVNIDGNVCTVMVTTLVLEGWQRKLDPSYNIMKTLQTLLLRADWAKSLSYTIDGLMAP >OIV95975 pep chromosome:LupAngTanjil_v1.0:LG16:2022287:2027287:1 gene:TanjilG_27079 transcript:OIV95975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAEVVYEVNAQEGTQKTEVVAAQVEVPQKVVEKEENLVVVKDPKDEESKPKTVEKSSSYKEESNFLSDLKEFERKALNEFKTKLEEAILGNNLFEKEEPQKKEIEEEKKEEGAKESDEKEEDRKCEEEVEVEKDVSLWGVPLLPSKGAEGTDVVLLKFLRARDFKVNDAFEMLKKTLKWRKESKIDSIVDEDFGTDLASITYMSGFDHEGHPVCYNIFGVFESEELYQKTFGTEEKLTEFLRWRCQLMEKGIQKLNFKPGGVSSLLQINDLKNSPGPSKIRNVANQFLAILQDNYPEMVAKNILINTPFWFYALNALLSPFLTQRAKSKFVVSRPSKVTETLIKYIPIEEIPVQYGGFKRKNDSEFSTQDAAVSELILKAGSTTTIEIPALEVGNTLWWDLTVLGWEVSYKEEFVPNDEGSCVSKEVLPRGPSDSSNKTKQGFNNNLIQQEHSLTIENSDLIFTLYNTTPFGFTVTRKSSNDVLFNSSSNPASVLVFKDQYLQLSSHLPKNSSSIYGLGEHTKSTFKLQPDYQKPLTLWNAYVPSTNVDQNLYGSHPFYMDVRSGSKDGKVKSGTTHGVLLLNSNGMDIFYGGDIITYKVIGGVIDLYFFAGSTPELVLEQYTELIGRPAPMPYWSFGFHQSRYGYKNVSDLEGVVSNYSKTGIPLEVLWTDIDYMDAYKDFTLDPVNFPQDKMKKLVDNLHNNSQKYVPILDPGIAINETYGTYVRGLKADIYIKRNGTNYKSSTQLNNIVFSQTNPSFSTVLQNYIRNARFNTTSTSKPLIIVTPLQESHVQGTVICAKSIGIQIKIRSGGHDYEGISYISDEPFIILDLFNIRKVYVDIEKETAMIQAGATLGEVYYRIWEKSKVHGFPAGVCPTVGVGGHISGGGYGNMLRKYGLSVDNVIDAQIVDVKGRLLDRKSMGEDLFWAIKGGGGASFGVVLSYTVKLVSVPKIVTIFRVMKTLEENATDLVVQWQKVAPNTDDRLFMRLLLQPVTSKKVKKSKTVRASVVALFLGGVDEVVSILRNEFPLLGLKKEDCNETSWIGSVIWWNDDDAFNNGAKPETLLDRNLNSASFGKRKSDYVQKAISKHDLESIWKKMIEQGKVGFVFNPYGGKMAEIASDATPFPHRAGNLFKIQYSVNWDDPSEAAAQNFTNQAKNLHSFMTPFVSSNPRSAYINYRDIDIGINHFDKNSFEEGKVYGTIYFNNNFDRLVKIKNAVDPENFFRNEQSIPVHA >OIV95976 pep chromosome:LupAngTanjil_v1.0:LG16:2028760:2031301:-1 gene:TanjilG_27080 transcript:OIV95976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDPSCYVDKWFEIECRYYTPYLKSLNLEVRDIGFDYIIEIMNPVFYWNCHGKTNTSVIDLKGSPFRYSQYGNKFVAYGCNKLAFLQSDGSTIGGCVSICDHNDKSFYGIGSSVMSCCKASLPSHLSEYNVTLSDMNNESVVDENGWYSQNNHSHSKKWAIEGVSSSLGSVILLFGLWWSYKALRKRVIKKRKEKFFKRNGGLLLQQRLSTEEVSVHKIFFTLKDIERATDKFNANRVLGKGGQGTVYKGMLVDGKIVAVKKFKVQGKVEEFINEFAVLSQINHRNVVKLLGCCLETKIPLLVYEFIPNGNLFEYLHVENEDLPVTWDIRLRIAVEIAGALFYLHSIASQPIYHRDIKSTNILLDEKYRAKVADFGTSRVVSIEATHLTTVVQGTFGYLDPEYFHTSQFTDKSDVYSFGVVLAELITGKRPISVLSSEEARNLASYFVLSMEENQLFEIIDKRVAKESDKEQINAVANLAYACLELNGRKRPTMKEVTLELERIQGGDRRFNAKQNYEEIELARTHEYQHFDGYSMPNTLPIIHTEIVSSEVMPILKSDS >OIV96122 pep chromosome:LupAngTanjil_v1.0:LG16:806867:808601:-1 gene:TanjilG_13054 transcript:OIV96122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNLIETWISCMFSYHTTYGHVEKLAEEIKKGADSVEGVEAKLWQVPETLSEEVLVKLGAAAKSDVPIISPHELPEADGFLFGFPTRFGMMSAQFKAFLDATGGLWRTQALAGKPAGLFYSTGSQGGGQETTPLTSITQLVHHGLIFVPIGYTFGAGMFEMEKVKGGSPYGAGTYAGDGSRQPSELELAQAFHQGKYFAAIAKKLKGSH >OIV95321 pep chromosome:LupAngTanjil_v1.0:LG16:16741921:16745651:-1 gene:TanjilG_07477 transcript:OIV95321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYKQLCATFCLLALTCSLLPSFSNGLLRVGLKKKPLDLQSFHVAKKSREDLKLRRPMMGLYDKYIGTSKGEDIVPLTNYLDAQYFGEVGIGTPPQKFTVIFDTGSSNLWVPSSKCYFSVACYTHNWYKAKKSKTYAKNGTSCKISYGSGSISGFFSQDHVKVGNVVVKNQDFIEATREGSLSFLAGKFDGILGLGFQEISIEDSVPVWYNLVEQNLVSKKVFSFWLNGDPNAKKGGELVFGGVDSKHFKGEHTYVPVTRRGYWQIEMGDFLIGGLSTGVCEGGCAVIVDSGTSLLAGPTPVIAEINHAIGAEGVLSVECKDVVSQYGELIWDLLVSGVKPGDVCSQVGLCAFKKDLSESAGIEMVTEKETESKTRGDTPLCSSCQMLVIWIQNQLKQKNTKERVFSYVNQLCESLPSPAGESVISCDSLSRMPNITFTIGDKPFVLTPDQYIMRTGEGITEVCLSGFIALDVPAPRGPLWILGDVFMRVYHTVFDYGNAQVGFALAA >OIV96097 pep chromosome:LupAngTanjil_v1.0:LG16:3652641:3653246:-1 gene:TanjilG_27201 transcript:OIV96097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTKLIKKTSEISAMCGTDACVIIYGPNQPHPEVWPSHQGVQDLISKFKAMPKMERSRNMFNKEIFLKKMLTHALEKLVNLKDENKKMEMEIFIFQCVNTGSIVNNANNVDMNYVLRAINQNLMDIDELKKGKDETQHGTIMAPNGAGAFNRENATVGDDVKGMMENHDWAFNFGNDGGDVTLPFGDDNLSNDIEHGSSTP >OIV95139 pep chromosome:LupAngTanjil_v1.0:LG16:18073868:18079563:-1 gene:TanjilG_21529 transcript:OIV95139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSRLMGFFVSPFSPPISLKTHRFQIQLHSFNIETKSTLHHNNNHSNNNGVPYSLVADTDIHQNGSFLQPIIIEQDKNFNTSTSSTTTTNDSEEEEEDLAPLWNDGYGVKTVHDFIAEAKEKNKVDNGGSPSWFSPNDCGPPLKNSPNLLFLPGIDGTGLGLTLHHKALGKAFHVRCLHIPVQDRTPFEGLVKLVEEAVKLEHASSPKKPIYLVGDSIGGSLALAVAARNPIIDLVLILVNPATSFDRSQLQPLFPILEVLPDELHAAIPLRSLILGDPVKLASVNIGNNLPPAKRFEQLSYNLNTLLPSLHELENIILPKDTLLWKLKLMKSAASYANARLHSVKAEVLVLASGKDNMFPSADEAQRLVSSLQNCKFRNFKDSGHYLLLEDGVGLLSIIRGTCLYRRSRRRDLVGDFIPPSLREFRYARDEVTGLFRSATGAAMFSTLEDGKIVEGLSGVPDKGPVLFVGYHMLLGIDLIPLVDRFLSEKGVMLHGLAYPDLFTEVGETLSPEFSIIDWGKIHGAVPVTAANMFKLLSKKSHVLLFPGGVREALHFKGEEYKLIWPDQPEFVRMAARFGATIVPFGTVGEDDIVDMLLDYNDLMKIPIVNNYVKEASKNTNKFRDESSGEVANRNIFSPVLLPKIIPGRFYYLFGKPVKTEGMKNMLKDRDAANKLYLQIKSQVKENIDYLLKKREEDPYRNFINRKIYETFNPSETDNTPTFKP >OIV95883 pep chromosome:LupAngTanjil_v1.0:LG16:1249958:1251394:1 gene:TanjilG_26987 transcript:OIV95883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKTLFKWPKQVTSSLVEQLIQAEKDINKAVHIFDTATAEYSNGFRHDHKTFGLMFSKLVTVNQFRSAESMLERMKHENCKVTEDLFVTICRAYGRVHRPLDAMRVFHKMEDFQLKPTQKSYVAILDILVEENHVKRALAFYKDMRGMGIPPSVVSLNILIKALLKNNETVETAFRIFREMPNRGCEPDSYTYGTLVNGLCKLGKISQAKELFKEMEQKGHSPSVVTYTTLIHAMCQSNNLDEAISLLEEMTKNGIQPNVFTYSSLMDGLCKDGHSSEAMELLEVMVRKRLSPNMVTYGTLINGLCKEGKVREAVEILDRMRLQGLKPNAGLYGKIINGFCAACSYQEAANFIDEMVLGGISPNRATWSLHVRMHNKVVQGLCNNDPSRAFQMYLSMRHRGISIEDDTFDCLIRCFCKKGDLNKAARILHEMVLDGCTPDEGTWDALMGGLWDRKKVREATEILLAELQHKIVEAAS >OIV95688 pep chromosome:LupAngTanjil_v1.0:LG16:6863341:6865183:-1 gene:TanjilG_01482 transcript:OIV95688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVEESAPSTERAQSVTDVKLFNRWSYNDVEPHDMSLFDYIGVVAPKYAIYVPHTAGRYSAKRFRKAQCPIIERLTNSLMMHGRNNGKKLMAVRIVKHAMEIIHLLTDLNPLQVIVDAVENSGPREDATRIGSAGVVRRQAVDISPLRRVNQAIYLLTTGAREAAFRNIKTIAECLADELINAAKGSSNSYAIKKKDEIERVAKANR >OIV95485 pep chromosome:LupAngTanjil_v1.0:LG16:14266099:14267625:-1 gene:TanjilG_23928 transcript:OIV95485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRKAFLDLSFLLRSCVPHSAIFQAKQCHAQTILQGLLPNVTLENDLLLVYSRYSRCCYARKVFDRMLRRNMHSWNIMVASCVKNSMYNDVLTIFSEFKRCGLQPDHYTLPSLFKAAIGVCDAWFGKICHGWVIKLGYEGYVVVGGSVLEFYIKCGDIPLARSVFSNMLCRDHVVWNLMISGFGNAELYSEAINCFREMLVLNGVKVDYMIVPSILNACGREGDLMKGKELHGYVVKNFAFDADAPIGNALIDMYGKCGCLNDSEKVFRTLRHVNLVTWTTMISCYGIHGKGEESLSLFKKAIHDGFAPNSVTVTAILASCSHAGLVDQGKHIFSSIYSDYGLEPTVEHYACVVYLLSCCGYLVEALDFLKSMKAPVTGSIWGALLAGCVMHKNVEIGEIAAHHLFQLEPNNASNYIALCGIYQSHGMIDGISNIRAKMRNLGLVKTPGYSWINIGGRAHKFYQGDLSHPMAQMIYKIIYQISNVQLLNNYFLGVENSLHDDTLIMGL >OIV95469 pep chromosome:LupAngTanjil_v1.0:LG16:15509289:15509600:1 gene:TanjilG_06931 transcript:OIV95469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPFTLDVFISKRFVSASLTHRVTSKQVAVAGTNSKDIKAVLRSRSDIPACIAIGRILAERAREADVYTASYTPRDRDKFEGKIRAVVQSLIDNGIDVKVYLD >OIV95626 pep chromosome:LupAngTanjil_v1.0:LG16:8170208:8176906:1 gene:TanjilG_23857 transcript:OIV95626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHSSEEDTDISESEISDYEDQSYEKLKNGSHCVKTSDKTFTCPYCPKKRKRDYMYKELLQHASGVGQSSSQKRRAIDKANHLALVKYLEKDLMTVDVPPKPAEESDSSVNPNEQFVWPWIGIVVNIPTRRAGDGRCVGESGSKLRDEYKRRGFNPFRVSPLWNFRGHTGTALVEFNKNWPGFHNALAFEKAYESDHHGKKDWFANSEEKSGLYAWVARADDYKMNNIVGENLRKMGDVKTVSELQEEEDRKQHKLVSNLHNIIQAKNQHLKEIEVRCNETTQKMDVAMGEKDKLIHAYNEEIKKIQSNARDHFQRIFNDHEKLKMQLETHKSDLELRKIELEKREAHNESERKKLAEEMEENAVKNSSLQMASMEQQKADENIFKLAEDQKRQKEDLHAKILRLEKDLDMKQKLELEIEQLQGKLNVLKHMKDDDEDAEVLNKVDTLMKELREKEEAFQDLDEMNNALIAKQRKSNDELQEARNELLNFIKEMPSGGNIGVKRMGELDTRPFLEATRKKYNEEEAEERTSELCSLWEEYLKDPDWHPFKVIMVEGKETEIIRDDDEKLIGLRSEIGEGAYNAVVTALKEINEYNPSGRYISSQVWNYGQGRVATLQEGVQVLLKQWKSYKRKRGMM >OIV95122 pep chromosome:LupAngTanjil_v1.0:LG16:17914247:17923705:1 gene:TanjilG_21512 transcript:OIV95122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IMCGNYFLSCSMSSQVHAHINFKVPMSRLKQNFTIVKCCNRRSWEKPRAQINYYELLGISVDSNSKKIKEAYRKLQKKYHPDIVGPKGHEYTLMLNKAYEVLMKEDLRRKYDESLGQMRLRFDKNNMPLGYSTWKGPLRSQALFVDGNACIVKCCNRRSWEKPRAQINYYELLGISVDSNSKKIKEAYRKLQKKYHPDIVGPKGHEYTLMLNKAYEVLMKEDLRRKYDESLGQMRLRFDKNNMPLGYSTWKGPLRSQALFVDGNACIGCRECVHHASNTFTMDEAHGCARVKVQYGDNDQNIEVSVESCPVNCIYWVEAEELAVLEFLIQPQPKEGYGIFGGGWKKPENVFKAAESFRKQLKREAATRQDQRTGGGTDEESHAQAEARAHATMNIKLESFLKTWKWVKETLGMDIN >OIV95801 pep chromosome:LupAngTanjil_v1.0:LG16:4458361:4464709:1 gene:TanjilG_20251 transcript:OIV95801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFHILVVSILRYSAFSLSMVCSSGSGRMEVMARLLAAETFSQNVPDNFAPQKSAADYICRELREADEANLLDGEDMQVYGERPMADMLQLVCCHVCKKTIKDSQYAAHADQATAVGERRRSDTTDNVVSVVSKSYLSSQIRVTSFSIEAKDAAFMMDDKGIIPGSRDHPALIMHPPTESHKVMTSTHLSLPESRGTKSGVTKFMNFADGIIRSDLLEGTVSEHGCPNHKDIGPVHEQHVTNNDFPAPLATKMYYSQRNNRLRAAIRHLYFQELSDNMWNDAVSPNASHGEMLAFEDPCQKDPSFDQIDNVINKSHSPTLCSAQNSGHILAKSSEVCLLKGGALPSSGLSNQFLVDNVSRSAATHVLMRNNFLPKSYAFANNSGPMQQPNGSVPVI >OIV95868 pep chromosome:LupAngTanjil_v1.0:LG16:4173380:4177475:-1 gene:TanjilG_06844 transcript:OIV95868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDFLIWVDRDLGRWGPLVLAVAYIPLTVLAVPASVLTLGGGYLFGLPVGFVADSIGATVGAGAAFLLGRTIGRSYVVSKLKDYPQFRSVAIAIQKSGFKIVFLLRLVPILPFNMLNYLLSVTPVSLGEYMLASWLGMMPITLALVYVGTTLKDLSDVTHGWGEFSTTRWAFIISSLVISVILMIYVTKVSKSALDKALAESEDIDGVTSSSTLPIVAESSVHLNQPLIIKIDSTEDNH >OIV95989 pep chromosome:LupAngTanjil_v1.0:LG16:2145369:2154842:1 gene:TanjilG_27093 transcript:OIV95989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEVTIETNTCDQLQKQELSPHALPFARSYQLEALEKAIKENTIVFLETGSGKTLIAIMLLRSYAYLLRKPSPYIAVFLVPKVVLVSQQAESVKALTDLKVGMYWGEMGVDYWDAGTWKKEIEKYEVLVMTPAILLRSLRHSFLKLNMIKVLIMDECHHARGRDPYACIMREFYHDQLQSGVSDLPRIFGMTASPIKSKVGNSEWTLAENIRKLMTLMHSKVYTCASDTIAEYIPLSTPKFKFYREDVIPFTVSEELAVKLKMLKEQHEDALINSDFTQSAIDSAQKRIEKILYALMFCLDELGIWLALKAAESLSSNESESISRGNSGDRFVKSFSLATVHYLTSYLPSGPEWSFGDNVKSDMDIGLLTSKVYCLVESLLEYRDLNNMRCIVFVERVITAVVLEILLNALLPKYNSWKTKFIAGHNSGLKNQTRKKQNQIVEEFRDGLVNIIVATSILEEGLDVQSCNLVIRFDPCPTVCSFIQSRGRARRQNSDYLLMVKSGDSDTHSRLEKYLASVDIMRNESMRQSAIPCGSFEQLPEEVYRVESTGAIVNLSSSITLIYLYCSWLPSDGYFKPSPRWDKENATLYLPKSCPLQPIQVEGEKKFFKNIACLEACKQLHKIGALTDNLVPDIVVQEAEVDEFENEPYNEEQPRYVPHQLVNRISKNDKTMYHCYLIELKQNFSYDISVCDIVLATRNELDPEIGSTQFQMCFDRGSLSVNMRYIGTLHLSPNEVLLCKTFQVTILKILVDHNMDKLAASLESLDKFNLDDDLEIDYLLLPATAIQNRPTVIDWLSITSVNPSKITCEKHSPKVWTKNGLVCPCILQESLVYTPHNGHVYITTSVMELDGNSPLELRDGGVTTYKKYYEEKHGTQLSFEHQQLLNARRIFVVKNYSHGRRQEKDIEAGNKFVELPPELCCIIMSPISISTIYSFSFVPSIMHHLESLLGAYNLKKTYLKRCIQDEIQIAKLLEAITTKRCKDPFNYESLETLGDSFLKYAASKELFKSYQNLHEGLLSVKRTKIISNAALCKFGCNSGLPGFIRNAPFDPHTWLIPGDKSESFKLKEESDSKGTTIYVSGKRKLKRKIIADVVEALIGAFLTSGGEKAALLFMDWVGIKVNFDTMPYERHLSIQPEKLLNVSFLESLLKYKFRDRSLLVEALTHGSYMLPEIPSCYQRLEFLGDSILDYLITMHLYEKYPGLSPGQLTDMRSASVNNDCYAWSAIKAGLHKHILHASQELHKHIFNTLSTIQKLSSFTTFGWESETSFPKVLGDIIESLAGAILVDSGYNKDVVWQSIRPLLEPLITPETLKLHPVRELTELCQREGYTPNITISSKDGVSCARVEVDANGVIHQYEYNGCVDKNTAKKLACKEILKSMQNTDGK >OIV95297 pep chromosome:LupAngTanjil_v1.0:LG16:17033048:17041798:1 gene:TanjilG_07453 transcript:OIV95297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVEDEWDLSTEELDSLERDAFQKIAQLRHSQSQSQPSPSLSSQPLSTPSPTTYPNPFPDSRPQKVVVAAFRKISRASWNAKERLWMFPLSSLSEAEKILGEIPGYNVQVENIDPLAHRAITAASAVPDLRDRYDKIPSYVETKLLPFQRDGVRFILQHGGRALLADEMGLGKTLQAIAVAACVQDSWPVLIIAPSSLRLQWASMIQQWLNIPSSDILVVLSQSGGSNRGGFNIVSSSGKSRIHLTGLFNIISYDLVLKLQNMLIASDFKVVIADESHFLKNAQAKRTTASLPVIKKAKYAILLSGTPALSRPIELFKQLEALYPDVYKNVHEYGNRYCKGGVFGVFQGASNHDELHNLMKATVMIRRLKKDVLSELPVKRRQQVFLDLADKDMKQINALFLELEMVKAKIKASKSKDEAESLKFTQKHLINKIYTDSAEAKIPSVLDYVGTVIEAGCKFLIFAHHQPMIDSIHEFLLKKKVGCIRIDGGTPAASRQQLVTEFQEKDSIKAAVLSIKAGGVGLTLTAASTVIFAELSWTPGDLIQAEDRAHRIGQVSSVNIYYLLANDTVDDIIWDVVQSKLENLGQMLDGHENTLEVSTNQPENSSAKQKTLDEFVRRCDGRDELENQSNPKRAQVGEQLHVGTVFFMPREGLLQVDGF >OIV96203 pep chromosome:LupAngTanjil_v1.0:LG16:309661:310782:1 gene:TanjilG_14880 transcript:OIV96203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSWWEQISKARSRIHSLINLLPSSSSSESLLSLADSDRPALSLLSSPTAYSSISSALSAPSSGSGSDPLCHWLYDTFLSDDPHLRLLLLSYLPLISGLYLSRVHHSSISITPPSLAGFEAVLLALYSSEVKSRSGKPLLFTLPDLSQPSIYHAPINKPFSSKPSVSIVSPSLEPQVAVKSTKRASIVGVAFDLFYKHISQMPSWSKIEFCQFASGWAGQDCSCNDQFDEIHVNGFGVGASESNEIQDFVQDMGNLEIEEFDKVKVEVEVSKGGRIPLPWEILQPVLRILGHCLLGPLNSQDVKDAASVAVRRLYARASHDLVPQGILATRSLIQLDRRARQAVKSAAAAVNASSNVNTPTKVKKPEVLLVSK >OIV95744 pep chromosome:LupAngTanjil_v1.0:LG16:5284116:5289362:1 gene:TanjilG_05292 transcript:OIV95744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLSSAGFSPPPLEGEKRCLDSELWHACAGPLVSLPAVGSRVFYFPQGHSEQVAVSTNKEVDAHIPNYPSLPPQLICQLHNLTMHADAETDEVYAQMTLQPLNPQEQKEAYLPAELGNPSKQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDFSQQPPCQELIARDLHGIEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDAVLFIWQCIFFNRNEKNQLLLGIRRANRPQTVMPSSVLSSDSMHLGLLAAAAHAAATNSRFTIFYNPRASPSEFVIPLAKYIKAVYHTRVSVGMRFRMLFETEESSVRRYMGTITGISDMDPVRWPNSHWRSVKVGWDESTAGDRQPRVSLWEIEPLTTFPMYPSPFPLRLKRPWPPGLPSFHGMKDEDFGMNSPMMWLRDPDRGLQSINFQGMGVNPWVQQRFDPSMLNMQTDMYQAAAAAALPDMRTLDPSKQHPASLVQFPQPQNFPNRTAALMQAQMLQQSQSLAFQNNQENQQSSQSQAQTQMHLQQQLQHQHSFNSQHQLHHNQQQQPQQMQQQQKQQPQQMVDNQQISNAVSTMSQFISAPQSQSPLMQAISSLSQQQTFSDSSANPITIVSPLHNILGSFALDENAHLLNLPRTSSWVPVQTSTAWPPAKRVAVDPLLSSGASQCAMLQADQLGQPQSTMSQNAITLPPFPGRECSIDQEGSNDPQNHLLFGVNIEPSSLLVQNGMAGLKGVGGNNDSPTMPFQSSNFMNNTGTDSSLNPGMTHNIGDSGFLQTQENAGQENSPSKTFVKVYKSGSFGRSLDITKFSSYHELRSELARMFGLEGELEDPLRSGWQLVFVDRENDVLLLGDGPWPEFVSSVWCIKILSPQEVQQMGNNGLELLNSVPIQRLSNGIYDDYMSRQDPRSLSTGIISVGSLEY >OIV95034 pep chromosome:LupAngTanjil_v1.0:LG16:20688591:20690328:-1 gene:TanjilG_10854 transcript:OIV95034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSYVFGVAISIVVVALCSSSAASAQQHTRAFFVFGDSLVDSGNNDFLATTARADAPPYGIDYPTHRPTGRFSNGLNIPDLISLDLGLEPTLPYLSPLLVGEKLLVGANFASAGIGILNDTGFQFHCSM >OIV95833 pep chromosome:LupAngTanjil_v1.0:LG16:3835664:3839690:-1 gene:TanjilG_06809 transcript:OIV95833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCHGSKETKITEQFSGHGSNSNPASTRNHHHTVQPSTTQAQTSAAAASEAALHNNQNQNQKQQQVPITKPYSQNTRPVLQKQEPTILGKPLEDIKKYYTLGKELGRGQFGVTYLCTENSTGYTFACKSILKRKLVSKADKEDIKREIQIMQHLSGQPNIVEFKGAYEDRYSVHLVMELCAGGELFDRIIAQGHYSERAAASMCRAIVNVVHICHFMGVLHRDLKPENFLLSSKDEDATLKATDFGLSVFIEEGKVYRDMVGSAYYVPPEVLRRSYGKEIDVWSAETEKGIFNAILEGELDFESQPWPSISNSAKDLVRKMLTQDPKKRITSAEVLEHPWIREGGEASDKPIDSAVLSRMKQFRAMNKLKKIAMKVMAENLSEEEIKGLKAMFANMDTDNSGTITYEELKTGLARIGSKLSEAEVKQLMEAADVDGNGSIDYIEFISATMHRHRLERDEHLYKAFQYFDEDSSGYITRDELEIAMTKYGMGDEATIKEIISEVDTDNDGRINYEEFCVMMRSGMQHQGPLL >OIV95683 pep chromosome:LupAngTanjil_v1.0:LG16:6819636:6825659:1 gene:TanjilG_01477 transcript:OIV95683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQAFKTMMGQMNSQTNPFDSAAFSGSPFPFPTPPPSASGPAAPSTFAGFQSQAPFAPTASQSTVTVDAHVPASRVEEAPAAYVKDEEEVKNEPKKIAFVDVSPEETEQKSPFESFKVDESSSFKEAQVTEEASQNGAPFNQGFGNAAGSQSTGKSPLSVDALEKMMEDPTVQKMVYPYLPEEMRNPSTFKWMMQNPQYRQQLEEMLTNMGGSGEWDSRMMDTLKNFDLNSPVVKQQFDQIGLSPEEVISKIMANPDVAMAFQNPRVQAAIMDCSQNPLSIAKYQNDKEVMDVFNKISELFPGVSGSP >OIV95715 pep chromosome:LupAngTanjil_v1.0:LG16:7419428:7426349:1 gene:TanjilG_01509 transcript:OIV95715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESVPDLQNTMYNVVDGYPCVRLLNVSGTIGCSNPGRDKVVAPIVRFENVDEISEPSAILVISDDISFASKVGGVLVESGADLQNKLKGFSPDQKFPQAEFAPYDNINYKWNPIGSGIMWKSYNFPVFLLTESGTKTLREFIVKNDDKSKSYTSNVAEFDLVMQTMRSGTHDSESCLKEATCLPLGGYSVWSSLPPINVSSLKKPKSIILTVASMDSASFFRDKSLGADSPISGLIALLAAVDALSRVNGLGDLSKQLVFGVFTGEAWGYLGSRRFLLELDMHSDAVHGLDSSLFETVIEIGSVGKGFSQGVNNFFAHTKGDSSPTNQTKAALKRAQESLQTENIKITPASASNPGIPPSSLMVFSKKNPAISGVVLEDFDSVFVNKFYHSHLDDLSNVNSSAVVAAASLVARTLYILASETKDVQDSALTSINVNVSLVEQLMGCLLDCDPGLSCELVKKYISPASTCPGHYVGVIQDEPSSTPYSGYINDVPRFIWNFLADRTSISREHNGSSNCQNGCNGRDEVCIKAEIDGKGVCVLSTTRYVPAYSTRLKFESGVWNVLPANSSDSMGVVDPVWTESNWNSISMRIYTIQVAAYDRLILFVGVILTILAYLAITVTKALAIKAMKRD >OIV95563 pep chromosome:LupAngTanjil_v1.0:LG16:10756903:10758807:-1 gene:TanjilG_02918 transcript:OIV95563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRTRFDNYPKGPKWQTAFHDLLGQGIFNSDGDTWLMQCKTAALEFSTRTLRQAMARWVNRAIKKRLWCILDKAAKENVKVDMQDLLLRLTFDNICGLTFGKDPETLSPDLAENPFSTAFDTATEATLHRLLFPDILWRFKNLLGFGMEKKLSQSLKIVDTYMIDAVKTREEAPSDDLISRFMKKRDTDGKSFSPNVLQRIALNFVLAGRDTSSVALSWFFWLVMNHPAVESKILKELTAVLAETRGEERGKWVEEAVDFEEANKLVYLKAALAETLRLYPSVPEDFKYVVADDVLPDGTVVPAGSTETYSIYSVGRMKKIWGEDCMEFRPERWLSVQGERFDRYRFEPPKDGYKFVAFNAGPRTCLGKDLAYLQMKSVAAAVLLRYRLSPVPGHKVEQKISLTLFMKNGLHVFLHPRQLQSEDATYA >OIV95133 pep chromosome:LupAngTanjil_v1.0:LG16:18020176:18030810:-1 gene:TanjilG_21523 transcript:OIV95133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGCFSDLRGGKEGVGIRMIDNGDDNGGDGHNDAVRHFYTAQGFQQMFTQLQLSLSASNLLDRDIASKSDPMVVVYAKKKDGIVEELGRTEVILNCLNPQWIQKINVTFQFEIVQQLEFHVYDVDTQYHCIPTKALKLKDQDFLGMANCILSEIVTKQSQKITLRLQSKIGYSSSRNLGALNVHAEETISSRNAIEMIFHCTNLDNKDIFSKTDPFIRISRIVESGGSVPICKTEVIDNNLNPIWKPLCLSSKQFGSKENPLIIECFDFNSNGNHVLVGKMQKSIVDLEKLNKEKIGANFVIPSSNHSKEKVLKGKLFVDQYCEIEQFSFIDYISSGFELNFMVAVDFTASNGNPYQPDSLHYIDVSGRLNSYQRAIMEVGEVIQFYDSDKHFPAWGFGGKTYSGTISHCFNLNGSQSGSEVVGIEGIMNAYTSALHNVTLAGPTLFGPIINMAAQMASQSLSSSNCTKYHVLLIITDGVVTDIQETIDALVKSSDLPLSILIVGVGNADFKSMEILDADNGHRLESSNGRIATRDIVQFVPMREVQSGQISVVQALLEELPDQFLSFMRSKDIKPLPSHFPHSPSNH >OIV95737 pep chromosome:LupAngTanjil_v1.0:LG16:5357518:5360321:-1 gene:TanjilG_05285 transcript:OIV95737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISTETIVPGRKLKIKFSTKKVEVDPGVKCEFGQQLSQNECCNSKKFSMPDSNKRGSPGSNEGQKEKRQKIDRKGSFQCVTILKSLISHPYSWVFSKPVDPVALNIPDYFTIISHPMDLSTIKSKLEKNIYSGIEEFAADVRLTFTNAMTYNPPSNDVHLMAKELNKVFDRKWVDFNKHWKCEDEDGKSMTGKVKETATKNCNRTRPHHKDTMPKRSQVSEHKGIQKISSIAARDSNVEVPKLSQIPCKLIKKDFHKGNNDGEHCSGSVKACPSLLPVKCKCSLCGNITCSCAIPSNCARASSGSEGRDVITHCSDASRQDCQTKGTSPSQRKSDPDSDGVVSSLDSEHMCSSSQLATLVTDASSAEVWSTPDFSVQLSPKKALRAAMLKSRFADTIFKAQQKTLLDNGDKCDSLKMRLEKERLERIQREERAKIEAQIKTAEAAARMRAEEELRQQREKEREAARLAIQKMERTVEIEHNMEIIKELETLSGCTLSYKALGSRNGYRAAMETLELPQLENPLERLGLFIKNDYAAADEDEEVCWEEGEVF >OIV95919 pep chromosome:LupAngTanjil_v1.0:LG16:1547589:1553422:-1 gene:TanjilG_27023 transcript:OIV95919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCALLGVGEGRVPFACDPNNGLTKGFKFCSTHVAINLRVQDLIGRLTLPEKIRLVVNNAIEVPRLGIQGYEWWSEALHGVSNVGPGTKFGGAFPGATSFPQVITTAASFNQSLWQQIGQVVSDEARAMYNGGVAGLTYWSPNVNIFRDPRWGRGQETPGEDPILAGKYAASYVKGLQGDGAGNRLKVAACCKHYTAYDLDNWNGVDRFHFNAKVTKQDLEDTYNVPFKSCVLEGKVASVMCSYNQVNGKPTCADSNLLRNTIRGKWHLNGYIVSDCDSVDTFFGTQHYTKTPEEAAAEAIKAGLDLDCGPFLALHTDGAIKQGLITENDLNLALGNLITVQMRLGMFDGGAQPYGNLGPKDVCTQAHQELALEAARQGIVLLQNNGNTLPLSPRTQGIVGVIGPNSDVTVTMIGNYAGVACGYTSPLQGIARYVKTMHQVGCRDVACGATDLFGRAETVARQVDATVLVVGLDQSIEAEFKDRVGLLLPGHQQDLVSRVAKAAKGPVILVIMSGGPVDVTFAKNDPKISAILWVGYPGQAGGTAIADVIFGTTNPGGRLPNTWYPQDYVDNVPMTNMDMRANPTSGYPGRTYRFYKGPVVFPFGHGLSYTTFTHSLVVAPKEVALPIVSLSALKNSTFSSKGVKVSHANCGALELGFHVDVKNEGSMDGSETLLIFSKPPIGKWNSVKQLVSFHKVHVHVGSKQSVKFGVHVCKHLSIVDEFGIRRIPMGEHELHIGDVKHSIYVQTLDEIKN >OIV95953 pep chromosome:LupAngTanjil_v1.0:LG16:1877040:1879152:1 gene:TanjilG_27057 transcript:OIV95953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNVEGRYHSHKIHNKISTSSESLGNPYNPKDPSSLPSNPPTVPSDPYPNDPGDSSSNCVFDVTSFGAVGNCSSDDTAAFKAAWNAACAVDNAVILAPQNYCFMITSTIFSGPCKPGLVFQVDGTLMPPNGPDSWPEKDSQNQWLVFYKLDQMTLNGNGTIEGNGEQWWNLPCKPHRAPNGKTVSGPCDSPTMIRFFMSSNLVLRGLRIQNSPQFHVKFDGCKGVLIEELSLYSPKLSPNTDGIHLGNTKGVGIYNTMISNGDDCISIGPGCSDVDIKGVTCAPSHGISIGSLGVHNSQACVSNLTVRDTNIRESDNGLRIKTWQGGTGSVTGLKFENIQMENVRNCIIIDQYYCLSKECTNQTSGVHVNDVSFRNIKGTYDVRTPPIHFACSDTVACTNITISEVELWPYEGELLDDPFCWNAYGTQETVTIPPLDCLSEGLPDTLGELSSYECS >OIV95127 pep chromosome:LupAngTanjil_v1.0:LG16:17982912:17986588:-1 gene:TanjilG_21517 transcript:OIV95127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYGAVGDGMTDDSQAFLKAWSDACGISDSFGTLEVPNGNTFMLKPITFNGPCKFSEVHFKLEGNIVAPSSTEAWTENVDKNRWIQFTNVTSLVMNGGGQIDGQGSIWWKICKALSFKNCNKLQLSAIQHINSAKGHISITSCVQTRIRDLIITAPEDSPNTDGIDINESKDIIIQNCTIATGDDCIAMNKGTSNINITAITCGPGHGISIGSLGKNGDFATVENVYVNNCTFKGTTNGVRIKTWPEGYGYVRNVTFNKIRLYNTRNPIIINQNYKDKQEEGKGFEINGVTYQDVSGTSATSVAINLGCNSNGGGCTNIIMDTVNLTSISSNKVVTASCTNVKGQETQVSPKVPCLTEKPPSTLIGAGIRTGESNTRL >OIV95448 pep chromosome:LupAngTanjil_v1.0:LG16:15034516:15036745:-1 gene:TanjilG_06910 transcript:OIV95448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNTPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDALMKILTERGYSFTTSAEREIVRDMKEKLAYIALDYEQELETSKTSSAVEKSYELPDGQVITIGAERFRCPEVLFQPSMIGMESSGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPSIVHRKCF >OIV95730 pep chromosome:LupAngTanjil_v1.0:LG16:5553579:5554317:-1 gene:TanjilG_05278 transcript:OIV95730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFLLLLDPEGIRIPSLHSLHASFMKAGQGHRNWFPFLFSGSRNEDKVSGIPETVSFSFALNWNGCLPFLITSFAFEISCPPSFPSRWIV >OIV95121 pep chromosome:LupAngTanjil_v1.0:LG16:17904687:17909963:1 gene:TanjilG_21511 transcript:OIV95121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQQQQQQQHNLLTPIMCVNADDVDSSLVLDYHHIDQKLLQNIVYDSLVWSSLHGLVVADKSVQSSGSVPGVGLVHAPISLLPTPFPESHWRQASELAPIFNELVDRVSLDGKFLQESLSRAKKADKFTSRLLDIHSKMLEINKKEDIRLGLHRSDYMLDEQTKSLLQVELNTISSSFAGLGSLVSELHRSILSHYGKFIGLNSEKVPANSAASQFAEALAKAWTEFNLPGAVILFVVQAEERNMYDQHLLSVALRERYPFLILILYNTHGITTIRKTLAEVDQEGELLPDGTLLVDGQRIAVIYFRAGYTPADYPSESEWRARLLMEQSSAVKCPSISYHLVGSKKIQQELTKPNVLERFLDNKDDIASLRKSFAGLWSLDDSDIVKKAIERPELFVMKPQREGGGNNIYGDAVRETLQNLQKAGSQEDAAYILMQKLFPKTSASILVRNGSLHKENVISELGVYGTYLRNKDRVILNNQSGYLMRTKVSSSDEGGVAAGFAVLDSVYLN >OIV95269 pep chromosome:LupAngTanjil_v1.0:LG16:17383164:17392486:1 gene:TanjilG_07425 transcript:OIV95269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYRHVGDRYNNNDPLGYRHPRPHSRFSHSPINYNNPRRSPSSGFRGPAPHHHGAFQTPPRSSPGGFRPISGGEGFRPMSGEGSGEFGFNNYQQKQQQQQPQLSGQKRGFSFSGRGGSPERFDRGTFAKLFVGSVPRTATEEDIRPLFEQHGNVIEVALIKDKKTGQHQGCCFIKYATSEDADQAIRALHNQHTLPGGIGPIQVRYADGERERLGAVEYKLFVGSLNKQATVKEVEEIFSKYGRVEDVYLMRDEKKQSRGCGFVKYSNRDMALSAINALNGIYTMRGCDQPLIVRFADPKRPRQGDSRGPTFGDPGSGPRFDPPGARFPPNTSVPMGDRMPPPNAWRPMHPPNMGPSSNADNHCMEPQWFPRSSDTTLPINAGGPMTGMGDPIDGRFKVESLTSMSQQNFNQPVPHIPPLNQEISPLQKPVQSSQELPPAHHLHPEPQAPMPYSQIPSQSSLRQVGQPQLPLSAGKQVLGTNGQFPTSQSQIQQSALPASNPRVLHDTNFQPNTTFTTNKQQVPPSVQQQPLQPHQQSPSQLAQMLSHQKQTLQASLHSSQQVFSQLQQQVQMMQPSSQTSTMQQNAAIANKQQAINSTAAPIGDVPSSTSATIVAPGISQNTTLAKCNNWTEHLSPEGFKYFYNSVTGESRWEKPEVLALSEKQQQRLPGQHSQTQSQPSTISAHQVPQMQQAQPQSAFQGQILHQQQMQQPSLSSSFQAYGVTANQNVQDVGYKQLQASALSAGDPGRYSQGIHTTQDWMWRNKPAGGELKLESRWTCELSGLMLNMVFELWWVRPHIEMLQLLNSRRTEYLI >OIV95111 pep chromosome:LupAngTanjil_v1.0:LG16:17827399:17832595:-1 gene:TanjilG_21501 transcript:OIV95111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMGSSWFGTTITITPFQFYTKVNKNPTIVSFSYRNKDKDKDHVPLSVASAYDVLGVNPDCSAFDIKAAFRSKVKQFHPDVNRDEGNSDAMILSVIQAYQILSNYTKAEIIERECLDPFDTPECEAYDLFVNELLCVGKGCSNSCVERSPHAFTYVSSTGTARASSQGHGDDYQVQCAVGQCPRSCIHYVTPSQRVILEELLDSVLGAPYDTSAEADMLYSLITKAKFENNRYQKPKKQPKSSSQHVDWF >OIV95891 pep chromosome:LupAngTanjil_v1.0:LG16:1295337:1296146:-1 gene:TanjilG_26995 transcript:OIV95891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIHNNNHANYEGILENVWAKIINSDGADRRGNEVAESSSSWEELHNLYGRDRSMEMLQRLPSLGRWISMGADFWEEVLDGIIPTTTNTENSYSHNNLETTSATKSNKKLEDGVRKEKKIVAKHYRGVRRRPWGKYAAEIRDSSKKGARVWLGTFDTAEEAALAYDKAALRIRGPKSYLNFSLKTVSKALGFTCEEGCYACRYVGNKENCYNSRKRRSQDGEKIVDMLIMNEEPALKKVATLEGVLENEIDVVFQDLGSDYFDNLLSSF >OIV96010 pep chromosome:LupAngTanjil_v1.0:LG16:2341397:2346431:-1 gene:TanjilG_27114 transcript:OIV96010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLNGTIPSNLGNLSSLVELDLHNNSFHGIVPDELALLSRLESFNLCNNNFGGEFPTWVGELSKLEFLSLCNNSFSGSIPASLSNLEGLKSLDLSFNSIEGSIPPELGRLPNLTVLDLAHNELSSHIPSSIFNISTLQVVDLSNNSLSGNIPQKLGDLSELRSINLSINKLEGSIPLSLFNMSTLEEIQFTYNNLSGSLPMDLCNGVPMLEMLDLSGNAFSGLFPSNLLQCKQLKYLKVIGNRLNGNISNEIGKLPMLQVLDLSNNNITGEVPASLFNISTLRVINLRDNFFIGTIPYELGEYLKNLAILHLQNNNLRGSIPSSIFNISGLQSLSLSYNQLSGNLPIYPYHTLSNLQFLYLTNNSLSGEIPTSLFNATMLSSLTLINNSFSGVIPDSIGNLINLQLLGILGNNLTGDPASSELSFLTSLTKCRKLKSLVLSYNPLNGALPSSIGNLSNSLQSFVAWNCNLKGQIPSQIGNLKNLFDINFSNNQLIGQIPGTLGSLLSLQRLDLSGNNLNGSIPGQICQLTNLDEISLEHNNIFGLVPECLGGLTKLRKLYLDNNNLNSIIPSTLWSLSDILEVSLSFNGFSGSLPTDISGMKAVIKLDISNNKLSGNIPSDIGDLQKLLNLSLANNMLQGPIPDSFGSMLSLEYLDLSNNTLSGIIPKSLEKLVYLRFINLSYNRLEGEIPSGGEFANFTAKSFMMNSALCGRPDLQVPQCPRGADHGKKTKKLVLKLVLPLTLFGALIGFALLIIHRRSSSKGSSIVVLPSFQFASRISYYELSDATKSFDDSNLIGKGGIGSVFKGVLSNGMVVAVKVFNLDVQGASRSFDIECEAMRNLRHRNLVKVITSCSNEFDFKALVIEFVPNGSLEKWLYSYNYFIPFLQRLNIMIDVASALDYLHYGNSKPVVHCDLKPSNVLLDEDMVAHVCDFGIAKLLEEGQSRELTNTLATIGYMAPEYGSEGIVSIKGDVYSYGVMLMEVFTRKRPTDEMFIDGLSLRSWIKDSLLLNEIIHIVDPNLMEEEELFIPPKKVALLSIMELALNCSSDSPAERMTMKEVLDSLNKIRTIFLQIV >OIV95332 pep chromosome:LupAngTanjil_v1.0:LG16:16643809:16645159:1 gene:TanjilG_07488 transcript:OIV95332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTLSSDSENEVVVGCYSLPNTPPRKRNMINHVGYASDNEAQKGVIMMKTKNNDPMRSRSRRVQRKGYKKWGVNKNNSFENSKKKEEEHVIQGLSGESDQSVGVMVITRPKGGIRSLCMDLEEVKACRDLGFELEHEKMLSFSNSALDTSSGGNSPIANWRISSPGDDPKDVKARLKVWAQAVALASTSKYGT >OIV95662 pep chromosome:LupAngTanjil_v1.0:LG16:6509168:6516182:-1 gene:TanjilG_01456 transcript:OIV95662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLVSFVLTALGRDDGEIKVSAMPGGISSNQQTMFANMDTTVDSCRLEETRAPSCCKLEASPKKVFVSAPGNQNTAFSGPPSHGKKVTDQYLQLSVFDLLYDDEPNATVEKRPTCEDHVSFSLEGLGKVGTETPVHSPEQHARIPYSNSLLLKNGRKSKLKNLNHVLDDIELEMDSTMRDIKVSPISSSNLPFNKVNQSFTNAGDCKHYYDDADKNVSSINEEFFFENEYRNEDTWNASSCFLDEKFDNEDRYDTSWKKTFQLGSRSPEPLKGGTYKMDNYAFQDLPNKWSSATAMQEINMSEPRASFFEDQLENDFDFYAASRARLDGNLNSQNLFPEYVRDNSSLPSEESSSSTAVRSEFNKYSSSRTGTGENRRKHRNAFESPGNMWNSTEEKCRGMSTPSKRKSSHHSNSILQEEFGAHNSWQFDERYASVDIRTVATSFCQDLEENFAVRSNNRPEDPFSTFTTPESHNKATPEFHNKATPEFHNKAPSFGGFRDIAPLADSPPYSFTAEKVPLASSTSFLNVGLWPTSPSLSTEFQFKGKPQDAAGFHRETSSTDISAQGSFSKGGQKLKMQKDRCKNLEEVEDIFMGDNEFSSEKQVAGDASTSYNQTLESEATEDTNPETTPCLVTADSPGHVEEMSLSPKKPRKHENQVDKRKSYLRELKISPRSNCEAETPLKCKIRKEEMKKWQPEGRNTVSGKHNNKHGSLSGQVMFASCVFQLLCVQKVFRT >OIV95159 pep chromosome:LupAngTanjil_v1.0:LG16:18276002:18280994:-1 gene:TanjilG_21549 transcript:OIV95159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSSETKSDSRNVSFSRLNAQAPEFVPTPRPNLLHLYQPVQSLEPVQRLEPVPVQNHHQQQQRHHHHGSVQFHRSSNHQQVEQVDHGSVATSSKTKHFDEANQKILNQVEYYFSDLNLATTDHLMKFISKDPEGFVPISVVASFKKIKALITSHSQLATVLRSSSKLVVSEDGKKVKRQYPLTESDLEELQSRIVIAENLPEDHCHQNLMKVFSVVGSSVKTIRTCPPQHSSSGTSSASRGGKADGMPLYSKLHAFVEYESVELAERAVSTIGFAEFAGNCFSLLFSTELFWPYSDKIENHWQVAELNDEGNWRSGLRLRLMLRRTSKHGPGRAKKGLDVEFNGEEDYTSVPEQQQQTNEKQIEDAPFPDTQLHEHVVEEHGYEKDNNGPKKGRNRGKGKGRGRGHHHHGHHIHIGTPQPSNNSTPPPGPRMPDGTRGFSMGRGKPNVALVNNIA >OIV96056 pep chromosome:LupAngTanjil_v1.0:LG16:2721921:2723042:1 gene:TanjilG_27160 transcript:OIV96056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKVTIMKLKVDLECEKCCKKVKKLLTKYTQIRDQTFDEKQNIVTITVVCCSPEKIRDKLCCKGGGSIKSIEIVEPPKAKPPEPEKKKEKKEVKFVEPEKKKEDEKPKPKSNEPEKKREHEKKKEAEKPKSDNPKKDAEKPKEKPTEPKPMPDPAPVTKILDPVHAHPQVPPPMAVPVGMFCVPAYESRPVGPYVNECGGPPLCYDGYYGRPVYDGYGGRPYYTSRCDQYFSEENAEGCTIM >OIV96000 pep chromosome:LupAngTanjil_v1.0:LG16:2241962:2243932:-1 gene:TanjilG_27104 transcript:OIV96000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWIDNFRHPDRVLTNLSSFLRRFELWVLAFQKVSTDETGAYTPRSAIQRSQLEDLLALRNAVLDGKFKWGARLKFFIKSPKDKIDYDSLSKRKIKAVLTTTQPAPFQDKIVQEVLLMILEPIYEARFSQKSYAFRPGRTPHTALRVIRRSFAGYLWYIKGDLSSLLDGMKVGLLINALMRDVRDKLIVDLLKAALVTPVVTTRVDDREKKKKKSRKYQKKRVLAEDEPKPDPYWLDTFFGFAPEEAEKVPDFGHCGILSPLLANVCLDELDRWMEGKIKEFYVPSKSDVIWNSKEGEVEQGNTSWPEFVPTSGPDKTRKMDYIRYGGHILIGVRGPRADAATLRKQLIEFCDQRFMIKLDNESLPIEHITKGIMFLDHVLCRRVVYPTLRYTATGGKIISEKGVGTLLSVTASLKQCIKQFRKLSFLKGDRDPDPQPCFRMFHATQAHTNAQMNKFLQTMVEWYRYADNRKKIVNFCSYIIRGSLAKLYAAKYKLRSRAKVYKIGSRNLSRPLKERKGQSPEYQNLLRMGLTESIDGLQYTRMSLIPEADYTPFPSNWIPDHEKSLLEYIKLEDPKTLEEQQDFIKEHGLVSPQDYVSMLVWNYKKNALPMDQLSLLKNNESITGNQHLLLDSNQDDPDHISKEEENDGRMDAAEM >OIV95864 pep chromosome:LupAngTanjil_v1.0:LG16:4138560:4140722:-1 gene:TanjilG_06840 transcript:OIV95864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKEEFLKIQKCTLKVNIHCDGCKQKVKKILQKIDGVFTTEIDAEQGKVTVSGNVDPEVLIKKLTKSGKYAELWGAPKGNNNNGNNNNQNQDMKMPPMNQNQNMKNVKFDLPEEDDDLSDDDLDDLDDEDFDDEFDDEMDDPGQHPAKNMKLPPMGNGPQMMMMNGMMNPQMMNPQKMNPQMMSPQMMNPQMMNAQKGTGNGGGAMMSGNHPQQMNAQKGANGGGGGNGKKGGGGGGGGGGAVPAQNNDGKNGNGGKKGGGAGGSGNNQAQAQGGGNKSGGKNAGGNSSKNGHTGGGGNPNNNGNGGKKGNGMMGEGVVVQPMNNGMPNMGGGGGGGGGHHPGMNGANVGPMGNMNMPIPMNQMGNISAVQGLPAGASPGGIGVAGGGGYFQGAGPGMMMPGNPDQQQQYIAAMMNQQRAMGNGNQQQLMYARPPIPMNYMYPPPYTYPSPPPPESYNYFNDENTSGCNVM >OIV95488 pep chromosome:LupAngTanjil_v1.0:LG16:13902867:13915512:-1 gene:TanjilG_26751 transcript:OIV95488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEMKKQEVEEEHLDVLTKSGHKTGISKPRKEVHRDGDYHGAVHVWIYAESTQQLLLQQRSHRKDSWADLWDISSAGHISAGDSSLITARREVQEELGLTLPKDAFEFIFVFLQECVINDGKYINNEYSDVYLVTTVDPIPLEAFTLQETEVSAVKYISCEDYKKLLAKEDSDYVPYDVHGQYGQLFAILEKRYKENTVARSHTLQKQLSRYAPISLSAEFTGLTDSDREALVYIVKAAAVMDEIFYLQSWYSNPALRDWLKEHADTSELNKLKWSYYMINKSPWSCLDEDEAFLTTADSAIRLLSKATRTVKEWKGLEYRAAFPVLKPPGANFYPPDMDKREFEIWKGSLEKDKQKEATDFFSVIKRHSEFILDSHLSDNRAGDVNDLYIVPYSQEYKSLLAKAADLLHKAGDITNSPSLKRLLHSKADAFLSNDYYDSDIAWMELDSNLDVTIGPYETYEDKVFGYKATFEAYIGIRDEEATAQLKLFGDSLQLLEQNLPMDSSYKSTDVNAAPIRVIQLIYNSGDVKGPQTLAFNLPNDERIVQERGSSMVMLKNVSEAKFVHILLPIAAACVATEQQEFVDFDSFFTHTICHECCHGIGPHTITLPNGRKSTVRLELQEFHSALEEAKADIVGLWALRFLISQDLLSETLLKSMYVSFLAGCYRSVRFGLEEAHGKGQALQFNWLYEKGAFVLDSEGAVSVDFSKIEDAVESLSREILTIQAKGDKVAAGLLLQKYSTMTEPLQVALKKLEKVQEVVSLPSTGLNLGLSLDLGLLV >OIV95509 pep chromosome:LupAngTanjil_v1.0:LG16:13050835:13055476:1 gene:TanjilG_25180 transcript:OIV95509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIGPWGGNEGYRWDDGAYSTVRQLVIVHGDDIDSIQIEYDNEGNSIWSPRHGGSGGHKIDKIKLDFPNEFLTSIDGYYGSLSQWGPTIVLSLSFESNKKTYGPFGVEQGTHFSLPVTRATIVGFHGRYDWHLNSIGVYLKPFQQPNTSKALYHSQSYITNTTENVGYSVIQGSVGQSYDIVVALKQKDDLSKPKQNNVSSNITSIEELKGDGDNEKMVHMEKASSRVEGVVTCGPWGGTGGYVFDDGHFTGIREIKFSRNVGIVWIRVLYDLDGEAVWGHKHGGTGGFKSEKIVFDYPYEVLTHISGYYGPVMYMGPSVIKSLTFHTNKRVYGSFGDDHGNYFTTKLKEGKVVGIHGRKGLFLDAFGVHVREGKDIVPVTTPPSKAIISREPSISEKDSASWQAKFLLAKLAPVEEFSGGVIKESDPCGPGPWGGDGGRPWDDGVFSGIRQICLTKAPEGICSIQIEYDRNKQFVWSVKHGGNGGETTQRIKLDYPHEVLTCICGYYGSITMDEGPVIIKSLTFYSSRGKYGPFGDEIGKFFTSSTSGGKVVGLHGKCSLYLDAIGVHMQHWLGSQKTSRSTSLFKLF >OIV95065 pep chromosome:LupAngTanjil_v1.0:LG16:20305416:20305850:-1 gene:TanjilG_10885 transcript:OIV95065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWCVFQFLSPFHVPPLSLSPTTSLPRRRHRPTTTPQIGNLGHNTFITTVPIPKQKEIKKEEIEETQISGSDVLLALQKANSFKKKKQVEQKRRVLSSVDNSIEQKQHQKSGVDYTNVAPLCINNQWGAKLDELEKLLCELSDTI >OIV96117 pep chromosome:LupAngTanjil_v1.0:LG16:774413:779588:-1 gene:TanjilG_13049 transcript:OIV96117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSKPPMASRNQNRPPRSPSNRKVGTGEVPSDKRRRIVGARGRAPLGAMSNKPDDVANTEGSECGAVVDFTTEEVEALLNQRMKKGNSYDKKEMDHRADLVKSLKLCVRWYKRVEEGYIQEKEKLQIELQSAEKKCIDAETEMKNKIEELNETISNLRMTISSLEKRITKEESDKLEAIDCYRKEKEARGLAEQMQASLSTELEKVRDEKSAAERKANSNDDLYKRSQEYNMSLQQYNSRLQSDLETTNEAHKRLETEKATIVENLSNVRAHNKALQDQLVSFKVSQDEASKQKEMLVNDLNCLREELKQIRDDRDRQLGQVQALSGELTKYKEYTGKSVAQLDTLTIKTNALEETCSYQRDEILKLQQQLTAEKDKSKMANLSASETRIVFEDQQRIIHELQERLADKEFQVIEGEKLRKKLHNTILELKGNIRVFCRVRPLLPDDSTGTDMVVSYPTSTEDLGRGIELLQSGQKYPFTFDKVFNHDASQQDVFTEISQLVQSALDGYKVCIFAYGQTGSGKTYTMMGRPNASDLKGLIPRSLEQIFQISQSLEDQGWKYKMQASILEIYNETIRDLLANRSGGIDPTRTENGVPGKQYTIKHDANGNTHVTDLTVVDVCSVNEISSLLQKAAQSRSVGRTQMNEQSSRSHFVFTLRICGTNEITGQQVQGVLNLIDLAGSERLSRSGATGDRLKEAQAINKSLSSLSDVIFALARKEEHVPFRNSKLTYLLQPCLGGDSKTLMFVNISPDPSSTGESLCSLRFAARVNSCEIGIPRRQTSTKSFDSSRLSYG >OIV96232 pep chromosome:LupAngTanjil_v1.0:LG16:115993:118118:-1 gene:TanjilG_14909 transcript:OIV96232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMSSVYSTATNPSLSSSSSTCPMDLNYVLRIPWNSSACENFDKNSSSCCQNLLSLFGIALAQHLKETSDFNLPDVSTSDSCLEDFQSKLTSLSLPNSIVNSCFDPLQFVISPHVCANIQTIPDWITKVGNNTALQSGCKPDLTDLSLCDVCLAAGFQVKQELVSIDGNASHSINCFYFTVLYAAGFVNEFGPESNGAVTCIFGMSVYSKVGSGGKGYRTLVFGFTGSGVALLVMCSLLGLYVWYDRKCKRKKLETLDYDFDPEEQGSRPRMRPNTGSIWFKIEELKKATDKFSTKNFIGRGGFGLVFKGTLPDGTVVAVKRILESDFQGDVEFCNEVEIISNLKHRNLVPLRGCCVVDENENLDENGSQRYLVYDYMPNGNLEDHLFVSADPKKVNKSLTWPQRKTIILDVAKGLAYLHYGVKPAIFHRDIKATNILLDAEMRARVADFGLAKQSREGQSHLTTRVAGTHGYLAPEYALYGQLTEKSDVYSFGVVVLEIMCGRKALDLSPTGLSRAFLITDWAWSLVKSGNIEEALDGSLLKDEDYPNSNPKSIMERFLLVGILCSHVMVALRPTISDALKMLEGDIEVPTIPDRPMPLGDPSFYGNDGNTFSISPALSGPKLQSGDMLRSTKVQLNS >OIV96159 pep chromosome:LupAngTanjil_v1.0:LG16:1070716:1072908:1 gene:TanjilG_13091 transcript:OIV96159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKESEDFSTEIVQSSVENSFSVKVSKAEFIELARKSGNFSDAALEFQARVLKKSGIGNETYMPKGIFRPSYLTSLNDGREEVSMVIFGAIKDLLAATKVKAKDIKILVVNCGILNTTPSISSMIVNHFKLRHDIQTFNLGGMGCAAGIIAIDLAKDLLDAYPNSYALVVSTESVSYSWYTGNDTDMLIPNCFFRMGAAAIMLSNFRFDRWRAKYELKQLVRTHKGVDNRSFKSIHQREDSEGKKGLSISKDIIEIGGHALKANITTLGPLMLPVSEQLHFFTNLLFKKNKTKPHIPDYKLAFEHVCILATSKKVLDEIQKNLELTEEYMEASRKTLERFGNTSSSSIWYELAYLEFNSRINKGDRICQIAFGSGFKCNSVVWKSLRNVKRPNKSPWIEDE >OIV96229 pep chromosome:LupAngTanjil_v1.0:LG16:147420:154800:1 gene:TanjilG_14906 transcript:OIV96229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVQEGWSLAESFHVRCIVAAPYVVPYSAPATFESQFQRELPLLYQYLTEAPPGKVCWKDVIHWMWPLFTENWGSWRNDELHLSPCPFTDPVTGIPTWHDMPQSPLLMYGFSKEVVEWPAYWPSKVRVCGFWFLPTEWQFTCKKCREISVYDSSRNQYAKVGLCPSHLELQNFIKTTPIFIGLSSIGSMGFLRDPCAFLRVLQSVLNTTEHRFILFTAGYEPLESTVHMIAAEASIGQNKRNEDCVHLYDGRLFCFSGSIPYGWLFPKCAAVIHHGGSGTTAAALQAGTPQVVCPFMLDQFYWAERMYWLGVSPEPLRRNHLVPDKNDDTSIQEAAHVLSMAIHDALSSRVKARAAETAKILSLEDGVSEAIKHLKEELASTTFASIHIYHHQPFTEIGNAYLLSGGSEAIFSPSSAANHLSYIRFENITFWRSKTANKHNAGPIHVIIFEASDRNNIGGSAYGGQRAICCTSDLAKMGGCNQGDIIRRSSETDANWPIILDVHFKGKRLATTLDSKQVSITKTGMYNLFFVACDPKLKDLVMSGKTIWKNPDGYLPGRMAPLKKFYVFMTLAYLCLAIIWFLQYVRFWNDVLQLQHCIAAVIGLGLFEMILWYFEYVNFNDTGMRPVLVTTWVVTIGAVRKTISRLLILSVSMGYGVVRPTLGGLTSKVLLLGLTYFLASELLDITEYVGTINDVSGRARLLLVLPDAFLDAFLILWIFTSLSSTLEQLQAKRSSIKLDIYRKFSNALAATVIASVAWIGYEVYFKATDPFNERWQSAWIITAFWDILAFALLCVICYLWAPSQSSQRYAYSEVGEDSDDEESQSLTKEGRGEVSLVKQEKSARNDASFDEEDESEEDKRE >OIV95709 pep chromosome:LupAngTanjil_v1.0:LG16:7385295:7387088:-1 gene:TanjilG_01503 transcript:OIV95709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEELNANGIYVDANGSEEEQKESFKLQHRNERRTRHNIPQILNRFASAVLFPEPENSGSLINRFKISIAENAPLLPEASRNSARDVLLWTHRGSPLRAIFVISVGTTILVSLTGLLVFMLFFLAATISAIVVLLLMSLASVGGFLALFFTFVAAIYIGALSVAMLAISVTTFWATVAILITTGWIGFFYTAWLVTRKSFEFATHSLSVTGSAVSTYTVARAARYTHH >OIV95736 pep chromosome:LupAngTanjil_v1.0:LG16:5379162:5379560:-1 gene:TanjilG_05284 transcript:OIV95736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEFLESEVIFSDHYAHRFVERNLDDMELGVVPQKKISQGMRCTKSSNGGNKKMMANSLPVNIPERMLRWSMEEEDDDGEMMVPPHVMVERRISGGKMAYSVCTGNGRTLKGRDLSQVRNTILRMTGFLEA >OIV95038 pep chromosome:LupAngTanjil_v1.0:LG16:20608086:20608904:-1 gene:TanjilG_10858 transcript:OIV95038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSSPANMNMNNIVLEINLISAQGLKPPSSPRRTFQTYALTWIHSDTKLRTRVDKVGGENPTWNDKFLFRVTPDFLASETSGVSVAIYAVGTFRDHLIGTVRFLISNSNILDGESVKVTPCFSAVQIRRPSGRFHGVMNIGAMLIDGSEFQVLKKKISAIGYRDLMGEKIQLNRKKTEPDLEERKLKSSNSSENNSYEGSFTESLTDGSESTETASSSTSSPRTVTTALTEWNGVRELAGAGNKGLTASGFLCCLVANRSRTIHLSPSER >OIV96248 pep chromosome:LupAngTanjil_v1.0:LG16:46110:47309:-1 gene:TanjilG_14925 transcript:OIV96248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLTRFVADAFSVVTICLVVILILFGLMCIAYSFYFRSRVCCQGFVQLNYFSGPWIIRITFILLAIWWGLGEIIRLTLLRRILHLKWQAIVCKCYIVSNMGFAEPCIFLTLVFLLRAPLQKLETGIMNRKWNMKTTGYILLYCLPMFILQLFVILIGPWLDKNNDSGKKLPHYFTRTVVARSMAKEDDVALCTYPLLSSIILGLFVIILTSYLFWLSSRILKLVINKGLQKRVYTLLFVVSGFFPLRVIFLGLTVLSGPGHFLFEAFVFLAFLVLVCCAWVCMRMLVYRPIADSLALGNLHDIECKELNEDLNDIVSLIAIQSHFEDNVAENALSTHDMYSDESTKRRSISFQTLENDFTSTIGTFVELSLFSPSRCATPPGSHPPHLGWPMRSPTQAG >OIV95708 pep chromosome:LupAngTanjil_v1.0:LG16:7381100:7382804:1 gene:TanjilG_01502 transcript:OIV95708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNQRVVQCNEKDQGKLLLFKQGINDPINRLSSWSSEHDCCEWKRVQCHNTIGRITSLDLNSNVYDESARFKVLDPSQNNFNSEFPQWLNLPNLESIRLRGNQLRGSIPNWLGNLSSLMELDIGSNLLTGNLPESVGQLFNLFTLFVGNNSLSGALSEKHFYNLSQLSNLDLSGSDFEFHMDANWIPSFQLGGIYLSNTVLRSKLPALLYTQSSVGTSDISSTGISSIGEDKFPSFVAGIEYLYLSNYSISADISNVILSTSVTCLDHNNFTGKLPSISAMADVFDVSHNSFSGGFPNSWVNWTELLYIDMESNKLTGELPPDMSTLTELLFINLKNNEFSGKIPRMPPNSEMLILKSNQFECNILPQLCNLSALHILNFSHNKLSGSIPQCLHKIANMVSGENGSSWYSFREMTFNLVMKEQGLKYRYIGLLRTIDLSANNLSGEIPEII >OIV95420 pep chromosome:LupAngTanjil_v1.0:LG16:14442869:14445563:1 gene:TanjilG_06882 transcript:OIV95420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSNPRFQQQQQQPNSGLLRFRSAPSSVLHSGAASASYKANPPNESSSSISSSSPLTHMNSQHGYITGVPSRFMRQNSITSSAMDSSYDLVGSMGMEHQQTNNKSFGSDILRQNSFPVGNFSNNNISFQNGYDTMKGIGNYGVMDGSDSELSLSMNRLKNQISFSPRSPSLGMLSQGSKLENEGIGATSSDDGREEGHNDDARYYEPGFPYDSWNGTSQLSEKLSGFKRERDSNDKLFFDAQNGELENQVHTLSHHLSLPKTSSEMFGMENLLQFPDSVPCKIRAKRGCATHPRSIAERVRRTRISERMRKLQELVPNMDKQTSTADMLDFAVDYIKDLQKQFKSLSDKRAKCKCISMQKPDTNQS >OIV95361 pep chromosome:LupAngTanjil_v1.0:LG16:16001368:16003949:-1 gene:TanjilG_14515 transcript:OIV95361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLEPEYRFIFWLRRGRLSWLFCLVEDVAAIASSSTATSHFQSFTPHKFIQPRTYSTPRHLSRVPSVSSSGFLQMQRSNIIGSQSILRNWLHARYISNASVELRTDSDVVRFSLSKNDDISTTTKSQKKKVMSKKAKVNELRFYRLKAKKKMNSPNPEVRIRYKLEKAKRKETWLIEKLRKFDMPKPPAETFDPEILTEEERHYLKRTGERKKHYVPVGRRGVFGGVVLNMHLHWKNHETVKVICKPCQPGKIHEYAEELARLSKGIVIEIMPNNTIIFYRGKNYVQPKVMSPPDTLSKAKALEKYRYEQSLEHTSQFIERLEKELEEYHHHVAKFKKRKEDAAKDAKVNMLLPTDINSA >OIV95200 pep chromosome:LupAngTanjil_v1.0:LG16:18818600:18821282:-1 gene:TanjilG_21590 transcript:OIV95200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNIQSLLVLVMVTLATLMTPTHSKLTHNFYKKVCPQALPVIRSVVQQAIIRERRNGASLLRLHFHDCFVNGCDGSVLLDDTPTFTGEKTAFPNLGSLRSFNVVDEIKAAVDKVCKGPVVSCADILAIAARDSIAILGGPQYEVLLGRRDARNASKAAANLNLPPPFFSFSQLISNFKAQGLNLKDLVALSGGHTIGFSRCTSFRNRIYNDTDINKNFATTLQKNCPKIGGDNNLQPLDATPAKVDTTYYKELLYKKGLLHSDQELFKGDGSESDKLVELYSKNTHAFYKDFEASMIKMGNIKPLTGKRGEIRCNCRKVNN >OIV95589 pep chromosome:LupAngTanjil_v1.0:LG16:9116687:9117787:1 gene:TanjilG_23820 transcript:OIV95589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIQPRDSVFVVPYKRNNNGMGAFSFSLFFFIIFSFLIFFLQAQPSSSSTHSLTGNPNFDPDIDLFGNAETLVGEEGSESHVRLTRASPSSSGFILRRKPIKFAGPTTLSTNFSFSISPDAGDGVVLILLPGERDFSFKFPGNDSFGVFVHENYVAVEFDTSKDDKWNDLNANHVGIDVGSLVSVAVANVSSMNLVLNSGEKLNAWIDYESGSKNLEVRLSKGSEPRPKNAIVSHNIDLFKIWGDQNVFLGISSSNNDNSKQVVSVYSWKVNLRNVSKTMHSEPVTLDKKIDQKGSFCTLRLLAGVIFGTGCVVLVTYVMLFMWVIFFQRHEDESLAKIPDHHPGGVRYERIDVAVDKSNSKDDEN >OIV95542 pep chromosome:LupAngTanjil_v1.0:LG16:11397661:11409576:1 gene:TanjilG_10930 transcript:OIV95542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPQSNKNSKSTKSHNHNQTQNPQKQQQQPRSSLSSHLAMVELMQRIRTSLSKLSDRDTHQIAVEDLEKTINSLSPDAIPMILNSLYEASADPKPAVKKESIRLLTVVCSSHADSVSTHLTKIMAYVIRRLKDSDSGVRDACRDAVGALAAQYLRGDSCGGDNGGNGSMVALFVKPLFEATGEQNKSVQAGAAICMAKMVESAVDTPVSGFQKMCPRICKLINNPNFLAKAAILPVVASLSQIKPVRDSMNEALQLWKKIAGKGDGSPDDSKPSSHGGESPEPATLSETNDPNKVILCEKKTDASMKVSPSASSNMDSTAKAKAAGISEKAVVILKKKAPVLTDKELNPEFFQKLQRRGSDDLPVEVVVPRRCLNSSSLNNKEESETSAKDSKERVSSVGNIPRDEFHGSSNNKYPILDRANDRNSKQLSFDDFTHERFSEKRVNAKELRTKAYVTHDRNENDQREGSANVTGFSKTDGQSELSFSNNKGNWLAIQRPLMLLERQQVHLMNMLQDFMGGSHDSMMTLENRVRGLERIVEDMARDLSLSSGHRGSNFTGFEGSSSRPSGKYNGFNDYSSAKYGRGGDGCIPFGERFAQSDGNALGTRGRGPPWRSDLSEAWDFSGYSTSRNGQIFPKRASSPKSMHESDQGVSRRAWDKAAMPIRFGEGPSARSVWQASKDEATLEAIRVAGEDNGTSRATRVPMPEMTAEALADDNVGEERDAIWTSWSNAMHALQVGDIDSAFAEVLSSADDLLLVKLMDRTGPVIDQLSSEIICEILHAIGQFLLEQNLYDICLSWIQQLVELILENGPDTFGIPMEAKKGLLLNLHEASTDIAEEWEGVRPDQLLLQLASAWEIDLQQHDK >OIV95510 pep chromosome:LupAngTanjil_v1.0:LG16:13215216:13215371:-1 gene:TanjilG_25181 transcript:OIV95510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFGKKICLVQIQSHGSLSASFSHFDLVVSLFLRRHEVRHRLNHRELHHLL >OIV95655 pep chromosome:LupAngTanjil_v1.0:LG16:6345451:6345798:1 gene:TanjilG_01449 transcript:OIV95655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVIKVTLVATIMACMVIIGSHAEATVTCEQVTIWLTPCISYAVLGGNVSSLCCQGVHSLNAAYKNGDDRRGSCQCVKDRAALIPGIDYNRVNEIGEKCGSKCPYKVYPTTDCSK >OIV96060 pep chromosome:LupAngTanjil_v1.0:LG16:2757266:2757931:-1 gene:TanjilG_27164 transcript:OIV96060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDENEDQILKKFNKYQLLKRTSQFVFSVSVFSFFFWYTSGFSLHPQSFNNAYFSTCLFSMFTHTLERKYMFLICNGILAFIAKTSLMNPSPPTSAFDLEFNEESLNLSEINNAPVFVPFRSSEFQETVPLMVEKQVLNEEVLDAAEEQEDETLYTLTEGKDNESYIEETESEVEYDEVEDTTMKINEELINTDELNRKFEEFIRKMKEEIRIEAQRQLIAV >OIV95242 pep chromosome:LupAngTanjil_v1.0:LG16:19713600:19716558:1 gene:TanjilG_21632 transcript:OIV95242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPFFIFLILLTLFAIVESSCNIRDLDLVSKAFHSVSGFNPLWVKTKASSSSNCSTLQVTKIELPSKNLSGSISWGYLRNMSKLEVLDLSENSLQGQVPNWFWTSTTLLVVNLSNNKFGGNIALKYQPTSQNGSFSSLQTLNLSHNRFTNQVHLSGFSSLKTLDLSHNNLVTLPSGFEKVTNLHHLDLSNCNIKGNVKPISNLHSLTYLDLSNNTLNGSFPSDFPPLNTIKFFNISHNNFKALVTLDKFKKFNKSAFIHAGKNFNYYNTSKNIVKHKQKQKHVPLHHPIDEKKRKHKSKTKLIALCCVAVSVFILASTYTMWSYRKKKQMQKRKNKWAISKPVSFNGIKVEKSGPFEFETESGSSWVVDLKEPSSAAVVMVEKPLMNLSFKELIAATSHFGKESQLAEGRCGPVYRAVLPGEIHVAIKVLENARDVDYDDAVDIFVDLAKLKHPNLLTLSGYCIAGKEKLVLYEFMSNGDLGRWLHELPTGETNVEDWSNDTWEIIQNGVVSHATSPEKMGWPTRHRIAVGVARGLAFLHHAGSKPVVHGHLVTSNVLLADDFEPRIADFGFRRIGRNQNAPNCFSTESDVYCFGVVLMELLTGRSGTAETVVWVRKLVREGHGVRALDERVSQLGGGDSESEMVECLRVAYLCTAESPGKRPSMRQVLGLLKDVHPSRQLD >OIV95760 pep chromosome:LupAngTanjil_v1.0:LG16:5068445:5070641:1 gene:TanjilG_05308 transcript:OIV95760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSLGAPEPLIHRMMKYLAVASSLKRKDGKSTISGNSYIQAIILKLLVTWLADCPSAVHCFLDAHPHLTYLLELTSNLSETSCVRGFAALVLGECVIYNKSNDSGKSAFAIVDVISQKIGLSSYFLKFDEMQKSFVFASVDSSLMRKSFSRSSAASMADIEDVVDENEFFEKKNLDHPILSSILDSYFVNLVKRLEADIKEQIVEVYSHPKSKVAVVPAELEQKSGESDGEYIKRLKEFVEKQSSEIQDLLVRNSTLAEDLAKTGGGHNFQSEPSDRVQIDRLRRDLQEASQKLESLKAEKAKVDSDATMYQSLATKTESDLRSLSDAYNSLEQANYQLENEVKALKKGVGVSSTFPDVEAIKAEAREEAQKESEGELNDLLVCLGQEQSKVERLSARLLELGEDVDKLLEGVGDDVDVGVGDYDEEDDE >OIV95605 pep chromosome:LupAngTanjil_v1.0:LG16:8701697:8707789:1 gene:TanjilG_23836 transcript:OIV95605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLLFSTAFLLTFAGFALSAEPCPPCGNTTVPFPLSTSSSCNDPSYKIRCSSSTLFFDTLNYSYPIQSINPNTQRFIIQPASLISNTCVSTDKIHQGIQLNNSLPFNITSSNTIVYLNCTQSLLMSPLNCSSTSLCHSYINGTVSASACGGELCCAYRAGGSSNSYMIRVRDSGCSAYSSFVNLDPALQVNRWPEPGLEIQWLLPKETVCGSQGDCDTAKSSCGVDTMSVNGIKRCFCNRGFVWDPVQGVCAEEMNKGSTDRTPLIAGLTSGIGALLIIVIIATLLYKRHRRIQEAQQRLAKEREGILNASGGGRAAKLFTGREIKKATNDLSTDRLLGIGGYGEVYKGILQDGTVIAVKCAKLGNAKGTDQILNEVRILCQVNHRNLVGLLGCCVELEQPIMVYEFIENGTLLDHLQGQMPNGRGLLTWTHRLRIARDTAEGLTYLHFMAVPPIYHRDVKSSNILLDMKLNAKVSDFGLSRLAQTDMSHISTCAQGTLGYLDPEYYRNYQLTDKSDVYSFGVVLLELLTAQKAIDFNRAADDVNLAVYVQRMAQEENLTEVIDPTLKNGATAIEIDTMKALAFLALGCLEERRQNRPSMKEVAEEIEYIISIATAKEVEK >OIV95825 pep chromosome:LupAngTanjil_v1.0:LG16:3754276:3755878:-1 gene:TanjilG_06801 transcript:OIV95825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGATNAKFLCLALILCNTLYAVNAIGQGNSFADPSRWRFGGDDDYCSYRSWRGCGSFSGKGGGDSKSEEGNAAVSGKRSDVARGGGGGGGGGGGNDGVGGGSGHGEGYGAGVGGNGDGAGGGGGGGGGGGGEGGSIDGSGVGYGHGSGFGAGVGMGSVGGGGGAGGGGGGGMSNGGIGQGSGFGAGFGVGGGNGGPSGGGGRGGGGGNGGGTREGGQGRGSGFGAGEGMGSVGGGGGGGGGEGGGVNGGQGQGSGFGAGAGIAGTGGGGGGGSGGGGGDGSGGEGHGSGYGAGAGTAGTGGGGGGGGGGGEGEGGSSNGGQGHGSGYGAGAGSGFGAGAGIAGTGGGGGGGGGGGGGGGGGGGDGSGGQGHGSGYGAGAGTAGIGGGGGGGGEGGGGGGNNGGYGHGSGFGAGMSIGGNGIGGGGGSGSGGGGNGEGYGHGEGSGYGVSKTNNKGGHGNNNGMGIGFGMGMGMGIGIGFGVGTSGEVATDNP >OIV95990 pep chromosome:LupAngTanjil_v1.0:LG16:2155326:2157088:-1 gene:TanjilG_27094 transcript:OIV95990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKICFVSQVWLISWAILLVPHSIGAFGETKNNVISSIFLSPKFELGPGSVANKEFFDVDFPRGHVAIKSFNAEVVDELGNPVPLYETYLHHWIVQKYYQPKNITYQYENISWARNSGMCQDNILVQYYGLGSETRKTDTLIPDPFGVEIGNPAYIPKGYDEKWFFNVHAIDTRGVKHRVGCTECRCKLYNITKDGDGNPLSSDYGGGLACCPDEAKCKLRNGFQGPKRSLYLRYTIKWIKWNHFVVPINIYIFDVTDTLITSNKSKGISPKHNCQIEYQVKPCIKDHKNGSGCIYVNRTSTPMQKGGYVIYGVAHQHIGGVGSTLYGQDGRVICSSIPRYGRGKEVGNEKGYVVGMSTCYPKPGSIKIFDGETITLEVNYSNSRRHTGVMGLFYLLVAEHLPHQNL >OIV95616 pep chromosome:LupAngTanjil_v1.0:LG16:8575679:8580762:-1 gene:TanjilG_23847 transcript:OIV95616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHIKMQMQTGLNAIEDQSEQCGAGYPNKTTIACMINAEIGAVLAVMRRNVRWGVHYMSDDDQSEHFLVQSLKALRRQIFSWQNQWHTVNPMLYLQPFLDVIQSDETGAPITGVALSSVYKILTLDVIDQNTVNVVDTMHLVVDAVTSCRFEVTDPGSEEVVLMKILQVLLACVKSKASVVLCNQHICTMVNTCFRIVHQAGTKGELLQRIARYTMHELVRCIFSHLQDMDNTKHDLINGSTTIKQEASGLNNEHASESSQLENGNLNPIYDGQPLSTCIASGSASVVTETAMGGNTAIAISGKETDPHELQLMTEPYGVPCMMEIFHFLCSLLNVVEHVGINPRSNTIAYDEDVPLFALTLINSAIELGGPSFRRHPRLLSLIQDELFQNLMQFGLSMSPLVLSMVCSIVLNLYHHLRTELKLQLEAFFSCVILRLAQSKYGASYQQQESAMEALVDFCRQKTFMVEMYANFDCDITCSNVFEEVATLLSRSAFPVNNPLSSMHILALDGLIAIMQGMAERIGTGSVSSEHSLVNFEEYTPFWMETCENFDDPKDWIPFVCRRKCFKRRLMIGADHFNRDVKKGLEFLQVTHLLPDKLDPQSVACFLRYTVGLDKNLIGDFLGNHDEFCVEVLHEFARTFDFQDMTLDTALRLFLESFRLPGESQKIHRVLEAFSERYYEQSQHILANKDAALVLSYSIIMLNTDHHNVQVKKKMTEGDFIRNNRRINGGNDLPQEFLSEIYHSICKNEIRTTPEPGSGFPEMTPSRWISLMYKSKKTTPFIVSDSRAHLDYDMFVILSGPTIAAISVVFDNAENEEVCQICFDGFLAVAKISAYYHLENVLNDLVACLCKFIIILDPLPADESILAFGEDTKARMATETVFTIANSYGDYICTGWRNILDCILRFHKLGLLPTRLASDVVDELNISAENGCEKRNSSSFSLSRPPSISTPKRSSGLMSRFSQLLYFGTEEPRSIPTEEQLVAHQQAMETIQKCHIDNIFTESKFLQAGSLMQLASALINAGARPPNGNIIHEDEETSVFCLDLLIAITLNNRDRIELLWKGVYEHISNIVQSTVMPCALVERAVFGLLRICHRLLPYKENIADELLKSLQLVLKLDAHVADAYYEQITQEVSRLVKANASHIRSQLGWRTITSLLSITARHLESAEAGFDTLSFIMSDGTYLLPSNYVLCVDAARQFAESHVGQVERSVVALDHMAASVHCLEKWSHDAKQAAKEEEVENMLQDIGEMWLRLVHGLRKVCLDQREVVRNHALLSLQKCLTGAVGIHIPPDLWLQCYDQAIFTLVDDLLEIAQAYSQKDYRNIEGTLILALKILSKVQLQLVQELSQLPDFCKLWLGVLSRMENYMKVKVRGRRSEKLQELVPELLKNTLLVLKSGGILEQSSGSDGNSLWELTWLHVKNIAPSLESEVFPEQDTAFAAQID >OIV95835 pep chromosome:LupAngTanjil_v1.0:LG16:3846687:3847310:-1 gene:TanjilG_06811 transcript:OIV95835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKCRKLTNSRSERFLGTYANGHNQYSPFADSSELREDDIWSMAEEVNGVMNVTNGDWEPHAERNGGSISVRSRHRISRDPHRQFGGLSLAFEETVSSDTVAPATRIVHQFRSQNDSVASPRGRHVASSAPVNVPDWSTILRVDSVGSFHDDDFDEDESEMVPPHEYLARSRNAAANSVFEGVGRTLKGRDMSRVRDAVWSQTGFDG >OIV95915 pep chromosome:LupAngTanjil_v1.0:LG16:1513929:1514366:1 gene:TanjilG_27019 transcript:OIV95915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDDIKCTPCGTANPVQPSSPPPPSPPPPASTTNCPPPPSPPSSGGSGTYYYSSPPPPSQYTYSSPPPPASSGGGGGGGGGGIGGTYYPPPSYSNYPTPPPPNPIVPYFPFYYHSPPLPSTAAPLPLRGLAVYAVALVSLWVSLV >OIV96036 pep chromosome:LupAngTanjil_v1.0:LG16:2552675:2556030:-1 gene:TanjilG_27140 transcript:OIV96036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLREAAKKVATAAVYACASLSRTKSLIDPLTLHSSSTLSPTISNSSLVSPSRTHNFSGEIIEDTYSSITNKFNNHELHSKNLCAICLDPLSYHSKGSSPSQAIFTAQCSHAFHFGCIASNVRHGSVTCPICRAHWTQLPRNLNNNLCGSFTSSHQNDPILRILDDSIATFRVHRRSLLRSARYDDDDPVELDYTPDSPKLCFSLASISLNAPTSFHPALQVSKHASYPCHLSPHHLACSSSSLLQSPRMQTPYNMCPSSNRAYLSVKLTHERATDLVLVASPNGPHLRLLKQSMALVVFSLRHIDRLAIVTYSSAAARVFPLRRMTSYGKRTALQVIDRLFYMGQADPVEGLKKGIKILEDCMHKNPESCILHLSDNPTRPYHAVSMELPPTPIHRFHVGFGYGTSSGFVMQEFEEFLAKMLGGIVREIQLRIYGAEEDIGSGRVMRIGEIRGGEERRIMLDLGDCTHVYVEYSYIEGEIDECVRRTGETVVAVGNHKGDDDDDDDDADKVTEGAEEIGRDMNTGGRTSSVESLDFHDPYMARRWAKHLHGYRL >OIV95230 pep chromosome:LupAngTanjil_v1.0:LG16:19470039:19470737:-1 gene:TanjilG_21620 transcript:OIV95230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKKLNLGYIASDSKRNTTYKKRKHGLIKKMDEISTLCGIEACAIIYNSNEPQPEVWPSHLGAQRVLYKFSRVSQMVQSKKMFNQESFLNQSIMKAQEKLKKLRNENRKKEMDLLMYQCLSSGYLVNNVSMANLNGLSWRIDQTLKEIERNVTKDQPQERSPMFTNGGEVINEENENVAVNDRFKGMMQNNIDVTQNQNWCIDSANGGGNEMLRYGELNNSNGVWNDIFFT >OIV95585 pep chromosome:LupAngTanjil_v1.0:LG16:9187102:9191387:-1 gene:TanjilG_23816 transcript:OIV95585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSESSSPLRDLLFRLSQPIAESLINTPYIPHETSNISVKSFLQPLLTTPHSNTKNIHDSIKDFVLACSLLSSSSHFTPEYSSFLSWIPNHLSSLAIDSFFQLSDAYVTNFHERNSIRVSELGFDVESVPNEKRLLLELMPQVLPFLKDRIKESSIDKSDESDEFSAASARVPVGFAVIAACQFRWFVTQVGYPHLGKLCGLVIPCALTAVDHWSPEVKGHGMDSLMHIGKNVDASEFGGYQDVILDACCQNIASSDEIWHPVVETSVILVTLTQRNNPRSPWFERMLTEMLSHLERQPRNKERRIAWLKSVDPLFNAVGLMLLAHFRRIFPLFFQWMHADDDDTLILVLKCTYIVLRVTWIRNSPYIKRLVDELALVYKEAALRTAREEIRANTTQILILLQESKGLHFKVAWEKHQSDLNLSTLVLLLSGRDNANPDTIPSKHCGVQGSKPLKT >OIV95040 pep chromosome:LupAngTanjil_v1.0:LG16:20595475:20597047:-1 gene:TanjilG_10860 transcript:OIV95040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKKMIALGFEGSANKIGVGVVTLDGTILSNPRHTYITPPGQGFLPRETAQHHLQHIIPLVKSALETAQITPKDIDCICYTKGPGMGAPLQVSAIVVRVLSQLWKKPIVGVNHCVAHIEMGRIVTGADDPVVLYVSGGNTQVIAYSEGRYRIFGETIDIAVGNCLDRFARVLTLSNDPSPGYNIEQI >OIV95525 pep chromosome:LupAngTanjil_v1.0:LG16:11852419:11858208:1 gene:TanjilG_11109 transcript:OIV95525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPPLLSLPQINNEKQTKFLNKHLKTENDLFHKSTNHLKSSLTQQCSQLELHIHNLHNRLTKRTVSWISRSFYAKSAILKLIHTLPTSPYEVDSKRFQWVLNEELPRLADQMNRIESIRSYLETAVQLEALVGDLEDTALFVMARHTGNMFSVKLLNSSVCADTARKHDKLLQAIKAMNDIEEVMITILKFHPQWHCLTRSVDNRVNKILSALRPQLLSDHRALLVSLGWPPKLLTSKNGSGQVIDIPNPLVLMQEDKRRNYSQSFIALCAFQHLRTRREERQLNFIKQEKDNIQLWAIDELVSPIASRMKCHFAKWTEQPEYMFALVYKVTRDFIIGIDDVLQPLVDRARLIRCSAKEAWVSAMVQSLSGFLEKNVFSLLAERYNVKHLKPDVISSWLHVIDLIIAFDKQMQSLVNLDISVLAETESFEGLSRGMSVLSIFSDRHDWLKIWAKIELKNACKTINTELKEEKAWMMSNKYKSGLDTYPDYLLSTIEDHRAPPIAELSLKILWDVIRRCQTMPSILSRALFIRSTAGRFLWYFFKILLLQFKAIELRPENSDDVAMVKACGLINASRYIWIKLQEWSDAVEFLEINIAENSSGVPIEADMMDNDCFFGEEIRCLSEIETNWLMEIIVVVLRQFEMLSCEYVQNKDNYKDDTDLVLARRAVDLVVSTDLVEALDYLKSRLQIARLNLTTKDFLDLWRSIAEGLDHYISCSIATSEIRFSKIGISQFKADMQALVFIFQPYCALPQAFFPCINEILKVLELTKEEVKLIMQVLLSNEENRSMSLQLYGIFHLSGNQVLQVLRYRN >OIV95699 pep chromosome:LupAngTanjil_v1.0:LG16:7028116:7029231:-1 gene:TanjilG_01493 transcript:OIV95699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQKNLQATSTTSLESSVFGLVCHYQFENGSSQFIALDVQNGSAASLDNSLSFFTENFVQTLASSNGLILLHGYNENQPCYFVFNPFTKHSIVIPQPCINGFVIRVGLAFDGSQYEIVLIEANPIKSNGLELHIFNSDTSKWRMHHPNNLTLPSLPEFEFQELGTPPLHSNGAIHWEIGGYLLVYQVQGSHCELYELPNSFEDWSWQSTMTYRRCFCESGGRVYYCYTDFDGFHIWDFLKKHEYDHFGLYASYDSKKLRWRLVHSVMHEVLISNYQNSYSNNCDLEPYKFAPIAYNEQAQTIYLQLPGTVVSYNFDTGILRSICTYSYPGINFNCCLFLPSTSSGHRGRNLVSNGETELNLPIAEMEKLSL >OIV95302 pep chromosome:LupAngTanjil_v1.0:LG16:16946375:16946917:-1 gene:TanjilG_07458 transcript:OIV95302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSYSEDTITIISGLTIGQAIYEAALIIALLRWILCLVFKLMRDRNSDSPHTTTETESSCVSSHVIRDNTLLLTTFGEIVKKLSEETLDTTCAVCLNQLNMEDEVRELMNCYHVFHKECIDTWLEHDHDNHNPTCPLCRAPLLTPCCFSSSESSTCVPPIQPSWAVERLLYLFGDDLLPC >OIV96216 pep chromosome:LupAngTanjil_v1.0:LG16:216490:217381:-1 gene:TanjilG_14893 transcript:OIV96216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMPELNILSNVSFPANMQFQMSEADEFRCFIGGLSWSTSDRKLKDTFEKYGRLVEAKVVVDKFSGRSRGFGFVTFDDKKAMEEAIDAMNGVDLDGRTITVDRAQPQQGSRDDGDRHRERGRDRGRDRDYGGGFLI >OIV96070 pep chromosome:LupAngTanjil_v1.0:LG16:2978123:2989761:1 gene:TanjilG_27174 transcript:OIV96070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVWFICQEVEEKANKISVFAEETVPGYDVAGVVVRVGSEIKKSKTVPGYDVAGVVVRVGSEIKKSKSILLLKRNCSVTPSNLSFVEAVSLPLALITAYQGLEKVAPSAGKSILILGGDGGLGFRLVKHVFSATKVAATASTAKKDLLRKLGADLAIDYTNVNFEELLETFDIVFDAVAATSSPSTSPNQPTPPPPSSSPTIPIYTQLNLQTLTTTATSSLLLNHPLMCYSNRINLLCISNVAEDIAFWNPSLRKYRIIPSLNHDRRPQNTLFAARIYGFGFDSVLNEYKLVRISYYVDLQDRSFDSVDGYLHWVVTRKLEPDQLDLIVAFDLRFENFNVVPSGTTKEEENDMNPEIDVAVLGGCLCMIKNYKKQRIEVWVMREFGSKGSWCKLYVLGKLRDLRSLKSVRPLGYSRDGLKVLLEQNHRKLFWYDLRSEEIDYVRIPGLSNVFEGMICEGTLVPPCLLRSDNYRYQHNLGAQNAENRRSKTFFTLIKLYYFDFAPLVFSAKQVKERLTKVKESTPQLKILQVSSKAIRPMPAWIRHTVVSVRRSRKQQLKNRYRNPNANSKKLAYMSCCNTTSLNLTGEKGEKHTFCCQCDYGRMWGDLVVQLYQRM >OIV95110 pep chromosome:LupAngTanjil_v1.0:LG16:17822263:17826733:1 gene:TanjilG_21500 transcript:OIV95110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDIFGSVRRSLVFRGSPENEEPLSSLRGTIVDKISCCIRNSRVFSKPSSSPPLPPPPPTTIRWRKGELIGCGAFGHVYVGMNLDSGELLAVKQVLIAASSASKEKAQAHIKELEEEVKLLKDLSHPNIVRYLGTVREEDTLNILLEFVPGGSISSLLGKFGSFPEAVIRTYTKQILVGLEYLHKNGIMHRDIKGANILVDNKGCIKLADFGASKQVVELATISGAKSMKGTPYWMAPEVILQTGHSFSADIWSVGCTVIEMATGKPPWSQQYQQEVAALFHIGTTKAHPPIPDHLSVGAKDFLIKCLENINELSSLKIHAGNLITLNVLEHPFVTGESVDIPPLSSTELGNFEAPSCAPNVEPFLCSTEDSQDLGNKQLWGMSNDDDMCVIDDKDEFFQCGDKQKSSMPENIESFNPMSDPSDDWGCKFDASPELDHREVHSGIDESYMPPGHSGENNGHKDFSFPSVPSLTEDDEELTELKIQDFLAEKALELKKLQTPLYEEFYNTLNTSCSPNVISSPSDETGSRRYLKLPPKSRSPSRAPSSTSSKAVDNAGSPGSNDRSSSILSNLNDHVSKDIPASPLTERRRLIVDSQQDPSSPSLSNSERLRKWKEELDRELEREREMMRQTGMGALHRQRERSRFASPS >OIV95428 pep chromosome:LupAngTanjil_v1.0:LG16:14653177:14655504:-1 gene:TanjilG_06890 transcript:OIV95428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRPSPEDFLLKETNPHLGGGKLSGDKLTSTYDLVEQMQYLYVRVQKARDLPGKDVTGSCDPYVEVKMGNYKGTTRHFEKKSNPEWNQVFAFSKDRIQASVLEVTVKDKDVVKDDFIGRVFFDLNEIPKRVPPDSPLAPQWYRLEDRKGDKVKGELMLAVWMGTQADEVFPEAWHSDAATVSGADALANIRSKVYLSPKLWYLRVNVIEAQDLQTTDKGRYPEVFVKAILGNQAMRTRVSQSRSTNPMWNEDLMFVAAEPFEEPLILSVEDRVAPNKEEVLGRCAIPLQMMDRRLDHKPVNTRWFNLERHVVVMEGEKKKEIKFSSRIHMRVCLEGGYHVLDESTHHSSDLRPTAKQLWKSGIGVLELGILNAQGLMPMKTKDGRGTTDAYCVAKYGQKWVRTRTIIDSFTPRWNEQYTWEVFDPCTVVTIGVFDNCHLSGGDKAGGAKDSRIGKVRIRLSTLETDRVYTHSYPLIVLHPTGVKKMGEIHLAVRFTCSSLLNMMHMYSHPLLPKMHYIHPLTVSQLDSLRHQATQIVSMRLSRAEPPLRKEIVEYMLDVGSHMWSMRRSKANFFRIMGVLSGLIAVGKWFDQICNWKNPVTTVLIHILFIILVMYPELILPTIFLYLFLIGVWHYRWRPRHPPHMDTRLSHADSAHPDELDEEFDTFPTTRPSDIVRMRYDRLRSIAGRIQTVVGDLATQGERLQSLLSWRDPRATALFVIFCLIAAIVLYVTPFQVVALLGGIYVLRHPRFRHKLPSVPLNFFRRLPARTDCML >OIV96177 pep chromosome:LupAngTanjil_v1.0:LG16:585371:586919:-1 gene:TanjilG_14854 transcript:OIV96177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQYLLSEYLMQLNASRIKFLQVQDVVVNNMKDDAAKELLNVRHDHNIYINLLKDLIVQCSSTWLSVSDAVV >OIV95520 pep chromosome:LupAngTanjil_v1.0:LG16:12288930:12300116:1 gene:TanjilG_18736 transcript:OIV95520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSSPAWVEALSSWPGLPFLKWKHEEDDVMGSVFIGGDMGIERIGIVAMRDDLRSLSARLKNHGFSEDDNVVATRTVDGEDGGFNFGSNGIVGTRQQV >OIV95066 pep chromosome:LupAngTanjil_v1.0:LG16:20300670:20303498:1 gene:TanjilG_10886 transcript:OIV95066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEGAEINERVDFEEENYMEEIDDDVEEQIDDGGVDGSEDENAEGSADEHEGEESAAEAGGKDQLPQAERSDIADEIVENEQKSSSVNEEEKEKHDELLAHPPHGSEVFIGGLPRDAHEDDLRELCESMGDIIEVRLMKDKDTGVNKGYAFVAFKTKEVAQKAIEEIHNKEFKGKTLRCSLSETKHKLFIGSIPKTLTEDEFRKVLENVGPGVENIDLKKDPLNPSRNRGFAFVSYYNNACADYSRQKMSNTSFKLDGSSPSVTWADPKTSPDHFASSQVKVLYVKNIPENVSTEQLKELFRRHGEVTKVVMPAGRVGTKRDFGFIHYAERSSALEAIKDTEKYEIDGQELEVVLAKPQAEKKHDGGYAYNPGFHSNHLPPPAYGAFSGNLYGSGEGGYGVSAGYHQPMIYGRGPMPVGMQMVPMVLPDGQIGYVLQQPGVQGPPARPRRNDRNDGRNGHGRRGGDGNDDGNRSRRYRPY >OIV95595 pep chromosome:LupAngTanjil_v1.0:LG16:8944100:8945378:1 gene:TanjilG_23826 transcript:OIV95595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGLGMRLQRSKGSQCPQMRGFTVLMMMENIRTMDRAIEAKGIDDSSFQIKLMTALIITVSIASNHNILMVMAQGNKWYGLALWL >OIV95391 pep chromosome:LupAngTanjil_v1.0:LG16:15583878:15587530:-1 gene:TanjilG_06260 transcript:OIV95391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKNEKNVTENYNDNKKTMIAKKKKNISRLGGGGLSLNAFANAKSNNNHYNPSLIKKQREFYKNAKNVNKFKKLVKQQNQQNNPSLSQRVIESVDETGEDKDKSERRKKNSALNLEELYKKKHEEIEKERMEREAIVKAKKEERENAEARRKTIREKMLKKTRKGQPVMKYRIEHLLETIQSSTKN >OIV95534 pep chromosome:LupAngTanjil_v1.0:LG16:10958149:10959809:-1 gene:TanjilG_10922 transcript:OIV95534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLVAAARVRRAQEAVINGRPFSQTLVEVLQDINQRLRIEEIDVPLTNVRPVKKVALVVITGDRGLCGGFNNAVTKKAEARIRELKSLGLDCVVISIGKKGNSFFKSRNFVQVDRFIEGGSFPTTKEAQAIADDVFALFVSEDVDKVELVYTKFMSLVKFDPVIHTLLPLSTKGEVRDVNGNCIDAMEDEFFRLTTKQGKLALERDVVDRKRGECLPLMQFEQDPVQILDAMMPLYLNSQILRGLQESLASELAARMNAMSNATENAIELKKNFSMAYNRERQAKITGELLEIVAGAEALTPID >OIV95357 pep chromosome:LupAngTanjil_v1.0:LG16:16361766:16366094:1 gene:TanjilG_07513 transcript:OIV95357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKRKFGFEGFGINRQSTFDFERSQPPQRLYVPPSSRHGAHDNYEDTDLDNIDYDDNDGGDGGVSGGGGGGGDGEIDPLDAFMEGIHEEMKVAPRPKEIEKVEDRFNDEEDPMESFLKAKKDLGLTLASDALHAGYDSDEEVYAAAKAVDAGLVEYDSDDNPIVIDKKKIEPIPALDHSSIDYEPFNKDFYEESPSILGMTEQDVNEYRKSLAIRVSGFVVPKPLKTFDDCGFSTQIMSAIRKQGYEKPTSIQCQALPVVLSGRDIIGIAKTGSGKTAAFVLPMIVHIMDQPELQKEEGPIGVICAPTRELAHQIFLEAKKFAKSYGIRVSAVYGGMSKLEQIKELKAGCEIVVATPGRLIDMLKLKAFTMTRATYLVLDEADRMFDLGFEPQIRSIVGQIRPDRQTSLFSATMPRKVEKLAREILTDPIRVTVGEVGMANEDITQVVHVIPSDSEKLPWLLEKLPEMIDQGDTLVFASKKATVDEIESQLALRGFKVAALHGDKDQASRMDILQKFKSGTYHVLIATDVAARGLDIKSIKSVVNFDIAKDMDMHVHRIGRTGRAGDKDGVAYTLITQKEARFAGELVNSLIAAGQNVSVELMDLAMKDGRFRSKRDAGKGGGKKGRGRGGGRGGGGRGVRGVDFGLGIGYNAESNNIPSSNTVPSRSTAINSLRSGVMSQFRSNFVAASSNAPSQGFTNNASIPAKRPALAGFVSGGSIGGDINTPHQNTSSFSPATPTVNSTSQNSSQKSTNSSKPRERRRPSGWDR >OIV96151 pep chromosome:LupAngTanjil_v1.0:LG16:1016757:1018513:1 gene:TanjilG_13083 transcript:OIV96151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVSREDQREGVMAGDFFWSYTDEPHASRRRQILSKYPQIKNLFGPDHSAFFKISIVVLLQLGTAILLRDAGWLKMLLVAYFFGSFLNHNLFLAIHELSHNLAFSTPVYNRCLGIFANLPIGVPMSVTFQKYHLEHHRFQGVDGIDMDIPSLTEAHIVTNVVAKAIWVLLQLFFYALRPLFLKPKPPGWWEFTNLFIQIALDAAMVYFWGWKALAYLILSTFVGGGMHPMAGHFISEHYIFTPGQETYSYYGPFNLLTWHVGYHNEHHDFPRIPGNKLHKVKEIAPEYYNDLASYKSWSQVIYMYIMDRTVGPFSRMKRKPTKKAE >OIV95036 pep chromosome:LupAngTanjil_v1.0:LG16:20613580:20615512:-1 gene:TanjilG_10856 transcript:OIV95036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTNKGVSSLSHHDNNDATVSELKEKLRGLVNIIVEKKSDYNYTIQQTTTDEAIATLQLLKHFNQNSSFSNKLDQFLVPPLFRCPISTQLMTDPVILSTGQTYDRPFIQRWLNEGHRTCPQTQQVLTHTILTPNYLVRDMIAEWCRERGIELPKLVRDTDEVVTNADRDHLNLLLHKLSLSVSDQKEAAKELRKLTKRMPSFRTLFSGDVIPQLLSPLSTRNALIHPDLHEDLITTVLNLSIHDDNKKVFGEDPNVISLLIDALETGTIQTRSNAAAAIFTLSALDSNKHIIGKSGVIKHLLELLDEGNPLAMKDAASAIFNLCVVHENKGRTVRDGAVQVILKKIMDNVLVDELLAILALLSSHPLAVEEIGDLGAVPYLLGIIREAAASERSKENCVAILYTVCYSDRTKWREIREEEKANGTLSNLAKCGTSRAKRKANGILERLNRSIYLTHTA >OIV95926 pep chromosome:LupAngTanjil_v1.0:LG16:1634673:1634969:-1 gene:TanjilG_27030 transcript:OIV95926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNIHALRSLLANLEAQEEAERSNNYGAAGSFNNNNHGNSNGNSNGYGNGNHPFSGSKINNGASKGNGTINNSGTFNGHGNGGNISGDFNASTRNYY >OIV95850 pep chromosome:LupAngTanjil_v1.0:LG16:3952886:3954870:-1 gene:TanjilG_06826 transcript:OIV95850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAIMKRASSSSTMRSISLSAVLRIRNYAKVANGSDIVSAAPNVSLQKARSWDEGVSSKFSTTPIKDIFKDKKVVIFGLPGAYTGVCSSKHVPTYKDNIDKFKAKGIDSVVCVAINDPYTINAWAEKLQAKDAIEFYGDFDGSFHKSLELVTDLSGALLGKRSERWSAYVVDGKIMALNIEEAPSDVKVSGADTILGQI >OIV95280 pep chromosome:LupAngTanjil_v1.0:LG16:17287687:17287974:1 gene:TanjilG_07436 transcript:OIV95280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLLAIFLTATKLAGAVVTLTVAANAFSFSRFRNKNLRTFRSPINDSDDTLADFNVTGTNYEINLTLSLMFHSPLKSQILMILIIYKHIHCRVKC >OIV96218 pep chromosome:LupAngTanjil_v1.0:LG16:212835:213485:1 gene:TanjilG_14895 transcript:OIV96218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVEPCSLVPNQHDQKQTQQDNSNNNVIDYSQRAQWLRAAVMGANDGLVSIASLMMGVGAVRRDVKPMLLAGFAGSVAGASSMAIGEFVSVYTQYEVEVAQRKRDMNEKDVEFGGLENKRALPNPLQAAFASAFSFVVGAIVPLLAAAFIIDYKIRLMVVVFVASLALVVFGWVGALLGKTPKIRSCARVLVGGWMAMVITFGLTKLLGFCGLEL >OIV95819 pep chromosome:LupAngTanjil_v1.0:LG16:3725804:3727025:1 gene:TanjilG_06795 transcript:OIV95819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWIRGNLLGRGSTASVYKAESRCNGKVFAIKSVELDRSEFLKKEKEILSTLNCPQIVSYQGFHVTFENGANYYNLFMEYAPRGTLSDAVCNGKVIEEAVVRSYTRQILEGLNYLHANGIVHCDVKGQNVLLTDQGAKIADFGCAKRIGDLAAAMAGTPAFMAPEVARAEEQGFPADVWAFGCTVLEMITGKPPWQGVSDPAAVVYRVGFSGKAPEIPGFVCEEGKDFLSKCFKNDPFERWTVCELLNHGFVRGTNLLCNRIAFACDLVTPTSVLEGGCFSDSKTNQDVTHVGCSWDSSSPRDRIHMLCTSEPFWDWADEEELEWVTVRSNDIEKEGFELEGMSLVSIEKMEDYGSNIVYGMVSLIIVTLASLTENCY >OIV95794 pep chromosome:LupAngTanjil_v1.0:LG16:4511905:4514469:-1 gene:TanjilG_20244 transcript:OIV95794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDVMVHRGFYTAYHNTTIRPAILDAVERAKKFYGDVHIIVTGHSMGGAMAAICALDLTVNLHEKNVQVMTFGQPRFGNSAFASLYFKQVPNTIRVTHDHDVVPHLPPYYSYLPQKTYRHFPRENQRNCRGLQVWLYNIGFGTLIYSAEKICDGSGEDPDCSRSVSGNSISDHLEYYGVRMESDEPMSCKIVMDDHVLNLGIRDSRGNIILSRDPATPLLKMSTTEFDKQEKPISVD >OIV95687 pep chromosome:LupAngTanjil_v1.0:LG16:6851064:6851588:-1 gene:TanjilG_01481 transcript:OIV95687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEKLAKEELNMLETQYPNQYESLKLELRSFILQLQSNHHDSPLIPQKTFLPFLDTQESTCFDKRKMSNIISNNYGLELALEDSEVMEVMIDEGCELEPPMNAEWKGGKSRKDKRKDRVDLVLERAQACLNKIRHFKTTLLSQS >OIV95538 pep chromosome:LupAngTanjil_v1.0:LG16:11190144:11202101:1 gene:TanjilG_10926 transcript:OIV95538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCCSGNTTDAPVAAADNTATADHKGDTNQQSIKHRDTNESSTNPPSTSKPPSSSASHPPSTQSRTAAIGPVLGRPMEDVRATYSLGKELGRGQFGITHLCTNKVTGQQFACKTIAKRKLVHKEDIEDVRREVQIMHHLTGQPNIVELKGAYEDKQSVHLVMELCAGGELFDRIIAKGHYTERAAASLLRTIVQIVHTFHSMGVIHRDLKPENFLLLSKDENAPLKATDFGLSIFYKQGEMFKDIVGSAYYIAPEVLKRRYGPEVDIWSVGVMLYILLCGVPPFWAEPARDLVSKMLNSDPKQRLTAYEVLNHPWIKEDGEAPDKPLDNAVLNRLKQFRAMNQFKKVALRVIAGCLSEEEIMGLKEMFKGMDTDNSGTITIEELKQGLAKQGTKLSEQELKQLMEAADADGNGTIDYDEFITATMHMNRMNREEHLYTAFQYFDKDNSGFITTEELEQALHDYNMHDGRDIKEILQEVDGDNDGRINYDEFVAMMGKGNPEAHTKKRRDSFALH >OIV95878 pep chromosome:LupAngTanjil_v1.0:LG16:4278093:4280307:1 gene:TanjilG_06854 transcript:OIV95878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGHDRFNTSLPDDLIIEIFRRLDSKSKRDACSLVCHRWLRLHRLTRSSLKIGASGSPDRFLQILSTRFPNVTDIYVDERFAISPPPCIGRKRSRDNSKVSSEESCGSVGNVDSPYLSDAGLAVIGNGFPKLEKLNLIWCSNVTSDGLASLARKCTYLKSLDLQGCYVRDQGLAAVGQCCKQLEDLNLRFCEGLTDTGLIELAVGVGKSLKSLGVAACAKITDSSMEAVGSHCGSLENLSLDSEFIHNQGILAVAGGCPHLKVIKLQCINVNDDALKAVGANCLSLMSLALYGFQRFTDK >OIV96051 pep chromosome:LupAngTanjil_v1.0:LG16:2686040:2686219:1 gene:TanjilG_27155 transcript:OIV96051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQPEVWGHGSIAIFLVIVAIILLGPLFMGPISPPGIPLLLVFPVIIAVVIILLIVFSN >OIV95474 pep chromosome:LupAngTanjil_v1.0:LG16:14101563:14118547:1 gene:TanjilG_23917 transcript:OIV95474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFEPLTWYCQPEENNIWSKTVDSAFGSYTPCAINTLVISTSNLILMGLCLYRMWLIIRNAKAQRFCLSSNYYNYVLVILAAYCAAQPLLRLLNGISAFNLNGETDFSPFEITALIIETLTWCSMIILLLLETKVYIRQFRWFVRFGVIYVLVGDTVMLNLLLAVKDYCSRSALFLYISTVICQALFGSLLFAYIPNLVPYSGRLTTQAEVPDNPEYEPLYGADQVCPEMQASLFSRITFGWITPLMTQGYTKPITEKDVWKLDKLDQAETLTEKFQRFWMLELQSSNPWLLKALNNSLGKRFWCGGIYKIGNDLSQFVGPILLNHLLTSMQNGDPSWIGYIYAFSIFVGVSVGVLCEAQYFQNVMRVGFQLRSTLVAAIYRKSLRLTNEGRKKFQSGKLMNMITTDANSLQQICQQLHALWSAPFRISIAMVLLYQQLGVASLVGSLMIVLLIPLQASTHVISKMRKLTKEGLQQTDKRVGLMNEILAAMDTVKCYAWETSFQSRVQSIRHDELSWFRKAQLLYALNSFILNSIPVLVTVTSFGMFTLLGGELTPARAFTSLSLFAVLRFPLNMLPNLLSQVANANVSLQRLEELFLAEERNLKQNPPIVPGLPAISIKNGFFSWDTKAEKPTLSNINVEIPIGRLVAIVGGTGEGKTSLISAMIGELPPIADGNATIRGTVAYVPQISWIYNATVRENILFGSDFEYERYWKAIDATALEHDLNLLPGRDFTEIGERGVNISGGQKQRVSLARAVYSNSDVYIFDDPLSALDAHIAQEVFRNCIKEELRGKTRVLVTNQLHFLPQVDEIIVVSEGMIKEQGTFEELSKCGLLFQKLMENAGKMEQQTENNEDIDNDDKSNVLTLNGEAIVELPKDASYEKKGKLRKSVLIKQEERETGVVSWKVLMRYKSALGGLWVVSIVFACYALTEVLRISSSTWLSVWTDQDSNADYGPEYFLFIYALLSFGQVSVALANSYWLTISSLRAAKRLHDAMLDKILRAPMVFFQTNPVGRIINRFAKDMGDIDTNVFNLVNMFLGQVWQLLSTFVLIGTVSTISLWAIMPLLIFFYAAYIYYQSTAREVKRLDSITRSPVYAHFGEALNGLSSIRAYKAYERMAHINGKFMDNNIRFTLVNISSNRWLTTRLESLGGLMIWLIATFAVLQNGSAENQAMFASTMGLLLSYTLNITTLLSGVLRQASRAENSFNAVERVDTYIDLETEAPGIIETNRPPPGWPASGSIEFEDVVLRYRPELPPVLHGLSFTVPPTEKVGVVGRTGAGKSSMLNALFRLVELQKGRIIIDGCDISMFGLADLRKVLTIIPQSPVLFSGTVRFNLDPFNEHNDADLWEALERAYLKDVIRRNPFGLDAQVSEGGDNFSVGQRQLLSLARALLRRSKVLVLDEATAAVDVRTDALIQKTIRQEFQSCTMLIIAHRLNTIIDCNRILLLDDGRVLEYSSPEELLVNEGSAFYKMVQSTGPENAKYLCSLVFGRTVNNSTQDNKGVESHMRHLASTNWAAATQFAMAATLSSLHHQLQGPSTKDNEDILDKTKDAVTTLQEVLEGKHNEAIEETLTRYQVPTDRWWSTLYKIVEGLAVLIRLPPDNTQQLELDFEGRSFD >OIV95962 pep chromosome:LupAngTanjil_v1.0:LG16:1931747:1934904:-1 gene:TanjilG_27066 transcript:OIV95962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQYEKVEKIGEGTYGVVYKARDRLTNETIALKKIRLEQEDEGVPSTAIREISLLKEMQHRNIVRLQDVVHCEKRLYLVFEYLDLDLKKHMDSSPEFVKDPRQVKMFLYQILCGIAYCHSHRVLHRDLKPQNLLIDRRTNSLKLADFGLARAFGIPVRTFTHEVVTLWYRAPEILLGSRNYSTPVDVWSVGCIFAEMVNRRPLFPGDSEIDQLFKIFRIIGTPNEETWPGVTSLPDFKSTFPKWPPKDLTTVVSNLEPAGLDLLSSMLCLDPSKRITARTAVEHEYFKDIKFVP >OIV95107 pep chromosome:LupAngTanjil_v1.0:LG16:17788790:17802869:-1 gene:TanjilG_21497 transcript:OIV95107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPISELDICYSTFHGGKVKEVPVIRVYGSTPSGQKTCLHIHKALPYMYVPCSDITLQLDQEGDAYTCKVAASLEKALKLKGGAGFTRQHVHGCSLVRARKFYGYHSFEELFVKIYLEQAFIMTFPFQEGAVLDKTLQPHESHIPFVLQFLVDYNLYGMGYLHLSKMKFRLPILDSSQKKLNINNHHRRADPGADVWLDAKVWISSMVPPDWTWPTTSDFGASSNDKAHCPRRQSICELEGDASVDEILNQNFKIYSSLSQTCSDVKMVQSLVPIWEEQQKRSGNHEATMPPDPGKPLPEDVMKFLHGSLDFEQKLLRLCSEAETSLSLTPSQKDLRETDIIGLASPPCSLSEGANFHEEETDTSLKLLTVNEMQSSEMNGMLDTKAADKEAQNLLKWLATSQAVEDINSDDELACEMILSPFLPASTIDKVLEEVNMAYESESQKECQDILDSIDDVQKPSSPSDLTHPTEAPSISMMPHVGPADELSSPCTSLAGTSTALEINSKSKMASEFHVLHSTDTSTASKVKRTKQWGSLPFFTPNKVNNDEELADLRVTHLFESESGDSTDSDYSMRSDIRNSACIRRYVGKSASELKEGNKLVNRSLRDLMRRKRSHRVEQAECESGRTKKILVDRHEGSNTCLWKKQLDFNTMQTDGDETELQNNFDLKPSNHGNLMCEKPHPSGSDSFLRATEASNPKDDCFGQHETDSVETSSVLRDPTNRSSLACQGPCNPENTHLTDSIDHSEGCRGKNLMFDTAYAKSVASDAYIPKSFSDVLLRADADNRISGAEACQQSDCADSRCVHCACINHKVSGKHNGVDKYSHGSMPFVEHDQTKYFENAMGKSAASDMQVLLTENQKHGENLMRETIGSEPIVDDMKGNDMVKLTGITIGKITPLADKKLESFKSLPTISNTRFSLDEEACDEMPGNGLDVFLPFSAKESRKEMKTWKEMKTWNKFVTIAAPRSHGKEGVSTHYQNDGSPLYLLTPNILPPSAVSVHRWLDERDQKFQETDAEDKFVPECASGPPLGPKLYQDAGAEKKSPHSSGGQTERVKACLDGSQDISQISGPDRKSSFTPLSQIGFRDPASAGCGQQLTLLSIEVLAESRGEFLPDPQFDGINIVALGFQNDNEPTVEVLVLLRSKFEPCHRSFDGLSGCKVLVFTDEKHLLKEFIKCVSSSDPDILMGWEIQGGSLGFLAERASHLGLGLLNSLSRTPCESWVASEVTKTSGKAILELEISDSPTLDSFVQEDSIIEDEWGRTHASGVHVGGRIVLNVWRLIRGEVKLNLYSIEAVAEAVLRRKIPLIHHKVLTKWFSSGPGQARYQCIKYVTERSKLNLEILNQLDMVNRTSELARVFGIDFFSVLSRGSQYRVESMFLRLAHTQNYLAISPGKQQVASQPAMECLPLVMEPKSGFYSDPVVVLDFQSLYPSMIIAYNLCFCTCLGKVVASKANTLGVSSFSPEQHVLQNLKDQILLTPNGVMFVPSKIRRGVLPRLLEEILSTRIMVKQAIKKLAPSEQVLQRIFNARQLALKLISNVTYGYTAAGFSGRMPCAELADSIVQCGRSTLEKAISFVNLHEKWNAKVIYGDTDSMFVLLKGRTVKESFQIGNEIASAITAMNPSPVTLKMEKVYHPCFLLTKKRYVGYSYESPDQIEPSFDAKGIETVRRDTCDAVAKIMEKSLRRFFEHQDLFEVKTYLQRQWKRILSGRFSLKDFVFAKEVRLGTYSARISSLPPAAIVATKAMRVDRRAGPRYAERIPYVVVHGEPGARLADMVVDPLEVLAIDSPYRINDLYYINKQIIPALQRVFGLLGADLNYWFSEMPRPIRVASVKHTFTSNSHRKRIDYYYLSKHCVLCGELVQASAHLCNQCSENGGAAMTALISKTSKLEQEMQHLVAICHHCGGGDRLLENSVKCTSISCSVFYERRKIQKELLAATHVAADKGFYPRCMIEWF >OIV96145 pep chromosome:LupAngTanjil_v1.0:LG16:985763:986614:-1 gene:TanjilG_13077 transcript:OIV96145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSSNQVSNRRVGWDPTLLEAQNLELPQLHDSMRKQHHHNQQPEFVKCPRCDSTNTKFCYYNNYNKSQPRHFCRACKRHWTKGGTLRNVPVGGGRKNKRVKKPTTPLSTTTTTSITLTSVASLEVDHDHKGKPTSLYQPLICPQPSMDNIICTTRDSEDKDFGIGNGIFLSSSIMPHTENQSMIFPFSTTSSSFDTNPCSISTSLPSSNVYNYGEEFKTMEESTINSMMPITSGNNTPQWEIMPATGIGMGMSSNYWSWEDIDSFVSTDINVPWDDDSDVKP >OIV96139 pep chromosome:LupAngTanjil_v1.0:LG16:932816:938324:-1 gene:TanjilG_13071 transcript:OIV96139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFKNLELHVRVFVFYMLILTTTFCVGDTDIVDGSPPLQGWKPVGGDPCFELWEGVACVFSNITALHLGSMNLSGELGSNLDFPSILEIDLSNNRIGGPIPFTLPPTLRILSLSGNQLNGSIPDGFSLLTELSNLDLSSNNLSGQLPSSTGSLSSLTTLHLQNNQLSGILDVLQDLPLQDLKDGNPFNTTIIPSPPAPAPTPSPAPSPLAMAPSPGGSPWRAATSPSTIAPVQASARKSSIPKRVIWIAGAGFLILVALGACLFMLWSFKRSKKKNDKKNDKKHDAVYEATNQVEKGEKPSLVYEVQNKRTSLIPKIQGEQEISLKIVSATSESNDGGIGGSSKLPSLQPPPSPPTNIPAEVIFNPPIPSKATEGHGSIMAYTVALLQQYTNSFSQENYIGEGTLGPVYRAELPDGKLLAVRKLDATASIGQDHEQFLQLVSSISKIQHSNIVKFMGYCAEYNQRLLVYEYCNNRTLYDALHGDDEHHVELPWNARISVALGAARALEYLHENFRPPIVHRNFRSANILLNDKLEVYVSDCGLGPLLSSGSAGQLSGRLLTAYGYNAPEVVSGSYTQQSDVFSFGVVMLELITGRKSYDRSLSLREQFLVRWAVPQLHDIDALSKMVDPNLNGEYPMKSLSRFADIVSSCVQNEPEFRPEMSEIVQDLLRMM >OIV95601 pep chromosome:LupAngTanjil_v1.0:LG16:8820970:8825167:-1 gene:TanjilG_23832 transcript:OIV95601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLQQGKKEQEMTKREGAMREESEEEKWVHDESVDYKGKVPLRSSTGVWKASLFVLTIEFSERVSYFGLAANLITYLTKVIHEDLKTATKNVNYWVGTTTLMPLIGGFFADAYTGRFPMVLFSAFVYIMGLILLTMSQFIPSLKPCNINICHKSTKVHEVIFFLALYCISLGTGGYKPCLESFGADQFDDDHSEERKKKMSFFNWWNFALCFAMLLGATVIVYIEENVSWGVAALVLTILMALTIIAFYVGKPFYRYRRPEGNPLKPIFQVIVAAIRKRNLSCPSNPALLYEVPMSEKSQGRLLSHTSQHRFLDKAAIVEDKHVEQKNNPWTLTTVTTVEETKLVLNLIPIWLSSLTAGVCVAQGSTLFVKQAASMNLKISHNFTVPAASISSAAAIGTLICVPIYDKIIVPILRRVTGNERGISILQRIGIGQTFSVMVMIVAAIVEAKRLRMVPNSNTMSVSWLIPQYMILGFADSFSLVGLQEYFYDQVPDSMRSLGMALYLSVIGVGSFLSSFIIIVVDHVTEKNGNSWIGKDINSSHLDRYYWMLAIINALNLCVYLLLAKNYTYKNVQRREMEHGGRKSDELEMVP >OIV95472 pep chromosome:LupAngTanjil_v1.0:LG16:14058114:14061476:1 gene:TanjilG_23915 transcript:OIV95472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVQLLPLRPSLPPHNSTTKSKTHSSYSTTSTSTSTSTTTPTPLYQRIFIPTHVYKHPSSILLELCTSIKELHQIIPLIVKNGFYNEHLFHTKLISLFCNYGSMVEAARVFEPVEPKIDVLYHTMLKGYAKNSTLSDALRFYYRMLCDEVKPVVYDFTYLLQLCGANLDLRRGREIHGQLITNGYESDLFAMTAVVNLYAKCRQIDDAYNMFVRMPRKDLVSWNTLVAGYSQNGFARRALNLFLEMQEDGRKPDSITLVSVLPAVADIKALRNGKSIHGYAFRSGFESMVNVATALLDTYFKCGSVRTARLVFKEMSSKNVVSWNTMIDGYAQNGESEEAYATFLQMLDEKVEPTNVTIMGALHACANLGDLERGRYVHKLLDQLKLDHDLSVMNSLISMYSKCKRVDIAASIFDNLREKTNVTWNAMMLGYAQNGRVNEALSLFCMMQSQDIKPDSFTLVSVITALAELSVIRQAKWIHGHAIRTVMDKNVFVATALVDMYAKCGAIQTARKLFDMMQERHKCHHPSVLARSATCEDGVANVAETMIPPMIPPWPAAWLQLYKEE >OIV96025 pep chromosome:LupAngTanjil_v1.0:LG16:2469753:2470239:-1 gene:TanjilG_27129 transcript:OIV96025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVHDDCKLKFMELKTKRTHRFIVYKIEEKQKQVIVEKLGDPSQGYDDFTASLPADECRYAVYDFEYLTEGNVPKSRIFFIAWSPDTSRVRSKMIYASSKDRFKRELDGIQIELQATDPTEMGLDVFKSRAN >OIV95499 pep chromosome:LupAngTanjil_v1.0:LG16:13736474:13749369:1 gene:TanjilG_23230 transcript:OIV95499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMRDLVSGSTSCPDPSSSSSSSNNPLASLANSLIGSSSKTQERLKEIPTSTPTANGFQIYSQRPNELPGSELDKPFFDANSQGPEFLNRFRSAGGVGGGLEDTWDEIQREGRVSQLPTSQFDRVYDRGLGPQLHQPPLDGTPQRVLSSFLHSFLDSGRGGIPFHPAPLPLLGLSEGDKQCIHDRSSIMARHLFADKGEEFIDAQVNALLCSLDIDSSVRGKGPMPERFRELDGYWNESQGNLRLGAPAAEGWITEYSQHSQQYDNPDSWASTFEQQHGANGWASEFEHSQLSSVDQMRGMNMSNLAAMEQTRMLANTLSQNGDPKFQNSNFFQFVSKMSRGELIIDDNQVKETALPASGDWAAEYQQQYNHGHAQVSRGPDQWVNEFTTEGQQHGTVDDQWANEFSKLHVDDWAEEFGQQVGEGESSSEPWAHAYDEYLNEQVAAKKLSDSSRGVYVFSDLNPYVGHPNPLKEGQDMFRKGLLSEAVLALEAEVMKNPENAEGWRLLGIAHAENDDDQQAIAAMMRAQEADPTNLEVLLALGVSHTNELEQTSALKYLYGWLHHQPKYGTLTPPEMPNSLYHADVVRVFNEAAQAYPDDADVHIVLGVLYNLSREYDKAIASFEQALKLKPRDYSLWNKLGATQANSVQSADAIMAYQQALDLKPNYVRAWANMGISYANQGMYDESVRYYVRALAMNPKAENAWQYLRISLSCASRNDLLDACDSRNLDLLQKEYPLQ >OIV95041 pep chromosome:LupAngTanjil_v1.0:LG16:20591994:20594592:1 gene:TanjilG_10861 transcript:OIV95041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLTKSDNNKLNKSNFFQNLFLNESLLREDDIFYESVRTHVEGAFGGHDVERENHVPQDDMHLIDIPNIKLKRLISSCLNNLTNKGLYHLAMILNGSSIKSEITRSKLKRVIKGSLSSVLGRKSCDRDQVETRKKLFELLSNPHHFRDRCEPLSAIISQSDHAAVKRVLHGLQTLPIQTLIAMNRKLRGKKPSMPQLLPCRNGWGRDRLIKLVKEPIRKMLLQLDKGNGLQEPLAKAMAVSDLSRRLIAGCHSTLSREFYKFSPEVKSLQNDIMNAIWSINKKVVTLSLLRKLKLLIEPEAIIPTRSLRAAFVSFLTEFLFHCGDMDRIPKSLTRILDSINRGSNSTHDTLFQKKDIEEEVDCLLSVSAQAKQVVLDLLPDGEFDQDFTDAYMEELEESEDSASDEDDDVDQPEEDRQFINGTFNSMDLNYEAESIGDFVPFQSHSSISMKQDNVSFCSVTTSGKSNSNCEKIQLDNCDRVNSASEVHNTPHNMSTNQFPGESEEHCSTHVASKNYANETGTDGNVVKRLDFNETDTELDTKGAANSLRKETKPIPTKDSVCKNQYLAIQDSCDKTSMLAYNLIGHILEEFATNEGLDLNMSTSSDVDNSGRVEDVEETKKQSSSDKHATDSATIVQAINKLIPSFPDSGMEKLMMLIGS >OIV96116 pep chromosome:LupAngTanjil_v1.0:LG16:771756:773199:-1 gene:TanjilG_13048 transcript:OIV96116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVLEQNKSKVVIIDSLQSWDFYLNQTSNQDLPIVVHFTASWCMPSVAMNSFFEELASSYSNVLFLTVDVDEVKEVATKMNVKAMPTFLVLKDGVAVEKVVGANPEEIKKRIDGFVQSISVSVA >OIV95752 pep chromosome:LupAngTanjil_v1.0:LG16:5157841:5159545:1 gene:TanjilG_05300 transcript:OIV95752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSESICSTSFSRLSFDFIPTSPDSNLSIKPHRSSDFAYSAIRSATFRRKSGLTFRDFHLLRRIGAGDIGTVYLCRLRTANADDDEFDNTIDGDSGCFYAMKVVDKEAVALKKKAQRAEMERKILKMLDHPFLPTLYAEFEASHFSCIVMEFCSGGDLHSLRHKHPHKRFPLNSARFYAAEVLVALEYLHMLGIIYRDLKPENVLVRSDGHIMLSDFDLSLCSDAIPAVESPHDLPPFTPYTRSNSTPLFSCLSKRLFRSRKVQTVQPNRLFVAEPVGARSCSFVGTHEYVSPEVASGNSHGNAVDWWSFGIFMYELIYGRTPFAGPSNEVTLRNIIKKPLTFPTSTPSTSLELHARDLISGLLNKDPNRRLGSKRGAADVKKHRFFQGLNLALIRMLAPPEVPCLRRNKTTPLIPVTGKSNGSSSRLVPTASFDYF >OIV96169 pep chromosome:LupAngTanjil_v1.0:LG16:1166491:1168923:1 gene:TanjilG_13101 transcript:OIV96169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYKQKDCAGAADGKPVDENVESFLSLENEHADHRIVPFSNLKRISNSYSRNENKGFSFEEVGCLHSSKSKVLSSHFSSDGKILASAGHEKKVFIWNMETFDCATTAEAHSLLITDVRFRNGSTIFATSSFDRSVRLWDAARPTRSLLKLDGHAEQVMSLDFHPRKVDLLCSSDSNDVIRLWNVKQGACMHVTKGGSKQVRFQPDFGKLLATATGNDIKIVDVEADRILCNLNGHVKDVISICWDRSGNYIASVSEDSARIWSTDGKCIHELHSNGNKFQSCIFHPAYQNLLVIGGYQYLELWSPTEGNKTWAVAAHEGLIAGLAESPHGELIASASHDCCVKLWK >OIV95600 pep chromosome:LupAngTanjil_v1.0:LG16:8845698:8846949:-1 gene:TanjilG_23831 transcript:OIV95600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYKVDHEYDYLFKIVLIGDSGVGKSNILSRFTRNEFCLESKSTIGVEFATRTLQVEGKTVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDITKRQTFDNVQRWLRELRDHADSNIVIMMAGNKSDLNHLRAVSLEDAQSLAEKEGLSFLETSALEAFNVEKAFQTILYDIYHIISKKALAAQDAGTTTLPQGTTINVSNMSSNVGAQKTCCSN >OIV95460 pep chromosome:LupAngTanjil_v1.0:LG16:15323124:15325800:-1 gene:TanjilG_06922 transcript:OIV95460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDEEDHQKLPDLVKELILRLISQNNNNTIDSPNPNSSHFQSSLRYAHRILSSRLTPSVSPDAAAIADSVKRRLATQGRSSDALSFADLYTKFASKTGPGSVNNKWAVVYLLKIISEDRKNTKNLDTSVLLPNLGLSDAQLGKGSGSRVSRGVEKGWNNGILLVAKDSENRREIAFREFVNLVKEENEVSEEVLVRDVIYACQGVDGSYVKFENDNVGYVLSDFVKVPRATRTMVHKLCELGWLFKKVTGFISRSMDRFSAEDVGTVGQAFCSALQDELTEYYKLLAVLEAQSSNPIPLVSESASSGNYLSLRRLSVWLAEPMVKMRLMADLVEKCRVLRGGAMAGAIHLHAQHGDPLVHEFMRRLLQRVCSPLFEMVKRWVLEGELEDIFAEFFIVGQPVKVESLWREGYRLHDAMLPSFISPSLAQRILRTGKSINFLRVCCEDRGWSDAAAEIATDIGATARRGGFRYGETDTLESLVDEAAKRIDKHLLDVIYKRYKFKEHCLAIKRYLLLGQGDFVQYLMDIVGPELSEPANTISSFKLSGLLETAIRASNAQYDDHDILDRLRVKMMPHESGDRGWDVFSLEYDARVPLDTVFTESVMTRYLRIFNFLWKLRRVEHALIGAWKTMKPNCITSNSFTRLQHAVKMQLVSTLRRCQVLWVEINHFISNLQYYIMFEVLEVSWSNFLSEIDVAKDLDDLLAAHEKYLHSIVEKSLLGELSQSLYKSLLVIFDLILRFRSNADRLYEGIHELQTRISESSVSSRNQSKSRKQLVDKSVDQGSWIADGRKALTQRAGEFLRSMGQDLDAIAKEYSSLEEEFISQLPVQQHVDLKFLFFRLDFNEFYRRVSPN >OIV96121 pep chromosome:LupAngTanjil_v1.0:LG16:801987:804762:1 gene:TanjilG_13053 transcript:OIV96121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDLEKQKRVVVVVEDGDGDGEEEQGEGGGVLRFGKNMKRAGFGYCYVQTLVYFLLAMGAFLNFIVFAITRTHHYLYMAIAFIIIVGAYLGFFPKSMRRKFNIKKLISGLHFSNNTPFWNASAEALNDIRVGSKSEDGDRITALHQLVSPFGKTDTASVLQETIDYVKFLHDQVLSNSYLENGSPIQYQQGCDNVKDSEGPTQDLRSLGLCLVPISSTFPVTNETTIDFKTPTLVGAFNSS >OIV96142 pep chromosome:LupAngTanjil_v1.0:LG16:955241:955540:1 gene:TanjilG_13074 transcript:OIV96142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLGNFEWVNTYGKKRCKSLFWRMRATMKKTFKKCGKKKQIKFQYDPSSYALNFDDGCSHFDIQDAANKFMGDAIVEELKDMNNNTTTWVFVLLVKTM >OIV95856 pep chromosome:LupAngTanjil_v1.0:LG16:4057156:4059074:1 gene:TanjilG_06832 transcript:OIV95856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENNNAEGKDETHVEKPNLYYPYGNFKNRELNEDPRLKVFKKEWFEGKDCLHIGCNSGILTIYIAQKFCCRRILGIDNDSDRVEEAYLNLRKIGSKSMEDGASSTGETPMELCSMEERNVSDIISFKQENIVQTQYPLEEKVYDTILCLSATQWVDLNVRANGLYALFQKIWNLLLPGGILVLEPQSLESYEKNDHASEIGFKCVEQINSHGENRFNRPILVFQK >OIV95934 pep chromosome:LupAngTanjil_v1.0:LG16:1675888:1683676:1 gene:TanjilG_27038 transcript:OIV95934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFWWPLLVLAFAFAICKFLLLLIPPKVPSIDVDTSDVLDDGNQASENSFIYVPPRGTAQQSGKKVQCYEPATMKYLGYVPALTPDEVREQVEKVRKAQKMWAKTSFKQRRQFLRILLKYIIKHQALICEISSRDTGKTMVDASLGEIMTTCEKIKWLLSEGEKWLKPEFRSSGWSMLHKRAKVEFHPLGVVGAIVSWNYPFHNIFNPMLAAVFSGNGIVIKISEHASWSGCFYFRIIQSALAAIGAPEDLVEVITGFAETGEALISSVDKVIFVGSPGVGKMIMRSAADTLIPVTLELGGKDAFIVCEDVDVDHVAQIAVRAVLQSSGQNCAGAERFYVHKNIYSSFVSKITKIIKSVTAGPPLAGKFDMGALCMHEHSEKLEGLVNDALDKGAEIIARGSFGHIGEDAVDQYFPPTVIVNVNHSMRLMQEEAFGPIMPIMKFSSDEEVIKLANDSKYGLGCAVFSGSQSRAREITSQINCGVAAVNDFAATYMCQSLPFGGVKHSGFGRFGGVEGLRACCFAKAVVEDRWWPFIKTKIPKPIQYPVAENGFEFQESLVEALYGLSIWDRLQALVNVLKMLTEQKSIGSSHKEK >OIV95180 pep chromosome:LupAngTanjil_v1.0:LG16:18457338:18460716:-1 gene:TanjilG_21570 transcript:OIV95180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSSSTLTLSSSTTLVDGKVSRKSQATTPKCVTLPTQTHSWNSTSFCGKFARNVMAMASSTAGEVTTEAVATTEAVATTEIVEPIELPSFVKTIQQAWDKVEDKYAVSTIGVAAAVALWGSAGVISAIDRLPLVPGVLEVVGIGYTGWFAYKNIVFKSNREALVQKIKETYSEITGSNY >OIV95809 pep chromosome:LupAngTanjil_v1.0:LG16:4386179:4393079:-1 gene:TanjilG_20259 transcript:OIV95809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTNDPSSSPIWDLTELLNFHLDDIQISPSVDHHDPLLPPSPDNQKIRKRDPRLTCTNFLAGHVPCACPELDAKLDDEGLPGKKRVRTARGSVGIVRCQVPTCEVDISELKGYHKRHRVCLSCASAATVLLHGDEPNRYCQQCGKFHILLDFDEGKRSCRRKLERHNKRRRRKAADSEAAAGHELQHVTQNEDFSYDGEAGKDCSNSSGEINEKEVSPDHEDEPLAIPCSAPDTQNVNRDDIPSLVASGETQLSSGKDVSNISNTPSYCDNKSAYSSMCQTGRISFKLYDWNPAEFPRRLRHQIFQWLASMPLELEGYIRPGCTILTTFIAMPKTMWINLLDDPMHYVCDLVAPGKMLSGRGSALVHLDDMIFRVMKDGTSVMKVEVNIQAPRLHYVHPTYFEAGKPMEFVACGSNLLQPKFRLLVSFSGKYQKYEYCVQSPHNWTGDNVSCAFDNQLYKIHIPHTEESLFGPAFIEVENESGLSNFIPVLIGDKEICIEMKRLQQKLDLSLLSKQFQSSAVGSVCSSCQAFALRHTSSSDLLVDIAWLLKDPTSENFDRVMTASQIQRYCYLLDFLICNDSTIILHKILPNLMILTESMESNVVANRMSGIDMTHLLKCMHNARDVVYHKQHKGGVIVHSEMEGFKVAQSCFQDYMPSVAINSQGIMSTSDARLGVSRSSTANDRTERIPLLKRDIIMNMEELPRTCSHRYLPRGFMSSRPAIFVIASLAVCLGLCVAVLHPGKVSELAVSIRRCLFNNS >OIV95427 pep chromosome:LupAngTanjil_v1.0:LG16:14639102:14639881:-1 gene:TanjilG_06889 transcript:OIV95427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSTLSPYNQPPNKEKRKPSEKHGTNLKTNKKMVIDQESASKNQEKGKGISVVEEPKAVPDKKKEIIMEEDPNANEDSKYPKLFGVLLKPFKGSQKGPYPCALCEKIFHTPQALGGHQNGHKWEQSIKQTKEGLKLFQARSGHYNFPSSLPLPSYQGGGMHGTFQVAGTPSFNDGTTHFSQENIDNNTFHHPPKTSTGYCTSPGMFEIGNSSFQYPLSSRFNYGSGISGFEFGLLEKRNGKDTNNQQDIAEKIELSFKL >OIV96001 pep chromosome:LupAngTanjil_v1.0:LG16:2250252:2261500:1 gene:TanjilG_27105 transcript:OIV96001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKLSLWNLSGRPQVEQLIRYIIEEAPEDAEKKRTFKFPFLACEIFTCEVDTILKTLIEDEELTNLLFSFLDPTHHHSNLLAGYFSKVVVCLLLRKTIPFMQYVQAHQEIVRKLVDLIGITSIMEVLIRLIGADEHMYVNHVDAMQWIEETDVLEMIVDKFSSSDSPEVHANAAEILCAITRFAPAGLSAKISSPSFIGRLFRNALEDSRPKSVLVNSLCVCISLLDPKRHTFGAYHTYNHQMTNGSTVAANPETVEGMLESLGDLLKLLDVSSAENLLTTFGKLQPPLGKNRLKIIEFISVLVTVGSEAAEKKLIDLGAVQRIIDLFFEYPYNNFLHHHVENIIMSCLESKNSFLVVHLLSDCDFVGKIIQAEKHFTLEADANKPTIPSEGKSPPRIGSIGHLTRISNKLGQLGNSNSVIQEHLQGNTEWTDWYADVLSKRNTVENVNQWACGRPTALHDRNRDSDDDDYQDRDYDVAALANNLSQAFRYGIYNNEDIEESLVLNSRPVYNVFQVHGSLERDDEDVYFDDESAEVVISSLRLGDDHESGSLFTNSNWFAFEEDRDQVANERSTGALASPSPNAEEEGAIASGDDNVVTGEDEDLVDTATSLPEAEAEPKLEAGTDKLVEWVEWRESSDASDPSDALPNGESGKNDPNAAKPSALPSVALTKDEQIAAEPSVSLNESLTTETPEPTHTGSQNPSSTLSTSLDSAVDEVGDSDKGTKEIKKEVENE >OIV95384 pep chromosome:LupAngTanjil_v1.0:LG16:16292060:16298458:-1 gene:TanjilG_14538 transcript:OIV95384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKFGRVLSIRDRVEDTLSAHRNQLISLLSRYVAQGRGILQPHSLIDELENILGEDQETVDLKTGPFAEIIKSAQEAIVLPPFVAIAVRPRPGVWEYVRVNVYELSVEQLSVSEYLSFKEELVDGKINDRFLLELDFEPFNASFPRPTRSSSIGNGVQFLNRHLSSNMFRNKDSLEPLLDFLRVHKYKGQALMLNDRIESISKLQSTLGKAEDHLSKLAPDTLYSEFEYVLQGMGFERGWGDTSERVLEMMHLLSDILQAPDPSTLETFLGRVPMVFNVVILSPHGYFGQANVLGLPDTGGQVVYILDQVRALENEMLLRIQKQGLNFTPRILILNQSKYSAGLKVTRLIPDANGTTCNQRLERVSGTDHTHILRVPFKSDKGILRKWISRFDVWPYLEAYAEDAASEIIAELQGYPDFIIGNYSDGNLVASLLAYKMGVTQCTIAHALEKTKYPDSDIYWKKFEEKYHFSCQFTADLIAMNNADFIITSTYQEIAGTKNTVGQYESHSAFTLPGLYRVVHGIDVFDPKFNIVSPGADMSIYFPYSEKQKRLTALHGSIEKLLYDPEQTDDYIGSLKDRSKPIIFSMARLDRVKNITGLVESYAKNSKLRELVNLVVVAGYIDVKKSRDREEIAEIEKMHNLMKEYDLNGDFRWIVSQTNRARNGELYRYIADTKGAFVQPAFYEAFGLTVVEAMTCGLPTFATCHGGPAEIIENGISGFHIDPYHPDQVSELLVGFFERCKEDPSHWNKISDGGLQRIYERYTWKIYSERLMTLAGVYSFWKYVSKLERRETRRYLEMFYTLKFRDLANSVPLANDDPN >OIV95987 pep chromosome:LupAngTanjil_v1.0:LG16:2119889:2125685:-1 gene:TanjilG_27091 transcript:OIV95987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSNGGANGCGNKDNQISLRRERPSYKRLSPKQVDILEKFMKECPHPDESQRLQLAAEVGIEPKQIKFWFQNKRTQMKNQHEKEDNNALRIENDNIRNENREIRELLKNILCPFCGGPLCREDEHEQYIQTMLLENDVLKAKYESVYNFLSSYLGNQMSQPELHALLSATLGSSSYAPALGNSANQAIDQVPIQNQNFPSQFVSGDMLLPEVSTILSTDMHKALMIKVATAAMDELVKLLHINEPVWINSSTWDGKFIISLEDYEKLFPITTHFKGPNIRVESSKGITVVNMSGLNLIDMFLNSDKWVNCFPTIVTKAKTLHVVDNGLSESRSGALKMMYGKMHTTSPLVPAREFCFLRHCQQIALDKWVIMDVSFDPFGGNNPISHCWRHPSGCMIQEMNTACSMITWVEHVEVDDKIQTHPLFNDLVCSSVAFGAERWLQELKRMCERLALYYIEMIPSHDIRGDKLEFPQLSSERNGGIKVSCRKSIEPGQPNGIIVTAISSLWLPLPYQQVYNFFTDDTKRFQWDVLSYGSMIHKIAQISTRNQPENSISIIQPFVPTENMVILQENFTDSTGSYFIYAPLDVASSNVAIKGEDSTLIPILPSGFVICGDGKPNATFGASSSRYAERSGSLLTIAFQTLVCSLPGIVNLDMGSVSKVNAVLTSTIEKIKIALNCTSVE >OIV95779 pep chromosome:LupAngTanjil_v1.0:LG16:4634435:4636284:1 gene:TanjilG_20229 transcript:OIV95779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRKVREPKEETVTLGPAVRDGEHVFGVARIFASFNDTFIHVTDLSGRETLVRITGGMKVKADRDESSPYAAMLAAQDVATRCKELGITALHIKLRATGGNKTKTPGPGAQSALRALARSGMKIGRIEDVTPIPSDSTRRKSGRRGRRL >OIV95682 pep chromosome:LupAngTanjil_v1.0:LG16:6812359:6814426:-1 gene:TanjilG_01476 transcript:OIV95682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METATTESIRVQSLSQSGLSHVPPQFIQPLHTRPIPNNPSSTTIPIIDLFGFDPIHRNSTRDSIARACNEWGAFYVTNHGVPVTLLDDIRRVGSTFFNDCSMPVKLRYACNSGAAATEGYGSRMLVSSDEQNDGVQVLDWRDYFDHHTLPLSRRNPNNWPDFPSDYRKTVANYSDEMNVLAKKLLALISESLGLNPSCIEDSVGEFYQNITMSYYPPCPEPELTLGLQSHSDMGAITLLIQDDVSGLQVVNNSDGGWVIVKPVSDAVLVILADQTEIITNGKYRSCEHRAITNPDRARFSVATFHDPAKTAKISPASDLINELSPAKYQDVVYGDYVLSWYTKGPEGKRNLDALVLES >OIV95579 pep chromosome:LupAngTanjil_v1.0:LG16:9340078:9341436:-1 gene:TanjilG_23810 transcript:OIV95579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSKPLKISLSNNLSLPSIQQHQPFCSSDISAASLPLCSAYDHYLRLPELRKLWTSRDFPNWNNEPVLKPALQALEITFRFMSTVFSDPRPYANRRELNRRVESLATSQIEIIAMLCEDEEHNSMTRGTAPTLNLETQQNLNQRRSYSETSLLPHLATWHKSKPAAQRILLSVECAMMRCPYTLGLGEPNLAMKPSLQYDAVCKPNELHVHAHALVSKTEESLTVHATHQIIESWIQASQKLLERINKLLDNKILEKATRDCYAVERIWKLLTEIEDMHVIMDPDDFLKIKKELNSVKGETAAFCFRSKGVVEVTNMCRELKQKVPGILEVEVDPRGGPGVMEAAMKVYVEKESGFEKVHVLQAMQAIEVAMKRFFYAYKQVLVVVMGSAEASGNRVSEGVGDSLSQIFMEPAYFPSLDAAKTFLGYYWENDSRGGLKTLNRNGTMGVVID >OIV95562 pep chromosome:LupAngTanjil_v1.0:LG16:10657464:10658588:-1 gene:TanjilG_02917 transcript:OIV95562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATIATFFNKFLSLLLILFVHLGCFIFTKQENPPSKKRKSSSLSLSHTKFKTQKAISSSWHFIKHLFSSKSSKTKNTTLSSPQSTTTAIQSLISGGSSQAHQEFDFPDPPRRKRTGSGSCSESDISADNNHFLRNDIFPCTVCGEVFHKLNLLDQHQTTKHAVSDLAGSDPGHNIVQIIFKSGWPETRILPVITRILKIHNSRKILSKFEDYRERVKAKAARNMTRFRDERCVADGNELMRFHCSTFLCDLGLNGNSKICSQQFCNICGIIKSGFSPKLDGISTMSSSWRAHVSIPDEIEQEFHFMNVKRAMLICRVIVGRVGSYLDEVNKEDGGFDSQIARGGSGVYTRLDDEELLVFNPRAVLPCFVIVYSV >OIV95246 pep chromosome:LupAngTanjil_v1.0:LG16:19747881:19755555:1 gene:TanjilG_21636 transcript:OIV95246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDELHVMDGWDINSVDPCTWAMVGCSAEGYVISLEMASASLSGTLCPGIGNLTHLQTLLLQNNKLSGPIPAEIGKLLELRTLDLSGNELAVEQDYEFDIGHLKRFSFRELQSATANFSPKNIVGQGGFGVVYKGCLANKMLVAVKRLKDPNYTGEVQFQTEVEMIGMAVHRNLLRLYGFCMTPDERLLVYPFMPNGSVADRLRETCREKPALDWNRRMHVALGAARGLLYLHEQCSPKIIHRDVKAANILLDESFEAVVGDFGLAKLLDQRDSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELITGQKALGTGNGQIQKGMILDRVRTLSEEKRLEALVDRDLKGCFDPVELEKAAELSLQCTQSLPSLRPKMSEVLKILESLVGQSLRAEESQGGGNLYDERTCSFSQNYSDVHEEPSFIIEAIELSGPRLHTILNSNAVSKTLAIAYIPHCGGCASFLPSSTQLTNAMASEKKLANPMREIKVQKLVLNISVGESGDRLTRAAKVLEQLSGQSPVFSKARYTVRSFGIRRNEKIACYVTVRGDKAMQLLESGLKVKEYELLRRNFSDTGCFGFGIQEHIDLGIKYDPSTGIYGMDFYVVLERPGYRVGRRRRCKARVGIQHRVTKDDAMKWFQVKYEGVILNKSQQIS >OIV95291 pep chromosome:LupAngTanjil_v1.0:LG16:17104136:17109513:1 gene:TanjilG_07447 transcript:OIV95291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIVNLGKEGGERMGVGKYELGRTLGEGNFGKVKFARNTRSGQPFAVKILEKNKISNLNTTDQIKREITTLKLLKHPNVVRLHEVLASKTKIYMVLEYVTGGELFYKIASKGKLTETEGRKLFQQLIDGVSYCHNKGVFHRDLKLENVLVDDKGNIKITDFGLSALPQHLREDGLLHTTCGSPNYVAPEILANKGYDGATSDAWSCGVILYVILTGYLPFDDRNIAVLYQKIFKGDVQIPKWLSLGAQNMIKRVLDPNPKTRITMAEIKEDPWFKEDYNPANPEEDEEEDINNIDNAALSIMEVPHEAEEKSPRSPTLINAFQLIGMSSCLDLSNFFEKEDVSERKIRFTSNLSAKDLIERIEDIVTEMGFRVQNKNGKLKVMLENKAHKSVGHLSVATEMYEISPSLYVVELRKCYGDASVYRQLCKRLSNDLGVPSSQGLISSGLK >OIV95056 pep chromosome:LupAngTanjil_v1.0:LG16:20442646:20445235:1 gene:TanjilG_10876 transcript:OIV95056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQHFKLFLSLFSFFILFFSLSSSTQTQQTQTLLLHSLTLPHAPSLSWPESEKPDPVHEEESSTSSSSLSLSLHHIDSLSSNSTPQQLFNLRLRRDSYRVKSLSGRVNNSNGSGFSSSIISGLEQGSGEYFTRLGVGTPARYVYMVMDTGSDVVWLQCAPCRKCYSQTGRVFDPSKSRSYAGVPCGAPLCKRLDTPGCNNRNKICQYQVSYGDGSFTFGDFSTETLTFRKTRVTRVALGCGHDNEGLFIGAAGLLGLGRGRLSFPVQTGHRFNNKFSYCLVDRSASAKPSSVIFGDSAVSRTARFTPLLKNPKLDTFYYVELLGISVGGAAVRGLSGSLFQLDHAGNGGVIIDSGTSVTRLTQPAYIALRNAFRVGASHLKRAPEFSLFDTCYDLSGLTEVKVPTVVLHFRGADVSLPATNYLIPVDSSGSFCFAFAGTMNGLSIIGNIQQQGFRVVYDLAGSRVGFAPRSCV >OIV95558 pep chromosome:LupAngTanjil_v1.0:LG16:10461869:10464881:1 gene:TanjilG_02913 transcript:OIV95558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSMGGRIILSGGRDAIPILGNGNMKATIPSLFRSGPPLTAIDRFLWGQQQQQQQPHNNVARNTHAIVFDDEFYKVACSGGGSTFRFVWPNYTQEGSFYDEIIANEEALNWENHFATTCQNENVLALRKNMKVVRRKPKNGSSMFLIKGQWTAEEDRKLLKLVKQHGVTKWSQIAEKLEGRAGKQCRERWHNHLRPDIKKDSWSEEEERILVETHAKVGNRWAEIAKIIPGRTENAIKNHWNATKRRQNSRRKNKRPATSNGKPQPTILQDYIKHKTLTTPSTSTTETSEETAAAAASQLSLLLSQPSESVTTENNTLSPPFTEESHDDELLFMQELFKENNFQTQQHVNVAESVNHSKTEGYYCQTNNNGNQVHTVTEFGFVNSNPNPNPNNNMVYDETLISRKTPTNYLDLYVSHLLNGAASSSSSIFCDYGNKNLNTDLKLGDDQDFSEGKREMDLIEMVCSKSYNFY >OIV95528 pep chromosome:LupAngTanjil_v1.0:LG16:11911836:11917966:1 gene:TanjilG_11112 transcript:OIV95528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPGESLPPPPSTIPSNVVHTKAALLKAYEPANKTLVTNRLPMARRGNGTKGQKIQLLTNHFRVGMGKNDGFFYHYSVALMYEDGRPVEAMGIGRKVIDKISETYDELQSKNFAYDGEKSLFTLGALPDKKLNFTAVLDEVSSKRIGMDGSPENFNKEGKDKRMRRASQSKTFKVEISYAAKIPLQAIPNALRGQDSEHFQEAVRVLDIILRQHASKKGCLLVRQSFFHDNPRNYTDIGGGVLSCRGFHSSFRATQGGLSLNIDVSNTMILKPGSVLDFLCENQNVRHPNMIDWAKAKRMLKNLRIKANNIEYKITGLSDNSCRNQIFSLKQGKDSNGDVQTIEITVYEYFKRHKKLDMHKSADFPCINVGKPKRPSFFPVELCTLVSLQRYTKALTNLQRAQLVEKSRQKPNERKAALIDSLRNSRYENDPMLRSCGITIEPDFTRIDGRVLQPPRLRVGNGEDFVPRNGRWNFNNKKLVDPVKIERWAIVNFSARCDTRYLCDTLKKCAANKGIHISPPFRVFEEDNRSARDPAPVRVEKMFGTVKSMLPGPPQLLLCILPQRKNSDIYGPWKRRSLAEEGVATQCIAPTKINDQYITNVLLKVNAKLGGMNSFLAVEPSHSIPLVSSVPTLIIGMDVSHGSPGRSDVPSIAAVVSSRCWPLISRYRAAVRTQSSRVEMIQSLFKPVSDTADEGIMRELLLDFEITSGKRKPQHIIIFRDGVSESQFNQVLNHELNDIIKACEHLDESWSPKFTVIIAQKNHHTKFFQANSPDNVPPGTVIDNKICHPHNNDFYLCAQAGMIGTTRPTHYHVLHDEIGFSADDLQELVHSLSYVYQRSTTAISVVAPICYAHLAAAQMGQFMKFDEFSETSSSHGGMTSIVAPQVPQLPPLHQDVTNSMFFC >OIV95459 pep chromosome:LupAngTanjil_v1.0:LG16:15316181:15319513:1 gene:TanjilG_06921 transcript:OIV95459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRTQRFKRVAEPSSPVSPTEPDRNNPVHKTRRFKPKPENENKPHHPISDSLPKSESNIKMETLSRDFFQIDALDLAPRLLGKFLRRDDVVLRITEARINIRQVEAYRPKDSACHGRFGVTPRTAPVFGPGGHAYVYLCYGLHMMLNVVADKEGAGAAVLIRSCAPISGLDVIQQRRGQKTDKPVLLTGPGKVGQALGLSTEWSNHPLYTPGGLELLDGPEPESILVGPRVGIQYALPEHVNALWRFAIAGTPWISAPRNTLKPPE >OIV96082 pep chromosome:LupAngTanjil_v1.0:LG16:3487349:3489140:1 gene:TanjilG_27186 transcript:OIV96082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRVPVRFNRVAAAFDADVARVRLCESSGSEHSPESSTDLSDLVKSFMEKNNEEGEKKSHHNEDHKKGCDDDDEEVETDSEKKEMLEGLFAGSDDDEGERKVKENIRREVEVACGIVGDFSMPGFKRRLMTQLREKGFDAGLCKSKWEKNERITAGDYEYIDVNLSGKRYIVEVSLAAEFTIARATNQYTSLLDVFPLIFVGKVEELKSVVRVMCTEIKGSMKRKDLHIPPWRRNGYMQAKWFSSYKRTTNAVATKTSHLSPQSLFPTRSIGFETRPVKAHYCRDDYVTSTTGLRIGHLTAAFTSDGFGV >OIV95788 pep chromosome:LupAngTanjil_v1.0:LG16:4571728:4576672:1 gene:TanjilG_20238 transcript:OIV95788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTLSLCFSPKSFARRNTNNSRTRNTNKNSLPNPNPNRTSKLKKQSGRYTPPPEPVVLPGGDAITYTRLPPKEEDFSAPFSSSQLKLSEATPPSLQHELQEDDDDDDADFPGNYKVVEVFEGIADSKFEIDDNEEEEDDDDGDEDEIFGDGKNVNFNEGDEVLNYENGEMVEDSQEEVKEKGVPAVMRCFDRAKIFVKAGDGGNGVVAFRREKYVPFGGPSGGDGGRGGNIYVEVDGSVNSLLPFRNSVHFRAGRGSHGQGKMQSGAKGEDVVVKVAPGTLIREADKDEVLLEMVYPGQRALLLPGGRGGRGNASFKSGTNKVPKIAENGEQGPEMWLELELKLVADVGIVGAPNAGKSTLLSVVSAAKPEVANYPFTTLLPNLGVVSFDYNSTMVVADLPGLLEGAHRGFGLGHEFLRHTERCSALVHVVDGSSLQPDLEFDAVRLELNLFNPDIAEKPYIVAYNKMDLPEAYENWESFKEKLQSRGITPFCMSAVKAEGTREVIGAAYELLRKSKEDKEEYGYEDGRNMVDLNHVADAVQKQRNASINDFDIFHDSNANIWTVVGSGLQRFVQMTNWRYVDSDKRFQHVLEACGVYKALMKRGVKEGDTVVVGEMEMIWHDSSDKSGASRMKKVSTDSIKWPNWMKQFQSKWDEIEGKDHETLPQNGAE >OIV95309 pep chromosome:LupAngTanjil_v1.0:LG16:16841897:16842385:-1 gene:TanjilG_07465 transcript:OIV95309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIVTDDIKSRAEIYHGDELCQLKSKELLKEIALPNGLLPLKDIEECGYDRESGYVWLKQKKSYTHKFEKIGKLVSYGPEVTAHVENGKIKKLFGVKTKELLLWVTLSDIYIDDPPNGKITFKTPSGLYRSFPVSAFEIEEKSIDNKKQGNEANESVAVKEV >OIV95267 pep chromosome:LupAngTanjil_v1.0:LG16:17399506:17401112:-1 gene:TanjilG_07423 transcript:OIV95267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSVAAATFSDEIPNMNMINNTFIFPFSSNTSIFDIMPPAPSCDKKPSSSTFGGGFMDLLAVNDYNPFLFDCIPTTTTTQINDHQLHHPLPSPADGSEVLNIPASPNSTSISSSSNEAATATAATTTSNFNENLSGKVGNDEYEEAEDEDGNGGRGDDIDKTKKQLKPKKKNQRKQREPRFAFKTKSEVDQLDDGYRWRKYGQKAVKNSPYPRSYYRCTTAGCGVKKRVERSSEDPTTVVTTYEGQHTHPCPATSRASFGFMQYGNGGALSSQHFMLPHNQQQFHQALSYESTPPLNLNSTSDYVNSSSFSSFFQDQENHQQHFVPSRTRTTLLRDNGLLQDIVPTQMMNAKKQDE >OIV96234 pep chromosome:LupAngTanjil_v1.0:LG16:102041:109557:1 gene:TanjilG_14911 transcript:OIV96234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDVHEAGRSLAETPTYSVASVITVMVFLSFLVERSIYRFGKWLKKTRRKALFASLAKIKEELMLLGLISLFLAQSARWISEICVDSSLFTSQFYTCSQQEISATNHKIMLQTSPPFPPSESTTVAKEVNNVAFHQCREGHEPFVSYEGLEQLHRFLFVLGITHVLYSCLAVGLAMSKIYSWRKWENQATMAADGNLQGLNIYFWLSFIPALNAFEMASFLWTLWGFKEQSCFMRNHYMIIIRLTSGVLVQFWCSYMTVPLNVIVSQMGSRCKKALVAESVRESLHSWCKRVKQKSKHDSLHTARSVCSIESTIDEITVVSESLQISMDKYELVKDIGSGNFGVARLMRNKLTKDLVAMKFIERGHKQICHRDLKLENTLLDGSPAPRLKICDFGYSKSSLLHSRPKSTVGTPAYIAPEVFSRREYDGKMADVWSCGVTLYVMLVGAYPFEDQEDPKNFRKTINRIMAVQYKIPDYVHISQDCRRLLSRIFVANPVRRVTIKELKSHPWFLKNLPRELTEMAQAVYYRKEDPTFSLQSIEDIMNIVEEAKTPALVSWSIGGFGWGDEEEEDEEEETKEAAEAGEEDEYELRVKEAQASGEFHIS >OIV95869 pep chromosome:LupAngTanjil_v1.0:LG16:4206353:4206523:-1 gene:TanjilG_06845 transcript:OIV95869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMRGGFGFRVGGRWRNGGGGGGGRRHGGGEGGGYEVVVVVVVVVVVVVVVVVHHT >OIV95893 pep chromosome:LupAngTanjil_v1.0:LG16:1307586:1310551:-1 gene:TanjilG_26997 transcript:OIV95893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLSSSSLVLLTYLFVLFFTLTETSLCVAKVGNNTIHPHSQLSVDYYAKSCPQVEQFVGSITLQQFKESPVSGPATIRLLFHDCFVGGCDASILIESKGSSKELAEKDAEDNKELKVESFETIRKAKALVESKCPGIVSCADILVIAARDYVHLAGGPYYQVKKGRWDGKISMASRVGPNIPHANSTVDQMIKLFNSKGLTIEDMVALTGAHTFGFAHCKHFLTRLYNYQGMDQPDPYMDPKLVHALKMYCPKYGGNSDIVAPIDATTAFLFDQAYYANLVNKLGLLASDQALFLDPRTKPLVLNLAKDKQKFFQAFVAAIDKMSSIKVIRGRKHGEKRRDCSMHM >OIV95165 pep chromosome:LupAngTanjil_v1.0:LG16:18333310:18337481:1 gene:TanjilG_21555 transcript:OIV95165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNLYGKRTKDNNVKNPFSDTFLDPLCKLNLNETSEFVKSFPMSNDNNNTTSKRTFLEASVSAQSRRKLESPTTPTRPVFSFNSVVVGGNLSRKNFPSKWDDAEKWLMNSSNFKHCDNFKQQQMEKTRVIHERVSKAVPNFQAFDGISCSSDIVLKDKFTESIEPIFRNFRYSEPSKEGFLFTNQCDESMKNACTEMIHNKDIGTEMSPLRICTTSKCNTPFKSSSPARHNTPASSSGPLSLSPNHNNNTSCNAVDFIRLEECHFAKLKLGTTTYDSVTSHWSSREEEEEEVSKSLRHNADSDCRTTTFEEEEKIKCCLRYQREEAKIQAWVDLQSAKAEAQSKKLEVKIQKLRSNLEEKLMKRKEVVQRKAEELRATAREQHFEQSQKATEQAQKIIMNQHNTIVSAHNSCGCFPSNNNHH >OIV96189 pep chromosome:LupAngTanjil_v1.0:LG16:386705:388324:-1 gene:TanjilG_14866 transcript:OIV96189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAQGSGGGGRAEAERWLFTANKLLTARDLHGARSFAIRARESDPRYEATELLLVVIDTLLAGEVRIGEHLLDWYSILQVLRYTHSIEYIAAQYRRLAILLDPNRNPFAFTNHALQLVHDAWSVLSNPNKKIIYDNDLRLLTEPPQQRPPPPPVVTGFQFPPPRQNQDQNQHQLNQNQTPVYQSQQQQQQQQQQVPIRKNPKPSNQARVVVVEEERHNETEPEPVQVNQTGDATLTRSETVPVTETENEVPSFWTACPYCYVMYEYPKVYEDCTLRCQSCRRGFTALVVKAPPNLGMNDDGYCSWGFIPVGFSGNSKDLSGGSSEWNPFSPLFPCPLKGRRGRKGPVAYYDQEACTAFVEKELSDSSDDSDDGDWRNTYTKKLRKRARGSGRRTGIASASGVVRRSAVDRPRRGVQNSAEDGNVANGEAVNGDGGGGSAPAVPVAARPDTSKKTVLVGARRRAAGNLGKLDLNVEFSNEVEEHAPGVSGRNGRNASGNATGTGHAEDNIEGIGFFEGLDEFLSSLPILNVVADDKVKGH >OIV96202 pep chromosome:LupAngTanjil_v1.0:LG16:316314:317186:-1 gene:TanjilG_14879 transcript:OIV96202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCEGCASTVKRILETQPQVSSATVNLASQTATVIPAIESEKEELGEALAHHLSTSGFTSTFPSPGQEDAE >OIV95530 pep chromosome:LupAngTanjil_v1.0:LG16:11950207:11952359:1 gene:TanjilG_11114 transcript:OIV95530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIILNAYCKYQLSHFRYLILSIESAGCNDGSCVIWDFKTRGIAKVLGDAECSSPITSICWSKYGHQILVSAVDKSLTLWDVMSGKKITRIVLQQTPLQARLHPGSSTPSLCLVCPISCAPMVVDLNTGNTTLLKIYVSETCDGPNPSSHSKCSDGITSFTSSAACFNKCGNLVYVGSSKGEILIIDYKDGEVRAMVPISGGSVVKSILFSRNGQYLLINSNDRTIRIYENILPLKDEVRALNELGENFNDLNGVEKFKAVGSKCLTLLREIQDTINRVQWKAPCFSGDSEWVVGGSASKGEHKIHIWDRAGHLVKILEGPKEALIDLAWHPVYPLVLSVSLNGIIYIWAKDYTENWSAFAPDFIELEENEEYVEREDEFDLIPETEKVKVSDVNEDEEVDILAFEKDATFSDSDMSQDELYFLPASPTRDVPEKQETCSKSPSKMVDCNNTGPSPSEEAGANGNVTNHASTPLQDDAGGRMKRKRKPSEKVLELQAEKVNKPSKSTKSAKSKCKSSVDLDNGNGFSGDDPYDK >OIV95443 pep chromosome:LupAngTanjil_v1.0:LG16:14951727:14952305:1 gene:TanjilG_06905 transcript:OIV95443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPLQVFSSKSSPSSSTLSSMKLKNLIHTLIISHMYRIIRALTKVKDVIVEILNDNNSTIHFPYLSHKKHYNKRKKIILGSFRLHYNWCSSKSSHVLPVPQRVYEGLSSTTTNLYNDSNGQLQSEDFHDSELEGYLRWLEEKKNEEGKSAKEQEMNEIDMLAEMFIANCHEKFRLEKQESDRRFQEMLARSI >OIV96107 pep chromosome:LupAngTanjil_v1.0:LG16:727116:728988:1 gene:TanjilG_13039 transcript:OIV96107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSILILVLFLLHSSIATALVSHNHDSKAISDLKESIVKGLGFNADDFKVTGLDPREAEVGHSVQYEFDVEIDKKVIPFKLLEDVNRWDYVDLPIFRVHHDDDNDVEPGSEKYGLVQKSEKLPVLAPFQLAGPMELWIQDADHIRLSLPHDVEAGVLKKVILADGAVVTVKGARSVSLRHPLDLPLPLNQSQNGFASGLLALADQLRHTSRTQGAPLLSLRIVGPTSLASPSSTSSNNRLKLKRLAPGLVELSSPANTKAVEALSTVDIQEEAPTLLTPTQFATLWPLASLNGSNANLLGFEKLLSSVLGPKAHKKGSFKLLKADVSAQTFVKIGFQAEKKIKEGDGISLEGFPEWRTKPETVRMHFEVLAKVDGDKVVPERVMQVNPVVVEDTVAPNVLTGNVTMSKTPVVHHPPNPFLL >OIV96039 pep chromosome:LupAngTanjil_v1.0:LG16:2580752:2583401:-1 gene:TanjilG_27143 transcript:OIV96039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITIDNKPIKLQIWDTAGQESFRSITRSYYRGAAGALLVYDITRRETFNHLASWLEDARQHANANMTIMLIGNKCDLAHRRAVSTEEGEQFAKEHGLIFMEASAKTAQNVEEAFIKTAATIYKKIQDGVFDVSNESYGIKVGYGGVPGPSGGRDGPVAASGGCCS >OIV95669 pep chromosome:LupAngTanjil_v1.0:LG16:6574147:6574680:-1 gene:TanjilG_01463 transcript:OIV95669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPHAPQPLLNGPTNPTPLDLSVSSQLLALPSTTGNTLNADSLSFGPTRDSHVTLKAPQFSNNFMPSLHHPTLNTSADPRVLGNVPINAPMAPLVHPSIQFIKKAPSLPQPLDLEPIPSNSSHVEAMHSPQIPSIFIDLEDKVIFGELCNDRDPIAETHTKMPNRVKKKPNWMKNYI >OIV95451 pep chromosome:LupAngTanjil_v1.0:LG16:15131965:15141047:1 gene:TanjilG_06913 transcript:OIV95451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPLIDSNNTRSSSNDSDLGFRSPISDRRFAFSRQASFQQQREQQPQTPISIDGSRRSFLTRSDSSIDIPTNRGSHQHHYWSSHDDEKHSVSVQRSSFVLSVFWNVWSGHRYMKRLFILISLNVAYSTSELLIGLFTGRVGLVSDAFHLTFGCGLLTFSLFVMAASRKKPGRGYTYGYKRLEVLSAFTNAQLKHFMPSYKMNQSTTYRNAEDMNHHSVFLHVLADSIRRVQNAEVLCSGLVSGAVFMLVLPLFRAAGGILLQMAPPSIPTTALSKCLRQITALEDVVDVSQARFWELVPGHVVGSLSIQVKKGVDDRQILGFVHGLYHDLGVQDLTVQIDAA >OIV95186 pep chromosome:LupAngTanjil_v1.0:LG16:18567481:18567960:1 gene:TanjilG_21576 transcript:OIV95186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNSLRCCLACVLPCGALDLIRIVHLNGYVEEITRPITAGEILKANPNHVLSKPSSQGVVRRILILSPETELKRGSIYFLIPASSLPESKRHAGNVNIGDSDLNRKSPSKKSKNCDDYCEGYLTVNDNKVLKEKKPSRQDRRRGRVGIWRPHLESILEY >OIV96037 pep chromosome:LupAngTanjil_v1.0:LG16:2561733:2564981:-1 gene:TanjilG_27141 transcript:OIV96037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLRNIVLLLLSITVFAPIVLYTDRLSTFNSPSTKQDFIEDVTAFSLSATDTGHLNLLPQETSSVLKEPVGVVYNEDSTDTKNLSRDLRLAESREHASARVLYTTTEEDQAQRDNPIKQVTDGVKQGNQGGSILARADSTSENVSGDDAIDVDDNDGKHTKSAQATKQEQPATETSSNVNKRGSILSNKQKDQTPSDVRVQQLKDQLIQAKVYLSLPAVKANPHLTRELRLRVKEVTRAVGDRSEDSELPRNVNERMRAMEQSLLKGKQIQDDCAAFVKKLRAMLHSTEEQLRAHKKQALLLTQLTAKTLPKGLHCLPLRLTTEYYYSNYSQKQFPNQEKLEDPRLYHYAIFSDNILATAVVVNSVTANAKDASKHVFHIVSDRLNYAAMRMWFLVNPPGKATIQVQNIEDFTWLNSSYSPVLKQLNSPAMIDYYFKAHRATSDSNLKYRNPKYLSILNHLRFYLPEIFPKLNKVLFLDDDIVVQKDLTGLWSVDLKGNVNGAVETCGENFHRFDRYLNFSNPLIAKNFDPRACGWAYGMNIFDLVEWKRQNITEVYHNWQNLNHDRTLWKLGTLPPGLITFWKRTFPLNRSWHTLGLGYNPNVNQKDIDRAAVIHYNGNLKPWLEISIPRFRGYWTKYVNYNHVYLRECNINP >OIV96104 pep chromosome:LupAngTanjil_v1.0:LG16:710738:713373:-1 gene:TanjilG_13036 transcript:OIV96104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTASMEDEASIHDSHQITFGRFLSTETFHNAGHASLKLTMSRRELSSQADASSSKDDDDLEGGLSELEAHENNNEPESEISDGEEDIEKPYDELELSDTETDPTQKKSQGRKDESQLFKAIMKAPGLSVQSALDKWVEEGKELSKLEILLALSYLRRRKMYGRALQLMEWVGANKKLEFMEKDYASQLDFIAKLRGLHKAEKYLETIPKSFRGELVYRTLLANCVSQNNLIKAEEIFNKMKDLHFPLTAFACNQLLLMYKRTDKKKIADVLLLMEKEKVKPSPFTYKILIDVKGRSNDITGMDQIVETMKAGGVEPDIQTQAILVRHYTSAGLKEKAEAVLKEMESENLKEKRWVCPTLLRTYANLGKADEVERIWKVCESKPRIEDCMAAVEAYGMLKKIEEAEAVFEMMSKKWKLSAKNYYVLLKVYENNKMLVKGKDLIKQMADRGYPIGPLTWDALVKLYVRSGEVGKADSVLLKATQQSKMTPMFTTYMAILEQYAKRGDIHNSEKVFHRMRQAGYTSRMSQFQALLQAYINAKLPAYGFRERMKADNLFPNRSLANQLSLIDAFKKTAISDLLD >OIV95586 pep chromosome:LupAngTanjil_v1.0:LG16:9183492:9186560:1 gene:TanjilG_23817 transcript:OIV95586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDSTRFFTIGLIAAWYSSNIGVLLLNKYLLSNYGFKYPIFLTMCHMTACSLFSYVAIAWLKLVPMQTIRSRVQFFKISALSLIFCVSVVFGNVSLRYLPVSFNQAVGATTPFFTAVFAYLMTFKKEAWVTYLTLVPVVTGVVIASGGEPSFHLFGFIICIAATAARALKTVLQGILLSSEGEKLNSMNLLLYMAPMAVVFLLPATLIMEENVVGITVALARDDVKIIWYLLFNSALAYFVNLTNFLVTKHTSALTLQVLGNAKGAVAVVVSILIFRNPVSVTGMMGYTLTVFGVVLYSEAKKRSK >OIV95430 pep chromosome:LupAngTanjil_v1.0:LG16:14725913:14727001:1 gene:TanjilG_06892 transcript:OIV95430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTTQANSYAYLGNYLLELSVGTPPVNIYGILDSGSALIWTQCVPCDNCYKQLDPLFDPKKSSTYKNISCKSDKCHLIYSGACSAQNSCDYSYGYADSSITQGALASETITFKSSTGQPIKVNNIIFGCGHNNTGVFNDHEMGIIGLGRGPTSLISQISSRFGGKKFSQCLVPFHTDISISSKMSFGKGSEVLGVGVVSTPLVNKDDGPLYFVTLLGISVEDTRFEISNNPDSVPKGNMFIDSGTPPTILPQQLYDQVFDEVRKRVPMNPVTDDPQLGPQLCYRTSTNLKGPMITMHFEGADIKLTPIQTFIPPKDGVFCLAFTNTSNGDPGTLGNFAQSNFLIGYDLEKDVVSFKPTDCTK >OIV95956 pep chromosome:LupAngTanjil_v1.0:LG16:1892189:1895170:-1 gene:TanjilG_27060 transcript:OIV95956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGFSETRNPEAYLIEGVDLNSHFTSSNLGQTKVMLNEGAPEFVVDQNLFYPAATNYGYYSTGFESPGEWEDHHRVFGVDGPDIQYMSSQNENTPYVYYSYEYAQSPYNPYNPYIPGAVIGVDGSLGGQQYYALPNYQNHASSSSYIPIIVPPDNFPDCSVDSFYGNSASVSRPDGSGLKHKFNSVSHNFSQNSSKPLSNQTSSLARVPGGPRRNDGRTQDLIHASVSASSFPNIPSSTVNQGRSSGASNQHVDTIANGNTLSRRTQLNVAPHYSGYSDFGSVAKGQSAAAKFWPKVHSGNLPSDVNGGPDFLGDQNRGPRLSRSKEQLSVKAYTTKAGDGSEQQNIIIYPDQYNKEDFLIDYENAKFFVIKSYSEDDVHKSIKYNVWSSTPHGNKKLHNAYEDAKKIAAEKSGVCPVFLFFSVNASGQFCGVAEMVGPVDYNRDMNFWQQDKWSGSFPVKWHFIKDVQNSNFRHIILENNEHKPVTNSRDTQEIMYRKGLEMLEIFKNHTLNSSLLDDFMYYENRQKIMQDEKGKFLIKSFESPFIPNLEGQHNLNFAVNTPAVNDVNNSKPKDDVDNFKQNSKPKDDADTEIQISTSGPQQIVNTSNVLPSIKLVDEKVEVDKEDISSILKIGSVIITPKPVGTKQFVGVSSKERTEVVTVGSMQVKVKGFAESSGILKVGSIPHGTRSLQLGKGDGVVRNGSQR >OIV95171 pep chromosome:LupAngTanjil_v1.0:LG16:18385688:18385861:1 gene:TanjilG_21561 transcript:OIV95171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARVQHLFKQATKVPPKRKGYGFTNKCASLGKEQRARLYIIRRCATMLLCWYIEGDD >OIV95390 pep chromosome:LupAngTanjil_v1.0:LG16:15570368:15573329:1 gene:TanjilG_06259 transcript:OIV95390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKLAYSFPEEVLEHVFSFIHCHKDRNSISMVCKSWYEIERWCRKKIFIGNCYSVNPTMVMKRFPEVRSIELKGKPHFADFNLVPEGWGGYVCSWIVAMARGYPWLEEIKLKRMVVTDESLELIAKSFKNFKALVLTSCEGFTNDGLAAIAANCRGLRMLDLHESGDEDLNGNWLSHFPDSYKSLVSLNISCLGNEVSLPALERLLARCPNLQTLRLNRAVPLDRLANLLRRCPQLVELGTGVYSTEMRPEVFANLAEAFSGCRQLKCLSGFWDVLPTYLAAVYPICSRITSLNLSYAILQSSDLIKLFSQCPSLKRLWVLDYIEDAGLDALAASCKDLNELRVFPSDPFGLEPNVALTEQGLVSVSEGCPKLQSVLYFCRQMSNAALHTIARNRPNMTRFRLCIIEPRTPDYLTLQPLDSGFGAIVEHCKDLQRLSLSGLLTDRVFEYIGTYAKKLEMLSVAFAGESDLGLHHVLSGCDNLRKLEIRDCPFGDKALLANAAKLETMRSLWMSSCSVSYGACKLLGQKMPRLNVEVIDERGPPDSRPDTCPVEKLYIYRTIAGPRSDMPGFVWTMKDDFSPRLE >OIV95413 pep chromosome:LupAngTanjil_v1.0:LG16:15788546:15792555:-1 gene:TanjilG_06282 transcript:OIV95413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSLCFDENKGDVEAKSVEGSPTVEVDDIFGDPEVVPRVGDEYQAKIPPLVTALYLSKLMKKTKDSEMAGSFSLGLPSSLKRAHCIIENSCGTLESVTSEVEQVISQNGCSKVENQTVLLGERKNVGKNSNFRPSPKCRETGIIDSYPGMKTEIGQSGGKDLLPGLLVDPSWTDTDYDRFLLCLYVFGKKNFKFLKIFVGSKNMGEIMSFYYGKFYRSKGYRRWSVCRKLKTKRYICGKKIFTEWRQQELLSRLFPHVPGECQTVLVEISRSFAEERMPFVEYVFALKDAVGIDLLVAAVGICKGKQDLTSAVVEPTKTNDIFSHRPKLTIGKACSSLTSEDIIKILNGNFRLSKSRSSDLFWEAVWPRLLANGWHSEQPTDYFPSYSKQCLVFIVPGVKKFSRRLVNGKHYFDSVSDVLTKVASEPGLIETEIQATDGSEDRENGHEKLYLEGVSNKAQNCYLQPHSSKCGQDVTKFTIVDTSVVHGMGQSKVRQLRSLPFETVSVSTISSCSSESEKNATGDSENQAEQANSSYPIEDQVEHAAPSYRVGDLVQQANASCPIEAPVEVANSSIPIEDQVEHASASYPVEDQVQQVIASCPIEDQVEQVNSSNPVEDVSDKGASIDSSHCTPIPEAVKNHKICHSDLYNGKHSREVSEHQSLQNMISDGSNYFPNMKMPKLRDWNQGEFSHCTRSTSTIREFDLNEPISESDQPEAFEGAPNMSNDGCATENCVAEGISGAKSETRMLIDLNFPQVSPEFETDMEIPASMFIMQNDNQCANTSSSPFGVTQLNKIQEFPDGHEEHQAIIANRRQSTRNRPLTAKALEALEYGFINSKRKRKNTESPDSNSNSRSLHATNGTIVSAARDKGNGNAMADTREENVIQEYRYSIDLNKEPCCNM >OIV95438 pep chromosome:LupAngTanjil_v1.0:LG16:14877695:14878111:-1 gene:TanjilG_06900 transcript:OIV95438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKGKGKNQSVIVKREEPISGEEEKVPAYRKRGRPLKSLTYEIEGVEVTEKIVKDEENVNGNVSSNELKTQVTTVIKSKRKRSVQVKEKIDPVKEENGVRAKSSPDDLVKSVGFRQNGRRRKNRPRRAAEAGVDCN >OIV96119 pep chromosome:LupAngTanjil_v1.0:LG16:784903:786060:1 gene:TanjilG_13051 transcript:OIV96119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYGIEDWLCTDIEEFSLATENGSSKGRMGRKKDYNDDTREFKSKNLETERRRREKLSNRLLMLRSLVPNITNASSETLDFLIRSLSIRFFYKRHLLRNLISQMNKATIIDDATTYITELQGKVESLTQELHQMEANSDEKTVKNVDEVEVEVEEGVRLAQIDANKFWVKIIIENKRGSFKKLMEGMNLFGFELVDTNLINTKGALFIEGCMHVTLGKGADGERLLVHQIKKLLQDIISEI >OIV95593 pep chromosome:LupAngTanjil_v1.0:LG16:9065243:9065716:-1 gene:TanjilG_23824 transcript:OIV95593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLIPSILGTGRRSNVFDPFSHDIWDPFQDFPLRSATSGFDAETAAVANTRIDWKETSEAHVFKADLPGLKKEEVKVEVEEGRVLQISGERTKEKEDKNDKWHRVERSGGRFLRRFRLPENAKVDEVKASLENGVLTVTVPKEEVKKPDVKPVPITG >OIV95263 pep chromosome:LupAngTanjil_v1.0:LG16:17456500:17456991:-1 gene:TanjilG_07419 transcript:OIV95263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCPSGRSLRPTATSYSATSDFRPAFDILDADNDGKISRDDLRAFYTGIYGSHAGGDDAIGAMITLADTNNNGFVEYEEFEHVVTGERRTLGYGAMEDVFRVMDKDGDGMLSHQDLKSYMAVAGFSATDEEINAMIKFGGGSENGGVSFDGLLRILALDNSVSN >OIV96180 pep chromosome:LupAngTanjil_v1.0:LG16:475651:476691:-1 gene:TanjilG_14857 transcript:OIV96180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSEGVVKKVILSYTYVSIWIFLSFTVIVYNKYILDRKMYNWPFPISLTMIHMGFCSSLAYILVRVFKLVEPVSMSVDLYIKSVVPIGALYSLSLWFSNSAYIYLSVSFIQMLKALMPVAVYSIGVFLKKETFRNETMINMLSISLGVAVAAYGEAKFDVWGVTLQLLAVAFEATRLVLIQILLNSKGISLNPITSLYYIAPCCLVFLSLPWFIMEYPLLRDKSSLNLDFFVFGTNSFCAFALNLAVFLLVGKTSALTMNVAGVVKDWLLIAFSWSVIKDTVTPMNLIGYGLAFLGVGYYNHSKLQALKASETQKRNQQQSDEESGKLLDEKEGGANARKNENQN >OIV95702 pep chromosome:LupAngTanjil_v1.0:LG16:7073704:7075588:1 gene:TanjilG_01496 transcript:OIV95702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYTILIFIITLFSTLISPTTSLYSSPSPPAAPFSSSSSAPLPSPTTEWLSAHATYYTPADPIPTLGGACGYGDLLKQGYGKASVSLSEALFQRGQICGACFELRCAEEETSFDRRWCIPGTSVVVTGTNFCAPNYGLDAESGGGKCNEPKQHFVVPIETFEKIAIWNAGNMAVQYRRVKCRRDGGIRYTITGSGIFISVLISNVGGIGDIVGVKVKGSRTGWLPLGRNWGQNWHVSALLQNQPLSFEVTSSDGITVTSYNVAPKDWSFGQTFEGKQFES >OIV96171 pep chromosome:LupAngTanjil_v1.0:LG16:1179256:1180200:1 gene:TanjilG_13103 transcript:OIV96171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEQQSLDQRGNEMQQGGDKKQTKIQQPQRPQQPQQCPRCNSMNTKFCYYNNYNVAQPRHYCKTCRRYWTQGGTLRNIPIGGGCRKKKHVDNSSSSRSEQFQQPRLQDMVVQTQQPNMTTMGMKVTNPCLGMSPSTNPFYQGGGGGGGGGGGGYLSSLHSIHSMNQSRSRCYDQSSFKIGNDVAGSSSNLDLASSCFNIGSLSNQYQIRPSNFYQMGGKERELQSQYTPQQGLMMPSSMNSIHTSVPAQTDWTNSFINNANNRTCDASLWGTISNNTASICGNIESGANNVASSPLTLNQWSDFAGFGPSPSSY >OIV95371 pep chromosome:LupAngTanjil_v1.0:LG16:16134496:16136658:1 gene:TanjilG_14525 transcript:OIV95371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSRRKYKQSRTNKVRVGLPKKNPNVFKPAFSVPPKLLSSVSEQNPEWDAKGTVIRNYKSFGVVSNPNLLNVRSRTPRIVENDSLQVPPPSSDDATEFDDSALVDSGSDLEEDDLKTALGKRRRDGKGALPQPLTTIQRLHVSRLVDKYGDDYESMFFDIKLNPMQHSSATLQKLCMRYQMHKNKNPLLVH >OIV95785 pep chromosome:LupAngTanjil_v1.0:LG16:4592730:4594668:-1 gene:TanjilG_20235 transcript:OIV95785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESFARSTEGSGSDPSLEWTGHGGETGLEGSMWQLGLGGGGGGREESYPLRPGEADCMYYLRTGVCGYGSHCRFNHPSDRGAVIGAAKTGEFPERVGQPVCQYYMRTGSCKFGTSCKYHHPKEGGGTANPVSLNYYGYPLRPGEKECSYYVKTGQCKFGSTCKFHHPQPADVHIAPPPLPVPQVSHLSVPVPSPLYPTVQPPSDHISQQLSVLVARPPLLPGSYVQSPYGPMVLSPAMVPYSGWAPYQATATSPVLPSSTPSNVGSAQLYGITQLASPAGAYPGPYQPSGSKIGPSSNSQKEHSLPERPDQPECQHYLRTGECKFGSSCRYHHPPDMNAPKTTMTLSPAGLPSRPVMIASQLL >OIV95519 pep chromosome:LupAngTanjil_v1.0:LG16:12156744:12161960:1 gene:TanjilG_18735 transcript:OIV95519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPMVDNVVVSNMELERLLSMKGGKGEASYANNSQAQAIHAKSMLHLLKETLDGIQLHTKDIAFVVVDLGCSCGSNTVNVVDVIIKHIIKRYEALGLDPPEFSAFFSDLPSNDFNTLFQLLPPVANYGVSMEECLAANNHRSYFAAGVPGSFYRRLFPENSINIFHSAFSLHWLSQVPEIVLDKTTSAYNKGRVFIHGASEITANAYKKQFQTDLAGFLSARSVEMKTGGSMFLVCLGRTSMDPTDQGGAGLLFGTHFQDAWDDLVQEGLISSEKRDNFNIPVYAPSLQDFKEVVESDGSFAINKLEVFKGGSPLVVNQPGDASEVGRALANSCRSVSGVLVDAHIGDKLSEELFLRVARRATGHGKELLEQLQFFHIIASLTFAE >OIV96065 pep chromosome:LupAngTanjil_v1.0:LG16:2828719:2830676:-1 gene:TanjilG_27169 transcript:OIV96065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLEESRSNSTRFSLNRSYQFHSSKTHRQIGRSMRTIRSNFFQDDNGNNSCTFTDKSTCLSENLSDSVVDFRLGELASRNSKSVKPCAASDEELLDFSHAFSDFSAYSSDISGELQKLACLPSPEYAIKSDSSGDKSEHEPEPEPCMGFLQRENFSTEIIESILPEDLQPTVKMCIDGLQSPSVAVKRSAAAKLRLLAKNRADNRVLIAECGAVPALVPLLRCTDPWTQEHAVTALLNLSLHEDNKMLITNAGAVKSLIYVLKTGTETSKQNAACALLSLALVEENKASIGASGAIPPLVSLLMNGSNRGKKDALTTLYKLCSVKQNKERTVRAGAVKPLVELVAEQGSGMAEKAMVVLNSVAGIEEGKEAIVEEGGIAALVEVIEDGSMKGKEFAVLTLLQLCGDSVNNRGLLVREGGIPPLVALSQTGTARAKNKAEQLLRYLRESRQEASTSTS >OIV95840 pep chromosome:LupAngTanjil_v1.0:LG16:3894218:3894965:-1 gene:TanjilG_06816 transcript:OIV95840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLHIRILKAKAPRARIFVGNLDPNVTDDHLRQVFGQSSAEEALRVLNGVPLGGQNVRLSWGRSPSNKQAQPDANQWNGGGGGGSGGYYGYPQQGYENYGYAAPAPAPAQDPNLYGSYPAGYANYQPPQQQQQLGYN >OIV95146 pep chromosome:LupAngTanjil_v1.0:LG16:18161421:18171188:1 gene:TanjilG_21536 transcript:OIV95146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVPAFYRWLADRYPLSIVDVVEEQPSAVSGGAPVTIDVLKPNPNGMEFDNLYLDMNGIIHPCFHPDGKQTPATYNDVFKSIFDYIDHLFTLVRPRKLLYLAIDGVAPRAKMNQQRSRRFRAAKDAAEAAAEEERLRKEFEGEMELLSSKEKPETSDSNVITPGTPFMAVLSVALQYYIQTRLNYNLGWRNTKVILSDSNVPGEGEHKIMDYIRLQRSLPGFNPNTRHCLYGLDADLIMLSLATHEVHFSILREVITLPGQQDKCFVCGQVGHFASECRGKPGDKAEDWNPVDDTPIHKKKYQFLNIWVLREYLQYELEIPNPPFEIDFERIVDDFTFLCFFVGNDFLPHMPTLEIREGAVNLLMHIYRKEFTNMGGYLTDAGEVFLERVEHFIQSVAVHEDQIFQKRVRIQQIQQAKSAESGYKDRNYAEKVGVSHQKEIDSNKKDTVKLGEPGYKERYYAEKFSVSDPEEIDKIRKDVVLKYVEGLCWVCRYYYQGVCSWQWYYPYHYAPFASDLKDLTDLEITFFLGKPFKPFDQLMGTLPASSSKALPTKYRDLMNDPLSPIVEFYPADFEIDMNGKRFAWQGVAKLPFINETKLLAATRKLEGTLTEEEQLRNSEMLDLLYVSCAHDLAPHVLLYYQTYSKCPVRERPVWPIDANASGGMNGFLWLYERNVFRSIVSSPIKGLQDIENNQVLNITYLNPRKHRHIPRPPDGVIMPKKIIKAIDIKPSPVLWHEDNGGRRQLGRERQQVPGAIAGPLLGDAAHRLVKNSLNIRSSNTSNGWSEQTMNRPRPAGPSGSGRYYGEDTSGYYGQYYNHHQDMYSRPRYPISSNGGQNDRHNFRIQDRSQYHDQFHNVKSGFSALTMEEGVRPRSSPTPSPRAPAMMLLRPQNSGPTTNLQHQFVQNIGPPIPPPKWITPDMSGVYARHQDAALGGAYYDNQMKKAYQVKTRQPRDMPEYGNQY >OIV95936 pep chromosome:LupAngTanjil_v1.0:LG16:1694008:1699675:-1 gene:TanjilG_27040 transcript:OIV95936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAFEDDDFGELYADVELLNATVDFANHHVQSKPEPEAKSYVPNLNDKDLVDDSVYNELTRSVAKDDSFASDSDDDDLKIVLNDDECNDFAIAVGCDDEDADEDGLVSKNEVKGGYGSRFFHHKYTRNRGGSMFVNNTMVNKSMGTVSSLNRGRWNGDACSQHLASSPCHVNRIYSGNSMVTQCGFGSFLPWHWNIFDVNIDTLESKPWRVPGANVTDYFNFDFNENTWKLYCSSLEQLWRTSVQTGIPVDESAKWNQETMREQIYQVVSGSLSSPPSDCDLPKGRAILVENSTVERQPSMDAKRPRSRDSDVIIQIKVLESSDDCSGSGNSIAMDASLEGESVVGNNKNILNSSSEHDDVLSEDQLEDVKKSEVSSVQERNGPALGVDEVKHQDQADQYSEDTSQVAGTEINVEKGIVVGTCSADPCWIESELSLGNQELSLTTYSDSDSDATGNSVHDDSEKGRNSLRRQSVNSVSDMKEYLPLYSNNSKNDRFNRKPANAPYHSRNGGPLRKEWSHQSGGIDSGSDFNRHIENDNAVSAIRMSSTRGLSLSAHQFVHHDSYKERVQDFGSRKRRDVSYNRETQQSCYFDGETFVDDLVQIARAKYSYREDRESLRENTNRYDRRNVDERNHFFEPRSPMEHSEGRERDWYHADWGYSADELSPHSYRESRQFLRKHPSFPAKGRDLQRRRTNEKSNFRDGSYNDDFYECESEFPHIDRNWERSDRRGRHHDRPSLVSDNLCWKIEDKCPKYTHQQASNYRYRRESSTDSGSNYVHDTRVNENFGDCRRHKHATENRGSDWPCGYTDAAEDEDFIISPVEEYQFYRSPSEVLNWTEDETIYRYREIQAASLHTVVQIDDRKMQHHQLNIPRRGSENCLKGSSKIRFRGKHWQAVQRCRKSVDFVNGEGKSYAISSGVLCNGRLENVDRVIIAKKRKATMSFDESHKKRLQNHQDKRQEEDLNIEEGQIVTEGSYKKASVSRRDISEGVTPNDSVKKRMSQNDNSSDQLIGVYDNQRILDSLAKMEKRRERFKQPITMKKEAEENLKSNNDSIIDAGEMKQYRPARKRQWVGS >OIV96251 pep chromosome:LupAngTanjil_v1.0:LG16:34647:36920:-1 gene:TanjilG_14928 transcript:OIV96251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLYRLLLLRSLRRTSSSLLHNHPLHLISQSRSFAFSSAEEAAAERRRRKRRLRIEPPLNAIRPPPHHSQPRDPNAPRLPDSTSALVGPRLSLHNRVQSLIRAGDLDAASAVARHSVFSSTRPTVFTCNAIIAAMYRSKRYNEAIALFHFFFNQSNIVPNIVSYNNVINTHCDEGRVDVALEVYRHIIANAPFSPSPVTYRHLTKGLIEANRIGEALDLLREMLNKGHGADSLVYNNLISGFLNLENLDKAKELFDELKERCLVYDGVVNATYMEWFFNKGRDKEAIESYKSLMDRQFRMTPATCNVLLEVLLKHDKKTEAWALFDQMLDNHTPPNFQAVNSDTFNVMVNECFKHGKFMEALATFRKVGTKSNSKPFAMDVGGYNNIIARFCENGMLLEAETLFQELCSKSLSPDVPTHRTLIDAYLKMEMIDDALRVLNRMVDAGLRVVASFGNKVFGELIKNGKAIDCAKVLSKMGEKDPKPDATCYEVVIKGLCTGDFLDKTLELLDEVIRYGIGVTPALRDFVIETFKKAGRGGEIERLLDINRFGHNPHPSGPIPPYRPPSARFGHGSQISGQQHSPSGPPPHVSGQQRPPVSSAQTTGMHRPSWGVPPQVTEAHMPASGPPPHTSGQHFRPPSELPPHMTGSHQSTFGVAPQKTGHHYAPSGPSPQMAAHQPHGRSSGPQQMAGQHHPWSGPTPPMSSPSYGTPAQISPPHYTVPGPSSQMTRPYHPSSATPPQFEESHQRQSDVPEQAVA >OIV95273 pep chromosome:LupAngTanjil_v1.0:LG16:17334090:17334437:-1 gene:TanjilG_07429 transcript:OIV95273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWGLAGIMLNHVMAETADKALDKTDQLLEAAGARPSQGLISCVSKYFTILDNDIPKAKAAFEIEDPKGAEDVANAAVIDASTCETGYPGHLTQENINMRYAAANTAAIFKLLRSR >OIV95636 pep chromosome:LupAngTanjil_v1.0:LG16:7961682:7961936:1 gene:TanjilG_23867 transcript:OIV95636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDPQHVADRDHIFKHFDANGDGKISSAELGDALKTLGSVTNEEVQRMMAEIDTDGDGCISYEEFSEFARNNRGLVKDVAKVF >OIV95925 pep chromosome:LupAngTanjil_v1.0:LG16:1608804:1623944:-1 gene:TanjilG_27029 transcript:OIV95925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQKYVPSGDAPNVKIKRLTFSRNVSEKPQLEMAIQNHDPDMDVDLREVYFLILQFLSAGPCRKTRVQLWNELLEHQLLPRRYHAWYSRSGVRSGDEDDDGLSLPLSYNMLVERYPHVEKDHLVKLLKQLLLNTASPSLGTSSGNAPNAADVPTLLGTGSFSLVCDDKDKMNGEVKRPSAHMRWPHLKANQVHGLNLREIGGGFPRHHRAPSIRAACYAIAKPSTMVQKMQNMKRLRGHRNAVYCAIFDRSGRYVITGSDDRLVKIWSMETAYCLASCRGHDGDITDLAVSSNNALVASASNDCVIRVWRLPDGLPISVLRGHTGAVTAMAFSPRPSAAYQLLSSSDDGTCRIWDARYTQSTPRIFVPKPSDSVIGKSSGPSTSTLPQSHQIFCCAFNANGTVFVTGSSDNLARVWIACKLSVNDTDQPNHEIDVLSGHENDVNYVQFSGCGVPPRFSTAETWKEENIPKFKNSWLNHDNIVTCSRDGSAIIWIPKSRRSHGKGGRWTRAYHLRVPPPPMPPQPQRGGPRQRILPTPRGVNMIVWSLDNRFVLAAIMDCRICVWNASDGSLVHSLTGHTESTYVLDVHPFNPRIAMSAGYDGRTIVWDIWEGIPVRIYETSRFKLVDGKFSADGTSIILSDDVGQLYTLSTGQGESQKDAKYDQFFLGDYRPLIQDTHGNVLDQETQIIPYRRNLQDLLCDSAMIPYPEPYQSEFQRRRLGALGLEWRPSSLRLAIGPDFSLDPDYHMLPLEDLDVLIEPLPEFINAMDWEPEIEVFSDDADSEYNVTEDSSSRGEQECSSSDASDDPGCSTDNSDGEDTYMDSARRSKRKKQKSENEIMTSSGRRVKRRNLDECDGNNFSSGRSRKGKVGQKTSRRRSKSKSSRPQRAAARNALDLFSKITGTPTDGEEDSLVGDFSDSESTLPESNIDSDESDRALHVDQLNNSKGKEVLYESEDMNSHELTETPMNAMNRKKLVFKLPIRDSSKPRHLFCDQAEFVSSSSKTAEEVSDFSKNRSSFKDPGYCSGSGSYQTTERFHQVELDHTEKYVNVLGKIKWGGVRARSSKPPRMGEAMPSDAADPNSVKYPNHLDEKENVSTGHEKDDRNFCTSTPPSEIQKVDDKVDILTEINDNCAGTTIESSNPSENGKELTASSNCKDKDESLVFACMTYQDTVTASVSHSEAEPLTEPNICFPSVSTKLRSKRGSRDPESPAKHETKFPMLKNSAFISNANNNLNKQCTDVVEDGSNTKVISSPGKNGSEEIDTQFRQNSTSHDSLEPPPRSDRLFKTVYKRTRSHRTVANLGDGSSGLGESTSNGSNSNCNTAVDFINGTNEAVHTNGSLEVEPTTCDPNDEQNNLQVQQGHEYCKDKSLRSVSKNRGHLTEEGRGSSSKLNVGLRSTRSRKSSYNICETSPVNKRKSLQSANKGSWLLLSTQEEGCRYIPQLGDEVVYLRQGHQEYLDYSRKRESGPWISLKGHVRAVEYCIVESIEYSHVPGSGDSCCKMTLQFVDPDSTVAGKCFKLTLPDLTSFPDFLVERTRFDAAMQRNWTRRDKCKVWWKNEDDSSGSWWDGRILNEKAKSSEFPESPWERYTIQYKSDLAETQLHSPWELFDADTQWEQPHIDDNRRHKLLSALTKLQESGNTVQDRYGVHELKKISSKSTFTNRFPVPVSIDLIESRLENNYYRSFEALKLDVKVLLSNATSFLEKDAKLIAKIKHLSEWFTRTLSSS >OIV95645 pep chromosome:LupAngTanjil_v1.0:LG16:6192512:6196371:1 gene:TanjilG_01439 transcript:OIV95645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSSSNSSSPSYFSSSRIVALFVLLLLTGTCSAKLSENFYSQTCPEVFKTVKSVVKSAIANEPRIGASILRLFFHDCFVDGCDGSVLLDDTSSFRGEKTAAANNNSLRGFEVIDSIKTKVESICPGVVSCADILAIASRDSVVILGGPFWNVKGGRRDSRTANFNAANSGVIPAPNSNLSNLISKFHDQGLSVKDMVALSGSHTIGKSRCSSFRDRIYNESNIDSTFAKAKQSKCPCTSGSADNNLAFLDFQTPNHFDNNYFKNLINQRGLLHSDQVLFNGGSTDSLVLTYSLNDKIFYSDFVIGMIKMGNNKPLTGSQGEIRKNCRVLN >OIV95554 pep chromosome:LupAngTanjil_v1.0:LG16:10165615:10173763:-1 gene:TanjilG_02909 transcript:OIV95554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNARRKKKHRPNKKLDDPKQQPKRKVLESEQESSKRNVLESLVEAFSLSSMEEASIAYAEAGGDPDKASEILMKGLTENNAEEPSSCSSTSYSSSYSGVSGSDVGSSSSGSSEGFLECFGGRNQKKKVVAATGTVSTVLGKGYVGRNCNRNKGFGSSGGGGAEIIVDKEEAEQFMCSMLGKDSDLNLAVVRDVLCQCGYNIEKALDILLDLTASTNEQYRNYRHPDFKVDKIDDMRFLVDHNDNNLSQLTDRRSECASLSSDVELSDNFWSLGSYRNYADVLCSSKANDPISPGCTKYDLPQKVLESLFNIPKSSEHDNDTMDWRNVVQKMQSLGPGFAVSPHIAEPQQPAYAKGDEYHMFREDAKQHWGTTKSYFQKAASAYSKGNRAYAAYLSDQGKEKTKLAQRADTKASQDIFLARNRDIENVITIDLHGQHVKPAMRMLKLHLLFGSYVPSVQTLRVITGCGSHGVGKSKLKQSVIQLLEKEAIEWYEENRGTVLIKLSGWREFSFLDSDSDSDSN >OIV95221 pep chromosome:LupAngTanjil_v1.0:LG16:19291682:19293994:1 gene:TanjilG_21611 transcript:OIV95221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIKEIETLTEDERRALRGSKFAPLPSLPRSSNPQPRLAHPGGPLATNKAVALAKFLERKLKDPNGLASIDPDILELAVNNAKQTVSASGTSNPRRSVRHVDSFGDPDFKDFSEEQMELSETKQLKKRKKNKNKKKKKQKDVDDHGCAVVKKRK >OIV96228 pep chromosome:LupAngTanjil_v1.0:LG16:155540:157329:-1 gene:TanjilG_14905 transcript:OIV96228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKSKRNKQVTLSKTKKKGRDHKEGIVNSIKDASEKYSSVYVFSFENMRNQKLKEFREQLKSSSRFFLGSNKVMQVALGRSPSDEIRPGLYKLSKLLRGDAGMVFTNLDKGEVERLFNEFEEYDFARTGTIATEKVDLKEGPLEQFTHEMEPFLRKQGMPVRLNKGVVELVSDYVVCEEGKPLSPEAARILRLLGIKMATFRLHLICRWSPEEFELYIDGPDDSDVEGS >OIV95602 pep chromosome:LupAngTanjil_v1.0:LG16:8780461:8787249:-1 gene:TanjilG_23833 transcript:OIV95602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNMDPSRAFLKDVKRIIIKVGTAVVTRQDGRLSVGKLGVLCEQIKELNTLGYEIILVSSGAVGLGRQRLRYRKLLNSSFADLQKPQVELDGKACAAVGQSSLMALYDTVFSQLDMTCAQLLVTDNDFRDKDFRKQLSETVKSLLSLKVIPVFNENDAVSTRKAPYEDSSGIFWDNDSLSALLALELKADLLILLSDVDGLYSGPPSDPQSKLIHTYIKEKHQNEITFGDKSRVGRGGMTAKVKASIHAADAGIPVIITSGYAAENLTKVIRGQRIGTLFHKDAHKFAPVKEVDAREMAVAARDCSRRLQALSSDERKQVLLKIADALEAREKEIKIENEADIAAAQQEGYEKSLIASLASNIRIIANMEDPIGRVLKRTELADGLILEKTSSPLGVLLIVFESRPDALVQIASLAIRSGNGLLLKGGKEAKRSNAILHQVITEAIPDNVGGKLIGLVTSRAEIPELLKLDDVIDLVIPRGSNKLVSQIKSSTKIPVLGHADGICHVYVDKFANTEMARRIVLDAKLDYPAGCNAMETLLVHKDLVDKGWLNDIIVDLRTEGVTLYGGPKASSLLNIPQASSLHHEYSSLACTVEIVDDLRAAIDHINLHGRHVTLYLFGHTDTIVTEDREAADAFLRQVDSAAVFHNASTRFSDGARFGLGAEVGISTSRIHARGPVGVDGLLTTRWILKGSGQVVDGDKAVNYTHKDLAT >OIV95484 pep chromosome:LupAngTanjil_v1.0:LG16:14259242:14262020:-1 gene:TanjilG_23927 transcript:OIV95484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPSLFSLHLNAKFSYSEPQRLSDNKLAFFHAAGTGLFWLCLLGIVIAALLPRFTVKFIYQYYFPTDIQISREAEKIGFQRDAEDGGQIEMFPISDGSTR >OIV95311 pep chromosome:LupAngTanjil_v1.0:LG16:16829482:16832682:-1 gene:TanjilG_07467 transcript:OIV95311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKRVFLCLSIKHQENPHNGRGKAPISQQLPGESDSDFADFSSKILHLKGDRQDFEFVKSSLSAEGFDVVYDINGTFTAPLLSDAVDPKSRHKGKLETESLLQSKGVNWTSIRPVYIYGPLNYNPVEEWFFHRLKAGRPIPIPSSGIQITQLGHVKDLARVFVQVLGNEKANKEIFNISGDKYVTFDGLARACAKAGGFPEPELIHYNPKDFDFGKKKSFPFRDQHFFASIEKAKTVFGWVPEFGLIEGLTDSYNLDFGRGTYRKGADFETDDIILSKKKSLVSV >OIV95296 pep chromosome:LupAngTanjil_v1.0:LG16:17042746:17044492:-1 gene:TanjilG_07452 transcript:OIV95296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSGTVLRQVTTFPSSLSRSHHGGARVVPVGARRRVMMGSSGFCDQGHLKYYSTIRSGGKDKGTSVLTVKKKLKLLKGLSKDLSMFSQLGFDLDPQKRALVDDLQGNLNSDAAEILMKQLEQARAEEKEMKKKMKQEKKEKKKKLKAAKMNTIPDCESSSSSSESSDSECDKVVDMDMLRARVATAPVNEMQPTMLYPQLSLPHTTVVESLQQDATSHYNHRAIELCSRNDTCISSTSPSFKNESTGVFTTASQKRIEVCMGNKCKKLGGAALLQQFEKVVGIEGGAVVGCKCMGKCKTAPNVRVQNAVDDDLAKGLNDSVQIPANPLCIGVGLKDVDTIVARFFGDNQEGMDMAAAST >OIV96009 pep chromosome:LupAngTanjil_v1.0:LG16:2340029:2340667:-1 gene:TanjilG_27113 transcript:OIV96009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSISKTLLFFLSLSSIHLLTNGTRPFHIVHHDIRSDLLKFCEHTTNPTLCAQTIQPHVLDGDIDPFKALEIEVEATFNETKKTIAIIDELLTKNNINKSLMSAIKTCKEQYNYILDSIKETKDAIAQHDLIEAKFKFSAVISYQSTCVDEFENVECPFAEGSETIFNLGGNTLDIIADLEKTVAPQEPTPPAPTTNSQSSSTSINVIGTIS >OIV96127 pep chromosome:LupAngTanjil_v1.0:LG16:836858:839306:1 gene:TanjilG_13059 transcript:OIV96127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIETMASIGLIYFLFLVGLEMDIAVVKRTGKKAVSIAIAGMILPFILGIFFSFFLDEHHLHMSRASVVLYIGVALSVTAFPVLARILAELKIINTELGKLALSTALINDMCAWILLALAIALSEKNSVNTWASVWVVLTSGVFATFCFVVVRPGISWMIRRTPEGQPFSEFQICFVLTGVMISAFITDVIGTHSVFGAFIYGLVIPNGPLGATIIEKLEDFVSGLLLPLFFALSGLKTDISLVKGANRWFGALMVVPLACLGKVIGTVLISLLFQIPTREGIILGLLMNTKGLVEMIVLNVGREQKVLGDETFSIMVIVTLVMTALISPIVTLIYKPRKRLIPYKKRTMQNSKLDAELRVLVCVHVPRNVPTIINLLEATHPSKKSPICAYVLHLVELTGRASAMLIVHASRKSDRPALNKTQAQTDHIINSFQNFEEQIGYVSVQPLTAVSPYSTMHEDVCNLAEEKRVSIIIIPFHKQQTVDGEMQETNPAYRMVNHNLVQNSPCSVGILVDRGLNGLNRLTASLASHEITVLYFGGPDDREALSYGWRMSRNPRVHLTVMHFIPGIDAKTVTLAKEVDDEREMGINYNNGSVKEKRLNEEYINEFKIMCENDESVEYIEKVVNNGEETVSAIRSMNNVNDLFIVGRGQGSTSSATLTEGLTDWSECPELGAIGDLLASSDFETSASVLVMHQYIGEGPEGEEIYVSDKPWHSNENHPSVRHMSMATRYAPMPMPMANHLF >OIV95820 pep chromosome:LupAngTanjil_v1.0:LG16:3729875:3731150:-1 gene:TanjilG_06796 transcript:OIV95820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQLLFMVIAMEASMVVVLLFKTPLRKLVIMGLDRLKRGRGPLMVKSVAGTLLVVLSSSVYSMIKIKKHGIDDGGSVNPTDQVLMAKHLLEATLMGAVLFLALMIDRLHHYIRELRVRRKTIEAVKKQTRGAEDGKVEEIKAMEGETAKLRAELKRLESELESKTKEVDVFEANVVALRKQSEGFLLEYDRLLEENQNLRNKLQSFDRGLSRSSSKKNT >OIV95029 pep chromosome:LupAngTanjil_v1.0:LG16:20717179:20717643:-1 gene:TanjilG_10849 transcript:OIV95029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSETTPSSSAAASNNTPNRYENQKRRDWNTFCQYLRSQKPPLPLHLCSGAHVLEFLHYLDQFGKTKVHNPTCLYFGIPNPPAPCPCSLRQAWGSLDALIGRLRAAYEENGGQRETNPFAAPDVRVYLRHVRDFQSKARGVSYHKKRKRPNPNNK >OIV95216 pep chromosome:LupAngTanjil_v1.0:LG16:19180054:19186046:1 gene:TanjilG_21606 transcript:OIV95216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKEPSQLRRAAIHASAGAISGGISRTVTSPLDVIKIRFQVQLEPTSSWTSSHKDLSAPSKYTGMLQATKDIFREEGLRGFWRGNVPALLMVMPYTAIQFTVLHKLKTFASGSSKPEDHVNLSPYLSYVSGALAGCAATVGSYPFDLLRTILASQGEPKVYPTMKSAFIDIFRARGFPGVYAGLSPTLVEIIPYAGLQFGTYDTFKRWAMGWNHLHHSTTTEEDNLSGFQLFLCGLAAGTCAKLICHPLDVLKKRFQIEGLQRHPRYGATVEHRAYTNMLDALRRILQAEGCAGLYKGIVPSTVKAAPAGAVTFVAYELTSNWLESILT >OIV95865 pep chromosome:LupAngTanjil_v1.0:LG16:4159470:4161514:1 gene:TanjilG_06841 transcript:OIV95865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELHVLILGIDKAGKTTLLEKMKSVYSNIEGLPHDRIVPTVGLNIGRIEVANRKLVFWDLGGQPGLRSIWEKYYEEAHAVVFVVDASCPSRFEDAKSALEKVLRHEDLRGAPLLILANKQDLPEAVSSEELAQYLDLKKLDERVFMFEAVSAYDGMGIRESAEWLVEVMERSKRTELLRVRASAMGPGSA >OIV95071 pep chromosome:LupAngTanjil_v1.0:LG16:20127000:20131073:1 gene:TanjilG_10891 transcript:OIV95071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGSLKFHHLDKEAMIVRLFPFQDQEKQSNFKFDLLDICINGPKEKLDSTVISQPAIYVTSLAAVELLRVHEGGQQIIDSVDVTCGLSLGEYTALAFAGAFSFEDGLKLVKLRGEAMQDASDAAKSSMVSVIGLDSEKVQQLCDAVNQEVPEAEKVQIANYLCPGNYAVSGGTKGVEVLESKAKSFKARMTVRLAVAGAFHTSFMEPAVSRLEAALAATEIRTPKIPVISNVDAQPHADPDTIKKILARQVTSPVQWETTVNTLLTKGMKKGYELGPGKVIAGILKRVDKAAVIENIGA >OIV95692 pep chromosome:LupAngTanjil_v1.0:LG16:6897896:6898200:1 gene:TanjilG_01486 transcript:OIV95692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IIEEFQKCHIDHPIRKFFGDCTELKIKLDRCFRQEKALKRKANFEESKKFKEQLRAFRKENAAASSSQ >OIV95607 pep chromosome:LupAngTanjil_v1.0:LG16:8677967:8684043:-1 gene:TanjilG_23838 transcript:OIV95607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSPINVDFLRTPFTIKIIAFFFLSITLFYFGYHWSNNYHQLIFFTTSQNPNQVVSISPNYNKSFNINTVVGHDQPPPVDSVGKFGILNDNGTMSDEFEVGDFSVDDWVNETQKVEDSSVRFVIQKFGLCSRNMSEYIPCLDNVDAIRKLNSTEKGERFERHCPEQGQGLNCLVPSPIGYRNQIPWPKSRDEVWYSNVPHTRLVEDKGGQNWISRDNDKFKFPGGGTQFIHGADEYLDHISRMIPEITFGQHIRVVLDIGCGVASFGAYLLSRNVLTMSVAPKDVHENQIQFALERGVPAMAAAFATRRLLYPSQAFDLMHCSRCRINWTRDDGILLLEVNRMLRPGGYFVWAAQPVYKHEEILEEQWEEMLNLTTRLCWKFLKKDGYIAIWQKPFDNSCYLNREAGTKPPLCDSSDDPDNVWYVDLKACISQLSKNRNGGNVTTWPARLQTPPNRLQNIKMDALLSRNELFKAESKYWDDIIDGYVRFLRWKEMRLRNVMDMRAGFGGFAAALINQNLNSWVMNVVPVSGPNTLPVIYDRGLIGVMHDWCEAFDTYPRTYDLLHAANLLSVERKRCNVSSIMLEMDRILRPGGRAYIQDSLEIMDELQEIAKAIGWQVTLRETAEGPHARYRVLVCDKHLLRA >OIV95604 pep chromosome:LupAngTanjil_v1.0:LG16:8756260:8758188:1 gene:TanjilG_23835 transcript:OIV95604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKALILVGGFGTRLRPLTLSVPKPLVDFANKPMILHQIEALKAIGVNEVVLAINYRPEVMLNFLKEFEAKLGIKITCSRETEPLGTAGPLALARDTLIDDSGEPFFVLNSDVISEYPLKEMIEFHKAHGGEASIMVTKVDEPSKYGVVVMEETTGQVEKFVEKPKLFVDNKINAGIYLLNPSVLDRIELRPTSIEKEVFPSIAAEKKLYAMVLPGFWMDIGQPRDYISGLRLYLDSLRKKNSSKLESGPHILGNVIVDETAKIGEECLIGPDVAIGPGCIVESGVRLSRCTIMRGVRIKKHACISSSIIGWHSTVGQWARVENMTILGEDVHVCDEIYSNGGVVLPHKEIKSSILNPEIVM >OIV95166 pep chromosome:LupAngTanjil_v1.0:LG16:18339459:18347347:-1 gene:TanjilG_21556 transcript:OIV95166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRELKSVTASPPLILDIDDFKGEFSFDSLFGNLVNDLLPSFRDSSEDSSLKYNATQPGLSISTTPLFPEVDNLVSLFHDSCQELLQLRNQKDVTVQDSRHRKTLAELEKGVDGLFDSFARLDTRISSVGQTAAKIGDHLQSADAQRETASQTIDLIKYLMEFNSSPGVLMELSPLFSDDSRVAEAASVAQKLRSFAEEDIGRQGIASSVTGNAAASRGLEVAVANLQEYCNELENRLLARFDAASQKRELTTMAECAKILSQFNRGTSAMQHYVATRPMFIDVEIMNADTRLVLGEQAVQASPGDVARGLSSLYREITDTVRREAATIMAVFPSPNEVMSILVQRVLEQRITALLDKLLVKPSLVTLPSMEEGGILLMEELRAENQQISDSSGTIGHSKGASVASSQQQISVTVVTEFVRWNEEAISRCNLFSSQPTTLATNVKAVFTCLLDQVSQYIADGLERARDSLTEAANLRERFVLGTSVSRRVAAAAASAVITWTFYMFMFELFAFDIAEAAAAAGESSFRSFMVSVQRSGSSVAIIQQYFANSISRLLLPVDGAHAASCEEMATAMSSAEAAAYRGLQQCIETVMAEVERLLSAEQKATEYRLPDDGILPDHRPTSACTRVVAYLSRVLESAFTALEGLNKQAFLTELGNRLHKVLLNHWQKFTFNPSGGLRLKRDITEYGEFVRSFNAPSVDEKFELLGILANVFIVAPESLATLFEGTPSIRKDAQRFIQLREDYKSAKLAAKLSSLWPSSS >OIV95747 pep chromosome:LupAngTanjil_v1.0:LG16:5193173:5198230:-1 gene:TanjilG_05295 transcript:OIV95747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFSSFLTSLGTSFVIFVILMILFALLSSKPGNNVVYYPNRILKGLDPFEGGSKTRNPFSWIKEALSSSEKDVIAMSGVDTAVYSVFLSTVLSILVLSGIILLPVLLPVSATDDGRKKQTTSKGTFNELDQLSMGNITAKSSRLWAFLIACYWVSLVTFYLLWKAYKHVTLMRSEVLKSPDPKSEQFAIVVRDIPAVPEGQTRKEQVDSYFKAIYPETFYRSMIVTDNKKVNKVWEELEGYKKKLIRAEAVYAGSKTTAKPEGIRPTNKTGFLGILGKTVDSIEYYNEKVNELVVKLESEQKVTLREKQQNAAIVFFSNRVVAASAAQSLHAQVVDHWSVLDSPEPRQLIWPNLKINYFGRELRQYIVYVIVAFTIFFYMIPITFISAVTTLNNLVKLIPFIKPIVRIKVLRTVLEAYLPQLALIIFLALLPKLLLALSKLEGIPSESHAVRAASGKYYYFTVLNVFIGVTIGGTLFSTFKEIEKDPNKLVPMLAESLPGNATFFLTYVALKFLVGYGLELSRIVPLIIYHLKRKYLCKTEAELRDAWSPGDLGYGTRVPNDMLIVTIVLCYSVIAPLIIPFGALYFGLGWLVLRNQALKVYVPSYESYGRMWPHMHNRILASLILYQVTMFGYFGVQKFVYAPLLLPLPIFSLIFGFVTAKKFYPAFQHPSLEVAAHSLKEVPNMELVFRSFVPPSLSSEKIEEDQFEDALSQVSRSTQVSRSTSFV >OIV95116 pep chromosome:LupAngTanjil_v1.0:LG16:17859986:17860732:-1 gene:TanjilG_21506 transcript:OIV95116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHQGHGQNQNMGVVGSGTQLPYGSNPYQQSQMMGAPGSVVTSSGNMQPTLAYQHIHQQQQQQLQQQLQQFWANQYQEIEKVTDFKNHSLPLARIKKIMKADEDVRMISAEAPVIFARACEMFILELTLRSWNHTEENKRRTLQKNDIAAAITRTDIFDFLVDIVPREDLKDEVLASIPRGTMQVAGPADSLPYMYMPPQHAPQAGAPGVIMGKPVMDPNMYAQQPHPYMAPQMWPQPKDQRPSSPDH >OIV95064 pep chromosome:LupAngTanjil_v1.0:LG16:20308188:20309804:-1 gene:TanjilG_10884 transcript:OIV95064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGGSSIGMDKERLTAEMDFKDSTSAVIKIRRRLPDFLHSVKLKYVKLGYGYSCNTTTIFFTLIFPLFITLLFQFTGLNLNLHHLTHFFSGSNRTVQLDSYTLACSLLGIFLFGLYYAKRSRPVYLVDFSCYKPEKDQKISVDGFLKMTEELGAFEEETLQFQRRVSSKAGLGDETYLPSGITSRPPKLNLQEARIEAEAVMFGALDSLFAKTGVQPRNIDILVVNCSLFNPTPSLSAMIVNHYKMRSDIKSYNLGGMGCSAGLISIDLAKDLLKANPNSYVVVVSTENITLNWYFGNDRSMLLSNCIFRMGGAAILLSNKGSDRVRSKYELVHTVRTHKGADDKNFNCVYQKEDESGKIGVCLARELMAVAGDALKTNITTLGPLVLPFSEQFMFFMSLMRKKIMKGSRVKPYIPDFKLAFEHFCIHAGGRAVLDELQKNLELSEWHMEPSRMTLHRFGNTSSSSLWYELAYTEAKGRVNKGDRVWQIAFGSGFKCNSAVWKAVKAMPAVKDWRGNPWDDSVDKYPVHVPTSIGNTS >OIV95082 pep chromosome:LupAngTanjil_v1.0:LG16:17567751:17568936:1 gene:TanjilG_21472 transcript:OIV95082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMFSLLQVPRCRIHYLANYWGETFHSFNKTYGDNSSTIRLLSSKTYGLQGGERKKWTRRPITTNTEGTGRTKSRSTKNEILSETILTSATVNVRKAPIDQSQAIQDCVIQQEIAQNKDLSSLVTVIVFDIETTGFSRERDRIIEIALRDLQGGENSTFQTLVNPQRDILNSEIHSITTQMVDKPDVPRMEDLVPILMRYVQSRQKPEGHVLLVGHNARVFDVPFIIHEFRRCSTEIPPNWLFLDTMSLARDLMKSEGTKLSSKSLAALQELYRIKVDGKAHRAMVDVNTLSSILPRLTSDLKLTLSDLVKKSFRGSDIVDSKNKKKSS >OIV95671 pep chromosome:LupAngTanjil_v1.0:LG16:6611878:6616776:-1 gene:TanjilG_01465 transcript:OIV95671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSTLILDPKSTSEPPPSLPSTHHSSSSNGGEDLYSTLKYLQRQIEFLDIQEEYVKDEQKNLKRELLRAQEEVKRIQSVPLVIGQFLEMVDTNNGIVGSTTGSNYYVRILSTINRELLKPSASVALHRHSNALVDVLPPEADSSISLLSQSEKPDVTYNLEIKDSMPVRSDIADNMFIKCSLNRQDIGGCDIQKQEIREAVELPLTHHDLYKQIGIDPPRGVLLYGPPGTGKTMLAKAVANHTTAAFIRVVGSEFVQKYLGEGPRMVRDVFRLAKENAPAIIFIDEVDAIATARFDAQTGADREVQRILMELLNQMDGFDQTVNVKVIMATNRADTLDPALLRPGRLDRKIEFPLPDRRQKRLVFQARVCTAKMNLGDEVDLEDYVSRPDKISAAEISAICQEAGMHAVRKNRYVILPKDFEKGYRTNVKKPDTDFEFYK >OIV95977 pep chromosome:LupAngTanjil_v1.0:LG16:2033011:2036656:1 gene:TanjilG_27081 transcript:OIV95977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVPLFLCICFGLICSYVVADDGNSAAGFGYTISTLNNASSGQSLTANLNLINSSSVYGNDIKNLNLTASFETKDRLRIRITDSNNKRWEIPEEVLPRGPSDSSNKTKQGFNNNLIQQEHSLTIENSDLIFTLHNTTPFGFTVTRKSSNDVLFNSSSNSSNPASVLVFKDQYLQLSSQLPKDRSSLYGLGEHTKSSFKLQPDYQKALTLWNADIGSLNVDQNLYGSHPFYMDVRSGSKDGKVKSGTTHGVLLLNSNGMDIFYGGDIITYKVIGGVIDLYFFAGSTPELVLEQYTELIGRPAPMPYWSFGFHQSRYGYKNVSDLEGVVSNYSKTGIPLEVLWTDIDYMDAYKDFTLDPVNFPQDKMKKLVDNLHNNSQKYVPILDPGIAINETYGTYERGLKADIYIKRNGTNYKGKVWPGDVYFPDFLNPNGQAFWGDEIKLFRDQLAFDGIWLDMNEASNFITSGPLQNSSLDDPPYKIKNSQINNNAIPATSLHYGNVTEYNVHNLYGFLQTKVTHKALTNITGKRPFILSRSTFVSSGKYAAHWTGDNAASWNDLAYSIPSILNSGIFGIPMVGADICGFLRDTNEELCRRWIQLGAFYPFSRDHSDKNSRRQELYLWDSVAASAKKVLALRYRLLPYFYTLNYEAHVKGTPIARPLFFSFPEDVATYGINSQFLLGKGVLVSPVLKSGETKVEAYFPAGNWFELFNLSKSVTVKSGKKVTLDAPSDHINVHVGEGNILALQGEAKTTEAARKTAFELLVVASSNGTSSGQVFLDDGETLDFAGGNEDWTLVKFNATLVNNSISVASNVTNGKYSLNQKWTINKVTILGIPKGKISTNKKNGTLTNSKGKSDVKAQFNSSSEFVTVEVTELSQLIGEEFKLEIETK >OIV96206 pep chromosome:LupAngTanjil_v1.0:LG16:290342:291772:-1 gene:TanjilG_14883 transcript:OIV96206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNIISLNSSNTRNRWSGPCEVFQGEACKRQRLLSTSYEDNLRLIPSLPDEISYQILARVPRISYLILKSVSRAWKEAILSPELFCVRKELGTMEEWLYVLTKVNDDKLLWYALDPLSRRWQKLPPMPNVSSEDEVVKKGLAALPLRMWSMVGSSIRIADVIMSWLGRRDALDRMPFCGCSIGVIDGCIYALGGFSRASAMKSVWRYDPVKNCWTEASPMSVGRAYCKTGILNDKLYVVGGVTRGRGGLNPLQSAEVYDPHTDMWSQLPSMPFAKAQVLPTAFLADFLKPIATGMTSYRGRLFVPQSLYCWPFFVDVGGEVYDPNVNSWIEMPIGMGEGWPTRQAGTKLSATVNDNLYALDPSSSLDSAKIKIYDYEGDTWKVVPGDVPIHDFTDSESPYLLASLLGKLHVITKDANHNITVLQADMQNGLSSSQPLSSSSELTESSSGSEGEVWRVFASRSGRSAELVSCQSLKV >OIV96236 pep chromosome:LupAngTanjil_v1.0:LG16:95928:96970:-1 gene:TanjilG_14913 transcript:OIV96236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELCIMLLECCSQERTYLRYYGLLGQRFCMINKVHQENFEKCFVQQYSMIHRLETNKLRNVAKFFAHLLGTDALPWHVLSYIHLTEEDTTSSSRIFIKILFQELSEHLGIRLLNERLNDPMNMPRFIMEQQKQVSESESNDDESGKSDSSDSGTASSESRVRIF >OIV95043 pep chromosome:LupAngTanjil_v1.0:LG16:20571370:20577703:-1 gene:TanjilG_10863 transcript:OIV95043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHHSASVWDFSVATEITKDWNGIDQIVLRNPQGASARVSLHGAQVTSWRNEQGQELLFTSSKAIFKAPKAMRGGIPICFPQFGNCGSLDLHGFVRNKMWAIDDNPPPLPANDSASKTFVDLILRSSDENMKCWPHSFEFRLRVSLATDGDLCLTSRVRNINGKSFSFSFAYHTYLLVSDISEIRIEGLETLDYLDNLSHKERFTEQGDAITFESEVDRVYLSAPNIIAVLDHERKRTFLIRKEGLPDVAVWNPWEKKSKAMTDFGDDEYKHMLCVDGAVVEKPVNLKPGEEWTGRLQISVVPSSFCSERLGLERTGPVAEDMFHWQATIMGPSDSPYAGGVFLVTIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDKSKYETTARSWTQKYAMG >OIV95698 pep chromosome:LupAngTanjil_v1.0:LG16:7020489:7026918:1 gene:TanjilG_01492 transcript:OIV95698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATIGNNNLNAKLVLLGDMGAGKSSLVLRFVKGQFLEFQESTIGAAFFSQTLAVNDATVKFEIWDTAGQERYHSLAPMYYRGAAAAIIVYDITSSDSFTRAKKWVQELQKQGNPNLVMALAGNKADLEDKRNVTAEDSFTRAKKWVQELQKQGNPNLVMALAGNKADLEDKRNVTAEEARVYAEDNGLFFIETSAKTAANVNDIFYEIAKRLPRTQPAQNPAGMVLVDRPAEGSRTASCCS >OIV95051 pep chromosome:LupAngTanjil_v1.0:LG16:20471136:20484504:-1 gene:TanjilG_10871 transcript:OIV95051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKNNNRGKAKGEKKKKEEKVLPVIMDITMNLPDETHVILKGISTDRIIDIRRLLSVNSETCYITNFSLSHEVRGRGLKDTVDVSALKPCNLTLVEEDYDEDGAVCHVRRLLDIIACTTNFGPSPAPEKNAAVTGKISPADVVDGDCEISQSCPKLGNFYEFFSLSHLTPPLQYIKKTVRRQVPEISESDHFFSLDVKLCNGKVVHVEAWRKGFYSVGKQRILSHTLVDLLRQLSRPFYVAYDNLLKAFAERNKFGNLPYGFRANTWLVPPVVAQSPSFFPPLPMEDENWGGNGGGLGRDGKFDLISWAHEFSFIASMPCKTAEERQVRDRKAFLLHSLFVDVAIFRAIKAVKHVLGKPDLNCSISESDILYTERVGHLSIEVTKDATVASCKVDTKVDGVKATGVNQKDLLARNLLKGITADENTAAHDITTLGVINVRYCGYVAYVKVEGGENDNFDSSSYQTNEFLDQLEGGANALNINSLRLLLNTTQSENSRPVTEMQTLENEELGASHAFVESLIEESLSKLEVEERDLDNFVRWELGACWLQHLQDQNNTEKDKKPSLDKAKSEVKVEGLGKPLKPLKNSKNKSDLSNPKSASENSKSHHVCVNGEAESASLPSAESQHETTTAENGLVLKEILSEAAFNRLKESGTGLHCKSIENLIDMSKKYYVDVALPKLVKLSKKLSHVQSLCIHEMIVRAFKHILQAVIAVVDKEKVVTAIAGALNLFLGVPENRESDKSCKTHPIVWKWLDVFLKKRFDWDVSNLNYNDVRKFAILRGLCHKVGIELVPRDFDMDSPTPFRKSDIVSLVPVHKATIYQQKALDINERELGLDHPDTMKSYGDLAVFYYRLQHTELALKYVKRALYLLHLTCGPSHPNTAATYINVAMMEEGLGNVHVALRYLHKALKCNKRLLGPDHIQTAASYHAIAIALSLMEAYPLSVQHEQTTLQILRAKLGPDDLRTQDAAAWLEYFESKAFEQQEAARNGTRKPDASIASKGHLSVSDLLDYINPNHNAKGRDVAVKRRSQLTKVRTKSSLNIGSTSSDESSKETPKKTSEVVIVIPVPGGSANAEQETNSVLESEQPILEKTSDKKQQISGNSSDAHADGEDGWQPVQRPRSSSSYGQQRKQRRATVNKVYYQKKNVESDTDHPSVRSTHQNGGYYFSKKRTISHGSYTDNHTVNADQGSKFGKKVVKAVTYRVKSVSAVTDTTAKDTQEISDQLFNSNSAELGSNSSSNDVNAVKTSIVSLGKSPSYKEVALAAPGTISKLQVYSPQSDIPVSDDLGVGKHEEEDTEGHATTNPTLEEVNNIFEHKDKDFSEKKEATQLTDAVHDNFDRSKGLDSGAVEAHETADNITMIDAVEDHVDSHKLELNTITLPNNDANIISQEGEDLRVSISPSSKKLSASAAPFNPSPGIARPAPVAMNTTLPSGPTIGPWPVNMHVQHGPATLLPAVTPMCSSPHHAYPSPPPTPNMIQPLQFMYPPFTQPIPTTNFPVTSSAFHANHFAWQCNMNRMVSKFPPNAVWPGCHPVEFPLPAPVVEAIPDLLLQPQEQCNVSQISSSAWVHPEDMNNVMEFKEELEVLESETGEGEFGKVHQESIKEKNGDTNFHVSKNARNITNHDISANESKSETNMDGEKTVSILIRGKRNRKHSLRMPISLLTSPNSSTSFKAVYNRVIRGNDAVPKSDNLSSAKDCTATA >OIV95289 pep chromosome:LupAngTanjil_v1.0:LG16:17137242:17138423:-1 gene:TanjilG_07445 transcript:OIV95289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAETFLFTSESVNEGHPDKLCDQVSDAVLDACLEQDADSKVACETCTKTNLVMVFGEVTTKANIDYEKIVRKTVRDIGFVSDDVGLDADNCRVLVNIEQQSPDIAQGVHGHFTKRPEDIGAGDQGHMFGYATDETPELMPLSHVLATKLGARLTEVRKNGTLTWLRPDGKTQVTVEYYNDKGAMVPVRVHTVLISTQHDETVTNDEIAADLKEHVIKPVIPEKYLDEKTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYVVRQAAKSIVASGLARRTIVQVSYAIGVPEPLSVFVDTYGTGKIPDKEILKIVKENFDFRPGMISINLDLKRGGNNRFLKTAAYGHFGRDDADFTWEVVKPLKWEKA >OIV95839 pep chromosome:LupAngTanjil_v1.0:LG16:3890648:3890977:-1 gene:TanjilG_06815 transcript:OIV95839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSRRSYSYSKMDKEDPEDVIRRRAQFLIYKVLEQANSPRKQSCLRIRISKLKVKIGNKLRRFKKKIMSTVSAVRVCFHGHATTQLKTWKRLFGKGRQTLRNIPPMIK >OIV95922 pep chromosome:LupAngTanjil_v1.0:LG16:1575900:1599692:1 gene:TanjilG_27026 transcript:OIV95922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRGTVVLFDRKVLRNFRKDGHNWKKKNDGKTVKEAHEHLKVGTEERIHVYYARGQDNPSFVRRCYWLLDKSLEHVVLVHYRETQELQGSPVTPVYSNSSSSSDPTAPLILPEGLDSGTNVAYADGLNDNLTVKSHEIRLHELNTLEWDDLVASNYNTSTKPAEDTSVNGGLQSQDSFGKWINEIILDSPCSADESALKSSISSSVHKPNSSLVDSQKSSLPEQVFNITEVSPAWASSTEKTKVLITGLFHNDYQSLEKSNLLCVCGDVSVPVEIVQVGVYRCWVSPHSPGFVSLYMSLDGHKPISQVVNFEYRTPVLHDPAAYMEEKHNREEFRLQMRLAHLLFTTQRSLDIFSSKVSPNALEYARKFVSKTSFMANSWQYLMKSTDDNTIPFSQAKNDLFEISLRNRLKEWLLERIVLGCNTAEYDAQGQGLTLDFRDKFGWTALHWAAYYGREKMVATLLSSGAKPNLVTDPTPQNPGGCTAADLAYVKGYDGLAAYLSEKSLVEQFNDMSLAGNISGSLETSTTDPVKSENLTEDQLYMKETLAAYRITAEAAARIQAAFREHSLKLRSQAIEFLSPEDEARHIVAAMKIQHAFRNFESRKMMAAAARIQHRFRAWKLRREFLHMRRQAIIIQAAFRGFQVRRQYRKIIWSVGVLEKAILRWRLKRKGFRGLQVNPVQEIKDDKQESDVEEDFFRIGRKQAEERVERSVVRVQAMFRSKKAQEEYRRMKLAHSQAKRVKVYRLNDDGKWDDQGTGHVTVDYLERSEELGLFVYDEEDNETILLHRITSDDIYRKQEDTIISWRDPEYATELALSFQEPSGCSYIWDHICNVQRNMQFNNLNSEAFHSVNSELRDLPAVELSTLPLILKTVVESGIADQLRLTELVLNDQDFLRKLVEVFRVCEDLENMDGLHMIFKIVKGIILLNSTQIFERIFSDEFIMDIIGSLEYDPEVPHFKHHRKFLKEHVIFKEDIVLARVLDEATIASFNSIIHANNATVVSLLKDDNTFIQELFARFKSPTTSLESKKDMVYFLHEFCNLSKNLPMVQQLQLFRDLTNEGIFDIITDILQSEDKKLVLTGTDILMLFLNQDPNLLRSYVVRKEGVTLLGLLVKGMITDFGDDMHCQFLEILRSLLDSCALSGAGAQRDTIIDIFYEKHLGQLIEVITSSCPSENVACGSNKPKGPGLGVQYQSATKAEILSNICELLSFCVLHHPYRIKCNFLLNNVIDKILLLTRRREKYLLVGAVRFVRTILSRNDEHLINHFVRNNLLKPIVDAFVANGNRYNLLNSAVLELFEYIRKENLKLLLKYIVDSFWDQLVKFEYLVSIRALKVKYEQCLDNFGTEGTANLSDLRRRIDERALEKEEEDYFNEDSDEEDTTSASVSHDKKGQQKQPTIINGVAASFSQLSPRSGGLVDYDDDEDDEDYRPPPKKHPETSEDGEGTMESLRLKRKLPPKNKEPELVKKQKLSKNSKPKDNVFAALCSTLSQVVMPGKKTAINIHTGPWKIDGRMSPSEDNQEKELNVVRSGSENSDTVAEENHVEKETAASKYYSDRSQATSDNGQLSGEENPLVSPNSSPEMTVNGS >OIV96165 pep chromosome:LupAngTanjil_v1.0:LG16:1128568:1129185:1 gene:TanjilG_13097 transcript:OIV96165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENKKTPLILSSPRTSNNNGGFTIPYPTTRPDSINPYPTTFVQVDTSSFKQVVQMLTGSSETAKQASSSQTRTGNSTHHNIPPTKTNPRKTQTGFKLYERRKSLNHLKINPLNPVFSTQNSGFSLRKHEILSPSILDFPSVVLSPVTPLIPDPFDPNYLDAEAEEKAIKEKGFFLHPSPRDTQPRLLPLFPTTSPRASGSSSLAS >OIV95740 pep chromosome:LupAngTanjil_v1.0:LG16:5339512:5342310:1 gene:TanjilG_05288 transcript:OIV95740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACVHDHSCEDHDCSSNWSLYKHIDLSKVSALNEATPGSVKYVFKAWEDRLNSSGDHLESNEGDPELLVFIPFTSDVKIKGISIVGGADGTSPSKMRAFINRDGIDFSDVQSMQAVQEWDLVENMQGELEYQTRYSKFQGVANITLHFPDNFGGDTTKIHYIGFKGEATQLKRDAVVNIVYEIMPNPSDHK >OIV95335 pep chromosome:LupAngTanjil_v1.0:LG16:16590923:16608249:-1 gene:TanjilG_07491 transcript:OIV95335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKANSSSSSISSTNHHFVISTSSSLNEFNNEEFPPNLNLGLSISTTHYVSSSIPRLFLSTVKRLKITRMIKLERELRRVQEENHMLKTTLDQISKSYSQLQVQLFISLQNQKPHQNIEENIMVSGQKRMDPQECPKIDANDTPVSNDKSNQEVSGDPHALEDRSSSQSWVSSSSKSPKIEEEAKTEEQVVADQLPFRKARVSVRARSEASMISDGCQWRKYGQKMAKGNPCPRAYYRCTMAVGCLVRKQVQRCAQDKTVLITTYEGNHNHPLPPVATAMANTTSAAASMLLSGSTHTNQSLTTSSGSYFSSMATLSASAPFPTITLDLTQNPNNNNNNNMQQLQPRFYPLALQHAGSHGGSYLLGQPLFLSQKMVHNSSAMPLVQLGQRNNSSSVVETVSAAIASDPNFTAALAGAISSIIGRGGNSNNIISNNGSGGAVLPESQSCTTFSKN >OIV95292 pep chromosome:LupAngTanjil_v1.0:LG16:17095287:17095979:-1 gene:TanjilG_07448 transcript:OIV95292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNPKRRLEKRSKVELEMGSDEIPDLTKKVPANVLSHILTFLPLDEAIRSGILSKKWKDLWRNTTHIELNEKKLIKPLSQLLISRKFVPTKDVTKGANRYALLVYRIMFHHYGDLPSFRILHLWKSLLLGEVQSWVEYVLKTREGVQKLSLECELDNGEMGEWFLFKDDIPKLNFSKGIFQSLGSLEMINYNINCSNAFVGCKNLKTLKLEKINLADRIINDILNNCVVL >OIV95693 pep chromosome:LupAngTanjil_v1.0:LG16:6899774:6907048:-1 gene:TanjilG_01487 transcript:OIV95693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKKNKRKKEEIAEDWCFLCKDGGSMRVCDFKDCLKVYHPVCAGEEDSFLEADTYWCCASHYCFRCHRTSKFKCICCPTAVCGRCLGYGEFAIVKRDKGFCSQCSKLALLIEENADVDSDGGKVDFKDSNTYECYFLEYYEAIKIKEGLNSSHVHSAHNFLKNGKNNSNLDLAVIDEGEDDSGESEDGSDYIVSDYDDMNDTAGVKSMKKKQRCKKQLKSTKGNVKNKKKEFIGWGSRSLVEFLNYIGRDTVKQYSEHDVASIIIEYCKVNNLFDSKKKRKVLCDAKLSSLLGRKSVNRNKIQNLLAHHFAENVEEFDDITSSSENRDDNGPFKCSSKGKFLSTKSIQNIVPEERKSSFAAIVSSNIKLVYLKRSLVEELLKQQETFNDKVMGSFVRVKSDPNDYLQKNSYLLVQVVGINRSSKNNEINKEVLLQLSYMPKDVPTCKISDDDFTEEECQDLYQRMKNGLLKQPTILELEQKARSLHEDIIKHYIEQKLKLQTASEQSRLLSDIPKVIPEIVDSKLSQKNPPRNDRREGNGLPELAMGKTSNSVGRYPKHNAFACWPHNTTDVAGLGPKTSVKRDRDDSPASAEKLFEHPVSQDKGTLQSTTNEMRHDRKAILSEETSLNSQTSYNGLHCPRNTRSRSGINGRKLNAMNTKMKMEEKQSLSVAEPIEASANDKQQDASISDIPMGEKIVNISTIDVIKLSHTDEQDESIADISAGRKVVEDPESPVWNCLGPFGERSGPYSLSVLRRWIGTASYHFEFKVWKTGQSETQAVLLTDALSQSFPSM >OIV95782 pep chromosome:LupAngTanjil_v1.0:LG16:4609984:4611534:-1 gene:TanjilG_20232 transcript:OIV95782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIEEVKKTAELIKLKLSLDSKLEKLGLSLNWILHIIICSTAFLIVKHLMFVFDIQGAPPCTHYAQRGVCKFGPACKFDHPIASLSYSPSASSLADMPVALYPVASSIGTLALSSSSLELRPDLPPGSNNEADSVRVSSSISTLTGSVGFTLSTGEPVSQPNTQPSSQSSNPIAATTTTTSGNVSPTSS >OIV95192 pep chromosome:LupAngTanjil_v1.0:LG16:18676601:18680005:1 gene:TanjilG_21582 transcript:OIV95192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCFLLRSAASSSKTKPWKTSVTAISSLNYSSKVPFKPDPKKPTSVITSSSAPSAADTLFDEQERMRRLAADEKDPSLDVGPNGRPLFTSATSLSQFTRRDTCSYFKLTNEALNAVLPEGLPTGMVKEFEDSKRTALLVRQSFLDLRDNFRRVVDPTIWSSTGVKVRKQVVLDGPVSCGKSIALAMLVQWAREEGWLVFYVPRGKEWTQGGFFYKHPLTGLWDTPVQAENVLKDFLKYNESFLKQLPCQILDPIPLGEGAGVGWLKDADSLAISEGTTLYELVKTGIEQTHAAVAVVVRLRKELSLVKDIPVLFAIDQYNNWFTFSEYEEPVTIRSCRPIHARELTLVNAFRPMKHDDMMVGAFSHSTAVGKLRKDLPDVPVDARITFPRYSLDEAETVSHYYLRQRLINREVFSEENWKKIYFLSNGNGTEMRGLLPFMR >OIV96061 pep chromosome:LupAngTanjil_v1.0:LG16:2760668:2761333:-1 gene:TanjilG_27165 transcript:OIV96061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDENEDQILKKFNKYQLLKRTSQFVFSVSVFSFFFWYTSGFSLHPQSFNNAYFSTCLFSMFTHTLERKYMFLICNGILAFIAKTSLMNPSPPTSAFDLEFNEESLNLSEINNAPVFVPFRSSEFQETVPLMVEKQVLNEEVLDAAEEQEDETLYTLTEGKDNESYIEETESEVEYDEVEDTTMKINEELINTDELNRKFEEFIRKMKEEIRIEAQRQLIAV >OIV95268 pep chromosome:LupAngTanjil_v1.0:LG16:17393276:17394550:-1 gene:TanjilG_07424 transcript:OIV95268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFVLLSLVILKFTSAFAATLNEAYGDSSCPLGTDIYQISCPEAEAIIFSMVEHAISQDSRMAASLLRLHFHDCFGCDASVLLDDTQDFVGEKTAGPNLNSLRGFEVIDAIKSELELICPHTVSCADILATAARDSVLLSGGPLWKVEMGRKDSFTASKNTANNNIPAPNSTVDMLVAKFENVGLTLQDMVALSGAHTIGIARCPTFTSRLQSSTISDNPFDNMEFIASLQQLCSAPDNSNIGTQLDLATPATFDNQYYVNLLSGEGLLLSDQALVNGNDETRQIVETYVQNPQFFFEDFKNSMLKMGSLGLAQSNGEIRRDCRTIN >OIV95228 pep chromosome:LupAngTanjil_v1.0:LG16:19433718:19434203:1 gene:TanjilG_21618 transcript:OIV95228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPSSSESETTIKPPRIYRCKKCRRVVASEENVLSHEPGKGESSFKWHKRSSDPSEEKQPDCTSVFVEPMKWMQAVEDGHVEDKILCMGCNARLGYFNWAGMQCSCGAWINPAFQLHKSRLDECYM >OIV95803 pep chromosome:LupAngTanjil_v1.0:LG16:4443732:4449725:1 gene:TanjilG_20253 transcript:OIV95803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQYHIYEAIGRGKYSTVYKGRKKQTIEYFAIKSVDKSQKNKVLQEVRILHSLDHQNVLKFYSWYETSAHLWLVLEYCVGGDLLSILRQDTQLPEDSVHDLAFDLVKALQFLHSNGIIYCDLKPSNILLDENGRTKLCDFGLARKLRDISKTPSSSLPQAKRGTPSYMAPELFEDGGVHSYASDFWALGCVLYECYTGKPPFLGREFTQLVKSILSDPTPPLPGNPSRPFVNLINSLLVKDPAERIQWPELCGHAFWSTKLTMVPLPAQLAFDDMIELHAKPCLPERNGDKSSHNRTPPKYREKDVKGLMKKDESSILGSRGIETPTRATPNGHRTQAKVSGKTAEVKQKGPSKISKVVNLLRLSRIAKSNLQKENEKENYRRPLPNSSENDSEVKIENTDMELDFNENTEDDAPEETDGLEHTTSIPDEKMDNGDHKQGKIEETANNIHQLDTPSIVNTPVSHDPRSVDQESTPDYPDISAISPSVSPQVKKHRGKEEVGSGLDSESSRSSSNLSQVLWHPSDLSVRPVMPSRKVDKVSEVIPSLPFEALQASDFVKLPKEQLEAILNRIIAILNGNTSIGEKQNLVRYLELLSSNADAANILTNGPIMLILVKLLRQSKALALRVQLASLIGLLIRHSTFVDDSLANSGILGSLTDGLRDKQEKVRRFSMAALGELLFYISTQNADCRDNNPLESPSKDNRTSVGWQVPNSLISFVSSMLRKGEDDLTQLYALRTVENVCSQGGTWVGRFSSQDVISNLCYIYRASGKQESMRLTAGSCLGRDDFQITLLRVLECLTEESLVVLGNPDIFIREILPSLTVLYKGNKDGDARFLCLKILFDVMIIVLSEPVEEEQRLKDLKFISNARFLPLYPTLIEDEDPIPIFAQKLLVMLLEFNFITIQDILHIKTISQCFEFLLGDLSNANVNNVKICLALASAPGMESKILSQLKVVRRIGNFLEFVYAKGMEDLLEPTLGLCKAFLARSVTCAKGFNYSTEPTLLCDNPSEMSGAVDPQQCIRDIADLASNVGVLLELSASIETNIVDIASECVVLLLKAAPREATTGLLTNLPKVSVILESWSRGTPHLLVQRMLHALGYACKQYLLHAMILSISIPEISRIEVIVSELKSSGVPGLTKAATLAALELQRLPRCI >OIV95707 pep chromosome:LupAngTanjil_v1.0:LG16:7359053:7367930:1 gene:TanjilG_01501 transcript:OIV95707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLEGIFIETTSTTPDLSLHISPPSTTSSSSSLICNNTTTQVSGGGTNYEAHNSSQATNFSSRTVPQAHTELSLGRNFCGGGTSLQETPPHHHHNNNNPYYQNHQSHLQHLHPQVSNTSSGGATTTTTSSTRLNNINYGVSMLDVSSEGLRPIKGIPVYHNRSFPFLPVEHTRDMDHHHPKMCLYHHMPSYPSLSSSSPSSSSPSPYLATAAPGLDPMSLLNSGTPNGPAAAYRAAAATMFNGISGEAFKSHHPLHHHSHYGVGPSHHEATSAGLMRSRFLPKLPTKRSMRAPRMRWTSTLHARFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQMYRTVKTTNKPPASSGLSDGSGEDDMSPIGSSGSGGPRQFPDQRSLPDRPVQQDMDYTSTTTTTLWSNSSSPPSTTSSSSSLICNNTTTQVSGGGTNYEAHNSSQATNFSSRTVPQAHTELSLGRNFCGGGTSLQETPPHHHHNNNNPYYQNHQSHLQHLHPQVSNTSSGGATTTTTSSTRLNNINYGVSMLDVSSEGLRPIKGIPVYHNRSFPFLPVEHTRDMDHHHPKMCLYHHMPSYPSLSSSSPSSSSPSPYLATAAPGLDPMSLLNSGTPNGPAAAYRAAAATMFNGISGEAFKSHHPLHHHSHYGVGPSHHEATSAGLMRSRFLPKLPTKRSMRAPRMRWTSTLHARFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQMYRTVKTTNKPPASSGLSDGSGEDDMSPIGSSGSGGPRQFPDQRSLPDRPVQQDMDYTSTTTTTLWSNSSSSREPWPPSSANDIGGFRPPIFQSQPLSGGHQIQECDSTQLKNSLSGSNLECKNPSLEFTLGRPDWNGKGQA >OIV95047 pep chromosome:LupAngTanjil_v1.0:LG16:20533169:20536242:-1 gene:TanjilG_10867 transcript:OIV95047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACVRAGTVKRLIYTASVVSASPLKDDQSGFNDFMDETCWTPLNDSLAYLSLDDFHKTLAEKHVLRYGKNENGEGLEVVTLPCGLVGGDTLQSFTPGSVGVLISQVTHNENAFKSLEFLENLLGKIPIVHVDDVCEAHIFCIENDSISGRYFCASSYISSKDIEDHYALHYPEFNVKQGNVDVLKKDIKWSSTKLCDKGFVYKYDTKMILDDSINCARRVGHI >OIV95212 pep chromosome:LupAngTanjil_v1.0:LG16:19092280:19094031:1 gene:TanjilG_21602 transcript:OIV95212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRPIDNALPTTPIRPKKQPKIAIATQKQPPRTVNDETHVPVPSADVAIDYISSDNLKPMLDPEAKVQSLIEDLGSKNWIKVCESLNDVRRFALHNSSLLFPILGKIVLVVAKTMKNPRSALCKTSIMAASDIFNAFGDKLLDPSTSEAFDGLLIQLLLKASQDKKFVCEEAEKALGLMVGTLTPLPLLQKLRRYVSHSNLRVRAKAAVSLSKCVSKMGLEEMEEFGLKELIEVAADLVNDRLPDARDAARSISTCIYEALTKDEEQKMEVWQNFCHSKLPPIHAISILKIVKP >OIV95163 pep chromosome:LupAngTanjil_v1.0:LG16:18311034:18314372:-1 gene:TanjilG_21553 transcript:OIV95163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWWRSASFIMDRQQNRIQNDAVSMENDDENPNSTNISAYYQTRAAHNAVVTTDWLAQSQAAAAAAAVAQTSDGEIEGGKLDVVLNSDKALSVIDVFDGWRKQPHLAEAVAAIRALASIIRSSNATTMMELEIELKKASDSLKSWDATSISLTAGCDLFLRYVTRTSALEYEDFNSAKSRLIERAEKFGEISYKARKIIAMLSQEFIFDGCTILVHGFSRVVLEILKLAAQNKKLFRVFCTEGRPDRTGLRLSNELAKLDVPVKLLIDSAVAYSMDEVNMVFVGADGVVESGGIINMMGTYQIALVAHSMNKPVYVAAESYKFARLYPLDQKDLAPALRPIDFGVPIPSKVEVERSARDYTPPQYLTLLFTDLGVLTPSVVSDELIQLYL >OIV95033 pep chromosome:LupAngTanjil_v1.0:LG16:20694557:20695396:-1 gene:TanjilG_10853 transcript:OIV95033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSIFICHLIFFTWTILAPQAEARPFFVFGDSLVDNGNNNYLLTSARADSPPYGIDYPTHRATGRFSNGLNIPDIISERIGSEPTLPYLSPELNGERLLVGANFASAGIGILNDTGIQFVSL >OIV95781 pep chromosome:LupAngTanjil_v1.0:LG16:4616306:4618244:-1 gene:TanjilG_20231 transcript:OIV95781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESFARSTEGSGSDPSLEWTGHGGETGLEGSMWQLGLGGGGGGREESYPLRPGEADCMYYLRTGVCGYGSHCRFNHPSDRGAVIGAAKTGEFPERVGQPVCQYYMRTGSCKFGTSCKYHHPKEGGGTANPVSLNYYGYPLRPGEKECSYYVKTGQCKFGSTCKFHHPQPADVHIAPPPLPVPQVSHLSVPVPSPLYPTVQPPSDHISQQLSVLVARPPLLPGSYVQSPYGPMVLSPAMVPYSGWAPYQATATSPVLPSSTPSNVGSAQLYGITQLASPAGAYPGPYQPSGSKIGPSSNSQKEHSLPERPDQPECQHYLRTGECKFGSSCRYHHPPDMNAPKTTMTLSPAGLPSRPVMIASQLL >OIV96018 pep chromosome:LupAngTanjil_v1.0:LG16:2404866:2405366:1 gene:TanjilG_27122 transcript:OIV96018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNPSMVDLTKQARSHEIAIAELENLPSSRAVYQRNGNLFFRTTVSKATTMEQKQLDSAKAKMKIIQ >OIV95675 pep chromosome:LupAngTanjil_v1.0:LG16:6687719:6695054:1 gene:TanjilG_01469 transcript:OIV95675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRKPVQCLLQGVCREGSRRSFGVTPQANASSSQKIIDKEYEFSAHNYHPIPIVFSRARRSTVWDPEGNKYLDFLSAYSAVNQGHCHPKIMKALQEQAEKLTLSSRAFYNDRFPPFAEYLTSMFGYDMVLPMNTGAEGVETALKLARKWGYEKKKIPKDEAVIVSCCGCFHGRTIAVISMSCDTEATHGFGPLLPGQLKVGFGDAEALERIFKENGQRIAGFLFEPIQGEAGVIIPPDGYLKTVRDLCSKYNVLMIADEIQTGLARTGKMLACDWEDVRPDVVILGKALGGGVIPVSAVLADKDVMLCIKPGEHGSTFGGNPLASAVAIASLNVIRDEKLAERSFQLGEELTRLLLKVQQQYPNYVKEVRGRGLFNAVELNSKNLSPVSAYDLCQKLKDRGVLAKPTHDSIIRFTPPLCMSLDEIQEGSKALVDVLEIDLPNLLKMKPKDASPSAPSACDRCGRVLYG >OIV96231 pep chromosome:LupAngTanjil_v1.0:LG16:119715:124122:1 gene:TanjilG_14908 transcript:OIV96231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLEISAPELLPAPAASPPTKDHVHEIISKSSDKETEKIPSVSLSSNLSRRATTPIIFSQTTARRKPPEVEKKLFCSLEDLCFGCTKKIKVTRDVIKYPGVILQEEEILKIEIQPGWRKGTKITFEGKGDEKPGFLPGDIVFVIDEKKHPLYTRNGNNLEIGVEIPLADALTGCSIPIPLLGGKNMTLLLDNIVIYHGYEKVIEGQGMPIPKSKGTRGDLLVKFLIHFPTHLSDEQRQEAFCIPNALNVISNFPFLVIGLIGLILCHHGNYFQLSLQGELWGWTCFYVGVAAVGVGSSYYHLQPDDARLVWDRLPMTIAFTSIVAIFIIERIDERKGMISIIPLVLVGIISIVFFDDLRPYALVQFVPCIAIPLMAILLPPMYTHSTYWLWAAGFYLLAKVLEATDKDIYEWTHDIVSGHTLKHLFAAMVPVFLTLMLAKRSVEAERQSLLKTWRVSWTKVKEGNSNVESYAFFYSNVPQVEEPK >OIV95164 pep chromosome:LupAngTanjil_v1.0:LG16:18321728:18330373:1 gene:TanjilG_21554 transcript:OIV95164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAVGNIFCEVVSVVKLWIPWRGEPANVSRDFWMPDQSCRVCYECDSQFTLFNRRHHCRLCGRIFCGKCTANTVPTPSSSQNNSWDENERIRVCNYCYKQWEQGIVAFDNEIQVSNLEPISSLSTSSLVSSKSSCTADSSNITLSSMPYSVGSHQQIQQGSSLSQQQSPVRINGVDREPLSSLRGRNDLVADQGEQLSKQYGFSMNGSNDEDEYSVYQSDSETRREVNGCYAQVDFDGISETDGLQKVHPGREVNANVSPKKHGFNTHSLEGTHTIEKNEDEPDMCDENEAISSVYVSDDVDSEPVDFENNGVLWLPPEPEDGEDEREAILFDDDDDYDGTVTGEWGYLRTSNSFGSGEYRYRDRSGEEHKKVMQNAVDGHFRALVTQLLEVENLPVIENDKNSWLEIITSLSWEAASLLKPDTSKGGGMDPAGYAKIKCIACGSRIESEVVKGVVCKKNVAHRRMKSKMDKPRLLIIGGALEYQRVTNLLSSVDTLLQQETDHLKMAVAKIAAHNPTVLLVEKSVSRYAQEYLLAKDISLVLNVKRPLLERIARCTGTQIVPSIDHLSSQKLGYCETFHVEKFAEDLIGGGQSGKKTVKTLMFFEGCPKPLGCTILLRGADEDELKKVKHVVQYGIFAAYHLALETSFLADEGASPLPEFPLNSLVLPNKSLSIERSISIVPGFSVSGNINSRGPEHGTEPRRSKSVPISDLVSLVSSIEPISSQSMQHESNCARSTTFFSSIAASENAIPNSYHKNLLPCASERTSEVDPKQLLVLETSELKNTSVVMNNHPSANGYENQGILADTPQNGDSKISTNQISGSEFLSTEGVQNQPENPGIVNQEQNPLKEEFPPSPSDQQSILVSLSSRCVWKGTVCERSHLFRIKYYGSFDKPLGRFLRDNLFDEGYRCHSCEMPSESHVHCYTHRQGTLTISVKKLPEIILPGAGDGKIWMWHRCLRCPRVNGFPPATRRIVMSDAAWGLSFGKFLELSFSNHAAASRVASCGHSLHRDCLRFYGFGMMVACFRYASIDVHSVYLPPHKLEFDYGNQDWIQKELDEVANRAEILFSEVLNALSQIGVKKSSSVPVSSSLKAPELKMQFAELEGVLQTEKIEFEEAFQKILNQEKRKGQPSIDILEINRLRRQLLFQSYMWDHRLIYAASLYNSSKKSSPTGSISDENEKSIDEKQLRVNPMLEDSKLDEIPDTGGGVDGNISKPDQEVDKAKDINLEKDDQPNNSISKSLSDTLDEKWTGENQSGLGIQKDNASVNRDTSTGDSLTITTQKEAHNPRDHAEDQNGLKSISSFYKGNDNMEDSLSWFYRQFSKNFFAGTQKFDTLVDYNPVYVSSFRELELQGGARLLLPVGVNDTAIPVYDDEPSSIIAYSLMSPQYHAQLTDEGERPKDGSEFAPSYFSDSSNFQSFHSDDIAIDFPRSFGSGEDMMIVSGSHNSSMLDPLLYTKAMHARVSFAEDSPNGKVKYSVISYYAKRFEALRRICCPSELDYIRSLSRCKKWGAQGGKSNVFFAKTLDDRFIIKQVTKTELESFIKFGPDYFKYLSESIGSRSPTCLAKILGIYQVTTKHIKGGKESKMDVLVMENLLFRRTVTRLYDLKGSSRSRYNPDSSGSNKVLLDQNLIEAMPTSPIFVGNKAKRLLERGVWNDTGFLASIDVMDYSLLAGVDEEKDELVIGIIDFMRQYTWDKHLETWAKASGILGGSKNASPTVISPKQYKKRFRKAMSTYFLMLPDQWSPPSLITSHSQSDLCSAEDNINNNNNIDNNNNNTQSKTSLNQ >OIV95068 pep chromosome:LupAngTanjil_v1.0:LG16:20214339:20215490:-1 gene:TanjilG_10888 transcript:OIV95068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHAFLASRKHEAQLPFLYDEIIFEILSWLPVKSLLQSRAVCKSWKSLISDPSFIKLHLQRSLKYANIIVKSKLYDDKYVFAQPCTVASLFEKPSTTVISAESAYRVNSSKYRFAGSCNGLVCLIGSIYSGDRVKQIRVRFWNPATRLRSTKSPVICVHLTTLFVFGFGYDNLTNTYKVVVVLCNEKSTQVKVCSMGDGCWRTIQSLPIVPIPRLNYGVHFNGTLNWLALSNSDTCKQLAIVSLDLGKETASQSLLPCPSDEISVSQPSLSLGVLRDGLCLSYDYKGTHFVVWKTMESEVLSWIQLLKVSYQDLRIGFKLHASQLLPLRLYENGDILITLRYSGKLQAIMYNYKDNRVDRPKIYSSIEWIYDLDYLESLISPI >OIV95314 pep chromosome:LupAngTanjil_v1.0:LG16:16806794:16807186:1 gene:TanjilG_07470 transcript:OIV95314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGEKRKRKIENEEENDEDKKMEKFFALVKSTKDVLDLLSKEKIMDKKVENDYEKAKGTWIPKFQPEDFIDYGEFRRSNVSITQHSSSSEREKEKEYFQGGGTSVAVAPEAETQVKEKAGSDNLNLNLCL >OIV96243 pep chromosome:LupAngTanjil_v1.0:LG16:63827:65949:-1 gene:TanjilG_14920 transcript:OIV96243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVVLRTRKSATSIGTAAVMAPFLCGITIIHFHSAKYLDHEHVRMLGFMTGMLCLTPYPVVSSVLNDLKILNSELGRLGLSSALVSEMFSIFFNVTAALTRTMSKYGVKEALICLAVAVLFVFLVIFAIRPILFWIIKQTPEGSLVNDHCVYCIIIVALLTAYASHVFQFYSILGPYILGLAIPEGPPLGTAIIKKIDTFVNGVFMPIFVTTCAIRVDLTDLFNWRTKNGSIDNFMVQTLVIILVTVSAKFVVCMIHPLWNKMPLNYALSLSLIMSCKGIVEMAAYSLIKDMMEIPDNVFALLMVCMMIISTIMPMLLSLLYDPLKKYAGYTRRNIMDLGRNTELRVLTCIHGDENILSTIKLLEATYPTKEDPICAFVLHLIELIGRASPIFISHQLQKKKNKNDSNSYRSENLLDAFNNFEKEVNDGLTVNTFTAVSPQEMMYDDICTLALDKLTSLIILPFHRKWSSDGNLIELEDESLRELNYRIMERAPCSVGILIEKAQMTHIFSEETPYTVCLLFIGGKDDREALFVAKRMMKNPHVRLTVLRIFAESNRNGDVKDWEGMLDRELLNEIIMIKHKVGEVKYIEKSVKDGPETVLKIRSLVSEYDLVIVGRQAGVESAETCGLLQWSEYPELGVLGDLLASTDAAGKASVFVIQQQRTATDI >OIV95753 pep chromosome:LupAngTanjil_v1.0:LG16:5127815:5146703:1 gene:TanjilG_05301 transcript:OIV95753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFEPLDWYCQPVARGVWTTVVENAFGAYTPCAVDSLVISVSQLILLGSCIYRIWLLRKDFTVKRFCLRSKLYNYILGLLAAYCVAEPLFRLFTGMSILSLDGQTHLAPFEIVSLIIEALAWCSMLILIGIETKVYIHKFRWFVRFAAIYSIIGSAVLFNLIISVKEFYSRSVLYLYISEVVCQALFAILLLVYIPTLDPYPGYTPIRSELVTDDTYDELPGGETICPERRANILSKILFSWMNPIMRQGYEKPLTEKDVWKLDPWDRTETLNNNFQRCWDEESKKSKPWLLRALNASLGVRFWCGGLFKSMQNGDPAWIGYIYAFSIFVGVVFGVLCEGQYFQNVMRVGYRLRSTLVAAVFRKSLRLTHEARKQFASGKITNLMTTDAEALQACFLSISVDFVPFSVPVPPFPLIPFFSLIPIKTFIISRMQKLSREGLQRTDKRIGLMNEILAAMDTVKCYAWESSFQSKVQNVRNDELSWFRKASLLGALNGFILNSIPVVVTVISFGVFTLLGGDLTPARAFTSLSLFAVLRFPLFMLPNIITQVVNANVSLKRLEELLLAEERILLPNPPLEPGLPAISIKNGYFSWDAKAESATLSNINLDIPSGSLVAVVGSTGEGKTSLISAMLGELPPIADSTVVMRGTVAYVPQVSWIFNATVRNNILFGSAFDPIRYGRSMDVTELKHDLELLPGGDLTEIGERGVNISGGQKQRVSMARAVYSNSDVYIFDDPLSALDAHVARQVFDKCIKGELRGKTRVLVTNQLHFLSQVDRIILVHEGMVKEEGTFEELSSQGPFFQKLMENAGKMEEYEEENVDIETTDQKSSSKPVANGVSTDHAKSESKPKEGKSILIKQEERETGVLSLNVVKRYKNALGGFWVVFVLFACYVLTEVLRVCSSTWLSYWTDQSASVNYNPGFFNLIYAALAFGQVMVTLTNSYWLIVSSLRAAQKLHEAMLHSILRAPMVFFHTNPLGRIINRFAKDLGDIDRSVAPFVNMFLGQLFQLFSTFILIGIVSTMSLWAILPLLVLFYGAYLYYQSTAREVKRLDSISRSPVYAQFGEALNGLSTIRAYKAYDRMASINGNSVDNNIRFTLVNMSGNRWLGIRLETLGGIMIWFTATFAVLQNGRAKNQQEFASTMGLLLSYALNITSLLTSVLRLASMAENSLNAVERIGTYVDLPSEAPSIIDDNRPPSGWPSSGSIRFEDVVLRYRPELPPVLHGLTFTIFPSDKVGIVGRTGAGKSSMLNVLFRIVELERGRILIDDCDISKFGLADLRKVLGIIPQSPVLFSGTVRFNLDPFNEHNDADLWEALERAHLKDVIRRNSLGLDTEVSEAGENFSVGQRQLLSLARALLRRSKILVLDEATAAVDVRTDALIQKTIREEFKSCTMLIIAHRLNTIIDSDRIILLDGGKVLEYDTPGELLSNEGSAFSKMVQSTGAANAQYLRSLAFGGDKVERRENKPLDGHRKWLSSSRWAAAAQFALASSLTSSQNDLQRLEIEDENSILKKTKDAVITLQRVLERKHDKEIEESLDQHQISSDGWWSSLYKMIEGLAIMSRLASNRLPQSDYSFEDKSIDFDQPDM >OIV96247 pep chromosome:LupAngTanjil_v1.0:LG16:48294:49497:1 gene:TanjilG_14924 transcript:OIV96247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTAIPRCRSLLFFLICSSLHFITGFSDDLPSSNDAAKTKAHAHSTSPIILIVLIVLVVFSLLSFLLFKLWRKKKREEQYARLLKLFEEDDELELELGLRD >OIV95981 pep chromosome:LupAngTanjil_v1.0:LG16:2055106:2055264:-1 gene:TanjilG_27085 transcript:OIV95981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHISDIKLIRTDTTLDLSQKAEKGMSSSLLGLLFYIASLPYFFLASPVWDY >OIV96153 pep chromosome:LupAngTanjil_v1.0:LG16:1023174:1026036:1 gene:TanjilG_13085 transcript:OIV96153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSHPSYHNHYTFTHALKACSFLHAPSKALEIHAHLIKSGHYSDLFIHNSLIHLYVLHDDVVSASRVFQSISSPDVVSWTSIISGFSKCGFQFEAIQRFSLMDVEPNVVTLVSVLSACSGIKALKFGKAIHGYGLKRMIIYGNVIFDNALLDLYAKCGSLVNAQKLFVKMPKRDVISWTTLVMAYAQGGHCEEAVAVFKRMVLEGEEVKPNEATVVTVLSACASMGSLSLGQWVHSYIDKKCDLMIDGNIGNALLNMYVKCGNMRMGIKIFNMLMHKDVISWGTVICGLAMNGHGKQAVQLFSHMLIHGAPPDDVTFIGLLSACSHEGLVNEGIMFFKAMRDTYGIVPQMRHYSCMVDMYGRAGLFEEAKAFLRSMPIEAEGPIWGALLQACKNHVSTASMILNTIRAQFIRNPNPQFLNPLIPFLSNSPIPQAAFFLYNLMLSHPSYHNHYTFTHALKACSFLHAPSKALEIHAHLIKSGHYSDLFIHNSLIHLYVLHDDVVSASRVFQSISSPDVVSWTSIISGFSKCGFQFEAIQRFSLMDVEPNVVTLVSVLSACSGIKALKFGKAIHGYGLKRMIIYGNVIFDNALLDLYAKCGSLVNAQKLFVKMPKRDVISWTTLVMAYAQGGHCEEAVAVFKRMVLEGEEVKPNEATVVTVLSACASMGSLSLGQWVHSYIDKKCDLMIDGNIGNALLNMYVKCGNMRMGIKIFNMLMHKDVISWGTVICGLAMNGHGKQAVQLFSHMLIHGAPPDDVTFIGLLSACSHEGLVNEGIMFFKAMRDTYGIVPQMRHYSCMVDMYGRAGLFEEAKAFLRSMPIEAEGPIWGALLQACKNHGNEKMSEWIRGHLNNKDVGIGTLALLSNLYASSERWDDANKIRKTMRGNGLKKTAGCSWIELEISTNILDSDLCVA >OIV95521 pep chromosome:LupAngTanjil_v1.0:LG16:12430695:12435177:-1 gene:TanjilG_18737 transcript:OIV95521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDFRPSYGWNGGGWQQIPPGPSNINEINGSNSNVVPIGEGGVSNPFLGEVANDPVQRRRAANRHYSETYRRKKQEQVQHLEHLEKSLNGNLSDNTPQLGYHRGMESHYDAEASSLAQTYYTMNSNYQYVEGMDDFRPSYGWNGGGWQQIPPGPSNINEINGSNSNVIPIGEGGVSNHFLGDVAYDPVQRRRVANRQYSEAYRRKKQEQVQHLEHLEKEVSLLTLHNLDITGVWNLTMMLKEVLWLKHIIP >OIV96013 pep chromosome:LupAngTanjil_v1.0:LG16:2366515:2376936:1 gene:TanjilG_27117 transcript:OIV96013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANDDALCCATQLIDGDGEFNATGLDHFIRTVNLSSCALSYAVVAIMGPQSSGKSTLMNHLFHTNFREMDAFRGRSQTTKGIWIANCVGIEPATIAMDLEGTDGRERGEDDTAFEKQSALFALAVSDIVLINMWCHDIGREQAANKPLLKTVFQAMTRLFSPRKTTLLFVIRDRTKTPLEHLEPILQEDIQKIWDAVPKPQAHKHTPLSEFFNVNVTALSNYEEKEDKFKEEVAQLRQRFFHSIAPGGLAGDRRGVVPASAFSISAQQIWKVVRENKDLDLPAHKVMVATVRCEEIGNEKLSQLCADEGWLELDEAVRLGPVLGFGEKLSSIIDTYLSQYDREAIFFDEAVRNAKRKLLESKALDFVYPAYTTILGHLRSKALDDFKTKLEQSLSKGEGFASAVNVWTQSIMLEFDKGSSGAAVRQAIWGDSKVRDKLRRDIESHASSVRSEKLARITTNVEKQLAKALAEPVESLFEAGGKDIWLSIRKLLAHETEVAVFEFLTSIAGFELDEETVERMQQSLRDYARKVVENKAREEAGNVLILMKDKFSTVFNHDNDSLPRVWTGKEDIRAITRDARSASLKLLSDMAAVRLDEKPDHIESVLRSSLLDRTVAATSSQYAIREASVDQLASSTWDEVSPQDILITPVQCKSLWRQFQGETEYTVTQAISAQEAYKRSNNWLPPPWAMVAMLVLGFNEFMLLLKNPLYMLFIFIAYLLGKAIWIQMDIAGEFRHGTLPGLLSLSSRFLPTVMNLLKRLAEEAQGNLTNEGTEQHSSDTQIYRNQAQKRNLASTSFTTNSSVSSVGSTNGGNESSATNFSTKVTEAEFS >OIV95843 pep chromosome:LupAngTanjil_v1.0:LG16:3906268:3908522:1 gene:TanjilG_06819 transcript:OIV95843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQAVEEWYKQMPVITRSYLTAAVVTSIGCSLDIISPYHLYLNPRLVVKQYQYWRLVTNFLYFRKIESFGKIIFLSNSLTFMMVYVWSRQNPFIHMNFLGLFNFTAAYLPWVLLGFSVLVGASAWADLLGMIAGHAYYFLEDVYPRMSGRRPLKTPSFIKALFADDTVVLARPDNVRFAPPPAEELHQH >OIV95458 pep chromosome:LupAngTanjil_v1.0:LG16:15310531:15313173:-1 gene:TanjilG_06920 transcript:OIV95458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKYGEGDKRWIVEERSDGTNVHNWHWSETNCLEWSRTFFNNLLNNLTVLNGESNLFIKVTKIEKLTGEAYVNIRKGKIIPGYEISLTVAWEGEAKDNNGNSLLKVEGTVEIPYISDENADEDPDLRVTVKDEGPIGKTIKDAMFSKGKPLILEKVRVWVESMAKGGPVKEEQLEAKKIVAKKDEKNNAAAVSNSVPAAAVVAPKKEEVKKKKEVKKGCKNISLTERFNCRAKDMYEILMDENRWKGFTQSNARISKEVGGEISFFDGSVTGTNVELQEAKLIVQKWRFGSWNDGVHSLVRLVLEEPEPGVTVVKLTHTDVPEEDRYGNATVVENTERGWRDLIFQRIRAVFGFGI >OIV95978 pep chromosome:LupAngTanjil_v1.0:LG16:2041093:2043751:1 gene:TanjilG_27082 transcript:OIV95978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDPNCYLHKWFEIECRSSTSNSGYTPYLKSLNLEVRNIGFDYIMIMNPIFYWNCHGKTNKPVIDLKGSPFRYSQAYNTFMAYGCNKLAFLQSDGSTICGCVSICDHIDDVSFYGGKGCHGISCCETSLPSHLSEYNVTLSDMNNESVVDENGWYSQNNHSHSKKWAIEGVSSSLGSVILLFGLWWSYKALRKRVIKKRKEKFFKRNGGLLLQQRLSTEEVSVHKIFFTLKDIERATDKFNANRVLGKGGQGTVYKGMLVDGKIVAVKKFKVQGKVEEFINEFAVLSQINHRNVVKLLGCCLETKIPLLVYEFIPNGNLFEYLHVENEDLPVTWDIRLRIAIEIAGALFYLHSIASQPIYHRDIKSTNILLDEKYRAKVADFGTSRVVSIEATHLTTVVQGTFGYLDPEYFHTSQFTDKSDVYSFGVVLAELITGKRPISVLSSEEARNLASYFVLSMEENQLFEIIDKRVAKESDKEQINAVANLAYACLELNGRKRPTMKEVTLELERIQGGDRRFNAKQNYEEIELARTHEYQHFDGYSMPNTLPIIHTEIVSSEVMPILKSDS >OIV95778 pep chromosome:LupAngTanjil_v1.0:LG16:4647012:4648535:1 gene:TanjilG_20228 transcript:OIV95778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTFISSLFSFTPYLFSFILFLILLEQISYLIKKRFIPGPTLVFPFLGNAIPLVTNPTKFWDLQSTIAKSTNLGFSVNYIIGNFIVFIRDTELSHKVFSNVRPNGFHLVGHPFGKKLFGEHNLIYMMGQDHKNLRRRIAPNFTPKALSTYTALQQIIILNHLKTWVKLSEQKKSPIPIRILARDMNLDTSQTVFVGPYLGLKAREGFERDYFLFNVGLMKLPFDFPGTAFRNARLAVDRLSGTLATCTEMSKLRMEKGEEPSCLIDFWMQDTLREMAEANYAGGESPPPFSSNAEIGGYLFDFLFAAQDASTSSLLWAVTLLDSHPEVLAKVREEVAGIWLPESDELITAEQLREMKYTHTVAREVVRYRPPATLVPHIAAEEFPLTESYKVPKGAIVFPSVLESSFQGFTEPDRFDPNRFSEERQEDQIFKRNFLAFGAGAHQCVGQRYALNHLVLFIAMFVTLIDFKRDITDGCDEITYVPTICPKDDCRVSLSRRCARYPSFPS >OIV96080 pep chromosome:LupAngTanjil_v1.0:LG16:3468366:3473769:1 gene:TanjilG_27184 transcript:OIV96080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHNDTSTSAPPTSGGRIRHRKRSNEVIPEDSKANGTRLLVNDKSKYKSMIIRAYSSIWMIGGFVLIIYMGHLYITAMVVVIQIFMAKELFNLLRRAHEDRQLPGFRLLNWHFFFTAMLFVYGRTLSPRLVNTVTSDMVLYRLVSSLIKYHMVICYSLYIAGFMWFILTLKKKMYKYQFGQYAWTHMILIVVFGQSSFAVASIFEGIFWFLLPASLIVINDIAAYFFGFFFGRTPLIKLSPKKTWEGFIGASVTTIISAFLLASIMGRSQWLTCPRKDLSTGWLDCDPGQLFKPDSYSLTGWFPQWFPWKEISILPVQWHVLCLGLFASVIAPFGGFFASGFKRAFKIKDFGDSIPGHGGITDRMDCQMVMAVFAYIYHQSFVVPQSFSNEMSLDQILMNLSFNEQQALYMRLGEMLQQGIQGQS >OIV95920 pep chromosome:LupAngTanjil_v1.0:LG16:1561994:1564936:-1 gene:TanjilG_27024 transcript:OIV95920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSDIDDLGKNDANYTALTPLWFLERAATVHPNRTSLVHGSWRYTWHHTYQRCRRFASALSNHSIGLGHTVHMARVQVAVIAPNIPALYEAHFGVPMSGAVLNTVNIRLNASVIAFLLGHSLASVLVVDQEFFSLTEEALKIWSKKSNNFKPPILIVIGDENCDPKGLRYALSKGAIEYENFLESGDPEYAWKPPKDEWQSIALGYTSGTTSSPKGVVLHHRGAYLMSLGGALVWGMNEGAVYLWTLPMFHCNGWCYTWTLAALCGTNICLRQVTAKAVYAAIAKYKVTHFCAAPIVLNTLINAPPEETILPLPHVVHVNTAGAAPPPSVISAMSERGFRVIHTYGLSETYGPSTICAWKPEWDSLPPEKKAKLHARQGVRYISLEGLDVMNTKTMQPVPADGTTIGEIVMRGNGVMKGYLKNPKANEEAFANGWFHSGDLAVKHSDGYIEIKDRSKDIIISGAENISSVEIENALYSHPAILEASVVARPDEKWGESPCAFVTLKNGVLDSGNEQNLAEDIIKFCRTKMPSYWVPKSVVFGTLPKTSTGKIQKNLLRAKAKEMGPVKIISKL >OIV95439 pep chromosome:LupAngTanjil_v1.0:LG16:14900186:14901898:-1 gene:TanjilG_06901 transcript:OIV95439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYQYSSLMGIQWEHFAKVVGSDQLSFEPIKSPINIVDNPLQTPPSFCSSIDVPNWCFEFPKTTNTYSSMMFSQQACGDNFTNFTIKDTPSSEFTRSSLHSVAESFMSCSADSECSSEKNGNFASDAEKYSNFQPDNIAFYEHFSQERDKLVRGDAAKDERGLEISFQRNQLSSCTKPEKQSPQPRGLTCVTSSNNSASKRTPNGKRRIRWTKDLHEPFMMIVNRLGGPESKLNHTVVLYNFSNNKMKRKKFIHLMSKSFIHHYIIHYSYFMIITLNINE >OIV95125 pep chromosome:LupAngTanjil_v1.0:LG16:17962147:17967345:-1 gene:TanjilG_21515 transcript:OIV95125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIKSTEPATIPLSSPLLSTNNVENDEGTSSVPTILILTTLVAVFGSYVFGSAVGYSSPSQSGIMNDLNLGVAQYAIFGSILTIGAMIGAIASGRIADYTGRRVAMGFSQLFCILGWIAIAFSKVAWWLYIGRLLVGCGIGLLSYVVPVYVAEITPKNLRGAFTALHQLMICLGMSLTYLIGAYLNWRIVALIGTIPCLIQLLSLPFIPDSPRWLAKIGNMKESDSALQRLRGKNVDVYQEATEIKEYTEALQQQTESSIIGLFQIQYMKALTVGVGLMILQQFGGINGIVFYANSIFISAGLSESIGTIAMVAVKIPMTTLGVLLMDRSGRRPLLLVSAVGTCLGCFLAAISFFLQDLHKWKEASPILALVGVLVYVGSYSLGIGAIPWVIMSEIFPINVKGSAGSFVTLINWLCSWIVSYGFNFLMSWSSSGTFIIFSIICGFTVLFVAKLVPETKGCTLEEIQASLNSYSTKR >OIV95128 pep chromosome:LupAngTanjil_v1.0:LG16:17993663:17995386:-1 gene:TanjilG_21518 transcript:OIV95128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRECGQEQMDSGQQCHWSCHEWSVGSLGKDGGYATVENVYVSNCSFFGTTNGLRIKTWQNGYGFVRNVTYNNIRLQNTRNPIIIDQNYRDLVEDFPIAKLYEMMMQGKGIEIIGVTYKDVSGTSATSVAINLGCNSSEGCSNIIMDRVNLTSVSPSEKVIVLCSNVKGQETTVSPKVSCLTEKLPSTLIGSHILFSH >OIV95466 pep chromosome:LupAngTanjil_v1.0:LG16:15451560:15452150:-1 gene:TanjilG_06928 transcript:OIV95466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISKTFLSIFSILSLILLFIFSSLTVAEDSHLFHKTISPTLLDLHKEKLSHLHFYFHDIVSGPKPTAVNVAQAQMTNKSPTGFGMVTMTDDPLTSSPEPDSKLVGKAQGIYASAAQDEIGLLMVMNFAFTEGKYNGSTLSVLGRNTVFSAVREIPIVGGSGLFRFSRGYAKAKTHTFDLKTGDAVVEYNVYVFHY >OIV96230 pep chromosome:LupAngTanjil_v1.0:LG16:132272:137194:1 gene:TanjilG_14907 transcript:OIV96230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLTNPRPGVIVNGVRRMRRFHYFWCLNCQRTVRIPFTNPNGSLCPYCFHYLRYELDISRPRLLINNHNNNNMPATQLMNDLSLILDPSLRRQHNNNNNNHFNTTTQWDTESDEDGSNNPQAWITLRFVRPTRPPRQLLPPQANNNNNNPFENNTLLDDGFIDQTMVVNSRPGPPPTASSAIAALPIVKVTQSHLASDPNCPICKDEFEVGVEVRELPCKHFYHSDCILPWLQIHNTCPVCRYELQAVPNADYHTHSENEYAFGFGFGLEDVASSFNWIWNQLASFRPIRAVLGWTQRHYAHGAQGGKRMDVPQVLLSPVCKAGHDSLHLIATSFHHSYLLRHRVGIILPRQLKDKWCQGSIQLKGFNFLQLSNDAFSFFSGGGHGDHFRKAGMSNSNSNMSRKNSFSGRKWTNILLAANVLFYIAQLASQGKVLLWGAKINSLIDKGQLWRLATSSFLHANIGHLMVNCYSLNSVGPTVESFSGPLRYLAVYFASAIASSAMSYRFSRLPAVGASGAIFGLVGSVAVFVLRHKDIVGGGKEDLLHIARVIALNMVLGLLSNGIDNWGHLGGLIGGVAASWLIGPAWKYESTTRDGRRVFSDSAPMYNLFRITRVPKQWK >OIV95456 pep chromosome:LupAngTanjil_v1.0:LG16:15271016:15273801:1 gene:TanjilG_06918 transcript:OIV95456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELALSLGDTQKPFSLLHNNPSSTLHNNKDPTSLCITLGGASFSGKSQDKRRGSSDPPLQLDLLPSTPVLRPQQPSSHLQIPWLTDAHDGALSRKRREEDGEERGSDDDENGSTRKKLRLSKDQSAFLEESFKEHTTLNPKQKLALAKQLNLRPRQVEVWFQNRRARTKLKQTEVDCEYLKRCCETLTEENRKLHKELQELRALKTSQPFYMQLPATTLTMCPSCEKVATNTTGGATTIHHISTTTTTNNDNGSQVYAELSLGKNNKILGFPYSQAHQQKAHNMAS >OIV95037 pep chromosome:LupAngTanjil_v1.0:LG16:20611445:20613107:1 gene:TanjilG_10857 transcript:OIV95037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVALKDWSYVKVAGILACILMLVPSANAVDALKTCTCLLKECRIELAKCLSNPSCAANIACLQTCNNRPDETECQIKCGDLFENKVVDEFNECAVSRKKCVPTKSDVGDFPVPNPDVIVKSFNIADFDGKWFITSGLNPTFDTFDCQLHEFHIESNKLIGNLSWRINTPDAGFLTRTAVQRFVQDPSNPGIFYNHDNEYLNYQDDWFILSSKIENRADDYIFVYYRGRNDAWDGYGGAFVYTRSAVLPESIIPELEKAAKNVGRDFRQFIKTNNSCGPEPSLVERIEKKVEEGEETIVREVEELEEEVEKVGKTELNLFQKLTEGLKILQEDEENILRSLSKEEMEILDGLKMEAAEVEKLFGGSLPIRKLR >OIV95354 pep chromosome:LupAngTanjil_v1.0:LG16:16416191:16418471:1 gene:TanjilG_07510 transcript:OIV95354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKEIMMMGLKMEDSLNINGSSPFIPFSTMFDFCEVEKNSSLGFMELLGVQNSDPLFDFPQLSTMSSILKDQQEITLKECFEVLNTHQPSTPNSSFISSASNEVVNDEHNKIVEQLHEKQNTNKKSKTKKTNEKRKREPRFAFMTKSEVDHLEDGYRWRKYGQKAVKNSPFPRSYYRCTNTSCNVKKRVERSFTDPSIVVTTYEGKHTHSSPVMARTSTNFGSIMHGGNYVSQYYQHQKHQLFNTMSSFGFSSSSSSTTSSKVSSTFSQEVPFSRDNGLLQDIVYSHI >OIV95319 pep chromosome:LupAngTanjil_v1.0:LG16:16758496:16758714:-1 gene:TanjilG_07475 transcript:OIV95319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGFMCNDMAFTAPAEITAAPALFVISDQAAFFNCKIQGNEGTLFSEPNANSTATMRFVVTLTSSKETLQP >OIV95650 pep chromosome:LupAngTanjil_v1.0:LG16:6288669:6289997:1 gene:TanjilG_01444 transcript:OIV95650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECSNTKPLKSNSNISEIVTKLTKVCTFKSIGVFSSEVSNLQHLHKPVCNNAPMSENSTSASEDTRNHGQKIHPHPIEVPKGEGSCPSLEIMKIFDTVSALKLAYLQLQQAHIPYDTEKIIAAYEQVEVELEKLSKLKLEYKEKLYKKEQFNAALLGLLQSELEAKEKLLKKLKSLNSVKDSKILRLQQELHDLEMGNKNLFEKIKRVSKKKKASFFSVAKFKNVFEAASKSIHDFSKPLIGLMKASGWDLDDAAKWLDNGAVYSKRCDKKYAFESYIAHRMFHGISLTSYDVSDIMKFDDPIDALMDNPDSDFSKFCRTKYLLVVHPTMEESFFGNLDQRTFILNGKHPRTKFYQLFAEMAKWIWVLIGSSASIDPQAIMFSVTRDNMFCSLYMECVEDERGSAVLSVEEQANYKVQFMIMPGFKIGQTLVKSKVYLSKHL >OIV96179 pep chromosome:LupAngTanjil_v1.0:LG16:506292:507890:-1 gene:TanjilG_14856 transcript:OIV96179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQNPIKGGYLTNLSQKCKTLNQLKQLHAHILRCHIIHTPYAIAPLLSVSATSNDASFFSYAHSVFSNLTRRNTFMYNTMIRGYLHSLSPFPALSCYLQMLQNDIPVNNYTFPPLIKSCVRLISCDCSASNVVIARLVHGHVVKFGFCDDPFVVSAFIELYCGLCEAKTARVLFDEVRKKDVVLWTAMIDGYGKMGDVENARELFDEMPERNVISWSAMMAAYSRVSDFREVLALFMEMQIVGSKPNESILVTVLTACAHLGALTQGIWVHSYAKRFNFESNPILATALVDMYSKCGCVDLALSVFEGIALKDSGAWNAMISGLALSGDVKKSLQLFHQMIACGTKPTETTFVAVLAACTHVKMVLEGLQLFEEMSSVYGVMPQAEHYACVIDLLSRAGMVEEAEKFVEEKMGGLASGDANLWGALLNACRIYKNIDVGNRVWKKLVDMGIADCGTHVLTYNIYREAGLDAEANRVRSMISEAGMKKKPGCSIVEVDNEIEEFLAGDLSHPQAQEMCKLLDSILKMVNLDGF >OIV95762 pep chromosome:LupAngTanjil_v1.0:LG16:5043262:5043939:1 gene:TanjilG_05310 transcript:OIV95762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNTLIIIIAADYGAFFSSKKENDLYEEYILHRQQRNYVTTSYVPKYEQVDKQCIALNGKLLHGRKETITNRSPVKHGTISREISLLSIRQENIKGNKKKNSEIIPQRVREIAARNELKKASEWSNYKKPILPLQADDDYKKFEEKTSHARIRRSKSDRYHRDRIKSVLVDERKKRVRGLETMKVEEDNEFSKMTNEDLNKRVEEFIQKFNRQIRLQATRNDNQI >OIV96014 pep chromosome:LupAngTanjil_v1.0:LG16:2382340:2383590:1 gene:TanjilG_27118 transcript:OIV96014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEGCGRKHGAATPCAACKLLRRKCGQDCVFAPYFPPNEAHKFANVHKVFGASNVNKMLQDLPMHQRGDAVSSMVYEANARVRDPVYGCVGTISSLQQHIDLLQTQLALAQAEVVHLRVSQTRCIPNLVLSPTNSGSPSSKIMGSHANPVFDMDMVVDQCSFDLVVIVAGLPWCRIGGAVAEASARLVNF >OIV95684 pep chromosome:LupAngTanjil_v1.0:LG16:6828530:6831288:1 gene:TanjilG_01478 transcript:OIV95684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTCLSKRGSFTAQHAVNGVTMYKAETEAQVKLKRGNSKKRVEEKNESIAQKNEKGHLRKEIFIIKHRKEDIHGDECAQQQGGDAIVETKGVLGMRASNCTKEEMDAILIQCGRLSRNNSAGKQQKYSSDSKRGFDFEHCENDTISNDEEQNKTNYSSGFCEGDEIVCAEKHHQHRPRHRESPLLYKGSSRRRTPSREREQCCSSSRERRVSRSPGKRSSETNIPANASNNNSNINASSRPERMVSVPATISYKSNGVGAGGGGEFATTTSVKRITVRRNVGAPSPRSQSPARTNENAANGNQVSSAENQQQQFSLSRNSSRKAEQSPHRRNTLSEIDPNTLAYTHSTANINSSKVQIKPKKEIETQANQKPNVDINDNSKNSTNSRVEMDTMTVVPPEVNSLKPQTLTRTRSTRQSRDFDHNPEAPPQSYTSMLLQDIQNFHQKNNTPSVPLPACLTKACSILEAVADLNSTTSSKNRLTYQSSRNNYNVLLGSNQYMKRVIDTKDPFIESEVVVSDDVMEPSLQKYVTFERSGSLGGVDTMEDQESSGSNSFTASSGQQRHRRSISSSREPNSFDSKDCWTSRMNYSRERGSEKSTRLGREGVMMKP >OIV95695 pep chromosome:LupAngTanjil_v1.0:LG16:6926042:6930093:-1 gene:TanjilG_01489 transcript:OIV95695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMEDSNKWKEEVAEDCCFFCKDGGIMRICDYDDCLKACHPKCEGQEDSFLERDDYWCCGSHYCSVCRKSSVYKCYCCPKAFCKSCVFEEIDDFAIVKGKKGFCSHCLELAVMIEDKTDVTSEGVKIDFTAPGTYEYLYSEYYKRMKEKEGIDYSNVHSAYLLLKKGQAKEPPSAGSSKRGKEVATPTTSSVQKKKRSSSTSSSLVRRPYSSRRIQTRSWVAIPVHTSPVNNDLSADDVHAGASVDEIIENPSDNVTYPLVPAKFASWTSQDDAKLASSFLSIHPDIIFPDSSYAQCFLEPAYKTFVFLLKMFRDSSSTHDLVSENRAVLVEKLKGMKLFGFSGSWLENLLDKLDGPNCLHGPVDISVLNKTEESYATEHESLMARIDELVSSLEDSNNKLIEVEAKLKDIAEEKKIYEEACNELGPFFNF >OIV95500 pep chromosome:LupAngTanjil_v1.0:LG16:13707242:13727757:1 gene:TanjilG_23231 transcript:OIV95500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSSGLTFKLHPLVIVNISDHYTRVKSQLNPPIAPHNTTTTTTANGGDAVASSVPPRVYGCVIGVQKGRTVEIFNSFELLYDSSTNSLDRPFLEKKQELYKKVFPNFYILGWYSTGSDAEESDMLIHKALMDINESPVYLLLNPSINHSQKDLPVSIFESELHVIDGIPQLIFVRSSYTIETVEAERISVDHVAHLKPSDGGSAATQLAAHLTGIHSAIKMLHSRIKVLHHYLLAMQKGDVPCENSLLRQVSSLLRRLPAIESGKFQDDFLMEYNDTLLISYLAMLTNCSRSIINEKNLRVNYFMKVYHFLYENDDKVGTAENKIAKDKASSADPSTEQKSASATAAGFPPNPFDFSAMSGLLNDPSIKELAEQIAKDPSFNQMAEQLQKTFQGATPDSIPNFDNQQYLQTMQQVMQNPNFMTMAERLGNALVQDPSMSAMLESFTNPSNKEQIEERMARIKEDPSLKHILEEIETGGPSAMMRYWNDEEVLGKLGQAMGLATSGGAAASAENSVPDETDDDVGNEDESIVHHTASTGDVEGLKSALASGADKDEEDSEGRTALHFSCGYGEVKCAKVLIEAGAKVDALDKNKNTALHYAAGYGRKECVALLLENGAAVTLQNLDGKTPIDVAKLNNQHDVLKLLEKDAFL >OIV96168 pep chromosome:LupAngTanjil_v1.0:LG16:1158427:1165116:1 gene:TanjilG_13100 transcript:OIV96168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKGDDLSEWNADKLLRIYMHEYMLKRGLHHSAETFKEESQIGDKDSTVIDSPNGFLHEWWSVFYGDVYSSWMVKDQENVPESSSKIADNARNGNSFPIIPQIPMSQQRPLPHQFQDSSMLAQPATGLIPSTLYHKEHLEHLVGNGEPSLQDTLEASNLRFSAESSSNDSLLDVGKQNQNKIFKNQVLISLVHEPNYKHQCQVLKTPNPGSVPARTLQSAPASQTFTVPAYSTKYSSQYLKTPSPKTESNNKDKQDCAGAADGKPVDENVESFLSLENEHADHRIVPFSNLKRISNSYSRNENKGFSFEEVGCLHSSKSKVLSSHFSSDGKILASAGHEKKVFIWNMETFDCATTAEAHSLLITDVRFRNGSTIFATSSFDRSVRLWDAARPTRSLLKLDGHAEQVMSLDFHPRKVDLLCSSDSNDVIRLWNVKQGACMHVTKGGSKQVRFQPDFGKLLATATGNDIKIVDVEADRILCNLNGHVKDVISICWDRSGNYIASVSEDSARIWSTDGKCIHELHSNGNKFQSCIFHPAYQNLLVIGGYQYLELWSPTEGNKTWAVAAHEGLIAGLAESPHGELIASASHDCCVKLWK >OIV95248 pep chromosome:LupAngTanjil_v1.0:LG16:19757963:19760602:1 gene:TanjilG_21638 transcript:OIV95248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLITMVKSISEFSTYVTFNSHENKAKYVIPSNYLIHFRKPSRTTLLSSNFDVPRCSIIVNATTLLTDTVNNNVNTKIGKFCEVGNLRNAMELLKKSKKSELDLNTYCSILQLCAENKCSKEGKMVHSIISSNGVPIEGVLGAKLVFMYVSCGDLREGRKIFDQILNDKVFLWNLMMSEYAKIGDYSQSIYLFKKMQRLGIEGNSYTFSCILKCFAASGKAGQCKRIHGYIYKLGLGSYNTVVNSLISAYFKCGGVDSAHQLFDELSDRDVVSWNSMISGCVMNGVSHTGLEFFIQMLVLRVGVDLATLVNALVACANIGSLSLGRALHAQGVKACFSREVMFNNTLLDMYSKCGNLEGAIQVFEKMGQTTVVSWTSTIAAYVREGQYDDAIRLFYEMESQGIIRPDIYTLTSVLHACACSNSLDKGRDVHNYIRKNNLTTVLPVSNALIDMYAKCGSMEEAHSVFSQVQVKDIVSWNTMIGGYSRNSLPNEALKLFAEMQQGLRPDGITMACVLPACGSVAALERGREIHGHALRNDYSSDLHVANALVDMYAKCGSLVQAQALFDMIPRKDLISWTVMIGGYGMHGFGNEAISTFEKMRTAGIEPDEISFTSILYACSHSGLLNEGWELFNSMKSQSNFEPKLEHYACMVDLLARTGNLSKAYSFIKTMPIKPDATVWGALLCGCRIHRDVELAEKVAEHVFELEPENTGYYVLLANIYAEAEKWEEVKKLREKIGKRGLRKSPGSSWIEVKGKFSVFVAGDTSHPQAKMINSLLKEFRMKMKTEGYSPKMRYALINADDMVKEVVLCGHSEKLAMAFGILNLPPWRTIRVTKNLRVCGDCHEMAKFMSKTTEREIILRDSNRFHHFKDGLCSCNGFW >OIV96226 pep chromosome:LupAngTanjil_v1.0:LG16:161580:164173:-1 gene:TanjilG_14903 transcript:OIV96226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYSGGWRGYSSRGSACSKSYNRSVRRWHDKDLDKDVDSFISKDKLFFHQHNYKRPGFLDKRLANRFERNQRQHSQSMTSERRWKMWSNKTADGLNNPQRKSDKSVGEVNVVVDVRHKTWFEEEFPLLGDEEKHGGSRSRRALSFHSINSSHCLPTDTSALIFSNSPTSASHEVPSTVGSNRVATMLDQHTISANSCPIAPGLSMAETLVRGPPRSETPPQVSDSTEKLEELARRQSRALIPMIPSKPRNLVTGQKFKTGKQQYQGYSYPPAHSPHGSNTKSDLLKRTSGNSHNATASRELNDDFPLAEKDSANPGGRSSRNITSTVRTTLEKRPTPQSQSRTAFFKNLSRKSSLKNSYSDPFSMPCAAEKSEVVTMNSGDAPSMETEIETSAVNSLTEESNSTITVNNANVSSEVQVLSDNPVLYPEEEKEIAFLRSLGWVESAGEDESLTEEEIRDFVEKCKKVQPLSNILSA >OIV95999 pep chromosome:LupAngTanjil_v1.0:LG16:2235308:2240408:1 gene:TanjilG_27103 transcript:OIV95999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRTLVGLLSILWLCWCLVSNVEAQVEYLKYKDPKQPVAARVKDLLDRMTLEEKIGQMVQIDRSVANADVMKTNFIGSVLSGGGSEPLPEATAKDWVDMINEFQKGDPELAQKIGAATALEVRATGIPYVFAPCIAVCRDPRWGRCYESYSEDHKIVRKMTKIITGLQGDVPAHYKKGLPYVGGKTKVAACAKHFVGDGGTTKGVNEDNTVIDWHRLMSIHMPAYSDSILKGVSTVMVSYSSWNGVKMHANRDLVTGFLKKTLKFKGFVISDWQGIDKITTPPGSNYSYSVQASIEAGVDMVMVPYIFSEFIQDLTLLVKNKIITMDRIDDAVGRILFVKFTMGLFENPLADYSLVNQLGSQEHRNLAREAVRKSLVLLKNGKNGSPPLLPLPKKTSKILVAGTHADNLGYQCGGWTIKWQGFTGNNGTSGTTILSAIKSAVDPSTQVFFQENPDSGYLKLNNFEYAIVVVGEPPYAETAGDSTTLTLADPSPTIINKVCGTMKCAVIIISGRPVVIEPYISSIDALVAAWLPGTEGQGVTDVLFGDYGFTGKLARTWFKSVDQLPMNVGDPHYDPLYPFGFGLKTKSVKGLVENSTSAALDGRACIFTIIVTVIVSLCLTGMLSTIYESSWKLVVARELT >OIV95057 pep chromosome:LupAngTanjil_v1.0:LG16:20436661:20439192:-1 gene:TanjilG_10877 transcript:OIV95057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRPVFVGNFEFDTRQSELERLFSKYGRVERVDIKSGYAFVYYEDERDAEDAIRVLDNFPFGYEKRRLSVEWARGERGRHPNGSRANQKPTRTLFVINFDPNRTRDRDIERHFEPYGKIHHVRIRRNFAFVQFETQEDATKALECTHMSKILDRVVSVEYALRDDSERGDRYHDSPRRGSYGRSPSPAYRRRPSPDYGRPRSPVYDRRRSPDYGRPRSPEHGRPRSPEHGRPRSPEHGRYRSRSPIRRS >OIV95938 pep chromosome:LupAngTanjil_v1.0:LG16:1716888:1722709:1 gene:TanjilG_27042 transcript:OIV95938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSTIKRTKPNPSHSVTKAIAQYTEDARLHTVFEQSSLNFSNSSNLPTTTTTDSLPEQQITTYLLKIQRGGTIQPFGCMIAVDEPSFRIIGYSDNARDMLGITPQSVPMLERPEVFTVGTDVRTIFSNSSVVLLENAFRAREITLLNPIWVHSRSSGKAFYGILHRIDVGVVIDLEPARTEDPALSIAGAVHSQKLAVRAISLLQSLPGGDIKLLVDTVVESVRELTGYDRVMVYKFHEDEHGEVVAESKRADLEPYIGLHYPATDIPQASRFLFKQNRVRMIADCHASPVKVVQDEALVQPLCLVGSTLRAPHGCHAQYMANMGSIASLVMAVIINGNDEGDGGRSSMMLWGLVVCHHTSARYISFPLRYACEFLMQAFGLQLNMELQLASQSLEKRVLRTQTLLCDMLLRDSPTGIVTQSPSIMDLVKCDGAALYYQGNYHPLGVTPTESQIRDIIEWLLAFHGDSTGLSTDSLADAGYPGAASLGDTVCGMAVAYITEKDFLLWFRSHTAKEIKWGGAKHHPEDKDDGQRMDPRSSFKAFLEVVKSRSLPWENAEMDAIHSLQLILRDSFRDAEHTNYKAVVNTNLAERELQGVDELSSVAREMVRLIETATAPIFAVDIDGRINGWNAKVSELTGLTVDEAMGKSLVHDIVFKEYEETVDRLLSHALRGVTPTESQIRDIIEWLLAFHGDSTGLSTDSLADAGYPGAASLGDTVCGMAVAYITEKDFLLWFRSHTAKEIKWGGAKHHPEDKDDGQRMDPRSSFKAFLEVVKSRSLPWENAEMDAIHSLQLILRDSFRDAEHTNYKAVVNTNLAERELQGVDELSSVAREMVRLIETATAPIFAVDIDGRINGWNAKVSELTGLTVDEAMGKSLVHDIVFKEYEETVDRLLSHALRGDEDKNVEIKMRTFGPEHQDKAVFVVVNACSSKDYTNNIVGVCFIGQDVTGQKIVMDKFVNIQGDYKAIIHSPNPLIPPIFASDDNICCLEWNTAMENLTGWVRADVIGKMLVGEVFGSCCKLKGPDAMTKFMIVFHNALGGQDTDKFPFSFLDRHGKYVQTFLTANKRVNMDGQIIGAFCFLQIVNPELQQALKVQKQQEKNCFARMKELAYICQEVKNPLNGIRFTNSLLEATVLTDEQRQFLETSAACEKQMLNIIRDIDLDTIEDGSLELEKREFLLGNVINAVVSQVMLLLRERKLQLIRDIPEQIKTLALYGDQLRIQQVLADFLLNMVRYAPSPDGWVEIHVCPRIKQISDGLTLLHAEFRMVCPGEGLPSELIQDMFHNSRWVTQEGLGLSMSRKILKLMNGEVQYIREAERCYFLILLELPITRRSSTSVN >OIV95748 pep chromosome:LupAngTanjil_v1.0:LG16:5189374:5190579:-1 gene:TanjilG_05296 transcript:OIV95748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METVDHSQPPLSPILLDELVIEILSWLPVKSLLQFRHFVDDEVIGFQVRFWNPALRLRSKKSPSLHLNWSDYEYGPARYGFGYDNSSDTYKVAVISLGSENTVVEVLSMGDNRWRKILSFPAFPFLCQLYGKFLSGTLNWLALNKSGYRYDWGTVTVNQLVIFSLDLEKETYKQMSLPTGLDEVPSVEPKLGYLWDCLCLFLYYRGSHFVIWQMKEFGVENSWTQLISISYQHLQIVNDEYHALLPLFTTENGDALVLVNNADFEAIIYYWKDKRVERIEIPNLQLWIDAKEHIPSMVLPC >OIV95591 pep chromosome:LupAngTanjil_v1.0:LG16:9075297:9077734:1 gene:TanjilG_23822 transcript:OIV95591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQRRGRRLVLIPLPLQGHINPMLQLAHILHSNGFSITVIHTTFNSPNPSNYPNFNFYSIQDGLSETEYTSDLLNFIIALNIGCVTPFKECLMKMLSDTSEEPIACMISDAMCYFTQSVADSIKLPRIVLRTGGVSSFVAFSAFPFLREKAYLPIQESKLEEPVAELPPLRVKDLPMFNTKEPEKYYELICRFVKETKNSLGVIFNSFEDLESSALKTLSQQFPFPIFPIGPFHKHFPEDSTSSSLSSLISQDQSCISWLDKHKPNSVVYMSFGSLAAITENEFLEIAWGLSNSKIPFLWVVRPGSICGTEWLEALPSGFMENLEGRGHIVKWAPQQEVLAHQAVGAFWTHNGWNSTLESICAGVPMICMPCFTDQKVNARYVTHVWKVGLQLEKGVERKEIEKSIRKLMEENDEGKKIRDRALKLKEDAMLCLKPSGSSCCSLEGLVNYILSL >OIV95663 pep chromosome:LupAngTanjil_v1.0:LG16:6520568:6521873:1 gene:TanjilG_01457 transcript:OIV95663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENNVVLLDFWPSSYGMRVKIALAEKGVSYECKQEDFQAKSSLLLEMNPVHKMIPVLIHNGKSISESLNIVEYIDDIWNHKYSLLPSDPYKRSQARFWGDFIDKNVYSIGKRVWTVKGEEQELGKKQFIECLKKLEEVLGDNPYFGGENFGYFDVALVPFTSWFYTYETFGNLSIEAECPKLVAWAKRCIEKESVAKSLPHPHKIYEFALEYKQRHGFE >OIV95725 pep chromosome:LupAngTanjil_v1.0:LG16:5954438:5954737:1 gene:TanjilG_05273 transcript:OIV95725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGETEEKDKHEDEVEKKDKKEEKSEEADGEEDKAKEKKKKKDKGAKEKKNPEDTKDPAKLKQKLEKLDTKMQALVAKREEILKLLEEAEKGGANPSDAT >OIV95254 pep chromosome:LupAngTanjil_v1.0:LG16:19825194:19825502:1 gene:TanjilG_21644 transcript:OIV95254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARFVTFLVLFLVLFASFCSCLQGRKLDLGHAKKHKNNVKSSPSNSLYFSSLPKGTVPSSTPSKKGHATEVDEKLIARHLISTETLLLGSVPSPGAGHRWVV >OIV95149 pep chromosome:LupAngTanjil_v1.0:LG16:18186320:18189656:-1 gene:TanjilG_21539 transcript:OIV95149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSVIEVEEDYSSEFVEVDPSGRYGRVYNEILGKGAFKTVYRAFDEYQGIEVAWNQVKLYDFLQSPEDLERLYCEIHLLKTLKHRNIMKFYTSWVDTSNRNINFVTEMFTSGTLRQYRLKHKRVNIRAVKHWCRQILRGLLYLHSHDPPVIHRDLKCDNIFVNGNQGEVKIGDLGLAAILRKSQADHCVGTPEFMAPEVYEEAYNELVDIYSFGMCVLEMVTFEYPYSECNHPAQIYKKVISGKKPDSLYKVKDTEVREFIEKCLATVSLRLSARELLDDPFLRSDDYEYDFGPVNGRAWDHSPLTSHHLFDLHQSYNNISAEYSNGFSFEGEWCNTPAEIEASGIELFECHDDEESSEDVDISIKGKRHDDNGGIFLRLRIADKDSRIRNIYFPFDVEMDTAMCVASEMVAELDITDQDVTRIADMIDGEIASLVPKWRSGSGIEETPKSANHGFCQNCVSNHTSNGSLMDFLSHDQDGKNIQFLQCHGCASMHGRFEEITFQSNEYDKHDGEDAPNVSTKSQCLQYLELWNQHESHEPNPIESNRSHSDQHLDKSVSEDDRGQDVWENKLAPDAQNTLRDLPGTRYFPTIRSLYCGLEDGYEKENTTRTEMMAKSKIQNGVKGT >OIV96110 pep chromosome:LupAngTanjil_v1.0:LG16:742719:744342:1 gene:TanjilG_13042 transcript:OIV96110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLETENRLAAMLMREAAELRRQSQKEGVLAYLCKPNVRGRPNSRFLSATIRGVQQANRAVEVNEMWRLRQKELELDERVKGTSKDKSNADRSHRDGNSSSSPRRHAFAVNSSTGVASCSSKREYKLDEWVNNTLKDKFSGDGRDRDGSSSRSTGRHAIVDDSTGVSASCSSKRQNEHSPEGLKDEELEEFLHSRKKRGRGGIGPRMDETGPYLPPPHPYRDPSPSPDAREHRVIYGPARPPSLKSCDSSEEELHEERRKKIKKSHAGKSEKEHSKKSRSKEKSKHKKKKRGEKTSKHYH >OIV95098 pep chromosome:LupAngTanjil_v1.0:LG16:17698958:17702984:-1 gene:TanjilG_21488 transcript:OIV95098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNPSLSFRFALFLSLSLTTSSSRYTPSSFSTLKATPSDLLSLLSSKSHSSRVNPIIARELNSCFKFLVPFSPILNHSPRKLTSVNLTAPIYNYHMLNDFVLWPPESVLELARLAVDSGGDPAAIQRLLDPTVIPVPDVEGSKKQRCELTRTPYGRRFIHEELNLYLKFLFELIVARGPSVGLDVSLDRFDLFHGHLFLAVDSGRLGILFHAKEYPAYDKQVFPCNMGYCQRGTNVTYDDSMNLRNILWLAPLPGDSAKSWVAPGVLVVLDARPSGIIYRDLIPDYVKIARTIYEDDLGETVVDVNYLNVGSKTSDYQIFIC >OIV95828 pep chromosome:LupAngTanjil_v1.0:LG16:3795757:3798085:-1 gene:TanjilG_06804 transcript:OIV95828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSVTSSSSSTISSTSFFSRLGSSTDSKALQIGYFRNLERSHGFVNLTQRRSLVRPINAEPQRKDSVVPLAATIVAPEVAEKEEEDYDELARGLENASPLEIMDKALEKFGSDIAIAFSGAEDVALIEYAHLTGRPYRVFSLDTGRLNPETYRLFDEVEKHYGIHIEYMFPDAVEVQALVRTKGLFSFYEDGHQECCRVRKVRPLRRALKGLRAWITGQRKDQSPGTRSEVPVVQVDPVFEGLDGGAGSLVKWNPVANVIGNDIWNFLRTMNVPVNSLHSQGYVSIGCEPCTRPVLPGQHEREGRWWWEDAKAKECGLHKGNIKQEDVPNLNGNGVAHDNGAATVADIFNTQNVVNLSRTGIENLAKLDNRQEPWIVVLYAPWCRFCQAMEESYVDLADKLAGSGVKVGKFRADGEQKEFAQSELELGSFPTILFFPKHSSRPIKYPSEKRDVDSLVAFVNALR >OIV95429 pep chromosome:LupAngTanjil_v1.0:LG16:14679035:14682264:1 gene:TanjilG_06891 transcript:OIV95429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLQSNFLSLLVPLTAVFASLYLINPNPQCLNIFKDFSVTTLSSSSFYLTLPLNYNFGRNHHHRPHKKHDGSGDAGTICDDFPQGIPPPSTNTTSYLCVDRKGCCNFTTVQAAVNAVPDFSDKRTIIWINSGMYYEKVMVPKTKPNITFQGQGYTSTAIAWNDTAKSANGTFYSGSVQVFASNFIAKNISFMNLAPMPSPGVEGAQAVAIRISGDQAEFRGCGFFGAQDTLHDDKGRHYFKDCYIQGSIDFIFGDARSLYENCQLVSIANPVSPGQRSINGAITAHGRVSMDENTGFVFVNCSIGGNGRIWLGRAWRPFSRVVFAFTAMSDIIAPEGWNDFNDPSRDQ >OIV96212 pep chromosome:LupAngTanjil_v1.0:LG16:246457:247276:1 gene:TanjilG_14889 transcript:OIV96212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDLVKAIIVMVLVQVVNGSMNIMTKLVLNGGMNLMIMVAYRYIIGYKKLLYVCTIFSNVMAYLHYAEAIALTSVTFVTCVTNLVSGITFVLSLIFKLEKVKLREAGGRAKVIGTLIGISGAMVLTLFKGMEIKITSFHANLFHHKNKKDSNNATSHTAMYIFNVFSSFAANITYVS >OIV95096 pep chromosome:LupAngTanjil_v1.0:LG16:17687239:17690924:-1 gene:TanjilG_21486 transcript:OIV95096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAMVEDSNFEDDQLASMTTDDIVRASRLLDNEIRILKEELQRTNLELDSYKEKIKENQEKIKLNKQLPYLVGNIVEILEMNPEDEAEEDGANIDLDSQRKGKCVVLKTSTRQTIFLPVVGLVDPDKLKPGDLVGVNKDSYLILDTLPSEYDSRVKAMEVDEKPTEDYNDIGGLEKQIQELVEAIVLPMTHKERFQKLGVRPPKGVLLYGPPGTGKTLMARACAAQTNATFLKLAGPQLVQMFIGDGAKLVRDAFQLAKEKSPCIIFIDEIDAIGTKRFDSEVSGDREVQRTMLELLNQLDGFSSDDRIKVIAATNRADILDPALMRSGRLDRKIEFPHPTEEARARILQIHSRKMNVHPDVNFEELARSTDDFNGAQLKAVCVEAGMLALRRDATEVNHEDFNEGIIQVQAKKKSSLNYYA >OIV96131 pep chromosome:LupAngTanjil_v1.0:LG16:862067:866154:-1 gene:TanjilG_13063 transcript:OIV96131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNFTILNRFSSSFRDYNSHLKLVFICTTVSGGGVLGYNAVVGSSEDAVVAAADKKKVVVLGTGWAATSFMKNLNNPKYDVQVVSPRNYFAFTPLLPSVTCGTVEARSIVEPVRNIFPKKNVEVQFSEAECIKIDAENKKVYCRSISKNNLNGQEEFAVDYDILIISVGANVNTFNTPGVVENCHFLKEVEDAEKIRRTVIDCFERASLPDISEEERKRILHFAIVGGGPTGVEFAAALHDFVNGDLVKLYPGIKDLVQITLLEAGNHILSMFDKRITAFAEDKFQREGIDVKTGSMVVKVTNKEICTKEVTNGGQISSIPYGMAVWSTGIGTRPFIKDFMSQIGQGNRRALLTDEWLRVEGFNDVYALGDCATVNQRKVVEDIAAIFKKADKNNSGTLTVKEFQEVMGDIYERYPQVELYLKNKQMSNIADLLKEDNVDVKKQLNIEELKKVLSKVDSQMKFLPATAQVASQQGIYLAKCFNRMEDCEENPEGPLRFRGEGRHRFKPFRYKHLGQFAPLGGEKTAAQLPGDWVSIGHSSQWLWYSVYASKQVSWRTRALVVTDWTRRFVFGRDSSRI >OIV95668 pep chromosome:LupAngTanjil_v1.0:LG16:6572217:6572939:-1 gene:TanjilG_01462 transcript:OIV95668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLGKNSSSGCFHKQARRATEAPSTNRYSGLSDIDSNNMDDRDKTRCHRCCSTFCVWSCLSLFVIILIFLFIAISYLIFLQLGLPQFNVRSLNITKLEVDNNSEQLHAIISLGIRVSNKNEKMEILYGSLFVDITSEEVPLGNAIVGGFSQKAQNDTNLDMEMTISNAKVDRDAVNSLKSDIDSKEMVFDVYVGGHIGFKVVGMHMTNVPFLSSCHEIKQMDVDFGRRPACDVKMFSFR >OIV96167 pep chromosome:LupAngTanjil_v1.0:LG16:1152349:1155900:1 gene:TanjilG_13099 transcript:OIV96167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTNLSLTPGVHEEEKLLFEIGNSEKQLWALIHSKGLLHSDAQDLYRKVRSSYERIILNGHTHSELQDAEYSLWKLHYKHIDEFRKIIQKSSGNVESRKSGTPQDGVVLRNNDNHLKLFKSFLSQAIEFYQKLIVKLREHYGVSGEALFHKKGCICSSVEADVMLRCQYLCHRCLVCMGDLARYKQQCENPDVQNRSWSVAATHYLEATRIWPDSGNPQNQLAVLATYIGDEFLALYHCVRSLAVKEPFPDAWNNLIMLFEKNRLSQLQCAAREVCFDFLKPSGRIIEVTEAQPKHVSSNGNKFEGKSNHSTDTKLWSLIVRSISFFFITSSLEEFPIVLASTIEELDKMLELDDIKLKTMLESYTQMDIARKGPFRALQVVSIIIFALKDLTDKLEKNKPEDKNDKLQLSLSAAFTFMGRFVERCLKSSSLNYCPLLPSVLIFVEWCSSMLDAIEVCATDQKSRRAISYFFDVFVELLNQLNNNRKGTEKILDNTPLWEDYELRGFIPVACSQLSLDFCGNWKHVDNFESGSELRTERIREAAIKIAGRSSNLTKWIITCDDVSGKKFYAASSEENHEKNETERLELDENSTKREESNPKNDKDTRKHGKGLTEDNPSSSSTNGKSNVVEEEEEEEVILFRPLTRYNSEPSHPSIWSDDRMPPKDKDDQSLPSDDCLRRATSLLMAQNPSQSDPWEFHGDIINFRSDKSFKKQEHSTKESNAHTFPEAPISAGPPSLNAWVLDKGSFSHKRNNGTNGHIEHKLEPINEIPSSLEGLSINETEYSVITSVNAGSSNFHYSPSTCSIPIPSAPLLPDNATWYRDVQSSFPAPLLPHNTSQVSAYSDWSSTYAPQGHDPRFQPFSNGRMTSSEWLHWYRENYKTEWTNNYMQPSSTHSNAHANHENLLYHDSYKFNPLSYNTYMEPPPLLQPGIFPSTFGSGEQKPSHFNNFERGP >OIV95257 pep chromosome:LupAngTanjil_v1.0:LG16:17512520:17514700:1 gene:TanjilG_26954 transcript:OIV95257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLVDWFYGVLASLGLWQKEAKILFLGLDNAGKTTLLHMLKDERLVQHQPTQYPTSEELSIGKIKFKAFDLGGHQIARRVWKDYYAQVDAVVYLVDSFDKERFAESKKELDALLSDESLANVPFLILGNKIDIPYAASEEELRYHLGLTNFTTGKGKVNLSDSNVRPMEVYMCSIVRKMGYGDGFKWLSQYIK >OIV95832 pep chromosome:LupAngTanjil_v1.0:LG16:3830857:3834073:-1 gene:TanjilG_06808 transcript:OIV95832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLNKSSNPNSRSSELSDPMRRSFTGNPFSKPSSLVANSRTFVPTTPVNTPTDSQRRKSVGGREVAGSFFHLDDKENGKDQFLKASKVRSPAAASSKSAKNFMSPTISAASKVTMSPKKKVLVERNEPVPTSGPFTTEAKSPVIRKVTFAEPLHFCDLKLENGVEEKEFVTSSIDVVHNEEIHFAELSSDAYVFDTNSPFTSKNDIERSSDIIDEPDCVILDPSFKLSPTSTPPPHPVSSTISTLAPLDADPLMPPYDPKTNYLSPRPQFLHYRPKARMGIELEESLMSGSFSDTEVTEDTQSEEGSQKSEDFSSDEVIEEEAVTSQPSPTRISLAKETVEAREVPKPRSFTKSKAIIALLLFFSALLALMISVSVTNTSVVDHAVFQDFYKVHELSDLSASAKANFDRFSEFAKVKFDVSARNFHTWYTKSLSSISELIYNVRGMHNLGQVQYYNLTVLQESNVIYQEPIFGLDKDIVEIEFPEVDNEESDTALESENYEDLGVMTAIASVPKPEEALESGQPATVIEPDHPLQVAEASEPNHSSEVDQPSLDAKSADIDSQVSNIGNLATQMVQECDAKVNVDKYSNIVLNDVELVEAVGVNVNKYGDVGLIDQPDLDSDVAEIIHTETIIGNNDVELTEAEDVSASIDAAIEDNEQMLEATDLSSHLVLYLLLCGGTILIAGAAFRWSRTNITSSMEQPTFAKAAAFCANSLATPVEDQSYLDKPSHRNGPTEIDLHEESCPSEMSSIQKSLSKRKVAKELNEVNSLDNKPKKRRESLASSSDYSISPSYGSFTTYDRIKIKNGRGEEEAITPVRRSSRVRSKATSPL >OIV95731 pep chromosome:LupAngTanjil_v1.0:LG16:5528888:5532147:1 gene:TanjilG_05279 transcript:OIV95731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTNHGGDDNLDAIFKHKRMLRTQVKKVLKAIDPSLRSQQDNDIQNIILGAPWFKSSIRLCAYISCSALREVDTSKLLSEILKDPITGGKKLYVPRVEDNNSHMRMLNISRIDDLVANSMDILEPAPVDSDGNAREDVMQTNDPVDLFLLPGLAFDRSGRRIGRGGGYYDTFLENYQELAKTRNWKQPLLVALSYSEQILEEGVIPITSSDVPIDALVSPEGVIPISAAAFNRYQ >OIV95719 pep chromosome:LupAngTanjil_v1.0:LG16:7542729:7544793:1 gene:TanjilG_01513 transcript:OIV95719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVALVSQDNVVSLVSRTGRELQRYRKGRRQVVGCIPYRYKIGEKTSMDSDEIEVLVISSQNQKGKGMLFPKGGWELDESKKEAALRETIEEAGVRGIVGGKLGKWSFKSKTHDTFYEGYMFPLLVQEQLEFWPEHNVRQRTWMSVSEAREACQRWWMKEALDRLVNRLSGSKLGGEKQVLPSLNCIGDARSAL >OIV95339 pep chromosome:LupAngTanjil_v1.0:LG16:16562894:16566175:1 gene:TanjilG_07495 transcript:OIV95339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNISIIRTKQSLVKPFKNTPCTTLDLSAIDKLPALRCNAKTLHVFKHGPEATRVIREALSKALVPYYPLAGRIIESKPEGLLQIECSGDGAWFVEACVDDCNLESVDFFDDVESIPYDDLLPPDDVHEIEGVDPLVKMQVTQFGCGGFVIGLIFCHSICDGLGAAQFLNAIGELARGFDKPTIEPVWHRHFFPSPQILQQQQQPQLQPQIMPQYKLQHANVDIPIIQINKLKQEFQLVTGHNCSSFEIVAAGTWSSRTKAINFDPNTQVKLVFFANCRQLLEPPLPNGFYGNCFFPITITSSSESLKEASIFDIVKLIQATKAKLPIEFDKYLKGEHLKSGEDPFAPPLTYTTLFISEWGRLGFNQVDYKWGPPIHVVPIQGSSVIPAGIVGSLPLPNKGIRLMTWCVEKEHHGPFIDHMHGAMTLDNID >OIV95132 pep chromosome:LupAngTanjil_v1.0:LG16:18016996:18019341:1 gene:TanjilG_21522 transcript:OIV95132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLTSLSYPQQQKHVPYELRVRIRCCSKVEVSKKQVLEQVDKELTKGDDRAALALVKDFQGKPGGLKCFGAATQVPQRLYTLDELKLNGIEALSLLSPLDTTLGSIERNLQIATIVGGLTAWYTFGISTQQIFYISVGLLFLWTLDAVAFSGGIGNLVLDTIGHSFSPKYHQRVIQHEAGHFLVAYLLGILPKSYTISSLDALKKEGSLNVQAGTAFVDFEFLEEVNAGKVSATTLNRFSCIALAGVSTEYLIYGFAEGGLNDIRQLDLLLKGLGFTQKKADSQVRWSVLNTILLLRRHEAARAKLAEAISMGNSVGSCIEIIENSIDVSDL >OIV96138 pep chromosome:LupAngTanjil_v1.0:LG16:919418:928964:-1 gene:TanjilG_13070 transcript:OIV96138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRGYKIQEFVAHSGTVNCLNIGKKECRRFITGGDDHKVNLWTIGKPTSLMSLSGHNSPVQSLAFDSAEVLVLGGASSGVIKLWDLEEAKLVRTVAGQRSSCTAIEFHPFGEFFASGYTDANLKIWDIRKKGCIHTYKGHSQGINTIKFTPDGRWVVSGGLDNVVKVWDLTAGKLLHDFKFHEGHITSIDFHPLEFLLATGSADRTVKFWDLETFEMIGSARREVTGVRSIAFHPDGRTLFTGHEDGLKVHSWEPVICHDTIDMGWTTLGDLCIHDGKLLGCSYFRNSVGVWVADISLIEPYADGFDPKKQSEGTEQKLGLHGSELNKVEVDVGPTSGYRIMSSDESKEIKNIYIDCSGGKPVALQKSPSFNSTKADLPEESVEVSKSGALKQSPATGVHVKCNEQVQRKSSIVPSIASRNIPDGNVSENSGKETITFSKTRPGMLLKPAHAKRASVHRFDVDKYSEDVNSGTFCDTARKLSNTKDSEFQVNLGSQNEVTESCEDKYPTKTVTDKSDETISPQRLSDQAKCNESSFCNEETNPVKYVNGVAVVRGRTRSLVERFERREIIQIDEDQTISPLPAINEEREKIHNEDQVNASSIGVFERREKIPRDEDQNNMPSIPNTVSETSTMVFERRERIPRDEDRNKIPRERTPPNEDCNNMPSITRTISETSTMAFERRAKIPVNEDRNNMPRERISRNEDQNNMPSIANTVSETSSMVFRRRERIPRNEDQNNMPSIANTVSETSSMVFRRRERIPRNEDRNNIPVTISESDKSPSKLKVEPQIPRGDLDSANEGQIIEALMQTHDVTLSTLRSRVTKLQVVRHFWERGDIKGAINALRKLPDHSVQADVISVLVEKMETLTLDLFSCLLPVLTGLLGSKTERHVKVSLDMLLKLVAVFGPTVRETVSALPSVGVDLHQEQRRGGLLAKSALELNLVLQQS >OIV95372 pep chromosome:LupAngTanjil_v1.0:LG16:16139317:16144046:1 gene:TanjilG_14526 transcript:OIV95372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKQVMVVGIDDSDFSLYALEWTLDHLVGPTTDDSIFKLVLVYAKPSVLSAAGLLAGPGVADVLPIVDSDLKRTTTKIVEYAKELCIKKSVKDPIVEVVDGDPRNVLCDAVEKHHASILVVGSHGYGPIKRAVLGSVSDYCAHNAHCSVMIVKKPKTKQ >OIV95570 pep chromosome:LupAngTanjil_v1.0:LG16:9762154:9769148:1 gene:TanjilG_30537 transcript:OIV95570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGSSKSPPKSSSSGGRPPQAVKFTRRTSSGRVVSLSRDDDIDMSGEFAGPNDYINYTVMMPLTPDNQPGISDSKQDNAAGSSYSKTKFVSESQQGGGGDGDGGGGGAKMERRMSILNSTNNKSMLLRSQTQDFDHNRWLFETKGTYGIGNAFWQDDSALGEDMSMSDFMDKPWKPLTRKIKIPGAILSPYRVLVVIRIVILSFFLAWRIRNPNYEAMWLWGISIVCEIWFAFSWLLDCLPKLNPINRSTDLSALHDKFDQPSESNPTGRSDLPGMDVFVSTADPEKEPPLVTANTILSILGADYPIEKLSCYISDDGGAILNFEAMAEAVKFAEVWVPFCRKHNIEPRNPDAYFNIKKDPTKNKKRPDFVKDRRWIKREYDEFKVRVNGLPDVIQKRSKMYNTREEKKEKQMIKEKNGGVIPPDQKIEVTKATWMADGTHWPGTWLNPTADHSKGDHAGILQIMSKVPEHDPVMGYADENKLDFTGIDIRIPMFAYVSREKRPGYDHNKKAGAMNAMVRASAILSNGPFILNLDCDHYIYNSLAMKEGMCFMMDRGGDRICYIQFPQRFEGIDPSDRYANHNTVFFDGNMRALDGLQGPMYVGTGCMFRRYALYGFEPPRFIEHTGVFGRVKTKVNRNALQARSNVDDEMQPLTSDSEVGFPQKFGGSTMFTDSIAVAEFQARPLADHKSIKNGRPPGALLMPRSPLDAPTVAEAIAVISCWYEDKTEWGDRIGWIYGSVTEDVVTGYRMHNRGWRSVYCITKRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSKNNAFFASRRLKFLQRISYLNVGIYPFTSIFLVVYCFLPALSLFSGQFIVQGLNIAFLTYLLLITICLTLISLLEVKWSGIALEEWWRNEQFWVIGGSSAHLVAVIQGLLKVIAGIEISFKLTSKSAGEDVDDVYADLYLVKWTSLFIMPLTIIIINIVAVIMGCLRTVYSVIPQWNKLMGSLFFSFWVLSHMYPFAKGLMGRRGRVPTIIYVWAGLLSITIALLWISIDPPTDQVGSASGGNIEI >OIV95260 pep chromosome:LupAngTanjil_v1.0:LG16:17524654:17528321:-1 gene:TanjilG_26957 transcript:OIV95260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMEKKRSKGSFFSLFDWNSKSRKKLIWNNPTLPEGSKQGKENVENMPKTQISRIKVDENGASPSNTGCSDFSCALSISSDEEYGSKAPGLVARLMGLDSLPTSAVTELSSTSLYGSNSHGASRCDGDALYSENDFLPVEYINVPLKVEKSSRDAMESGAHKVGNWQMKRFQTEMLPPKSAKTIPVTHNKLLSPIKNPGFVSPRNAAHIMEASCKIIEGSPRPYTRNKMSSVGPSSAPLRTRDLKERLEALLNASVPGKSVGPSTANLSNGKPSDSSSNLYKRAPAFKGSRVSEKTSSCNLASKGKSVSQAIQAQTNTQSGGTLASNGNKNYRKQKERTQIKSNHFSRNQKPSTEQVMQQKTGTSRNGNVLRKNNQKQNSLTNKGKSTSKIDSNKPTTQTSSSESSTGTRRTVNKGAINGSIQCKRSNSRATVNQKEFPSSDSISQKKRDISTSIHEARGPDKAVNNLESKSIKCNITTDGSIDQDDAFNMKESEDVISFTFTSPLRRSMPGSLSSAEQVVGSRNIIGVDFLGRSDNLYPKKLSLSPPRLPMIDGDTLSDLLEKKLQELTSRSSLPQCPLAIEESSADLRSTVQDKVPILVNTTSEEQDRSFHLYLFSDKRDSIHGCHSSNDDPVFYVSQQLQTSEVMEDPSCSSYSENGNDSGCKHPRAVTVSESPSASQSYVDSEDGAYGSTIYSSMQDEEVSNFSEISESVSLENEVSSGRSSSIWPVENMSVKQLSEITNLADFKRSRETGLEYVHDILTNAEFMAEEFVIGQTNTVIMPNVFDRLVNASNGTEDCEEYSMLEMKIVFDFVSECIELKCKQAFVGSCKQWFGLMRSIKRKNWLAQEFYKQMLGFRNTEEDVMIDELVSNDMSTGRGRWLEFDVEAFEEGIEIEEDILDILMDELVYDLLHV >OIV95489 pep chromosome:LupAngTanjil_v1.0:LG16:13947869:13949827:1 gene:TanjilG_26752 transcript:OIV95489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSASKSKSKDKKAGKETHKPSAKPSGSGNAVPGIPASAYNPLLGTFHTLEMSPISSTSPVHSNGRFRNIDETDEHPVGSLVAGLEDDSVSNNGSWSGESEDHKEKASNPPVRLEAVPGADTDKREKIRQKNERKHQRQKERRAQELHDRCNGYLMSRKLESLAQQLLAMGFSHDRATVALILNEGRVEESVAWLFEGGEESDNQKDKNIGVANLKIEISEELARIADLETRYSCSKQEVERAIVHCEGDLDKAAETLRDLKLDLSSALPKAQEIAGPPTINNKQSGVVTQSQRLQTKPILSPNQPKKDDKGFNYTKVSVMNGGSSDSSNKNLQPLKRTQSKPEWVKPQQAAIPADKRWPSAGSNPSVSYSMTSPLQVSPKPAKTEASYMASERDFKNLQHGTAKEPVIVMQQPQSINAKQVPVLGISSSSPGVAASWYPTNSLEAVRANGFMSHTPSTRNLGSNYVSSNHQMYNQLQYQPQQQFVTGNSNSVDLQPTNRGNSMWNRTTASPTLAAASSLGLFSGLGSAGTSGATSPVDWSTGGTMQFDYTNIDWSLDRGLSPPRSNAYLLGFSPFTKSNNAQYVSNASGVVSQQPSIRSLPSNSSMVPGLQDGGVSSVETAAAGGSREWSSPFEGKDLFSLPRQFVSSPSL >OIV96144 pep chromosome:LupAngTanjil_v1.0:LG16:979875:981668:1 gene:TanjilG_13076 transcript:OIV96144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLYFPPPFSGTSLSTSSLCPFLITSPKSKTTKVAKPSQYYVSRVSCKAIKEGDNTQEPINLGMFDRRNMLIGLGAGLYGTAATLNKDPLSLAAPISPPDLATCGPADLPSGADPINCCPPFSSQIIDFKFPLNTKVRVRPAAHLVDETYIQNYKEAIRRMKALPSDDPRNFYQQANVHCAYCDGAYHQVGFPDLDLQVHDSWLFFPFHRWYLYFYERILASLVKDLDPNFSIPFWNWDTPDGMPIPSFFADSNSPLYDSLRNPTHQPPTLVDLDFDGNENNDTPGDQASANLKIMYRQVVSSSKTPSLFFGATYRAGDQSDPGGGTVENIPHGPVHTWTGGTSGAGEDMGTLYSAARDPIFYSHHGNVDRLWSIWKSLGGKRKDITDSDWLESGFLFYDENKNLVRVKVKDSLDTTKLGYVYQNVNVPWLDAKPTPRSGRFVSAIKNKLGVGAAQAAESSKETKFPLVLDSSVSTIVKRHKKSRSKKQKEEEEEVLVIEGIEFERDLGVKFDVYINDEDDVPSGPTKTEFAGSFVNLPHKHKHRQSKMKTHLRLGISELLEDLGAEDDEHVVVTLVPKLGQGQVTIGGIKIEFHK >OIV95487 pep chromosome:LupAngTanjil_v1.0:LG16:13897005:13898274:1 gene:TanjilG_26750 transcript:OIV95487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLGGPREIAGNQNSLEIDGLARFAVDEHNKKQNALLEFGRVISAQQKVVSGTLYHITLEAKDGDKKKVYEAKVWEKPWLNFKEVQEFNHVSDAPSEASA >OIV95077 pep chromosome:LupAngTanjil_v1.0:LG16:19912964:19914174:1 gene:TanjilG_10897 transcript:OIV95077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGTSPCASCKLLRRRCTKECIFAPYFPSDDPQKFAIVHKVFGASNISKMLQELPVHQRADAVGSLVYEANARVRDPVYGCVGAISFLQSQVSQLQMQLAVAQAEILCIQMQQEPTTLPTQFDQDDKSLLLSYNNSSNYEMLPQYLTSFASSSSSSSNVFQESNPLKRESFWT >OIV95964 pep chromosome:LupAngTanjil_v1.0:LG16:1956866:1960552:1 gene:TanjilG_27068 transcript:OIV95964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQSLRFLSLTRRAFSSSTSALKFNGGVSMVQGASRGIGLQFVKQLLENNDRGHVIATCRNPNSSTGLIHLKDKFADRLKILPLDLTDETSIEASALSIKDAYGHLNLLINASGILSIPEVLQPAYYVSYICNTETTLNKLEKSSLMLAYEVNAVGPILVIKHMWPLLKIGGGFGTERSTAVVASLSARVGSIGDNHLGGWHSYRASKTALNQLSKTASVEFARKKDPIVCILLHPGTVDTDLSKPFQKNVPKDKLFTKEFSVQKMLSIIDNVKVHDNGKFFAWDGQEIPW >OIV95495 pep chromosome:LupAngTanjil_v1.0:LG16:13810374:13810952:1 gene:TanjilG_23226 transcript:OIV95495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARVFSTLMFLSIICIAVKANYTPSPPSNFIKSSCSITTYPTLCVQSLSLYATTIQKDPHQLVQTALSVSFNKTQSTKSFVTKCLKFKGLKAREYAALHDCVEEISDSVDRLSRSIKELKLCKVKGEDFRWHISNVETWVSSALTDESTCGDGFSGNALNGKIKGSIRARMVNVAQVTSNALSLINHYAEKH >OIV95851 pep chromosome:LupAngTanjil_v1.0:LG16:3958357:3958804:-1 gene:TanjilG_06827 transcript:OIV95851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVALELEDDLFFANISKEIALLIMDEDEEDPLASCPKHSFQAFSREIHPPAQFAFIYEQNLRRESKGTGVFIPQATQPRREQRKVRSSSYAKNQKQSQDTKMVSHQVPNKNSFKPRN >OIV95667 pep chromosome:LupAngTanjil_v1.0:LG16:6550443:6552778:-1 gene:TanjilG_01461 transcript:OIV95667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPSDSPYTGGVFLVSIHFPPDYPFKPPKVAFKTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRTKYEATARSWTQKYAMG >OIV95340 pep chromosome:LupAngTanjil_v1.0:LG16:16558214:16558540:1 gene:TanjilG_07496 transcript:OIV95340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHEIWNLEWHKTSVSLPPTFYFKMALVIPQSAVVILTLKVERALVENYPSEYVTVVDITPRPVLALPSIPMLNECDRKEQYSTTEGKSSGGEPPLPVSVGKNLSLDGT >OIV95504 pep chromosome:LupAngTanjil_v1.0:LG16:12899825:12901672:1 gene:TanjilG_25175 transcript:OIV95504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTASNGLHYRISALLEFSAANDVKGLKNAIEEEGHDVAEVGLWYGRRVGSKDFGYEERTSLMVAAMFGSKDVLSYILGTGGVDVNRTCGSDGATALHCAVAGGSANSPEIIKFLLDASADVNAVDANGNRPSDLIGFVFNSIFNPRRRILEAILEGKDGGFDEACLTFDKADGEMVRLKQHYIDVHRVPREGTEKKVYSVDVSLPDIKDGIYSTDEFRMYTFKVKPCSRAYSHDWTECPFVHPGENARRRDPLKYHYSCVPCPEFKKGLCSKGDACEYAHGIFECWLHPAQYRTRLCKDEGGCTRRVCFFAHKLEELRPLYASTGSAMPSPKTYSAAASSLEIGSLSPFIPSSPPASVTPLSPSGAASPLGRTAMWQTTQFHVAVPTLQLAGSRLKTASNARDVGLGIEVPGLENRRLMQNMLFSDEMAELSSPSKWKNTKRNSPSFPDSFDADAGELNRNQQLRGYSSNVIGSPLALTSRNAAFSKRSQSFIERSVVSHNSEPSIFSGWGSPDGKLDWGIRGEELNKLRKSASFGFRGNSSPLIMAATTSPPNVDEPDVSWVHSLVKDAPPMETGQYGIEEELHSPQRYLNNGTDVVPAWLEQLYMEQEQMVA >OIV95266 pep chromosome:LupAngTanjil_v1.0:LG16:17406143:17409189:1 gene:TanjilG_07422 transcript:OIV95266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKDLWIGNYKVQVSKLRFGREEVKDVSRGTNADQSGYEMRVSKNVTMEGRWDVTNGRHLSWKETLIKGKVVDSPNQRDGWRGPKFKISVEKFAEMNDYFVGELKYYEEVRNIRSILPGEVIDHKEVIVLDSDPGLKAREVIIREPQDEGSASSGRNEDSQKSFKLPVFEKWGLSKKEELENWVTQMWWHLQLEGMEERGRGILRGIDVCQSMTLGFS >OIV95913 pep chromosome:LupAngTanjil_v1.0:LG16:1495252:1497742:-1 gene:TanjilG_27017 transcript:OIV95913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDSWISARLSNSSRRYHSRSDLYLGGHEDLDGGDDLRAEFLCPFCAEDYDVVGLCFHIDEDHPLEAKNGVCPVCGKKVGMDLVSHITMQHGNFLKVQRKRRVRKGGSSSTFSILRRELREGSLQSLLGGSSYTASPNSEPDPLLSSFIFNPTAVDESSSAQPCPSVEATLAKESSKDNFLERKTEQLSDKDQEEKARRLEFVQGLLLSTILDDDL >OIV95048 pep chromosome:LupAngTanjil_v1.0:LG16:20501603:20506829:-1 gene:TanjilG_10868 transcript:OIV95048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEHEEILLNGLLPDEAATASVMRVLDMERWLKAEERTAELIACIQPNQPSEERRNAVADYVQRLIARCFPCQVFTFGSVPLKTYLPDGDIDLTAFSKNQNIKDAWAHQVCDMLKKEEKDENAEFRVREVQYIQAEVKIIKCLVENIVVDISFNQLGGLCTLCFLEEVDILINQNHLFKRSIMLIKAWCYYESRLLGAHHGLISTYALETLVLYIFHVFNNSFAGPLEVLYRFLEFFSKFDWDNFCVSLWGPVPINSLPDVTADPPRKDGGDLLLSKLFLDACSSAYAVFPGGHENHGQPFVSKYFNVIDPLRVNNNLGRSVGKGNFLRIRSAFALGAKQLARLLDCPKEEMFSEINQFFMNTWKRHGNGERPDAPNNDLWHLRLSSGDQLPGSENSRNNSQKINNTSICKIQAEGTHSLQSGLSHQNNARPSDQVVRESTRNQGGNVDKVRRNVKLDNPFGDVPGRFLFARTHSSPELTCLYGEVSSHGRWTRAPESGKNSNSSAKLESNHTNVDSDIAASNGFRVDDSSARPNLSHQVIDISTNSNNGSSSYHDESVQGIIGEKFACIAGAAGTHMMNQEEQDLLNMMTYVTPQGFTGHPNIPVNFALGHHLQLPFSPSVLASMGYAQRNIGNLHLVEAPWGNNMQLPDGLVPSPLSPYFPGIGLTSNAEDLIETGNVNFSPVEPSPAEADNDIWHEQNRPSSSGVEIDDENFQVLPHDKQESTSGVHNFAPPSHVGSSSSSSRHQQTFAKDNQGSIREERIDTSQYQDGRGSDVYFDDRVANSRLTSTLPSSCHGCKTSSESSSEVSSAKSSKPTREKGGRTNTPPVPSYGKGKNVFEISSNSVDDENRKGTYPKTPTLDVPERSTGLLMHVPRQQVSSSEAAQTSGSDSVLPIAPMLIGHGSRQRAIDNNSGVVPFAFCPAGPPVPFFTMLPLYNLPTKASSATSPSNFNVEEVVDNGDSRQNFDVFEIYDHHPEVLLSPSESMRRASVDSSEPNPDILNSDIISHWQNLQFGRFCQNSRHPQPVIHPSHVMVPPVYLPGRFPWDGPGRPVLVNMNIFTQLMSHGPRLVHVAPLHPVSNRPDNISQHLADEMPRYRSGTGTYLPNAKASVRDLHSTSSRRGNYNYDRSDNHGDREGNSVTNSKSRTTGRGHNRSQIDKSSSRPERLATSESHTERPWGSHTNDSIISHQNQNSPVHLNSMQDRPANVAYGMYSLPGMNYGGLSSDGPTIPSVVMLYPYDDHNADYSSPTGQLEFGSPGSPMGFSGVHELNEGTRSVRALKEQRFHRGGSSERPSPDQPSSPHVPR >OIV95505 pep chromosome:LupAngTanjil_v1.0:LG16:12907349:12908964:-1 gene:TanjilG_25176 transcript:OIV95505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHSTSSHSSQSSSSSSSSTTNLDPTMCNSNSKSNGTQSGCFNAILRRILCSGGLQTHPSDQIREFQCSKSVVCGKDQSFTAKQNAAVAAAVVATITPPGIVARLMGLETMVESKPGSLSRSKSMNSVDYLGECNRMESFHKRVKSTVSFREMPTFLLLENENFLVLSFEKEGENQEFKSNGRKAKMGSADLKLKKAGKERSELKENKREKVYGENILIEKEKLGKRVSGMHCGDVGNDGKFQEITNIWPHLNASSENKYFASEAEKLCKIINHKEVMVSERLKRRRRSRRMKKNSFTEKMREIECKSEDSSPVSVLQFEHKACETEVDSLVFGLSPRRKLTPSVENDQHFPSSADDNVIIEEAKVKELENRKFDGSKKKEKHSNIDIWGEVCRVAEDEFAETNQIQAWMNKQGELGSVSADCELQIFDDLLNELIDQLVEYPI >OIV95032 pep chromosome:LupAngTanjil_v1.0:LG16:20697592:20700340:1 gene:TanjilG_10852 transcript:OIV95032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERLLLLLVVLVSSIVLSVSAIDVEFGHCNCDDEGIWSIQSILVCQKVSDFFIAIAYFSIPIELLYFVSYSNVPFKLVFLQFIAFIVLCGLTHLLNAYTYYGPHSFQLLLSLTVAKFLTALVSCATAITFPTLIPLLLKFKVREFFLRQNVLELGQEVGMMMKQKEASWHVRMLTREIRKSLDKHTILYTTLVELSKALDLHNCAVWMPDEDRREMHLTHELKPSLGNKRCASIPINDREVLEVRKSKGVWILRPDSALGAASCGGGTEESGDVAAIRMPILHVSNFKGGTPEFVETSYAILVLVLPKSKLRVWTSHEMEIVEVVADQVAVALSHASVLEESQLMRQKLAEQNRVLQHAQKNALMASQARSSFQRVMSQGMRRPMHSILGLLSMFKEDNIKSEQKIIIDTMLKVSTALSSLINDVMEISENDKGSFRLEMKPFHLHSMMREASCIAKCLSGYKGFDLQIDVLKSLPDLVLGDEARSFQVILHMIGYLLNIYDRGTLIFRVFLESGSSGDNKDDRNIGIWRSGMQNDYVYIKFNFEITGNSSQLDESISTRHHGGRGHNNNESKEGLSFSMCKTLVQMMQGNIWISTNSLGLAQGMTLLLKFQTGPSLRRSIPAPKEFSNMQFRSFKVVLADDDGVNRTVTKKLLEKLGCQVTAVSSGFECLGAISASGNSFKIIVLDLHMPEMDGFEVARRIRKFHSRNWPLIIALTASAEKHVKEKCLQVGMQGLIRKPILLHELADELRTVLQRAGENFGEQF >OIV95717 pep chromosome:LupAngTanjil_v1.0:LG16:7436829:7437530:-1 gene:TanjilG_01511 transcript:OIV95717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKKPKPQDTNTDELDLVKSAAWAWYQHGSGSEAKAIKEFDVRRTQHASRTSRYKLESMRMAKESKEEGPSIRPKKPLLDAYEIQSISRQLNKLIESDQNKVGNGKNSTNGGLDNSGRNMKKKKRIGKGFWPTHAKHVSVVNLAKCLNSDGAF >OIV95844 pep chromosome:LupAngTanjil_v1.0:LG16:3910110:3911300:1 gene:TanjilG_06820 transcript:OIV95844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLTRLRHIFQNRHFSTTILSPNSATPLTTKQKTRTAISLIKTEKNPERILEICRAAALTPDSQLDRVAFSLAVSKLTAANHFDGIRRFLDELKTRPDLRNERFLSHAIVLYGRATMLDHAIRTFKESEDFNVTRSVKSLNSLLFAAIAAKNYKEVSRIYLEFPKIYSIEPDLDTYNLVIKSFCESGSCGSVYSILDEMDRKSIKPNATTFSTLISGCYKEEKFEEVGKVLKLMEEKYRMYPGLSTYNVRIQGLCKLKRSKEAKALLEGMICRGRKPNSVSYSHLIHGFCKEGNFDDAKSLFSVMKKRGYLPDGDLYFTLVYYLSEGGDFESAFEVCKESMAKGWIPNFTTMKKVVNWLVSVEKFDDAKDVIKQIKGKFAANSDKWDEIEAALPQ >OIV96204 pep chromosome:LupAngTanjil_v1.0:LG16:301922:303625:-1 gene:TanjilG_14881 transcript:OIV96204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKLSFSMILCIFSIWVVNGADHAPAPSVDCSSVIISMSDCLSFVTNGSTVTEPKKTCCSGLEHVVKTFPACLCEVFKTSAKLGVALNLTKAASLPEACKVTAPSVSSCGLSVAPAHAPAPGAIHSPPPSPSTPATPPPSSDHEEHSPPHSGGNSASALFPISAASILLCLLVAVFSGF >OIV95816 pep chromosome:LupAngTanjil_v1.0:LG16:4321018:4325781:1 gene:TanjilG_20266 transcript:OIV95816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVHGKCCGNYPTQSEGDSEHGTHRSQRKHILTLREVELVPVPSHNFRLEYSVLTQRGYYPDSPDKENQDSFCIRTQIQGNPNVHFFGVYDGHGQFGSECSNFVKDRLVETLSHDPALLEDPVKAYTSAFLTTNYELHNSEIDDSMSGTTAITVLVVGDTLYVANVGDSRAVLAVKNGNRIVAEDLSSDQTPFRRDECDRVKLCGASVLSVDQVEGLKDPKIQTWGDEESEGGDPPRLWVPNTMYPGTAFTRSVGDNLAETIGVIAVPEVSTVQLTPNHLFFVVASDGIFEFLSSQTVVDMAVSFSDPRDACAAIAGEAYKLWLEHENRTDDITITIVQIKGLSHSGTFRVGSSEINVSTVTRSKREKGTSEISVSTGSDVCRFVRSRSCDFKSYQHAVSTRSPAIVAPSPAGQRPIELVLSH >OIV96089 pep chromosome:LupAngTanjil_v1.0:LG16:3561429:3566710:1 gene:TanjilG_27193 transcript:OIV96089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSGGRRKKGSASKSNQASVDDSVAPIANGSVDLDSSIFLKRAHELKEVGNVKFQNKDFVGALQHYENALKLTPKTHPDRAVFHSNRAACLMQMKPTDYESVIAECTMALQVQPQFARALIRRARAFEAVGKYEMAMLDVQVLLGVEPNHGDALEIARRLRTALGTRQEAQQDLHSRPSPAALGASAVRGAPIAGLGPSLPARPGPKKGASAATGLLVSPNYKPEKPQVILSSENGHENKSQSPKLSLKPSSGSANTSNPRKGNQKDLLSHSANVQHSEVVIRLRPLKLVYDHDIRLAEMPVNCSFRVLRELVTKRFPSSKSVLIKYKDNDGDLVTITSTDELRLAEGSVDSHLLKEPEADKSDSVGMLRLNIVEVSPEQEPPLLEEEEEKPVESDGIKEVESESQSPNESFSEAPDTEADKIEKDAPKEKPGSATEATECKEVEMDDWLFEFAQLFRSHVGIDPDAHIDLHELGMEFCSEALEETVTGTKKVSFFRRNSLSFSFLPVNKGNKYQMGKSGGRRKKGSASKSNQASVDDSVAPIANGSVDLDSSIFLKRAHELKEVGNVKFQNKDFVGALQHYENALKLTPKTHPDRAVFHSNRAACLMQMKPTDYESVIAECTMALQVQPQFARALIRRARAFEAVGKYEMAMLDVQVLLGVEPNHGDALEIARRLRTALGTRQEAQQDLHSRPSPAALGASAVRGAPIAGLGPSLPARPGPKKGASAATGLLVSPNYKPEKPQVILSSENGHENKSQSPKLSLKPSSGSANTSNPRKGNQKDLLSHSANVQHSEVVIRLRPLKLVYDHDIRLAEMPVNCSFRVLRELVTKRFPSSKSVLIKYKDNDGDLVTITSTDELRLAEGSVDSHLLKEPEADKSDSVGMLRLNIVEVSPEQEPPLLEEEEEKPVESDGIKEVESESQSPNESFSEAPDTEADKIEKDAPKEKPGSATEATECKEVEMDDWLFEFAQLFRSHVGIDPDAHIDLHELGMEFCSEALEETVTGEEAQDLFDKAALKFQEVAALAFFNWGNVYMCAARKRIPLDESAGEEVVAERLQVAYDWVKEKYTLAREKYEQALVIKPDFYEGLLALGQQQFEMAKLHWSFALAKKIDLSSWDPTETIQLFDSAEEKMKAATDMWEKLEEQRAKELQDPNASKKEELLRRRKKQGGSAEGESSAVGQGDISAKEAAEQAAVMRSQIHLFWGNMLFEKSQVECKLGRGDWNKNLDAAIERFKLAGASEADISMVLKNHYSNGDAKEGEVKGPESHNSTALPK >OIV95416 pep chromosome:LupAngTanjil_v1.0:LG16:15861629:15896282:-1 gene:TanjilG_06285 transcript:OIV95416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFTFIITLFLIFDFDHPTSLHIYPNGNKKENGHDHVSIYLVLKDKSSPPIDAIVNFSIYNFLENEYVTTQDLSVRRFNVLRSKWGVSKFIHHESLKDPLKGFLFDDSCVFGVEVFVLNTTIQGECISIFRRSVNVSYSRKFDNFSNADVGGYKSPPFFTGKYKWNIIFYPRGIVERNIAYFSLYLCLDISTLPASSNPKVFVEYTLRANDQYHGQHYQLKRRKAPPSHYSVKIQSFSLFSKNSLGKYESEEFEAADYKWNLIIYPNGNENADGQDHVSIYLVLRDQSSLPAGEDVNAIVNFYVYNFLEDEYITTQDSSVRRFNVLKSDWGVSKFLDHATLNDPSKGYLIDDTCVFGVDVFVLNTKIKGECITMLEVPRVVSHSWKFDKFSGADLISYCSQPFFAGNYKWKIKFYPNGCGESHGTAISLFLNLDLSSLSTSSNHKVLAKFTLRVKDSKNGKLYQRPEGLSHWYAFSNSCCAWGFSHFLPLARFKDPSVGFLGNDSCILEADVEVLGVVAPLRK >OIV95202 pep chromosome:LupAngTanjil_v1.0:LG16:18908165:18910574:1 gene:TanjilG_21592 transcript:OIV95202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELSFFVGVIGNIISILLFLSPVPAFFRIVKHRSTEEFSSIPYICTLLNCSLWTYYGLIKSGEYLVATVNGFGIMVETIYVILFLIYAPKTMRMKTVIVAGFLDVVIMGAAIGFTQLAMKEEDRINAVGIMGAGLNIVMYGSPLAIMHPT >OIV95030 pep chromosome:LupAngTanjil_v1.0:LG16:20702612:20713108:1 gene:TanjilG_10850 transcript:OIV95030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHMNPSASEGVITSLGQCCEPYHICQFILQNSLIPPARFQAAAAIREAAIREWPLLTLQNKTHFITFCLSYVMQHASSPHAFVQAKVSSVAAQLFKRGWLEFMPPHKDTLFYQVNQAILGIHGLDVQFAGINFLEALVSEFAPSTSSVMGLPREFHEQCRRSLELHYLKTFYRWTQEAASSVTNKIIQSDSAVPEVKVCTAALDLMLQILNWDFRCNTSDTKVNLNVFSAGVRQDGDSPKRSECHLVQPGSDWRDVLITSGQVGWLLSLYAALRQKFSCEGYWLDCPIAVSARKLIVQFCSVTGTIFLSDDWKMHERHLLQLLSGILEWVDPPDAVSQAIQRGKSESEMLDGCRALLAIATVTTPYVFNDLLKSLRPFGTLTFLSMLMSEVMKVLMTTITDEETWSWEARDVLLDTWTSLLMPINTITVDALLPPEGIKAAANLFGFIVEYELRIASASAFNDDGDADYLHASVSAMDERLSSYALIARASADATIPMLMRVFSELVERLNQGRGIVDLTETLEELYSLLLIIGHVLADEGEGELPLVPNAIQTQFVVNAAEAEKHPVVLLSSSIIKFAEQCLNPEMRASIFSPRLMESIIWFLARWSRTYLMSSDGIGDKILDSSHHLEHNSKKALLGFFGEHNQGKLVLDIIVRVSLVSLKSYPGEKDLKGLTCHQLLHSLVQQKHICIHLVTLNSWHELATAFCSEQTLFLLDTAHQRSLAQTLVRSASGIRNSEASSQYVRNLMGHIATYIVEMSSKSNFKSIAQQPDILQLVICMLERLRGAASASEPRTQKAIYELGFSVMNPTLVLLEVYKHESAVIYVLLKFVLDWVDGQITHLEAKETAAVVDFCMRLLQMYSSHNIGKISLSLSSSLLNEEKTDKYKDLRALLQLLSSLCSKDMIDFSSDSIETQGTNISQVVYLGLHIVTPLISMELLKYPKLCHDYFSLLSHMLEVYPETFAQLSSEAFAHILGTLDFGLHHQDEDVVTKCLRALQALASYHYKETHNGNIGLGAQAMGLQDSSGNFQEGLLSRFLRSLLQLLLFEDYSSDLTSVAADALLPLILCEQGLYQRLGNELIERQANPTLKSRLANALHTLTSANQLSSSLDRINYQRFRKNLSGFLVEVRGFLRTK >OIV95994 pep chromosome:LupAngTanjil_v1.0:LG16:2190419:2193986:1 gene:TanjilG_27098 transcript:OIV95994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVNNHLGRPASGRRRLKDLLLQKDNHFCADCNATDPKWASANIGVFICLKCCGVHRSLGTHISKVLSVTLDEWSDDEIDAMIEVGGNSSANSIYEAYIPEGCTKPGPDASHQHRAKFIRSKYEHQEFLKPSLRIVSGKSSIGSSSSKSFLDGFRTTSTSSQNMEGMVEFIGMLKVKVIKGINLAIRDIKSSDPYVVLNLGQQTVQTSIIRSNLNPVWNEEHMLSVPEQYGPLKLKVFDHDTFSADDIMGDADIDLQPLITSATAFGDAGMFGNMQIGKWLKSNDNALIEDSTVNIVDGAVKQVMTIKLQNVESGELDLELEWIPLDQ >OIV95367 pep chromosome:LupAngTanjil_v1.0:LG16:16095906:16107617:1 gene:TanjilG_14521 transcript:OIV95367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVETRHSSSSSSSTSSTTSSPTKRSHSPPSHPKPKRSKLCEDDSETSLPSIEIGDPVNKTIPHEPVSFKDVVVDAMSPQSPSVTIEQDPIVLQQILGETLDEIAMDADKRKAVATVAAEAAAAAVAAKAVAAAAAARAVAAAAAAREALGQLKECEAKSTMANPKASWGRLISQCSQNPHLHMCNPLFTVGGRRCNLLLKDPDVSNVLCKLSLVEHVGSFFALLEITGDKGAVQVNGKTYRKNTCLLVTEGDELIFNSSGKHAYIFQQTDIPVSILEAHKAPVKGLCSGDPSAVAGASILASLSNLHKDFSLLKPPTLTSKNMQHNTELSMSSLPSGHKDDTLDNEIKDASNDNEPTGAVSDEKSILASSIAVNENPSLDNMDLDASLGEDAGKKSGGTCELRPLLQMLAGSCSEFDSDGSISKILEERSELRELLKEVELASSNRQIFKENLQQGILNPDDIEVSFESFPYYLSDTTRNALIASTYIHLKCNGYGKYALDLPSIFPRILLSGPTGSEIYQETLCKGLAKHFGVRLLIVDSLSLLSGTPLKEADSAKASSRHDRTCMFTKRNTQVTTVQIKKPASSADVDIISGSALNSKGKLKQEAFTASSKGVSLKAGDRVKFVGNFPSSISSLQNYPSRGPSYGSRGKILLVFKDNKSSKIGVRFDKSIPDGNDLGGLCEDDHVNHLLRVDGFGGDDFDMIAINDIFEVASNQSKSGALLVFIKDIEKAIVGNYDVIKSKLESLPQNVVVIGSHTQLDNPKDKTQLGGLLFTKFGSNQATLLDLAFPDKFSRLRGRSKESPKAMKQLSRLFPNRLTIEPPQDEVLLSDWKQQLERDIEIMKAQSNVASIRSVFKRIGLNCPDLESFIIKDQTLTNESAEKIIGWAISHHFMHLSKDPIKVSKPVISIESIKYGLNILQGIESENKNLSKSIKDVVAENEFEKKLLADVIPPTDIGVTFDDIGALENVKDTLKELVMLPLQRPELFSKGQLTKPCKGILLFGPPGTGKTMLAKAVATEAGANFINISMSSIASKWFGEGEKYVKAIFSVASKIAPSVIFVDEVDSMLGKRENPGEHEAMRKMKNEFMVNWDGLRTKEKDRVLVLAATNRPFDLDEAVIRRLPRRLMVNLPDAPNREKILRVILAKEDLAPDVDLEATANMTDGYTGSDLKNLCVTAAQYPIREILEKEKKEKSLALAENKPLPKLCTSVDIRSLKMEDLIHAHEQVCASVASESSNMTELLQWNDLYGEGGSRKKRALSYFM >OIV96008 pep chromosome:LupAngTanjil_v1.0:LG16:2314436:2315995:-1 gene:TanjilG_27112 transcript:OIV96008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDSNFEVDKLTYEIFSILENKFLFGYGDSQNQKNSVSNFIPKEFHSANDAAGKVRVLCIDGSGATDGILAAKSLVHLELCLRRKSGNPNVHIAEYFDAVAGSGAGGILAALLFTRGKDGLPLFTAEEALKFLIDNRSKIFRPSNSIIRRVLRPVASKAEKLFRKTFGECTLKDTLKPVLIPCYDLITRAPFVFSRADALEMDGYDFKMRDVCAATSSDPSLMGPTEIRSLDGRTKIVAVDGGIAMNNPTAVAITHVLNNKHEFPFCNGVSDMLVLSLGNGESDFNAVKSPSSFVRIAGEGASDMVDQAVSMAFVECKMNNYVRIQSNGIMSKTNKVKSNKTMLDLLAISEEMLAQKSVESVLFKGKKVVENTNLEKLELFGGDLIKEQERRKNSILPIVVFKNASPSPRTSSATTLSTLSSSS >OIV95657 pep chromosome:LupAngTanjil_v1.0:LG16:6379710:6383041:-1 gene:TanjilG_01451 transcript:OIV95657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHLPSEPNPNPNPNEHFPQPPSTSPATAATPTAAISTFTGVASAEDGESHSHHRRSHSEMSFRLPDDMMDLSPSDPFNGGSSTASMEEIGSEEDLFSTYIDVEKIGGATNGSGYAENGSEQVGYGNNSSGSGAGTSGKNDGENSPRPRHKYSSSVDGSTSTSMLGEIIEAKKAMPPDKLAELWTVDPKRAKRILANRQSAARSKERKARYIQELERKVHTLQTEATTLSAQLTLFQRDTTGLSSENTELKIRLQGMEQQAQLRNALNDALMKEIERLKIATGEAMNLPESSIMGMHQMPYAGSNFFPMPPHSDPSGHQNIQLPPFGHAPSSMPTHQLQQSNSQQLSDMLQNDQLGRLQGLDISNKGSSIVKPEGPSVSASESSTTF >OIV96217 pep chromosome:LupAngTanjil_v1.0:LG16:214925:216041:-1 gene:TanjilG_14894 transcript:OIV96217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFQMSEADEFRCFIGGLSWSTSDRKLKDTFEKYGRLVEAKVVVDKFSGRSRGFGFVTFDDKKAMEEAIDAMNGVDLDGRTITVDRAQPQQGSRDDGDRHRERGRDRGRDRDYGGGRGSNGGECFKCGKPGHFARECPSEGERGGRYGERESRYGDRESRYGGRSGGPDRNADRSSGRRHRDADSHGDSGNDRYDRDRAGPYERRGSGGHR >OIV96111 pep chromosome:LupAngTanjil_v1.0:LG16:746956:749115:1 gene:TanjilG_13043 transcript:OIV96111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRPVNPTRRLGDGGSIPFVASIQAKSRNSPLLSIGLVVVGAILLIVYCYSSSGGANSGIKDVSKLEGGASCTAEVLQALPILKKAYGDSLHKVLHVGPDSCSLVSSLLKEEDTDAWGIEPYELDDVSAKCKNLVRKGVVRVADIKFPLPYRPKSFSLVIVSDALDYLSPRYLNKTLPELARVSSDGVVVFSGYPSQQRARGGEVAKFGRPGL >OIV95059 pep chromosome:LupAngTanjil_v1.0:LG16:20409651:20413516:1 gene:TanjilG_10879 transcript:OIV95059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHMGNTQAETGFGDDLYREQWKLCAGPLVDIPHVEERVYYFPQGHIEQLQASTNQELNQQIPHFNLPSKILCRVMHIQLLAEQETDEVYARITLLPESDQNEPTSPDPCPPETQKQTFHSFSKILTASDTSTHGGFSVLRRHATECLPQLDMSQQTPTQELAAKDLHGFEWKFKHIFRGQPRRHLLTTGWSTFVTSKRLVAGDAFVFLRGENGELRVGVRRLARHQSLMPSSVISSQSMHLGVLATASHAVMTRTMFVVYYKPRTSQFIIGLNKYLEAVNNKFSVGMRFKMRFEVEDSPERRFSGTIVGVGDVCEGWSNSQWRSLKVQWDEPATVPRPERVSSWELEPFVTSTVTVTQPVVKSKRSRPVDVQSSEVTLNSPASTFWYQGSSLSHEPTQLGGVGEVQSNENHAAWSLRQKEINGNSINTNSSTSRVRMEGIRPSSLHLNVSSNYFPNPQNNKSVAVTRSSNASSPPASSRSNDGLIHDQNENGKKIEKHADIWVFGVNLSSNSTNVAPQEKELGSIAVIPSGPEESFPIAPCETENGQNLNKEQKQIISGTALNEKQNKKASAPSLRTRTKVQMQGVTVGRAVDLSILNGYDDLVDELEKLFDIKGELLSQKWVITYTDNENDRMQVGDDQWPEFCTMVKRIFIIAKEDVKKIKSKDYATSSEIEETLLSTDFTE >OIV96205 pep chromosome:LupAngTanjil_v1.0:LG16:296777:300168:1 gene:TanjilG_14882 transcript:OIV96205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQRVSDEVVAMAMGELRSVHSSGRHGETLPYVHKVGSPPKQSLFQEIKHSVNETFFSDNPLKEFKDQTIQRKFVLGLRAVFPIFDWARGYNLKAFRSDFISGLTIASLCIPQDIAYAKLANLDPQYGLYTSFVAPLVYAFMGSSRDIAIGPVAVVSLLLGTMLSDEIKDYHSHEYLRLAFTATFFAGITQMALGVLRLGFLIDFLSHAAIVGFMAGAAITIALQQLKGLLGIKNFTKKTDIVSVMDSVWSSVHHGWNWQTIVIGVSFLAFLLITKYIAKRKKKLFWVAAIAPMTCVILSTLFVYITRADKKGVSIVSGGITSTVPLSVSTSYANQSARIGVVAGMVALTEAVAIGRTFAAMKDYSLDGNKEMVALGTMNIIGSLTSCYVATGSFSRSAVNYMAGCKTAVSNIVMALVVLLTLLVITPLFKYTPNAVLASIIIAAVLGLIDIQAVILLWKIDKFDFLACMGAFFGVIFKSVEIGLLIAVAISFAKILLQVTRPRTAVLGKLPGTSVYRNIQQYPNATQVPGMLIIRVDSAIYFSNSNYIKERILRWLTDEDTRRTGSELPEIHYLVVEMSPVTDIDTSGIHSLEELYKSLHKRDVMLVLANPGPIVMEKLHASNLTDLVGEHKIFLSVADAVATFGTKGAES >OIV95738 pep chromosome:LupAngTanjil_v1.0:LG16:5354638:5356721:1 gene:TanjilG_05286 transcript:OIV95738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVEETPKSTITDDQIITNPNNNNNNDDDADHEEGEILDGDESATSKPSSAAAADPHPLENSWTFWFDNPSAKSKQVAWGSSIRNIYTFSTVEEFWSIYNNIHHPSKLAMGADFHCFKHKIEPKWEDPICANGGKWTATFPRGKSDTSWLYTLLAMIGEQFDHGDEICGAVVNVRSRQDKISIWTKNAANEAAQMSIGKQWKEFLDYNDTIGFIFHEDAKKNDRASNKNKYTI >OIV95446 pep chromosome:LupAngTanjil_v1.0:LG16:15025678:15026826:1 gene:TanjilG_06908 transcript:OIV95446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGGRSSVTSMESETETVKRVPVAKPPFTLSQVKKAIPPHCFNRSIPRSFSYVFYDLTIVYILYYIATNYINQLPSPFPLLAWPIYWVIQGCVSTGVWVIAHECGHHAFSDYQWLDDTVGFILHSALLVPYFSWKYSHRRHHSNTGSLEKDEVFVPKKKSGMQWYSKYLSNNPIGRLATITVTLTLGWPLYLAFNVSGRPYARFACHYDPYGPIFSDRERIQIFLSDAGVVAMYYGLFKLIMAKGLTWVLCVYGVPLLIVNGFLVLITYLQHTHLALPHYDSSEWDWFRGALATVDRDYGILNKVFHNITDTHVAHHLFSTMPHYHAMEATKAIKPILGEYYSFDGTPFYKALWRETRDCIYVESDQVDESKGVFWYKNKL >OIV95686 pep chromosome:LupAngTanjil_v1.0:LG16:6843179:6844084:-1 gene:TanjilG_01480 transcript:OIV95686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFSLVSLTFHESNSQSTKSVHVLDLIIRDYTFKSLDKTFKTGTPQSVKLPANLSGIKVDTVRFRCGSLRRYGANLKEFHLGIGVSVHPCIERVMLIRQNMVQNLASIYYTNYDLNGYQLVSPIVGILAYNADEDANSSNPFQLGIIAGENPITIDFTNTSFNKEKGNNPLCASFEGNGRITFTKSSTSSPLSCVAKKHGHFGLVIESLTPSEDDFRKPLSKWKVAVWSTIGAAIGASLIGLLLVAMVVRVKKRTRMVELERRAYEEEALQVSMVGHVRAPTASGTRTISAIEHEYIHHSH >OIV96016 pep chromosome:LupAngTanjil_v1.0:LG16:2387042:2392718:-1 gene:TanjilG_27120 transcript:OIV96016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHMAGLVTGELSHHGAADDNSCASSKDKQDESLGSWYMSRKEIQENSPSRKDGIDWKKETYYRKSYCTFLQDLGIRLKVPQLTIATAIIFCHRFFLQQSHAKNDRRTIATVCMFLAGKVEETPRPLKDVIMISYEIIHKKDTAAAHRIKQKEVYEQQKELILLGERDVLATLGFALNIQHPYKPLVEAIKKFNVSKNALAQVAWNFVNDGLRTSLFLQFKPHHIAAGAIFLAAKFLKVKLPSDGEKVWWQEFDVTPRQLEEVSNQMLELYEQNKIPPSQGSEIEGTAGGTKADAKAPAVNEEQASEQISSHSAAKQSSEENVEVPLRGTENQINDGSAEMGSDITDHKVDLEIGDSQNSEQQLPKKDNTGEAANRSKSVTEQTSAGDQDQNLEHREGLLNYSPKDAIKMIDKDKVKAALKKRRKERGEMTLKKDVMDEDDLIERELEDGIELAIEVEKNKDGKQSWSEPDDADDNKDCAEIGDVTPVNMKGQLLKDVDAGNEEGEMIDNASSLLNNRKRKTGSPLEGQPEVKRRIDSIYRESHG >OIV95968 pep chromosome:LupAngTanjil_v1.0:LG16:1983092:1986663:1 gene:TanjilG_27072 transcript:OIV95968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIEIGWGVIVAIATSLLFIRYILKNVNWLLYESKLGAKQYSLPPGDLGWPLIGNMWSFLKAFKSTNPDSFLDSYVLRYGNTGIYKALMFGKPSVIVTTPEACKKVLTDDEKFTLGWPRSTMELMGEKSFIGISYEEHKRLRRLTSASVNGYEALSIYITFIEQNVISSLDKWSKLGEFEFLTHMRRLTFKIIMHIFLGAETDPIMEALEKEYTALNLGVRAMRINIPGFAFYKSLKARKGLLAIFQKIVDKRRAEKKEKTSNKKHKDMMDSLIDVEDENGRRLGDDDIIDIMLMYLNAGHESSGHITMWATYYLQKHPEYLQKAKEEQEEILRRRPPTQKGLILEEVRKMDFLSKVVDETLRLVTFSLMVFREAKSDVHINGYLIPKGWKVLTWFRAIHLSPEIYPDPREFNPYRWNITHKAGEFLPFGAGTRLCPGNDLAKLEISVFLHHFVLNYKIEPKNPLCPIRYLPHTRPTDNYLATLKKVSSP >OIV95517 pep chromosome:LupAngTanjil_v1.0:LG16:12648066:12649601:1 gene:TanjilG_23960 transcript:OIV95517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVSSTRLGRASSRYGTPAVFSGPVRKWKKRWVHVPSPISSSSALHNNSHPDNTNSCSRLLLRRWTPITAAYGSDDDPHNSSGTSSDEPSRRKFRYTPIAVLEEQKNVVIEKADFEPTTENDQLTARQTNVTHQMHVDLKINEIFEDAKVR >OIV95807 pep chromosome:LupAngTanjil_v1.0:LG16:4418869:4423880:1 gene:TanjilG_20257 transcript:OIV95807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAAQAPPNYEVSEISHEAEPKLSKEVSENGPCGRRPDISLQVPPRPVGFGSTSGVRVLDHSQSFSKGIASSKSFLRALSFKRKGNVVDGERSSLLNSNPPASASNPSPSVSTPVSARTYYEQTKIHKDVVRPKVSRSLSVPVRNVVIVRSVSFSTRSEKEQDSNDDQITPVPVETTADEEIPEEEAVCRICLDVCDERNTFKMECSCKGDLRLVHEECAIKWFSTKGNKKCEVCGQEVENLPVTLLRFSSSVQRQGRPSQGQQNLHPESISAWQDFVVLVLISTICYFFFLEQLLLPDMKTQAIIIAAPFAFTMGLLASIFAVILAIREYIWTYAALEFALVALTVHLFYSMLHMKAIYAILLSSVLGFGIAIGINYAYIQYAAWRLQVSHDDSPV >OIV95305 pep chromosome:LupAngTanjil_v1.0:LG16:16922947:16926023:-1 gene:TanjilG_07461 transcript:OIV95305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLITLIFMLMLFVGFFPPLSSSIGTTHLSGSACLKVSSSEFVGLVREVIDILKQVSSILSKFSSGLGDFRLSHAISDCLDLLDLSSDELTWSESATQDPKGKHNSTGNLSSDLRTWLSAVLANPETCLEGFEGTNSIVKGLVSAGLGQVTSLVKQLLAQVHPTTEDHHFNKDQFPSWIKPRDRHLIQSNGVAVDAVVAIDGSGNFTNVMDAVLAAPDYSMKRYVIYIKKGVYNEYVEIKKKKWNIMIIGDGTDATVISGNRSFIDGWTTFRSATFAVSGRGFIARDITFQNTAGPEKHQAVALRSDSDLSVFYKCGIFGYQDSLYTHTMRQFYRECKISGTVDFIFGDATAVFQNCQILAKKGLPNQKNTITAQGRKDPNEPTGFSIQFSNITADSDLVPFINTTQTYLGRPWKTYSRTIFMQSYLSSVIRPEGWLEWNGNLFLDTLYYAEYMNFGPSAGLFNRVNWTGYHILNDSSLASNFTVAQFIEGNLWLPSTGVTFTAGLS >OIV95300 pep chromosome:LupAngTanjil_v1.0:LG16:16980082:16982219:1 gene:TanjilG_07456 transcript:OIV95300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCRAVIHHAKRPPRGYLLLIRRKESRKPEVQLTMLDPMPTQGSMPASGLNAHSPSTTQFTIFYNGNICVYEGIPAEKVREIMLIAGASAKSAEVKKGTPFTSFIPTDPSSPDGNSNNLPSQQSVCFPAQKNPICRLQEFPIARRQSLQRFLEKRRDRLGSKVPYASSTIKAADENNFCADNNAPNEGYQSTVAAS >OIV96254 pep chromosome:LupAngTanjil_v1.0:LG16:8092:14165:-1 gene:TanjilG_14931 transcript:OIV96254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKEEPLHDSESDSESDSDSDLELSLQIQSLEAELAVNPSHYDSHFQYIKVLRIMGDVDKLTKARESMSQLFPLSPSMWQEWIKDELSLKPDFSAVLNLYERALFDYLSVSLWCDYINFVQEFDSIAGTSKVRDLFESALAAAGLHISEGSQLWESYRLYEQAILLTIDESDTDAKDKQIQHIRTLFHRQLSVPLADMTSTLTAYKIWEAEQGNDLHEVDINPHVASSYQKALDMYNARKHFEQQICSQEDIPDSQRLQHYLNYLKFEQSSGTPARVQVLYERAITDFPISPDLWLDYTRYLDKTLKVGNIVSNVYCRATKNCPWVGELWVRYLLCLERSHASEKDLAKVFEKSLLCTFSTYDEYLDLFLTRVDGLRRRMTSTYEEDLLDYKIIRQTFQRASDYLSPHLKNTEVLLHLHAYWAHLEAKLGKDLTAARGVWENFLKICGSMLEVWKGYITMEVELGHINETRSIYKRCYSKRFSGTGSEDICHSWLRFEREFGKLEDLDHALQKVTPRLEELKLFRIQQESKLAEENENNPKRNARDKRKLGPDITDEQSPAKLLRDAGRNPTKAPDENKHQVQNSSEVTKVEVINQKNNISDNLNEQHSTTRKSRAYSDQHTAFISNIHPTANYEHIRNFFSDVGGVVAIRILHDKFTGKSRGLAYVDFIDDEHLASAVAKNKHRLLGKKLSIARSDPKRGGKETSDPNALKEHVSKETDDTSKEDGKVSSKKRGNDNIQLKGKNTFAVPRNVRPLGFPANKPKAEEEGDEKPKSNDEFRKMFIR >OIV96211 pep chromosome:LupAngTanjil_v1.0:LG16:253158:256832:-1 gene:TanjilG_14888 transcript:OIV96211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFTKNRAHSVSSFLLCFSYLILTCLLTSEKVNGQISFACDVSKNPVLGEYKFCNTSLAVEERVYDLVSSLTLQEKIGYLGDTVAELTRLGIPKYGWWSEALHGVSNVGRGATFFSDVISGATSFPMPILTAASFNATLFEAIGRVVSTEARAMYNVGLAGLTYWSPNVNIFRDPRWGRGQETPGEDPLLASKYATGYVKGLQQTDDVNSTKLKVAACCKHYTAYDLDTWKDVDRLTFNAVVTDQDMYDTFQPPFKSCVVDGNVASVMCSYNQINGKPSCADPALLKAVVREEWRLNGYIVSDCDSVEVLYKNQHYTATPEEAAAKSIIAGLDLDCGSYLGQYTQGAIDNGLVEEVDVETAISNNFAVLMRLGFFDGNPAKQPYGNLGPTDVCTSDNQELAREAARQGIVLLKNDQESLPLNNQTIKSLAVIGPNANATSVMIGNYAGIPCKYTSPLDGLTAIVPTNYSPGCSDVQCANAQIDDAAAMAASADATVIIVGASLDIEAESRDRDNILLPGQQQFLVTEVANASKGPVILVIMSGGGMDVSFAKTNDKIASILWVGYPGEAGGAAIADVIFGAHNPSGRLPITWYPQSYVTKVPMTNMNMRADPTTGYPGRSYRFYTGETVFPFGYGMSFSGVKHKIVKVPQMVSIPLAQDHVCRSSQCKSLELAEEHCQNLAFDIHLEVKNMGEMNSQHTVLLFFSPPDVHNAPRKQLVGIEKVHIAGKSKAQVRFKVDVCKDLSVVDELGNMKVPLGEHLLHIGDLKHALTVKI >OIV95713 pep chromosome:LupAngTanjil_v1.0:LG16:7402676:7403446:-1 gene:TanjilG_01507 transcript:OIV95713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPIFDLEVEATAEYESEFSSHVAFNINETTTGPCSDTFTNSSNVTNPIEIHPLSDAISLELTLNFNSNEIGARDLIGLSFSSTSESSNELASQTTAATIPRLFPCNYCQRKFYSSQALGGHQNAHKRERTSAKRAMRMGLISERYASLASLPLHGSFGSLGIKTHSSLHHGFSDFSPAIRPTEIKSNARVEQGYLSLPIFLEDDEAELLWPGSFHQKSDSHQNFLLTAGSSSLSFSEVHPPLDIEKSAPELTLKL >OIV95079 pep chromosome:LupAngTanjil_v1.0:LG16:19852808:19855920:1 gene:TanjilG_10899 transcript:OIV95079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSFHAHESDSNEMVVLLGPPSSFTSWSTCHRRCTSLAFARGYDRRDLSLSILFGDLRRRGSEAVHGLLTMGRNFFYRADLLIIPEVLIHTQSRYWWVDHGVLGIPSSYKGKARPNVDVLVKPPNDISWYTPGADDKDERLPRRADISANPSDVNSVGFQAISTDEEAANSTRFGSERDKSPFRRGEAAEAEIDQLAGEVTRRLE >OIV95415 pep chromosome:LupAngTanjil_v1.0:LG16:15840900:15859740:-1 gene:TanjilG_06284 transcript:OIV95415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIFVDVASYDLNLDAISLFPSLQHQHPILILVEDNTVQSLTATTNVEFQIDEVCVGEEECYNRVKEFRILFYRFRSRHGRTISQTNSVFNIDDGANNCNGRRRSLTIYPNGNKNAGGQDHVSIYLVLRDQSSLPAGSEVNAIVNLYVYNFLEDEFITTQEMHADSSVRRFNVLKSDWGVSKFIDHATLNDPSKGYLIDDTCVFGVDVFVLNTKIKGECITMIEEPRVVSYSWKFDNFSNADLKCYNSTICISTFVYFFS >OIV96071 pep chromosome:LupAngTanjil_v1.0:LG16:3006681:3008600:1 gene:TanjilG_27175 transcript:OIV96071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVIQTSTVDFAHNRNHSPKRKFDDYGTIFDEDDDYAADLLVRMKKDKPEAVNSSSDAAVMSTAVSARSSDARSSSPRARAALQSHSTRYESRLQFFVRMISKTVVMHAPPEDSVKSIHERIEMMTGIPIVEQRLIYRGKQLRWEQTLDECAIENDANVQLVCRMKSTRHPQGWKLMDEMVSIIWRLCRGEKVHDSLKIIKGLMTSYLSLAQSIVVNEYFASYFEIFLSSDAPMVLVMLYVSSCPGNKDLGDWSIRHFLSSCKTIMDKSFHGQCARLVLELCKVLKSVGRHDPLYILSQSTFASLLETATVSYCSFDDGCVLLKDISPFVRELADTLLRDLDLSMGLHTGVGPLMSDMKDFTAFLIPMRRGIKELHTLKGSSRIKELLILKCSISDNGKLHEDLSHSAEGADSLHLIFIQLLGKMVECLRSMKECLANKELEEAGDFVYSAWSQYLSILKELYQISKLYDGAEELFWTVLFQERRMVSLLIVKCAKRTDDHHWILEHKAVTNFQSRRHLALLLFPDVKEDYEELHEMLIDRSQLFTESFNYIKHAEPESLHAGLFMEFKNEEATGPGVLREWFFLVCQAIFNPQNALFLACPNDRRRFFPNPGKFFYNSECIFLTVYHPVVVFPNLKQF >OIV95491 pep chromosome:LupAngTanjil_v1.0:LG16:13964867:13965457:-1 gene:TanjilG_26754 transcript:OIV95491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGITHWCYTCSQPVEFIEGIDMVCPYCDGGFLQELSEIQVIGTPNYAFSSSHSQDFDQMPESLVEQFVEQLTFNDDQPGPPPASQASINAMPTIKISNVHLQSDSHCPVCQEKFVLGNKAKKMPCNHFYHSDCIVPWLVQHNTCPVCRIPMPSQERSRSHSSRNRGERSGRENRRRNHGRRNPLSFLWPFSSSG >OIV95381 pep chromosome:LupAngTanjil_v1.0:LG16:16247033:16247641:-1 gene:TanjilG_14535 transcript:OIV95381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDFPSSLVIIDNDESWNEFCSDMLPTEEPISQLVDNDLKGFLDCEPMVQNSDISDNNVDSMDQEQVENYSFDNCMEQVELWKKRTSTKYKEKESALNFCKVNQDFLLEEIKRRDETIARLQQELDQEKSRNLQCANQHKRDLFMMGKLVNDYREQNLKINRKFKEYRSSVQNLNGNLGTTLESRGPVLNTEGHALTFGFN >OIV95746 pep chromosome:LupAngTanjil_v1.0:LG16:5228637:5228858:1 gene:TanjilG_05294 transcript:OIV95746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEVVLNDRLGKKVRVKCNDDDTIGDLKKLVAAQTGTRADKIRIQKWYNIYKDHITLKDYEIHDGMGLELYYN >OIV95454 pep chromosome:LupAngTanjil_v1.0:LG16:15192606:15197106:1 gene:TanjilG_06916 transcript:OIV95454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCYTPCSLLKGTIFLALTFIYQSNAQLSSTFYSNTCPNVSSIVSNVIQQALQSDTRITASLTRLHFHDCFVNGCDASLLLDQSGNITQSEKNATPNNNSARGFGVVDSIKTAVENSCPGVVSCADILALAAEASVSLAGGPSWNVLLGRRDSLIANQSGANSSIPSPTESLANITAKFSAVGLNTTDLVALSGAHTFGRAQCRFFNQRLFNFSGTGSPDPTLNSTFLSTLQQKCPQNGNGTTLNNLDPSSIDTFDNNYYANLLTNQGLLQTDQELFSTNGSATISIVNNFANNQTEFFQAFAQSMINMGNINPLTGNQGEIRSDCKKVNGS >OIV95811 pep chromosome:LupAngTanjil_v1.0:LG16:4370163:4371654:1 gene:TanjilG_20261 transcript:OIV95811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVGQMQRQWVVYTKSLFTEGFLDGQFLQLQQLQDENNPDFVVEVVSLFFEDSERLLDDLTFALDQKGCVDFKKVDAHVHQLKGSSSSIGAQRVKNCCVAFRNFCEEQNTEACLRCLQQVKQEYCLAKNKLETLFRLEQQIMAAGGSIPMIELSF >OIV95399 pep chromosome:LupAngTanjil_v1.0:LG16:15642132:15643093:-1 gene:TanjilG_06268 transcript:OIV95399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKGKAQTTDPNQSVNVLRWTNEMDQVLMNAFTEELTKGNRHDGSWTSEAYSNNPMTKRFEAEDDVWEDFIKDKPQAIKWRTMQIKHYDTLKELFGVDRATGKRAATARQRTSQMQHDNINLNDAQDDISMPEQTTGTLGSRGTKRKAQMIELMEGQLEKMSSGLGLVADALNKGNCISDKSHDVADRQVTITVRHAVIAERQVTTIEKRNEIFQK >OIV95580 pep chromosome:LupAngTanjil_v1.0:LG16:9316795:9318459:-1 gene:TanjilG_23811 transcript:OIV95580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRVKSVIACAVSDRGSLKSEQIWKVKHDILEPSVLGIQPEPPSWPERDEILRLRFERKVNTVELPLSIRMIKKKLQCHKGFKEETSEFITCYCSMMKTFSSTLFIIHQLQSYALQMRESLCYDGPKGDVAEMQRQLDASFLWLFQEVFSKTPSLMIYVMVLVANFSLFSSSNKTFIAVRNNKQLGLLEECRKELTKEENMLWNVMLQEASTWQKELGTEVLDHETMLQFVAPMSVQLEGDHQYEEYVKTRLYYRKHLNKEPYNSLLLSNFAQFLYLVIHDLDEAEEYFKRAVMVEPPDAEAFSRYADFLWLVKNDLWAAEQRYEEALEADPGNNHYASKYANFLWSTGGKDTYFPLESSDNLKL >OIV96166 pep chromosome:LupAngTanjil_v1.0:LG16:1133825:1134251:-1 gene:TanjilG_13098 transcript:OIV96166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSKPWLIFVLLALALMVAAEASTMHEFGRTVMTEGDIDLITDDNEFLFSSETARRTLQGRRRYIGYNALRANQVPCGRRGRSYYNCQQRGRANPYRRGCTAVTHCARNVN >OIV95299 pep chromosome:LupAngTanjil_v1.0:LG16:17018634:17020560:1 gene:TanjilG_07455 transcript:OIV95299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVNVVETKDGTVSVATAFAGHQEAVQDRDHNFLRKAVEEAYKGVDCGHGGPFGAVIVRNDEIVASSHNMVLSYTDPTAHAEVTAIREACKKLKQIELSDCEIYASCEPCPMCFGAIHLSRIKRLVYGAKAEAAIAIGFDDFIADALRGTGFYQKAQLEIKRADGNEAMFAEEVFQKTKEKFQMY >OIV95885 pep chromosome:LupAngTanjil_v1.0:LG16:1255491:1262521:1 gene:TanjilG_26989 transcript:OIV95885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLPSTSLFTPLIPLRLKSKFLSTTNLSIPKDSNFIISCSSSKSIPLTEQQVLQAIAESNDNTLPCVRIFENDLSQLTLVGAVDFDQALTAAAADGGQVATEHVNADLDAMVVETTFPGSSDNHSTVSTRLFLPARKVKEKAMKLRKSFSKDIFSDNLSRDILSMTFRQVVLQQLWNFDLVVFQPGEERKMEDLENPREVPTSFTLSSSSEYLISMIAEAICVSALQSTERQFLDDLQGGNRSGFFHWFQKTEKIQSKDSPVILYKLFEDEVVENARSLLDKYNSMKGSFKPVKIKSGHRWWKPSCHGKLDKIGGSDFSAWTNEYLPAYRLEIDSEIIGDAKIGGLKKSTENRWEVLLTHSQMVALAETLDMYYVDTYSLPDKKLSSGVPAKLAKMYTKKGSSSLSKLLSVTLASGIILVAISLGQIYLRPFFKERKHSIEHRSLPSSEVETAMDDFLDTTKACSLSFFISDEFCLLTVAKLKDAFGWSADIKVEDGVGAWIGKLPAYLTDEGADTLSSLENMDEDANASMLEISSYQVVFSSDGKIVGFQPLSKVGVLHWGANPLARELYGGKKLSPGIIEPGLKIPLPRKIVVVELLMSDNPDAYFAVARPSMNAFSSCLVTHCGSGLLTDAMVHEYQSVLLPHAGDQFINARIMTGDLKAGVEVKKSEDGLFTREAVREAMTAAINNDSEVGQVVRFNHGKWREFLLSKGLENSDVDDLVQKLCSVLKP >OIV96191 pep chromosome:LupAngTanjil_v1.0:LG16:379430:380394:-1 gene:TanjilG_14868 transcript:OIV96191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVEKQLLRPGTGPKPVKGQNVTVHCTGYGKNGDLSQKFWSTKDPGQQPFTFKIGQGSVIKGWDEGVLGMQIGEVSRLRCSPDYAYGAGGFPAWGIQPNSVLEFEIEVLSAQ >OIV95742 pep chromosome:LupAngTanjil_v1.0:LG16:5308612:5312769:-1 gene:TanjilG_05290 transcript:OIV95742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWFSSSYNGILLLLLLLLQFSYQLATCGSIVKNLPGFHGPLPFILETGYVGVGEKEDVQVFYYFIQSENNPKEDPLILWLTGGPGCSAFSGLVLEIGPLAFKIDEYNGSLPNLILRPQSWTKVSSIIFVDMPVNTGFTYARTESATERSDWNLVHQTHQFLRKWLIDHPEFVSNEVYIGGDSYSGITVPVIAHEIAQANEEGLQPLINLQGYLLGNALTTRAERNYAIPFAHGMGLISDEMYESLQKNCEGNYIDADTRNALCRRDIKSFDDITSGLNMAQILEPLCEWLSPKPREVSWRRSVTQQFPLKLKNAHLTLPPLSCQSYAYYLCEYWANDENVRDALHIRKGSIGKWSRCTFGIPMKMDIASSFQYHVNLSRKGYRSLIYNGDHDMGVPFSASQAWIRSLNYSIVDDWRQWHTNGEVAGYTRTYSNLMTFATVKASLLSHLANDIHT >OIV95234 pep chromosome:LupAngTanjil_v1.0:LG16:19571333:19571884:1 gene:TanjilG_21624 transcript:OIV95234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSREIQNIFSSSSSRTAVADDHQHRQQLVTAPLSRYESQKRRDWNTFGQYLRNQTPPVSLSQCNFNHVLEFLRYLDQFGKTKIHLHGCIFFGQPDPPAPCTCPLKQAWGSLDALIGRLRAAYDEHGGSLETNPFGSAVVRLFLREVKEYQSKARGIPYTKRKRSRSQIKGTHDTPKPFKQLAS >OIV95518 pep chromosome:LupAngTanjil_v1.0:LG16:12794740:12797582:1 gene:TanjilG_23961 transcript:OIV95518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFLITTLIFAVVGIIVSLCTRICCNRGPSANLFHLTLVITATVCCWMMWAIVYMAQMKPLIVPILNEGE >OIV95422 pep chromosome:LupAngTanjil_v1.0:LG16:14460024:14462250:1 gene:TanjilG_06884 transcript:OIV95422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEARDRRAVPADVAAIYIRRRASVLFGSDIYNDSTGSGSTRFRGSGTLVAHDLGVRNENTPLGAANRRSSRRRVSTTRRSVLPSWYPRTPLRDITAVVRAIERRRTRFGEDEGQQTGNLFHTDQQLPDPSVPEFAAQLEHNPSVISPNQVCVKLRTPAGCKVPKIMLDIATPSAEESVLTPQKQLLNSIDTVEKVVKEELLKLKRTPSAKKAEREKRVRTLLSMR >OIV95431 pep chromosome:LupAngTanjil_v1.0:LG16:14763031:14764281:1 gene:TanjilG_06893 transcript:OIV95431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYPVMFNPFFLFMSLSILLIHLPVHQAVNGGFSVKLIRKNSTHALSQHLMDTKQTNSYAYLGQHLLELSIGTPPVKIYGILDTGSDLIWTQCVPCLNCYKQLDPLFDPKKSSTYTDISCQSDKCHLLIAPACSGENTCDYTYGYADSSVTRGTLASETITFKSSTGQPIQLNKIIFGCGHNNKGTFNDHEMGIIGLAKGPTSLISQIGSSFGGKKFSQCLVPFHTDISISSKMSFGKGSEVLGDGVVSTPLVNGQIGTSYSSLYFVTLQGISVEDTRFQISNNPQTLSKGNMLIDSGTPPTVLQQQLYDQVFNEVRKRVPMSPITDDPDLGPQLCYKTSTNLKGPIITMHFEGADIKLTPIQTFIPPKDGVFCLAFTNTSNGETGTLGNFAQANFLIGYDLEKDVVSFKPTDCTK >OIV96118 pep chromosome:LupAngTanjil_v1.0:LG16:781123:782280:-1 gene:TanjilG_13050 transcript:OIV96118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWSSFVILLRRNLSTAVTAVTAVTSPSESSIIKLFLSDLHNERNLDTLIRNFKTASHSHRFRAKHGIYERTVRRLASADRFQSIHEILQYQKQFNDFSKEGFSARIITLYGKSGMFDNAHKLFDEIPQRTVLSLNALFSAYLHSKKFDMVLSLFNELPSKLSIEPNLVSYNTVIKAFCEIGSFDSAISMLQEMESKGVEPDLITFNTLLVELYAKGRFEDGEKIWNQMEGKSVTPDVKSYNAKLVGMVVVKKTNDAVGLYEKIKNEGVKPNLFSFNALIKGFVNEGDLDEAKKWYSEIASTDFDPNVETFATLLPFLCEKDDLNAAFQVSKDIFNTRCRFGPRGSLLQQVVDVLVSNSMISEAEEIVKLGKASSHFRYKLNLPEG >OIV95929 pep chromosome:LupAngTanjil_v1.0:LG16:1654404:1654700:-1 gene:TanjilG_27033 transcript:OIV95929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMNVHALRKLLAAMEAEEAGQKSTNHGTQDSFNNYGGHQNYSGSRINSGANSGDRYNHQSYDNHGGSAINNTGTFNGNGNGGNIGGNFDASTRNYRY >OIV95062 pep chromosome:LupAngTanjil_v1.0:LG16:20322918:20324686:-1 gene:TanjilG_10882 transcript:OIV95062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPLFRSNPSPPSPFFHNVANNNSYNNKKKEEEELYSEEEEEDYDDDDDCSNKVSTPFISKEGIFRINAENSQEEFVRDQLNNGLVLQGIDVHCLSGLIKFRELPIGVLDSLALDEVMRYKFEDDCTNLVKLLPSTEAALLDWAINLMADVVEHEKFNKMDARNIAVVFAPNMTQMADPLTALIHAVQVMNFLKTLILKTLQERDESAAKPMQLSSCLGSFPPIKFNKEKSCEQTEDACVTSKFPRTTTLGRIEWCIEEKLWSNEENENGIRKCESESVSSGSTPSRHENCSLENRAGVLQMVNKIKNGDSIHETLT >OIV95249 pep chromosome:LupAngTanjil_v1.0:LG16:19762911:19764197:-1 gene:TanjilG_21639 transcript:OIV95249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQPPPLQLSSLFISLFLFSNITTSLTLTPTPSPTSSPSSSSSSSSSSTLDPKQVRALQSLNIPTSKDPCSQPSYNNATVCDAATPFRHLISLTLSNCPSYVALSFTALKSLSTLNTLHLLNCPVPPIRFPPELISSLRSFTAINSLRRVTGAWLSQLQNLTELTVTNVQVKATGPYVILAHMNKLQSLTISHANLTGYLPGHVHSNLTHIDFSDNHLKGNIPTSITILDTLQSLNLSSNSLSGEIPASISDLISLKFLSLASNSFSGSIPDSISAVSGLVHMDLSSNQLNGTIPKFISQMKNLKHLNLANNNLHGVLPFNQSFINKLEVFNVGGNSNLCYNHSVLSSKLKLGVSPCDKYGMPVSAPSKGSSADDNSDDDYSDGNEDDSKNTKKHHHGPNKFVLGVAITLSSIVFLIVLMIMCAKCCR >OIV96130 pep chromosome:LupAngTanjil_v1.0:LG16:857930:859648:-1 gene:TanjilG_13062 transcript:OIV96130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERTSPEPSTAGNSNLRRAIHFISSLISISHSIRVFAGKWKLIRTNLEELHSALIASDNPDSGENPSLSGILRSLLNTAGECHDLARRCSDVSYSGKLLMQSDLDVTLVKLNHHVKKLDEMYNTGILIRSHALIVSKPCLGASKEDMRFYIRDLLTRMKIGDVGMKKMALINLHEVVVEDDRYLKVIVEVGDVVHLLVEFLGSSEVVIQEESVKVVALITGFGDSSYKGVLIGAGVVGNLIKVLERGSEVGKVGAARCLMKLTENSDNAWLVSAHGGVNVLLKICGNVDSKGDLVGLACGVLRNLVGVEEIKRFMVEEGAVSTFIGLVRSEDEAIQVSSIEFIQNIAFKDELVRQMVVREGGVRVLFLVLDPEFSYSSKTKEVVMRAIENLCFSSSNCVSTLISYGFVDQLLYYIRNYEVSIQELALKVTFRLCGTSEEAKKALGDAGFMPELVKFLNAKSFEVREMAAEALSGMVMVPKNRKKFVQDDQNIALILQSIDPGKGNSGIKKFLISILISLTNCNTGRKKIMSSSYAKNIERLSENEVCSDAKKLVRKLTTNRFRSMLNGIWHS >OIV95237 pep chromosome:LupAngTanjil_v1.0:LG16:19634964:19637273:1 gene:TanjilG_21627 transcript:OIV95237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTERKLNINAPLMSVRRNSATSPSLTEAKKKILDKRHTLPYYKSDKNLDQVTEYCAVPFNWEHIPGRCKGNGRSEPQPPKAVSITPIPRLPPGKSINSSKLPLEKESKVGKKFRPSNKSNSFVVSVVKIDRDKEIKNEKTVDKITYNVDKKDIDDGDVYSDALEALSHTRSFSMDCSISGVSGLDNLDPKKFGTFSTDQQTRDFMMSRFLPAAQAMTLQPPQYSTKKQSVLVEQQQPRDINKLVREEKKLLHNKHSIDMIPYTRQGQEEQESEEDKADGDADGFDNSSNIISAKGCGFLPHLHIRNSLNLLNPVPVMKMKNQVPLSSACEEMKPKKISHLRSFSPVPAVKKAWDAIHRSKSSSRAASPDMLEGRKNWTREPNRFTNSGELLSGRLSPFRRSRAAAAGVSPSRSKPQSPFRGARLPGESKDVHNNQSYKSKFHSTELGNIKEVLSQGVKRSSYSGSLTMEKTLYIDTASTIKQPASNLSSVDNKRRVDNVVEDLNKRRVKESNSTLESFQDINHIHALEEKDTLDSEVLSSIDANSIFSSMLHLKPKEDTYEGLKTDQDINQEHVEGAFGEDSKINNQQIVLAENPLPIPLPKSPSESWLWRALPLVSLKNSFFHSNQGTQSNTKRHDSNTTSSHIKWETMVKTSNLHRDHVRHSQELTVHKSKHSKS >OIV95478 pep chromosome:LupAngTanjil_v1.0:LG16:14178273:14182997:1 gene:TanjilG_23921 transcript:OIV95478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPVCPFVKAARPDDNTCKKSSENSVKHQVEPDNKLKKEVNDSASTSPKCPFGYDSQTFKIGPLSCMVCQALLFDSSKCVPCSHIFCKACISRFSDCPLCGADIAKIEPDAELQGVVDRFIEGHARIKRTASSGKQEEETESKPVIYEDVSLERGSFLVQQAMRAFRAQNLESAKSRLSICAEDIRGQLGKVGNTSELCSQLGAVLGMLGDCCRAMGDASSAVAYFEESVEFLSKLPKDDLEIAHTLSVSLNKIGDLKYYDGNLQAARSYYFQSLNVRRDVIKHTSNVPAQALDVAVSLAKVADADRNLGDEKLATDGFQEAIDLLESLALNSEASSLEQRRQSVLEFLRGQLSDKQEHAESTI >OIV95881 pep chromosome:LupAngTanjil_v1.0:LG16:1238416:1239228:-1 gene:TanjilG_26985 transcript:OIV95881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSMAPFSIYISFLFLATLLFPLQIIARESHFFSKVPHSNNNIKETELPKKEEPENKPEQQQQQQQPVFIPETENSYGLYGHESTQNPSTTSSYEPYETEFQHTSKYPNKYNHNYNNNDAFNTNQNEELSNTKLTGNYRNSNNNNNNYYNKDAYDGNQNELSDTKYTEGEYNSMENQHNYKKHYYNNNNADNNNMYNGEKQGMSDTRFLEGGKYYYDLNYEKYNPTIYGDSSRGVNTHNNWYNNRGNNYYGNNNGYQNQEEFEDEQEFQP >OIV95058 pep chromosome:LupAngTanjil_v1.0:LG16:20422116:20426208:-1 gene:TanjilG_10878 transcript:OIV95058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAEARAVWQRAANRCFVQEDAKRAPKLACCQSSCATSKSAYTGPVDTTDESDHAAVNATGSKTELSHSNLSPCSRWWMHLQPNYYGYRNGLIDEHLNALEDDVETSKASDESKTFSTNSQTFPELIGVKDKHERVEIDSGGFSESKEMNDFSLESDYSWIEGNMAEPWWRTTDREELATFVSQKSLNHFENCDLPPPQKKHLRGYPCSSISDDKVKAVPRSFSDLTPRAHGSLDSGSIHKKQQGSSANEGLLYFASVKSSSYTPIHEDFKEKQQIFEGDPSKVQLIEALCHSQTRTREAEEAAKQAYAEKDHIVALIFKQASQLFAYKQWLKLLQLETLYSQIKDKDQPISTLFPVALPWMSLDVRKSRKRKQKSCNAKPERRCKSKCDITTYAVAFALGFSLVGAGLFLPAVVRPMLDSYQASKQPPQATLSDVVSGMTGKK >OIV96155 pep chromosome:LupAngTanjil_v1.0:LG16:1031819:1039525:-1 gene:TanjilG_13087 transcript:OIV96155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRMDLDEEIQMMEEEEEEEEEDDDDEITQEDAWAVISAYFEEKGLVRQQLDSFDEFIQNTMQEIVDESADIEIRPESQHNPGHQSDFAETIYKISFGQIYLSKPMMTESDGETATLFPKAARLRNLTYSAPLYVDVSKRVIKKGHDGEEVAETQDFTKVFIGKVPIMLRSSYCTLYQNSEKDLTELGECPLDQGGYFVINGSEKVLIAQEKMSTNHVYVFKKRQPNKYAYVAEVRSMAESQNRPPSTMFVRMLARTSSKGGSSGQYIRATLPYIRTEIPIIIVFRALGFVADKDILEHICYDFSDTQMMELLRPSLEEAFVIQNQQVALDYIGKRGSTVGVTKEKRIKYAKEILQKEMLPHVGVGEYCETKKAYYFGYIIHRLLLCALGRRAEDDRDHYGNKRLDLAGPLLGGLFRMLFRKLTRDVRGYVQKCVDNGKDVNLQFAIKAKTITSGLKYSLATGNWGQANAAGTRAGVSQVLNRLTYASTLSHLRRLNSPIGREGKLAKPRQLHNSQWGMMCPAETPEGQACGLVKNLALMVYITVGSAAYPILEFLEEWGTENFEEISPAVIPQATKIFVNGCWMGIHRDPDMLVRTLRKLRRRVDVNTEVGVVRDIRLKELRIYTDYGRCSRPLFIVDKQRLLIKKKDIHALQQRESPEDGGWHDLVSKGFIEYIDTEEEETTMISMTINDLVQARLNPEEAYSDTYTHCEIHPSLILGVCASIIPFPDHNQSPRNTYQSAMGKQAMGIYVTNYQFRMDTLAYVLYYPQKPLVTTRAMEHLHFRQLPAGINAIVAISCYSGYNQEDSVIMNQSSIDRGFFRSLFFRSYRDEEKKMGTLVKEDFGRPDRSNTMGMRHGSYEKLDDDGLAPPGTRVSGEDVIIGKTTPISQEEAQGQNLRYTKRDHSISLRHSETGIVDQVLLTTNADGLRFVKVRVRSVRIPQIGDKFSSRHGQKGTVGMTYTQEDMPWTAEGITPDIIVNPHAIPSRMTIGQLIECIMGKVAAHMGKEGDATPFTDVTVDNISKALHKCGYQMRGFETMYNGHTGRRLSAMIFLGPTYYQRLKHMVDDKIHSRGRGPVQILTRQPAEGRSRDGGLRFGEMERDCMIAHGAAHFLKERLFDQSDAYRVHVCERCGLVAIANLKKNSFECRGCKNKTDIVQVYIPYACKLLFQELMSMAIAPRMLTKEIKSIKGQKKRGA >OIV95954 pep chromosome:LupAngTanjil_v1.0:LG16:1880485:1882005:1 gene:TanjilG_27058 transcript:OIV95954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPSSQILLLLKDISCRFLVAGSKILKMPSLQTALPPELANNAIRLYRECLRRAKYIGHRQHNTPLLVDMVRQQFKKNMHESDPEKIQKLKDDAARGLINHILYETEQKSGRKFSKA >OIV95158 pep chromosome:LupAngTanjil_v1.0:LG16:18268733:18273509:1 gene:TanjilG_21548 transcript:OIV95158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVIVLSSLTSLLSPNYKFFKSSHSSFNFNFNFNFFSHIHLHHPPPPRFMRLCGHTRRERDKYTGEYEMGFDEEEKGLQIPTQAQSVVEGSGAVLVSEFKPVPDIDYLQELLAIQQQGPRAIGFFGTRNMGFMHQKLIEILSYAMVITKNHIYTSGASGTNAAVIRGALRAEKPELLTVILPQSLKKQPPESQELLSKVKNVIEKPYNDHLPLIEASRLCNMDIISHVQQVICFAFHDSRLLMETCQEAKNMRKIVTLFYLD >OIV96213 pep chromosome:LupAngTanjil_v1.0:LG16:235287:236131:-1 gene:TanjilG_14890 transcript:OIV96213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDNNNNTNNILPPGFRFYPTDEELVVHFLHRKASLLPCHPHVIPDLHLSQYDPWELHGTALAEGNQWYYYSRRTQNRVTENGYWKATGIEEAVVTRSSNKRVGMKKYFVFYVGESIADGIKTNWIMQEYSLLDSASSTSKTKSHQKTDYSKWVICRVYEHNEDEDEDEDEDGTELSCLDEVFLSLDDLEEISLPN >OIV95144 pep chromosome:LupAngTanjil_v1.0:LG16:18126009:18131796:-1 gene:TanjilG_21534 transcript:OIV95144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFDRLGPSDPGGSSRRSTAPLTKSTTNSSSASGICKKKLIILTVIAAVLIITSAAISATLVTLNRSRARNQSSPELLRGKPTEAISRTCSKTLFPTLCINSLLEFPGSTTATEHDLIHISFNMTLRHVSSAFFASSTLTNPYPETTIIDSRQRAAYEDCLELLEESTYALARALTSVAPLSVATTTTEFAAERGSTDDVMTWLSAALTNHDTCAEGFEDTNGTVKDEMVNNLKDLSELVSNSLAIYSASQRDDDDFSGVPIQNKRRLMMNIGEEDNVHSNENISRDFKFPGWLNKRDRKLMSLSVSEIQADIIVSKNGNGTVKTITEAIKIAPEHSNRRFIIYVRAGRYEENNLKIGKKKTNIMLIGDGKGKTIITGRKNVADGMTTFHTASFAASGAGFVARDITFENYAGPEKHQAVALRVGADHAVVYRCSVIGYQDTCYVHSNRQFFRECDIYGTVDFIFGNAAVVFQKCNIYARKPMAQQKNTITAQNRKDPNQNTGISIHDCRILPAPDLATVKGSIPTYLGRPWKLYSRTVYLLSYIGDHVHPNGWLEWNGEFALDTLYYGEYMNYGPGAAIGQRVKWPGYRVITSTVEANRFTVAQFISGSAWLPSTGVAFLAGLST >OIV95846 pep chromosome:LupAngTanjil_v1.0:LG16:3916628:3916921:-1 gene:TanjilG_06822 transcript:OIV95846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEIEYFTKFELDTRGSSSSQKPQQHFVEMDRSYSISRPSFWALLTTWRRRSRKRKCTSGFIPKICSVTEVAETNQLNRIPGYSYRILKNDINNFQL >OIV95551 pep chromosome:LupAngTanjil_v1.0:LG16:11788875:11790596:-1 gene:TanjilG_10939 transcript:OIV95551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMNDQSAIELLNGEAILHSQLFSFIRPMCLKWAVELGIADIIHNHAKPITFPELMLVLVQVPPTKASLVKRFMRFLAYNGIFAIHESQEEQHETYSLTPASKLLVKGSDHCLTPFVVWATDPVRMNNYHHLGNWVCGEVPTLYETSLGISIREYLDKNPEYMSTFNEGMASDCKLLQLTLKDCSCVFEGLDTIVDVGGGNGTTAKIISELFRKLKFVVFDLPQVVANLSGSNNVSYVAGDMFVSIPQADAVLLKRILHDWTDENCIKILKKCKNSISSRKGKVIIIEAIINEKQEDKVLTETKLSVDIMMMTINGKERTEKEWKELFVAAGFKHYKIFPLHGLRSLIEVYP >OIV95410 pep chromosome:LupAngTanjil_v1.0:LG16:15758857:15761119:1 gene:TanjilG_06279 transcript:OIV95410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISNDDFMEVEIGSSLESLQNYLASQRELFHSQIDQFQQIVVTQCNLTGVNPLSQEMAAGALSIKIGKRPRDLINPKAVNYMQSIFSIKDVISKKESREISALLGVTVTQVRDFFNSQRSRVRRLVQLSRERALISNSCEEYHDGQIDSDPMRPINPVPLNSAGPANAVEASCSTQEATFSGLDDLDKHFVDNIFSLMRKEETFSGQEKLMEWILTVQNFSVLLWFLTKGGVIILATWLSTAAVEEQTSVLLLILKANLDPIVNNTVFGLF >OIV95147 pep chromosome:LupAngTanjil_v1.0:LG16:18173729:18175030:-1 gene:TanjilG_21537 transcript:OIV95147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSDQHDTVFGDEDIDDDEEESHDDLLQDEEEEEEELDDDVLPDDDENDPFPSTSSVLAVTIAVAPPISPATTASDSKRNQIEEKKPIDDSRKLFQRLWTDEDEIELLQGFLDYTSHRGSSHHNDTALFYDQIKSKFQIDFNKNQLVEKIRRLKKKYRNVLNKINSGKEFSFKSPHDQATFEISRKIWSNIGQISGGFVVDDNVLDEDEINLNSNQNPNNHYPNHNPNINLNLVQSMPKYEAVMLGNSGEKKFTPSRKRSRPRSTMLRIDEKPQVLFNDGSGSGLGLNLNLNKDHNCIINSNTAAANVNNDKNYENNCNSEQQHINSIPGLVEETVRSCLTPVLKELMGGGVMGGGSFGARGFGIAGFGSLNSMQMPMVMPLSYLGLGSGGHVVDEKWRKQQILELEVYAKRLELVQDQIKAALGELRSAGG >OIV95042 pep chromosome:LupAngTanjil_v1.0:LG16:20581777:20585994:-1 gene:TanjilG_10862 transcript:OIV95042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLNQFSSSSKDPCTIFDSVFDSALTMGNSEEEKSTKTENPPSPVTMANGTNPTNIHVYPDWAAMQAYYGPRVAVPPYYNSAVASGHTPHPYMWGPPQPMMPPYGPPYAAMYPHGGVYAHPGVPIGPPPHGQGVPSSPAVGTPLSIEKSPKSSGNADQGLMKKLKGFGGLAMSIGNGHAESAERGLENMLSQSGDTEGSSGGSDSNTSGANETRRRKGGEGTPTTDENGKTEMQVSPASEEATAASKIMSVVPAGVAGKLAGPSFSLGTTTTLELTNPSGVRANSTSAPQPCAVLPPEAWVQNERELKRERRKQSNRESARRSRLRKQAESEELALKVEALNAENEKLKHVEVGETEEIMLKSKIVSTENLLSTIDRSVDEENGFCEKKHNNSGAKLHQLLNSSPRADAVVAG >OIV95872 pep chromosome:LupAngTanjil_v1.0:LG16:4225638:4235427:-1 gene:TanjilG_06848 transcript:OIV95872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVTGGRNRRWEEAVVDLTKAAQEKGSDPLLWAIQMYSNLNSAGESLPSIELAEFLVSYICWDNNVPILWKFLDKALMLQIVPPLHILALLSVRVLPCRHVQPAAYRLYLEFIKRHAFELKSQINRPDYPRVMKSIDDVLHLSHIFGMSQSEPGFLVVEFIFSIVWQLLDASLDDEGLLELSLEKKSKWATLYQEMDLDMYDNYNDKKSGHHEKLRNANTLMAIEMIGKFLQDKISSRILYLARRNLPAHWLSFVQRLQLLGTNSLTLRKSRDLSPEALLQLTSDTSMVLSRECKTSPQQKFHTVMDFEYLSSSASLCHGASHSALWIPLDLVLEDAMDGYEASATSAIEIISGLIKTLRAINGTSWHDTFLGLWLATLRLVQRERDPIEGPMPHLDTRLCMLLCIMPLVVADLIEEEEERTPIDEIETDSGPTNNWKEKKVPGKCRNDLVTSLQVLGDYQSLLTPPQSVVAAANQAAAKAMLFVSGLSIGGAYVDYLSMTEIPIDCSGNMRHLLVEACIARNLLDTSAYLWPGYIKGHINQVPQCMPAQVPGWSSFMNGAPLTSVMVDALASSPATCLAELEKIFEIAIGGSEDEKISAAAILCGESLIRGWNIQEHTAHFILRLLSPPVPLENTEGNNHLIDYAPILNVLFVGIASVDCVQIFSLHGLVPQLACSLMPICEVFGSCVPNISWTLTSGEEISAHAVFSNAFILLLKLWKFNRPPLEHGIGDVPTVGSQLTPEYLLLVRNSHLMSAGNIHRDRNRKRLSEIASLSSPNSVFVDSFPKLKVWYRQHQACIASTLSGLVHGTPIHQIVDGLLNRMFRKINKGDHVTITSGSSSSSGHGNEDTSIGPKLPAWDILEAIPFVVDAAVTACAHGRLSPRELATGIKDLADFLPASLATIISYFSAEVTRGVWKPVFMNGTDWPSPAANLLNVEEQIKKILAATGVDVPSLAPGGSSPATLSLPLAAFTSLTITYKVDRASERFLNLAGQTLESLAAGCPWPSMPIVASLWTQKAKRWSDFLIFSASRTVFLHNRDAVVQLLKSCFTATLGMNSSPITSSGGVGALLGHGFKSHFCGGMCPVAPGILYLRAYRSIRDVVFLIEEIVSILMQSVREIVRGGKPRVGLQKSNATKGGIKYGQFSLAAAMTRVKLAAALGASLVCLSGGLMLVQLLIKEILPSWFISVHRSGQEENSNGMVAMLGGYALAYFAVLCGAFAWGVDSSSSASKRRPKVLGVHMEFLASALDGNISLGCDSATWRAYVSGFVSLMVGCTPNWVLEVDVDVLKRLSNGLRRLNEEELALALLGDGGVGTMGAAAELIIESGM >OIV95101 pep chromosome:LupAngTanjil_v1.0:LG16:17733145:17734058:-1 gene:TanjilG_21491 transcript:OIV95101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSGKVSIEFGIQATISQWFNLFHKNLSHVQNICERVHQAHIHEGDWHTIGSVKNWTFIIDGKPVKHKEKIEAIDENKKTITWSFFDDDLGQQYKVFILTMELNEKDDGSYLLKWTIEYELVNENVEPPYSYLDFLNKSSKHVDDYLVGA >OIV95933 pep chromosome:LupAngTanjil_v1.0:LG16:1673763:1674743:-1 gene:TanjilG_27037 transcript:OIV95933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKINNQTLFSIILICFALAGKCMSHEKPPPPSSPTPSSSSSPTPPSTSTPLTPSTSTPSTSTPSTSTPSTSTPTPYTPSTSTPTPNTPSTSTPTPNTPSTPTPSTPSPSTNNGRKTKTSSSYYSETSDQSSDTPYIDAPSGSPSATKGGSASFGISSSFSASFSDYLKVKRGDKSKIKYNPSLQQICSRTHHADVCLATISPLLKHKFDAIHVLEASIIVCTQNLKAIVARIERHVVGSHVIATSLLIDCQKHYTKALMNLHKALKAIHARNFALVTKMLSAAVADVSTAESKIVDLKVNIFRVEYFSFVSFTASNCLSIASLVPN >OIV95142 pep chromosome:LupAngTanjil_v1.0:LG16:18101288:18103440:1 gene:TanjilG_21532 transcript:OIV95142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATSSFRSFRSFKIIFNSSINHRSFFSTPHFNSPSWINKVTNVFTGQKATTTEEDLSQISSHQFTLLRFADEMKNARRIGAFKEYMVGRSSEVTFNTAFEKSEAIVRFLALFDPTGENLQTAQKQAAAKHCNCTIADVENALAKFTWAKEAQKKIQTLKDEGKPMPKSFGDLQKMVGSTPFDLARSNLAQSGQISRNAPCPCGSKKRYKRLILLHFFVSPLQFLIH >OIV95921 pep chromosome:LupAngTanjil_v1.0:LG16:1567872:1570015:-1 gene:TanjilG_27025 transcript:OIV95921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPTLVFILSIAAILVSIVHAQSSVKPLVKIVKGKKLCDKGWECKGFSSYCCNEAISEYFDTYQFENLFSKRNAPVAHANGFWDYHSFITAAAQYQPHGFGTTGNKTTGMKEVAAFLGHVGSKTSCGYGVATGGPLAWGLCYNKELSPDKYYCDDYYKLTYPCSPGAAYYGRGAIPIYWNYNYGKTGEALKVDLLNHPEYIEQNATLAFQAAIWKWMTPPEKHIPSAHDVFVGNWKPTKNDTLSKRVPGFGATINVLYGDQVCGQGSDNEAMNNIISHYLYYLDLMGVGREEAGPNEVLSCAQHAAFKPSATQSSSTT >OIV95640 pep chromosome:LupAngTanjil_v1.0:LG16:7915643:7928693:-1 gene:TanjilG_23871 transcript:OIV95640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLYIIVAFEVEKIYLILISRFFHNLSRCRLETQGSFFSNISRTIGQEHAQKLPHNVAAHGSRWCFHRLASSYRSLPLSEYRRRPFVCRTYSLTSRLEESASLQSGNSSSEDDNPEEETLAQPCTTDQIMALLADTERSKLTKKLSEANQQNRFLKRQLYIKEEALVKFKSELADIELEVQALARLAEEIAQSGIPQGARKINGKYIHSHLVARLEAVHEQLKEQVKDVDAAQSKQVSVFWVGMAESVQVMGSFDGWSQGEHLSPEFTSSYTRFSTTLMLRPGRYEIKFLVDGEWHLSSEFPIIGDGLTKNNLLVVKYKAMKKGGFDKNRNNKGTDENQKNRNINRFLITINFLGSSGPVRFVVNMKDLVSGVIESALKYYAREGRVPVLGFDASNFLLYPANVGCDGMFAYPFGDEYDHYLSFLFLKYHRFMHGD >OIV95272 pep chromosome:LupAngTanjil_v1.0:LG16:17340820:17345655:-1 gene:TanjilG_07428 transcript:OIV95272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIFSPVTPGQVSFLLGIIPIVVAWLYAEILEYRKSFVSSKVRLSDISLVEVGSDAVKEEDKAVLLEGGALQSASPEARSLTSSPSIIRFFLMDEYFLVENRLILRAMSEFGLLLAYFYLCDRTDFFGSSKKSYNRDLFIFLYFLLIIVSAVTSFKIHNDKSPLSGKSILYLNRHQTEEWKGWMQVLFLMYHYFAASEIYNAIRVFIAAYVWMTGFGNFSYYYVRKDFGLARFAQMMWRLNFLVLFTCIVLNNSYMLYYICPMHTLFTLMVYGALGIFNKYNEIGSVIAVKIIACFLVVILLWEIPGVFEWVWSPLTFMLGYTDPNPSKSQFSRLHEWHFRSGLDRYIWIIGMIYAYYHPTVERWMEKLEEAEIKCRISIKAAVILISSLMGYFWFEYIYKLDKLTYNKYHPYTSWIPITVYICLRNVTQSFRSYSLTLFAWLGKVTLETYISQIHIWLRSGIPDAQPKLLLSLIPDYPMLNFMLTTSIYVAISYRLFELTNTLKIAFVPSKDNKRLDTSNGKLYFSLSDLSQLIILKSEPFAINV >OIV95338 pep chromosome:LupAngTanjil_v1.0:LG16:16568897:16575866:1 gene:TanjilG_07494 transcript:OIV95338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSENGEEHKHEETSAPENPSETNTNGENSKQKEKAETVPYFRLFSFADSTDIMLMVVGTIGAMGNGIAMPIMTILLGQVINTFGSNQTNNANVVDDVSKICLKFVYLAMGTEVSSWMATGERQAARIRGMYLKAILRQDISFFDMETNTGEVIGRMSGDTVLIQDAMGEKVGKFLQLMSAFIGGFVIAFVRGWLLTLVLMSTIPLLVVSGATMALAIGKTSSRGQKAYAKAANLVEQTIGSIRTVASFTGEKQAVSSYSTFLEDSYKSGVQEGFVAGTGLGTIMFFIFCLYALAVWYGAKLIMENGYNGGRVINVIIAVLTASMSLGQASPSMSAFAAGQAAAYKMFQTIERKPNIDAYDPNGKTIEDIHGEIELRDVYFSYPARPEELIFDGFSLQISSGSTTALVGQSGSGKSTVISLIERFYDPRAGEVLIDGINVKEFQIRWIRGKIGLVSQEPVLFASSIKDNIAYGKEGATVEEIRAAAELANAAKFIDKLPQGLDTMVGEHGTQLSGGQKQRIAIARAILKDPRILLLDEATSALDAESERVVQEALDRIMVNRTTVVVAHRLSTVRNADMIAVIQSGKMVEKGTHIELLKNPGGAYSQLIRLQEERNESGESADHQNKRELSSGSLKHSSRPGSLRRSGSSVGNSSSHSFSAPFSLPTGVNIPGPESEHSETKEKPGKVPIGRLAALNKPEIPVLLIGCVGSIANGAIFPVFGLLLSSVIKIFYEPFHELKKDSKFWSLMFVALGLASLVAIPTRMHFFSVAGSKLIQRIRLICYEKVINMEVGWFDEPENSSGAIGARLSTDAALVRALVGDALGLLVENIATALTGLIIAFTASWQLAFIVVVFIPFIGLNGYIQIKFMKGFSADAKIMYEEASQVANDAVGSIRTVASFCSEEKVMELYRKKCEGPMKAGIRQGLISGTGFGVSFFLLFCVNALCYYVGARFVDAGKATFSDVFRVILALTFTANGISQSSSLAPDSSKAKSAIVSIFGIIDRKSKIDPSNESGTTLDSIKGEIELRHVSFKYSSRPDIPIFRDLSLTIHSGMTVALVGESGSGKSTVISLLQRFYDPDSGHITFDGVDIQNLQLKWLRQQMGLVSQEPVLFNDTIRANIAYGKEGIATEAEIIAAAELANAHNFISGLQQGYDAIVGERGIQLSGGQKQRVAIARAIIKSPKILLLDEATSALDAESERVVQDALDRVMVNRTTVIVAHRLSTIKNANVIAVMKNGVIVEKGRHDTLINIEGGYYSSLVQLHASTSTV >OIV95810 pep chromosome:LupAngTanjil_v1.0:LG16:4375940:4379193:-1 gene:TanjilG_20260 transcript:OIV95810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIEQHKDVESGESSGLKYLQEPFIQQGDDDDSSASSNEVGSHKSVENGSIGMVLLSTLVAVCGSFSFGTCVGYSAPTQAAIRADLNLSLAELLIVTGASVSFLLGSIINWRVLALTGLVPCISLLIGLWFIPESPRWLAKVGREKDFLIALRRLRGKDVDISYEAQEIMDYVQTLQSLPKIELFDLFQSKHVHSVVIGVGLMVCQQSCGINGIGFYTAETFVAAGLSSGKAGTIAYACIQIPFTALGAILMDKSGRRPLIRISASGTFLGCFITGIAFFLKASLSSKCDNIF >OIV95745 pep chromosome:LupAngTanjil_v1.0:LG16:5232684:5238293:1 gene:TanjilG_05293 transcript:OIV95745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSTSGQLEPASGARASIPDQEYWPEGTASQVRAANAPEPTGESSGSPSYGSKPGSRRRHYKASVASSSEADGESTDPELSEASVESTEDSQDGSSDFVVYQSEPEKVEESEYEFNKKYGLPHPFIDPKVKKPIEGILPREEQWWNWRKPEDEQWSRWQRRKPDVETVFLKAMAETGQIKLYGEEPTLTETSLYRARRHLFKEERLQAEEDRHENVGSIAYYSEWVKAWKRDTSLEAIEKHFEETGETENDQLIEMFSHQTDREFRIMMGTDVRIQRDPLAMRMREDLIKQIWGGDPVYPTVNYIQDPDEVIDYRGPDFHEPTPNMLAYLMEHGKIISREEIGKILAKEKNQQVEVPDIDEAMAKAVDIGENDDEEDSDVDVDEEGEGEGEGEEEEEGDKEEEKITRNWSVLKTNPQLRKSKKKPKKKDAALSLDEAVDDAENLTDFLMDFEEE >OIV95343 pep chromosome:LupAngTanjil_v1.0:LG16:16537630:16540555:1 gene:TanjilG_07499 transcript:OIV95343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGKIIVSAVSLILVVGVAIGVVFAVQKNGSDPEVKAQQKSVMIICKNTNDPRYCSEVLSSAHVPNVADPKSYLTVLVNSTTEKVIKAFNLTDRLSSEHGGKDNGVKVGLDACKHMLELALDSLELSSNLVRDNNIQTINFQIPDFSNWLSSVISYKQTCLEAFDDDKEEEKKIKEKLDTESLENIKKITAIGLDIIADLTKILEEFNVKLELKPVSRRLLNVVDVEVDEENIPTWFSHEERKLLGKGWRNRINKPNVVVAKDGSGQFKTIKDAIDSYPKGFTGRYIIYVKAGIYEEFITVPKTSVNLLIYGDGPQKTVVTGKRSFGSGIKTMLTATFSNEARGFIARSMKFENSAGPQNHQAVAFRNTGDGSAAVGCHFDGFQDTLYVHANRQFYRNCEISGTIDFIFGTSRTLIQNSKIIVRKPEVERGQFNTVTAEGTEISNMGTGIVIQNCQIVPDAALYPIRFQVKSFLGRPWKKCSTTVVMESVIGDFIHPEGWAPWMGTAFLDTLYYAEYANTGPGADVKGRINWKGYHGIISKAEAQKFTSQVILQAGPDSSTSWLRKVHVPNYLGFARP >OIV95932 pep chromosome:LupAngTanjil_v1.0:LG16:1665270:1667854:1 gene:TanjilG_27036 transcript:OIV95932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADKYNLKNPAVKRILQEVKEMQSNPSHDFMSLPLEENIFEWQFAIRGPSETEFDGGIYHGRIQLPAEYPFKPPSFMLLTPNGRFETQTKICLSISNHHPEHWQPSWSVRTALVALIAFMPTNPNGALGSLDYKKEDRCALAIKSREAAPKFGTPERQKLIDEIHEYMLSKAPHAENNPTKAHEEQSRSEEAEAQVNSHNPEALPAGEEVPDQAGDRIVEEQEVPEIANPAGVEAPREVQSSFSRNQVLPTSETRVQNPKPATTVQNPDGRLFTLAAIGLTIAIAVLLLKKFIKSTEYGAVFFNGS >OIV95648 pep chromosome:LupAngTanjil_v1.0:LG16:6236068:6236286:-1 gene:TanjilG_01442 transcript:OIV95648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCPLRLILIFLSATLAGFFVFRNLRSQPQIEDDDNIVPPQSTSDSSNPSSNGNSKVDPFPPLVSIFIKMLLF >OIV95598 pep chromosome:LupAngTanjil_v1.0:LG16:8868995:8870074:1 gene:TanjilG_23829 transcript:OIV95598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMFKSHSYKPFLLHLNGIISLKFSTKTSQSHSFIVSYLINNLGFSSETALKASKRLRFNTSQKPDSVLAFFKSHGFSDSQVSSIITKTPHLLSSDPHKNFMPKFEFFASKGASSSEIVLAVTKNHSLLLSSLENNIIPMYGLIRRFFESDEKALHYFLNWPDLLQKKNHVKQNLELLFDEGVKDSNFYFIGMKACVFHSDELRKAVDEVKELGFDPSTSSFLAALAAKATVPKAKWDEKVDAFKSWGWSEETIAAAFKRHPRCMLTSKEKINAVIRFWVNQLGWNSSYLAMFPVVFGYSLEKRIIPRASVVQYLLSQGLIKKNASLYTPFVLSEEIFLEKYVKAFNAESFQLLKLYKGG >OIV95337 pep chromosome:LupAngTanjil_v1.0:LG16:16578842:16581950:-1 gene:TanjilG_07493 transcript:OIV95337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDSDPPIRIMNFVSEDQLLESKKTRGERVEDGTAQRDRPLYEILKENKDKKDAEFNERFKHRPPKALDEDETEFLDNYEATRKEYEQKVADEEAQQLRSFQAAVEAQSTFVHEVKEKPPLPLVKEEKSVGKKNPASLPLGMMIKVKPRAKKAKVDEGYAEEVSKAGTAPDNLIRKSLEPVQSLNDEDDKSREVASTGLVSYSDESDDNDL >OIV95950 pep chromosome:LupAngTanjil_v1.0:LG16:1815141:1816851:-1 gene:TanjilG_27054 transcript:OIV95950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVKGKVLNKSSTMIQSEEEEDMDLRRGPWTLDEDLALINYIANHGEGRWNSLARSAGLKRTGKSCRLRWLNYLRPDVRRGNITLEEQLLILELHARWGNRWSKIAQYLPGRTDNEIKNYWRTRVQKHAKQLKCDVNSKQFKDAMRYLWMPRLMERIQAAATATAATTTTDSSTLSITPNVMTTNNNNNNFEVHSGGNMMLSPTIMNNNFGCSQIKQSYTPENSSTGTSSDSFGTHVSDLTHDYYSVPNLDYYQQAHQVNYIDCITSPSGLFSPEMDFQTMEPNTQWIQNGDISNNLWSFENMLQQLNDNM >OIV95526 pep chromosome:LupAngTanjil_v1.0:LG16:11869021:11884862:-1 gene:TanjilG_11110 transcript:OIV95526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELGNHNSGECPPKIHRLSHSVVKRITAGDVIQHPLSAVKELIENSLDAHSTNINVIIQDGGFKLIQVNDNGHGVRYEDLAIMCGRHTTSKLLKFEELMRLKTMGFRGEALSSISHLGDVTVTTITQGSVHGYRVSYKDGAMEHEPKPCAAVKGTQVTVENLFCKMAGRKKALQNSYRDYKKIVDLVSRFALHHTNVSFSCRKYNANRPDVHTSVTSSRLDVIRSFYGIAVAGCLKEINVADSNPSPAVFEMQGFMSNATYAAKKMIMILFINDRLVEWSALQRAIEVVYTKKLHWASKPFVYISIVLPPGQIDVNMHATKKEACTKERESRSKKVPVHELVSTDSLDLAGSLHACGQPKFDGHTEKGACLNAVRSSVSPSRNPETAADLTSVQELLAVINNDCDPAMMDILRHCSYIGMTDAVFVVLQHHTHLYLANVVNWSKELMYQQFLSQFAHHNAISISDPLPLKDLIFLALKEDDIDLEVNDDDNLKEMIAEMKSELLKEKAEMLEEFFGINIDEHGNISGLPVILDKHTPNMDHIHEFALCLGNDVDWKDEKNCIQGISVALGNLYAMHPPMFPNPFGDGLFNYKKVNQLERGTFDITGVDAINNNVEHGMPSQPENEWTQYERKIQDFVFPSLRDFLKPSVSMATNGTFVKVMHIWFSFIFFNELLT >OIV95241 pep chromosome:LupAngTanjil_v1.0:LG16:19702965:19704566:-1 gene:TanjilG_21631 transcript:OIV95241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADIVLQRNNISPNNSTKKERSNLLLGRFEIGKLLGHGTFAKVYYAKNIKTGEGVAIKVIDKEKILKGGLVAHIKREISILRRVRHPNIVQLFEVMATKAKIYFVMEYVRGGELFNKVAKGRLKEEVARKYFQQLVSAVEFCHARGVYHRDLKPENLLLDENGNLKVSDFGLSAVSDQMRQDGLFHTFCGTPAYVAPEVLGRKGYDGAKVDLWSCGVVLFVLMAGYLPFHDQNVMAMYKKIYKGEFRCPRWFSPDLSRLLTRLLDIKPETRIVIPEIMENRWFKKGFKQIKFYVEDDRLCNVEDGLLDNEDDAASVVSDCSISESDSEMEIRRRNAPLPRPASLNAFDIISFSKGFDLSGLFEEKGDETRFVTAAPVSKIISKLEEIAQLVSFSVRKKECRVSLEGTREGVKGPLTIAAEIFELTPSLVVIEVKKKGGDRAEYEKFCNTELKPGLENLMVGESATSSFSAASTEPHLLRVFSEPMRMSSDIESPHYTPSDIGSPRYTASDIESPHYMPSDIESPLYMPSDSDT >OIV95365 pep chromosome:LupAngTanjil_v1.0:LG16:16064923:16065486:1 gene:TanjilG_14519 transcript:OIV95365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNKKRNIGVGRRREKRMAMAKRGFKSLAIAVSLPLSLTLLSMYLGSSLHTQQHADYYDDDDDVVVVASTKPFWFTPSWVLHLMCPASSFLMGISAWMVWADGGFHANPVALLLYLAQIIFTLLWDPLVFGLGATRVGLMVCLGLFGALSGCMHVFRQLNSVAGDFTKPCLACAAFLSVVNVKLLFV >OIV96178 pep chromosome:LupAngTanjil_v1.0:LG16:529081:578517:1 gene:TanjilG_14855 transcript:OIV96178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSPKQLLSTLESALLGPSPPTAAQRVELLHAIRTSFRSFQSLLSYLPPKSSDRSQVQSKSVRLPDSSPISLDDQDVQIALKLSDDLHLNEVDCVCLLVSANQEWGLMGREPLEILRLAAGLWYTERRDLITSLHLLLRAVVLDQGLEDEILVDIQKYLEDLINSGLRQRLISLIKELNREEPSGLGGPQCEHYVLDSRGSLVERQAVVSRERLIIGHCLVLSVLVVRTSPKDMKDIFSVLKDCASEVSQSNTTVKHQITFSLLFALVVAFVSDGLSTVLDKASVLSSNASFRCEFHELVMAAANDPVVEGFIGSIRLAWVVHLMLIQDGVAARETVSSGPSNEMGYLSQCLEVIFSTNVFQFLLDKILRTAAYQNEDEDMIYMYNAYLHKLITCFLSNSLARVKIKESKERTMSVLSPYRVVGSQDSNSSSQHCSEIDALTFNSVLDFVSEIYQKEPELLSGNDVIWTFVNFAGEDHTNFQTLVAFLNMLSTLASNQEGASKVYDLLQGKAFRSIGWSTLFECLAIYDEKFKQSLQTAGAMLPEIQEGDAKALVAYLNVLKKVVENGNPIERKNWFPDIEPLFKLLSYENVPPYLKGALRSAIATFIPVSPVLKDSIWTYLEQYDLPVVGPDVQNSQQPMATQVYHMQFELNEIEARREQYPSTISFLKLINALIAEERDLSDRGRRFIGIFRFIYDHVFGPFPQRAYADPCEKWQLVGACLQHFHMMLNMYDVKDEDFEGVGDQSRLLTTKESSPLQTQLPVLELLKDFMSGKTAFRNIMGILLPGANSIIAERNSQVYGPLLENAVQLSLEIIILVLEKDLLLSDYWRPLYQPLDIILSHDHNQIVALLDSRMVGLVQLLLKSSAANSLIEDYAACLELRSGESQTVENNTDDPGILIIQLLIDNISRPAPNITHLLLKFDLDLPIERTVLQPKFYYSCMKVILDMLENLLKPDVNALLHEFGFQLLYELCVDPLTCEPTMDLLSNKKYHFFIKHLDAIGIAPLPKRNSNQPLRISSLHQRAWLLKLLAVELHAGDISSSAHREACQTILSHLFGQDILKIDGGQAMSPFSLQATYENAAIRTVSKSKLTYDVSGSSLPPPLVMQGVHSGVDAYGFPDSFSQFHHSLREVLELLEIIQFRCPDTSSKLSNIVAGMKYDLLAEDILGNPGNSGKGGVYYYSERNDRLIDLASFHDKLWQASNLGSEVELNDVRETIQQLLRWGWKYNKNLEEQAAQLHMVTAWSQIVEVSASRRLTMLEGRSEILFQVLDASLSSCASPDCSLRMAFILSQVALTCMAKLRDERFLFPGSLNSDNITCLDLIAVKQLPNGACLTILFKLIMAILRNESSEALRRRQYALLLSYFQYCQNMVDPDVPTTVLQFLLLSEQDNEYIDLPKIDKEQAELARANFSTLTKEAQSVLDLDGGLSLDSLQRACTFEAELGLLLRISHKYGKSGAQVLFSMGILEHLSSGRVTNLQGGLRRVEMRLRRDMAVDVDRQRMIVTPILRLVYSLTSLVDTSEFLEVKNKIVREVIDFVKGHQPLFDHVLRVDVAEADELRMEQINLVVGILSKIWPYGESNEYGFVQGLFGMMHALFSRDLKVPSFAQSISPDNQRNSELQIFKLCFSLSSYLYFLVTKKSLRLQHSDASSSYPTSIELQQPTLTLLNSLLTSVTIALERAAEEKSFLLNKIRDINELSRQEVDEIINMCVQQDSVPSSDNIHKRRYIAMVEMCRVVASRDQLIILLLPLSEHVLNIFLIHLEESSVASDSTLTTKTITYGPKYDPKQDTASLCGKLVPTLERLELLSEV >OIV95039 pep chromosome:LupAngTanjil_v1.0:LG16:20599685:20600917:-1 gene:TanjilG_10859 transcript:OIV95039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGETSWVRRYDNPTRRETGESDPISELGEEGDKEGTVVCIDERLPDDLLRVILAFLPVESIAKARCVSKKWHGILISKTFLWNLSRIPPQKPWYFMFTSSDEPIGYAYDPILRKWHSIELPFIGTSNWFIASSGGMVSFMDNDSMSNLCVCNPITKTCRKLKEPPGLTFPDYSALAMSVNRESQSYTVAIVKSKQVPENFVQWDISIHIYDSEQVKWMTSITEVLSGWRSGDESVICNGVLYFLVYATRGIQTENRHALIAYNLSNGSSQIHLARNFIQVPCSLTCGRLMDMKGKLVMVGGIGKPDRSDIIKGIGIWILNDRKWEEIGRMPHKFFQGFGELDDVFSSRGAEDMIYIQSYGAPALLIFDMNSRHWKWAQKCPVSKRFPLQLFSGFCFEPRLEMTPWFSQI >OIV96140 pep chromosome:LupAngTanjil_v1.0:LG16:941173:941907:1 gene:TanjilG_13072 transcript:OIV96140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCCVSTNEKSNISSQPNDSVEEETVKEVLSETPKWNPTVAKLEPDIQTVAKFDAGKPLKKPTVAKFEVERKVEKVIMPLEISKGEEEISEVCSLSETVSTTTLTEKEPRQRVHSSPAKITKNCSFSGEFCGGSGKSPARRTEQSPSRRNVRIVQSRECQMGNGVMRNQPRRDNSCRRSTSPATRGDSVAPSSVVGRSPSARRVNQSPARVRTTAPENDGRKMEQSANESLENPLVSLECFIFL >OIV95849 pep chromosome:LupAngTanjil_v1.0:LG16:3947800:3950598:1 gene:TanjilG_06825 transcript:OIV95849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANFTSSSLSHIPPSSSAAFDDDTYEDSCSICLEPFNTNDPPTITCCKHEYHLHCILEWSQRSKECPICSQSLGLEDPASQELLDAVEAEKRLTFRNSHSYPFTSSHDDSSSDDSGFDERIMQHLIAAAESRARFVHRRERQRLSGVGPSEVATNTTPHSDVPFRPRVFYSHTPSESARRLNTSEMFSVPESFKSKFSAASARYKESISKSTRDLKEKLLARNASVKELSKGVQREMNAGIASVTRMIERLDLTSKWSTSPLSPVHTEGTSGLPVKGKSIEENGIGHGPCEESGDLVRDINSDAPSLVSRMVVSRAESPPGVQNGHDAVKT >OIV96038 pep chromosome:LupAngTanjil_v1.0:LG16:2569044:2577173:-1 gene:TanjilG_27142 transcript:OIV96038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAILGTQNPPSNHDSPVLYQASNEFSVPAVAPSPASPQAATTTPGRMLSTKFPRGRRLIGENVVYDIDFKLPGEVQPQLEVTPITKYASDPGLMLGRQIAVNRTYICYGLKLGAIRVLNINTALRYLLRGHTQKVTDMAFFAEDLHLLASASTDGRIFVWKINEGPDEEDKPQIAGKVITAIQILGESESVHPRVCWHPHKQEILMVAIGNRILKIDTMKAGKGETFSAEEPLQCSIDKLIDGVHLVGKHDGNVTELSMCQWMKSRLASASEDGTVKIWEERNATPLAVIRPHDGKPVNFVTFLTAPHRPDHIVLITAGPLNQEVKIWVSDNEEGWLLPSDSESWSCIQTLDIRSSSKANPEDVFFNQVVALPRAGLFLLANAKKNTIYAVHIEYGPSPTATHMDYIAEFTVTMPILSLTGTSDGLPDGEHIVQIYCVQTQAIQQYALNLSQCLPPALDNAELEKTELNLSHSSNALNEFSNLETGNMPQVHSSNSESAPVVSLPVNLSSDISGLPEASTSDIETKPNDLHSHHGFEHIQSSPPPHPPSPRLSHKLSGFKGSSNNLETRSTNVDHNNDQTNLESSAERRVESEKDITGDVPASGDILRKNDGLVQNDVSVVSNSPTTFKQPTHLITPSEIFSKAALSSENPQTSQGMNVQDVAAHDDAENLEVEVKVVGEAGSNQENTEYDRDRDSHTDVAEKKEKLFYSQASDLGIQMAREAYNAEGVRQADNIKTIDVPDQSSNTIEEEVQNTNKEPPANNDESETVAANLQSPAPAVKGKRQKGKSSQVSGISPSSSPFSSTDLANNQGGNSGGSSVEAALPQLSTMHDMLSQLLSMQKEMQKQMNVIVSAPVTKEGRRLEGSLGRSMEKVVKANTDALWARLQEENAKQEKLELDRTQQITNLISDYVNKDMTTVLEKIIKKEISSIGSTVARSVSQSVEKTVSSAIMESFQKGVGEKGLNQLEKSVSSKLEATVARQIQTQFQTSGKQALQEALRTSLEASILPAFEKSCKAMFDQIDVTFQNGLTKHTTAIQQQYDSTHSPLAMTLRETINSASSIAQTLSGELAEGQRKLIEIAANSKIAADPFVTQINNGIHEMAEDPTKELSRLISEGKFEEAFIGALHRSNVSIVSWLCSQVDLPGILTMVPLPLSQGVLLSLLQQLSCDINTETPKKLAWMTDVAAALDPVDPRISAHVRPILDQVYRTLGHHRTLSTTSPGEASTIRLLMHVINSVLVSCK >OIV96077 pep chromosome:LupAngTanjil_v1.0:LG16:3365730:3367583:-1 gene:TanjilG_27181 transcript:OIV96077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQHSVQRFVAIVTASFVFIVLVSLLGDTAMVEPNRIWGSKCSMSDIVITQGPTTPLPNGIPTYTVDIMNMCVSGCKISDIHLSCGWFSSARLINPKLFKRLRYNDCLVNDGRSLLNGGSISFQYANTFLYPLTVSKVVCV >OIV95053 pep chromosome:LupAngTanjil_v1.0:LG16:20459899:20462798:-1 gene:TanjilG_10873 transcript:OIV95053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNQYMGHVKVQRRPLELSILATESDDAEKIDVVKEGAVRKYDTIQQVVPRRPPDRDFILEPVIVNPKIGLASEIWDMINSTYDGLDKGNYPIRSMEGTGGAYFMLDSTGQKYVSVFKPIDEEPMAVNNPRGLPLSLDGEGLKKGTIVGQGAFREVAAYILDHPISGRRSLLGDGKGFAGVPPTFMVKCLHKGFNHPGDLTAKIGSMQMFVENSGSCEDMGPGAFPVKEVHKISVLDVRLANADRHAGNILLGMVDENDQAVLIPIDHGYCLPTTFEDCTFEWLYWPQARTPYSTESVKYINSLDAEEDIALLKFHGWDLPVECSRTLRISTMLLKKAVARGLTPFTIGSIMCRESLNKESVIEEVVQAANDSVLPGTSEATFLESVSEIMDQRLDEIASNSLLQWS >OIV95597 pep chromosome:LupAngTanjil_v1.0:LG16:8915344:8918366:1 gene:TanjilG_23828 transcript:OIV95597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENNKRKVGGCSSSSSPTNFDHLFGPKDSSYTSSSSTSLFSSIFPHPSTVGGRDSRKQDTRDRNYGAPGNYGKDENSNGIYNKNSSTNYQNETVEPSYYSSSIYYGGQENYSPRTRTTESHHPHVFKKDKDGDNPDGNDSNSASRGNWWQGSLYY >OIV95336 pep chromosome:LupAngTanjil_v1.0:LG16:16584904:16589570:1 gene:TanjilG_07492 transcript:OIV95336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPTNPNVGFNPSNPKETQSSTSSNIDSKNPILPPPTSFVTAPRFPPPTLHLQKQDHSPNVLSPANGGFIKTGSSVVPQLSTPPGPPVFTSPVRPAAVPFRTSPASPQPVALSSGSSLPALSPLQFSNGSVELQQQRVSDGVIEDHVPDGESSFVLFSAHKVLKHKKQANVPSLGFGALLSPGRDVSTGPQVIQRDPHRCQSCGAYANIYSNILLGSGQWQCVICRKLNGSGGEYIAHSKEELHRFPELSSAMVDYVQTGNKGPSFVPVSDSRMSAPVVLVIDECLDEPHLQHLQSSLHAFVDSLPPTARLGIVLYGRTVSVYDFSEESVSSADVLPGDKSLSEESLKSLIYGTGIYLSPMHASLPVAHSIFSSLRAYKLNIPEASRDRCLGTAVEVALAIIQGPSADLSRGIVKRSGSNSRIIVCAGGPNTYGPGSVPHSFSHPNYPYMEKTALKWMENLGHEAHRHNTVIDILCAGTCPVRIPILQPLAKASGGVLVLHDDFGEAFGVNLQRASARSAGSHGLLELRTSDDILITQVVGPGEESHVDTHESFKNDTALYIQMLSVEETQSFSISMESKGDIKSDSVFFQFAIQYSNVYQADVSRVITVRLPTVDSISGYIESVQDEVAAVLIAKRTLLRAKNHVDAIDMRATIDERIKDIALKFGSQLPKSKLHRFPKEISPLPELLFHLRRGPLLGSIIGHEDERSVLRNLFLNASFDLSLRMLAPRCLMHREGGTFEELPAYDLAMQSDAAVVLDHGTDVFIWLGAELAADEVRSAAALAACRTLAEELTEFRFPAPRILAFKEGSSQARYFVSRLIPAHKDPPYEQEARFPQLRSLTSEQRTKLKSSFVHFDDPSFFEWMRSLKVVPPEPS >OIV95877 pep chromosome:LupAngTanjil_v1.0:LG16:4269374:4274335:-1 gene:TanjilG_06853 transcript:OIV95877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFSFFKALRPKTPQEVAKSIKESFMALDTKTVVEVKALEKALEEIEKNFATMRTMLSGDGESEPNSDQISQLVEEICREDVLTLLIHKLPILGWEARKDLVHCWSILLKQKVECNYCCVEYIEQHLELLDFLVVCYDNKEIALSTGIMLRDCIKFPSLAKYILESASFVLFFKFVELANFDVASDAFSTFKDLLTKHPDVVSEFLNAHYDEFFDLYEKLLTSPNYVTRRQSLKLLSEFLLESPNTQIMRRYILEVRYMKVMMTLLRVFVANPNKPRDVKIILAKNKEKLLELLQNLSPGKGSEDEQFEEEKEFIIKEIGKVSV >OIV95195 pep chromosome:LupAngTanjil_v1.0:LG16:18699818:18703261:-1 gene:TanjilG_21585 transcript:OIV95195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQLCQHSVCHKLLRWQTRWLSTIAPSNEDSVDLVPKMPHFSHTPTPYNGPSASDLLKRRKVYLPTCLGPFYTHPLNLVEGKMQYVFDENGRRYLDAFGGIATVSCGHCHPDVVEATVNQTRLLQHTTVLYLNHAVVDFAEALAAKLPRELEAVFFTNSGTEANELALMIARLYTGYHDIISIRNGYHGNATSTMAATAQFFHKFNVVQTGIHHALNPDPYRGVFGSDGVKYANDVQDIIDYGTCGHVAGFIAEAIQGVGGVIELAPGYLPAVYSIIKKAGGLFIADEVQTGFGRTGSHFWGFEEQGIVPDIVTLAKGIGNGAPIGAVVTTPEIAKVLTYSNYFSTFGGNPVCTAAGLAVLRAIEKDKLQYNAFVVGSYIKERLSSLMEKHEIIGDVRGRGMLLGVELVQDRQSKTPAKSEMLKITEHMKDMGVLIGKGGFHGNVLRITPPLCFTKEDAGC >OIV95168 pep chromosome:LupAngTanjil_v1.0:LG16:18356528:18360492:1 gene:TanjilG_21558 transcript:OIV95168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATDHEDDFKNEKNPRPLDEDDIALLKTYGLGPYSTSIKKAEKEIKDMAKKVNDLCGIKESDTGLAVPSQWDLVADKQMMQEEQPLQVARCTKIINPNSEDAKYVINVKQIAKFVVGLGDKVSPTDIEEGMRVGVDRNKYQIQIPLPPKIDPSVTMMTVEEKPDVTYNDVGGCKEQIEKMREVVELPMLHPEKFVQLGIDPPKGVLCYGPPGTGKTLLARAVANRTDACFIRVIGSELVQKYVGEGARMVRELFQMARSKKACIVFFDEVDAIGGARFDDGVGGDNEVQRTMLEIVNQLDGFDARGNIKVLMATNRPDTLDPALLRPGRLDRKVEFGLPDLESRTQIFKIHTRTMNCERDIRFELLARLCPNSTGADIRSVCTEAGMYAIRARRKTVTEKDFLDAVNKVIKGYQKFSATPKYMVYN >OIV95470 pep chromosome:LupAngTanjil_v1.0:LG16:15511514:15515590:-1 gene:TanjilG_06932 transcript:OIV95470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKKLDTRFPAARIKKIMQTDEDVGKIALAVPVLVSKALELFLQDLCDRTYEITLQRGAKTMNALHLKHCVQSYNVFDFLRDIVSRVPDYGHGHSEAGVDDRALSKRRKAAAGDDCNDSDEEAKRIKMLELGHTGSTGRGRGRGRGRGHGRGARTIERETHHQQVESEPFTFVQPNSKDVPNTSMAIDNGPESKELSKENIPVPEENTQSLHNIDLNANLNENEDKNSCAAAQASLSEPATETKHEEIPGWSLADVEMMAIDTTQLANLGSRQEEDEEDYDEEG >OIV95651 pep chromosome:LupAngTanjil_v1.0:LG16:6292407:6296429:-1 gene:TanjilG_01445 transcript:OIV95651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNKKCKDDGKTEHLYIETDPTGRYGRVGDILGKGAMKTVYKAIDEVLGIEVAWNQVRLNEALRTPDDLERLYLEVHLLSTLKHQSIMQFYTSWIDVDKKTFNFITEMFTSGTLREYRKKYKQVGIQAITRWARQILQGLVYLHEHDPPVIHRDLKCDNIFVNGHLGQVKIGDLGLAAILRGSQPAHSVIGTPEFMAPELYEEEYNELVDVYSFGMCVLEMLTSDYPYSECSNPAQIYKKVTSGKLPAAFYRIEDTDAKKFIGKCLVTAAKRPSAKELLHDPFLASSDASTMTKIGIQEPFLNYNEMDKLQLSEDLPRTEMSITGKLNPEDDTIFLKVKIVDKDGSSRNVFFPFDIFSDTPIDVAKEMVKELEITDLQPYEIATMIEGELSLLLPNKRKSSCPDSSHTFNYQDDDDDDGDDNDGLHQDFHYVSSCSSPKESISGLVSKEDEISNGYYWIQDDMHDDASSRCSSQGTYSNFNYYNVDDHENNVASTRKDKHPITKSHRCTRFPHGEDSITSKQGKVLAGPQALSTSQSKRMIDTCSRLTRNNSLIDMRSQLLHRSLVEEVNKRRLFNTVGAVENIGFQTPCDVSIKKSQTVFGALNLNYSRSGKGEKLTAKKN >OIV95374 pep chromosome:LupAngTanjil_v1.0:LG16:16161204:16165998:-1 gene:TanjilG_14528 transcript:OIV95374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRSSRTIYVGNLPGDIREREVEDLFIKYGHIAQIDLKVPPRPPGYAFVEFEDAQDAEEAIRGRDGYDFDGHRLRVEIAHGGRGNTSSRDRHSGYSNGRGGGGRGLSRRSDYRVQVTGLPSSASWQDLKDHMRKAGDVCFAQVFNDGGRGTTGIVDYTNYDDMKYAIKKLDDTEFRNAFSKAYVRVREYDSRRDSSRSPSRGRSHSRGRSYSRSRSHSRGRSQSKSPKGKSALHSPAKSPKGKSSQRSPAKSPKDWESRRSWIAILELDSCVSIGIWKSPRMRNRDSLITLFGFKSCQANRVNYLDSWDCVVQ >OIV95822 pep chromosome:LupAngTanjil_v1.0:LG16:3738703:3741579:-1 gene:TanjilG_06798 transcript:OIV95822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSIAKKGLNNYLKQLQLNPLRTKVITAGVLSAISDIVSQKLTGIQRIQFKRLLLKVIFGAAYLGPFGHYFHTILDKIFKGKRDSKTVAKKVLIEQLTSSPWNNFLFMIYYGLVVEGQPWVNVKAKVKKDYPSVQYTSWTISPVVGWINHQFMPLHFRVVFHSFAAFFWGIYLNLQARSLALTKA >OIV96074 pep chromosome:LupAngTanjil_v1.0:LG16:3040668:3042636:1 gene:TanjilG_27178 transcript:OIV96074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFDDDKVNEEGATKVSETHHVGKTATDEESGDEEGKGTLSRYKSESSIAATEDEDDDEDRKIELGPQCTLKEQLEKDKDDESLRRWKEQLLGSVDINAVGETLEPEVKILSLAIKSAGRDDIFLPIPEGGNPKGSWFTLKEGSRYRLMFTFQVNNNIVSGLKYTHNVWKTGIKVDSSKEMIGTFSPQAEPYTHEMPEEVTPSGMFARGTYSARSKFVDDDNKCYLEINYTFDIRKDWQ >OIV95219 pep chromosome:LupAngTanjil_v1.0:LG16:19234665:19243040:-1 gene:TanjilG_21609 transcript:OIV95219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENNIELEEGEAFSYDDDDEDNIDLDSLSYIDEKIQHVLGHFQKDFEGYVCAKDLGAKYGDYGSFLPTYERSRVESHPKTPQRNHCTPKSLINLHKEVVSHSLKAPSNVPPSRLGIASYSSHPVHNVRAPSVDDSGKKEEVISSSEVSEKSTVKDDTRKKSRNSTDQRTLKFRIKVKSSIFAQKNAAIYSGLGLDNSPSSSMGNSPVESEGMPPVCKVNANNSPTEIIKVMTSFPIPGGLLTSPVPDSMLHSIRKEKVIGHGRFLSSVNGHQEPSLMSTDESDSFVGDAHLKNRKVKIVRRGEKKLELKHMNGFLSENDMALHAKKKLGNRTQDDKDVLSNDLKCTLLKSSICDAGETMEVTGKASKVSREVNKNGVPGRMVSVGVVKEESYVSISSQGFEKVEKQKAGNGFMKKVVDHKLENSRKDKLSVHNNNDKCNNTFVLSDKVECDAVKYNVDQNPQKRETNQKKKAVSEGKNKSKVYQSPEKGEAIAREDIFGGTNNPMVTAKESAGFDVTSGRSKMNKAKLLKDTKVRDRDSLKGTKSELKVDGPPGTSATESSNIGNFEKQRAFGAKVKGRPNVNKVDDQFLAGPCTKDASGSFPVEDKPAPEMNPLAIAAPQLIAEDWVGCDSCEKWRLLPTCIKPEQLPEKWVCSMQNWLPGMNRCDISEEEATNAFHALYQIPISECQNNMPSHGTRTKIGVSSVDAVQFGRNQQMSSFDALSVRGKKKHAIMEKTMTGVKNYITNDQESGKNISLTDLNRHPANSNPMEKTSSRRFSICNNLIEEKHVAKEMQKQINGGNRKLIKLKRKMDTDQYRSGTPKKSKPEDVCHTEKQLKPGMFLADAGLKSRNGLPEKASGMDIRKYDDFFLSDDIQDKLLDPVKKEGNRTQSDGGSFGVKNGGKSDGSVKKRKLEDWVDTEKHNNSFSLQGTKQCDKEGNASGFRKEKKSRILNMEVKSATEGDKLNNGGMMQVCLSGSREQMAVRTKVKFVDKGQQPKKHRKNTASCQASDHTVPFGKDLGSGQLSLAATSSSSKVSGSHRAKTNFEYMIGSPVESVTSSPPRTSKMNKHILAVGDISGKHDASKGGLSSIGSKKIADIRAGKLSVKLKEDGMSHNFSPTCYKVSSIEYQVEDVKGKATVQAKTSELKNDRLLEGGVLLEQHRNCANGMHHEVEVHKNSQESELTWQKSCKVTSLHHKEKERRSGSLVGTDKMKLSALENGYSKNGGRHDSAVDPSYHASVPETRNDAKCSSPKSKQIDNICQKNALRHGSSESGKKSKVKQKNRDNPLLKMDAHYSAARKNISHQNLIQDFEEENEATHVYSESGDGKSKVITSSADEVKSGMLYVGSRTAPGFEKGGTANEHPVHVSGNGDVAYTMRKSVDLNSKIRVNYNSEKVHDQHLTMSSPVQTNSSQTACKMLEEATKLKDRATHFKNSGFEFESNETYFDAALKFLHGASLVENSHNESNKHKERNQMQLYATAAKLFKSCAHEYERCQEMAVATLAYKCMEVAYMRVVYCKHSSTNRDRHELESSLQMVYQGESPSSSASDVDNLNNQMAVDKATFPRGTNTHVSGNQVLSARTRPNLVRLLDFTQDINSAMEASAKYQTTFVLANVNMEDARNRDCITSIRRVTDFSFQDVEELVRLVSIATNTIRCAGLGGPRD >OIV95178 pep chromosome:LupAngTanjil_v1.0:LG16:18447679:18450171:1 gene:TanjilG_21568 transcript:OIV95178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSNNLVKEEDDLSFGLQVLGSFSFPMALRSAFDLGIFEILAKEGDGAKLSSKDIAIKIGTNNIEAPNMLDRLLKMLSCHSMLNCSLHEDTQNIGPPKSFYSLSPKSKCFVIDDDGVSLGPLLALAMDKVFYQSWNELNGAILEGGIPFNRIYGMNAFDYSSTDPRFNEIFNKAMFNSTLIMKKILQVYKGFEQINRLVDVGGGLGLNLKLITSKYPNLKGINFDLPHVIQHAPQYDGVEHVAGDMFESVPKGDAILMKSILHDWSDEQCLKLLKNCYKAIPENGKVIVVDSILPMVPEETASAKFGFGSDLFMMTQNPGGKERTQQEFMELATSSGFSDIKLICRVGLWVIEFFK >OIV95364 pep chromosome:LupAngTanjil_v1.0:LG16:16060971:16062260:-1 gene:TanjilG_14518 transcript:OIV95364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSGVAYCGCNVVMMQQCHCVVATQVQRNIFLDVNALDDMGNRINELEQSINDLRAEIGVEGSPSPTAPAKPIDEEESNKEEGSA >OIV95473 pep chromosome:LupAngTanjil_v1.0:LG16:14062337:14081414:-1 gene:TanjilG_23916 transcript:OIV95473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRAPMTVEEQLLQKAIKEECPWENLPKRIQINLSSKEEWHRRIAESCIKKRLQWNTCFARRVCRESEYYEDMMRYLRKNLALFPYHLSEYVCRVMRVSPFRYYCDMLFEVMRNEQPYDSIPNFSAADALRLTGIGRNEFIDIMNKCRSKKIMWKLNKSIAKDLLPTQPVDFPIEPWWGVCLVNFTLEEFKKLSEEETATIDKVCKEEANSFILFDPDVVKCLYRRGLVYFDVPVYPDDRFKVSRLEGFVSNREQSYEDPIEELLYAIFVVSNENASVAELATTLQADLSQLQAAASFVCRLGWATKVIDPSSILEDTLSGSPRSVVNDEDASLSGHGFENMLIDSDTNQQGDASGSGNYGPQSAYTRVAFIVDANITSYLMMGSVSPGLKSHAVTLYEAGKLGHASIADLCKDLSSLEGAKFEGELQEFANHAFSLRCVLECLQSGGVTSDAKVEEGFDKMDTATSTTDDSSYLIAEVSLAEKSGGSDITEAEIKNDVLSLDVEKSVEASVSSEAVSATVNGTHSITLHDYSGHRLEIANSDGNIQSDEKLMSDVGTEMPKRKKKYRVDILRCESLASLSPATLDRLFLRDYDIVVSIVPLPHSSVLPGPKGPVHFGPPSYSFMTPWMKLVLYSTVASGPLSVILMKGQCLRLLPAPLAGCEKALIWSWDGSTVGGLGGKLDGNLVRGNILLHCLNSLLKHSAVLVQPLSRFDLDESGKVFTIDIPLPLKNSDGSTAPVGRELGICEEESSKLNTRLTDLANKMELPTVGYIRLLRLFNGRESDQFSPDEDKYEWVPLSVEFGMPLFSPKLCNSICRRVVKSELLQSGSFDEHHDAMQSLRKKLHEICAGYHATGPAAKLLYQKEQAQESTRQLMSYASGRWNPLMDPSSPISGVSSEHQRLKLANRQRCQTEVLSFDGSILRSYALDPIHEAATRSIEEATQTNATKSEPDENDSKEVILPGVNLIFDGTELLPFDIGACLQGRQPISLIAEAASASSSLAIK >OIV95392 pep chromosome:LupAngTanjil_v1.0:LG16:15593151:15594580:1 gene:TanjilG_06261 transcript:OIV95392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSQYKPSVDEVHSDVKVVERSTRVRPYEVLLRFLGLSLTLVATIIVGIDKETKRISYAEMHFEVTAKWEYMSAIVFFLVSNAIACSYAAASLVITVMPKSNINNNVTLLVITLVDLVIMALLFSANGAASAVGEIGQHGNSHVQWFKVCNVFDAYCRHMTVALVLSIIGSTIFLLLVALSILKLHYNK >OIV95797 pep chromosome:LupAngTanjil_v1.0:LG16:4497161:4500286:1 gene:TanjilG_20247 transcript:OIV95797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATHLHVSQGAPSHDFEGTPSLEIGQHDLLIVGPGVLGRLVAQTWRQEYPGCQVFGQTLTTDHHGELIEIGISPSLNWTRGKHKFPYIIFCAPPYQSSDYHGDIRLAALSWNGEGSFLFTSSSAPYDCNDNGSCDEDTPVVPIGRSRRTDILLNAENIVLEYGGSVVRLAGLYKEDKGAHAYWLEKGIVECRPDHILNLIHYEDAASLSVAVLKKQFRRHIFLGCDNHPLSRQEIIDLVNQSGKFSKKFEKFTGTDDPLGKRLNNSRTRQEVGWEPKYSSFAYFLETL >OIV95572 pep chromosome:LupAngTanjil_v1.0:LG16:9708646:9712106:1 gene:TanjilG_30539 transcript:OIV95572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRRNESLPIIRGGEGLTPLHMALLQGRSEMAWYLLPKSKEILEELDWKILFHICINSELYDLALEMLKEKKMLAFARIGDTGETGLHVLARKASICCCQSLQHGKHLLHFCTKDTFILKLINFMWEIFLTELDDSTLMNAIRQPSQVTFIAAEVGNFEFLSVVISTYPDLIWELDTMGRSIIHTAVLHRHASIFNLIHEIGPMKDLILTFVDDEKNNLLHCAARLAPPNRLNIVSGAALQMMLELSWFEEVKKIMIPLFIEMENSEGFTPRQIFTKEHEELLKNGESWMKRTANSCMVVSTLIATGVFSAAFSVPGVPSVV >OIV95613 pep chromosome:LupAngTanjil_v1.0:LG16:8601394:8602730:1 gene:TanjilG_23844 transcript:OIV95613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIESVDSIDKGSEEKEKNMGLKLKETFFISHGSPTLAIDESIPAWKFLNSWKEVFPEKPSSILVISGHWDTNVPTVNVVDQNETIHDFYGFPRAMYKLKYPAPGAPNLAKKVKELLLASGLDHVDEERKRGLDHGAWVPLMLMYPEADIPVCQLSLSSKRGATYHYNMGKALAPLKDEGVLIIGSGSATHNLSTIAPRTTPPAPWALAFISWLKDSLLHGRYEEVNEYEEKAPYAKVAHPWPDHFFPLHVAMGAAGEKAKTQIVHDSWDGGSFSYASFGFTAATTT >OIV96201 pep chromosome:LupAngTanjil_v1.0:LG16:321095:329124:1 gene:TanjilG_14878 transcript:OIV96201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGVSYGLGPRLDIQQLQFEAQHRWLRPSEICEILRNYRMFHITPEPHNRPPSGSLFLFDRKILRYFRKDGHNWRKKKDGKTVKEAHEKLKVGSVDVLHCYYAHGEGNENFQRRSYWMLEPDMMHIVFVHYLEVKGNKTTIGGITESDDVTSDSQKATSPSSGFPSNYSTGPSLSTDSMSPTSSLASLREDADSEDIHQASSGLHTLRESQHMGSVLPMDKHGAGLNSNYLLHPISGDHGQSSPSGTDYIPLLPGDKFGGNDSTYIDGQKVHGMASWDNVLEQCTMELHTDPSVISFPSIPSSLVGNILEQEHTIFGDLLVGRSGFTEEARSSQSLQSNWQIPFEDNSGHFPSLTQPLSLDLGSEYSTGLLGNETHNISSDIAPNLFNFHGKPKEQPVQQYYPEHNSDGEPQLELKSNYASEVPGEESVNYAVSAKRTFLDIDKSLKKVDSFSQWVNKELGDVDDLNMQSSPGISWSTDESGHVIDDASLSPSLSQDQLFSINDFSPKWAYAESEAEVLIIGAFLKSQPEGTTCNWSCMFGEVEVPAEVLANGILCCQAPPLKIGRVPFYVTCSNRLACSEVREFDYRVGFSGNVDFADIYGSSFEMPLHLQLEELLSLKPVHPSNLTFEGYSEQRNIIFKLISQREEEEYSSREECTVKKDISQEKVKEHLHRQVKEKLYSWLLCIVTDSGKGPNILDKNGQGVLHLAAALGYDWGIKPILIAGVNINFRDVNGWTALHWAAFCGRERTVALLVSTGVDSGALTDPSPAFPSGRTPADLASSNGHKGISGFLAETLLTSHLKTLTMDEQHKGGGQQISGLSSVQTVSERTVTPVFYGDMPDALSLKDSLTAVRNAIQAADRIHQVFRMQSFQRKQLNQYEDDDDDGFGLAEQQAISLVASKSCKSGQGDGLANTAAVQIQKKFRGWKKRQEFLFIRQRIVKIQAHVRGHQVRKKFKTIIWSVGILEKVILRWRRKGSGLRGFRQDALPKAPSQQSDSVKEDDYDFLKEGRKQSEEKFQKALSRVKSMVQYPEARAQYRRLLNVVEDFRQTKTCNMELVNTEETVDGVEDLIDIDMLLDDDNFIPLAFD >OIV96057 pep chromosome:LupAngTanjil_v1.0:LG16:2737245:2737929:-1 gene:TanjilG_27161 transcript:OIV96057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGFDNCYARALGRKRVLVSKNVEPSSPTNTPLKRVCSGRFTSISERSCLEALPFDILIKVLCGVDHEDLEQLFQVSKTIREAAEIAKGLHFEFSTPRKKTFAAFHIPIDVDNSNEFEEIEAPNAPLRKSKSRLNHRNLNSISANLFPSMDEEE >OIV95317 pep chromosome:LupAngTanjil_v1.0:LG16:16765221:16772094:-1 gene:TanjilG_07473 transcript:OIV95317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISNSKAIESSSHSRSEGRSTTTTTTSKDLWSEIIADETQRRYHQQPQPQIDVVYQRRKPRNNLNDANSKQFDPNPPRPIRVSLVDPNKRVSWNRALSTRGRTSIAVGACMVYQPQSKKDNRKGKPALPKILINHGRVIVGATLRLLACLVTWRSRFHILETVYLLARGKFVKPPNFDNERLYFQEVDAFDLMEESPSPKKAGMWLVGNSEEEAQLPPLCSRLEKWLYSRQLNPGYSSSTPLFRILETPSTISGTSHDVNFSASNLRTLERTGVNNSQLDKIKTGDNRGFMDESSSGIDINLRIKEEIQSDEYDEDREHIEAAVKKLSLTSTSSLVDDDHINPFAALLAICGQYAPLMLQDAFSSDSETVVKIGEGTFGEAFKVGKYVCKIVPFDGDLRVNGEVQKRSEELMEEVLLCKTLNQLRGNVGDSNNVCKTFIESIEFRVCQGPYCGALLGAWEDWDDKHGSENDHPKEFPEKQCYVVFVQEHGGKDLESFVLLNFDEARTLLVQVTAGLAVAESAYEFEHRDLHWGNILISRSDSVKLQFTLDGKDIFVKTYGLLVSIIDFTLSRINTGDRILYLDLSSDPDLFKGPKGDKQSETYRRMKEVTEDWWEGSFPKTNVLWLHYLVDILLLKKSFERSSKNERDLRSLKKRLDKYNSAKEAINDPFFSDLIVENDN >OIV96193 pep chromosome:LupAngTanjil_v1.0:LG16:368802:369551:1 gene:TanjilG_14870 transcript:OIV96193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDHPIWYIRTNGLHESIISAITVCKYKKGEGLIEGTECSVCLSEFQEDESLRLLPKCHHAFHLPCIDTWLASHTNCPMCRAPIVTNPTRVPSLVPNSVVVDPSSLENSARDTREGHELSNSAEEEGGGELDVEDETRVCDTESVVVDIRPRRSVSLDSSSVASINLALANVQSSARSGENEGIVSKRFIGNENFPTTSNSKRSSSFRTRYLHNVLHSSMKRSHSFNGKYLVSWYSRNQRKRNASLGSF >OIV95167 pep chromosome:LupAngTanjil_v1.0:LG16:18349978:18350898:-1 gene:TanjilG_21557 transcript:OIV95167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDETSSISSENLVESESPAVEVTSKIMIMGVIILFMIVVISLFIHLYAKFCLSIGGEATAPNSNRHRRRRFIFSPGQEPPTNGALRKGLDPLVLRSLPILVFQSQEFKDGLECAVCLCDVVEGEKTRFLPKCNHGFHVDCIDMWFQSHSTCPLCRDPIGFESCKSCDNSGSNHEQNASDNESLEEEAENSPTFPINVLIWGNQTQVNSSIGTLLEESSSSNSTTSTSTSTSYSSSNRHDGRLVIDIPSETTSSFVSTSASGFAEDDLKSPISARLRSLKRLLSRDRRLYPMSSSYVDVEQDGETAR >OIV95909 pep chromosome:LupAngTanjil_v1.0:LG16:1474585:1477433:1 gene:TanjilG_27013 transcript:OIV95909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSMDFSQFGISMEEKDKLVEEIIRYMLFKTHGHSGCPIKRDELTHLVTKNYKQRNLPTFVINEAKEKLSSIFGYEMRELQRSLPSSKTQALPSQQSVADAKSYVLISQLPSDVYEKYVVDVNTAHLSGFTFAVVSVVYLAGGKMPEESLWSQLKKMGLSADDKNHTVLGNIQQALELLVQQRYLQKNKVSGPEGNNIYYELAERALDGPISDKIKEYTSQIVRDNISTAAA >OIV95222 pep chromosome:LupAngTanjil_v1.0:LG16:19303835:19304485:1 gene:TanjilG_21612 transcript:OIV95222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKTVMRHSGILYGLNSCIAPNINLLREEGVPESHIVQFVEYYPRSLKASPERFKETVEEVKKLEFNPLKKRFVVAIHVKRCISGSTWERKEGIYRRWGWTDDDFQAAFRLHPFCMSMADSKIEAVMEFLVNKLGFESAVIAQHPVLLTLSLEKRIIPRGSVVLALLSKGLVENLNLSPIFKTVEKVFLDKFVYCHEKKEADELLKLYQAKLALAG >OIV95355 pep chromosome:LupAngTanjil_v1.0:LG16:16386692:16403783:1 gene:TanjilG_07511 transcript:OIV95355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIESRLEEEEEEEESEQISDTAFPHLKSYSLQLLQLLQNPQNQNQNQKQCSSVIAQLLRFLQNSSHSTLQPFFDYTLFPLLLLLDAVIQCRSKVDSEEKFTISDVPKTTFKVSDSVAEGVVNCLEELLRKCHLKSVDQMVVLLKKLTYGALLSPSEASEEFREGIILCFRALLLSLQSCSNVSCSCKQISGLPALSDNMYDTLHKSFKYGSESEECLLAFLQSETASAAVGHWLSLLLKAADTEASRGHRGSARLRIEAFKTLRVLVAKVGSADALAFFLPGVVSQFAKVLRGAKTMISGAAGSVESIDQSIRGLAEFLMIVLKDDANAPALDNEATSNFDSNECKSALSLLEKLRQLPVKTNVEDMSVESGQVICSQTQLQEMGSTDLDRENLSLHVKRTKHWMQKTSANVDKLLRANFPHICIHPSRKVRRGLVDAIKGLLLECRHTLGESRLMLLECLSALVFDDSDDVSSTAQDFLEFLFSSDWKPLIERDAAEIFIRHLEKLPKVVLGNEESLAVLHSRQLLTIIFYSGPRLLLDHLQSPVGTARFLDVFAACLSHNSMFSGSLGKIISTSRSSTQGYLPSIAELKSGTNFFNYGFPSLNTGLCKAPKCTLIEEKSLQESVNSSQKNFELPRMPPWFGYVGSFKLYHPLAGMLRLVGLSLVADRKSEGILSQVIDSLLGYFRKLVSELRLKEHNKESWQSWYDRTGSGRLLRQASTAACMLNEIIFGLSDQAINDFSRVFHRSAIKKTVQAHHSDKLDCAFRESFWMILKDKGVRSHVVDCIRGILHEYLSAELWNVPLERRVTDMQPNVAVIIDGIGIFNLCLGKDFVSSGFLHSSLYLLLESLSSPNFKVRNAADSVLHTLSTTCGYPTVGQLVLEYADYVIDSICRQLRHLDLNHDVPNVLASMLSYIGVANKILPLLEEPMRSVSMELEILGRHQHPDLTIPFLKAVAEIAKASKREACLLPTQAESFAVHVRSAISNSEEPTQDQWELMLFKLNDSRRYRRIVGSIAGSCITAVAPLVASFKQEICLAALDIIEGSVLALAEVEAAYKHEREIKETIEEALQSRSMYQLKDTLETTEEGADENRLLPAMNKIWPFLVTCIKNRNPVAVRRCLNVISNVVQICGGDFFTRRFHSDGMHFWKLLTTSPFRKMSFLKDEKAPLQLPYRSSSASSDDSLAETSYLKVQIAMLNMIADLCRNKKSASALELGLKKLSGLVVGIACSSVVALRDASMNALHGLASIDPDLIWLLLADIYYTEKKIETFPPNGSDLPEISEILPHPSSPKEHLYVQYGGQSYGFDIDSASLEVIFMKFDSQYQNV >OIV96102 pep chromosome:LupAngTanjil_v1.0:LG16:701830:703272:-1 gene:TanjilG_13034 transcript:OIV96102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENYSYPDSGDSSPRSREIDFDNPPPWDDQQQPPINYKPKFMCSYGGKIQPRSHDNHLSYVAGDTKILAVDRHIKFSTFLSKLSSLSSFPSDELTFKYQLPGEELDALISVTNDDDLDHLMNEYDRLYRTSSTPSRMRLFLFHNITSQPQQRFIQTIESGSIPVPVQVQVPVQPDPMKPSTNVDFLFGLENKGVAVAPPQPPPVVEKFLDPVAEPVAALPEYQQRGAVVGSDPNLNHPFEVQRQLQQELQRMRIAENDQAAYRRKSSEDNRNLVGNYPSGEYYVQKQPEKFPVSNFQANAPNQAGYWPEKHGSGEFYPPAMSNASGGGEQPVYMIPAPGTFYHAPMMRPPSAPQVTQGYYTVQRMGSDGYRDAPVYGSVQPPKAAFSTASPSNLAPAQPVKGPSYTEGYGVVRPGGISDNTGAYAQMAYDSVSGRQVYYNAPGGVVQAPPYQGMAPPATTDRVTVGQDGKLINKLSQGSV >OIV95829 pep chromosome:LupAngTanjil_v1.0:LG16:3806813:3811733:1 gene:TanjilG_06805 transcript:OIV95829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEATQPNAELLEWPKKDRHRFLHAVYRVGDLDHTIKFYTEAFGMKLLRKRDVPEEKYANAFLGFGPEQSHFVVELTYNYGVSSYDIGTGFGHFAIATPDVYKFVEDVRAKGGNVTREPGPVKGGSSVIAFVKDPDGYIFEIIQRASTPEPLCQVMLRVGDLERSIKFYEKALGLKLVKKVDRPEYKYTIAMLGYAEEHETIVLELTYNYGVTEYTKGNAYAQVAIGTDDVYKSAEVLNIVTQELGGKITRQPGPIPGLNTKITSFLDPDGWKTPAISCGRRRRKSLDGTQWWPGQLSVKVYVYDTEEIDGLKELMYGRDGKITEDACLKGQWGT >OIV95310 pep chromosome:LupAngTanjil_v1.0:LG16:16835231:16837371:1 gene:TanjilG_07466 transcript:OIV95310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFHTLSQSVGENYANPRTCFFHVLFKAAALAFYILFALFIDNFVIIFVVTVLLAALDFWVVKNVSGRILVGLRWWNEINDEGESIWKFECLDQESLARMNKKDSWLFWWTLYLTAAAWIILAIFSLIRLQADYLLVVGVCLTLSIANIIGFTKCQKDAKKQIQQYASNTIASRFSSTLQSAFSIV >OIV95854 pep chromosome:LupAngTanjil_v1.0:LG16:3992257:3998579:1 gene:TanjilG_06830 transcript:OIV95854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQHLSSVEVDADSVIRAITPALDASRHKGQAGKIAVIGGCREYTGAPYFAAISALKIGADLSHVFCTKDAAPVIKSYSPELIVHPILEESYSVREEDKKIISGKVLAEVDKWMERFDCLVIGPGLGRDPFLLDCVSEIMRHARQSNVPIVIDGDGLFLVTNNLDLVSGYALAVLTPNVNEYKRLVQKVLSSEVDDVDGTQQLVSLSKQIGGVTVLKKGKSDLISDGATVKSVSIYGSLRRCGGQGDILSGSVAVFLSWARQAASDPNSNLSSKNPTVLGCIAGSAILRKAASLAFSNKKRSTVTGDIIECLGKSVEDVCPAC >OIV95494 pep chromosome:LupAngTanjil_v1.0:LG16:14032396:14037325:1 gene:TanjilG_26757 transcript:OIV95494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMRRRRRRRRMRIGLDDDEDEDEDEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEDEDEDRMRTRIG >OIV95808 pep chromosome:LupAngTanjil_v1.0:LG16:4412191:4416141:1 gene:TanjilG_20258 transcript:OIV95808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALCAFSFPVHVNQLAFFSDSRRSIALVSQSQWGGFQYQHRFNQVRKRPCGVSASLSETGRYHSERPPTPLLDTINYPIHMKNLSTKELRQLADEVRSDVIFNVSKTGGHLGSSLGVVELTVALHYVFNAPKDKILWDVGHQSYPHKILTGRRNRMHTIRQTNGLSGFTKRAESEYDCFGTGHSSTTISAGLGMAVGRDLKGEKNKVVTIIGDGAMTAGQAYEAMNNAGYLDSDMIVILNDNKQVSLPTATLDGPMPPVGALSSALSRLQSNRPLRELREVAKGVTKQIGGPMHEIAAKVDEYARGMISGSGSTLFEELGLYYIGPVDGHNIDDLVTILKEVKSTKTSGPVLIHVITEKGRGYPYAEKASDKYHGVAKFDPATGKQFKAKATTQAYTTYFAEALVAEAEVDNDIVGIHAAMGGGTGMNIFHRRFPKRCFDVGIAEQHAVTFAAGLACEGLKPFCAIYSSFMQRAYDQVVHDVDLQKLPVRFALDRAGLVGADGPTHSGSFDVTFMASLPNMVVMAPSDEAELVHMVATAAAINDRPSCFRYPRGNGIGVELPPGNKGIPLEIGKGRILIEGERVALLGYGTAVQNCLAAASLLKRHGLNATVADARFCKPLDTSLIRSLANSHEVLITVEEGSIGGFGSHVSQFMALDGLLDGKLKWRPMVLPDCYIEHGSSEYQLSEAGLTPFHIAATVFNVLGQTREALEVMS >OIV95804 pep chromosome:LupAngTanjil_v1.0:LG16:4436553:4440858:-1 gene:TanjilG_20254 transcript:OIV95804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSASDSDAKHDPPINAAHDTEPFYDPCDDDNENMYVENTVPFDYDIDGDDALLSEEEETEPLNLAGETQRLDDFDDSDGDCDTQLFDEERGAGGTEVLENVDLDDPHCVDTAQSQDTTENKKLLEESDAVLCRNTNSGSMPPRYTFLRAESLRQSALARRNMALKQTQVETNSVMDVSQSCREALAVNDNREPVPTHSEKFCGIGQENHRRKDSVEVVGSMDKNMCKVTSSAVRKLFIEDLTFETNEPSLISNDLNEEDSLDKLPIYHDDLAGLSYIDSQEPGDLSQINALDFVDKFLKDNAMDLDQEIRLVKNVEEKSKSLPSSKGQQSLAKRVSDRGKSGETGIYDWDDSCEDEGGGDIFLRRKEDFFDGGTHKPKSLPGLQKIKAHRSNDDNDEKQPSFPKKRKVAVHSDSRLGMLNLKVRDNTVQEATRKLKRNLANELDEQFKTNCSGGEVQPNPKAGVQEMLDVGIDTQIAAEAMEALCNANDIVDNVANDVARVTRSRKTDQLNSPITVKAGPVTSKEHSRQYDRKRKVNDKSDLQTSGLSKKSTKMVRQCKKDNVMTRSMRSKLNAEGNRTCSANENDRIVSSPINEQRKSSETLERHQLDELNNLDSNGTGGKTVNKKHLRSEVCHFTPIARRTRQSRPVSQLIKSDIGSKSLGGDIANGSHEKRSGIRCHSSKPLDTKSTPGSFDHFEVHDSTDLCELETLVPKASVVSVNNDVEMGTIDFPKRRRSIRIREFSSNDKGSEKLIGPSKPTVQPEDIGKSTASMRIMRTDSRSAVKSLVNCRTQSSLYGGSEISSIDQRQGKALEPNLDKVTAGDTRIRCNITDKKDANLNSVEKNNADDILSTNTFEFTNSPRGRYKSADLASATPANCKTPVNDASPVCIGDGYYKRSCNRNVSNSCLLKVFREELDRELLNLRSIKPELTTPSKDSRKRRDMTAVRILYSQHLDEDIVKHQKKVLSRLGVSVASSIADATHFIADQFVRTRNMLEAIAYGKPVVTHLWIESCGQASCFIDERNYILRDTKKEKDIGFSMPVSLARASQHPLLEVNL >OIV95958 pep chromosome:LupAngTanjil_v1.0:LG16:1906582:1909008:1 gene:TanjilG_27062 transcript:OIV95958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEADAVTTPQLALADTDINWNRLDKTKFHIIGAILFTAQSGLLHPTAVVKTRMQVAGSGLSQMSGMSVFTRILRRDGIPGIFRGFGTSAIGSMPGRVLALTSLEMSKDMMLKYTEGKDIPEASRVGLANAVAGMVSNLVSCVYFVPLDVICQRLMVQGLPGTTNCRGPFDVIRKVVKAEGFRGLYRGFGLTAVYQSPASALWWGSYGAAQHILWRSFGYKDDMENKPKNVEMVIVQATAGMVAGACSSVITTPIDTVKTRLQVMDNYGSGRPSVLKTAMTLLKEDGWWGFYRGFGPRFLNMSLYGTTMIVTYELISMSNLSFSFFGIDSLLE >OIV95997 pep chromosome:LupAngTanjil_v1.0:LG16:2205357:2210868:1 gene:TanjilG_27101 transcript:OIV95997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVDNGSESDGFVSGEEEFETPMAYPDDKFAFGDTPFVNSLDFFTTFDDGESDFDGIVGGEENEVNNGSDEVHTRVYGTSNSDDTFRYTKPALGDGNGDFVEASSDGDDDKGLESGKFDGIIFVEENEVKVHDSVDVKKEVATEESNSSDEVDTRGAGDSVIETLHVDLLAPGVAVAGEKVEVEGSEIKGLEGKACGLSLDNEFDSLEQNAEEASDKLANGDTGGDQSVDTGADDNDSGHAEMRKESDIAIIQKDENSAFASDSVKSVQDDTNIEAHDDAEADQNVDIGADGDDSGHAEKGQESDIAIVQKDEDSELVSDKVKAVQDDINIEVNADEPLLSGTDIAVIQKAEKSEFASDTEKSVQDDTNVEAHAGEGETESHVKASGDSLTSEHVNPGSSWIRAMSPIEDEEGEHHPGVREIDVSVSVAEGEEKIVESSESAKQFSEHLEQQSAVGSNSGADTSQGLSRRIDGQIVTDSEEEGNTDDEGHNQLFDSDVLAALLKAGAGQDGGGITISSRDGSRLFSVESPAGLGTSLQSSKPASGLNRASSFTSSISRPVTNYAINLSEEDKKKLEKLQQIRIKFLRLVQRLGFTPEESIAAQVLFRLTTLAGRHTGQLFSSEAAMESAYQLEAERRDDLNFSLNILVLGKTGVGKSATINSIFGEMKTSISAYGPSTAAVTEIVGVVDGVKLRIFDTPGLKSSALEHGFNRKVLSVVKKVIKKSPPDIVLYVDRLDMQTRDLNDVPMLKLISSALGSSIWRNVVIALTHAASAPPDGSSGSPLSYDVFLAQRSTSVQQSIGQAAGEFRIMNPGLMNPVALVENHPSCRKNRHGHKVLPNGQSWRPLLLLLCYAIKILSEASNVSKTQESYDTNRLFGFRVRAPPLPYLLSLLLQHRAHPKLPSEQGGIDDSEFAIDLADLSDSDSDEEEYDQLLPFRPLKKAQVAKLTREQRNAYFEEYEYRIKLQQKKQWKEELRRMKEIREMKQKGKTGVNDYGDMEDDQENGSPAAVAVPLPDMTLPPTFDNDIPAYRYRFIEPTSQLLTRPVLVTQSWDHDCGYDGVNLEHSLAVVDKFPVAVTVQMTKDKKDFSIHLDYSAATKHGENGSSMASLNVQNIGKQIAYILGGETEFKNFKRNKTAAGLSVSFLGETISTGLKVEDQIVLGKRLALVGSTGIMRSKGDSVYGTNVEVRLREADFPIGQDQSSLSLSLVKWRGDLALGANFQSQFSVGRNYKMAIQATMNNKQSGQISVRTSSSDQLQIALLAILPIARAIYKNFWPKAAENHSIY >OIV95379 pep chromosome:LupAngTanjil_v1.0:LG16:16225833:16229085:-1 gene:TanjilG_14533 transcript:OIV95379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKIEHTTVATNGIKMHVASIGSGPVILFLHGFPELWYSWRHQLLSLSALGYRAIAPDLRGYGDTDAPPSASSYSAVHIVGDVVGLLDALGIEQVFLVGHDWGASIAWYVSLLRPDRVKALVNLSVTFRPRNPRRKPVESLRALMGDDYYMCRFQKPGEAEEEFARVGTAKILKTFLTLRDPRPLRVPKEIGFEGLANISNTLPSWLSEEDINYYASKFDQKGFTGGLNYYRALDLTWEVTAPWTGVQIKVPVKFIVGDLDITYNTPGVKEYIHGGGFKRDVPYLQELVVMEGVGHFINEEKPAEISAHIYDFIKKFKSAL >OIV95630 pep chromosome:LupAngTanjil_v1.0:LG16:8085475:8086929:1 gene:TanjilG_23861 transcript:OIV95630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLVKRVILDESVLLAEGDDDKNVSLLRPGAESLVRTLFLSRIHLGISYDMGIPIDKVSILKRIASLYPLDCFILNDLISEVMPAWSNTDDSILYLVSNKKEFLPKLSNYSWMIVALKVGGESSFDTLNTLQIENLEELPLTICRLNKTSIETNALTVGYIMKSSRVEDFAKRGAFPLCPTKNGLMFVPLTSKLSLSSQLKDVDIVLHKATDEIVSIEGSKLTFTHNMQELQRYLEHHKDFCVVDPLSNIYPLLDRVEIQKVLLGLEELNTEGSYSIRGARFLKVNNFDEFNFATGLAEARLSLPCIVKPKVACGVSDAHTMAIVFRVNDFMNLNVPLPAVIQEYVDHSSTLYKFYVLGEKVFYAVKKSIPNAGILMKSYNGDDLKPLLFDSLKSLPTADSIQDSGANNSNISIDLKLVTDAAHWLWKKLHLSIFGFDVVIQEGTHDHVIVDVNYLPSFKEVPDDISIPAFWEAIRNKFDCRLV >OIV95414 pep chromosome:LupAngTanjil_v1.0:LG16:15812099:15816260:-1 gene:TanjilG_06283 transcript:OIV95414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSKSQRTVKKNMNKMKGTLTTTKHFQLPLNQFSFLPLQQNTSSSTPSTFQNLLDLQSHHHASSSSSQFTVTNDAAAVNVNIAAVDDNNKMDFILSQDFFCTPDYITPDNQNDFNKENTPCPKSPEKINTSKSKRCRLDAISANPFSPMFSSDHQEVAELGKDYAAEELAFEKIIVDDKPKAPNYVSHSAVALRCRVMPPPCISNPYLKEVSKKETDPFGNQRSKCAGLFPPTIGGDGLSRYHTEFHEIEQIGRGNFSSVFKVLKRIDGCLYAVKHSSRQLRLETERKKALMEVQSLAALGSNENIVGYYSSWFENEQLYIQMELCDHSLSIEKCSALFTEGQALEALYQVANALRFIHEKGIAHLDVKPDNIYVKNGVYKLGDFGCATLLDNSLPIEEGDARYMPQEILNENYDHLDKVDIFSLGASIYELIRRLPLPDSGCHFLNLKEGKLRLLPGHSLQFQNLLKVMMDPDPVKRPSARELVENPIFERVLKSSKN >OIV95620 pep chromosome:LupAngTanjil_v1.0:LG16:8475400:8478565:1 gene:TanjilG_23851 transcript:OIV95620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSNLEPVPITPQKHDPAWKHVQMFKNGEKVMLKCIYCLKMFKGGGIHRIKEHLACQKGNASTCCRVPHDVRLSMQQSLDGVVVKKRKKQRIEEEIMNVAPLATAVHNTVANQVDVSEGFQSIGVQNSVEHNSSMLLNHIEGVSKGVERRKKLRASKNYTKSDAAMEKNAVFLKKVDSRIHMAIGRFLYDIGAPFDAVNSIYFQEMVEAIASGGSGIERPSRNEIRGWVLRNSVEEVKNDIDRCKMTWGRTGCSILVDQWSTDSGRTLLCFLAYCPEGIVFLKSLDATEIMASSEYLFELIKQVVEEVGVGQVLQVITSGEEQYAAAGKQLTDTFPTLYWSPSAAHCIDLILEDIGNLEWIGAVIEQARSITRFVYNHSAVLNMVRRYTLGNDIVDPSISRFATNFTSLKRMVDLKHNLQAMVTSQEWMDCPYSKKTAGLELLDCLSNQTFWSSCEMIVRLTAPLLRVLRIAASEMRPAMGYIYAGMYRAKEAIKRELVKREDYTVYWNIIHHRWERLWYHPLHAAGFFLNPKFFYSIQGDMHNEILSGMFDCIERLVPDTRVQDKITKEINLYKTAAGDFGRKMAVRARDNLLPCL >OIV95256 pep chromosome:LupAngTanjil_v1.0:LG16:17504572:17511599:-1 gene:TanjilG_26953 transcript:OIV95256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYSYGFHQRSIVSPNQFRCFGSESESLTVEGIIANNWNILDEDDGDWKSHAAAVAQSIDLIKRRLQWKKLKVRLDLLSAQLAKPDLWDDPVHAGKISREHGSLLGKVKEVNAMEQELIEHIDMIKLAREENDEELESESLKALLNMRRNAKEKELEALLSGEHDYCSCYIEVQAGAGGTESMDWASMVMQMYKSWAQRRGYKVIVMEEMPGELAGIKRATIKVDGEFAFGYAKAEIGVHRLVRISPFDSNKRRHTSFSAVAVIPILGDGSTRVQINESDLRIERFRSGGPGGQHANTTDSAVRIIHIPTGITATSQNERSQHMNKASALAVLQSRLDQMEMARQAQLNAQHTQSLTDITWGSQIRSYVLHPYRMVKDLRTNYEVSDPDSVLEGDIDAFILSYLSASLDKDEIKFGFIVTAMDFQKKRIQFLVLVAGIILLSITAKKCRQIVGEKASSKSGKFTFLNCFDMGTGTLACGVKEGLKLYVYNIRTSHVEKARHNAIQSALVDAASQGMSTKHSSKLAEKEGKKAAKLASRKAERITGPIISSGWDFFEAVYYGGSVAEGFFKGSCTSIGAYGGGFIGEKKLGRFGYLIGSLFGSWVGGRIGLMLYDVVNAVHFLLQFVQKD >OIV95172 pep chromosome:LupAngTanjil_v1.0:LG16:18388750:18391837:-1 gene:TanjilG_21562 transcript:OIV95172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKELDLSSNLFNGTIEVAETLDLSSLMYFNVSNNSFTGRIPTFFCTENKSFSSLRFLDYSSNKFFGEILPGLGACSKLEKFRAGFNFLSGTLPSDIFSTVSLREISLPRNKLYGSIGDGIVGLTNLTVLELDSNNFTGPIPLDIGKLSKLEQLLLHVNNLNGTLPQSLMNCTNLVVLNLRVNSFEGNLSALNLSRLVKLATLDLGDNRFAGVFPPTLYGCKSLKAVRLAFNKLEGQISHDILGLESLSFLSISTNKLSNVTGALRILTGLKNLTTLMLSKNFFNEVIPSDANMVDSKGFQNIQVLGLGGCNFTGEIPSWLGNMKKLEVLDLSYNRISGSIPPWLDSLPQLFYIDLSVNILTGTIPMELTRLPALTSQQANDKIERTYLELPVFANAKNVSLLQYNQLSKLPPALYLGNNSLNGSIPGEIGRLIVLHQLDLSNNNFSGSIPVQISNLTNLEKLYLSGNRLSGEIPSSLNNLHFLSDFSVAHNDLQGQIPSGAQFDTFPPSNFEGNPRLCGSSIQRRCRPQQGSTAGDHRSNKRLKIGFVIAACFGILSFIAVLIVWIISKRRINPRGDPDKIEPESICADSNRGIHPQIDKDASLVVLFPNNTTEIKDLSILEILKATENFNEANIVGCGGFGLVYKATLTDGTTVAIKKLSGDLGLMEREFKAEVEALSTAQHENLVALQGYCVYGGIRLLIYNYMENGSLDYWLHEKDEGPSQLDWPKRLKIAQGASLGLAYMHQICEPHIVHRDIKSSNILLDEKFEAHVSDFGLSRLILPYQTHVSTELVGTLGYIPPEYGQAWVATLRGDIYSFGVVMLELLTRKRPIDVCKPKMSRELVGWAQQLRSEGKQDQVFDPLLRGNGFEEEMLQFLDVACMCVNQNPFKRPSIKEANNAADAVTIAIVDFDEKQLM >OIV95664 pep chromosome:LupAngTanjil_v1.0:LG16:6523841:6527165:-1 gene:TanjilG_01458 transcript:OIV95664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLLMLEKTLIALFITIVTAIVTAKFRGKRLKLPPSPLPVPIFGNWLQVGDDLNHRNLTDLAKKFGDIFLLRMGQRNLVVVSSPELAKEVLHTQGVEFGSRTRNVVFDIFTGKGQDMVFTVYGEHWRKMRRIMTVPFFTNKVVQQYRFGWEDEAARVVDDVRKSGEAVTTGIVLRKRLQLMMYNNMYRIMFDKRFENMEDPLFQKLRTLNGERSRLAQSFEYNYGDFIPILRPFLRGYLKICKEVKDTRFQIFKDYFVEERKKLESTKRTENEGLKCAIDHILDAQKKGEINEDNVLYIVENINVAAIETTLWSIEWGIAELVNNQEIQQKVRNEIDSVLGPGHQVTEPDTHKLPYLQAVIKETLRLRMAIPLLVPHMNLHDAKLGRYDIPAESKILVNAWWLANNPAHWKNPEEFRPERFLEEESKVEANGNDFRYLPFGVGRRSCPGIILALPILGITLGRLVQNFELLPPPGEDKLDTTEKGGQFSLHILNHSTIVAKPRSF >OIV95150 pep chromosome:LupAngTanjil_v1.0:LG16:18209658:18210566:1 gene:TanjilG_21540 transcript:OIV95150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDFPSCFGENAVQVADSSSSSGSKTAPNLAACVYQCLVRGKPCLITVTWSKNLMGQGFSVGFEDENSSSQCLCKVDIKPWVFSKRKGCQSLEACSSKIDVYWELSSAKFGSGPEPLEGFYVGVVVDRQMILLLGDLRNEALKKTNTVSLPSNAVLVAKKEYVFGKKLFGTKAVFCDNGQIHDLVIECDATSVSDPSLIVRIDSKTVMQVKRLRWNFRGNHTMVVDGLAVEFFWDVHNWLFGTPLGNNAVFMFRTFHSTEKSWTTQPLSDANMPQWSFSERFSETKSQGLGFSLILYASKNV >OIV96198 pep chromosome:LupAngTanjil_v1.0:LG16:339260:343011:-1 gene:TanjilG_14875 transcript:OIV96198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATATATNSRPPPQQSLNLESLSGIDSTTLSQSEIQNLSLCSLSTFDLRSTCHLVTPIIDPSFFNESSGSRRQTYSRRHRLPGLITATKDVVTDHNTDNRRIIDYLKQLIREDPKFDQIELLQPSVHTEIVAHTSDVRERRELGLVRKRKRGRKPKMKVNLDECYRGMEIVNKNGVAIDLLALAVVEDPYGEELRRRSEGLKSEEELLGFLRDLEGQWGSRRRKRKIVDAASFGDVLPLGWKLLLGLKRKGGRAWIYCRRYMSPTGQQFLSCKEVSSYLQSLSSHNDAQLQISYRSEHMQQEHTVTMKEHTMTTENSKGVTHEDRDQWQIVVANSDVPTLPVSNERLKEVALLGIDNLADVQIHDLFECHRCNLSFDEKDTYLQHLLSVHQRTTRRYRIGSSVGDGVIIKDGKFVCQFCHKMFQERHRYNGHVGIHVRNHVRKDEDLPAQANVQRSDNSLVQEDIPSRISKMDALIEIAQNSIMENSVMEPHISVELNLIPASEIAVGDLDRDINMESPIGEQQMEYCMTGKNVVQDLNQQDCPHLLGDGKVEETDADNQVIDAKMVTCLDNLELFSVNEQNVNAHDDAALTVAGFDQFGIDLEGVSQSPSSLHLCGNYMIPESEKNENSGCTNAKQQFKLDGDRSNRSAGLDGCIDVPVSVNVQSTVMPASLENVEHSRDSKQSISTKQSLDCFPWITSDKGGKQFCSVDHEHDNAKGFRELRLDEIGLQYDFASGQTSLTLSDVTTELASNTVMEGMHASPVQQEVMLNMDDRTQLTAVCIWCGIDFNHDTVDSEIQPDSVGFMCPACKAKISGQINVFDSRTPNADHL >OIV95403 pep chromosome:LupAngTanjil_v1.0:LG16:15682537:15684933:1 gene:TanjilG_06272 transcript:OIV95403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYAAATALVAPSPTSLLSFNCAAPLELEHGFKPNQDSYKWRLVLSYDGTQYAGWQYQLSPPTVQCTVEKALIQATKLERKDLHFVGSSRTDAGVHAWGQVAHFVTPFNYDNLDHIHAALNGLLPSDIRVREINPASAEFHARFSAKSKIYHYKIYNDAIMDPFQRRFAYHSVYKLNSAVMSEAAKYFVGKHDFSAFANASHNDGVPDPVKHVFRFDVKEMGPLLQLEVEGSGFLYRQVRNMVALLIQIGKEGIPPDIVPHILASRDRKELAKYSLAAPPHGLCLVSIDYNESHLLPPPGCPANSFGRHHSIRKCKIPFY >OIV95639 pep chromosome:LupAngTanjil_v1.0:LG16:7940668:7942602:-1 gene:TanjilG_23870 transcript:OIV95639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLLGLLRIHVDKGVNLAIRDVKSSDPYVIIKMGKQKLKTRVVNQNINPVWNDELTLSITDPHLPVHLFVYDKDKFSFDDKMGDAEFDIAPFLEAIKMHLEGLPNDTIITKLQPSRENCLAEESKIVWKDGKVVQYMVLRLRNVESGEVELQLHWIDIPGSRGL >OIV95971 pep chromosome:LupAngTanjil_v1.0:LG16:1994170:1997187:-1 gene:TanjilG_27075 transcript:OIV95971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSSLDSDEKQVKKVLGLGYWVQGFRCFPWLAVIFFLKDGLSVDPSTLQILQNSANLPMVGKPFYGLLSDSIYIFGQHRIPYIALGAFLQALSWLVIANSPSTISIFTISIYLLLSNLGAAIAEVANDAIVAEKSKQPPSSTKNSQPSSSGNLQSFVWIASSVGGVLGNLLGGIFISRFAPQSMFLVFGLLLALQFFITISVSENSLGLPKSPSVGIKKQLSELLLALRKPEIAYSISWFAASYAIIPALTGTMFFYQTEHLQINSSVLGISKVFGQATMLLWGIIYNQYLKSVPSRKIISTIQAMMALLMVSDFFFVKGLYREIGVPDSVYVVIFSGFLEVLFFFKILPFSVLIAQLCPQGCEGSIMAFLMSAIAFAFIVSGYFGVALASYIKVTGTDFSGLGLGLLIQAACTILPIFWSSCIPEDVVKSKAKKKD >OIV95270 pep chromosome:LupAngTanjil_v1.0:LG16:17356890:17377892:-1 gene:TanjilG_07426 transcript:OIV95270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRQDTIIAISKNETEKVLRIANVNDEKYSMCTYCTDPNLLTSVIAHPIPFFQLVCSFAGRHNSSNPILAVKEYLKEEPYTVEEIEKITGEKLTSFLGNNAAYVDVIKAAKQYKLHQRAAHVYSEAKRVHAFKDVVSSNLKTS >OIV95863 pep chromosome:LupAngTanjil_v1.0:LG16:4111427:4123671:1 gene:TanjilG_06839 transcript:OIV95863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSTLFSQTFFPATTTTSLRSPPPRSFTIRASSSTTTTTTTDSQSTTPKLNKYSSRVTEPRSQGASQAVLYGVGLSDDDMTKPQIGVSSVWYEGNTCNMHLLQLSEAVKEGVKEAGMIPFRFNTIGVSDAISMGTRGMCYSLQSRDIIADSIETVMSAQWYDGNISIPGCDKNMPGTIIAMGRLNRPSIMVYGGTIKPGHFQGKSLDIVTAFQVYGEYVNGSVSDEHRQNVIRNSCPGAGACGGMYTANTMASAIEAMGMSLPYSSSTPAEDPLKLDECRLAGKYLLELLKKDVKPRDIITRKSLRNAMVMVMALGGSTNAVLHFIAIAKSVGIELTLDDFQKVSNEVPFLADLKPSGKYVMEDLHKIGGTPAVIRYLLEQGFLDGDCLTVTGKTLAENAELFPPLSKGQDIIRPIENPIKKTAHLQILYGNVAPQGSVAKITGKEGLYFSGPAFVFEGEEAMIAAISEDPQSFKGKVVVIRGEGPKGGPGMPEMLTPTSAIMGAGLGKDVALLTDGRFSGGSHGFVVGHICPEAQEGGPIGLIQNGDVINIDCEKRRIDVLLTDEELEARRKRWSAPPYKATRGVLYKGDQASIIGGVIEFIKELHQVHQALESQKRRKSLSPSPGPSPKTLQSTVIQLDNSSRIETDQSFKELGASCNSSTADVEVKISGSNVILKVISHRIPGQVAKIIGVLEGLSFEVLHLNISSMEDTVLYHFVVKIGLECQLSLEELAMEVQQSFSSEAITVL >OIV95611 pep chromosome:LupAngTanjil_v1.0:LG16:8624720:8627409:1 gene:TanjilG_23842 transcript:OIV95611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHKMIWKWKPSFMLPLTFNSQSKHVIRFHHHPFSPLKIHHPIINYTTAAAAANTSNNGDSVKAKEFLELTDEELVRQCEMDFLKSSGAGGQHRNKRESAVRLKHLPTGIIAQASEDRSQHMNRDSAVKRLRALIALKVEGSISEAAKYIGLSTGALSRLILSDDSLRKEVNDLRASKVRNMDSCILEAQNL >OIV95879 pep chromosome:LupAngTanjil_v1.0:LG16:4286488:4290159:1 gene:TanjilG_06855 transcript:OIV95879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFKGGFFAIGKGSKNLKNLTLSDCYFLSDKGLEAIATGCKELTHLEINGCHNIGTPGLQSVGKSCRPALVQRYKRGRLPLVTFSMGPIGAANRHLTELALLYCQRIGDPGLLQIGQGCKYLRTLHLVDCSSIGDEGMCGIASGCKNLKKLHIRRCYEIGNKGIIAVGKHCKLLTDLNIRYCDRVGDEALIAIAEGCSLHYLNVSGCHQIGDAGVIAIARGCPQLCYLDVSVLQNLGDMVMTELAEHCPLLKEIVLSHCRQITDVGLTHLVKSCTMLESCHMVYCSGITSAGVATMVSSCPNIKKVLIEKWKVSQRTKRRAGSVISYFCVEL >OIV95293 pep chromosome:LupAngTanjil_v1.0:LG16:17070702:17072401:1 gene:TanjilG_07449 transcript:OIV95293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIASRVVLVMVMLVFVINHSCSSVSSTKEDGRDYEEAKAKTSETVNKAAETAKEGKEATESWTGWAKEKLSEGLGLKNDENKDSTTKKASDYANETAQKTKDYAGDAAHKTKEYAGKTKDYAGDTAEKTKDYAGSAAKKTNDYAGSAAGKTKEYAGDAAEKTKDYAGSAAEKTKDYAGSAAEKTKDYAGSAAEKTKDYAGSAAEKTKDYAGSAAEKTKDYAGSAAGKTKEYAGDAAQKTKEYAGDAAQKARESAGDAAQKTKDYTGSAAQKARESAGDAAQKTTSYAGNAAQKTKEKVQDVASGAGQYSAEKAREMKDAATEKASDIANAAKEKIKNVATGTSGSAKDKTGEAYEKTSESINEAKERTYQAAQEAKERVSEEANERQRESNEELNWAKEKAKDGYDAAKDTIASNLEAAKQKSQEVKDKLGGQRRDAEL >OIV96220 pep chromosome:LupAngTanjil_v1.0:LG16:202865:204608:-1 gene:TanjilG_14897 transcript:OIV96220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAEDIQPLVVDNGTGMVKAGFAGDDAPRAVFPSIIGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTEYLVKILTERGYSFNTSAEKEIVRDVKEKLAYVALDFEQEMDTTKSSSAVEKSYELPDGQVITIGAERFRCPEVLFQPSLIGMEAPGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEISALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKGEYDESGPAIVHRKCF >OIV95795 pep chromosome:LupAngTanjil_v1.0:LG16:4504869:4508840:-1 gene:TanjilG_20245 transcript:OIV95795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSANEQRLHFGKMEYGCKHYRRRCMIRAPCCNEIYSCRHCHNEATSVLRNFCRHDLVRQDVKHVVCSVCDTEQPVAQVCTNCGVRMGEYFCDICKFFDDDTGKQQFHCDECGICRVGGQENFFHCNKCGSCYSVALRDNHSCVENSMRHHCPICYEYLFDSMKDTAVMKCGHTMHCECYDEMIYREKFCCPICSKSVVDMSREWKRIDEEIEATVMPEDYRYKKVWILCNDCNDTTQVYFHIIGQKCGRCSSYNTRTIAPPVLPQ >OIV95398 pep chromosome:LupAngTanjil_v1.0:LG16:15638119:15638427:-1 gene:TanjilG_06267 transcript:OIV95398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRVRDLASKKAAVIFTKSSCCMCHSIKQLFYELGASPEVHELDNDSYGKEMEWAIRSLGCNPSVPAVFIGGRFVGSSKDMISLHVDGSLKQMLMDAKAIWL >OIV95274 pep chromosome:LupAngTanjil_v1.0:LG16:17328224:17328574:-1 gene:TanjilG_07430 transcript:OIV95274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWGLAEIMLNHLLRIKANIALDKIHQLQKAETAGPNQGLASCASKYNTILTIDIPKANAAFQKGDRKGAEDGANAAANEASTCETDFPRHLTVENTNMHGVAANAAAIIRNLHDRR >OIV95768 pep chromosome:LupAngTanjil_v1.0:LG16:4905263:4906446:1 gene:TanjilG_05316 transcript:OIV95768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTLTVSSDGGGVNTAVRSQDEGRGSGRHSGSRILQQGAVRADPTSSTSIVTLEKLPIIHQPPTKSLKRRLRGLRREGSKFGEPTSMKTLTVSSNGGGVNTAVRSEDEGRGSGRHSGSRILQQGAVTADPTSSTSIVTLEKLPIIHQPPTTSLKRR >OIV96044 pep chromosome:LupAngTanjil_v1.0:LG16:2623722:2627245:-1 gene:TanjilG_27148 transcript:OIV96044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLKSSFLKVYSILKSELLHDPAFEFSNDSRQWVERMVDYNVPGGKLNRGMSVIESYRLLKDGQELHDDEIFLASALGWCIEWLQAHFLVSDDIMDNSHTRRGQPCWFRVPKVGLIAANDGLLLRNHIHRQMIDLITTLEGEKDLSKYTLSLHRSIVQYKTTYYSFYLPVACALLMLGENLDNHINVKNILVEMGTFFQVQDDYLDCFGAPETIGKIGTDIEDFKCSWLVVKALELSNEEQKKVLNENYGKPDLANVSKVKALYNELNLQGVFAEYESNSYEKLVASIEAHPSKAVQAALKSFLAKIYKRQK >OIV96187 pep chromosome:LupAngTanjil_v1.0:LG16:406738:415372:1 gene:TanjilG_14864 transcript:OIV96187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEAVTTPSPSSSTSPLGSSVISLVNRLQDIFSRVGSQSTIDLPQVAVVGSQSSGKSSVLEALVGRDFLPRGNDICTRRPLVLQLVQTKRKGDGSEEEYGEFLHLPGKRFFDFADIRREIEAETDRQAGGNKGVSDKQIRLKIFSPNVLDITLVDLPGLTKVPVGDQPSDIEARIRTMIMSYIKTPTCLILAVTPANSDLANSDALQMAGIADPDGNRTIGVITKLDIMDRGTDARNLLQGKVIPLRLGYVGVVNRSQEDIQMNRSIKDALIAEEKFFRSRPVYNSLADSCGVPQLAKRLNQMAGIADPDGNRTIGVITKLDIMDRGTDARNLLQGKVIPLRLGYVGVVNRSQEDIQMNRSIKDALIAEEKFFRSRPVYNSLADSCGVPQLAKRLNQILAQHIKSVLPGLRARISTSLVAVAKEHASYGEITESKACVNIGCAGQGALLLNILSKYSEAFSSMVEGKNEEMSTSELSGGARIHYIFQSIFVRSLEEVDPCEDLTEDDIRTAIQNASGPKSALFVPEVPFEVLVRRQISRLLDPSLQCARFIYDELIKISHRCMVTELQRFPFLRKRMDEVIGNFLREGLEPSENMIAHIIEMEMDYINTSHPNFIGGSKALEVAVQQTKSSRIALTASRPKDTLESDKGSASERSVKSRAILARQANGVVADPGGRAASDVEKGIPTGNTGGSSWGISSIFGGDSRVSVKENVASKQHMEPIHNVEQSVSMIHLREPPAVLRPSDTHSETEAIEITVTKLLLRSYYDIVRKNVEDFVPKAIMHFLVNNTKRELHNVFIKKLYRDNLFEEMLQEPDEVATKRKRCRELLRAYQQAFKDLEELPLEAETVERGYSLPESTGLPKIHGLPTSSMYSSSSSGDYYGASPKNPKSRRSSHSGELQSPMNANSDSNGSGRPFTSGFYPMVDV >OIV95924 pep chromosome:LupAngTanjil_v1.0:LG16:1605518:1607621:1 gene:TanjilG_27028 transcript:OIV95924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAMKFCRECNNILYPKEDRDQKVLLFACRNCDHQEVADNNCVYRNEIHHSVGERTQVLQDVAADPTLPRTKAVRCTQCNHGEAVFFQATARGEEGMTLFFVCCNPNCGHRWRD >OIV95905 pep chromosome:LupAngTanjil_v1.0:LG16:1434261:1446444:1 gene:TanjilG_27009 transcript:OIV95905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEQFMSGDGPRYVQMNSTPPSSPAAEEMTSVPSFRHGGCETTRIFEELPKAAIVSVSRPDASDISPMQLSYTIQFQYKQEMSFEEDDVVVAFALSAIFVQGDMFKWELVKKASHVFYLHFTLKKRVFIEEIHEKQEQVKEWLQNLGIGEHTSMVQDDDEADDETIPLHTDESAKNRDVPSSAALPIIRPALGRQQSISDRAKNAMQGYLNHFLGNISIVNSPEVCKFLEVSKLSFSPEYGPKLKEEYVMVKHLPKIPRDNDSRKCCMSGCFSCCNDNWQKVWAVLKPGFLALLADPFDTQPLDIIVFDVLPASDRNGDGRLSLASEVKERNPLRHSFKVTCGIRSIRIRVKSSSKGKDWVAAINDAGLRPPEGWCHPHRYGSFAPPRGLNEDGSQAQWFIDGRAAFEAIATSIEDAKAEIYICGWWLCPELYLRRPFSDHASSRVDSLLEAKAKQGVQIYILLYKEVALALKINSVYSKRKLLSIHENVRVLRYPDHFSTGIYLWSHHEKLVIVDNHVSFIGGLDLCFGRYDTSEHKVGDSPPKIWPGKDYYNPRESEPNSWEDTMKDELEREKYPRMPWHDVHCALWGPPCRDIARHFVQRWNYAKRSKAPYEQAIPLLMPQHHMVIPHYLGGSREIQIESSNTDNYKLIKRQGSFSSSSLDHDIPLLLPREPGELNTLDGDPKLNGVSSFSHHLDKPSRVSSGLPFSFRKAKSEASGSDTPMKGFVDDIDSVHYREKMSLDRVAHVDLQNTDPAWWETQERGDQGGFEDESGQVGPRASCRCQVIRSVSQWSAGTSQTEESIHNAYCSLIEKAEYFIYIENQFFISGLSGDEMIRNRVLEALYRRIMRAYNDKKSFRVIIVIPLLPGFQGGLDDSGAASVRAIMHWQYRTICRGQDSILHNLYGLLGLRIHDYISFYGLRSYGRLSASGPVATSQVYVHSKIMIVDDCTTLIGSANINDRSLLGARDSEIGVVIEDREFVSSYMDGKPWKAGKFSLTLRLSLWAEHLGLKAGEVNQIMDPVIESTYKDIWMATAKTNTTIYQDVFCCVPNDLIHSRVAFRQSLAFWKEKIGHTTIDLGIAQDKIESYHNGDIKHTDPLDRLASVRGHLVSFPLEFMCQENLRPAFNESEYYASQVFH >OIV96113 pep chromosome:LupAngTanjil_v1.0:LG16:758310:758807:1 gene:TanjilG_13045 transcript:OIV96113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSNYLSFSLFFYVLVLVNIIVGHVAYAQDSQADYLNGHNAARSEVNVPNVVWDDTVAAYAQSYADQRRGDCNLVHSGGSYGENIAKSTGDLSGNDAVGLWVDEKPNYDYDSNSCIVGQCGHYTQVVWRNTKSIGCAKVRCDNGGTFITCNYDPPGNYVGEKPY >OIV95102 pep chromosome:LupAngTanjil_v1.0:LG16:17740113:17741408:-1 gene:TanjilG_21492 transcript:OIV95102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSGKVSIEFGIKATISQWFNLFHKNLNQVQNICERIPEANVHQGDWHTIGSVKNWTFIIDGKPVKHKEKIEAIDESKKTITWSFFGEDLGQQYKVFKITMQLNGKENGSYLLKWIIEYELVNENVEPPYAYLDFLNKSSKHVDDYLVGA >OIV95629 pep chromosome:LupAngTanjil_v1.0:LG16:8090566:8092107:-1 gene:TanjilG_23860 transcript:OIV95629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNTIGGSKKAKVMKIDGETFKLKTPTTTNDVVKGYPGYVLLDSQAVKSFGLRAKPLELSYELKPKKLYFLVELPKFQLDQEKAPLPRRVQSSGIRGMNAKDRLDFLMLSKRSISDLNVTKPSYIDGPNPNHNGPMRVKMRLPKAQLDRLVEECHGGAEVAEKIISLYMGNNGNNDGGGAMVEGEATRADIQNHKPRRKRVSFRPVEQGENHLEAVPM >OIV95380 pep chromosome:LupAngTanjil_v1.0:LG16:16234597:16236608:-1 gene:TanjilG_14534 transcript:OIV95380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLANQNGTIYDYGRISVRKSLSHSLKFYNLLDSYLQDKSYLSQPTISVLDDCRFLAELSYDYLSNTHNHVDKANDNNLPNSQTEDLQTLLSAVLTNQQTCLDGLTTTDSDQRVKKHLSSSLSDDTMLHSVSLSLFMKGWVPNKKTPTTLENKNGRHLGFQNGRLPLKMSNRVREIYDNGRGHGRKLLQTVDDIESVEVSDIVVVSQDGSGNFTTINDAVAVAPNNTDAGNGYFVIFITEGVYKEYVSIAKNKKNLMIIGEGINRTIITGDHNVVDGFTTFNSATFAVVAQGFVAVNITFRNTAGPSKHQAVAVRNGADLSTFYSCSFEGYQDTLYTHSLRQFYSECDIYGTVDFIFGNAAVVFQSCNLFPRLPMNGQFNAITAQGRTDPNQNTGTSIHNAIIKASNDLAPMISSVKTYLGRPWKEYSRTVYLQSFMDNLIEPIGWHEWNGDFALSTLFYGEYNNTGPGSNTTNRVTWQGYQVMNTNDAANFTVSSFLDGDVWLPQTGVPFLSELM >OIV95177 pep chromosome:LupAngTanjil_v1.0:LG16:18435209:18440334:-1 gene:TanjilG_21567 transcript:OIV95177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAYSSGEEVVVKTRKPYTITKQRERWTDEEHNRFLEALKLYGRAWQRIEEHIGTKTAVQIRSHAQKFFSKLEKEALLKGISIGHALDIEIPPPRPKRKPSNPYPRKTNVGSPTINSRSDQGTVASSHSKEALDLEKEPLPEKNDEDERPTTVKENKDDNCSKVFAVIQQAPCSSVSSAISASVLLRNSCALREFIPSVKEVVTRDETEESLVTVELGKRKLEINGGKHTQQASKFESSDATQAKSVQTDTTDGLNCALTSNGMQGNQNYPRHITVQVVEGNHESSTQNPSQDKLFRDSMFQPMIGGVNGQPKLFTNSAPSNISENQSNTGESSIHQPFPPCPPSAQHNHDDYQTILQMSSTFSSVIVSTLLQNPAAHAAASFAATFWPYANSETSVDSPMCSQGGIPSRQVGSPPSIAAIAAATVGAATAWWAAHGMLPLCAPLHTTFPCPPSSRTAIPSMNAGEAPSVTEQGEINLQNPPLEDKMFNPEYSETQQAQQHSLSSSPFETEESGDAKLNTSSKAINNEMNHAISEHLDTNKTNGNKPVDRSSCGSNTTSSSEEADALEKDEKEKEEPGPETHNVNHLDPETSNRLSCSSRSIGYHTDYWKDVSDEGRLAFQALFSRQVLPQSFSPPKNTNYKDKADLESKKCSSNCEPLESKKCSPNCEPVQKTQPFVENNNNDNNDEEGLLTIGLGHGRLKTRRTGFKPYKRCSVEANENNVGKSCNQGRKHF >OIV95634 pep chromosome:LupAngTanjil_v1.0:LG16:7994837:7998640:1 gene:TanjilG_23865 transcript:OIV95634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSIDTKNTTPHIGGSITNMDQIHMDAPTRDFLQMYQAQHHTPSSSRPRPQPRFQAQRPQRLPRFQDQRSQRLPRFQDQRFQRLPRFQDQRPQRLPRFQEQRSQRFPRFQAQSPQELQRPQELQSSQQLQSPEQLQSPEQLQRPQQLQRPQRLPRFQPQRPQRPRRFQAQRPQQARRFQTQSPWPPPKAQAGGPLSLPKAQAGGPLSLLKAQAGGPPPQTKAQAGGPPPQQKAQAGGPPPQQPKAEAEGPPPQQPKAEAEGPPPQQPKAEAEGPPPQQPKAEAEGPPPQQPKAEAEGPPPQQPKAEAEGPPPQQPKAEAEGPPPQQPKAEAEGPPPQQPKAEAEGPPPQQPKAEAPAPGPLPPPKAQAQSLHASATKVQHSQSPAETVAATTTTAPNLISSRLFLPDLPEHQLYKNRLQDFTQKLRIQFPKYQTVSEGSRHLSKFRSTVWVSGNCYTNQVPFFNRKAAEQDAAKLALESLSAMIKGEAPPVVIKDEARSLVLEETWLSKSILNDYAYKLNVALPTYSTVETPGVKPVFVSTLVFNGSKYTGDASGHKKAAEQLAACRAILSILCLEQPIGEAPTSKKRRKSKKKANKKARLETPLLVATPCSMDETPPCSMDEMPPCSMAQ >OIV95373 pep chromosome:LupAngTanjil_v1.0:LG16:16150220:16151167:-1 gene:TanjilG_14527 transcript:OIV95373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIYNVFSSLSDDIIHKIFQYLKDDPRHWPRLASVSTRFSSLILHSCSTNNCSHTLPSDLISAATATVSLHKLTVCCPGLLHAGILLNDTSDFGLHRDLGPDQPLTVIQSHNSNHNSNDVVSVAATISSETKESWSLFDDLYYDTVYNPSEECEPQEYNSVQNDAVSECVVAVAETNGCNKRSGSQGSHLASGVWNLSREQGGKLLGRQFRDDALYVCDWPGCVHVEEKRKYMVFRGVFKDFKRTRVWRTINDGKRKKVNLGCAFCSCDETWDLHSAFCLRRGFGYHGDGEPVVRAFVCENGHVSGAWTDVPMYG >OIV95156 pep chromosome:LupAngTanjil_v1.0:LG16:18254311:18254936:-1 gene:TanjilG_21546 transcript:OIV95156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSLDENPTIEELQFMMKEGDLDGKGTMEFGEFLNLMAIKIKETAAEEELKEAFRVFDKDQDGYISPIELMFVMRTIGVKVTEEELGFMVNQADLDGDGLIDYEEFVRMMMSV >OIV95873 pep chromosome:LupAngTanjil_v1.0:LG16:4246974:4247904:1 gene:TanjilG_06849 transcript:OIV95873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRVSVLNDALKSMYNAEKRGKRQVMIRPSSKVIIKFLLVMQKHGYIGEFEYVDDHRSGKIVVELNGRLNKCGVISPRFDVGVKEIEGWTARLLPSRQFGYIVLTTSAGIMDHEEARRKNVGGKVLGFFY >OIV95179 pep chromosome:LupAngTanjil_v1.0:LG16:18452959:18456089:1 gene:TanjilG_21569 transcript:OIV95179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQENTTFDTAMQWTSSFVLPMVLRSANGLGIFEILAKSGENSKLSAKDIATQIGTNNPEAPTMLDRILRLLVSYSVLNCSIVEDTKEHVGLQQNLYSLTPESKYFVKDSNGLSLGSVLALILDNVFYQSWAELEGAILEGGVPFNRVHGMHAFEYPSVDPRFNEVFNKAMISITTIAMKSILEVYKGFEDINKLVDVGGGLGINLKLITSKYPNVKGINFDLPHVIQHAPPYDGVEHVGGDMFESVPIGDAIFMKWILHDWSDEHCLKLLKNCYKAIPNDGKVIVVDSILPIVPNTTSYAKNAFVSDLLMLTQNPGGKERTQKEIMELATKSGFNCIKLMCCVNGLWVMEFFK >OIV95729 pep chromosome:LupAngTanjil_v1.0:LG16:5575359:5578028:-1 gene:TanjilG_05277 transcript:OIV95729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKSPCCEKISVKRDVCSIEEDAKIHSLVSKSGSGNLTFVSKKAGLKRCGKSCRLRWNNYLRPDLKHDNFTSQEEDLIIKLHAAIGSRWSIIAQQLHGRTDNDVKNHWNTKLKKKLSQMGIDPVTHKPFSKLIADYGKIGGSEKHSTQIGSDLKNAIQLKSEPYQLSQEFRNIDSQPNQSPTSPLKFEPIENNFLFNITHGDNHPTDLSMPKVSGASNCINLENESIQASSSSTSSSYSTAAQEALPVIPFSWNDFLLEDAFEPNFDGQEQATMDGLSFSKELVCQIENGTSQSWNIKEVRSKQATSNDFQVSSSTDISFVEAMLGQENETFLSFPNLMEEPFNY >OIV95217 pep chromosome:LupAngTanjil_v1.0:LG16:19199166:19202228:-1 gene:TanjilG_21607 transcript:OIV95217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQAFRRASGRVRVPDPKNVVDHRPPPPKYTAEKATEISKAAEKDAPNTADDRPRVNVDNIIEDKDPKYDAMLGQMIGRITTKPGGKPEMGEAFLVQKSSRPMPRLRNTKPDSSSHEQRPVPTGTLNIAQLRHIILLREGKADDHNGPMDAHEIAEKFQVDVVQIQRILQFVSKPPEDNSGKDKNKTPR >OIV95754 pep chromosome:LupAngTanjil_v1.0:LG16:5121575:5122750:-1 gene:TanjilG_05302 transcript:OIV95754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDDENQSHACKFPRIGSKRNESHNCSRIVRVSRACGGKDRHSKVMTSKGLRDRRVRLSVTTAIEFYDLQDRLGYEQPSKAVEWLINAASDSISELPSLNTTFPYTPNELNDEKRPCFDEVDGDTDNYNQNQNQSQNLCLSKSACSSTSETSKGSTLSLSRSEIRVKARERARERAGKVKEKENESFHIVTNHHNHHNVTKNASFTELLTGGIENVATKTSASVCDESNLFNNKAKQWSPMVMDYFNSGLTSRNVSPNQDQLQHFSFIHDHNFIPCSQSSGSDYNLNFTISPSLVGYNRGTLQSNSQSHIMPHMQKFSSSHIDGSTNNLPFFIGGVSNSTSDASTMDTSINHHQQQQQQQLQFSTVFGGSLQLCYGDGSRNSDQKGKAKN >OIV95074 pep chromosome:LupAngTanjil_v1.0:LG16:20089947:20095111:1 gene:TanjilG_10894 transcript:OIV95074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVQVPTPSTVDITTTTNHIVVVPYPSRGHINPMLNFCKILVSKNSDILITFVVTEEWLNSIGSEQQPQNLRFCSVPNVVPELGGSADNFLSVVKAVMTKMEAPFEEILDQLKPQPKIIICDAFLFWAIDVGIRKNIPVAPFWTTSTSEFWVQCFHIFPEFQRSPQKMLESGEKLIDYVPGISSVRLSDIHNVEETNPDMVIWAMKSCEMMLKAQYLLFPSVYEIEHHVVEAIKEWLKIPIYTFGPNIPYFSIENHANSLTSANGSDHSFLQWLNNQPNDSVIYISFGSFLSVSSEQMDEIADALRDSGVRFLWMTRSESSKLKEISGEMGQVIPWCNQLKVLLHPAVGGYWTHCGWNSTMEGIYAGVPFLTFPLILDQPLVSKLIVDNWKVGWRVKKEDKLQTLVKRDEISVLLRKFMDLDNDEGREMRKRSKELQHISESAIAIGGSSDTNVKEFLNNIVN >OIV96034 pep chromosome:LupAngTanjil_v1.0:LG16:2545650:2548703:-1 gene:TanjilG_27138 transcript:OIV96034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPRLIHPIEGLVEDVQSGGGGGSNFSHNHKGDPCLVLTADPKPRLRWTQDLHERFIDAVTQLGGASKATPKAIMRTMNVKGLTLFHLKSHLQKYRLGKQSGKDIGEACKDGSYLLESPTDNSSPKLPTSDTNEGYEIKEALRAQMEVQSKLHLQVEAEKHVQIRQDAERRYMAMLERACKMLADQFIGATVIDTDSQKFQGIGINARRDPMVDALGFYSMPSSEVARVHLPEEEIPPSLPPQRGDCSTESCLTSHETSGGIGLQGTSRGEKRMMLDMESMAAPLIWNEAKMRTQAINVTQGNPHGMTRYGCRDGNST >OIV95743 pep chromosome:LupAngTanjil_v1.0:LG16:5306395:5307055:1 gene:TanjilG_05291 transcript:OIV95743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCVVICTQPKQIHEIKDFLLTARRKDARSVKIKRSRNVVKFKVRCSKYLYTLSVFDTEKADKLKQSLPPGLSVQDL >OIV95577 pep chromosome:LupAngTanjil_v1.0:LG16:9368480:9372687:-1 gene:TanjilG_23808 transcript:OIV95577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAAFKQRQQQYVKEEELALFLEMKKREKERNDLLLHSSEELDSASGSNSVTSPIFNMSSYAPVPFRKTVADDFLNSENDKNDYDWLLTPPGTPLFPSLEMETRKTVMSQLGTLTARPTALKSRLANPQSEPTGRTNLVSKQPAIIPGLSSSSGATRRPSSSGGPGSRPGTPTGHLTSTTTSKSLRSSTPTRGTLPSTRTMVATSKTTISAAKPVVSANKTSTSAIKTTVPAAKPTIPSRSSAPLSRSTARSSTPTSRPTLPPSRSASRASTPTRRSSTPSREPSVSAPSVKTSSRQPSPMTSRQTAPVVSRQAAPVVSRQPTLVTSRQPVTVTSRQPATVASRQQATMTSRQPAPATSRQPAPVTSRQPVPSRGNSPTLRSRPWKPSEMPGFSLDAPPNLRTTLPERPLSAARGRPGAPSSRSSSVEPSSSGRPKRQSCSPSRGRSSNGTVHISGNSMPAVSRGHSKVNDNVSPVVMGTKMVERVINMRKLVPPRMDDKISPRGNLSGKSASSPDSSGFGRTLSKKSLDMAIRHMDIRRTIPGNLRPLMTNIPASSLFSVRSGSQRSRTVSVSSSPHATSSNASSELSVNQNGLCLDSSEVDDDVGSERCGQSPASVRGCHSGFSYGTNSASTVEVPKW >OIV96047 pep chromosome:LupAngTanjil_v1.0:LG16:2654109:2658803:1 gene:TanjilG_27151 transcript:OIV96047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVVSQDALNQLQALMDQVEEPLKKTFQNVHQGLVTENLVRFLRAREWNASKAHKMLVDCLIWRVQNEIDNILSKPIVPPDLYRAVRDSQLIGVSGYSREGLPVFAIGVGLSTFDKASVHYYVQSHIQINEYRDCVILPSASRKHGRPITTCIKVLDMTGLKLSALNQIKLLTIISSIDDLNYPEKTNTYYIVNAPYIFSACWKVVKPLLQERTRRKVQVLPGSGRDELLKVMDYESLPHFCKKEGSGSAKHSVTGSQNCYSLDHPFHQELYNYMKQQSRIHEAVVPIKQGSFHVDFPEPPAEEEEIAKTIESEIHKFKGTNGDSDYNMAFKSMVIED >OIV95858 pep chromosome:LupAngTanjil_v1.0:LG16:4064818:4068052:-1 gene:TanjilG_06834 transcript:OIV95858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERIIGGKYKLGRKIGSGSFGEIYLATHMDTFEIVAIKIENGKTKHPQLLYEAKLYNILQGGSGIPSIKWFGVDAEDNALVIDLLGPSLEDLFVYCGRKFSLKTVLMLADQMMNRIEYVHSKGFLHRDIKPDNFLMGLGRKANLVYIIDFGLAKRYRDSSTNRHIPYRENKNLTGTARYASCNTHLGIEQSRRDDLESLGYVLLYFLRGSLPWQGLKAATKKQKYDKICEKKVSTPIEVLCKSHPVEFTSYFHYCHSLTFDQRPDYGFLKRLFRELFAREGYEFDYVFDWTILKYQQSQKNRVQPRISPVPGASNSRAMPMDLDNRQGDASERIKSGNATGSGVKIQFKSSLSKNLGSENPIGKNIFGEADVPSTSFSLAGTSRRNPLKPALSTEASNHVHGQGSPFK >OIV95421 pep chromosome:LupAngTanjil_v1.0:LG16:14450153:14452791:1 gene:TanjilG_06883 transcript:OIV95421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNLYGDYNQKIDYVFKVVLIGDSAVGKTQLLARFARNAFNVDSKATIGVEFQTKTLIIDNKTVKAQIWDTAGQERYRAVTSAYYRGAVGAMLVYDMTKRQSFDHMARWLEELRGHADKNIVIMLIGNKCDLASLRAVPTEDAEEFAQRENLFFMETSALESTNVETCFLTILTEIYKINGKKTLTSSDNADQNGTAGLMKGSRIIVPSQEMGAGGKQGGCCFAS >OIV95660 pep chromosome:LupAngTanjil_v1.0:LG16:6471743:6488154:1 gene:TanjilG_01454 transcript:OIV95660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIERPRKSKLPPGPKPWPIVGQLPEMLANKPASMWIHKVMKEMKTEIACIRLGNVHVIPVSCPSIAREFLRKHDAVFASRPMSNSTEIVSNGYLTAIFAQFGEQWWKMKKVLINDLFSFQKHQWLQEKRNEEADNLMFYVYNKCKNVNDHGLVNVRIASQHYCGNVIRKLIFNTRYFGKGRDDGGPGFEEVEHIDSIFTLLRYVFAFCVSDYMPCLRGFNFEGLEKKVDKAIKIMKKYHDPIIEERITQWNDGSKMEVEDLLDIMISLKDANNNPLLTMEEIKWQIMDLMLAAVDNPSNAVEWALAEMINEPALLQKAIEELDNVVGKHRLVQESDISKLNFIKACSREAFRLHPMTPFNLPHVATKDTMVTNYFIPKGSHVLLSRQELGRNPKVWNDPLKFKPERHLKNDGSEVVLTEPNLRLISFSTGRRSCPGVMLGTTITVMLLARLLHGFTWSATPNVSCINLVESNDGDMLLAEPLVAVAKPRLAAKLYL >OIV95612 pep chromosome:LupAngTanjil_v1.0:LG16:8603719:8606945:-1 gene:TanjilG_23843 transcript:OIV95612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRAFKKVKIMVGMEVEDQEQQAAALEDNNANFMDDFNCNSTLSTIQSMLVFLKPIKFAITFTLGNLLSHGSTALLIGPKKQVTVMLDPVRIYATAIYLANIVIDWYSLSTFARSMTTNSSGIA >OIV95452 pep chromosome:LupAngTanjil_v1.0:LG16:15172509:15174345:-1 gene:TanjilG_06914 transcript:OIV95452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIRKNQGLFPSYLSSTVPISDPNLVNRSPVMVQLNDVTTTTPHHDSPASVQAPSNWDHYQPFDQILPTIGEKTNGYDLSGIGECGPHRQHNKQQPLDEDERKQESSDSKEKGNSHFRKGVILGSEIVAHEVLPPSSLSSTQDGRWCDGEKAIPLKKRRGSFENNGNSNKKMKAKMKTKMNKKCSTRNDDNEDDIDWVDEEDKSRETKKLDRVNNNVGKKKAKGSAVMEGSRCSRVNGRGWRCCQQTLVGYSLCEHHLGKGRLRSMTSVRNRSIASTTESDHKNVHDNMCEPISAASSIPEKKTKCISVSDDYPLVSDDEKKSVIVTKRRMKLGMVKARSISSLLGQTKNNVVVHEDNE >OIV95226 pep chromosome:LupAngTanjil_v1.0:LG16:19370420:19372801:-1 gene:TanjilG_21616 transcript:OIV95226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSVGDNVHAEKKKYAILGVSSVLLVAMVGAVAIGFTQGGSSGHGAAEISSSQKNAEVICQSTEYKDTCIKSLDKASNTTDVKELVKMAFNSTAEELLSKMNNSTLFQELGKDNMTKQALDICREVFDYAIDDIHKSINSLDSMELSKLGQYAYDLKVWLAGTLSHQQTCLAGFENSKTEAGETMKKALNSSMELSSNAVDLINTASELLKGLNFNYSELSSAISGRRLLSEEEEQQQLVDGFPSWVSDGQRRLLQAAPGGGGIKANAVVAQDGSGQFKTLTDALKTVPKNNAVPFVIHVKEGVYKEYVILTKFMTNVTIIGDGPTKTIFTGSKNYKDGFQTYNTATFGVNAANFIAKDIGFENTAGSEKHQAVALRVTADQAVFHNCKMDGFQDTLYVQSKRQFYRDCTISGTIDFIFGDAVAVFQNCKLVVRKPLENQNCMVTAGGRIKLESPSGLIFQNCHFTGEPELATLNPKVSYLGRPWRIYSRVVIMDSTIDDIFVPQGYMPWMGSAFTDTCTFYEYNNKGVGANTASRVKWPGVKVITPSEASNFYPGKFYEIANTTQRDSWIVSSGVPYSLGPITNSNAAKQ >OIV96115 pep chromosome:LupAngTanjil_v1.0:LG16:769211:770781:1 gene:TanjilG_13047 transcript:OIV96115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTSTLTCLILTFIALNPSNAKQTQPISDILNIDDHLVLAGVLCFIASSISNAGGIGGGGLFIPTLTIIAGLDLKTASSFSAFMVTGGSLANVMYNMCTTSPKFGGKSLIDYNIALSSEPCMLLGVSVGVICNLVFPEWLITVLFALFLAWSTTKTCKSGILLWKIESEDMMRENGHEELENGLLENVTSEENSKLKIPWLKLWVLLLIWLSFFSINLLHGNKYGQSIIPMEPCGVGYWFLSSVQVPLAVVFTTWVVIRKESLQDQTLEHEGQDLTRNREPNKLVFPLVALLAGILGGVFGIGGGMLINPLLLHVGIAPEHK >OIV95363 pep chromosome:LupAngTanjil_v1.0:LG16:16058449:16058811:1 gene:TanjilG_14517 transcript:OIV95363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASASFLLTLYLFMVATSIYPPTIVALSNHRIQWLPTTTTTTTTSCQGSIEECIINGELVMDSESHRRILATSQYISYKALQRNTVPCTQRGSSYYNCKPEASINPYTRGCNTITHCRNT >OIV95419 pep chromosome:LupAngTanjil_v1.0:LG16:14390488:14424988:1 gene:TanjilG_06881 transcript:OIV95419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGTVIVSMNGGCGGGKGRRVTKTSEYEEVEEEQNQVSPVAVLLAALRKSMVACSVESPNDVISTVHHHHKMEIGWPTNVKHVSHVTFDRFNGFLGLPLELELDIPCPVPSASVSVFGVSAESMQCSYDSKGNSVPTILLLMQERLYSQEGLKAEGIFRINPENSQEEHLRDQLNKGIVPDDIDVHCLAGLIKAWFRELPSGVLDGLSPEQVLQCNTEEESVQLVKQLKPTESALLNWAIDLMTDVVVEEEYNKMDARNIAMVFAPNMTQMSDPLTALMHAVQVMNLLKTLILKTLREREETTTSGYSSMSCHSSDRQSEDEYDDSQQEMDTSGELRRTKSDYDDDHVHYNHSSEEEALKSAITFDPNGALKTWSQTDPTPCNWNGVVCFQNRVTQLALPNNSLTGYLPSELGLLTSLKRLSLPHNNFSNSIPINLFNATNLVVLDLSHNSLSGFIPSEIHSLRLLRHVDISSNSLTGSLPESLSELIGLVGTLNLSFNHFSGGVPASLGKLPVAVSIDLRYNNLTGKIPQVGSLLNQGPTAFSGNPGLCGFPLQNACPEAEKPPGIVTSTQYEPQNPKAVSSGGEEEKGTGGSGGRGGSVAVAVISGISLFVAVVSLSLWIFRRRLNALEEGNLRKGKLEIGVGNDLGGEGQNGKFVVIDEGFGLELEDLLRASAYVVGKSRSGIVYKVVGAGKGLGGAASATVVAVRRLSEGDDATWRFKEFESEVEAIARIRHPNVVPLRAYYFAHDEKLIITDFIRNGSLSTALHGGPSNSLPPLSWAVRLKIAQEAARGLTYIHEFSGRKYVHGNLKSTKILLDDDLRPYISGFGLTRLSLGTTKCTTLAPKRQNSNQSSLSSAMGSMTASNYYLAPEVRMAGGKFTQKCDVYSFGLVLLELLTGKQHNFGLENDDMVLECFVRKAFKEEQPLSEIIDPTLLPEVYAKKQVVAAFHVALNCTELDPELRPRMRTVYESLDRIKIQ >OIV95442 pep chromosome:LupAngTanjil_v1.0:LG16:14937504:14937861:1 gene:TanjilG_06904 transcript:OIV95442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTRKKNISTLNKELNQFLTSDSDELPQSLKQFSKLATSNEFTISLTKASESVFIGILQGHKLDMKKKNQSDVGIENATRECNLK >OIV95201 pep chromosome:LupAngTanjil_v1.0:LG16:18861963:18869559:1 gene:TanjilG_21591 transcript:OIV95201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRVKGRTVIEVGADGVAIITIINPPVNSLSFDVLRSLKESFDQALQRNDVKAIVVTGAKGKFSGGFDITAFGGLQEGKENSNPGSISIEIVTDTVEAARKPTVAAIDGLALGGGLEVAMACTARLSTPTAQLGLPELQLGIIPGFGGTQRLPRLVGLAKALEMMLTSKPVKGEEACNLGLVDAVVSSDQLVNTARQWALDILDHRRPWVASLYKNDKIEPLGEAREILKFARAQAQKRAPNLKHPLVCIDVIEEGIVSGPRAGLWKEAEAFQGLLNSDTSKSLVHIFFAQRGTSKVPGVTDRGLVPRPVKKVAILGGGLMGSGIATALILGNYPVVLKEVNEKFLDAGLNRVKANLQSRVKKGQLTKERLEKTISLLKGTIDYESFKDVDMVIEAVIEKVSLKQQIFADLEKYCPPHCILASNTSTIDLNLIGEKTKSQDRIIGAHFFSPAHIMPLLEIVRTKQTSPQIIVDLLDIGKKIKKTPVVVGNCTGFAVNRMFFPYTQAAILLVERGTDVYQIDKAITKFGMPMGPFRLVDLVGFGVGVASAMQFIENFPERTYKSLLLPLMQEDDRAGEATRKGFYLYDDKRKASPDPELKNYIEKARSISGVTIDPKLVKLPEKDIIEMIFLPVVNEACRVLDEGIAVKAADLDISAVMGMGFPPYRGGIIFWADSLGSKYIYSRLEEWSKLYGEFFKPCAYLAARAAKGIPLSASVEQGKSRL >OIV95772 pep chromosome:LupAngTanjil_v1.0:LG16:4748523:4748912:1 gene:TanjilG_20222 transcript:OIV95772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNLHQPFTKFLCITLLFLFLVSYTTSSSSSNKEIQPQYPSSTTLSHYHPVFYLKNTDPKFLSKQETIKKRKRSKKNMKKMSKNNVKTRPFYVMLPKGFVPPSGSSPCHNDLPNSVSFFHCHLTSTTQP >OIV95588 pep chromosome:LupAngTanjil_v1.0:LG16:9158531:9162413:1 gene:TanjilG_23819 transcript:OIV95588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKAKNAENDSTKVYANEAAMDIEVLDTAHQISSDSWFQVAFVLTTGVNSAYVLGYAGSIMVPLGWVGGVVGLLLATAISLYANGLIAKLHEHGGTRHIRYRDLAGYIYGKRAYSLTWALQYVNLFMINTGYIILAGSALKAFYALFRDDDQMKLPHFIAIAGVACGMFAICIPHLSALGIWLGVSTVFSTTYSIIAIVLSIKDGIRSPARDYSMPGDDDTSKVFSTIGSAASLVFAFNTGMVPEIQATIRKPVVKNMMLALYFQFTVGLVPFFAVVFAGYWAYGSSTGAYLFNNVTGPIWVKGLANISAFLQAVIALHIFASPMYEYLDTKFEIKGSSLNIKNLLFRIGVRGGYLTFNTFVAALLPFLGDFMSLTGAISTLPLTFILANHMYLVAKGDKLASSQKLWHWFNIVFFSIASLAATVAAIRLIVVDSRTYHVFADI >OIV96157 pep chromosome:LupAngTanjil_v1.0:LG16:1055710:1056147:-1 gene:TanjilG_13089 transcript:OIV96157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSAFLVICLLHSLIAMTSGALMMFYMKEVYTFGHGVETATKLLGSTPHDQLLIKTSDSFSGLLLLAIGFLLFMVSFVKDRDFQSFFAKGCMILHVFMAMWRIYFERKVEDLAWDWLRQSFGDVVLALSWLFFLVYSWREKYD >OIV95386 pep chromosome:LupAngTanjil_v1.0:LG16:16316753:16322008:-1 gene:TanjilG_14540 transcript:OIV95386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMFVIKDGRRKRLDQQQPAEVVAGNRNSCLKHATNNFGNENLIGKGGFAEVYKGRLQNGELVAVKRMIRGTKNERTSNFLSELGIIAHIDHPNIAKLIGCGGEGEMLLVFQLSPMGSLGSLLHGPNKNVLDWTKRYKIALGIAEGLVYLHEECDRRIIHRDIKCDNILLTKDFEPQSLMDQKFLQICDFGLAKWLPEQSPHLSPHDISKFEGTFG >OIV95108 pep chromosome:LupAngTanjil_v1.0:LG16:17804225:17811535:-1 gene:TanjilG_21498 transcript:OIV95108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLMKKNVLDFNDFNASILDDVHEFVGKRVSLQLISAVNVDPAGESTLSVTFDWDEDIGKIGAFLIRNHHHSEFYLRTLTLENVPSQGDIHFICNSWVYPSHKYEKDRIFFTNKTYIPNETPMPLLKYREQELENLRGNGRDELKEWDRVYDYAYYNDLGDPDKDINTESRLNLLQSLDIYVPRDERFGHLKLSDFLAYALKSLVQILKPELESLFDNTVNDFDTFEDVLKLYEGGIKLPKGILKDIKDHIPQELFKEILPNDGEGFLKYPLPQVIKEDKSAWRTDEEFAREMLAGVNPVVIRRLEEFPPATKLDPEVYGDQTSTIRKEHIKNNLDGLTIDEAIIQKKLFILDYHDALMPYLRKINSTSSKVYASRTILFLQRNETLKPLAIELSLPNPEGDQLGVISTVYTPDEQGIGNSIWQLAKAYVAVNDSGYHQLISHWLLTHAVIEPFIIAANRQLSALHPIYKLLYPHFRDTMNINALARQILINAGGLVETTVFPAKYAMEMSSVLYKDWIFPEQALPADLIKRGMVVEDSTSPHGLKLLIKDYPYAVDGLEIWFAIKKWVKDYCSFYYNDDDTLKKDSELQSWWKELREEGHGDKKNEPWWPKLQTRKDLIETCTTIIWIASALHAAVNFGQYPYAGYAPNRPTISRRFMPAKGTPEYDELVEFPNKAFLKTITAQLQSLIGISLIEILSRHSSDEVYLGQRNTLYWTSDLVPLEAFERFGKELAQVEERIIRRNNDEELKNRVGQVKLPYTLLYPTSEGGLTGMGIPNSVTI >OIV96215 pep chromosome:LupAngTanjil_v1.0:LG16:221211:223114:1 gene:TanjilG_14892 transcript:OIV96215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPSSLRRAIGAVKDQTSIGLAKVGSSTSLADLDVAIVKATRHDEYPSDEKHIREILSLTCYSRAFISSCVNTLSRRLNKTRSWTVALKTLVLIQRLLAEGDPAYEQEIFFSTRRGTRLLNMSDFRDNSKVGSWDFSAFARTYALYLDEKLEYRMQSRRGKRSMFGLDEDKEERERDNDKEIVIRSIPVRDMKFEQILSKMQHLQLLLERFLACRPTGGAKNHRIVIVALYPIVKESFQIYYDITEILDVLIDRFPDMDVANCTKVYDIFCRMGKQYDELDLFYGWSKSTGIARSSEYPEIDKVIPKKLEVMEEFMKDKSALTQNMKAKAQEENKEVEEAEEPEPEEDMNAIKALPPPEGFNEEPTEEMKEEERKEEMPKEEKVVQTEGDLLNLGDDMVSKEEHADKLALALFDGALTTSGATQALPWHAFDNGADWETSLVQTASNLTNQKPTLGGGFDTLLLDGMYKQAEVNAAMQGPGYGVSGSASSVTIGSGGRPAMLALAAAPTSGTSGASSASVDPFAASLVVAAPSYVQMSEMEKKQRLLVEEQIMWQQYAKDGLRGHLYL >OIV95085 pep chromosome:LupAngTanjil_v1.0:LG16:17588986:17596407:1 gene:TanjilG_21475 transcript:OIV95085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHIAALRRYEAAGWLRKTVGVVGGKDLPAEPSEEDFRLGLRSGIILCNVLNKIQPGSVPKVVEAPNDSVLIPDGAALSIYQYFENVRNFLVAMEEMGIPTFEASDLEQGGKSSRVVNCVLALKSYAEWKQGGEIGTWKYAGIGTPKPTTSAKTVLKKISEPFMKSLRITPSSDRDVMSDYSQNSDSGHDGNEGDSLPSLHSLVREYLCDKRPEEIPIVVETLLSKVLEECERRTQIQNETLKTTQEEKVPSEVECSNSKAASSIDEEMEEKEDAQDLQSREELQDESYDKDNSHEESSSRNLKAQELIQQQNRSIQELKTIVYETKSGMQFLQKRYQEEIIYLSKQLYGLASAASGYHKVIEENRKLYNQVQDLKGNIRVYCRVRPFLGGQTSHNSSVSNVEEGSISVVTPSKYSKEGTKTFTFNRAFGPSATQAEVFSDMQPLVRSVLDGYNVCIFAYGQTGSGKTHTMSGPDELNEETIGVNYRALRDLFLLSEQRKETIFYDISVQMLEIYNEQVRDLLTTDEIRNSSQNGINVPDASLVPVSSTSDVISLMNFGHKNRAVGSTAMNDRSSRSHSCLTVHVQGKYLTSGTTIRGSMHLVDLAGSERADKSEATGDRLKEAQHINKSLSALGDVIASLASKNAHVPYRNSKLTQLLQDSLGGQAKTLMFVHISPELEALGETLSTLKFAERVSTVELGVARVNKSNNSSDARELKEQIASLKAALAKKDGEAGRFQQSANSSSIESPRSKSYGFSPMRFGTKKLRDDSSTREGQKNGASKLKRRSLDIHEMYRNSPQWPHVGKPMTNAKEDDKESSSTSSDWIDKTMIKRNDSLTSIDSLMGQWETSSPKSIPDTSKMSIEPSLLGYDMNINDEFDELEIATSDSSESDMNWLSSTIPKPISISNELASMPKKSSTLAKSSKIPEPR >OIV95188 pep chromosome:LupAngTanjil_v1.0:LG16:18629813:18633322:-1 gene:TanjilG_21578 transcript:OIV95188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTEKLDDLSSTGYINGEKMLCQFVERHKSRGIWLGDNPLHHTSCVIAFEIIIVYVVGRLVHLILRPCHQSTVIAQIVAGIIMGPSLLGRINNNFEQLFPAASRMTLRTFAEFGMIIHFFRIGVEIDFKQLFRIGKEALIIGLSGHISAIIVSTIVFIFVSTWTHIGPEGGAFYSIVVTSGLTSFVVVSGFLTELNILNSEIGRLALSSAMVSDACMWIMYFAFTNIAVALKHKTFLPIIQLVFSLSYLSVLFILLRPLVIWISRRNPKGKPMTQGYFVSIMFILFFVGISAQCVGQPAFFATFLFGVILPDGPPLGSVLTEKLDVVGSTLLVPAYITISGLNTGNVPTIIGTRSAGIEIVILAGYIGKFVGTIIPSIHFEVQFWDSITLALIMCCRGILDLIIYYLLFNAEATDNLIFSLQVYTMVIITGSVNMIVYHIYDPSRRYKSYIRRSIRESQQDINLKILVCIHNEENVYPIINLLQVSNPTKATPLSVFVLHLMELSGRASSILTKNKITNHSPYTETSSKPISNVFDQFEKHNKGCVTLQFFTSITPYASMHDDICYMAMDTKSSIVIVPFHKQWTADGNSHIYNNSIRILNQNVLKKAPCSVGVLVDRSQMRAKLLIINEKSYYEIAMIFLGGADDQEALAYSLRIAQHPNVKLTVFWVRAEMHIKQYNMKNPYIDLMEHIRYSNKHKRQVTFKEEIVEDGLGITQIIRTMEGYFSLVIVGRHHVADSPCTLGLTEWCELPELGPMGNLLAVSDFTFSVLVVQQQHFNCEFKYVR >OIV95227 pep chromosome:LupAngTanjil_v1.0:LG16:19427696:19430098:1 gene:TanjilG_21617 transcript:OIV95227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAAEANQTAENVEALLEAARYGDMDDVLSLASSDVPLDSKDDQGRTALHMAAANGHNDIVEYLISRGVDLNALNEEKNAPLHWACLNGHVEVVKKLIIAGANVSVLNSHERTPVDEAVSGGKLEVMDAINEAVAVVELRNAMV >OIV95435 pep chromosome:LupAngTanjil_v1.0:LG16:14856945:14859814:-1 gene:TanjilG_06897 transcript:OIV95435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTSFYSSYLFSPSNLGTSSPVLKTHNARAAPWKLPQRRRCRIKAEVDYVNAEQAKDLVAVEGYKVLDVRDRTQFERAHIKSSYHVPLFIENKDNDPGTILKRTLHNNFSGLFYGLPFTKPNPDFVQSVKSQFPPESKILVVCQEGLRSTAAASKLEQAGFSDVKSITSGLQKVKPGTFESVGSTELQNAGKAGLVTIQGKISAVLGTVLIGAYLFITFFPDQAEKLFQLVPAS >OIV95025 pep chromosome:LupAngTanjil_v1.0:LG16:20749876:20752842:1 gene:TanjilG_10845 transcript:OIV95025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGREVTGIQSLDKKPNGVIAASNGNFSDRVHLSPKIAAMVQAIDHDIKESTEAIHEKKDVLSAKRLNPNAEVQKMDDNEKLSPPTEIPISVEKDLISPSAPLPSDDANGNNVTYAQTVDAEAVATGLNLSAKANTTLSPNSSKTSQPNSPFTPKKPMQHDDKKHRDDEDNWSLASSIASAHTLRSKVTVGSAPTFRCSERAEKRREFYRKLEEKQQALEDEKNQYEARKKEEEQAALRQLRKKLVIKAKPVPSFYYEGPPPKTELKKLPLTCPKSPKLSRRKSCGDAVAISSPEICTQGRYSIGSNLKHGFVSPDKKNKDLVTRHNSSGACKTKEPKNAPPKVAEQKTEDTLDES >OIV95088 pep chromosome:LupAngTanjil_v1.0:LG16:17624852:17626593:1 gene:TanjilG_21478 transcript:OIV95088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLVLPSSFDAFSNENPSNLKTQDMHAMIAQACMNIENQNSCQTNIQNELTKFGSPTPTSILSAAMRTTLNEAIGAIDKISKFTTLSISYREQIAIEDCKELLDFSVSELAWSLGEMRRIREGDNNVQYEGNLEAWLSAALSNQDTCIEGFEGTDRKLESYISGSLTQVTQLISNVLSLYTQLHSLPFRPPRKNSNNNNNKNNTLITKHDESWKFPEWMSENDKELLKSMPHGAHDAVVALDGSGNYRTINEAVNAAPSHSNKKYVIYVKKGIYKENVDMKKKMTNIMLVGDGIGRTIITSNRNFMQGWTTFRTATLAVSGKGFIAKDISFRNTAGPVNHQAVALRVDSDQSAFFRCSIEGYQDTLYAHSLRQFYRECEIYGTIDFIFGNGAAVLQNCKIYTRVPLPLQKVTITAQGRKSPHQNTGFTIQDSYVLATQPTYLGRPWKEYSRTVYINTYMSVKVQPQGWLEWFGNFALETLWYGEYRNYGPGSSLKGRVKWHGYHIIRDAGAANFFTVQRFINGDSWLPRTGIKFTSGLTN >OIV95181 pep chromosome:LupAngTanjil_v1.0:LG16:18462573:18464734:-1 gene:TanjilG_21571 transcript:OIV95181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTNSTLSLSLTPSSSSSYYSSKDKLQFLASSKRCVSFSSLPILLTYKARVLTPFSALVTERENDVVSNEEEKEEEEEQWKKPIATEVYVCNIPRSIDSDYLLNLFKPHGDVLSVEVSRNAETGESKGCAYVTLGSINSARNAVSALDGLDVGGREMRVRFSVEMNRRGKNLKILNSSPRKVIYYEGPHKLYVGNLPRPIRPEELRHLFVKYGTVTSLRILIDQREGRTRVYAFVSFLTAGERDAAMSINGIDFGGRKLVVREGVDKSELS >OIV95024 pep chromosome:LupAngTanjil_v1.0:LG16:20753282:20762149:1 gene:TanjilG_10844 transcript:OIV95024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTLNTLSSKLLSLSYRFPTSPSPFSTLFINNNSNNSKTLSSSSSSNDNNNNNNKSKKQRSRKGKPSYGTSRRSILKKSFNQEQVNFTAPFSDDPVVAIIGGGISGLICALFLNNHGVRSTLFDSGVHGVGGRMGTRVIEPHPLIFDHAAQFFTVNDPRFAHLVHAWMDKGLVTEWHGTIGDLHNGGHFLPFLPSPPRYVATHGMRSIADSLLSQTHLVNVTRPCWISKIEPFNGMWHLSENGKPRGKFDAIVIAHNGKCANRLLMTSGLPSIAKQMKRLELSSIWALLAAFEDPLPLPGGTEFPFEGAFVRGVDSVSWMANNTKKLLSSQSGGPHCWTFFSTAAYGRQNKVPQENIPSATTARVTAGMLEGIEAALGLSEGSLPKPFYSRLQLWGAALPTNTPRIPCIFDPFGRAGICGDWLLGSNIEAAVLSGIALADHIAEYFQSPGAEAGEFAVGLNQEFQPLEGHDIGQFPVREKIQLFLNAACSGNLDLFKKLAAQLDEGAHDLTKTVESIKDANKRGALHFSAREGQTEICKYLLEQLNLHMDSIDDDGETALIHAARQGHTHTAKYLIDRGANPTIPSNLGATALHHAAGIGDTELLNYLLSRGVNPDLESDAGTPLVWAAGHAQQTALSVLLQHGANPNAETDDGITPVLSSVAAGSLACLELLIKSGGKVNISAGGATPLHIAADNGSLELISCLLKAGTDPNISDEDGIKPIQVAAARGNRAAVEILFPSTSKIDDVSTWTIDGIVEYMQSETKRQPDETINVGEANRAKDTVFQEKKVPEVAPEVKKRAAEAKSRGDDAFKRKDYMTAIDSYTQAIDLDPTDATLLSNRSLCWIKSGQAEHALADAKACRALRPDWKFDEAANAFYEGVQLDPENMELVNAFREAVEAGRKFHGISENK >OIV95544 pep chromosome:LupAngTanjil_v1.0:LG16:11631855:11645324:1 gene:TanjilG_10932 transcript:OIV95544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDESNITNCFASGGEVVSVNIIRNKQTGLSEGYGFIEFTNRAAAERNLHAYNGTLMPNSDKNLRLNWVMLGPGERRQDGADHTVFVGDLAVDVTDYVLLETFPAHYPSAKGAKVVTDRSTRESKGYGFVRFADEGEQLREMTEMNGMPCSTGPMRIGPVANKKPMDGQQYQTATYQITQGNQGDNDPNNTTVKIPVGKRCGFVQFADRACAEQALSALKGTTLLGPGIRLSWGCSPNKQSPTDQVQWNGAAPAYYGGYAQGYNPYAYAPTTQDPNIYYGGYPGYGNYQQPQQRFDFAL >OIV95275 pep chromosome:LupAngTanjil_v1.0:LG16:17316087:17318081:-1 gene:TanjilG_07431 transcript:OIV95275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLRDGCSLLFKVNKPSIPLVLNTNPIFNHDDEKPYLQTNHVIEESLVVKKLKYEPDIVWALEYFKSLANSRAFKHTPFTYQIMIEKLGKNCEMDGVQYLLQQMKLEGVPCSENLFICVMNCYRNSGLADQALKMFYRVREFGCEPTVKIYNHLLDALLSENRFQMISPVYNNMKGEGLEPNVFTYNILLKALCKNGKVDGACKLLEEMSNKGCQPDAVSYTTIISSMCKLGQVEKAKELALKFEPVVPVYNSLIHGVCKEYKIKEAFDLMSDMVSKGLDPNVISYSTIISCLSDMGNIDLSLAVFAQMLMRGCSPNIHTFTSLIKGHFLRGRVREALGLWKLMFKEGVKPNVVSYNTLINGLCSNGNMAKATSICNKMKKHHCHPNVVTYSALINGFAKTGDLQGASETWNKMINCGCHPNVVVYTSMVDVLCKHSMFNRAHRLIDNMINDGVPPNVVTFNTFIKGLCGSGRVELAMRVLDQMEKYECLPNTRTYNEVLDGMFRANRFTEACGLIREMEERKVELDSVTYNTIMYGFSCLGMQERVLQLLGKMLVSGVTLDTITVNIVIYAYCKLGKVRTAIQVLDKITEGNELCPDIVGHTSLLWGICNWLGIEEGIAYLHKMLNKGVSPNIATWDVLVRGFFNNLGHMGPIRILDDILGNG >OIV95366 pep chromosome:LupAngTanjil_v1.0:LG16:16067433:16068821:-1 gene:TanjilG_14520 transcript:OIV95366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEKCLGAQRLRRFQRLLNHSKLTILCLLLTVVVLRGTIGAGKFGTPEQDFNDIRNRFKSRKLINDPHRVLEEKVLHKTTSFSDSSTNYNAFDINTLLKDNEEEKEEERENEERDKLNESYRLGPKISDWDKQRSNWLLSNPNYPNFIRSDKPRVLLVTGSSPKPCENPVGDHYLLKSIKNKIDYSRLHGIDVFYNFALLDAEMAGFWAKLPLIRKLLLSHPEVEFLWWMDSDAMFTDMAFEVPWERYKDHNFVLHGWNEMVYEKKNWIGLNTGSFLIRNCQWSLDILDEWAPMGPKGKVRNEAGKVLTRELEDRPVFEADDQSAMIYLLAKNRDKWGDKVYLESGYYLHGYWGILVDKYEEMMEKYHPGLGDHRWPLVTHFVGCKPCAKFGDYPVERCLKQMDRAFNFGDNQVLQIYGFTHKSLGSRRVERIRNETSNPLEVKDELGLLHPSFKAVQVSSS >OIV95679 pep chromosome:LupAngTanjil_v1.0:LG16:6779827:6782134:1 gene:TanjilG_01473 transcript:OIV95679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEYYDFGPYKIHHSSVFYTTDLSFAFVNLRPAMPVPCFVALYLSLHVLICPKREAKRVADLTADEITELWIIAQKVGKQLESYHKASSLRFGIQDGPQAGQTVPHVHIHVIPCRNADYAKNDDNYDEINEKEELKQNFALDKERKDRSLEEMAQEADEYRKFVF >OIV95569 pep chromosome:LupAngTanjil_v1.0:LG16:9770570:9770968:-1 gene:TanjilG_30536 transcript:OIV95569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEKKGVEEVRYRGVRVRPWGKYGAEIRDPTKAKGRQWLGTFDTAKEAARAYDRAAIALRGALAVLNFPDEYYSHLPFVSSIFGSCSDDNNGIESSYSGNGECREVIEFECLDDKVLEDLLEQEVKKMSEE >OIV95346 pep chromosome:LupAngTanjil_v1.0:LG16:16502031:16507108:1 gene:TanjilG_07502 transcript:OIV95346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDCCAVCAEPLEWVSYGHCLHRDVCSTCVSRLRFICDDRRCCICKTECDVVLVTKALGDYTRMINDFSALPSNVREGKVGSYWYHEDTNAYFDDMDQYKMIKAMCRLSCSECEKMGEQPHDASRRHERFRSIGQLKGHLFHRHKLHMCSLCLEGRKVFICEQKLYTRAQLNQHINTGDSVVDGSESERGGFMGHPMCEFCRTPFYGDNELYTHMSTEHYTCHICQRQHPGQYEYYKNYDDLEIHFRQQHFLCEDEACLAKKFVVFQSEAEMKIPTSFRYRHGNEQNQRRGRASTFRRDMSENQLSMAIEASLETANAEQIFHDPSTLSSGQVAVDDGNADVDSIVQPFESLATSGSEPSSRYLQALGHSSISATLEDSSFPPLATPSSTGQQNSKNELESSSSNTMAARLRRHGNRNVSVINSGNAWPTAGRVPVQTSSIPTQSKLSTNVAPRVSPNSGQGKTVTKSGASPSTYANSIQTTQRTVHGQWSAGSLLDKRDKGRIVHSTSAPNLTESNPIEGSISNFPPISAAPVSKLPTNSQSTLNVENVHSANKSLVEKIRGALDFDEDRYTLFKDISSHFRQSTIDTDTYLDYVQQFGLSHLVLEMARLCPDPQKQKELVQSYNASMQRNALRENSGVRGISSTHGKDSNNSKKSKGKSQDVRGSNSTERLADSFLSTVHQLQSSYKPSEENLEVLSRGDYRTNKDKSKIEQQINSNSGSRLTTKLNGHSETLTGSLSSQNREGGGGGSKQRKKASKFLKVRLGDGSVSALLDRGHLHTEPDPGITNGLDSDNNNLPARGVWRKGGAQKLFS >OIV95791 pep chromosome:LupAngTanjil_v1.0:LG16:4546742:4547050:1 gene:TanjilG_20241 transcript:OIV95791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRITNMVAERPVVIFSKSSCCMCHTIKTLFSEFGVNPSVHELDEIPKGKEIEQALSRLGCKPSVPAVFIGGKFVGGTNEVMSLHLNRTLIPMLRNAGALWV >OIV95318 pep chromosome:LupAngTanjil_v1.0:LG16:16760816:16762999:-1 gene:TanjilG_07474 transcript:OIV95318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKFQSLAYSTQIRKWVFQTYFISSCATHVEDISEIIGNPNDSSEFNQNLNFLKNNLAPQNLIRVLDNTSDLNSAVKIFKWASMQKSFHHSSNTYFQIILKLGMSGNDVEMSAFCKKLLKEKCHGAEEALVELVHTFVKNCRINEAMMVFMNINLSTYKPPVEVFNVLLGALVEESRRDFHKALFVYKEMVKAGILPNVNTLNYLLEALFMRNQVDLALNQFRRMNNKGCSPNSKTFEIVVKGLMANGRVDEAVSVTGEMVELKYQPDLSFYTCCIPLFCRENKVEEGVRLFEMMKASDILPDSLIYSVLVECLCKNLRLDSAISLVNEMIESGIQPTDNVFVDLVDCYCELGKVNEAIMFLEDNQVSDTASYNVLLEGCCNAGKIPEAYILLEKMSERNIADRYSWNILIRWLCENEETGKAYELLGKMIKFSFNVDHVTFSALVVGKCRMRKYEDAMVLFRQNCSRCWVLDFTSYSELVGGLCDVQNCQNAIEVFSYMSSKRLPLHSLSFFKLIKCVCGVGQINKAIKLWQLAYHCGTSCCIATHTTIMCELSKSGKANDLLVVLSRILVDGCNFGVETYSILIDSMSKENRVNECVLFFNVMVNESLIPDPDTLFDQLSFIAKHSQLCMISSAIDKLVSESENLNPAIYGLLIAGLWKEGKKHEARRLLDLMLEKGWLPDATTHKLLIGSDVEEGRSQEMLLFDHSSVQDAVSDILAEGLGET >OIV95972 pep chromosome:LupAngTanjil_v1.0:LG16:1998650:1999210:-1 gene:TanjilG_27076 transcript:OIV95972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFTTLSRLICRLPRPLQSRAFQPDVVARDPKANPIKYKIPQFYDPYGPRPPPSEKIVQLADRIGSLTEEERNQIMPTLAERLKLPKLQPISTEGMDLGPQDGAAGPKVEEKKAEKTAFDVKLEKFDAAAKIKVIKEVRAFTNLGLKEAKDLVEKAPVILKQGVTKEEANGIIEKLKAAGGVAVME >OIV95136 pep chromosome:LupAngTanjil_v1.0:LG16:18050162:18053708:1 gene:TanjilG_21526 transcript:OIV95136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGVAVLGNSAGVTGTIYFNQEGNGTFPLSSRLYTPVSNNIMYVVNLPGPTTVTGTLSGLKPGFHGFHVHALGDTTNGCLSTGPHYNPNGKEHGAPEDENRHAGDLGNINVGDDGTVSLSITDNQIPLTGPNSIIGRAVVVHADPDDLGKGGHELSKATGNAGGRVACGIIGLQG >OIV96238 pep chromosome:LupAngTanjil_v1.0:LG16:89433:91414:1 gene:TanjilG_14915 transcript:OIV96238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYEWNLIENADGYDSLDPYGNITVTWDFLADNGDTIDVKVSIYNFQLFRHVEQPGWRLGWSWTGDEVIWAMLGAEATEQGNCTKFRGQTKPHCCQKKPTIIDLMPGTPYNLQSANCCKGGVLTSLTQDITKYASTFQMNYKKASVTDDDIDPPPPPQQDGNFTMPQNFSLGIPGYSCGAPFQVPPTKITKDGKRWTQVLDTWNVTCMYSQFLASPAPKCCVSMSAFYYSTIVPCPTCSCNCQGLPGANCLNDDKSPPMLTLPQGNDSAQALVRCSRHMCPIRVHWHVKQSYKEYWRVKITITNLNFVKNYSQWNLVVLHPNFRSVNRVFSFNYKPLPIYGNLNDTGMFWGLEYYNDMLLTHGKNGNVQTEILLRKDKEEFSFKEGWTFPRKISFNGDECVMPSPDHYPILPNNNAPAHITTTTTSFILLFSVLMLSIFL >OIV95806 pep chromosome:LupAngTanjil_v1.0:LG16:4425131:4430953:-1 gene:TanjilG_20256 transcript:OIV95806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSASDSDAKHDPPINAAHDTEPFYDPCDDDNENMYVENTVPFDYDIDGDDALLSEEEETEPLNLAGETQRLDDFDDSDGDCDTQLFDEERGAGGTEVLENVDLDDPHCVDTAQSQDTTENKKLLEESDAVLCRNTNSGSMPPRYTFLRAESLRQSALARRNMALKQTQVETNSVMDVSQSCREALAVNDNREPVPTHSEKFCGIGQENHRRKDSVEVVGSMDKNMCKVTSSAVRKLFIEDLTFETNEPSLISNDLNEEDSLDKLPIYHDDLAGLSYIDSQEPGDLSQINALDFVDKFLKDNAMDLDQEIRLVKNVEEKSKSLPSSKGQQSLAKRVSDRGKSGETGIYDWDDSCEDEGGGDIFLRRKEDFFDGGTHKPKSLPGLQKIKAHRSNDDNDEKQPSFPKKRKVAVHSDSRLGMLNLKVRDNTVQEATRKLKRNLANELDEQFKTNCSGGEVQPNPKAGVQEMLDVGIDTQIAAEAMEALCNANDIVDNVANDVARVTRSRKTDQLNSPITVKAGPVTSKEHSRQYDRKRKVNDKSDLQTSGLSKKSTKMVRQCKKDNVMTRSMRSKLNAEGNRTCSANENDRIVSSPINEQRKSSETLERHQLDELNNLDSNGTGGKTVNKKHLRSEVCHFTPIARRTRQSRPVSQLIKSDIGSKSLGGDIANGSHEKRSGIRCHSSKPLDTKSTPGSFDHFEVHDSTDLCELETLVPKASVVSVNNDVEMGTIDFPKRRRSIRIREFSSNDKGSEKLIGPSKPTVQPEDIGKSTASMRIMRTDSRSAVKSLVNCRTQSSLYGGSEISSIDQRQGKALEPNLDKVTAGDTRIRCNITDKKDANLNSVEKNNADDILSTNTFEFTNSPRGRYKSADLASATPANCKTPVNDASPVCIGDGYYKRSCNRNVSNSCLLKVFREELDRELLNLRSIKPELTTPSKDSRKRRDMTAVRILYSQHLDEDIVKHQKKVLSRLGVSVASSIADATHFIADQFVRTRNMLEAIAYGKPVVTHLWIESCGQASCFIDERNYILRDTKKEKDIGFSMPVSLARASQHPLLEGRKVLITPNAKPSKEIISSLVKAVQGQAVERVGRSALKDHKFPDDLLILSCEEDYSSCVPFLEKGAMVCSSELLLNGIVTQKLEYERHSLFADQVKKTRSTIFMKRDENTFIPVTKCS >OIV95889 pep chromosome:LupAngTanjil_v1.0:LG16:1277291:1278784:-1 gene:TanjilG_26993 transcript:OIV95889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNPPRDEIRKIRAQLQEYGQVGDANVFYWFQNRKSRSKHKLRQQLQNSKNNYQNINQPEQQQKHDTVSQLSQVTAPSSSSTSSDQKSSRKEVIQPKVFSIGFSRVSDVVLPNSPTLSVNQNYLPPPLSEGFLFPVQHHGQGLLPNNNNNNDTISSSSQGFCFSELSSVVQSQQPHGQHNVGPCTSLLLSEIMNHGAASSKRDRVQDKNVKVIHPYSHLSFCVTPTTPLHTATTVVPPPITTTSVTVPSPINFSQLEGIGDAGVTPKSMVFINDVAFEVAVGPFNVREAFGDNAMLIHPNGHPVPTNQWGLTLHSLHHGASYYLVHTLTPHF >OIV95258 pep chromosome:LupAngTanjil_v1.0:LG16:17517499:17518919:1 gene:TanjilG_26955 transcript:OIV95258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNCINNVLSNFLFYNKANVDQIRYVLNAGCSYSTQRGNFNLGEYAGEETNSAQKLSDFIRLYLFITMVVVVYLV >OIV95942 pep chromosome:LupAngTanjil_v1.0:LG16:1749261:1749896:1 gene:TanjilG_27046 transcript:OIV95942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASLISTTDPSRDSHMHHVKKKKLQKHHNHQPQQPTHAKWKSQKQQQLYSSKLRQALTRLNLAGNHRGKQVREAADTVLAVTANGKSRWSRAILKNRLKLKFRKQHKRKRVVISSSTGSNRSRKQTRFSVVRLKGKTVPVFQSKVKILSRLVPGCRKEPLPVILEEAIDYIPALEMQIRAMTALSQLLFGSSSSSSGGGATSSSATFGPL >OIV95560 pep chromosome:LupAngTanjil_v1.0:LG16:10607346:10607786:1 gene:TanjilG_02915 transcript:OIV95560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTGEEEEVVVRGFIHLTSLLAPRRLHLHGQTVSKKGCIPLAGETLKKIHYSRKTTSLQGARRPTCMMTGEEEEEVVQGFILLTSPRELRRLRLRCQVVFGQKTCATITAEATNGTATITKPHPGAVHPPCTPVVPSSRTYGLRRG >OIV95450 pep chromosome:LupAngTanjil_v1.0:LG16:15123583:15129609:1 gene:TanjilG_06912 transcript:OIV95450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKAIHISGFFSHRCNWKPSSILISSNSKPFSSLFSPYPFLKPVPITTIDSPISTVRFISSHASSSSPSSLHFAGNVRNVKFCQWCGGQTKHDIPDGDEKLRAICTICGKIAYQNPKMVAGCLVEHDNKVLLCKRNIQPSHGLWTLPAGYLEIGESAVEGAIRETREEANADVEVTSPFAQLDIPLIGQTYMIFLAKLKKPHFSPGPESSECQLFPFDDIPFNSLSFSSMVVTLSLYVEDIKAGKPKFHYGTIHKRPGTSPSDIHAYTLDHHMHS >OIV95830 pep chromosome:LupAngTanjil_v1.0:LG16:3815216:3816606:-1 gene:TanjilG_06806 transcript:OIV95830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTSFKLQHPLERRQAEASRIREKYPDRIPVIVEKAERSDIPDIDKKKYLVPADLTVGQFVYVVRKRIKLSAEKAIFVFINNTLPPTAALMSAIYEENKDHDGFLYMSYSGENTFGSQ >OIV95637 pep chromosome:LupAngTanjil_v1.0:LG16:7951919:7952335:-1 gene:TanjilG_23868 transcript:OIV95637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSEVLDIFNKFDKNGDGKISCAELKDLMVALGSKTTADEVSRMMAELDQDGDGYVDLKEFGDFHFAGNSDAKELREVFEMYDLDKNGVISATELHAVMQRLGEKCSIGECRRMIGNVDADGDGNVNFQEFKRMMTRS >OIV95710 pep chromosome:LupAngTanjil_v1.0:LG16:7388343:7392824:-1 gene:TanjilG_01504 transcript:OIV95710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSPSSTMASPESLPQEDTFTYNQTVENNTPELETNENYSHLSGSDLQPFTSTLLESNYIASLVVFIHKFIQTPINHKHSKKRILDSLSFHYPNTFCLKLANLLALNPPIHIANEAVLLLRETLKVTPRNHIHRIRCDILLELRSLILEHFKVEIQEKLLPLLTETIASLTYRIYAFPSGGWLELLEYIVSCVTLNSNDDGDSVLKQRKGLMLLADLPESIAEHRGFWKKRYDVLCENLLARMVDRNANENSQALGFDSLHMMLKIAQPLRGYKIGGSILLILLEFIDRHSNEEIVVKRVLGLRNFVSMDVDLVLSGKGGNVLQAMLRIVDKNGASKELRCAAVEVLKGLGKNRRSIMAAVMKELSDVDAQRVIKLSMDMMFESDTRCFELGKSLLNWLSFREGSFVFHMFMNFLKTTYAASKDLKKRHTIMIVIARFADGKISDDSVFATEIIKMITNEINNMISMIVERVKAEEAGTFEGGSEYLPDEDMILEIARGIGICATYGGQKFKTIVNEAISRLYSLIEHEHSIKEEEEESSYGAYKSGMAVTALGMIYEFHWENIDGRPEVIRSWLSFLPLTDHLNLAKYVHGRLSKMLKREEADVLGPNNENLPKIVSIVRAILLRRDELATEETSSEIIGFLDKYGGDMS >OIV95044 pep chromosome:LupAngTanjil_v1.0:LG16:20569287:20569628:-1 gene:TanjilG_10864 transcript:OIV95044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDNKKLDFPIWDCGSPLYDSHELVSLAYAIERHMITWPSIIGPNPFITTQFFETHEAKVSTKSSVTKGSSMVTTFSEILVKNSLKKKLNPLKKKKKDKRRRTLFYELFCGGN >OIV95855 pep chromosome:LupAngTanjil_v1.0:LG16:4000120:4005930:-1 gene:TanjilG_06831 transcript:OIV95855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGIFSSSIVSPPDELVAAGSRTPSPKTKAAALLKRFVESKSSSVSVQVGENAQFAYTHHNESPWQPRSFAVKDEVFCLFEGALDNLGSLRQQYGLAKSTNEVLLVIEAYKTLRDRAPYPANHVVGHLSGSFAFIVFDKSTSTLFVAADQAGKVPLYWGITADGNVAFSDDAELLKGSCGKSLASFPQGCFYSTTVGGLRCYENPKNKITAVPAEEEEIWGATFKVEGPTVVAATE >OIV95453 pep chromosome:LupAngTanjil_v1.0:LG16:15179752:15181610:1 gene:TanjilG_06915 transcript:OIV95453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIRKSQVIFPSYFSSTVPLSDPNLMNRLPVVVQLNDAATTTITTQHASAAAEAPSNLDCYQPFDQTLPPIGETTNCYDLSGIDESGPHRQHNKQQQLDEDIRSKESGDSVEKGNSHFRKGIIFGSEIVALPPSSLSSTQDGRWWEGEKAILLKKRRGIFEDNGKNLGSSKKMNSKMKTKMNKKCSTHNDNDDDDDIDSVEEDKSHETKKYDRVNNKVGKKKAKGSAVMEGSRCSRVNGRGWRCCQQTLVGYSLCEHHLGKGRLRSMTSVRNRSIASTTESDHKNVHDNMSEPISVASSIPEKKTKCVSVSDDYPLVSDDEKKSVIVTKRRMKLGMVKARSISSLLGQTKNNAVVHKANK >OIV95467 pep chromosome:LupAngTanjil_v1.0:LG16:15460131:15460721:-1 gene:TanjilG_06929 transcript:OIV95467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISKTFCSIFSILSLILLSIISSLTLAEDSHLFHKTISPTLLGLRKEKLSHLHFYFHDILSGPKPTAVNVAQARMTNKSSTGFGLVMMADDPLTSGPEPDSKLVGKAQGIYASAAQDELGLLMVMNFAFTEGKYNGSTLSVLGRNTVFSVVREIPIVGGSGVFRFARGYAHAKTHTLDLKTGDAVVEYNVYVFHY >OIV95208 pep chromosome:LupAngTanjil_v1.0:LG16:19009751:19015925:-1 gene:TanjilG_21598 transcript:OIV95208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQTISRIVAELDDLRHNNHHQPPPPLSNESLSSLQLLLSDEEEEEPLPRLFDELSSKSLSPSALISSISSAMDSGSLTASDVFLSLLLSQNAPVFTLFTPISFLCFLRSLRRSFKNPPPGNSAQPSQEGSVPRKNGKRRRAGKGKASRKSNGSRNDDVGGVDVDFRVLILVLEKLVIVMGLIHLDRFPESLKSLVQTMCEIPVTALDSCGNSRQHGKLVNLCSRVLCEVLKPEHGEPLSTAPEVLKSLTLLILMPKSQARTFALEFVVREMRNMARECDGVKKALVNFPRYLVNKAPEKSEPRALAVESIMEIVRVMGFEDQIEFVKYVVQMSRGKLNLRLLAVDLILNLVMSLKDPLGVNSEDEMNEPWGIRCLEALVKRCNDVSAVIRARALSTLAQLVGFLSSSADCNVILKQFMGFGKVSDNNAEGGINDMVRRRCMDDKAVVRKAALLLVTNLTALLEGAIDEVVLKTMGMACSDPLISMRKAASAALSEAFRKFSAETVITEWLHSVPRLIADNETSIQEECENMFQELVLDWISRAASAIPPHSESISKRKMKEKSLDVEMEKLFPKGVFYLLREICNGEVSPWVKKICSNLGKKKRLNRKIVIALQNIIRVSESIWLSHSMPIEKWTAPPGAWFLLSEVSAFLSKAVDWEFLHHHWQLLDKHEVEGEFKSPFVQRNAFEEEESIECNSVAWASDRVFLLQTISNVSVELPPEPAADLAHNLLKRVEEFNMHTTEVDAHVKALRTLCKRKASNLMEAEALVLKWVHQVLFRATAIIDKFISQNSVQNPESTFFTPPRTGGRKSRKSVAMSKSLSKATTAIYTIGSLVIVCPSADISTVVPLLHTIITSGSSGPKLDKLLGPTTSLQQNAPSFYIQGWVAMGKLCLADGKLAKNYIPLFVQELEKSESAALRNNIVVMMADFCVRYTALVDCYQLHNKDHKVPLGSLRTCKKANIHIALEIVAVKAPLLAYNSFVEAVFVLNDCHVHNGHRESHSSRKESQLFSISGADEESRSKRMHIYVSLLKQMAPEHLLATFAKICAEIMTAASDGMLNIDDSTGLSVLQDAFQILSCKEIRIPSTRAPSSESAEIEDEGGENGAAARGKAITQAVKKGLIQNTIPIFIELKRLLETKNSPLIGSLMECLRVLLKDYKNEIDDILVADKQLQKELIYDMHKYEAAKAKATVAEAVATKPKPGAFQSPDASKNLTKTQGQSRHVNKFPNGSRVASAMADAAAAATARSVREINKGTGTRPLSSLSVPKVRSCTGLSNSRDDKRMDVIEAVKKRQSFDSDEEN >OIV95980 pep chromosome:LupAngTanjil_v1.0:LG16:2052614:2054245:1 gene:TanjilG_27084 transcript:OIV95980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSQVSYLATLVLLLSVSLASSSSIEENFIQCLSFHSDKAAPFYPSIYTPKNASFNNILNSTAQNLRLLVPSAPKPEFIFTPLTDSHVQVAVICSKKLGIHMRVRSGGHDYEGLSYVSEIEAPFIIIDLVKLCGVDINIDDKSAWIQAGATIGEVYYKIYEKSAIHAFPAGLCTSLGVGGHITGGAYGSMMRKYGLGADNVLDARIIDANGNILDKEAMGEDLFWAIRGGGGASFGIILWWKIKLVLVPEVVTVFTVTKTIEQGATKVLQRWQEVAPYIDDALFIRVIIQPASVANKTERTITTSYNAQFLGGADRLLQVMNESFPELGLTRKDCLETSWIKSVLYIAGYPNNTPPEILLEGKPTFKNYFKAKSDFVREPIPETGLQGLWQRLLEEDSPLMIWNPYGGMMSKFSESDIPFPHRNGTLYKIQYLTLWQDGDKNATKHVEWIRNLYNYMTPFVSKSPREAYVNYRDLDIGMNKKNSTNYIEATTWGNMYFKDNFNRLVKIKTKVDPENLFRHEQSIPPLPVSHMRLRDKKFKTLE >OIV95350 pep chromosome:LupAngTanjil_v1.0:LG16:16462309:16465522:1 gene:TanjilG_07506 transcript:OIV95350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPSSTFTNKPKTLPRHAYVTFLAGNGDYVNGVIGLVKGLRKVKSEYPLVVAVLPDVPIDHRQMLEDQGCVVRQIEPVYPPENKGTHYAMAYYVINYSKLRIWEFVEYNKMIYLDGDIQVFENIDHLFDMPNGNFYAVMDCFCEKTWNHTPQYKIGYCQQCPNKVQWPKELGQPPSKYFNAGMFVFEPSMDTYYDLLRTLKVTPPTPFAEQDFLNMYFKDIYRPIPLVYNLVLAMLWRHPENVDLDKVKVVHYCAAGSKPWRYTGKEENMQREDIKMLVKKWWEIYNDDSLDYKKSLNVTEEPNDGVDIEPFSKVLSVVGKVQYVTAPSAA >OIV96101 pep chromosome:LupAngTanjil_v1.0:LG16:690216:692404:-1 gene:TanjilG_13033 transcript:OIV96101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAVLANRNEPNWPQHRGGGAGFMGKIPKSNPNPNPKFAKRTHSDDASSINRRPNDANHSSQYVTFNVASCTKKEIFEIKNRLVSELEQIRRFQSRVESGEFQPRFNKKPTSKKVSGTKRPLPLPLNSTMKDLKRSNSEIGNLMKGCSQILQKLMKHKHGWIFNVPVDVTGMGLHDYYDIIKKPMDLGTVKTNLAKNVYSTPSVFADDVRLTFNNALTYNPKGHDVYAMAEQLMVRFEELYRPIHDKIEDLLREDRDFEEDLLASSWNHVEPEREKVKKKEISSYSNSIIPQVKLQHEPEPLLQSYAAPASSSNPPIVQSPVRTPSPMRVQPVKPLKQPKPKAKDPNKREMSLEEKHKLGLGLQSLPPEKMEQVVQIIRKRNGHLKQDEDEIELDIEAVDIETLWELDRLVTNYKKMVSKIKRQALMVNVSNNLTSNKSNGGLPACEKVGTTTVEVKKAKKVEAAGDEDVDIGDEMPMSMFPPVEIEKDKDVGGGHASSSSSSSSSSSSDSSSSGINIVPSIIRLLFVVEGACAHLVQFESGVTDWIVPRICGVACVKIDSGSLKT >OIV95437 pep chromosome:LupAngTanjil_v1.0:LG16:14868266:14875036:-1 gene:TanjilG_06899 transcript:OIV95437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCDYYLYVHFSFAVFSSILISQGFAFTHPPEVLALKDLYRTLNYPLVLQGWNGSDPCEESWTGITCSGSSVIHLKIQGLNLTGYLGSKLYSLNNLTELDVSSNKIVGEMPFGLPPNATHMNLSHNFLSGPIGDVFNGLDNLKELDLSYNNFSGDLPRSFGSLSNLSRLFLQNNRFTGSVAYLGELPLTDLYIQDNVFSGILPHHFQFIPNLRIGGNKFHEVDNSPSWTFPLEIVPVEHHNISHPPTTQASAIKNYPPPKVSEHKKKCIGPGRIAFMVGGGTLIATGVALLVAIHLNKLRAQNLNMKRLESSHSSLNSRPTSATIEVSPTALDESPPVPPVNSSTVSLLGPMQLASMHFNNAQEPLRRSFSKRGRSTGRMKVYTVVELQLATNRFDEGNLLGEGSLGPVYRAEFPDGKILAVKKINMECLSFREEEKFLDVICTASRLKHPNIVALNGYCLERGKHLLVHDYIRNLTLKDALHSGAYKPLSWVLRLRIALGVAQALDYLHSTLSPPVAHGNFKAANILLDENLMPHVCDCGLAILNPPRSKLLTIPATENTIGDKGYIEPEHGRPGTGSRKRDVFAFGVLLLELLTGRTPFDGSRPREEQYLAKWASSRLHDSASLEQMVDPSIKSAFSSKPLSPYANIIARCIQPLRQLRPPMSEVVDFLASFSQKFNIANRGEADCTVVDPFERSFRSTNTRFIGSPVKSHVSN >OIV96196 pep chromosome:LupAngTanjil_v1.0:LG16:353293:357943:-1 gene:TanjilG_14873 transcript:OIV96196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTSFSSLITFKPSLFTLPHSPNHHNHRNKSIITANSTDPFSKSSSTTAPISTTWSIDSWKKKKALQLPEYPDENALDQVLQTLHSYPPIVFAGEARNLEEKLGQAAMGNAFLLQGGDCAESFKEFSANNIRDTFRVILQMGVVLMFGGQMPIIKVGRMAGQFAKPRSDSFEEKNGVKLPSYRGDNVNGDAFDSASRIPDPQRMIRAYCQSVATLNLLRAFATGGYAAMQRVSQWNLDFMENSELGDRYRELAHRVDEALGFMSVAGLTADHPIMATTDFWTSHECLLLPYEQALTREDSTSGLYYDCSAHMLWVGERTRQLDGAHVEFLRGVANPLGIKVSDKMDPRELVKLIDILNPKNKPGRITVIVRMGAENMRVKLPHLVRAVRSAGQIVTWVSDPMHGNTIKAPSGLKTRSFDSIRAELRAFFDVHDQEGSYPGGVHLEMTGQNVTECVGGSKTITYDDLSSRYHTHCDPRLNASQSLELAFAISQRLRKRRLNMQSLASLRI >OIV95985 pep chromosome:LupAngTanjil_v1.0:LG16:2088100:2093145:-1 gene:TanjilG_27089 transcript:OIV95985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSSMGGANGSGDGDFHNSMMRNHPSYQRLSLTQKAALERFMIDYPHPTERQRHQLAAEIGLEPKQIKFWVQNKRMQIKNQNERADNKALRIENSRIQNENQLIRDTMINILCPACRGLPCREEEHAQFSQRMRLENERLRTQCARVSGLLQRYLEKQISQQELQALLNATMGSSSQAPMLGSSINQGVPVPLPVPVPVPELPNNNVNFGPQIMHQEMLLPNQMMSNNNADMENRMMVEVGNAAMDELVKLMHINEPIWINSSVGNGKLILNREDYEKTFLRARHFNVPYVHVESSKSSTVVNMSPMKLIDMFLDSEKWANLFPTIVTKAEIVHVVQPGLLYNRSGALQLMYEKMHTLSHLVPSREFCFLRYCQQVGVDEWVICDVSYDIFGDNNNPISGCWRHPSGCMIQDMHNGSSIVTWIEHVEVDDRTQIHLLYKDLVNSSYAYGSERWIVELGRMCERFSLYCIESIPNQEVGGVINSTKGRRCIMNISQRMVKIFCENLTIPYNLDMQNITGEENSEVKISIRKCTELGQPHGMVVVAITSFWIPLPNQQVFEFLTDDNKRNQWDVLSDSTPVQRIVQISNGTHPSNYISIIQPFATTENNMLILQESFTDPTGSYIVYAPVDVASLDMALNDEESTMVAILPSGFVISGDGKSNTALGTMNNTNAEGSSGGGEGSLLTVALQILVCSLSDIARLDMRSVSRVNTILASVVSNVRNALNINNAE >OIV95561 pep chromosome:LupAngTanjil_v1.0:LG16:10630066:10630457:1 gene:TanjilG_02916 transcript:OIV95561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHLRYDLLAGNDTPILLLCASLTCIGFERNGSLSAHVFFALSGYSPNPTDPEYQPHAAFGKTHVLGYHKVLGVLFH >OIV95401 pep chromosome:LupAngTanjil_v1.0:LG16:15665423:15665734:1 gene:TanjilG_06270 transcript:OIV95401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIITSMVAEKPVVIFSKSTCCLSHSIKSLIRNFGANTTIYELDEITNGKHIESLLIQMMGCQQSVPAVFIGQQLIGGSKRIMSLHVRNELVPLLINAKAIWI >OIV95581 pep chromosome:LupAngTanjil_v1.0:LG16:9278362:9279493:-1 gene:TanjilG_23812 transcript:OIV95581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEENMSGFCIRGASVSANSRSTGTKCGRWNPTTEQVNLLTELFSSGLRTPTTAQIQKISTQLSFYGKIESKNVFYWFQNHKARERQKRRKVSFDDDKDVNIGRENARILSTQNFAYQVSEPERLTQTLELFPLNSFGGESEPQKLRVHANECRDTTMFSYKMGEQMDHPPLDLRLSFM >OIV95614 pep chromosome:LupAngTanjil_v1.0:LG16:8596649:8598163:1 gene:TanjilG_23845 transcript:OIV95614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKETFYISHGSPTLSIDESIKARKFLQSWKNDVFPHKPTSILVISGHWDTAVPTVNVIQQNNDTIYDFYNFPKPMYELKYPAPGAPQLAKRVKELLKGSGFSRVDEDDKRGLDHGAWVPLMLMYPEADIPVCQLSVQSDQDGTYHYNLGKALAPLKDEGVLIMGSGSAVHNLRALRKSATLAPWAVEFDDWLKDALLEGRYEDVNDYEHKAPHAKLAHPSPDHFYPLHVAIGAAGENSKAKLIHSSFQLSTLSYASYQFTSAST >OIV95677 pep chromosome:LupAngTanjil_v1.0:LG16:6709389:6714273:-1 gene:TanjilG_01471 transcript:OIV95677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYRRRQNKPSSTFQDFPEEEIRNPNPNLVLPSSSNEIDIDTSSSSLAAKAIRASSARRDSSLSSLYGHSNLSNALPTPNVPQPTPIPPKDSGSYEFTSMKSLNQPKNGFWGVLARKAKSIVEDDNVSRQSEMPATTRSHMPGGASRGKFHNSNHLEESNLKRDSPAFLKGLDAFTSSLNHIGGTIGKSLEEGFTIVESRTSDIIQETRKHIRKKPGNSVEQNQEINHSTTLQQSQLRTQMSPMQTDQDLQLKASRDVAMAMAAKAKLLLRELKTVKADLAFAKDRCAQLEEENKILRENRERGDSQDDDLIRLQLETLLAEKARLAHENSVYARENRFLREVVEYHQLTMQDVVYLDENSEEVTEVNPLNFPPVPTMSQESIITPSITSPPSPLEANSYMDSELTRGISSSISGKDAKSSEVRVKDSRSSEVTSISSTTGKGAE >OIV95574 pep chromosome:LupAngTanjil_v1.0:LG16:9670688:9677082:-1 gene:TanjilG_22717 transcript:OIV95574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVLAAAFCMNIFNCGLNQLCDIEIDKINKPDLPLASGELSVKTGTIIVASSVILDIPDMEGDAKFGIQSLSVRLGHKRGMGHAILASVLWYRAKYVDLNSNDAMHSFYVFIWKVMANFTNYLSFNIHFFLIIKSML >OIV95315 pep chromosome:LupAngTanjil_v1.0:LG16:16789415:16797682:1 gene:TanjilG_07471 transcript:OIV95315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTENPGRSSFPARPASSPFAAAQTMTPFSSTGPASGSEPTSFRPTPPAPPQTSTPFSLSGGPMVRPGLPSFRPGPPGRFNDPSVPPPPPPTSNVSPPGGPFQHYPGQQFSTTAPQAPPPRASSIIGQPSFQHPVNQAPAFPASLPPQSQAPFVPMGSPPPGATPAPLGSNGPPPVYQPSFPGYARQQPGTEMQAPPPMHSSFPANQGNYGSVPPTASSPFLPHQGQGGYVPSPPMAAPLGIHPAQQLGSGPPIGSTQGLAEVFSSLTMQTRPGTMDTLFDAKELPRPLDGDVEPNNLADMYTANCHPRFLRLTTNAIPSSQSLASRWHLPLGAVVCPLAEPPEGEEIPIVSFAPASVVRCRRCRTYVNPYMTFTEAGRKYRCNVCTLLNDVPSEYYAQLDATGKRVDLNQRPELTKGTVEFVAPAEYMVRPPMPPVYFFLIDVSISAVRSGMIEVVAQTIKSCLDELPGFPRTQIGFATFDSTIHFYNMKSSLTQPQMLVVSDLDDIFIPLPDDLLVNLSESRSVVENFLDSLPSMFQDNVNLESAFGPALKAAFMVMSQLGGKLLIFQNSLPSLGIGRLKLRGDDSRVYGTDKEHGLRLPEDPFYKQMAAEFSKYQISANVYAFSDKYTDIASLGTLAKYTAGQVYYYPAFQSAIHGEKLRYELRRDLTRETAWEAVMRIRCAKGVRFTTYHGNFMLRSTDLLALPAVDSDKAFAMQLSLEETLLTTQTQYFQVALLYTASCGERRIRVHTMAVPVVTDLGEMYRLADTGAIVSLFSRLAIEKTLSQKLEDARSAVQLRIVKALKEYRNLYAVQHRLGNRMIYPESLKFLPLYGLALCRSTPLRGGYGDAPVDERCAAGHTMMTVPIKTLLKLLYPSLIRVDEYLLKASVQADDLKSIERRIPLSGENLDSRGLYIFDDGFRFIIWFGRVISPDIAKKLLGADFAAELSKATLSEHDNEMSRRLITVLEKLRSSDRAYYQLSHLVRQGEQPREGFLLLANLVEDQMGGNSGYADWMIQISRQVQQS >OIV95055 pep chromosome:LupAngTanjil_v1.0:LG16:20450693:20453419:1 gene:TanjilG_10875 transcript:OIV95055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEMEEEEVGRRNGTRKVIALIERATNSTAPEVDHRLLKAIKTVVRYSDFELRLAAQTLMDLMKRDHSQVRYLALQIIDELFMRSKLFRTILIENLDQLLSLSVGFRRNLPLPAPPAVASVLRSKAIEFLEKWNVSFGVHYRQLRLGYDYLKNTLRLQFPNIQANAVRIQQERRERERRSKEILLSKYEHLKENLSSIKGGLLSTLNEIDECLDILHSKQELESDDVLDDEEIDEFCSLELQQIRLDAMKEGEKVYESSDNKVVFDALRELYKLLVTKHLVSIQEWISVLVRVEVPDNRFRDSTLKEFIDTRNRMKSVKIKCEEAGCTLQNTSKLDEEEDFWEEGNVVSIETSSGVLNNKNKHSDMPSTSRKVSSDNLGLLNKDSNGSDTDNVVHGGKEVKSNPLKSKLIAEAPVVRWGSYLDSWGSNRVFMANQRGLELESHWGRVDDEAIIPADKIAELNVLATPYEEKQIEIQPCRAPLRRGGLCQRRDRKLCPFHGPIIPRDDKGRPISQSPSEEMNIDSRTDVVEQLAKQAVKNVRDRDMDMAKKREIDKQSLKRAKLAKVREHNEAVLRDAALASTSGSATIGEDNEDKLSAKEQKKSLASMLRKKVTSKDRIAQKLLSSHARDNAVRLHDSCDDVKYREAFPNQW >OIV95359 pep chromosome:LupAngTanjil_v1.0:LG16:16333080:16337176:-1 gene:TanjilG_07515 transcript:OIV95359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLGGTHFDSISNAVRRKRSQTSRRPVLLPVSEGHDLSPLSSTPPSEEVGKASSDENAGYDTSFKRKEFNHSHRVSQASSVIGVEDDMSHRKRKKDGGSHVFYNDEPGRSGLHNRRASEGVLAPANWKGSSKSRDNFDSEAKNADMYGGRNPEDVSLGQLGVSQDGSGNENKVKKVKLKVGGVTRTIQANSASNSASGSASTIKSSRSSDASRSRQKQQSNSDDNHSPSDKRRKLQGLPWKDFARDGFGVGKEESSMGRTSGKNTFGKQGDKSEPARKSKRVPKRRVLDGELSDDGNEDDEIRYLEKLKTSKVAVVYRDEEELSKKHRKLSSVLSTENAVFSGSSKNGKKKSRTDRVDEDTDYEDEEESVSDGELEDKKKKKQRKESVEVLMDSKREMTLTTRQRALQSGKDASGTSLIEFPNGLPPAPSRKQKEKLSEVEQQLKKAEAAQRRRIQVEKAARESEAEAIRKILGQDSSRKKREDKIKKRQEELAQEKAANAQRLPPNTIRYVYGPNGTTVTFPEEMGIPSILNSKPISYPPPREKCAGPSCTNPYKYRDSKSKLPLCSLQCYKAVQVKVGAETTS >OIV96240 pep chromosome:LupAngTanjil_v1.0:LG16:74716:78670:-1 gene:TanjilG_14917 transcript:OIV96240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTTSSLSSSSSSSSSSSSSSPLSSWREGMSSDNIKGLCLALSSSFFIGASFIVKKKGLKKAGSSGLRAGSGGYSYLYEPLWWVGMITMIVGEIANFAAYAFAPAILVTPLGALSIIISAALAHIILRERLHLFGILGCVLCVVGSTTIVLHAPQEREIESVREVWDLAMEPAFLFYAALIITAAFVLIFHFIPLYGQTHILVYIGVCSLIGSLSVMSVKALGIAIKLTLSGMNQLIYPQTWAFTLVVVVCVLTQMNYLNKALDTFNTAVVSPIYYTMFTTLTILASVIMFKDWDRQSPTQVITEICGFVTILSGTFLLHKTKDMTDGHGMQSSLSSVRLPKHSEEDGQDDVEGIPLTLRRQDSMRDS >OIV95812 pep chromosome:LupAngTanjil_v1.0:LG16:4363232:4366126:1 gene:TanjilG_20262 transcript:OIV95812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNILDLGGLDIELQHQSELNSSPRTLEPGTPSSRASTSDSEGQHDLRGTNNNQWRQGFFKLLKKGSQMPFHTFHPLKNVPKLTRRKSKRIREDLIPSLSSPALKSSLDSEFCCFKSSWKNFTLSEIQAATNDFSHDNLIGEGGYAEVYLGKLEDGNFVAIKRLTRGSQEEMTADFLSELGIIVHVDHPNIARLIGYGVEGGMFLVLQLSPHGSLSSILYGPREKLNWSLRFKIIMGTAEGLQYLHEGCQRRIIHKDIKASNILLSENFEPQISDFGLSKWLPDQWTHHTVSKVEGTFGYIPPEFFMHGIVDEKTDVYAYGVLLLELITGRQALDSSQKSLVMWAKPLLSANNIKELVDPTLANAYDEVQMKHVLKILRGEEESLKMMKERQKSKLQRTYSVELNDAEDYNSTKFLSDRDRFMETILGSNSIKKESNES >OIV95320 pep chromosome:LupAngTanjil_v1.0:LG16:16753834:16755171:1 gene:TanjilG_07476 transcript:OIV95320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASINGDSISHTPKKPKLSFSSSSSSSSSIIAQTEFSHHDPSIARINNGSFGCCPASVIAAQQQWQLNYLRQPDHFYFNVLKKGILQSRTIIKHLVNAEHVDEISIVDNATTAAAIVLQNAAWSFHEGRFSKGDVVVMLHYAYGAVKKSIEAYVTRAGGKVIEVNLPFPVTSDDEIVSEFKNALERGKSDGNRVRLAVIDHVTSMPSVVIPVKDLVRICREEGVDQVFVDAAHSIGCIDVDVKEIGADFYTSNLHKWFFCPPSVAFLYCRKMPKGSNLHHPVVSHEYGNGLAVESAWIGTRDYSAQLVVPAALEFVNRFEGGIEGIKKRNHDAVVEMGEMLVKAWGTHLGSPPDMCGSMIMVGLPACLGISSDVDALKLRTHLRDHFGVEVPIYYRPPRDGEVGPITGYARISHQVYNTVSDYYKFRDAVNQLVDNGFTCTLLSE >OIV96004 pep chromosome:LupAngTanjil_v1.0:LG16:2276013:2278265:-1 gene:TanjilG_27108 transcript:OIV96004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLNLTTATALCYLVIVLRALPFSYAQLDPSFYNGTCSNVHSIITQVLTNVSASDPRILGSLIRLHFHDCFVQGCDASILLNDTATIVSEQGAAPNNNSIRGLDVVNQIKTTVENACPGIVSCADILALAAEISSVLSNGPDWEVPLGRRDSLTANQTLANQNLPAPTLTLEQLKSAFANQGLNTTDLVALSGAHTIGRAKCSLFIGRLYNFNSTGNPDPTLNTTLLQTLQALCPNNGPGTNLTNLDLTTPDTFDNNYYSNLQSQNGLLQTDQELFSTSGADTIAIVNNFISNQTLFFEIFKASMIKMGNIGVLTGSQGEIRTQCNFVNGNSSSSGLAAIATEESSEINLVSSI >OIV95583 pep chromosome:LupAngTanjil_v1.0:LG16:9227775:9228994:1 gene:TanjilG_23814 transcript:OIV95583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICCHYIQKGCLFKKPSFPSHILIRLLTNSLSRTLSIFPPLAGRFVTDSDGYIFITSNDAGVDFIHATATELSVADLLSAIDVPHYFKELFPYHWKISYDAHFSSIMAVQVTELADGVFIDFRRENILVSNAVLQLPEDEIKVTFNYEEPLRERIFSFSYDSIQKLKARVNQRLLTENDNGHCFFEILSFQSLCALVWRAVTRARKLPETKTTTFRMAVNIRNRIEPKLSDSYFGNAIQGIATRAVAGDMLLKDMRWCAEQLNNNVKAHDSTMVRRELEDWERDPKCFALRNRDGATIQMGSLPRFSMYENDFGWGKPVAVRSGGGNKFDGKMSAFTGREGSGAVDLEVILAPETMVGIENDPEFMFYVSS >OIV95590 pep chromosome:LupAngTanjil_v1.0:LG16:9079990:9083561:-1 gene:TanjilG_23821 transcript:OIV95590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTLCPNLDREDMLETVLEVPIPEEIFTHKSGSTKVWENMKSWMKPNAENKTSSANMAAVFGGKNTQIQLLLGVVGSPLIPSVITSDNQPITRSIKEKNIEASMAKYIVKQYAAAVGGERALNSIDSMYAMGQVKMATLEFSSGEGSVTNKKIAKVKNMQMKGEMGGFVMWQKRPELWCLELVVSGYKISAGSDGKVAWRQTPWHHSHASRGPPRPLRRFLQGLDPRSTANLFSNSICIGEKTIDNEDCFILKMEAESSSLQARSNNNVEIVRHTVWGYFSQRTGLLVQLEDSHLLKLKSIENESIYWETNMESLIHDYRTVDGIRIAHAGKTLVSLFRFGEAPETRSRTRMEEVWQVEEVDFNIKGLSIDCFLPPSDLKMEQEKGEADCGGMIASNNAKLPDKIRSASFRISASKVAAVNLDDSCTSESDEDL >OIV95035 pep chromosome:LupAngTanjil_v1.0:LG16:20617247:20631700:-1 gene:TanjilG_10855 transcript:OIV95035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPIEEAIAALSTFSLEDEQPEVQGAGVWVSTQRSATQSPIEYSDVAAYRLSLSEDTKALNHLNVLTHEGKEMASVLYTYRSCVKALPQLPDSMKQSQADLYLETYQVLDLEMSRLREIQRWQASASSKLAADMQRFSRPERRINGPTISHLWSMLKLLDVLVQLDHLKNAKASIPNDFSWYKRTFTQVSGQWQDTDSMREELDDLQIFLSTRWAILLNLHVEMFRVNNVEDILQALIVFAVESLELDFSLLFPERHTLLRILPVLVVLVTSSDKDSESLYKRVKINRLINIFKNDAVIPAFPDLHLSPAAILKELSTYFPKFSSQTRLLTLPAPHELPSQHDDFTIRYASAMNQLLLLKSTDGSDVDWSKEVKGNMYDMIVEGFQLLSRWTTRVWEQCAWKFSRPCKDASPSFSDYEKVVRYNYSAEERKALVELVSYIKSVGSMMQRCDTLVADALWETIHAEVQDFVQNTLATMLRTTFRKKKDLSRILSDMRTLSADWMANTSKSESELQSSHHGGEESKANIFYPRAVAPTAAQHFTVIQVHCLQFLIYEVVSGGNLRRPGGLFGNSGSEIPVNDLKQLETFFYKLGFFLHILDYSVTVATLTDLGFLWFREFYLESSRVIQFPIECSLPWMLVECVLESPNSGLLESVLMPFDIYNDSAQQALVLLKQRFLYDEIEAEVDHCFDIFVSKLCETIFTYYKSWAANELLDPSFLFASDNAEKYTVQPMRFNMLLKMTRVKLLGRMINLRSLITERMNKVFRENIEFLFDRFECQDLCAIVELDKLLGVLKHSHELISRDLSVDSFSLMLNEMQENISLVSFSSRLASQIWSEMQGDFLPNFILCNTTQRFIRSSKAVPVQKPSIPSAKASFYCGTQDLTSAHQSFARLHSGFFGLPHMFSVVRILGSRSLPWLIRALLDHISNKITSLEPMITGLQESLPKSIGLLPFDGGVTGCVRLVKEHLNWETKSELKSEVLHGIKEIGSVLYWMGLLDIVMRETDTTSFMQTAPWLGFLPGADGQILTSQDGGDSPVVSLFKSTAAAMVSYPGCPSPTSFQIMSKQAEAADLLYKANLNTGSVLEYALAFTSAALDKYCSKWSAAPKTGFIDITISKDFYRIYSGLQIGYLEESAQVPSNSHERLGDSVAWGACTIIYLLGQQFHFELFDFSYQILNIAEVEAASAVQSHKNSNFAVQGWEALLEGMKKARRLNNHVFSMLKARCPLEEKTACAIKQSGAPLHRIKFDNTVSAFETLPQKGS >OIV95496 pep chromosome:LupAngTanjil_v1.0:LG16:13797431:13801149:-1 gene:TanjilG_23227 transcript:OIV95496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHFAATEEQIASQRLKQKLDEVNVAAQTHLAPIQDHVNFTLQKAYFRCAYECFDRSRRQEEITNCVENCSIPLSNVQQTFDHEMAQFQERLNRSLMVCQDKYQSAKLQQKTGAVNDLLSCADQSIEDSIKMLPILTNKFKASFGIRDNNSS >OIV95095 pep chromosome:LupAngTanjil_v1.0:LG16:17679918:17685553:-1 gene:TanjilG_21485 transcript:OIV95095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNDDDVDGDTNSSFASVSCSICFDVVADNGDRSWAKLQCGHQFHLDCIGSAFNVKGAMQCPNCRKIEKGQWLYANGCRSYPEFSMDDWTHDEDVYDFSYSEMSFGVHWCPFSNLTQLRSSFEEGDFSSTAYPEILGQHAIFAEHTAVSSANHPSPYIAYVGPIHPSTSNPGATISEASNFNHWNGPPAPTDMLTSYTFPAPDLHYPLWEHHSSHFSSATGRVGAPSDQPSVSPGSQRLARVDSEVPRSGSFVHPFLVGHSSAARPGSSVTSAMIPPYSGSNARTHDRVQALHGYYQHPNSTTMQTPIASANRRSSSHSGPPQLAPVALSPDHGGGFFFVPSGRHFQEETRLPSRFPAWQRDQLPSSPFNHMDRDPSWRAYHQAFSGSDAGTRSSTFRSSNFRLRHGPERTPSQNR >OIV95564 pep chromosome:LupAngTanjil_v1.0:LG16:9835043:9835474:1 gene:TanjilG_04901 transcript:OIV95564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPCGRTRRSTSTASKTRTKTTKSTRRKTRQSRETNMKKQQKKNSKSKKQDIPTVFPSSCSSISSQDSSRDNEICEVIDVSSSVCSTPKGQKFRIPEISTCPPAPKKPRVHSSNCSLRRTPVSFFSPPDLEHFFVATLRDVSV >OIV95282 pep chromosome:LupAngTanjil_v1.0:LG16:17268670:17273835:-1 gene:TanjilG_07438 transcript:OIV95282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLASTNPINIERILVTDLPIVDLKAEKSVVKKLIVKACEEYGFFKVINHGITNETIAKMEESSFDFFAKPVIQKKQASPAYGCRNIGFNGDMGEVEYLMLNATTPSIAHLSDTISNDFRCSVSAYTEAVKKLACEILEVMAEGLGVPDRSFFSSLINHIDSDSILRLNHYPPIINNNKDRDKSSSYNYTKVGFGEHSDPQILTILRSNDVGGLQISPEDGVWIPVTPDPSAFCVNVGDVLEVMTNGRFVSVRHRAMTNSYKSRMSMVYFGAPPMNACIVAPPVLVTPQRPSLFRPFTWADYKKATYSLRLGDTRINLFRTIPHAH >OIV96103 pep chromosome:LupAngTanjil_v1.0:LG16:706668:707834:-1 gene:TanjilG_13035 transcript:OIV96103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELGSIKDAFDRVAKKQKVSSSKSQEVVDQVGREIELALATIQSPHDPSTPVDQKSVLTDLKVKLDAIGALKQLEGSQKELNTSLTKYQKLLEKLLNPDISKAYRNVDFDTHLVNQIIATHFYHQGLLDLGDSIINEAGESDESDATTLKYEFLEMHQIIQAMKIRNIQPALNWVSDNREKLVQIGSNLELKIHKLQFIEVLQNGTRADALKYARTYLAPFASLNKDEFQKLMGCLLYAGRLESSPYSELLSPIHWEMTTEELVRQLCTLLGQSYQSALSVAFAAGVEGLPTLLKLANLMASKKPEWQAMKQLPVSVELGKEFQFHSIFVCPVSRDQGSEENPPMLLPCLHVLCKQSIIKLSKSSTRTFKCPYCPAEATVAHCRQLYF >OIV96023 pep chromosome:LupAngTanjil_v1.0:LG16:2456815:2462019:1 gene:TanjilG_27127 transcript:OIV96023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDTEDRSHSSGKNKKPESHKTYHGKDTNHGNDIWTDGLICAFEFVRGRKRSTKSKYSSKILDRLHLDGQFSKMLVPENAPMGDSSMRVDKDKLPRPSSVNALRDNSFGTSDDDRENQVLQAGQVNGTDKYEGSHWVPIGWARITELVQTVEVGADWSSYQFDIEDEEDDFTVADLAAPYWERAAGPIWWCHVSAGHPTVDTWLSSARWLHPAVSLALRDESRLISERMKHLLYEVPVRVAGGLLFELLGQSAGDPLVQEDDIPIVLRSWQAQNFLVTAMHIKGPVSRINVLGITEVQELLSAGGYNVPRTVHEIIAQLACRLSRWDDRRNHEDLNLFRIILNQEITKLSRQVIRVKWSLHARDEIVFELLQHLKGNRARSWLEGMKKSTREMIEEQEAVRGRLFTIQDVMQSTVRAWLQDRSLRVTHNLAVFGGCGVVLTIITGLFGINVDGIPGAQNTPYAFGVFTAIIIFLGVVLIIVGLVYLGLKKPIAEEQVEVRMLELQELVKMFQHEAETHAQVRKNVSRNSLPPTAGDAFRSDGDYFLIH >OIV95571 pep chromosome:LupAngTanjil_v1.0:LG16:9743441:9747767:1 gene:TanjilG_30538 transcript:OIV95571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNFLKLCVPLHKLALEGNWPASKHILDQDPRLRRAGIAKGWPTVLHIAAGANQCQFVEELLKLMDEDHDYSDLILQDMRGNTAFAFAAAAGNMRIVELMLQRESKLVTIRGGEGVTPLQFAAMQGRCIMAWYLFDITKHEFRIQDWDLLFFTCINTSNYDLALKMVREREELAFARDTSANGETGLHLLAQKPLECCCEIPDLHETPIRINPGMKQHVILQLVNFLWKTILSKKHSKKEIRDMISEPSQLLFDAAEVGNFGFLSELISAYPSLIWEVDNKNRSLIHIAVLHRHASIFNLIHEIGTQKDIIVTYEEDIKKNTLLHLAAKLAPPSQLQLVSGAAFQMSVEISWFEEVNKIMPPSYRRMKNSEALTASELFTREHAELRSKGESWIKGTAESCMLISTVIATGVFSAAIQLPGATSILIFLSIHVSRYAEYDFHKSLPLKLIFGLIMLFISISSMMVAFSCIFFITYCHGLKWVPSFISIFACLPICLFMFLQFSLWSDIIYSTYYCRTLFKPGVKMLYVLEK >OIV95333 pep chromosome:LupAngTanjil_v1.0:LG16:16628242:16632063:-1 gene:TanjilG_07489 transcript:OIV95333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSTRVRDFIENEVPNWNDEVVAVARFKAFSGQRSDWEPRFVFWRDLIIKIATHFQFLLIRPSQVKNDWFNRGGLTPLCLDHVLSLMYNEGDITRTVDLGDPTSERLSQLLRKVSNLITRPSAPDITSEECVIVTPLLKDKAVEVVKHLSESHWTSSCIVTMKKFQGICGGPEEASAILRYLSGCGTAQYLSVHKKEFVEGVKISLSGAALLSRISNLDFDVLHLIWTTEKLQQQLDVIDRRYELSRKSAMASLHSGNRKLALRYARELKLFSQSREKCSSLLNRVEEVLGVIADAESTKKVSEAMQIGARAIKENKISVEDVDLCLRDLQESIDSQKEVERVLERTPSYTDMDDQDIEEEFKNLELAIVEESHVHAPAPEKACTGAEERVTEVLNDAFSNLKLSDGQASAGKLRITQVVSGGNKEPKKLEMEAV >OIV95063 pep chromosome:LupAngTanjil_v1.0:LG16:20315264:20319063:-1 gene:TanjilG_10883 transcript:OIV95063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPSFIRFFDGGKNHSRSPGDFAVIFLASSKYKQSASTNHVNLTSFHRNLVHEKTKGVRWRYDIGRKNNFEQVFGSKKFLWLLPMFSKEDLDNIPALRGFEFPTHSDINVTELCRFSGAKIYPGRGIRFIRGDSQVFLFVNSKCKRYFHNRLKPSKLTWTAMYRKQHKKDAAQEAVKKKRRATKKPYSRSIVGATLEVIQKRRTEKPEVRDAAREAALREIKERIKKTKDEKKAKKAEVVAKSQKSGKGNVSKGAAPKGPKLGGGGGKR >OIV95923 pep chromosome:LupAngTanjil_v1.0:LG16:1601065:1603499:1 gene:TanjilG_27027 transcript:OIV95923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLKTSSNLETRSLLDELCNFEKGTVFDLGHPLLNRITHCFLNAAGIGAVQAVARDAYFTAIQGTGVDGSGGIPPEISSVKKNGFPGLRGETSNKSLEAMVKSAGKESLQWGLAAGMYSGLTYGLKEARGAHDWKNSAVAGAITGATLALTLEDSTHEHIMQCAITGAAISTAANLLTGIF >OIV96255 pep chromosome:LupAngTanjil_v1.0:LG16:1925:4995:-1 gene:TanjilG_14932 transcript:OIV96255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVGEPSRAEAVAENIPSQGNRENEEVGGTQGVGGAQATQGVRVTGEIVGEENTVQDQSHEDSEILGGAQGVRGARENERDENTVQDEYREDIENLGGPKTLSTLMRKLICIAILLGYMILGVLVYCLSSNTSTLINDIYVVVVTLTSVRYGDIVPQITFAKLTTSIYILVGFGMWATFLNHLIDEELQKLRTGFLVWCSTSRYQYFNTKEVRVCVAIGIVWCLILVGALGAHILETMSVIVSFYLSLVSISTVGYGDYSFKTKSGRVFGSTWILIGTLIINRNFEYIGGYLYDKLIDMNVGEPSRYCLFLYPENYAQHFE >OIV96011 pep chromosome:LupAngTanjil_v1.0:LG16:2351010:2360633:1 gene:TanjilG_27115 transcript:OIV96011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNESQPSPNVALDLPRHNTISTSYNEVNGSKLVYLDNAATSQKPTVVLKALQNYYEAYNSNVHRGIHFLRYILCNDCVELGRYLYERLLSVPNIRTYGPAPSEEVQRAALCSFNVENVHPTDLATFLDQQHGVAIRSGHHCAQPLRRYLGVSASASARASLYFYNTKEGVDNFILALNDTVSFFNSFK >OIV95882 pep chromosome:LupAngTanjil_v1.0:LG16:1243287:1247444:-1 gene:TanjilG_26986 transcript:OIV95882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTAMAVYLLPSISVFLFLISLSVSPQSLNHFTSEIHQINLKIAHLELVLEENNGKLKEREQYLEECERRMNEMSENIYHLQSTLSTLKADSLNVEERFKAQEEEVQLLWSTLRRNNFDLHILKSKAQDTEEKLEEITSRVEKMNGIVTEQWIQVQHLEQALHITKMRTLKAQRAGYSRCTFLKFINSVLDDLRAVESYIFGERTTVSSLISWSMDLLKRCSSMTKKYHHQLQGFVKDLMKKNELTASLANDELVFFLTWSYSFFIKRVFTMGIPQTSWRSKCPYQQPPPLILAKGVEEAQWGHEQRKAYVRKRLARKKHLGTNVNPLIPFLVPNLLLLSVMIWNPFHYLD >OIV95870 pep chromosome:LupAngTanjil_v1.0:LG16:4211201:4213003:1 gene:TanjilG_06846 transcript:OIV95870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSKSGSFSDAQLLFDASPTLDHVSCNIMVSGYIKAGQLDRARQLFDVMPSKGCVSYTTMIMGLVQNDCFGEAIEVFKLMRSNGVVPNDLTLVNVISACSHFREISSCRMIHALAVKLRIEGLVLVLTNLMHAYCLCSCVREARRLFDKMAERNLVSWNVMLNGYTKAGLVDMAKELFERIPDKDVISWGTMIDGFIQTDRLHEALVMYCAMLQTGLGPNEVMVVNLVSACGRLNTIGAGRQLHGTVVKSGFDCYNFIQTAIVHFYASCGMMDLANLQFEVGVKDHIVSWNALLAGFIRNGMMEKARQIFDEMPERDVFSWSTMISGYSQAVEPRMALELFYKMVASGVKPNEVTMVSVLSAIATLGTLKEGRWAHEYIRSESIPLNDNLCAALIDMYAKCGSVNTALQFFNQIRDKVSSVCPWNAIICGLASHGHASLSLEVFSDLQRYHIKPNPITFIGVLSACCHAGMVDPGRKIFKSMKSVYNVEPDCKHYGCMVDLLGRAGLLEEAEELIKSMPMEADIVIWGTLLAACRIHGKVNIGERAAESLSRLAPSHGGGKVLLSNIYADAGRWDDVSLVRGVIQSQRMERVPGCSGVVR >OIV95455 pep chromosome:LupAngTanjil_v1.0:LG16:15207940:15209247:1 gene:TanjilG_06917 transcript:OIV95455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDETSDAMNLDLNLGPGPEPPAGSTMNEAMNLDDWIIEEPLHRFGEGLRLRARQRWRWRHHLPIPPPPAVHVHIPDVPQHLHFHIPPEARNISMELDQFLINSSNGSPLQAGEGSVAAEERMEVQMPKACENNNGIMEDETAAKKEDVEKGSSNDGDFFDCNICMDLAKDPVVTCCGHLFCWPCLYRWLHLHSDAKECPVCKGEVTLKNVTPIYGRGNNVQVPEEDATLKIPLRPHARRVESLRQILQRTALTYPVEEMIRRLGSRIDLTRDFVQAGETLERTSSLLSRFLTSRGIRREQNAGAPPDDVVGLTQNNSLEAGDSRRLQSLSLRRTQSNRARFTSALSSSAERLVDAYFSSQPFVRNNEQPPPVDDRDSFSSIGAVINSESQVDTAVEIDSMVSLSTSSSRRRNDASRVSDVDSGDSRAPRRRRLN >OIV95898 pep chromosome:LupAngTanjil_v1.0:LG16:1337720:1339473:-1 gene:TanjilG_27002 transcript:OIV95898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALDNSVAKKWKELSGQNQWKGLLDPLNIDLRRYIIHYGEMAQATYDGFNTVRASKYCGSCLFKKSEFFDKVELNHGKLYTVTKYLYATSSIPLIPDIFMVKSLSREAWSKESNWIGYVAVATDAGKKVLGRREIVIAWRGTIQSLEWVNDLQFVLLPAPQVFKSTSLVTGLVTDPKVHQGWYNIYTSASARSQFNQTSVREQVTSEVQRLVQLYKNEEISITVTGHSLGGAVATLNAVDIVANGFNKGAPVSAFVFASPGVGDINFKRVVSGYKDLRIFRVENTLDIVPKYPPLGYFNVGEGLIINTQKSQYLKKPGDVVSWHILEPYLHGVAGTQGGGLLIGGGGFKLEVDRDIALVNKQWDVLADEYLVPPLWWTLKHKGVVKQPDGKWKLDENKDDRDEYF >OIV95497 pep chromosome:LupAngTanjil_v1.0:LG16:13778223:13782495:-1 gene:TanjilG_23228 transcript:OIV95497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTASGTFILSLTPQMTRQKLKLDDFIKPSLNHRRLCLSWKLKHGARDFLKREHINCHPTAAVSDAQTSSAQFDEFSVFVADINDVRERKINIEVSGNKTQKIFDDVFEKMVAAAQPIPGFRRVKGGKTPDIPKDILLEVLGPFNVYRKVIKQIINSTIGEYVEKEHLIVSNDLKVEQSFEDLEASFEAGEKFSFDAVIQLKK >OIV95104 pep chromosome:LupAngTanjil_v1.0:LG16:17767517:17771938:-1 gene:TanjilG_21494 transcript:OIV95104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQIHPHQQSITADPKPDSPVTELQSTVSPNANSLDSETQDSRVTVSSEVNFELSDQKTVDRFDDLNNRTEKFSGSDTKSKSLLSEFDDYVAGMRDSDLGHGFEIGDMVRGKVKAHPWWPGHIYNEAFVPPSVCRTKREGNLLVAFFGDSSYGWFEPSELIHFDQNFAEKSQQTNSRTFLKALEEAVDEASRRSGLGLVCRCGNTDNFRRTDVKGYLSVDVPDYEPGGFYSNNEIRKARSSFRPSEALAFAKQLALSPRDGDHGSIGYMKNKAIAFAYRKAVFEQHDETYAQAFGLQTSRPQNNTNKQHARQPSRAPLSGPMVTGEALGSGKNTTKSVKVKDGMKKDKYLFKRRSDPSNSFQISYKEETPDATGHDVLQKGAPAVPAVPAVPHNLEKHEDTGVISHDVVTSTSDAKAALIDGTQPDGSGLASKAISSDVEPHLVTVKESPDEMTHSLEQDDVSSKSLGTSDVSGEVPLLSVIDQNAKQCGPDFANGGNDLHQAKHHEIASVKKTKGHKRPADDLNSKTSATGERKKKKKKDLNLQPASGNLEKHSNTGKSVHISEKTVSTAMAPREDFQAKQVQGNFISHNLPPMDTIGDASFELPQLLDDLHALALDPFHDVERKIPAVVLKFFLHFRSLVYQKSLSSTPSTENEDPVALGAKSPSTVKASDNPRDRVRATAVVKPAKHFVRPDDPTKAGRKRAPSDRQEEIAAKRLNKITDLKALAAEKAAASQKTSEARRGEEKESMSQAAPKLVKPELNRKVQRPAKVVEPTMLVIKFPPQTSLPSVAELKARFVRFGPMDQSGFRVFWKSSTCRVVFLYRADALSAYKYSVASPSLFGNAGVRYFLRESEDSTPEVSIAAKAREDNGAANETQRLKDPAAAHHLSRQPLPQPTAQLKSCLKKSTGDESGQSIVNGSNKGNPRVKFMLGGEESSSKVEPLIMGNRNINNASFAGGASPIAMDFNTKNVVHLVTSQPPLLPTPPATTQLSKTPQHNLHNSELALAPRNTPNFINTTAKSTTTTTTSTSTTVDISQQMISLLMRCNDVVNNLSGFLGYVPYHQL >OIV95173 pep chromosome:LupAngTanjil_v1.0:LG16:18395752:18396724:1 gene:TanjilG_21563 transcript:OIV95173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAKQNVESILVYHLITWDNIYGFDSSDPAKSLDAAFAPAVAFDIHWVAVLGNHDQEGSLSREGVMKYIVGMKNTLSKVNPEEVDLIDGFGNYNLEVEGVEGTAFKNKSVLNLYFLDSGDYSKVPFIHGYGWIKSSQQLWFQRTSEKLQEEYKKESSPQKESAPGLAYFHIPLPEYNSFDKSNFRGVKQESIGSPSVNSGFFTTLVEAGDVKAVFTGHDHVNDFCGKLTDIHLCYGGGFGYHAYGKAGWSRRA >OIV96006 pep chromosome:LupAngTanjil_v1.0:LG16:2293821:2296606:-1 gene:TanjilG_27110 transcript:OIV96006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYIRVTVAALCCVVVVFGGLTLSSDAQLDTSFYKKSCPKVHSIVREVVRNVSKKDPRMLASLIRLHFHDCFVLGCDASILLNNTDTPTKIESEQQAFPNDNSIRGLDVVNQIKTAVENACPGIVSCADILTLAAEISSVLGGGPDWKVPLGRRDGLTANRTLANINLPSPFSGLDQLKTRFTAQGLNTTDLVALSGAHTFGRARCTFITNRLYNFSNSGKPDPTLDTTYLQQLRGECPNGGNGNNLVNFDLSTPDTIDNHYYSNLQVKKGLLQSDQELFSTTGADTISLVNAFASNQDAFFASFKTSMIKMGNIGVITGKNGEIRKQCNFINKKSAELDLASVVSKESSQEGIVSSF >OIV95834 pep chromosome:LupAngTanjil_v1.0:LG16:3842318:3844228:1 gene:TanjilG_06810 transcript:OIV95834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSKISSFFKFTPASAPKSHNDELDTWENKQHDISITYGRTRKRCNPNFVVSCSEPEESAIAGTTVVKNKKRSYAQFHLDCGQSDFLLRNCSTCGVKFAPGDAEDEKMHNDFHKSYTLGIQFRGWTSERVVPMPSVKGGRIILVLHADPSAHRNKVEEVVKMMEIEFGSGWIVHEFCKVYLFISQHRIVGCLVAEPIEEAFKVTSCSTSGHSDSARKKEIKTNSTTLQFGNIIFQREVEKRASSVRDSEMMDASHSGAIICESKAVPAMCGIRAIWVTPSNRRKGIAGQLLDAVRKSFSMGVVLERSQLAFSQPTSAGKVLASGYTGTRSFLVYKPNKADL >OIV96209 pep chromosome:LupAngTanjil_v1.0:LG16:269853:274223:1 gene:TanjilG_14886 transcript:OIV96209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKVALSIIVSFLICAILVLKVSANAEGDALNTLKSNLADPNNVLQSWDATLVNPCTWFHVTCNGDNSVTRVDLGNADLTGQLVPQLGLLRELQYLELYSNNITGKIPDEIGNLTNLVSLDLYLNKLTGPIPNTLGKLANLRRLNNNSLSGGIPMSLTAVTSLQVLDLSNNQLKGVVPVNGSFSLFTPISYSNNSGLIQPKNTPSAPLSPPAATSSGNSATGAIAGGVAAGAALLFAAPAIALAYWRRRKPQDHFFDVPAEEDPEVHLGQLKRFSLRELQVATDNFSNKHILGRGGFGKVYKGRLADGSLVAVKRLKEERTQGGELQFQTEVEMISMAVHRNLLRLRGFCMTPTERLLVYPYMANGSVASCLRERHDGDPPLDWPIRKCIALGSARGLAYLHDHCDPKIIHRDVKAANILLDEEFEAVVGDFGLAKLMDYKDTHVTTAVRGTIGHIAPEYLSTGKSSEKTDVFGYGVMLLELITGQRAFDLARLANDDDVMLLDWVKGLLKDKKLEVLVDADLQGNYDDDEVEQLIQVALLCTQGSPVERPKMSEVVRMLEGDGLAEKWEQWQKEETFRQDFNHIHHPNANWIVDSTSHIQPDELSGPR >OIV95641 pep chromosome:LupAngTanjil_v1.0:LG16:7893988:7894299:-1 gene:TanjilG_23872 transcript:OIV95641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNMKKSSVEKMLQQKRTNKGIYDHQRTRKNNVIKFLIIISVPGSTGPLRFLVNEREKVSRVIDRALKNYAREERLPVLGFEASNFLLYRVDAEFDGMVCLSF >OIV95625 pep chromosome:LupAngTanjil_v1.0:LG16:8188648:8192215:-1 gene:TanjilG_23856 transcript:OIV95625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKQKADEYLLKVAEDQKKQIEKLNAKIIELEKQLDVKQEDLIEKEEALQHYEDLNDILIIKERQYFDELHGARSELLNFIKDKPRRGKFGVKRMGELDRTPFLEAMKKKYNEEEAVKRASELSSLWEGYLKDPDWHPFIAILVEGQEKQIINDEDKRLNGLKNEIGEGAYKAVVTTLRERKEYNASGQHIVPELWNYEQGRRATLKEGVQFLLEHTTIVD >OIV95515 pep chromosome:LupAngTanjil_v1.0:LG16:13452200:13452994:1 gene:TanjilG_25186 transcript:OIV95515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSLSQMFRNIFSDNSNIMLAAIISLLLVILFVLLLHLYAKWFIFQAQARAQRRRRPTITTVTVSDVLGPARFHHFHSFNIEDSPLSSSNTKGLDSSIVAAIPKFMYKAAAEAEEQDDDDGDKVKQELECVICLSTFEDSEMGRCLPKCGHGFHMECIDMWLNSHSNCPICRAPVVAGSQVHSVGNVGEGDDSAFVEIGVDDSIVNPNSEIRESENGNENGNDNAGVSGSVSEASFLLFGCSLKGILNKVFSSSNVNELHASEP >OIV96192 pep chromosome:LupAngTanjil_v1.0:LG16:373629:376611:1 gene:TanjilG_14869 transcript:OIV96192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWESGSEAGHEYGTGLLSSTKHSVKTEGFVQRGHSWYVATDIPSDFLVQIGEANFHLHKYPLLSRSGKLNRIINESRDPDLNKIVMDDIPGGPGAFELAAKFCYGIAVDLTAGNISGLRCASEYLEMTEDLEEGNLIFKTEAFLSYVVLSSWRDSIVVLKSCEKLSQWAENLQIVRRCSESIAWKACANPKGIKWSYTGRTAKISSPKWNNNVKDSSPSMNNQQVPNDWWFEDVSILRIDHFVRVITAIKVKGMRFELIGAATMHYATKWLPGLISETSTIQGDEASNSSISNSSTSTNGGTCWKGGLHMIVSGTKDESSSVQAKEQRMIIESLISIIPPQKDSVSCSFLLRLLRNANMLKVAPALVTELEKRVGMQFEQATLADLLIPSYDKSETMYDVDLAQRLVEHFLVQEQTESPSRQSFSENHMVGSNVNAKAKVARLVDSYLTEVSRDRNLSLTKFQVLAEALPESARTCDDGLYRAIDSYLKAHPTLSEYERKKLCRVMDCQKLSIDACMHAAQNERLPLRVVVQVLFSEQVKISNAIASSSVKSVDSHTQPMVINRKTLLEGTPHSFQEGWVSAKKDINTLKFELESVKTKYLELQNDMESLQKQFDKVMLKQKQTSAWSSGWKKLSKLMTNVESHHGHGLSPKVPISEEQNRKSTRRWRNSIS >OIV95948 pep chromosome:LupAngTanjil_v1.0:LG16:1787585:1791742:-1 gene:TanjilG_27052 transcript:OIV95948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKGNQQKNGLERNGLNHKKGVSGGVLPGMKGHAKGGKVFPGEELGNGDSVSQNAGENTSHGHDNNIKKKDEKFSRKEDSLSSGSNSSDGNLNSEFSMHDQNDTLPRINQCQQSIKSGLSCLLNGLQSVVENGELADNLIVRRFRLSVFSILAAATEWLNRKKPLFVSLMTVVFKAWDNLRMKVRQAYPVVLTWLMHFGNILLLLSVFWLDCAVRGVGSVLRMGTAAFFSVVWCSVFSVIGMIGMLKFLVVLGLAAFIGFFVGLTLAVLVAAILGVVILWFYGSFWTTAFFIILGGLAFLLSRERVALLITTVYSVYSAWLYLGWLGLLLAFNLAFISSDVLIFLLKKNIDQQGRSNPFEQRAGMNGQPGFFNDEPTQASFSESGPGPSADRNAGVPSTSGTDSEISEDEVVRLLNCSDHYSAMGFTRYQDIDVSILKREYRKKAMLVHPDKNMGNEKAAEAFKKLQNAYEILMDSLKRKAYDDELRREELLSVFRRFRNDSHKLSVQLNCQNGRHGFFSSGFAQSDADGEDPFGDSRRIVCKKCGGFHVWIHTRKQKSRARWCQDCQDFHQAKDGDGWVEQSSQPFLFGLMQKVDAPSAFVCADSKIYDATEWYICQGMRCPANTHKPSFHVNTSLMSKHNPGKGTSSGQRGGWMPPSNMEESMTEEELFEWLQNAAQAGAFDNFGAGTAAENPSPKSGNGMKNPGSSSGSGSKKKKKGKKW >OIV95899 pep chromosome:LupAngTanjil_v1.0:LG16:1353791:1355554:-1 gene:TanjilG_27003 transcript:OIV95899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALDNNVAKKWKELSGQNQWKGLLDPLDIDLRRYIIHYGEMAQATYDGFNTVRASKYCGSCLYKKSEFFDKVQLKHGKLYTVTKYLYATASIPLIPDIFMVKSLSREAWSKESNWIGYVAVATDAGKKVLGRREIVIAWRGTTQNLEWVNNFQFVLLPAPQVFKSTSLLTGLLTDPKVLQSWYNIYTSASGRSQFNQTSVREQVTSEVQRLVQLYKNEEISITVTGHSLGGAVATLNAVDIVANGFNKGAPVSAFVFASPGVGDINFKRVVSGYKDLRIFRVENTLDIVPKYPSLGCLNVGEGLIINTQKSQYLKQPGGIGSWHMLESYLHGVAGTQGGGLLIGGFKLEVDRDIALVNKSADCLVDEYLVPPNWWIEKHRGVTQQPDGKWKLVENKDDRDDWF >OIV95353 pep chromosome:LupAngTanjil_v1.0:LG16:16428912:16431723:1 gene:TanjilG_07509 transcript:OIV95353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENRPDPDIDDDDFLDLYKEYTGPLGSAPTNTQEKAKSNKRSNAGSDEEEEESRDPNAVPTDFTSREAKVWEAKSKATERNWKKRKEEEMICKLCGESGHFTQGCPSTLGANRKSQDFFERIPARDKQLRALFTEKVITKIERDIGCKIKMDEKFIIVSGKDRLILAKGVDAVHKIREEGDQRGSSSSHIARSRSPERSPVNTRFQRSEPQRSHSGGPRNPSHFQQRLGRQERAVEDRVRDDIQKFPRGSPQAYGNSGARGRASHSRSPRQAPYTGNSYNSFDGRDQSMGAYRNGGWDSHRRESGIQPGHQFDHNASSQTLEELELEYKKEASELMKIRDREEDEENFKHREAIRDLRENYMNKAAMLRVTHAKQWEEFLQVDAQRRELQAMQQINSGYGGYKQQNFPEYDDGSAVSTHYSGTNLPAESRNRFPDTMDTYPNRPHDNNFGEFQRPGDFSKAYNRY >OIV96194 pep chromosome:LupAngTanjil_v1.0:LG16:365654:365947:-1 gene:TanjilG_14871 transcript:OIV96194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGKVIGIEGNEVDGNEPSVGVVGGRDGIMGNGGTFTPLGGKGGNIVGLGKVGRVDKYGKVGLCKRLLRAPMVKLMLENARARRKYVMNNFLEAMAK >OIV95777 pep chromosome:LupAngTanjil_v1.0:LG16:4665152:4666699:-1 gene:TanjilG_20227 transcript:OIV95777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITLTPFSFTQLTQSFICFILFFILYEQIFYFKKKRFIPGPTLVFPFFGNAISLVRNPTKFWAHQIKLAKSTNLGISANYISGKFVVFIRDTELSHKVFANVRPDGFLFVGFPFGKKLFGERNIIYMMGQEHKNLRRRIAPNFTPNALSTYVTWQQIIILKHLKTWVKLSEHQYPNPMPLRNLARDLNLDMSETVFLGPYLGPKARESFEHDYFLFNEAFTSFPIDLPGTAFQKGRLSVFRLEKTLATCTELSKVRMQKGEEPSCLIDYWVQDTLREIQEAKLAGETSPPPFSSDAEIGSYLFDFFFAAQDATVSSLLWAVAMLDLHPEVVAKVREEVTGVWSPESDTLITVEQLRDMNYTQAVAREVVRYRPPTFSVPHIAAKDFPLTESYTVPKGALVFPSTLESSFQGFVEPDRFDPDRFSTERQEDQVYKRNFLAFGAGSHQCVGQRYALNQLVLFIAMFTVLIDFKRERTSDCDELAYVPTICPKDDCMVVLSKRCARYPSFFEVEQFTR >OIV95998 pep chromosome:LupAngTanjil_v1.0:LG16:2219857:2225981:1 gene:TanjilG_27102 transcript:OIV95998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSMHKDNNNIMDSSKYVRYTPEQVEALERVYAECPKPSSLRRQQLIRECPILSNIEPKQIKVWFQNRRCREKQRKEASRLQTVNRKLSAMNKLLMEENDRLQKQVSHLVYENGYMKQQITTVSAATTTDNSCESVVMTAQNQQQNITPHQHPQRDANNPAGLLAIAEETLAEFLSKATGTAVDWVQMIGMKPGPDSIGIVAVSRNCSGVAARACGLVSLEPTKVAEILKDRLSWYRDCRCLDVLSIAPTGNGGTIELMYMQTYAPTTLAAARDFWTLRYTTSLEDGSLVICERSLTTSTGGPTGPPSSNFVRAEMLPSGYLIRPCDGGGSIIHIVDHIDLDVWSVPEVLRPLYESSKILAQKLTIAALQHIRQIAQESSGEIQYGGGRQPAVLRTLSQRLCRGFNDAVNGFVDDGWSLLGNDGVDDVTIAVNSTPNKFFGSQYNSSMFPSFGGGVLCAKASMLLQVWSVPEVLRPLYESSKILAQKLTIAALQHIRQIAQESSGEIQYGGGRQPAVLRTLSQRLCRGFNDAVNGFVDDGWSLLGNDGVDDVTIAVNSTPNKFFGSQYNSSMFPSFGGGVLCAKASMLLQNVPPPLLVRFLREHRSEWADYGVDAYSAACHKASPYAVPSARPADFPTSQIILPLAHTIEHEEFLEVVRIEGHAFPPDDVSLARDMYLLQLCSGIDENSIGACAQLVFAPIDESFADDAPLLPSGFHVIPLAPKTDAPANTRTLDLASTLEVGSGSIRPAGETDYNLRSVLTIAFQFTFENHLRDNVAAMARQYVRSVVGSVQRVAMAIAPARLSTQLVPKSLPGSPEALTLARWICRSYRIHTGAELFRVESTSGDAILKQLWHHSDAIMCCSVKTNASPVFTFANQAGLDMLETTLVALQDIMLDKVLDEAGRKVLCSEFSNIMQQGFAYLPSGICVSSMNRPVSYEQAIAWKVLNDDDSNHCLAFMFMNWSFV >OIV95250 pep chromosome:LupAngTanjil_v1.0:LG16:19771376:19774807:-1 gene:TanjilG_21640 transcript:OIV95250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQGKKGTSLSTTRLSALAKPFTINPSSSVHSSDHSNSDPFSSLLDSFKKTDLGSKDYYKVSTLTTQREEKTLFEQNPSLEFQKYGDFDGINWSHFEIVEPTYSPNVTGLGYGKKGVDFHESLFGKGNDGGGSVDDGSMLQQGKHSVDGLKNTSIAVSDGIFNKSTGTTGGKDIVSNCIGTIHATDESSSFLMSNYKLDPLKVSTADMSSAKNAPQIQTSQSSGDSDSDVDSPCWKGTMTICPTSSTISGSEKIHHVEKATEKQNSLNPRAPQFFPGIGYIKDDFVSSKSTAPIATKLLSGESIFMKTVMTESPLELNMGTVLQSSSNISGKEKASNMLNDRKWSSANLVLNSHSMSLGTKSSSKEDCSASKGKLATIVGVDDFVKGTKDPGSSGSISGMFPANGHSLMTSSTLSSSRVGVDTGLCKTLQDVLKSLINSPNPGCQMIVSAMHVLSDLLVQTCVDSVDSYNEHDRDTIHQIINNLNIISTKTCGQMIPTLVSTHADSSYCLDRSLEHPKGHGVTSIKTLAVPHEPYLWNDYMQKSSISKVFAQSGQNSFASSSDQCTEMGNEIAQFIRRSLGKSLEFEKQMPSEASLFRSLWLDCEAERCYKRYKTYRCLMEAGIDVNYTNVEEFWK >OIV96066 pep chromosome:LupAngTanjil_v1.0:LG16:2856549:2858465:1 gene:TanjilG_27170 transcript:OIV96066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVILRLILTLSLLSSLLLTTSAQTCKQQTLTANNVFTTCRDLPHLTSYLHWSYDQATGKLDIAFRHTGISSTDKWVSWAINPNNDLNSAMPGAQALVAIPQASGAPRVYTSSISNYGTSLAEGNISYPNTGLTATYENSELTIYATLTLPSGTTSLVHLWQDGPVSGSTPQSHAMANSNTQAKETLDLVSGASQGGGSTGNSIRRRRNTHGVLNAVSWGVLMPLGAIIARYMKVFQFADPAWFYLHVTCQTSAYIVGVAGWGTGLKLGSDSVGVTYDTHRTLGIIIFCLGTLQVFALLLRPNKDHKIRIYWNIYHYLIGYSTIIISIVNIFKGFEALEVSVQDRYNDWKHAYIGIIAALGGIALILEAYTWIIVIRRRKSESKTAHGVNGTNGVNGYGSRPQQV >OIV95475 pep chromosome:LupAngTanjil_v1.0:LG16:14119543:14122331:-1 gene:TanjilG_23918 transcript:OIV95475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYPVQAAMKVYDCSIFSKYAAEVATCCHQWLYCSEENEENKKGGILTSNETLKKLRRYGLSGILSYGLLNTAYYLATFLVVWFYIAPAPAKMGYLAAVERFLKVMAMVWAGSQVTKLIRAGGALALAPFVDRGLSWFTAKFKFQSQDKAFMAIVGCCFGLAIIVFLVITLLWA >OIV95609 pep chromosome:LupAngTanjil_v1.0:LG16:8638746:8642948:-1 gene:TanjilG_23840 transcript:OIV95609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEANSQSSGAGSNTNRPSRWLLNGMTALVTGGTRGIGHAIVEDLCGFGATVYTCSRNEAELNKCLDQWRIKGFLVYGSVCDVSTRPQREKLIQEVASTFNGKLNIYVNNVGNNFRKPTIEYTAEDYSELMTVNLDSAFHLCQLTYPLLKASGMGSIVFISSVAGVTSLGTGSVYAASKAAINQLTKNLACEWAKNNIRSNCVVPWATRTPLVEHLFQNQKFVDDIMCRTPLKRIAEPEEVSSLVTFLCLPAASYITGQVICVDGGLTVFGFQPSMRIT >OIV95884 pep chromosome:LupAngTanjil_v1.0:LG16:1252656:1254025:-1 gene:TanjilG_26988 transcript:OIV95884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRKAKTKPPPKKRMDKLDTVFSCPFCNHGSSVECRIDMKNLIGEASCGICQESFSTTITALSEAIDIYSEWIDECERVNTVEDDG >OIV95963 pep chromosome:LupAngTanjil_v1.0:LG16:1949042:1953746:1 gene:TanjilG_27067 transcript:OIV95963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSIFVPIMDNYQGDLTDIVRASTGGAYSTSSTTTSSSSQAAINNHFSHHHWQHHFSSDPINFSSSVLEEGANFGDPFSTLLRDPFSNMRDPFLHELDIMHNQGNNSSPYFNTTTTTTKNSSAEIISTSCGALEDAAPCFGVNTNTTSSSTSVFGHKIHEDNNIIRSSRPSSKNIFSNMIQISPNAAKLPLSPYDSTSPRAIKTSAMVSGNMINATNTSKDCLVDNTGAVQISSPRNPGLKRRKNQAKKVVCIPAPAAANSRQTGEVVPSDLWAWRKYGQKPIKGSPYPRGYYRCSSSKGCSARKQVERSRTDPNMLVITYTSEHNHPWPTQRNALAGSSRSQPSKNTNHTPTSKNSEISHKGITTTTTKPKEEQQESNNSEGNVSVKEEIEMEDIEKQIEMDDGEFSDGLSYKPSMLENSNSHNNQSHEDFFAELGEIEADPLNLLFTQGFNDQRESKALDPYHLFDWSGGGTTNSFEEPNSKRRGYYRCSSSKGCSARKQVERSRTDPNMLVITYTSEHNHPWPTQRNALAGSSRSQPSKNTNHTPTSKNSEISHKGITTTTTKPKEEQQESNNSEGNVSVKEEIEMEDIEKQIEMDDGEFSDGLSYKPSMLENSNSHNNQSHEDFFAELGEIEADPLNLLFTQGFNDQRESKALDPYHLFDWSGGGTTNSFEEPNSKRRL >OIV95549 pep chromosome:LupAngTanjil_v1.0:LG16:11710637:11712643:-1 gene:TanjilG_10937 transcript:OIV95549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINHMTRRCAFEAKRGRPRKYPRLDSEESSYILFGQNKRPYPGSGEQTSLPPGYEGVDGNQQLQRDQEDGSYVTMVGRAVSGVIEAVFDAGYLLNVRVGSDTTLRGVVFKPGHYVPISPDNDVAPGVAMIRRNVTSQVQNLLPKERNEHVSVHRNETLSMNGSPTVPQVPRLAVSPINLVASSGKNAPSASRTAPQLPGGNMVPDLLQPDKFSNGLPISNQPPQVMTQISLGSGTIVSSEIPAGGNQAIIFHTQNSQNMLSSGIPSEGVPHYQPSSNVLNGDGANSMGMPSLPFEHLVTEVVKRLQPPSDAMDTESDNSKSGDRIPLKDPSSGKEDKANNMDQPVLIKSAQAVQSHPIENSTSVTTILDYMKAGKMTELLQGNRIENQTPKAAEVGSGNKL >OIV95214 pep chromosome:LupAngTanjil_v1.0:LG16:19114368:19116518:1 gene:TanjilG_21604 transcript:OIV95214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNEEGQITELYIPRKCSATNRLITSKDHASVQINVGHLDESGVYNGNFSTFALSGYIRAQGDADSALDRLWTKKKTEVKQQ >OIV95652 pep chromosome:LupAngTanjil_v1.0:LG16:6300917:6303347:-1 gene:TanjilG_01446 transcript:OIV95652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHQEFSIALMILIVLLMNMNMQGAMADYGGWQGAHATFYGGGDATGTMGGACGYGNLYSQGYGTNTAALSTALFNNGLSCGSCYEMRCDDDPRWCKPGSIIVTATNFCPPNPSLPNNNGGWCNPPLQHFDMAEPAFLQIAQYKAGIVPISFRRVPCMKKGGIRFTINGHSYFNLVLVTNVGGAGDVHSVSIKGSRTGWQPMSRNWGQNWQSNSYLNGQSLSFQVTTSDGRTVTSFNVAPVNWQFGQTFQGSQF >OIV95092 pep chromosome:LupAngTanjil_v1.0:LG16:17652794:17659572:1 gene:TanjilG_21482 transcript:OIV95092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMMTMEGMMDNNVLDDVIRRLLEGKGAKQVQLSESEIRQLCVNARQIFLSNPILLDLSAPIRVCGDIHGQYQDLLRVFEYGGYPPAANYLFLGDYVDRGKQSLETICLLLAYKIRYPDKIHLLRGNHEDAKINRIYGFYDECKRRFNVRLWKIFTDCFNCLPVAALIDGKILCMHGGLSPELQNLNQIKEIQRPTEIPDNGLLCDLLWSDPDSSIDGWADSDRGVSCNFGSDAVTEFLDKNDLDLICRGHQVVEDGYEFFAKRRLVTIFSAPNYGGEFDNAGALLSVDESLVCSFEILKPIDRGSSSKMNLKKYQDLLRVFEYGGYPPAANYLFLGDYVDRGKQSLETICLLLAYKIRYPDKIHLLRGNHEDAKINRIYGFYDECKRRFNVRLWKIFTDCFNCLPVAALIDGKILCMHGGLSPELQNLNQIKEIQRPTEIPDNGLLCDLLWSDPDSSIDGWADSDRGVSCNFGSDAVTEFLDKNDLDLICRGHQVVEDGYEFFAKRRLVTIFSAPNYGGEFDNAGALLSVDESLVCSFEILKPIDRGSSSKMNLKKPPKLGKV >OIV95566 pep chromosome:LupAngTanjil_v1.0:LG16:10120854:10124841:-1 gene:TanjilG_04903 transcript:OIV95566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEDITGPEPVLNLVVDALTHDDASVRTAACICLRSVSHSIKNLSAGRFMNERIVFPLVQLLSDLSTSVQVAALAAISNIVVDFMLHKSTFMQCGGVKELVQLTKAMDSSLRLNAVLALRNMLFLTDKMCKEVIFVELTASSMASLICDPESCVQVQALALVRNFVDGCVYSVEYAFAEDGIILKAVGKQLRKSSKVEIGIQGLYVLSNIASGNEFQKEAVIQLLFPQAENGSQSFFNQFLQSNDNHLRTAAIWVITNLTFPESPGAFGRIVNLRSLGIVSQIKKMFNDSCMDVKVL >OIV95330 pep chromosome:LupAngTanjil_v1.0:LG16:16658792:16667865:-1 gene:TanjilG_07486 transcript:OIV95330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKEPEQVMKMRKGSVLGKKTILKTDHFPGCQNKRLFPQIDGAPNYRQAESLHVHGVAIPTIDGIRNVLKHIGAQFQAKVLWISLREEPLVYVNGRPFVLRDVERPFSNLEYTGINRERVEQMEDRLKEDILMEAERYENKILVTDELPDGQMVDLWEPVSSNSVKTPLEVYEELQVEGYLVDYERVPVTDEKSPKERDFDILVQKISQADVNTEIVFNCQMGRGRTTTGMVVATLVYFNRIGASGIPRSNSIGRISQFMTNVADRLPNSEEAIRRGEYVVIRSLIRVLEGGVEGKRQVDKVIDMCASMQNLREAIATYRSSILRQPDEMKREASLFFFVEYLERYYILICFAVYLHSERAVLLSSTATQSSFADWMRARPELYSILRRLLRRDPMGALGYSSSKPSLKKISESPDGRPSEMGVVAALRNGEVLGSQTVLKSDHCPGCHSQSLPERVDGAPNFREVPGFPVYGVANPTIDGIRSVLHRIGTSKGGRPVLWHNMREEPVIYINGKPFVLREVERPYKNMLEYTGIDCERVEKMEARLKEDILREAKQYDSAIMVIHETDDGHIFDTWEHVTPDVIQTPVEVFKSLETDGFPIKYARVPITDGKAPRSSDIDTLTTNIASAVKDTAFVFNCQMGRGRTTTGTVIACLVKLRIDNGRPIKILSDDVNHEASDGGFSSGDEAGGYVTATALTSDTSQIKTDEKQNHVFGINDILLLWKITTLFDNGVECREALDAIIDRCSALQNIRQAVLQYRKVFNQQHVEPRVRRVALSRGGEYLERYFRLIAFAAYLGSEAFDGFCGQGESKMTFRNWLHQRPEVEAMKWSIRLRPGRYFTIPEELRKPQECQHGDAVMEAIVKARNGSVLGKGSILKMYFFPGQTTSSHIQIHGAPHVFKVNEYPVYSMATPTISGAKEMLAYLDAKPNASLTTRKVILTDLREEAVVYINGTPFVLRELNKPADTLKHVGITGPLVEHMEARLKADILAEIRQSGGRMLLHREEYNPSTNESAVVGYWENIVADDVKTPVEVYSVLKDDGYDIIYRRIPLTRERDALASDVDAIQYCKDDSAGSYLFVSHTGFGGVAYAMAMICIRLAAEANFPSKVTQPLFGPDISAVTEKNLPSRASNETALKMGDYRDILSLTRVLIHGPQSKADADIAIERCAGAGLIRDDILYYRKELEKFTDDDDEERAYFMDMGIKALRRYFFLITFRSYLYSTSPANMKFSAWMNARPELGHLCNNLRIDK >OIV95045 pep chromosome:LupAngTanjil_v1.0:LG16:20552836:20556630:-1 gene:TanjilG_10865 transcript:OIV95045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDESKKLRLVRCPKCDNLLSELADYSVYQCGGCGAVLTAKHKGYVSGSLSEKSDEGKNEDSAKSDSSLEKGLADSIGDTSDVDAKVNSNEKDVKNANNGDKRFPNQAEGKETNEKGVFENGVDVNVNKNKAKKAIGREQEEPKSQTCRENGSRFAGRMMSNWQNGQRSEAERFWTKPRTEMESERFSTSNYPYEGTSNSHSSFSHSYVQPWRRHEETDGANKVQHLEQDRAELLRKLNELKGQLSQSSEVVNNTKEKVWLDERMIPPNPYAGSDPWFSDGSPRLNRNARQFFGTDKHAAGSNYFNHHRDPAYPDTGGHEMAMPNFHPSMHNPNHILGYGDPFAPQILGRGPQSHQLTHQYPPKPLHPYFSGHYVNTNPDSYEPFAHSSMLHQPSCSCFHCYQNKRRGLVPARPVPNDPMLFNHEIPAAFGPHIHNSRTAAIPPVSFHEKQLHTRWPSDFNSEISGFVRSRPRKVMLATGRQHCRPVAGGLPFITCNNCFELLQLPKKALVVAKKHQQKVKCKACSSKIGIAIINKKLVITHDSEMKAVRTRVDDFSNEVVSSRLSRSHGHVNRVGANFSSDDYSGYDFHSVDREPLPLVADLSLSSNKSEDMQSFHSSPIMSEDEDSPEVVNKSIHQPTKASASPPPAGSPLQEYLDYSSNNNAMNRFGKGNQSSRSEQEKVKTEKITTRQNSLKEAAVATEMDVHDYSNTGVSHDCGDAKGENDHPRSNKGGESFFGNIIKKSFLDFSRSNQTDDRSKINVTVNGQPLSHRVVKKAEKLAGPIQPGNYWYDFRAGFWGVMGGPCLGIIPPFIEEFNHPLPDKCSGGNSRVFVNGRELHEKDLDLLVLRGLPTERDRSYIIEISGRVLDEDTAEELDSLGKLAPTVEKVKHGFGMKAPRAGS >OIV95798 pep chromosome:LupAngTanjil_v1.0:LG16:4486691:4491504:-1 gene:TanjilG_20248 transcript:OIV95798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVLAKQSSAAEAAAEREWERRRRRRREEEKREEVKDEVVEGETGNGGGEEKRGRSSRRRSSKPNPRLSNPPGHVHGEQVAAGWPSWLSKVAGEAINGLTPRRADTFEKLDKIGQGTYSNVYKARDTLTGKIVALKKVRFDNLEPESVKFMAREILILRRLDHPNVVKLEGLVTSRMSCSLYLVFEYMVHDLAGLATNPAIKLTESQVKCFMHQLFSGLEHCHNRHVLHRDIKGSNLLIDSEGVLKIADFGLASFFDPNHRHPMTSRVVTLWYRPPELLLGATEYGVGVDLWSAGCILAELLAGKPIMPGRTEVEQLHKIFKLCGSPSDEYWKKSKLPHATLFKPQRSYKRCIAETFKDFPPSSLPLIETLLSIDPAERQTATAALNSEFFTTKPYACEPSSLPKYPPSKEMDTKLRDEEARRLRAASKANADGVKKSRPRDRVGRGIAVPEANAELQANIDRRRMLTHANAKSKSEKFPPPHQDGTLGYSLGSSHHMDPGFDPSDVPFSSTNLSQPKAHIQTWSGPLVEPATLDAPRRKKKHGR >OIV95145 pep chromosome:LupAngTanjil_v1.0:LG16:18157031:18159730:-1 gene:TanjilG_21535 transcript:OIV95145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNTVNESQRTLYPYVTGSSVIAIKYKDGILMAADMGGSYGSTLRYKSIERLKPIGKHSLLGASGEISDFQEILRYLDELMRNKFNPLWNSLILGGVKNGQKYLGTVNMIGVNFEDNHVATGLGNHLARPILREEWNENLTFEEGVKLLEKCMHVLLYRDRSAVNKIQISKITEEGATVFPPFSLKTYWEFSAFRNPTAGAEGSW >OIV96249 pep chromosome:LupAngTanjil_v1.0:LG16:42450:44627:1 gene:TanjilG_14926 transcript:OIV96249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKKTEDAISSAKAKAGGKDTTKKEKFSVSAMLAGMDEKPDKPKKVASSSTKLKFKSAPKASVYTDGIDLPPSDDEEDEDLLEQEQQQQQNNTSKRPDVKQLEVSIAEKELKKREKKDILAAYAVQQAKKEALKDDHDAFTVVIGSRTSVLDGEDDADANVKDIAIDNFSVSARGKELMKNASVRISHGKRYGLVGPNGKGKSTLLKLLAWRKIPVPKNIDVLLVEQEVVGDDKTALEAVVSANEELVKIRQEVASLQSETNVEGSVDKDNNAEEDDAGEKLAELYEKLQLMGSDAAEAQASKILAGLGFTKDMQVRPTKSFSGGWRMRISLARALFVQPTLLLLDEPTNHLDLRAVLWLEEYLSHWKKSLVVVSHDKDFLNTVCSEIIHLHDLKLHVYRGNFDSFESGYEQRRKEMNKKYEVYDKQLKAAKRSGNRTQQEKVKDRAKFAAAKEASKSKGKGKVDEDDALQEAPRKWRDYSVEFHFPEPTELTPPLLQLIEVSFSYPNREDFRLSNVDVGIDMGTRVAIVGPNGAGKSTLLNLLAGDLDPSEGEVRRSQKLRIGRYSQHFVDLLTMDETPVQYLLRLHPDQEGLSKQEAVRAKLGKFGLPSHNHLTPIAKLSGGQKSRVVFTSISMSKPHILLLDEPTNHLDMQSIDALADALDEFTGGVVLVSHDSRLISRVCDDEERSQIWVVEDGTCRTFPGTFDDYKNELLREIKAEVED >OIV95643 pep chromosome:LupAngTanjil_v1.0:LG16:7743828:7748482:-1 gene:TanjilG_23874 transcript:OIV95643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLDMSLDDRIKNRSSRGRGRGRGRAGSGRGPSRTFNGGRVSSAANGRRMTGAARRGRGPLVLNARPSSYAIAKASSKCGCLYTCLTSIRGTRPFPWQRTDLFEDSLRAVGIPGIEVGTKLYVSNLDHGVTNEDIRELFSELGDLKRYAVHYDKNGRPSGSAEVVYTRRSDAFAALKRYNNVLLDGKPMKIEIVGADAELPITARVNVTGVTGRRKRTVVMAPRSSGAVGPAAVPNRGLGWGRRGGPKIGSGGPRNWNGSGRGRGGGRGRGGGRGRGKKDGGEKSAEQLDKELETYHAEAMNIS >OIV95654 pep chromosome:LupAngTanjil_v1.0:LG16:6337042:6341160:1 gene:TanjilG_01448 transcript:OIV95654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPEISRWVLEFLLRSTVSDSLIAKTLTALPLSGADSRLKKTILLRTLQSFHSNASITETSLEILELIEEIDLNDAVPITDSMRRAFCAVAVECTVKYLAACPDDSAGNYLAAVRRIWRGRVAKLESAGRRGGLFSEELVRWRDELEAAVWDTRVCERLVGLNSRTEALNEVNVYLKEAWEILGPSFLDSVAAMAKAKGLHFDFGGESVGNRNGGLEVSANVDGNDDDANNHDNGNDNDDANNHDNDGDASNHDDDGYDDGANNHDNGNDNDGDASSHDNDGYDGGACHKEDKNLDKEDDSEERVGAFVDLNHDLAAERDTEIQKGNLQHKRKHLAHTCPDSIGEFAYGGELKRKRDEGLKGFTKQRRDFDGGDRSEPDDGGVCCTEDVSVSGEKQQQEQVEERVETSVDGNQELGGCDLPAQGEKEIQKHNLKHRVKHTALRRCCKGVKLSGTEEVEPAKSWGKCDYVPSSEVKKVRESLKSSSLELQALVKDPLPDALQTSEVVRSKLAVKDINQNGDVDVPDPIVCKSIVPFQPNDANLVKQSFVRCSNNVRRPNLLERKDTARTYQWDDSLGNFSQVAQPRKKKRRWTSLEEETLRDGVKMFGEGSWAAIRSFYSNILENRSGVDLKDKWRNMTR >OIV95210 pep chromosome:LupAngTanjil_v1.0:LG16:19036624:19044151:-1 gene:TanjilG_21600 transcript:OIV95210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVITSHRKRPQECMNLNETNNPQSPIPNSSSYKRPKISSSAPSPPVSSSSSCNGTVVSRISRYPEVKLPLVREVHAPCRPRKFDFLTKFRSGSSFEGRDSGEFGENFDMGNCFSRKYEKAKREAVASIRYTPKGKEVIDFDTDSRNRYKVLEDLSIEEEVRVVEDDVIEIYDLDTMVVDDGIQDKSVSLVDSELANSNLRNRVVNAEKKWDPFDLSEEHDFSSVLVYKKLLESVRGREPATERLKFEIELQEKRRDALALLRPKKEPVEVIEEVPHEPFTPLTKKEISEVEKAFYAKRKKILVTHENSNIEINAEKFQCLRLGAWLNDEVINVYHELLKEREKREPKKFLKCHFFNTFFYKKLIGGRNSYDFKSVRRWTSQRKLGYGLDECDKLIGGRNSYDFKSVRRWTSQRKLGYGLDECDKIFVPIHKEIHWCLAVINKKDEKFQYLDSLKGMDSQVLKALARYYVDEVKDKTGKEIDVSSWEREFVEDLPEQENGFDCGVFMIKYADFYSRGLRLCFKQENMPYFRLRTAKEILRLKAD >OIV95080 pep chromosome:LupAngTanjil_v1.0:LG16:17553696:17556483:1 gene:TanjilG_21470 transcript:OIV95080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVREAVESKIQDYQFPRPRINNQASISGTKLFQLCFINKLPDKIFSLSNIKAEDKSPLQIALFDVNTKSIVNNGPLSSIKIEICALNGDFGSEDWTEVEFNANILKERKGKGLLVNGERFITLKNGVGCVTKITITDNSRWVKSRKFRLGAKIVKSISIGTNVKEGRSEAFVVKDSRGESYKKHYPPSLKDDVWRLEKISKGGKIHARLALDGIRTVKDFLQLYTINQSLLLEKFGKFSKKSWLAITAHAKTCVIDDSNLYSYQTEEPPIGLVFNSIYNLVEVTFDGQNYFSPDTLTPNDKIVVELVKQHAYKNVNNLKSIDETSLSCVRPAAFLRASRSDAPDQVLPQINISTAEDTYQQETCADNNQPFISASYIDEGAHDNQTYADPLLDQREMPQNSYEESDFFSNWNFHGSYIPLFEGGFSTENESSDIQLINDYPTYTTWVQENGFYYGSSDGAEFSSHCSFLNSSMDISSSEKSKAVWHKILTVLKCLISIKRDASARRNGNLFYYN >OIV95680 pep chromosome:LupAngTanjil_v1.0:LG16:6785348:6787856:-1 gene:TanjilG_01474 transcript:OIV95680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFSVSHGLLAGLVSSYEIVSPRKEIQWLASVFTGIIFCVVVYRLTAIFSSLLFAGYSKLTNTQKIEWNNRGFSTFHALFASFASLYLLIFSNIFKEESHEELVINRSSTLSDSVLAISTGYFLADVAMILWNFPALGGLEYVLHHGLSMLSLIQSLLSGQGQIYILMVLFTESTTPFVNLRWHLDVAGRKSSKLYIWNGIALFFGWLVLSNLLLI >OIV95126 pep chromosome:LupAngTanjil_v1.0:LG16:17977462:17980017:1 gene:TanjilG_21516 transcript:OIV95126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKKMSNQSKQQHQQQQQHEENVSQPQPTPSSDNNNKLETLKNLNSLLLKETTKRRQQVESLESHLSNTVDDNVVSELEKSVAFAFIKSRVEELGFVFSSDKNDMSHVVSELGFEVEELKNRLSEVSGERDRLKVDFDGVVVDAGLIKEKVREGEIREEKVVEELRKVRSEWEKLIEEGLKKEKVIEEVIGERDSAVSKWRELNEKVVEAESRENKLVEELREARVEGEKLGFERERVIVEVREERDSAVRESRELKEKVLEAENNNRELLEELQKVRLEGEKLIEEGGRKERVINEVTVERDSALSDSRELKEKVLEAENSNRKLLDELQKMRLEVENLLEEGSRKDRVIGEVTVERDLAVRDSRELKEKVLEAENSNKKLLEELQKVRLEGEKLIQEGSRKERVIGEVKVERDLAVRDSRELKEKLLEAENSNKRFLEELQKVRLEGEKLFEEGSRKDRLIGEVTSERESAVRSLRESTKVIEKLKEEIDLVTREKDEISKVNDAQKVKISSMELELVNVSEALNYVSKEEKLMKGKLLELEDKVGIYEKKEEGLMLKIRDLVKHKEEVEGSVEMLKEGRDSVEKVLGKVRKELEDKQREIENVKVSYVKEITEVQNIANELNQSGKGFEKKNSELLSEVESYKNAVKEVTVERDNIRKGFEEEKNKVKSLMLQVAEMEGKIEALAGEIGMIKSEKVKLLEKNETVESRVSVLIDEKDALQRSLLEAQQECDEVRAKVEFYSLNSNQVLEMLKNAAALVSQDMEGEEDVVSNEQKPEEEIRLYAEQLGAIRDAFRNKNKMVADMKQQSEVLQKSVHEAHQMKSLWTMISSGASVLAAAFAAAYVAKGH >OIV95463 pep chromosome:LupAngTanjil_v1.0:LG16:15357011:15366391:1 gene:TanjilG_06925 transcript:OIV95463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGLAQSNEQFSNGTSTTTTTTLSANGFWSKNGNDVSYNQLQKFWIELSPQARKELLRIDKQFLFEQARKNMYCSRCNGLLLEGFLQIVMYGKSLQQEGVGAHLPCNRTGGLKKQNNDGSSIISGCQDEIQDPSIHPWGGLTTTRDSLLTVLDCYLYSKSLKGLQIVFDGARARERERELLYPDACGGGGRGWISQGVASYGRGLGTRETCALHNARLSCDTLVDFWSALGEETRHSLLRMKEEDFIERLMHRFDSKRFCRDCRRNVIREFKELKELKRMRREPRCTSWFCAADTAFQYEVSDDSIQADWRQTFADASGSYHHFEWAVGTTEGKSDILEFENVGKNGCVQVSGLDLGGFGACFITLRAWKLDGRCTEFTVKAHSLKGQQCVHCRLIVGDGYISITKGENIKRFFEHAEEAEEEEDDDSVDKDGNEVDGECSRPQKHAKSPELAREFLLDAATVEKAFREGTARQNAHSIFVCLALKLLEERVHVACKEIVTLEKQMKLLEEEEKEKRDEEERKERKRTKEREKKLRRKERLKGKEKDKEKECSESNDVLVSPEVSKEELSEVVDTEENNPTSCQNSVIETDDSNLLRSDSPNIEDEEIISDYSTLRTQDHCYDEENSYTKDGTGQFTTEQSMLSRRRPKLRKEFQPDMPMKLSDKRRCATGSENGVMIGRSEPRHYGEIFVASSRVVNGLNKQSRMNVPTKPNGRNVGPKYNEKSYSSSNQINDRYDSNSCSCNLNNEYRIRVEQQSPTARVNGRSKSKITLGNYSTRDLVQSKKVWEPTDSQKKYPRRNSDSDVISRSTKVQEVQSDLIKSSVGEEAIHLGENDDVDCNVKRSNGKDEGCENGFLVEAEGSCSSTYIASEEPVISPTRDSALNNFSHPNKSSTFSSDNCSSCLSEGDNNTSSSNHENAESSTTSDSEDGNQQCDLRDNSACIEGMSNCPEVGMKNNQNAEGLTKRSSSLFSPSLNGKGSDALGSCVVEIAKKIDNGFSTVNVCSQPQSMLPLVTNQNIQFPMFQAPSTMGYFHHNPVSWPAAPTNGLMPFPHPNHFVYAGSLGYGLNEDPCFCLQYGALQQPTPLYPAVPLYQPVTRANVANAEEQQTRVSKPDSIHGHLNESAAERGHSIGSNSKKPENNSGFSLFHSDGPFALSAGCKPNSAPSSVGTVGDFNSKGSADLTEKVRTHNKNETTSMEEYSLFTATNSLSFSIF >OIV95765 pep chromosome:LupAngTanjil_v1.0:LG16:4997194:5007580:1 gene:TanjilG_05313 transcript:OIV95765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLPTKRSSTTSSSSSSSAAAAATVNNPMKKAKPHAPLHDAVFDPSSMAIDDDLKPSSNESTAPSRGLVAANLARKKSTPPQPAKKLLIKLHKAKPTLPTNFEEDTWAKLKSAICAIFLKQPDSCDLELLYQAVSDLCLYKMGGNLYQRIEKECEAHISAALQSLVGQSPDLVVFLSLVERCWQDLCDQMLMIRGIALYLDRTYVKQTPNVRSLWDMGLQLFRKHLSLSSEVEHKTVTGLLRMIESERLGEAVDRTLLNHLLKMFTALGIYAESFEKPFLECTSEFYAAEGMKYMQQSDVPDYLKHVETRLQEEHERCLIYLDASTRKPLIATAEKQLLERHIPAILDKGFSMLMDGNRIEDLQRIYSLFSRISALESLRQALSSYIRKTGQGIVMDEEKEKDMVSSLLDFKASLDTIWEASFFKNEAFSNTIKEAFEHLINLRQTRLQEEHERCLIYLDASTRKPLIATAEKQLLERHIPAILDKGFSMLMDGNRIEDLQRIYSLFSRISALESLRQALSSYIRKTGQGIVMDEEKEKDMVSSLLDFKASLDTIWEASFFKNEAFSNTIKEAFEHLINLRQNRPAELIAKFLDEKLRAGNKGTSEEELEGMLDKVLVLFRFIQGKDVFEAFYKKDLAKRLLLGKSASIDAEKSMISKLKTECGSQFTNKLEGMFKDIELSREINDSFKQSSQARSKLPSGIEMSVHVLTTGYWPTYPPMDVRHPHELNVYQDIFKEFYLSKYSGRRLMWQNSLGHCVLKAEFPKGKKELAVSLFQTVVLMLFNDAEKLSFQDIKDSTSIEDKELRRTLQSLACGKVRVLQKFPKSRDVEDNDSFVFNEGFTAPLYRIKVNAIQLKETVEENTSTTERVFQDRQYQIDAAIVRIMKTRKVLSHTLLITELFQQLKFPIKPADLKKRIESLIDREYLERDKSNPQIYNYLA >OIV95512 pep chromosome:LupAngTanjil_v1.0:LG16:13298767:13331645:-1 gene:TanjilG_25183 transcript:OIV95512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKANAWQDIGLGKLIMSNVRNIIEVNGEIYNHEELRKQLPNHKFLTGSDCDVIAHLYEEHGENFVDMLDGIFSFVLLDTRDNSFLVARDAIGVTSLYIGWGLDGSVWISSELKGLNDDCEHFECFPPGHLYSSKEREFRRWYNPPWFSEAIPSAPYDPLALRLAFEKAVIKRLMTDVPFGVLLSGGLDSSLVASITARYLADTKAAKHWGTKLHSFCVGLEGSPDLKAGKEVADHLGTVHHEFHFTVQDGIDAIEEVIYHIETYDVTTIRAATPMFLMSRKIKSLGVKWVISGEGSDEIFGGYLYFHKAPNKEEFHHETCRKIKALNKYDCLRANKSTFAWGLEARVPFLDKEFINVAMNIDPEYKMIKGEEGRIEKWVLRKAFDDEEHPYLPKVTDKMMLNAPHIFPHNTPNTKEAYYYRMIFERFFPQNSAGLTVPGGPSVACSTAKAIEWDAAWSNNLDPSGRAALGVHVSAY >OIV95995 pep chromosome:LupAngTanjil_v1.0:LG16:2195606:2195965:-1 gene:TanjilG_27099 transcript:OIV95995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIILAGESTGRDIRPSDHGFHFQNSSAAANSPMEMFFNSTSTNNMPLPNPVNSTDYMPPLSWRRITGGGGGKHWGGVLMVISLVCGITGVIILLVTAFVYFFNRKRNNKPTLNESFRHP >OIV95697 pep chromosome:LupAngTanjil_v1.0:LG16:7014288:7019019:1 gene:TanjilG_01491 transcript:OIV95697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPKDLFSANLLDGGIATCIEGQHTPSVIVIGAGISGIAAARSLYDASFKVTVLESRGRRGGRIHTDYSLGCPVDMGASWLHGVCNENPLAPLIRRLGLTLYRTSGDNSVLYDHDLESYMLFNIDGSQVPQETVVEIGETFKRILEETGKVRDEHPDDMSVSQAISIVLDRHPELRQNGLAHEVLQWYICRMEAWFAADADMISLQTWDQASTVILENVLSGGHGLMMQGYGPVINALANDIDVRLNHRVTKISNGYNKVMVTVEGGRIFIADSAIITVPLGILKANLIEFEPKLPDWKVSAIADLGVGNENKIALRFDNVFWPNVELLGVVAPSSYACGYFLNLHKATGNPVLVYMAAGRFAYDLEKLSDESAANFVMQQLKKMFPDASEPVQYLVSHWGTDPDSLGCYSYDLVGKPTDVYDKLRAPLGNLFFGGEAVSIDNQGSVHGAYSAGVMAAENCQRYILENQGHVDIVSVRHEVLASTIPLQISRM >OIV95304 pep chromosome:LupAngTanjil_v1.0:LG16:16934814:16937529:-1 gene:TanjilG_07460 transcript:OIV95304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRLKRSEDPIRFLFQHHCYNNPLMAYLIGYDPCTQLSKSFSQHPLSSYSRGRSYLSLPYLHNVVVANVFGASRSIDFSIKGCSFDTSGSISNGTNRIMVNAKARPRGFASRPRHPMLMDLEQEKSVRGDGRDAAPVNGENGLLNGSPAQSLCFRDHCLSHKLAVAVDVDEVLGNFVSAINKFIADRYSSEHSVSEYHVYEFFKIWNCSRDEADVRVHEFFKTPYFKSGIHPLPGAQLALQKLSRYCNLSVVTSRQNVIKDHTIEWIEKNYPGLFNEIHFGNHFALDGVSRPKSEICRSLNAKVLIDDNPRYAMECAESGIRVLLFDYEDSYPWSKDESVDKHPLVTKVKNWEEVEQQLMLLIVS >OIV95049 pep chromosome:LupAngTanjil_v1.0:LG16:20494992:20497453:-1 gene:TanjilG_10869 transcript:OIV95049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTTTTTTKIYNLFVKHLNGKTLTLKFKSPILSFDSIKSHLFHLTGIPPHHQRLITGNRLLSDEANSTISCSHSTNTFPTVHLLLRLNGGKGGFGSLLRGAATKAGQKKTNNFDACRDMSGRRLRHVNAEKRLQEWKESEDDRKLEKVAEEFLKKQMKKGKKGKGDGEAHKYVAKYREESERCTADVALSVKEALMNGNNGKRKGDEGGKGDAKKLKIWMGKRKMNESDSDYSSGEENDDEGENEKSILLNSQNESDSNKDDGSSGSVTGRKQGVDSSGASSCESGSEEEKETVVEVKVESVEPHSSDADQVSTVEPVLSDDTVKPVAMVCSELVVSEFSAENNGHQDCNGVVSDKLDGALSQASDNTSSENVAAATKCVEVDLENKASVNEEISPSIPTIEESLNFSDFSSAAELEVLGLERLKSELQSRGLKCGGTLQERAARLFLLKSTPLDKLPKKLLAKK >OIV95876 pep chromosome:LupAngTanjil_v1.0:LG16:4265932:4267305:-1 gene:TanjilG_06852 transcript:OIV95876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFFNSVFSDQPDSPQSPQSPQSETDPLEPDHSDPDETNTAWSFGGLIQTLASKSESVIENYRRDIEEFSSGLKKETAVIREAASRAVMDLPTSLDVGASVAQESLETVGQAIDDIGSSVWKSTAQIIAHGRDSLIAPDFDSDSFDSNNNDSGSDIVKKRLGSSSSLDLKRYSRFDTLVRSIQSDVNTYVEEPEDLGNYNEWKLGFELDEKREEIVDLLEENGVVEEIYEKVVPDRTDHESFWSRYFYRLHKLKQAEDARAKLVERAISGNEEEDLSWDFDDDGDDDGYEPKGNSSGVKELKEEDGGEVGSVEKDLKIESDGKDVVAVGSQSDGDKLVEVNYDENVESNVSVTVSGSADKLDVKDEEKEALEVKTDNDNSGSCKDSDISVVSSQPSMLGEEDIGWDEIEDIESNDENKGDSGGSTSRVDLRKRLSVGEQDEEDLSWDIEDDDEAVKQ >OIV95153 pep chromosome:LupAngTanjil_v1.0:LG16:18230263:18238875:1 gene:TanjilG_21543 transcript:OIV95153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMKSIEEPDVGISCYISNLPGFRGILKQRYSDFIVNEVDRDEQVVHLTSLDPPAEEPKSVQENGTKASDSTIIDYSSQIESFKSLAGDSDAARLEELINQINSGGDDSVPPIVLSPDYDKSHRTAIHNFFKENLKFLVTDVVDGPDASSKCIRVRSKSEKGRNSKKRKERGGKPFDSRGSEDWPENVGKFLRFNLYKENKDTQEALGVIGNMLSVQPRSFGFAGTKDKRAVTTQRVTVYKKQASKLASLNKRLIGIKVGDFCYVNEGLLLGQLWGNRFTITLRFIFVPRALTYFLRGIVSDTEDTIKASADALGKRGFINYFGLQRFGSGSVPTHLIGAALLRGEWEVAVDLILDPRDGDILFIARKYYKGSDDVEGTLKQLPRFLVAERAVLQSLKKSPGNYQQALKSIPRTLRMMYVHSYQSYLWNHAASMRVQKYGTEQVVLGDLVYSKEIPNGKVMELVEPECVDDCSATYDNDNNNNEDEVSGDIHDEKNSNVKVVTSEVLNSGCYTIDDVILPLPGSRVIYPTNDVAKVYHDLANKDGISLTESVHNLKEFSITSMTGGYRKVFQKPINFEWELLTYTDCNKPLAETDLDKIGKSVDAPNGKSEDASDSMKQLESSDDGAKVEPDGTEIADDEGTVPQDGSVCNSSSQDSQIALKLSLTLPASCYATMAIRELLKTSTSVAYQKTLN >OIV96150 pep chromosome:LupAngTanjil_v1.0:LG16:1009518:1011497:-1 gene:TanjilG_13082 transcript:OIV96150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGIFKEKLVSAPKELNSPASLNSSTKHKLPHEILQDFMSFNSSNAFYMSFGNDAVLAHSSLNKSFIHHRLFSGVENIYCVFMGSLHNLNKLNKQYGLSKVTNEAMFITEAYRTLRDRGPYPADQVLKELEGSFGFIIYDNKAETIFAASGCDGQIGLYWGIAADGSLAIADNMDLIKASCAKSFAPFPTGCMFHSEHGLMSFEHPTRKMKAMPRIDSEGAMCGANFNVDSQSRSQMMSRVGSEANFALWGSKYR >OIV95912 pep chromosome:LupAngTanjil_v1.0:LG16:1485654:1494265:1 gene:TanjilG_27016 transcript:OIV95912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPQVVWVANKDHPLKNSSSGMFKIYEDGNLVVMNEQNQILWSTNVSNVSASNSTTAQLQNTGNLVLQESTSGSMLWQSFQHPCDTLLQQMKLTMNKNNGEKMELTSWRSPQDPSIGDFFASLNRLSVPEVFIWRGEQPYWRSGPWNGQIFLGIPDMNTVYLHGFILGGQDEGDDSYYITYTYPNKSDLLIYVLSPKGILHEVYWDYEQSNWLESWSSQNSECDVYGMCGAFGSCDHSSSPICNCLRGFEPRNEEEWNKQNWTSGCVRKEPLQCERVTNGSEAGKSDGFLKIQNTKVPDFTQRLPFIDDDCRTKCLENCSCIAYAYDSYINCMWWTSDLIDMQSLSNGGTDLYIRVSYSELDEKKNTTVIVTTTVIIGTILIMACAYILWQRTTTIQEKTNQEIQKRNQMSSLSKAKLKEVFQYKFDKLAIATNNFHLTNKLGEGGFGPVYKGTLEDGQEIAVKRLSRASGQGLEEFMNEVIVISKLQHLNLVRLLGCCTDGDEKILIYEYMPNRSLDAYMFDPVGQVLNWEKRFKILEGIARGLLYLHRDSRLRIIHRDLKLSNILLDEELNPKISDFGMARIFGRSEDAANTNRVVGTYGYMSPEYAMEGLFSEKSDVFSFGVLLLEIVSRRKNNSFYEDAESLSLLGFAWKMWNDGNITSLIDPQISSPSFHTDILRCIHIGLLCVQELAIERPTMTKVISMLNSETVNLPPPKQPAFIQRQVMLDVESSHISDRLFSVNYHNGGLVDFLLQYFSDMSMVLAFCTSLDTISSSQILKDPETLSSNDGLFKLGFFTPTNSTFSYLGIWYDMAKPQVVWVANRDHPLNNSSSGMLKIYKDGNLVVMNEQNQILWSTNVSNIAASNSTTAQLQNSGNLVLQENTSGSMLWQSLQHPCDTLLQQMKLTLNKTNGEKTELTSWRSPQNPSIGEFSASLDRLSMPEVFVWRGEQQYWRSRPWNGQIFLGTPGMNTVYLSGFILGGQDVREDSYYLTYTYPNKSDLLIYMLSPKGTLHEVHWNYEQSNWLESWSSQNSECDVYGMCGTFGSCDPSSSPICSCLRGFEPMNEEEWNKQNWTSGCVRKEPLQCERVTNGSEAGKSDGFLKIQNTKLPDFIQRIPFIDDDCRTKCLENCSCIAYAYDSYIKCMWWTSNLIDMQRFSNGGTDINIQVSYSELDEKKKNTTVIVTTTVIIGTILIVAGAYILWKRTTTIQKKTNQEIQKRNQINSLSNVKLQEVSQYKFDKLAMATNNFHLTNKLGEGGFGPVYKGTLEDGQEIAVKRLSRASGQGLEEFMNEVIVISKLQHLNLVRLLGCCTDGDEKILIYEYMPNRSLDAYMFDPVGEVLNWEKRFKILEGIARGLLYLHRDSRLRIIHRDLKLSNILLDEELNPKISDFGTARIFGRSEDVANTNRVVGTYGYMSPEYVMEGLFSEKSDVFSFGVLLLEIVSRRKNNSFYEDSESLNLLGFAWKMWNDGNITSLIDPQISNPSFHTDILRCIHIGLLCVQELAIERPTMTKVISMLNSETVNLPPPKQPAFIQRQVMLDVESSHISDRLFSINYCLVFFQHLNSCITKLLL >OIV95535 pep chromosome:LupAngTanjil_v1.0:LG16:10985850:10987211:-1 gene:TanjilG_10923 transcript:OIV95535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAQNFFNDGFLLDQISWNSMISGYLRCGSIEDAKTLFYSMPEKDVVSWSAMISGYAQHERFSEAVDLFHEMQLHGIRPDVTALVSVISACTHLAALDLGKWVHAYISKNKIQVNIILGTTLIDMYMKCGCVENALEVFYAMEQKGVSTCNALILGLAMNGLIEKSLHMFADMKKSGTVPNEITFMGVLGACRHMGLVDEGRHYFSSMTLEHKIEPNVKHYGCMVDLLGRAGLLKEAEELIESMPMAPDVATWGALLGACRKHQNNEMGERVGRKLIQLQPDHDGFHVLLSNIYASKGNWGDVLEIRGIMAQHGVVKTPGCSMIEANGIVHEFLAGDMTHPHKNDIEHMLDVVAEKLKIEGYAPTTSEVSLDIDEEEKESALFRHSEKLAVAFGLIIIAPPTPIRIMKNLRICNDCHIVMKLISKAFDREIVVRDRHRFHHFKCGSCSCMDFW >OIV95672 pep chromosome:LupAngTanjil_v1.0:LG16:6631055:6646155:1 gene:TanjilG_01466 transcript:OIV95672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLFSACGSAAICYWLQDRMASRNPLKVCVCARFLLRYSMVVLFDCFYMWIYREIYRLFACEVEALKAIKISLIDPNRNLSNWDLGDPCTANWTGVLCFNDTLLDGYLHVQELQLLKLNLSGTLAPEIGNLTYMQRLNFMWNNISGSIPKEIGNIKSLQLLLLNGNQLTGPLPEELGYLPVLDRIQIDQNHITGPLPVSFANLNKTKHFHMNNNSISGQIPPELSRLPSLVHLLLDNNNLSGYLPPELSNLPSLLIVQLDNNNFGGNSIPDSYRNMSKLLKLSLRNCNLTGPIPDLSTIPHLGYLDLSSNQLNGLIPPNKLSENITTIDLSNNKLTGTIPPYFSGLQHLQKLSLANNSLNGSVPSTIWQNKTSNGTEIFLVEFQNNKLTSISGDTNLPPNVTLELNGNPLCSNDTLSQFCVSEAASGDPNGLIPANPNASCPTQACPPPYEYSVDCFCAAPLLVGYRLKSPGFSDFRPYKETFEDYLSSGLDISTDQLQFTFRWQVGPRLSMELKLFPVYVVNSSTHIFNDSELLRITSMFTGWKIPDSDLFGPYELLNFTLLDPYKDVIFTSSKSGSGISKGALAGIVLGAIACAVTLSAIVTLLILRVKLKDHRAVSKRRHSSKTIIKIDGVRSFTYEEVSSATHNFSNSAQVGQGGYGKVYKGVLQNGTVVAIKRAQEGSLQGEKEFLTEISLLSRLHHRNLVSLLGYCDEEGEQMLVYEFMPNGTLRDHLSAKSKEPPNFAIRMKIALGSAKGLAYLHTEADPPIFHRDVKASNILLDSNFTAKVADFGLSRLAPVPDLEGVVPGHVSTVVKGTPGYLDPEYFLTHKLTDKSDVYSLGVVFLELVTGMHPISHGKNIVREVNVAYQSGEIFSVIDERIGSYPSEHLEKFLTLALKCCEDDPESRPRMTEVVRELENIWSMMPESDTKKTESVTSDSGKLFSTTSSSSTTKTPFISGDVSGSDLVSGVIPSIKPR >OIV96073 pep chromosome:LupAngTanjil_v1.0:LG16:3017145:3018610:-1 gene:TanjilG_27177 transcript:OIV96073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVEVAQQVPTTVPENETIEVAKIEETTPEQPAVDEVAAPEQPATEEPKVETVEEPKVETTPAATEAPAAPETEVEVETKEVTIETTEEAEAEAEKPAPETTEEKTEELKEEPKVDEPKATTETESAPVSAVEENKPTEPEVEATVEVDAEKTEA >OIV95211 pep chromosome:LupAngTanjil_v1.0:LG16:19081090:19086086:-1 gene:TanjilG_21601 transcript:OIV95211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPRSYAVVEAAAAAAPEMKMTVPPSDTTASALDCNLTSLCDHVQIEGFNSGSFSDIIVHAMGSTFRLHRLLISRSSYFRNMLHGPWKEATAPVVNLHVDDSNVNEEAITMALAYLYGHHPKLNDNNAFRVLAAASFLDLQDLSAICTDFIISELWTSNFLAYQMFAENQDYGVHGERVRTACWGYLCQSGGVELKEALPKLSSQTLHTLLTNDELWIPSEEKRFELALYAFLAKNAHRKAKHPEHGNSGSESGTDIHYDSDNSKGKGVIDGWMDKGLETDLGKMSLKSNEKDPNAHNLVVELADFNNGLSSSNQKAEETSSTSSSYVKPTYSSNMEGPSSFGDSLSDTDGNKTSWSYVEMPVGAGAAGLAIEGSSEEGPFYHLDNNSWLVRDQSRQCFSSNSSVNGLTSSDWGRYGAPFFSWGGRVVGKRHLQPHSKENYGGHGDEYDAFFNIFEGGSLLYCNMSFEALLNARKQLEELGFPCKAVNDGLWLQMLLSQRVQEIAADTCKSCCLLSMACTCRQPFAFPHGATTTGYYMQEHNQNIMPGNVGNIYVAESSQGEGNGIFRPVRVHVRGAIDGLVGISRGTTFVPAASSPPTRFVFSRVPIGAGNRNYPQSAANDDSETRADHNGDLSGDGLTALVGLSQGGSNGTNSHGQRGYEMGQQSRMSENTSGGPSTGGIPMQMLETPEHNIGMEWENANNSSISLDLKTPLNHFPPFRFGVQFEDVHRLGDGQVKHSPETFYAGSLWKVSVQAFNDEDPQGRRTLGLFLHRRKAEITDIYRKVHMYVDSREKVTARYQLICPSKREVMVFGSFKQTGTLLPKAPKGWGWRTALLFDELGDLLQNGALRIIAVVQLV >OIV95961 pep chromosome:LupAngTanjil_v1.0:LG16:1927512:1931118:1 gene:TanjilG_27065 transcript:OIV95961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREDNPSENKSKVSKFADQNQPPKPQNAKGTNNHLKPKLASSWGANIVKGFSADKKTKLHSTIPTKKQTTSDVVIVVSNQKNPSFLPTQSRVKRSLISDLSCSTNASPRVHPIHRRQSSTDLFNELDHMRSLLQESKERECKLNVELAECKRNKSEVDELVKKVALLEEEKTSLSEQIVALTCGVSERQEEVVKVEKKDSSVQNLELEVVELRRLNKELQMQKRNLTCTLSSLESKLSCVPNSSESDIVAKFKAEASLLRLTNEDLSKQVEGLQISRLNEVEELAYLRWVNSCLRNELKNSCSALDSDKLCSPQSTVSNSGDSVSSFSDQSNSSADRFRLMKKTKKWPITSDNLSQVEFSDRLIEKNWIESEVGGSPRRRHSISGLNCSEEEVVLNKRRQSDYFVCSKEMEKESVSLSVHQSGLEIVQRPQLLASSDVEKRALRIPNPPPRPSCSTFSKTKQEGSAQVAPPPPPPPPPPPPMNFASIGSSNAGMVKRAPQVVELYHSLMKRDSRKDSSNGGLSDAPDVAGVRSSMIGEIENRSSHLLAIKADIETQGEFVNSLIREVNNAVYQNIEDVVAFVKWLDDELCFLVDERAVLKHFDWPEKKADTLRESAFGYQDLKKLESEVSSYKDDPRVPCDIALKKMVALSEKMERTVYNLIRTRDSLIRNCKDFQIPIEWMQDNGIISKIKLGSVKLAKKYMKRVAMELQAKSTLEKDPAMDYMLLQGVRFAFRIHQFAGGFDAETMHTFEELRNLASLLNKT >OIV96084 pep chromosome:LupAngTanjil_v1.0:LG16:3516254:3516892:1 gene:TanjilG_27188 transcript:OIV96084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKIYRKGTIHPSPPIITDHLAFLPAAILTLAAALSQEDREVLSYLISCFSSTNFSDNSRRNTTTTTGYRKITTAATGGDHVPLFHCCCFRCYMSYWVRWDTSPSRQLIHEIIDAFEDWLAQQKNMKSNNKGRNGKKEKRNNKKGLNNKKQTELNRTELTGLVNNESLKLEALQKNSNNRSKSGEEVEVEDKGSVRRFVSFIRESIWGAWGQ >OIV96149 pep chromosome:LupAngTanjil_v1.0:LG16:1003804:1006561:-1 gene:TanjilG_13081 transcript:OIV96149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCCCFRSTSTKKDTSSSHTPKEIHGHPLNNVRHFSDKELISATDNYHLSNKIGRGGFGTVFKGTLKDGRQVAVKTLSASSKQGVGEFLSEIKTLSNVKHPNLVELIGCCVQGPSRALVYEHVENGSLDSALLGTRSANIQLDWKKRSAICVGTAKGLAFLHEELVPHIVHRDIKASNVLLDRDFNPKIGDFGLAKLFPDDITHISTKIAGTTGYLAPEYALGGQLTMKADVYSFGVLMLEIISGKSSSKTNRGEPHKLFLEWAWQLYEEGKMLELVDPEMKEYPEKEVIKYIKVAFFCTQSVASRRPLMTQVVDMLSKEIQLNDKQIAAPGFFMDSRESSLKKSPSDSIIAQFSNSSVSITQVTAR >OIV95091 pep chromosome:LupAngTanjil_v1.0:LG16:17640005:17643181:1 gene:TanjilG_21481 transcript:OIV95091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGIEHRTVKVNGINMHIAEKGEGPIVLFLHGFPELWYSWRHQIQYISSQGYHAVAPDLRGYGDTDAPTSITSYTCFHIVGDIIALIDSLGVENVYLVAHDWGALIGWYLCLFRPDRIKAYVCLSVPYMPRNPNVKPVDGMHAVYGDDYYICRFQEPGKIEAEFAKADTEEIITTFLTVRKPGPPILPKEGIRSTPNVPNTLPSWLTKEDITYYASKFNKSGFTGGLNYYRNINLNWELTGAWSGAQIKVPVKFITGDLDVVYTSLGTKEYIEGGAFKKDVPFLEEVVIQQGVAHFNNQEAAEDVNNHIYEFIKKF >OIV96188 pep chromosome:LupAngTanjil_v1.0:LG16:392966:405068:1 gene:TanjilG_14865 transcript:OIV96188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRHSSSSSAKKYDVFEFNEEDERVEKTSQEILGKFANPSTHSPIVKYHFLKAFARGSETPLKNVATSDDPIDLDNEVSSDSDEEEAKRSPEKVSNKNKPLEVDDDDGNGTAADVDHEVRYANSWGMDTPLGECADKEITGFNDSVESDFDLKNQSTEVVSDSEDDDDLSQINSSTSITSKDGVPLEDQLVEQHDSIAFDIWLLSHICTSVVCISFGQMHHGFSRPPLGQPLELHLTTIFDALPHTVSWNLPKLTFSQARVRVQASFWVLLHYYSPASLFWPSPVLFVQDNIKKVVVVFPDFIQYEDLYATRSRLIFSWSSLKLEGSTINGAGGTFKLEWACEDITKIESHWLGKVETAMINLLLKSKGSTEAGNINKNLGCKQLRFAVYDPSWSKAEEAIKLLDMRYTAIWSTIFDIDTDKNGGVSPLEQYHHLSQKHYFPIFDEAFEEVIYPKGEPDAISISKRDVELLRPETFINDTIIDFYIKYLKNKIPDHKQDRCHFFNCFFFRKLADLDKDPSSACDGKAAFQRVRKWTRRVNLFEKDYIIIPINYSLHWSLIVICHPGEVVCFKGEFKESSKVPCILHMDSLKGSHKGLKNVFQSYLCEEWKVRHNNVADDDVLKFLHLRFISLELPQQENFYDCGLFLLHYVERFLEEAPVNFNPFKIMTKFSNFLSSNWFPPPEASLKRSHIHNLIYNILDDYSPKAPSADCLDKDHSSEEPATNKHMEADSQGGSSLPEMCHGKNPSISTTEQETNILFPATSPLRVASSSREPGLVFTNIQGALVNSGSSNCLQMSTCYQMNFMSPIEEIEESGGGSALSLEILNSQATIMASDLPTMSYISGDLKAPETSLQGMSENFVEPVGGHSCSRASTSIPWNTLQTGAHEDQPIKKTEWWSYTVDNTDAVEYLSTSKMEVVDVVQDSQELNEVHVVDVGVKSHSSFQGSINSVSHQIVDLENSMNVGDDTLISKEEPLTSKTVKCDSKSECRSKREMPSLYPHVNGILYALKDKGIHIAIASRSPTSDIANAFLNKLSIKSMFVAQEIFSSWTHKTEHFQRIHTRTGISFNSMLFFDDENRNIQAVSKMGVTSILVGNGVNLGAFREGLTRFSQNWNASQKNKQKWVTKYSKKEADTSNHDT >OIV95479 pep chromosome:LupAngTanjil_v1.0:LG16:14187867:14188679:-1 gene:TanjilG_23922 transcript:OIV95479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRKKKDSDSDEDDIFYYRYCSSSSSNQNLNQNPSQCHSQSQSQSQSQNNNNKGSSALAPSKSTVYVSNIDYSLTNSDLHTLFSTFGRIARVTVLKDRHTRQSRGVAFIQFVSRDDACSAASQMHQKILNGRTLTASIASDNGRAPEFIKKRVYSDTGRCFECGESGHLSYDCPRNQLGPRERPAPKRVRRGGGRGGGSGGRGFQRNEEDDEEEEEEDVRGGERFEDDNWASVVDDKADERLLERNEKVVAKKGKKVGYFSDESGDEDDE >OIV95089 pep chromosome:LupAngTanjil_v1.0:LG16:17629458:17631366:1 gene:TanjilG_21479 transcript:OIV95089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWEQRLYELISRSGRKLLQSAPDGVNVRQVVVVNQDGSGDFITINDAVAAAPNNSVSSNGFSVIHVVAGVYEEIVSIPKYKQYLMMIGDGINQTIITGNQSVVGGSTTFNSATFAVVAQGFVAVNITFRNTAGAINHQAVALRSGADLSAFYSCSFEGYQDTLYTHSLRQFYRNCDIYGTIDFIFGNAAVVLQQCNIFLRLPLHGQFNAITAQGRVDINQNTGTSIHNCSITAADDLAASNDTTQSYLGRPWKQYSRTIYMQNFMDSLINPLGWKEWSGDFALDTLYYAEFDNEGPGADTSNRVTWSGYHVINNTDANDFTVSNFIFGDAWLPITGVPYYGGLL >OIV95206 pep chromosome:LupAngTanjil_v1.0:LG16:18975243:18991459:-1 gene:TanjilG_21596 transcript:OIV95206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQVPAMLDEKEVIVTISNRYLYEKVHPLDPQEVMHKMKQESRRFSGAAKYEDQKKSLGEEGQRISSIKCFKDILEGTHSKTPASVDSFRDKMDLENMNDREFHERTRITKTDDLVNKTFNWNLNQCTGSPHTPQYSMQEQLDNGKFVNFGSRRFTMSIEGVTLSISNNRDKTRNPHFNCHVEDALFEEALKPLKFHLFDHVTFSSASEDSLNHKNYEESSGAELQSLGNFNKVSSHSRQYPVQQLSGSGLTEVEFSELLYSKALGKSGEKDTVDIASIYESLTSSSGNTYASLEDVLLDELLIVISTSKDEREIRASVSTLTTIISRNKSVIEDIKKKGLRLCDLASALKQNVREAATLIYLINPSPIDIKTLELLPILVDIVCTSHSHKSKQESLLMTLHAASLMIIEELVTSFDYATNNMHLAAISSPQILGGLLEVARNDNLEDFFSLTTILIKCMQFDAQRRKPSAITLLQRIQQEGGVNVMQILMRCAHQLQPDHQLLAANILLQLDTLNSPHKSLFREEAVQILLRALASEESSEKILSASILSNLAGTYAWMGEPYTAAWLLRKTGLTSPYHQNMIRNFNWLDQSLQLVNESRVVRDIFKGKHAKCITGAKGKLYIGCTDSSIQEYSATHNRELEIKPSTRSWRKQSKPIHSVVTYRDWLYSASRQVEGSTMATELDPVEPQSLKKLSFKSLKRALDLFSPVRAQLPPPDAESKKIRINHKLSVEYGGIKSTTNPPPSQVNSTTQDRSQQPGPSNALALPGSGDYKDPLKGGPQNALVVGPTIPSTAPHDPGFTSKNTIVASGSGSSERNLSTSALMERMPSKWPRPVWHAPWKNYRVISGHLGWVRSVAVDHSNTWFCTGSADRTIKIWDLASGTLKLTLTGHIEQVRGLAVSNKHTYMFSAGDDKQVKCWDLEQNKVIRSYHGHLSGVYCLALHPTIDVLLTGGRDSVCRVWDIRSKMQIHALSGHDNTVCSVFTRPTDPQVVTGSHDSTIKMWDLRYGKTMLTLTNHKKSVRAMAPHPKDSQQKTIINAMAVNEDGVMVTGGDNGSMWFWDWKSGHNFQQSQTIVQPGSLDSEAGIYAITYDATGTRLITCEADKTIKMWKEDESATPESFPLNFRPPKDIRRF >OIV95937 pep chromosome:LupAngTanjil_v1.0:LG16:1709629:1714435:1 gene:TanjilG_27041 transcript:OIV95937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANGQLFSRTTQALFYNYKQLPIQRMLDFDFLCGRETPSVAGIINPGSEGFQKLFFGQEEIAIPVHATIEAACCAHPTADVFINFASFRSAAASSMAALKQPTIRVVAIIAEGVPESDTKQLIAYARSNSKVVIGPATVGGIQAGAFKIGDTAGTIDNIIQCKLYRPGSVGFVSKSGGMSNELYNTIARVTDGIYEGIAIGGDVFPGSTLSDHVLRFNNIPQVKMIVVLGELGGRDEYSLVEALKQGKVAKPVVAWVSGTCARLFKSEVQFGHAGAKSGGELESAQAKNEALKDAGAAVPTSYEALEAAIKETFDKLVEEGKITSVKEFTPPSIPEDLSSAIKSGKVRAPTHIISTISDDRGEEPCYAGVPMSSIIEKGYGVGDVISLLWFKRSLPRYCTHFIEICIMLCADHGPCVSGAHNTIVTARAGKDLVSSLVSGLLTIGPRFGGAIDDAARYFKDAHDKALTPYEFVESMKKKGIRVPGIGHRIKNRDNKDKRVELLQKFARTHFPSVKYMEYAVEVENYTLTKANNLVLNVDGAIGSLFLDLLAGSGMFSKQEIDEIVEIGYLNGLFVLARSIGLIG >OIV95690 pep chromosome:LupAngTanjil_v1.0:LG16:6881142:6885178:1 gene:TanjilG_01484 transcript:OIV95690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVANSRNHSSKRKFNDVVSDPPPPSSSKSNGSTNNNNIDLSLLEAIEKSQTAVEALDLRTLKKLVLSFERRLKENIEARLKYPNQPDRFADSELELHEELQKLKILAGAPELYPDLVSLNVVPSVVDLLSHDNTDIAIDVVQLLQDLTDEDVLDENDEPARVLVEALVENSALELLVQNLHRLSDSDPDEMSAVYATLATVENLIEVMPSVAELVCEKTKLMKWLLGKIKVREFDGNKQYASEILAILLQSSTANQKRLGQMNGVDVVLQAVAMYKSKDPKSSDEEEMVENLFDCLCCLLMPLENKERFVKAEGVELMIIIMKQKKLAYGSAIRALDFAMTKYPPACERFVDVLGLKTAFAAFMGGILRGSRRERLLSKFVENECEKIDRLMELYLRYSDRVKAESERLNDIELDDLEMDEDEKYNRKLESGLYTLQLLAVILGHLWCSEHQQMRGRIELLLRQNKLSKKHIKDILQEYHDNIGDIDGPEEKERAQTKIQKFLMAL >OIV95763 pep chromosome:LupAngTanjil_v1.0:LG16:5019200:5022099:1 gene:TanjilG_05311 transcript:OIV95763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLYLLCSIVFTSITSIFLSFLLPFHALLRRWISPRATLSSTTDGTEPISLYEGTVWHQRRHPVHHSFQYHVRYALIDLDRAPHAPPDHISADEARQITDTNGPILLLTIPPSVGYEQNPLSVYYCYDVEGSTKHLKKCIAEVTNTPWGERVSFIFNPHTDLVAKALHVSPFMDMLGSWNIKTNDPISGENLTISISVHHPEHGNYFTASLKAKKLCSSSQSDHAFFFWLMPHKVAVWIYWHALKLWWKNVHFVQHPRYTTPTYRDEAITRDQKLQCCGLSNDYRNLQQRGGNQSRLAENGSTNRLFRWRDAKWPWS >OIV95656 pep chromosome:LupAngTanjil_v1.0:LG16:6374387:6374866:-1 gene:TanjilG_01450 transcript:OIV95656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGKKVKLAFILNDSARKATYNRRKKGLLKKVNELSTLCGIEACAIVYSPYEHQPEVWPSPSGVQRVFAKFNNIPESEKSKKMVNQEAFLNQRILKSGKQAKNLRRENRKLEITLLMFHCLSVGNILHNNMSTIDLNDLAWLIDQNLKEIDRKIETLEN >OIV95271 pep chromosome:LupAngTanjil_v1.0:LG16:17349944:17351651:-1 gene:TanjilG_07427 transcript:OIV95271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHTSVRGEDSKIDIESGLVVNEDDSKKVSTLCKVKQGRIFFAEIYCGLDGKGEDKLTVCCNESNMSRVSMNAVKETNKLLEGQDSVDCADKTSVKGKGKKFSHKKAPKPPRPPRAPSLDSADQKLIREISELAMLKRARIARMKALKKMKAAKSSPSSSSSMFATIFTVVFCIVILLQGMSSGKSSTTFRGSPAPTDGAEGGLVAAQHRLNPSSSHSTAPDNFVQKITGSDLPEKLRRGAA >OIV95027 pep chromosome:LupAngTanjil_v1.0:LG16:20727259:20729942:-1 gene:TanjilG_10847 transcript:OIV95027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQQQQQHLMQMQPMMAAYYPNNVTTDHIQQYLDENKSLILKIVESQNSGKLNECAENQARLQRNLMYLAAIADSQPQPPVMSGQYPSSGMMQQGGHYMQAPQMTQQQLMAARSSLLYSQQQPYAALQQQQQGIHSQLAMSSGGASSGHHLLQSEGGNVGGGIGHGGIPDFIRSSGSEGLQLHGGRGMIGSSKQDIGSSGERGGSSGEGGESLYLKSAVDDAN >OIV95584 pep chromosome:LupAngTanjil_v1.0:LG16:9193205:9196304:-1 gene:TanjilG_23815 transcript:OIV95584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFIGTQQKCKTCEKTVYPVDQLSADGTAYHKACFKCSHCKGTLKLSNYSSMEGVLYCKPHYEQLFKETGTYSKNFQSPAKLADKATPVLTRSPSKAASMFSGTQEKCATCAKTAYPLEKVTVEGQAYHKSCFKCSHGGCPITPSNYAALEGILYCKHHFSQLFKEKGSYNHLIKSASIKRAAASVPES >OIV95775 pep chromosome:LupAngTanjil_v1.0:LG16:4687481:4692358:-1 gene:TanjilG_20225 transcript:OIV95775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGELVLSSAAASPPPAEITDPSEFHSLISDEEHHHDLDHDHEEHDHEHDLDEEQQVHDHVVDNDVSLDDLKIKILKQVEYYFSDENLPTDKYLLGYVKRNKEGFVPISVIASFRKMKKLTRDYSFIVAALKESSLLAVSGDCKRVKRLNPQIQFRDNKLYTVLVENLPEDHSKENIHRIFNEAGNIKRITIHDPRPTAEAAKHIKQEKFISNKLHALVEYETIEAAEKAVAMLNDEQDWRNGMHVKPLKRMGKQGHSKQTWKASTAAEKNSSSHVSEHKGDEGNHSSNEHHEDTHDEEDGEHLPKDTGRQGYRNQGRSRKHKYRAINGMGHGSNPSTHAAEASKPPPGPRMPDGTRGFAVGRGRPPVPPPN >OIV95774 pep chromosome:LupAngTanjil_v1.0:LG16:4736536:4740239:1 gene:TanjilG_20224 transcript:OIV95774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSCTKNFFQRFWIDEFQMGSYAHSSFLSNDLLPSLGARISQETTLRRYIISPFGPRYRAWEMLLVVLVIYSAWICPFEFAFLPYKPDTLFIIDNIVNGFFAIDILLTFFVAYLDSHSYLLVDDPKKIAIRYLSTWFVFDLCSTAPFQFFSFIFTNHSSSLGFKVLNMLRLWRLRRVSSLFARLEKDIRFNYFWTRCTKLIAVTLFAVHCAGCFNYLIADRYTDPKRTWIGALYPNFKEVSLWDRYVTAIYWSIVTLTTTGYGDLHAENTREMVFDIAYMLFNLGLTSYIIGNMTNLVVHWTSRTRNFRDTIRTASEFASRNQLPHQIQNQMLSHICLRFKTEGLKQQETLNDLPKTIRSSIAHHLFFPVVQKVYLFQGVSHDFLFQLVSEMEAEYFPPKEDVILQNESSTDMYVLVSGTVVLGKALAVDSFGEVGVLYNIPQPFTVRTTELSQILRLNRTSLMNVLQAKPGDAQIVMQNLIMKLKGHEALGFECPDTDPGLVLHEELDGGNTRSSSNACTNHSHEHSPMQEERYKNLRNSEANTLNVTSEDGFITKHDMIPRDGKRDLHADSHTDNLEMVEILLERDANKKMANAVGWTQEAVLEKLKKKSISGKIMSCENEKISDEHRIDFVEPEILNLDRNDSTRNRKPDGIRSIKKTYSNSYSRNSNCSNDREATRFIKKRVTIHLRCGCMGTSSGQHGKLIILPDSLEELLKTAGGKFGGFNPTKVFNTENAEIDDINVIRDGDYLFILCSNCEKLTS >OIV95582 pep chromosome:LupAngTanjil_v1.0:LG16:9256775:9258712:-1 gene:TanjilG_23813 transcript:OIV95582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDRESRGGAERRKWGGTWRPLEDSNARNQFHGAKEGNREFLLQEKSNPFKPNRYLKFVQLNSITFFFTNIPDSHGTGEMWALFSKWGKAGEVVIPPKRDKKGNRFGFFIFTNQDRVGKLEKELANVWIGNFKRADDGVVVSDSVESEDGETWPEVNSGWGEYVGQEEDKDGVVFLRARTHMEQIRGHLVVQKLNLLYKLCSLGKVKKNKKCCRRLRRGEEPVFVANQYGMRLESEAHSDNSLVVRKNMALSMKKGKNVSPDYSSRINHVESHSPTAHVEFISPNVHVESFPRPFFSLKLQKCGFEKAHLPSK >OIV95358 pep chromosome:LupAngTanjil_v1.0:LG16:16356851:16357510:-1 gene:TanjilG_07514 transcript:OIV95358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFTNSLLLVVSIAYLFLSTNAVPTTRSVIPSYNAQTPSGSRVGSLIGISTIFKNSAKATHQIIRVSPRIILFCKNTENPALCVETIAPYLQGEFNPIVALETEIKATLNQSLKISNIIAQSLAYSSNEAKAALRICKSQYRNIVDTIREAAELLNQQNVVDAYYKFSSVMADRTYCEDAIAKCHGVENPFAEDSQIIYQLGGNCLAILDGIVNSSLNF >OIV96019 pep chromosome:LupAngTanjil_v1.0:LG16:2409963:2413193:1 gene:TanjilG_27123 transcript:OIV96019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHSAEPSSSLSFTSSLSNGSVNHNMCTSYGTDPGLNLDVISLSKLSSNLEQLLVESDSDYSDADIVVEGISVGVHRCILASRSKFFNELFKKEKNSSEKEGKLKYFMSDMLPYGKVGYEAFNIFLGYVYTGKIKPSPTEVSTCVDNVCLHDSCRPAINFAVELMYASSIFQIPELVSLFQRRLLNFIGRALVEDVIPILMVAFHCQLSQLVTQCVDRVARSDLDWISIQKELPFELSENVKLLRNPKKDVENDDPPVVDTISLKRIVRIHKALDSDDVELVKLLLNESEITLDGANALHYAAAYCDPKVVSEVLSLGLADVNLRNSRGYTVLHIAAMRKEPSIIVSLLTKGAFASDLTFDGQSAVSICKRLTRPKDYHAKTEQGKETNKDRICIDVLEREMRRNPLAGDASISSHTMADDIHMKLLYLENRVAFARLLFPSEAKLAMDIAHAETTSEFAGISAPKGSNGSIREVDLNETPIMQNKRLLSRMEALMKTVEMGRRYFPHCSEVLDKFMEDDLLDLFYLEKGTREEQGVKRKRFMELKDDVYKAFTKDKAGFSRTGISTSSSSSSLRDSVHYKARKV >OIV95751 pep chromosome:LupAngTanjil_v1.0:LG16:5168552:5171269:-1 gene:TanjilG_05299 transcript:OIV95751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILKSLILFFIVFYSFLFFCDADSSTNCNRTNPLVDLEFEFKMFQHQLRGYIKIIDDCSFRISQFDMLSGSDVHWWGAVSSDFGNLTNGFVVSDHKLNDTYANSSFVVRLFDNVTWSMINVLSVWDLPTASDFGHVEFANVTALANSSAPSPSSDGEKENHVVRVQTEPTMFENCKVLSKKFRVRWSLNLEEDSIEIGLEAATGIMNYMAFGWADPKSTGSELMLGADVAVTGFKEDWVPFVEDFFISKYSECMKNSDGSVQGVCPDSAYQGSDRVGLVNDTQLIYGHRIDGVSFVRYKRPLSKVHGKFDHPIDHLANMTVIWALGKIRPPDTIQSYYLPQNHGSLPFETFGHLAINVSDHVNDCVGPLDAEDKEDQDLIIADGKVPLVVTSGPALHYPNPPNPTKVLYINKKVAPVLRVERGVPVTFSIQAGHDVAFYVTSDPIGGNATLRNLTEIIYAGGPQAEGVKASPTDLVWAPDRNTPDRIYYHSLYEKKMGWRVEVVDGGLSDMYNNSVVLDDQQVTLFWTLSKDSISIAARGEKKSGYLAIGFGSGMVNSYAYVGWIDDKGAGHVNTYWIDGKDASSIHLTHENLTYVRCKTESGIMTLEFTRPLNPSCSWEDRPECKNIIDPTTPLKVVWAMGSRWTNDHLNEKNMHSFTSSRPILVLLLRGSAEAEQDLLPVLVVHGFMMFFAWGVLLPGGILAARYLKHLKSDGWYKIHVYLQYSGLSIVLLALLFAVAELQGFYVNSAHVKFGFAAILLACIQPMNACLRPQKPANGEQASLKRVVWEYFHIIVGRSAIVVGIVALFTGMKHLGERYGAEDVHGLNWALIIWFLLGALAVIYLEYCERARQRISRRVLERSNWVLGNLEEDDSVDLLRSTRMPADKDPQTSAVMEVQLEPLNR >OIV95334 pep chromosome:LupAngTanjil_v1.0:LG16:16622767:16626206:1 gene:TanjilG_07490 transcript:OIV95334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEKILGSDQISMNENDFLELGCENGEIVVKGGSSNITQHNPSCNNIGYSTINTSHKDEATYTTKRTKLNTLCSFLNNHFPPHNDFNLSSSHLHQTNDQNDLKFSQNNNSFNKLEEDFATIKHEKDSPSSEPNSKKLKYMGNCDQRKVQKVNNFSNFLVPKVFLKSTKPIRNETSAKLEEIEVVKGSKGIKGFHDHETSLTTNDKSNDHVSLVVGRSDEKPQLDDENSEAVAIVRAKGKAKSSLCDEPLFSSSPVWSLEASNDPKFCIMKHEDSDESTYSSRDNDEETEDVVVKETPAQEGNNVKRKRNGETYNLCEKNHRDIMNKKMRILKELIPYCNKVDKASLLDDAINYVKSLKLQLQIMSMSNGLCMPQMMLPQLMGTGMGFTPSTTINPWTPQQLPIPSLSSIRDNNTLQNMFGAFSNQMLQIPPIPHHVPNFMPMMIGNNSSLQQLIPNTTPTNMLKHLPNSSLTTLDVSDLHAKAELCGTNQAQNQTPFNHIPSYYPFYFATNKEENK >OIV95387 pep chromosome:LupAngTanjil_v1.0:LG16:15529757:15534773:-1 gene:TanjilG_06256 transcript:OIV95387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEEAFYSFRSHSQPPSPLGGGNKYANGDEQFQETELFEDTLVINNSPFTENLNLNTELVEDSDPEENMTSGAICEYEQEVVLDSEDEEMENGEVVTVAKGLIEDESSPTLRSPLMRFHKRQPKPSLGQAVSYGTTPEKYAIGDKEVLNGADRFYDNSHLYLPARLNHIHSSSPENSTQDALGFVDRYLSSNSVDLFQGVQHRKGTRVKSPYVPSARGSLSLAKKIKVRTLKEEIEPFKLVESDQNDKGAGIFCKNIEASSNFKSYRETYTRRQKKGAHLQSQGNCSTGNGCQEKLVQGPGIITENDNPIKELDVQSSGTRENVDAYSSVTNTEDMPDIGLDTQIAAEAMEALAYLSPSGNHFNDAHQPANALDGSLSDLTENGSHLGISANRQKPGLQSITIKSNKRDVSSCSFSTLTSSSCKNTDNQDPNLASRKMKRIMESKSNIEGHFENNTSSPISCENVSFEQGCSPGEYKNFQRAAKEQKNWNNGSTWTRIKDPLSHHTWRNNSVKEEGIIRHKRKENGLVNDSLTLDVRTKYLKLPSNSCGVTRKSRLNHQVQTSPQLSACSSLLRIDSWLYPKRPRGKRKRVNVQTNLDAPTILCIDGKENVLSTRNLEGQDDVDESCLPPTHPLLKISSIENGECLLQWDSVQPGLPGDAMTFQNMHDKHPLLLAHVEISSKKSIAKQSMKNPALDTSTEGVNISNAKQTYNGYKKRPRNKNLPKSPLFKELNRLGVSEFRQDLTWKDLRQRRDMKYVRVLFSQHLDDSVIKQQKKILARFNISIASSLMEATHFIADKFTRTRNMLETMALGKFVVTHLWLESCGQANCFIDEKNYILRDVQKEKEIDFSMPVSLIRAREKPLLKGKRVYITPHVKPDKEVLTSLVTTVHGQVVDGSQICPDKNDKIPDDILILSCEDDYAICHHFLNRGTAVYSSELLLNGIVIQKLELERLISKNQWVKVDLKKPMGPRLRCWRTSATLHLLKHAT >OIV95252 pep chromosome:LupAngTanjil_v1.0:LG16:19809299:19809988:-1 gene:TanjilG_21642 transcript:OIV95252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAEAILNLFDYYWFGLKNLNEHPSSSASTNSHENSDHINIEEPFEPRLSTIQTNHTRSMSDQLNSMTCFNDHDFFSPKLQTILSGKDVTNIVDEKHAKVQLEVLLPKKKNIAIKGRKKRENKSLSDLEFEELKGFMDLGFVFSEEDKDSSLVSIIPGLQRFGKNGEVEEEDCDVSEISRPYLSEAWKVQERRMKENPLRNWKVPVLNNENDMKHSLRLWAHTVASTVK >OIV96030 pep chromosome:LupAngTanjil_v1.0:LG16:2505661:2518319:-1 gene:TanjilG_27134 transcript:OIV96030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMDKSSALEYINQMFPNEASLSGVEPLMQKIQNEIRTVDAGILAAVRQQSNSGTKAKQDLAAATCAVEELMYKIREIKTKAVQSETMVQEICRDIKKLDFAKKHITTTITALHRLTMLVSAVEQLQVMASKRQYKEAAAQLEAVNQLCSHFEAYRDIPKIIELREKFKNIKQILKSHVFSDFSSLGTGKETEETNLLQQLSDACLVVDALEPSVKEELVNNFCSRELTSYEQIFEGAELAKLDKTERRYAWIKRRMRSNEEIWKIFPSSWHVSYRLCILFCKKTRKQLEEILSNLKEKPDVGTLLLALQRTLEFEDELAEKFGGGTQNREIGNEIEEIGRGVNSSTNASDIRKKYEKRLAAHQGTDSEEKDGSKDLAVPGAGFNFCGIISSCFEPHLTVYVELEEKTLMESLEKLVQEETWDIEEGSQNSILSSSMQLFLIIKRSLKRCSALTKSQTLYNLFKVFQRILKAYATKLFARIPKGGTGIVAAATGMDGQIKTSDRDERVICYIVNSAEYCHKTAGELSESVSKIIDPQFADGVDMSEVQDEFSAVITKSLVTLVHGLETKFDIEMAAMSRVPWATLESVGDQSEYVNAVNLILSTSIPALGSLLSPVYFQFFLDKLASSLGPRFYSNIFKCKQISETGAQQMLLDTQAMKTILLEIPSLGRQASGAASYSKFVSREMSKAEALLKVILSPVDSVADTYRALLPEGTPMEFQRILELKGLKKADQQSILDDFNKHGPGIKQTQITPSVAPAPPVAPVVPSPNVAGLIASREDVLTRAAALGRGAATTGFKRFLALTEAAKDRKDGPFRKLFNP >OIV95716 pep chromosome:LupAngTanjil_v1.0:LG16:7428692:7434573:1 gene:TanjilG_01510 transcript:OIV95716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLGSLASRAMRPTSRFLLNSSNPNNIFLRTIVSKSELNKPEPSAAPEPPQADLPPRTPVAGARVHFTNPDDAIEVFVDGFPVKIPKGFTVLQACEVAGVDIPRFCYHSRLSIAGNCRMCLVEVEKSPKPVASCAMPALPGMKIKTDTPVAKKAREGVMEFLLMNHPLDCPICDQGGECDLQDQSMAFGADRGRFTDTKRSVVDKNLGPLVKTVMTRCIQCTRCVRFATEVAGVQDLGILGRGSGEEIGTYVENLMTSELSGNVIDICPVGALTSKPFAFKARNWELKGTESIDVTDAVGSNIRIDSRGPEVMRILPRLNEDINEEWISDKTRFSYDGLKRQRLNDPMIRDPDGRFKAVSWRDALAVVAEVAHGVKPEEIVGISGKLSDAESMIALKDFINRIGSNNVWCEGIGVNTNADLRSGYIMNTSIAGLEKADPRVEAAMVNARIRKTVRASQAKVAYIGPATDFNYDHEHLGTGPQTLLEIAEGHHPFSKTISNAKNPVIIVGGGIFERKDQDAIFAAVETIAKQGNVVRPDWNGLNVLLLHAAQAAALDLGLVPQSEKSLESAKFVYLMGADDVDLDKIPSDAFVVYQGHHGDKSVYRANVILPASAFSEKEGTYENTEGCTQQTLPAVPTVGDSRDDWKIIRALSEVAGVRLPYDTVGAVHARIKTVAPNLVHVDEREPATLPSSLRPAFTKNVDSTAFGTAVENFYMTDAITRASKIMAQCSATLLKK >OIV95081 pep chromosome:LupAngTanjil_v1.0:LG16:17558330:17560115:1 gene:TanjilG_21471 transcript:OIV95081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDREGGGSNGGSCYYTVLGIHRDASSSDIRTAYRKLAMKWHPDKSARNPATVGEAKRRFQQIQEAYSVLSDESKRLTYDAGLYDPLEEDNQEFCDFMQEMISMMNNVKDEGDSLEDLQRMFMDIVGGDDMNFELNQDRTAGKRGRVDGSMGTASKRTNSCC >OIV95927 pep chromosome:LupAngTanjil_v1.0:LG16:1638338:1638646:-1 gene:TanjilG_27031 transcript:OIV95927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNIRSLRNLLGDLEGEEAPQRSTKYGTNHGTRDSFNNYGLGNQSLIGANINNGDNSGNHYNRYSYDNHGGNSINNSGTFNGNGNGGNVAGNFDGSTRNYY >OIV96250 pep chromosome:LupAngTanjil_v1.0:LG16:37741:41444:-1 gene:TanjilG_14927 transcript:OIV96250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPFHFVTGSVFPDLKNRLFLWSFLIMVSLICGAYFVGYSFTAKEYKQRQCWPTGSEPLPEGIVAKTSDLEMRPLWDSGIKSRISKRPLNLLAIAVGVKQKEIVNRIVEKFPPSDFVVMLFHYDGFLDGWKNLAWSNHAIHVSAINQTKWWFAKRFLHPDIVAVYNYIFLWDEDLLVDNFDPKRYLSIVKEEGLEISQPALDPGKSEVHHPVTVRQTGSKVHRRYYKFKGSGRCDDHSTAPPCIGWVEMMAPVFSKKSWQCVWHLIQNDLIHSWGLDRQLGYCAQGDRMRNVGVVDSEYIVHLGLPTLGGSNGNEASGLIFICSMVCPLGWLQAPSNSSDRDSDRPKVRMQSYNEMKVFEKRWREAAKMDKCWIDPYQQQQQQLNQTSL >OIV95523 pep chromosome:LupAngTanjil_v1.0:LG16:12588790:12592447:1 gene:TanjilG_18739 transcript:OIV95523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLMASSSDSWTKEYNEAVKLADDINGMISERSSFPASGPETQRHSSAIRRKITILGTRLDSLQSLLSRNPGKSEKEFNRRKDTLSNLRSRVNQMASTLSMSNFANRDSLLGPDIKPDALSRTVGLDNSGLVGLQRQIMKEQDEGLEKLEDTVISTKHIALAVNEELGLHTRLIDDLDEHVDVTDSRLRRVQKNLAVLNKRTKGGCSCLCMLLSVIGIVVLVVVIWLLVKYL >OIV95969 pep chromosome:LupAngTanjil_v1.0:LG16:1988730:1989869:-1 gene:TanjilG_27073 transcript:OIV95969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAPKQKWTAEVAALKAGVLKHGVGIRRPILKDPEFSVVLYLWSNVDLKDKWRNLSVMANGWTSREKSRDEINVLTKSQIDLELAKLRSMTPQEAAAVASREFMKRKLP >OIV95026 pep chromosome:LupAngTanjil_v1.0:LG16:20743551:20748089:-1 gene:TanjilG_10846 transcript:OIV95026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVDYQSGTVEHDDEADQIIDNSEPQQNDQVEEPPVATTGGGGGGGGGAAAAVSAEKRWPGWPGESVFRMLVPAQKVGGIIGRKGEFIKKIVEETRARVKILDGPPGTSERAVMVSGKEEPDSSLPPAVDGLLRVHKRLIDGLESEFSHAPSGVGGKVSTKLLLPASQAGSLIGKQGGTVKSIQEASNCIVRVLGAEDLPIFALQDDRVVEVVGDSTAVHQAIELIASHLRKFLVDRSIIPIFEMNMQMANPRGHHVEQHMPPHHQSWGPPQGLPHPNAGGGPGFGPTPQYMPPPRQLDSYYPQPEMPPPVEKQPHHGISAYGRDASMGVHAPSNTQSAPSVVTQITQQMQIPLSYADAVIGTAGASISYIRRASGATVTIQETRGVPGEMTVEISGTASQVQTAQQLIQNFMAETAAPTQQQAAGPPADQGYNAYPAHTSVYTSPPANPAGQVGGGYGSVYGANYGY >OIV96079 pep chromosome:LupAngTanjil_v1.0:LG16:3398264:3408575:-1 gene:TanjilG_27183 transcript:OIV96079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATETLDDNKPQHEPPFQGKNDDVAALEPKPELDEAEPAPEEEKKDDSAEVTKSEEKNVEHKFDEKTEEKEAEKLNEDDEHDDVDTAEKNNEDVEHDDVDAAEKNNEDDEHDDVDVAEKNNEDDEHDDVDAAEKDNDNDKHDDVQAVEKDNEDDDIDMVDAAEKEDEHDDVDAAEKEDEDETEDEIEEGSKTPKKGSESEALEKKGGKEKDPVTPVSDRPTRERKKVERYSIPSPSKSRSSSSSKGFTIEKGRGTQLKDIPNVAFKLSKRKADDNLHMLHSLLFGKKTKVHNLKRNIGQFSGYLWVENEEKQRAKVKERIDKCVKEKLMDFCDVLNIPINKGNVKKEELSAKLLEFLESPHATTDILLADKEQKGKKRPGKVTPNKSSGEASIETSAKKQKQTSQVGKKRKQLSDNEEDDKAQISDAKDESQEDEDVATVPNKESGDDESKSEEEEEKPKARKRTPKKIVKEGSVAKAEESTPSVKKTSVKASKSNEKTPKNSSKKKTVTGSAFASSKSKQLEPASKKQRTVKGKQDTKAKAASKKQTDKSSKALVKDQGKGKSSKKAKVAEPSREEMHAVVVDILKEVDFNTATLSDILRQLGIHFDLDLMHRKAEVKDIITDVINNMSDEEDEGEEAENDGDANKDDDDDDDGGGVLGVLCWQFVMAVRIKQKQTSQVGKKRKQLSDNEEDDKAQISDAKDESQEDEDVATVPNKESGDDESKSEEEEEKPKARKRTPKKIVKEGSVAKAEESTPSVKKTSVKASKSNEKTPKNSSKKKTVTGSAFASSKSKQLEPASKKQRTVKGKQDTKAKAASKKQTDKSSKALVKDQGKGKSSKKAKVAEPSREEMHAVVVDILKEVDFNTATLSDILRQLGIHFDLDLMHRKAEVKDIITDVINNMSDEEDEGEEAENDGDANKDDDDDDDGGGGDSDDA >OIV95790 pep chromosome:LupAngTanjil_v1.0:LG16:4552829:4558497:1 gene:TanjilG_20240 transcript:OIV95790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGFSRRRMKLGSRLKVQLSDTTQGTRSPIRQPKRNGNSNGDEAAGATSHSDEIDCQISSAAAATATAAPEISTCTSGSSENWMVLSIAGDKPSPRSNHAAAVIGNKMIVVGGESGSGLLDDVQVLNFDRFSWTTASSKLYLSPSSLPLKIPACKGHSLVSWGKKGLLIGGKTDPGSDKISVWAFDTESECWSLMEAKGDIPAARSGHTVVRAGSVLILFGGEDVKKRKLNDLHMFDLKSLTWLPLHCTGAAPCARFNHVAALYDGKILFIFGGASKSKTLNDLYSLDFETMAWSRIKIRGFHPSPRAGCCGVLCGTKWYITGGGSRKKRHGETLIYDIVKSEWSVAIASPPSSITTNKGFSLVLVQHKEKDFLVAFGGSKKEPSNQVEVLIMEKNESAHGRRQTPTQGPGSILLEKHSSSTGLTSQLKNHSSKRLIDSVARQNLASAIEHGSGRKSLSESLLVQQDSNSLPTNISLRRQFDHDEEYSGDVRIDKHSEDESSFPQAVDHRTNQNDPGNPMNISGAKMNNMEEQMLMSGSSNQHQLFGNLMLEGDDMSFPENSKSGSLSTPSNIYHYYEAKVASLIRKNGILEGQLAAASASREAAEKSLASAFRSKQEMEKKLGDTLKEMELLREKLAGVELAQEEANSLSNIVHSDNVRLEHDVAFLKAVLDDTQKELHSTRGVLAGERGRAFQLQVEVFHLKQRLQSMENRAPTPRKPFHV >OIV95251 pep chromosome:LupAngTanjil_v1.0:LG16:19796451:19800898:-1 gene:TanjilG_21641 transcript:OIV95251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQPSVILATASYDHTIRFWEAKSGRCYRTIQYPDSQVNRLEITPDKRYLAAAGNPHIRLFDVNANTPQPVMSYDSHTNNVMAVGFQCDGNWMYSGSEDGTVKIWDLRAPGCQREYESRAAVNTVVLHPNQFSLILFVIQQTELISGDQNGNIRVWDLTANSCSCELVPEVDTAVRSLTVMWDGSLVVAANNNGTCYVWRLLQGTQTMTNFEPLHKLQAHKGYILKCLLSPEFCEPHRYLATASSDHTVKIWNVDGFTLEKTLIGHQRWVWDCVFSVDGAYLITASSDTTARLWSMSTGEDIKVYQGHHKATICCALHDGAEPTTS >OIV95046 pep chromosome:LupAngTanjil_v1.0:LG16:20545441:20548569:-1 gene:TanjilG_10866 transcript:OIV95046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACVRAGTVKRLIYTASVVSASPLKDDQSGFNDFMDETCWTPLNDSLAYLSLDDFHKTLAEKHILRYGKNENGEGLEVVTLPCGLVGGDTLQSFTPGSVGVLISQVTHNENAFKSLEFLENLLGKIPIVHVDDVCEAHIFCIENDSISGRYFCASSYISSKDIEDHYALHYPEFNVKQGNVDVLKKDIKWSSTKLCDKGFVYKYDTKMILDDSINCARRVGHI >OIV95734 pep chromosome:LupAngTanjil_v1.0:LG16:5418777:5436469:1 gene:TanjilG_05282 transcript:OIV95734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVRSNLISFFFIFFLLITTTPFPSHSFSFSPYFLYKNLFPLSQSLLTGVSNLRASRGDVAGAARARAIADKLERGPGFGFWRLLWSAIWNWKNFTVMELYDIVSDMNNLLKNLNELTHLKSVAERSRWIRRNYQDVLNLFKSISHKLLKAFGNSGVVREVVETVQIEVVEAELLGFNGYIPPYAQARGRDILKGVNYASAAAGIREETGQQLGGRISFSGQVQNYQRTVSQVVNILGDENTAANYLSKCIYSVGLGSNDYLNNYFMPLVYSSSRRYTPQQYADILLQAYAQQIRTLYNYGARKMALFGVGQIGCSPNALASNSPDGRTCVARINSANQLFNNGLRSLVDQLNNQLQDARFTYINVYGIFQDIISNPSSYGFRVTNAGCCGVGRNNGQITCLPLQAPCRNRREYLFWDAFHPTEAGNTVIGRRAYNAQSASDAYPIDINHLAQI >OIV96160 pep chromosome:LupAngTanjil_v1.0:LG16:1075387:1075890:-1 gene:TanjilG_13092 transcript:OIV96160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEESKAKWEGKFIVELAENSAEQVWPYIEDFCNIHKLIPLNICYKLEGMQGQPGLIRYCANTIKGDDADTETTIMNWAKEKLLSIDHVQRCLSYEIGENNMGFKSYVATMKVVAFNEDAEVGGCKIEWGFVSDPVEGWILQDLNSFIHSNLQVMAKNIEAACSEAT >OIV95659 pep chromosome:LupAngTanjil_v1.0:LG16:6419958:6428168:1 gene:TanjilG_01453 transcript:OIV95659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIERPRKSKLPPGPKPWPIVGQLPEMLANKPASMWIHKVMKEMKTEIACIRLGNVHVIPVSCPSIAREFLRKHDAVFASRPMSNSADIISNGYLTTAFAQFGEQWRKMKKVLINDMFSYQKHQWLQEKRNEEANNLMFYVYNRCKNVDDHGLVNVRIAAQHYCGNVIRKLIFNTRYFGKGRDDGGPGFEEVEHIDSIFTLLRYVFAFCVSDYMPCLRGFNFEGLEKKVDKAIKIMKKYHDPIIEERITQWNDGSKMEVEDLLDIMISLKDANNNPLLTMEEIKWQIMDLMLAAVDNPSNAVEWALAEMINQPELFQKAIEELDNVVGKHRLVQESDIPKLNFVKACAREAFRLHPVAPFNLPHVAMKDTTITNYFIPKGSHILLSRQELGRNPKVWNDPLKFKPERHLKNDGSEVVLTEPDLGFISFSIGRRSCPAVKLGTTITVMLFARLLHGFTWSATPKVSCVNLVESNNGDMLLAEPLVAVAKPRLAAELY >OIV95176 pep chromosome:LupAngTanjil_v1.0:LG16:18426691:18432605:-1 gene:TanjilG_21566 transcript:OIV95176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFMGPTRLIGDYIVGPKIGSGSFAIVYRSTHRHTGLEIYLVLEYCGGGDLAAYIRRRGKVSEATARHFMRQLAAGLQVLQEKHLIHRDLKPQNLLLTSTSATPFLKIGDFGFARSLTPQGLADTLCGSPLYMAPEIIQNHKYDAKADLWSVGAILFQLVTGRPPFEGNSQYQLFQNILKSTKLRFPPSALRELHSDCLDLCRRLLQQKPVERLTFKEFFDHNFLKEPRSIMHVEQPSLHPSVISASNEVSQSHYGHRLKSFVDDSKVVISPPDETVLLQRSNDSVHFSKQREVSDLMESIERSYVLVDSQSESSEAFSVFSEASMQDNSTSRVSIYSSKRTNVEIGFAKQINDPSSCYADGLENSKRNEAAELASSSAFVALSKVHQISSPCPSNRIKLLHLYVQILAELSHEKYSAGVYLESFAIKLVVLAIWKKALEICNSWLASNNKGLLHGKTSANESKIPYESGNVCTSQNTEQKINFSDPSSVSLWIKQEFIVAIDCAERLSCHIQNMDAKMPDAMEIIFQKALLVGKNGAVEEYMENKDKATASYTKAMLLFSFIVEEAENLSLNPSFSLNADTKKRILQYILNLQSHQKSLSGSSSKAATKNL >OIV95756 pep chromosome:LupAngTanjil_v1.0:LG16:5094322:5094771:-1 gene:TanjilG_05304 transcript:OIV95756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQETEQPVPVTVGCSDGSCLTSSSISAGDSAVSSCPSGSTILTGDCSADRSFPANLSPVSRVRKLLFRRMLVGIKDGRFFMGGFYCMDKQGNIILQDTVEYRSTRRSSPSPMEQRCLGLILIPASCRTSCHVDCSVEEQLSLLSLQGK >OIV95215 pep chromosome:LupAngTanjil_v1.0:LG16:19124390:19126449:1 gene:TanjilG_21605 transcript:OIV95215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSHEGELKISFGYQCDSSRGIPCKVANDYKGLPVVHRTSSFSCLSGAGLSANATLANTNICNGVIGEEILPNLDSPRSFRRVPSSPSLSKLDILSSSLPSSLSNLSCSPSTPSDMLECDPFSLKSMSAPSRSEGFLNAMEVQVAGGAAGEDRVQAVCSEENGWLFCAIYDGFNGRDAADFLAGTLYDTIISYFSMLCWDLEPDSIVACHDNDLGRSFQHKMDDSLHDHQSPLRFKENNSSLGCFVNNTPPFKSEASLKSFSHEVLDSLQRALSQAENDFLYMVEQEMEERPDLVSVGSCVLLVLLHGNNLYTLNLGDSRAVLATYSTCDKMNGNKRMGAVQLTDSHTVDNEVERAELLVSHPDDPNAIVAGKVKGKLKVTRAFGVGYLKKKNLNDALMGILRVRDLKSPPYVSTQPSLNVHKISNSDQFVIVGSDGLFDFFSNDEAVKHVESYIMNNPSGDPAKFLIEQLIARAADSAGFSMEELMNIPAGRRRKYHDDVTVIVIILGMNKRTSKASICI >OIV95880 pep chromosome:LupAngTanjil_v1.0:LG16:1229551:1231455:-1 gene:TanjilG_26984 transcript:OIV95880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQELIIPFLLLALYIHFHPSPSKSKSKHSFPYPPSPKLRLPFVGHLHLLKLPLHHSLISLSKQYGPLYSLYFGSMPTIVVSTPQLFKLFLQTHEASSFNTRFQTSAIKRLTYGNSVAMVPFGPYWKFIRKLIMNELLNATTVNKLRPLRSREIHRVLKVLANNSETRGVVNVTEELLKWTNNTISMMMLGEAELVKDIAREVLKIFGEYSLSDFIWPLKKLKVGEYEKRIENIFNKFDPIIEKVINKRQEIRERRKNGEVIEGESVVFLDTLLEFAEDPNMEIKISRDQIKGLVVDFFSAGTDSTAVATEWALAELINNPKVLKKAQEELNEVVGKDRLAEELDIPNLPYIRAIVKETFRLHPPLPVVKRKCVQECVIDNYTIPEGALILFNVWAVGRDPKYWDNPSEFRPERFLENVEGEQGIDVKGQHFQLLPFGSGRRMCPGVSLATSGISTLLATLIQCFELKPVDLQGQILNGDDAIVSMEERPGLSVPRAHNLMCVPFARVGSPVKLITW >OIV96049 pep chromosome:LupAngTanjil_v1.0:LG16:2664709:2677314:-1 gene:TanjilG_27153 transcript:OIV96049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSFFDAIVKPELLKHEDWDVKLLVATSLCEITRITAPEAPYSDDVLKDIFQLIVSTFSGLNDTSGPSFDQRVVILDTVAKYRSCVVMLDLECGDLVNDIFRTFFAAARDDHPESVLSSMQNIMIVLLEETEDVREDLLSILLSMLGREKRNVTAAARKLSVNVIQHCIGKLEPSIRQFLLSLMSGDSKPVDSQVKYHEVIYDLYSCAPQVLSGILPYVTGELLSDQLEVRLEAVDLIGDITALHGSSIPEVFQPIFSEFLKRLTDRDLQVRMSVLEHVKCCLLSNPCRAEALQIISALCDRLMDLNENVRKQVVAVICDVACHALNAVPLETVELVAERLHDKSLFVKRYTMERLAEIYRVFCENSSDRVNPNEYDWIPGKILRCFYDKDFRSDIIESVLCGSLFPSEFSIKYMVKHWVEIFSGFDKVEVKALQNILEQKQRLQQEMQKYLILRQMSQDKDNPEVQKKITFCFRVMSRSFADPTKAEENFQILDQLKDDNIWKILTNLLDTNTSFHQTRVYRDDLLKILGEKHQLYEFLDTFYVKCALLLFSKEHVKAVLSEIYVHKSAEDYQRIQSCMDILVIIARFSPFLLVDSEEELVNLLKDNNDMIKEGVLNVLAKAGGTIREQLAVTSSSVDLMLERLCLEGSRTQAKYAVHALAAITKDDGLKSLSVLYKTLVDMLEEKTHLPAVLQSLGCIAQTAMPVFETRESEIEEFIINKILKIDSKEDRTRVSWDDKSDLCMLKIYGIKTLVKSYLPVKDAHIRPGIDGLLHILRNMLSYGEISKDLKSSSVDKAHLRLASAKAVLRLSRFWDHKIPADIFHLTLRTLEISFPQAKKVFLSKVHQYIKEHLLDFKYACAFVFNIFESKPEEFAEGKQNLAEIIQMHQQAKEQQLSGQSDANFLTTCPEYILPYLVHALANISCPNIDECKDVEAYNNIYRQMHLILSLLLQRDEGVKSEIGPHKEKEVLSTITVIFQSIQHSEDMVDASKSKNSHAICDLGLAITKQLVQKDIDLQGLSSSVSLPPILYKACEKEGDTMESEVTTWLADESVLAHFGSLKLEMVPFQLAEDDALKDSEKDENEIPLGKIMKNIKSRGTKGKKVKKNKSIPAETKKSENDIDILNMVREINLDNLGTSTNFESTNGHEHSLSKKLQKDPLCASNKKRKGDEETPSPVPKRKRTSITRGKFRSNCTSKGRQIFSGEESSEAKSLVDAEINPDTNIKTMRKKIVRGNDRSLKPKVKVSESYHNDKADKSDEHDLQSPVNLKPTGETQSGNLKSSTGSTKKVKRKSIGGLAKCTAKEGESGAEDLIGCRIKVWWPLDKKFYEGTVKSYEPLKRKHVILYYDGEVETLHLEKERWELIDGTHKPSKKIKLTKALSSREASTGKKQKGSSGSASKRTTKIVNRKQSRNKHVKNGQKGASESIFHQEDAKESSDISDREETMISEAEMNSGGSEGERAEESDEIITKEKKSKKKVKSLSRGKGAKKKKSLRYRQESDEEKQESEEEKQESEKEKQESEEEKQELVQKQDSEEEKQDSEEEKQDCGERHSEESESVQQGVQSDENNSSKERHVDESGGSTRENVNREESGSEGDQNNSDDESSLRKEKKSPKELTSPDGAKIDEVSDHEPLSKWKLPSRKKSSGKKR >OIV95444 pep chromosome:LupAngTanjil_v1.0:LG16:14976435:14981210:1 gene:TanjilG_06906 transcript:OIV95444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYQGPDSGAGHDYLPSRQTTFLRSGSSAGNSRSTEASEAASLIRQAEKEAYSSVLRAFKYRTDDLSWEKQELMIDLRKELKISYDEHKEIVRETNTDETLNSIRNWRRTRSYQPAGCSTSQPVRDVLPIPTDSTPRKRPKTTNLYSSAGPSREHHVRDCNLYSSLPSKAPAQAEELDRLIGRKAMIRWPSDEQFYEVTITEYDPSQGMHKLVYDNNEVNETFEWSDINQIPREDIQWIGEDPGTDLRVGHSGREGDNTVGAERRVHFNLEANEEFPLQQNVIGNRVEHDLVLLNTDVLIKEVEDIIVVSSPNSLELESAKQKLKEHEQDLLRALALLKKLSDAPDRESGML >OIV95578 pep chromosome:LupAngTanjil_v1.0:LG16:9352119:9354555:-1 gene:TanjilG_23809 transcript:OIV95578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVKPTKAQKKIAYDAKLCKLLDNYTQILVVAADNVGSNQLQNIRQGLRGDSVILMGKNTMMKRSIRIHSERTGNETFLNLIPLLVGNVGLIFTKGDLKEVSEVVSKYKVGAPARVGLVAPIDVIVPPGNTGLDPSQTSFFQVLNIPTKINKGTVEIVTPVELIKKGDKVGSSEAALLAKLAIRPFSYGLTVVSVYDNGSVFSPEVLDLTEDDLIGKFAIGISMVASLSLAISYPTIAAAPHMFVNAYKNVLSVAVATQYSFPQADEVKEYLKDPSKFAVAAPAAVSGTAPAAAAAATAKEEKKEEPADESDDDLGLSLFD >OIV95892 pep chromosome:LupAngTanjil_v1.0:LG16:1306148:1306672:1 gene:TanjilG_26996 transcript:OIV95892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCLPVMITINSPSAFLKRDCIAKYSSTARDYNILLQHELHAMQLQLNINNKGAKLYYVDIYGPIADMIQAPQKFGFDEVSSGCCGSGFIEASFMCNKNSDLCTDPSKYVFWDSIHPTEKAYHHLFLASLPIIDSIINN >OIV95670 pep chromosome:LupAngTanjil_v1.0:LG16:6584968:6587150:-1 gene:TanjilG_01464 transcript:OIV95670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAGKVYSPFKCQFPIPYSKQLSMKLYSTSVTPFLFIIFILFPLAIADLSSDKQALLDFANAIPHRRNLMWNPATSICTSWVGITCNQNRTRVIYVRLPGVGLWGSIPANTLGKLDAVKIISLRSNLLSGNLPADIASLPSLRYLYLQNNNLSGDIPASLSPKLNVLDLSYNSFTGGIPEIFQNFTELTSLNLQKNSLSGEIPNLDVSKLGLLNLSYNHFNGSIPAALQIFPKSSFEGNSLLCGPPLKPCSTVTSTPALTPNASTAPGTKSSKNNLSKVAIIAIAVGGAVVLFFIALVIVLCCVKKKDEGGSREIKGKGPSGGRGEKPKEEFGSGVQEPDKNKLVFFEGSSYNFDLEDLLRASAEVLGKGSYGTAYKAILEESVTVVVKRLKEVVVGKRDFEQQMEIIGRVGQHLNVVPLRAYYYSKDEKLLVYDYVQGGNLSTLLHGNRSGGRTPLNWDTRLKISLGTARGIAHIHSAGGPKFTHGNIKSSNVLLNQENDGCISDFGLTPLMNVPATPFRAAGYRAPEVIEMRKHTHKSDVYSFGVLLLEMLTGKSPIQSPGHDDIVDLPRWVQSVVREEWTAEVFDVELMRYQNIEEEMVQMLQIAMACVAKMPDMRPSMDDVVKMIDDIRQFDLENRPSNEETKSKDSNVLTP >OIV95557 pep chromosome:LupAngTanjil_v1.0:LG16:10386590:10389229:1 gene:TanjilG_02912 transcript:OIV95557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMKIATTCLQLSSQPTLPHSPSSSQTLASAISSPSSKRQHNGRVTSSLLCRFLSTPLHRARSFEHHPVSNGRTIRRTCRSSLQFFSSFDDEEFDKKIQDLALKFQLSDDSNNNAVDSDSEVQSTSNFNFEPPWSTGEELIPANILRKANSVELPFSLRIIKKKLQWKDDFREAGELAYCSVKKAFSSMVFIIRELQSFTLQMREILYYEDLQGILARVQREMHASFVWLFQQVFSHTPTLMVYVMILLANFTVYSMGHNAAIAATAMPPPTTTTTEVASAPTTNFQDHKHDKIDSSSIKTFSVSTNGNKTASIGGSNGGGGKFRPAVNGNDGGDGRFDRSDLHPGTVVPTGGGSQSHAYKTGEAQGVSGKEEEEVKLWNSIVEEVSRMEVGLDRQAMKRFVSPVMARMESDEEYAEYLRTELVYQTGLSEEPNNALLLANYAQFLYLVAHEYDRAEEYFKRAIKVEPPDAEAYNKYATFLWKVKNDLWAAEETYLEAISAEPTNSYYAANYAHFLWNTGGEDTCFPLASPDTSQEV >OIV95023 pep chromosome:LupAngTanjil_v1.0:LG16:20782801:20783214:-1 gene:TanjilG_10843 transcript:OIV95023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEARDRRAVPADVAAIYIRRRASVLFGSDIYNDSTGSGSTRFRGSGTLVAHDLGVRNENTPLGAANRRSSRRRVSTTRRSVSPSWYPKLLRDITAVVRLLRVRI >OIV95135 pep chromosome:LupAngTanjil_v1.0:LG16:18038497:18046698:1 gene:TanjilG_21525 transcript:OIV95135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRRRMYERQSSSTRTPVTPTSPVSMSPLNRHSRAGSTGSPAMTNIRRAQNNATKAAAQRLAHVMSHSIDDDDEDDDDIPLDYSTISSGGSIGLGGSRPIRPRSPMKVHAVQEQAPSARSRSPMTVRSIPEQPPSARSRSPATVRSTPEQPASTRSRSPVSVRVAQEQPQSVRAISSVRSSLSASATANANANVNSTFEQPPPPPRPTTPVNYIVEQPSSARPITTNRTLDLSPSARTILVTRSPQPINAYNDQPQQPPSARSLRHSGLSKVVPMVPSSVPITLRPTSSAPIEPPADIRKDRRLSLDLGSMKVRETPSQKRPTTELEDELDMLQEENDNLIEKLRLAEERCEEAESRVRQLEQQVANLGEGVTLEARLLSRQAALRNASKNHRGFVDDATLRADAEIARDEATTALEKLRLMTQRMILSREEMEEVALKRCWLARYWGLCVRHGIHAEIAETKYKYWSSFSPDPAKVVLAAGEKAKQETDHDFDDTEAQRELNELSGEGNIENMLFVEQGLRELTSLKVEEALAVAMAQHRRPNILKAGFSDDLKLPIEGQCDAFELSKEETEDVCFKQAWLTYMWRRAKRHEIELDIADERLQFWINHNSKTPTSQVAVDVERGLAEIKKLGIETQLWEESRKELDPDGDNSKMPCRTDF >OIV95086 pep chromosome:LupAngTanjil_v1.0:LG16:17600627:17602530:-1 gene:TanjilG_21476 transcript:OIV95086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSHTTQMAKTNVDMHNAKHGVPRPRISLVANAPRVVPDVPTSDRDTHADAPKSSQASPITRPTYCLTVTVAGCAKPFGLMNQYKNILTKTHESVVIRRVILGQNQVHLDSSSSLVQPRVTCDTSKWYQIYCNNDTLEFLSATGTYYKILSIDPNANKLIINPSLIIKDTCYSSDLQEGGLLLQENSLFNISTHNTVMLFNCSDSILLSPLNCSSNSICRQFEEKVEEGNGCMNTLCCHYLKDSAMSSHRIRIRVGGCTAYTSIVDFKPDESIDSWNYGIELQWFPTT >OIV95061 pep chromosome:LupAngTanjil_v1.0:LG16:20337571:20342208:1 gene:TanjilG_10881 transcript:OIV95061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQCYGKTNPNSENDHAPNTTTTTGDGDGDRSPLPISAVGNGYNSVKNTPARSSSSQASPWPSPYPHGEGVSPSPARGTTPRRFFRRHFPPPSPAKHIRASLVKRLGKQTPKEGTIPEEGRSRSPEESVAATEEQALDKRFGYGKNFGAKYELGREVGRGHFGHTCYGRGKKGELNNKPVAVKIISKAKMTTAIAIEDVRREVKLLKALSGHKHLIKFHDAFEDSNNVYIVMELCEGGELLDRILSRGGRYTEEDAKVIVLQILSVVAFCHLQGVVHRDLKPENFLFTSRSQDADVKLIDFGLSDFIRPDERLNDIVGSAYYVAPEVLHRSYSVEADIWSIGVITFILLCGSRPFWARTESGIFRSVLRADPNFDDLPWPSVSPEAKDFVKRLLNKDYRKRMSAAQALAHPWLRDDNHPIPLDILTYKLVKSYLHATPFKCAAAKALSKALTEKELVYLGAQFRLLEPNRDGYALARHATDAMSESKVLDIINTMEPLANRKMDFEEFCAAATSTYQLEALDKWEDIANNAFEHFEREGNRVISIEELAIELNLGPSAYSILKDWIRDDGKLNLFGYTKFLHGVTLRTSNPRQR >OIV96046 pep chromosome:LupAngTanjil_v1.0:LG16:2647369:2649138:1 gene:TanjilG_27150 transcript:OIV96046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITTGFIKNTAITTKLVLTFISSPYEPLVEFARYVFFKHHTHGGFVKHRQGDPFLWNAVIRSYSHGSDPKGSLFILCLMLENGVCLDKYSFSLVLKACSHVGLLKEGMQVHGLLCKTNIGSDVFLQNCLIGLFIRCGCIELAQQLFERMPDRDTVSFNSMIDGYVKYGLVERAHELFDAMSSEEKNLITWNSMIGGYVRSKDGMDIAWSLFFKMPERDLVSWNTMIDGCAKHGKMEDAQALFDEMPERDSVSWVAMIDGYAKSGDIVAGRSLFDEMPKRDVISCNSMMAGYVHNCYSVEALQLFHDMRRAMNLLPDDTTLLIVLTAISQLGHIEVGVAIHCYIMDNGYCLSEPIAQQLIQLNSCSPSSYVLLSNIYASLGMWDNVKRVRTKMKGKKLKKIPGCSWIELGGIVHQFSVQDRTHPQVTEIYSLLSSL >OIV95831 pep chromosome:LupAngTanjil_v1.0:LG16:3819218:3819733:-1 gene:TanjilG_06807 transcript:OIV95831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNQGGNFFSCKSSKGFGGGAMDQDIDFDEDFWGVTNDKGGSSSAWHKASSPSKILKANNNALPSNNAQVVQGSSSSSVPIDIPDWSKIYGKKYCKKGSKNGGVRSNDDDDDDDGDNDDMSIPPHEWLARKLARNQISSFSVCEGMGRTLKGRDLSKVRNTILTKTGFIE >OIV95301 pep chromosome:LupAngTanjil_v1.0:LG16:16948676:16953199:-1 gene:TanjilG_07457 transcript:OIV95301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTEPPPFQEAPRCVVCNCSFNTFRRRHHCRRCGRTLCNEHSSDQMALPQFGIYSNVRVCADCFNNSRSEKGVPQASSDGVNRVTHAISKLDIDANVDSKTKPNAENNQTVSSVKECKCGMPLCICEVIAPSSDSLPQQVIIFIINVRYHFLVQPKNSSMFFQNLLQQKKSTPVVTAPSNPKTKKTDTVPKNRGSSSTSKFSSTFNLGNVTSGTSNRPQTDYEANGEGLREAIKNGDVAAVKKLLNQGVDANYRDKQGLSLLHLAAVFNQTDIVFTLMDSGASLEYKNAQDETPLDCAPATLQYKMRKKMEEGGAMDQSI >OIV95970 pep chromosome:LupAngTanjil_v1.0:LG16:1990612:1991865:-1 gene:TanjilG_27074 transcript:OIV95970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFNLSKTAAKKIKRLLRPSENSIFFFEYNIPSLPFLHVPRCSYVNVYMKWKKDSYYDSIEHIHHSIQLKPVVALKNCIAKEPNSCIPISAVSKRGLQLDVPMKVARFMRLYPSIFEEYTGPQYNLPWFRLTPEAADIDREEKRVYEECREDLRSRLKRMILMTRENVLPLKIIQGMQWYLGLPDDFLQYPEQNLDESFRFVDMEDGLKGLALESKEKVYSVMEKNAMQRGLYSGGPMEAIEFPFFPSKGLRMRTKIDDWLCEFQKLPYISPYDDFSNLDPDIDIAEKRLVGVLHEILSLFVDHSAERKKLFCLKKHFGLPQKMHRAFERHPHMFYLSFRNKTCTVILKEAYNDISAIEKHPILRVRKKYIKLMKESKVILRNRRVNSRYSNSSAKVDLDSGDVDEGGCAMAHFPLD >OIV95529 pep chromosome:LupAngTanjil_v1.0:LG16:11935588:11938041:1 gene:TanjilG_11113 transcript:OIV95529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLLNTKYSCKKSSNCTRAKRILEESKNQGILLNEFVIVNPYEKDNYTSIGKAIDAAPNNTRAEDGYFLIYVREGYYEEYVIVPKEKNNILLIGDVINRTVITGNHSVIDGWTTFNSSDNYTSIGKAIDAAPNNTRAEDGYFLIYVREGYYEEYVIVPKEKNNILLIGDVINRTVITGNHSVIDGWTTFNSSGNCFYTFITDRDLLS >OIV95739 pep chromosome:LupAngTanjil_v1.0:LG16:5349036:5353386:1 gene:TanjilG_05287 transcript:OIV95739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGCTGVAMMGSLQQPYWTKGMNFPAKGCGSNGFMSQVKLYCVKPCKASRVEASLVTGNAPSLSVAVQEIGGEGSSFLDHGLSEADPEVRAIIDKEKDRQYKSLELIASENFTSRAVMEAVGSCLTNKYSEGLPGKRYYGGNEYIDELEILCQERALAAFHVDGKNWGVNVQPLSGSPANFAVYTAILKPHDRIMGLDLPHGGHLSHGFMTPKRRVSGTSIYFESMPYRLDESTGLIDYDMLEKTANLFRPKLIIAGASAYPRDIDYHRFRKLTTYYMHGKIADDVGAFLMMDMAHISGLVAASVLNNPFEFCDIVTTTTHKSLRGPRGGMIFFKKDPVHGVDLESAINNAVFPGLQGGPHNHTIGGLAVCLKHAQSPEFKIYQNQVVSNCRALAKRLTEHGYKLVSGGSDNHLVLVDLRPSGVDGARAEKILDMASITLNKNSVPGDKSALVPGGIRIGSPAMTTRGLGEKEFALIADLIHEGVQISLEAKSLASGTKLQEFLKFVASPDFPLGDKVTKLRTKVEALTSQYPIPGV >OIV95599 pep chromosome:LupAngTanjil_v1.0:LG16:8859163:8860221:1 gene:TanjilG_23830 transcript:OIV95599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKSLLHLKTIISTTFQTTSHISLHSSFSLQFFTTNSTTKLPKRPRFSTSQDPDPFLAFFRSHGFSVSQVNSILTKSPRLISCNTHELFLPKFEFLASKGASKSDIVLAVTKNPNFLRSSIEDKIIPIYELMRRFFKSDKEALNYFITWPALLKNKRVVEQVFKILCDEGVKHSHIAYLIRMRSCVLRSGELRKAVDEVKELGFNPSKSDFVTALAAKVSVSKTKWNEKVDAFKSWGWSEETIAAAFKRHPRCMLASKDKINAIMSFWVNQLGWNSLEIAMFPAILGYSLEKRIIPRTSVVQCLLTLGLRKNNASLYSPFVMSEKLFLEKYVNKFEKESFQLLKVYHGERKN >OIV95543 pep chromosome:LupAngTanjil_v1.0:LG16:11515238:11517993:1 gene:TanjilG_10931 transcript:OIV95543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITNRLKNMAMSVILLLFFAFGGSIAWTGEIHGRVVCDVCADSSIGPEDHVLQVRVIIFFSKCKNKNDYEDVDAGAEVAVLCITKSGEVLNYQAFTDSKGTYTVAETMPESNCWNACLARPIGSFNEHCTHLGKGSLGVKFSYNHPSGYSHTARTFVYRPTDIPTYCI >OIV95720 pep chromosome:LupAngTanjil_v1.0:LG16:7568063:7570992:1 gene:TanjilG_01514 transcript:OIV95720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSLSFLTTILLTLSSLPSLTLSSSSSTDSFVYIGCTQQQYTPNSAYETNINSLLTSLTNSATYSSYNHFSIVGGSTSHDVVYGLYQCRGDLAMPDCATCIAKAVTRVGDLCPQACGGAVQLEGCFIKYDNATFLGQEDKTVVLKKCGSSMGDNMGQRDMVLAALAGSGGAFRVGGSGQVKGMAQCSGDLSFGQCQDCVGEAIKRLKSDCGAADYGDMFLAKCYARYSANGAHAYSNKSHGKSGNGGEKKFAIIIGLLAGVAILVIFLLFLRRICEGQGLMRHAPWLMRLGAPISGPADAPWCARSDAPS >OIV95124 pep chromosome:LupAngTanjil_v1.0:LG16:17941836:17945125:-1 gene:TanjilG_21514 transcript:OIV95124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLHFFLSHVYNLITQNLTSFFSSLIKEEKQEEEEESNEELLPPPPPRNLDEVETILGYEFKNKCLLEEAFTHTTYNKNFSYERLELLGDAVLNMLVTKEQFFLYQDLGPGLLTWLRAANVDSEKLARVAIKHGFHHYLRHNKPLLHKRINIFMEEILEYPLHSNGLVEVPKDLADIVESIIGAVFIDCGNSVDTVWRIFERLLEPLIDPDTLKTHPVTELYNVCQKRHKKVKFVDLWEKSRTFLVLVDNKLVGKSIHDTRRKDILQNRAAKNALDNIGKIFSSE >OIV96062 pep chromosome:LupAngTanjil_v1.0:LG16:2772210:2773762:-1 gene:TanjilG_27166 transcript:OIV96062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLGNRKVLDMRIRIIELVLRCVILGLGILAALRIGTDTQVREIFSFQKKAKFTDMKALVFLVIANGLVACYSLIQGLRCVVSMVRGSVLFSKPLAWVIFSGDQVMAYITVAAIAAAGQSAVFSKLGQPELQWMKICDMYGKFCNQVGEGVVCAFVVSLSMVILSCISAFSLFRLYGANKKNSTSW >OIV95945 pep chromosome:LupAngTanjil_v1.0:LG16:1774152:1778528:-1 gene:TanjilG_27049 transcript:OIV95945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELESYPFYQIPSGLVFDPPPPFPVINHSLPPPPPSGLLLPFHFNPSLFFDMDHQRTMSLIQFVNDEGLVPSQEEEDKRKKTIHKLKKIVYSWIKRVAWKHQLPKHQIAVTSATILTYGSYGLGVHSQESDIDALCVAPFFVNIAEDVFVVLHNMLKNRPEVSEIQCVKSAKVPLIRLKFDGISIDLPYARLRVLYVPENVDILNPFFMRSIDDTSWKCLSGVRANKCILQLVPNVEKFQSMLRILKFWAKRRGLYGALLGYLGGIHLAILAAYVCQMHPDATLNTLIMNFFRTFAFWHWPKPVSLQEGMLQASVDAIEPRPFSLMPILLPSTSNSYEYCHSNITNSTCYRIKSEFIRGHNMTKPFPYSKRYSKFVKIYLSASDQCGLGNWVGLVKSRFRGLFVILEELQGFCDPNPTEYVENEKGEPNVVFYWGLHPGDKNSLLDIELIEGEFMKIIVNGYEGSHGMMKLSIMIASQLPKNAQFDDPTMKVSDNDNKRSPVNSQHQHQHQHQHHPHFLVCQIEPSGEVQHLSFGG >OIV95700 pep chromosome:LupAngTanjil_v1.0:LG16:7041011:7044214:-1 gene:TanjilG_01494 transcript:OIV95700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDHRNGGNQPANGKASAAGTAYTIDLSTFQTRLSAFYSHWDEHKTDMWGSSDAIAVACPPPSEDLRYLKSTALNLWLLGFEFPETIMVFMKKQIHILCSQKKASILESVKKPARHSAGVDVVLHVKSRNDDGSVLMDAIFRAIRAQSKADGHDAATVGYISREAPEGKLLEAWSEKLKNADFNLSDVSNGLSTLFAVKSNEELTSIKRAAYLTMSVMKNFVVTKLETVIDEEKKISHSTLMEETEKVILEPSKVNCKLKAENVDICYPPIFQSGGEFDLRPSAISNDELLHYDPASVIICAVGARYKSYCSNIARTFLIDAEPLQSKAYEVLLKAHEAVVGSLKPGNKLNAAYQAAVSVVEKDTPELISYLTKSAGTGIGIEFRESGLNLNAKNEQIVKEGMVFNVSLGFQNLQSEKSKSKNKHFSLMLADTVVINKDKTEVVTAMSSKALKDVAYSFNEDEEDEKPMKKADANGAEPTMSKTTLRSDNHEISKEELRRQHQAELARQKNEETARRLAGGGSETGDNRSSSRSSADLVAYKNINDLPPPREMMIQIDQKNEAVLLPINGSMVPFHVAFIRTVTSQQDTNRTCYIRIIFNVPGTPFSSHDPNSIKFQASIYLKEASFRSKDPRHSSEVVQSIKALRRQVVARESERAERATLVTQEKLQLANNKFKPIRLPDLWIRPPFGGRGRKIPGTLEAHVNGFRYSTTRQDERADIMFANIKHAFFQPAENEMITLLHFHLHNHIMVGNKKTKDVQFYVEVMDMVQNVGGGKRSAYDPDELEEEQRERDRKNKINVEFQSFVNRVNDLWGQPQFNGLDLEFDQPLRELGFPGVPHKSSVFIVPTSACLVELIETPFLVVTLSEIEIVNLERVGLGQKNFDMTIVFKDFKRDVLRIDSIPSTSLDGIKEWLDTTDIKYYESRLNLNWRQILKTITDDPQSFIEGGGWEFLNLEATDSESDNSEESDKGYEPSDVEPESDSEDEASDSESLVESEDGDEEEDSDEDSEEEKGKTWEELEREASNADREKGNEYDSDEDRQRRKVKTFGKSRAGVSSSMPKRSKLR >OIV96042 pep chromosome:LupAngTanjil_v1.0:LG16:2603044:2605080:1 gene:TanjilG_27146 transcript:OIV96042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEPRLTTLLFFLSWVIVACPIAVKSAIGVNWGAISFHRLKPTTVVDLLRENKIQKVKLFEADSDVLKALMGSGIQVMVGIPNEMLPLLSSSSSAADFWVHQNVSSYMGKGGADIRYVAVGNEPFLSSYNGQFQNLVMPALLNIQQSLVKANLAGYVKLVVPCNADAYESALPSQGAFRPELTQIMTQLVQFLNSNGSPFVVNIYPFLSLYGNADFPQEYAFFEGTTHSVTDGANVYTNAFDGNYDTLVAALSKLGYSQMPIVIGEIGWPSDGAVGANITAARVFNQGLINHVLGNKGTPLRPETPPMDVYLFSLLDEGAKSTLPGNFERHWGIFSFDGQAKYALNLGLGNKELKNAKNVQYLPSRWCVANPTSDLANVVNHMRLACSIADCTTLNYGGSCNEIGEKGNISYAFNSYYQLQMQDSRSCDFDGLGMVTFLDPSVGDCRFLVGVTDKGSHSSTSRTTYLWWVLVLILVLHGTFWL >OIV96053 pep chromosome:LupAngTanjil_v1.0:LG16:2700558:2702523:-1 gene:TanjilG_27157 transcript:OIV96053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIFAAAHVSPFPNSGDLIYDPLFSTTDLLSHRHKLRPIRPHGNSPPLHNAHSTHNLQQRHLQPQDPLLHGSGSSLDDDDDGNSSRSTTEPGSRKRSKKTARKLENFVQKMVMKVMEKQEQMHKQLVNIIEQKERERIMREEAWKREEMERIKKDEEARAEAKSRNQAIICFIQNLLGHEIQFPQTAEVSSKKEEHEGDTNIRRDSIMDPNNRWPDVAVQALITVRTSLEPKFRLTGSKGSIWEEISEAMHKRGYSHSAKKCKEKWENINKYYKRTVGSGKKRRQNSKTCPYFDELDILYRNGLLNHGNTLSITNNVPKIEKEESKTQ >OIV96245 pep chromosome:LupAngTanjil_v1.0:LG16:53227:57907:1 gene:TanjilG_14922 transcript:OIV96245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGGAMDRTKVVLRHLPPSLSESALSQQIDAVFSGRYNWLSFRPAKFRSQKHVSYSRAYIQFKSPDDVLDFADFFNAHVFVNEKGSHFKLIVEYAPSQRVPIHRSKKDARDGTIFKDSEYLEFLDHLAKPVENLPSAEIQLEKREAERSGAAKDIPIVTPLMDFVRQKRAAKGPRRSISNGKVSRKAGTLSNGTSSSATSRRGSGKKRVPNTMYVARNPSKSSTIKDKSTYTLVPRQGDHHLSNKTSTVVSSDGAQTLDENAGASGSNDAGKKKILLLKGKGRETITISDFDSMSQHKSVTSSAKTAPNSTALKRNQRPEGSGRIIRRILSNKDLHQSQSSGPHSEQQIQASNLEKEKQQPRSLHVPTILKSSNGTPDNRIGVHDLHVSSERQERRVRHRDRPDRGIWTNRSNGGDDALSLSASSQAGTLEGGKAELKHDMPNAGGGELKSLGSGRASHSSENGFSKHFGRRGPPHGVKDVDDGNSLSSEGKPPRRISGSAYGSHEKQVWVQKASSGT >OIV96017 pep chromosome:LupAngTanjil_v1.0:LG16:2398559:2404049:1 gene:TanjilG_27121 transcript:OIV96017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLNGTIPSNLGNLSSLVELDLHNNSFHGIVPNELALLSRLESFNLCNNNFGGEFPTWVGELSKLEFLSLCNNSFSGSIPASLSNLEGLERLDLSFNSIEGSIPPELGRLPNLTVLDLAHNGLSSDIPSSIFNISTLQVVDLSYNSLSGNIPQKLGDLSELRSINLSINKLEGSIPLSLFNMSTLEEIQFTYNNLSGSLPMDLCNGVPMLEMLDLSGNAFSGLFPSNLLQCKQLKYLKVIGNRLNGNISNEIGKLPMLQVLDLSNNNITGEVPASLFNISTLRVINLRDNFFIGTIPYELGEYLKNLAILHLQNNNLRGSIPSSIFNISGLQSLSLSYNQLSGNLPIYPYHTLSNLQFLYLTNNSLSGEIPTSLFNASMLSSLLLINNSFTGVIPDSIGNLINLQQLGLLGNNLTSDPASSEISFLTSLTKCRKLKSLVLSYNPLNGVLPSSIGNLSNSLQSFLAWNCNLKGQIPSQIRNLKNLFDISLRDNQLFGQIPSTVGSLLFLQRLDLSDNNLNGSIPDQICQLTNLAEMSLNNNNIFGLVPECLGGLTKLRKLYLDNNNLNSIIPSTLWSLSDILEVSLSFNGFSGSLPTDISGMKAVIKLDISNNKLSGNIPSDIGDLQKLINLSLANNMLQGPIPDSFGSMLSLEYLDLSNNTLSGIIPKSLEKLVYLRFINLSYNRLEGEIPSGGEFANFTAKSFMMNSALCGRPDLHVPPCPRGAEHGKKTKKLVLKLVLPLTLFGALIGFAFLIIHMRKYSKGSSIVVLPSFRFASRISYYELSDATKSFDDSNLIGKGGIGSVFRGVLSNGMVVAVKVFNLDVQGASRSFDIECEAMRNLRHRNLVKVITSCSNEFDFKALVIEFVPNGSLEKWLYSYNYFIPFLQRLNIMIDVASALDYLHYGNSKPVVHCDLKPSNVLLDEDMVAHVCDFGIAKLLEEGQSRELTNTLATIGYMAPEYGSEGIVSIKGDVYSYGVMLMEVFTRKRPTDEMFIDGLSLRSWIKDSLLLNEIIHIVDPNLMEEEELFIPPKKVALLSIMELAFNCSSDSPAERMNMKEVLDSLNKIRTIFLQIV >OIV95209 pep chromosome:LupAngTanjil_v1.0:LG16:19028610:19035054:1 gene:TanjilG_21599 transcript:OIV95209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQSNSAAAALYDGVAGSLHNAGPTTTDAGDAVMARWLQSAGLQHLASPLASNAIDNRLLPNLLMQGYGAQSAEEKQRLLKLMRNLNFSGESGSEPYTPNAQTFGGGAALDGFYSPEFRGDFGAGLLDLHAMDDNELLSEHVISESFEPSPFMPGDNGVFEDDFDPINSTLHRGEADVDASISLPMNDKESSSTRENNVAKIKVVVRKRPLNKKEHAKKEDDIVTVSDNAYLTVHETKLKVDLTAYVEKHEFCFDAVLDEHVANDEVYQATVEPIIPTIFERTKATCFAYGQTGSGKTYTMQPLPLRAAEDLIRQLNRPVYRNQRFKLWLSYFEIYGGKLFDLLSDRKKLCMREDGRQQVCIVGLQEFEVCDVQIVKEFIEKGNAARSTGSTGANEESSRSHAILQLAVKKHGEVKESKRNNDGNEARSGKVVGKISFIDLAGSERGADTTDNDRQTRIEGAEINKSLLALKECIRALDNDQIHIPFRGSKLTEVLRDSFVGNSKTVMISCISPGAGSCEHTLNTLRYADRVKSLSKSGNPRKDLAPNPAPQANKEVSSTSSIPASAAPDFNDQRQEKTTDMGRKFAVKETSLYSSAADVNKQPSSFSSSFLFNGREEKGLAYASVDRERFEVKNSYSDSTSQKVNSYSQNDTDEKVQKVSSPRRKGSKEEKSERPANLMKRDANGNGSNIPTSSKQQSTGNYTTVTTRSRVQGAESSPDGNISAILEEEEALFTAHTKEIEDTMEIVREEMKLLAEVDQPGSFIDNYVSQLSFLLSRKAASLVGLQARLARFQSRLKEHEILSRKRVPR >OIV96163 pep chromosome:LupAngTanjil_v1.0:LG16:1102376:1102705:-1 gene:TanjilG_13095 transcript:OIV96163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLGMGFEIIAGAVVKLECNNTKKTMIQTATTDRNGKFLIEAHKNVSTYGAHKCKVFLFSAPHGLKRSNIHGGIKGATLRSEAHDLLGNPKYHLYTVLDLAFEPICPH >OIV96015 pep chromosome:LupAngTanjil_v1.0:LG16:2385142:2385825:-1 gene:TanjilG_27119 transcript:OIV96015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLTIPTNLSKPTTLNPKLIAGTTLKPRLTTTIHCSTTTPTTNTSSSESQLKAFSAALALSTILLSAPLPASADISGLTPCKESKQFAKREKQQVKKLESSLKLYAPDSAPALAIKATVEKTHRRFQNYGKQGLLCGSDGLPHLIVSGDQRHWGEFITPGVLFLYIAGWIGWVGRSYLIAIRDEKKPTQKEIIIDVPLASRLLFKGFSWPIAAYRELLNGELVAKDV >OIV95076 pep chromosome:LupAngTanjil_v1.0:LG16:19945771:19946127:1 gene:TanjilG_10896 transcript:OIV95076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIKRSYPFLYKHSLDEDVTSQTKGDIHKLLVAIISTYKYDGDEFDESVAHSEANILHQTIESKVFIHYKIIRILRTRSNNV >OIV96135 pep chromosome:LupAngTanjil_v1.0:LG16:897945:898862:1 gene:TanjilG_13067 transcript:OIV96135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDLFSSSFKKYTDLREQTHLDDVEAGKETVNLDKFFEDVENVKEEMRVVEKLYRKLQEANEESKIVHNAKTMKDLRARMDKDVEQVLKQVKMIKGKLEALERSNAANRNIAGCGPGSSADRTRTSVVSGLGKKLKDMMDDFQGLRARMQLEYKETVERRYFTITGEKADEETIENLISSGESESFLQRAIQEQGRGQIMDTISEIQERHDAVKEIEKNLIELHQVFLDMAALVESQGQQLNNIESHVAHASSFVRRGTEQLQEAREQQKSSRKWTCYAIILGIVLIIVILFPLLTAILPHLILK >OIV95624 pep chromosome:LupAngTanjil_v1.0:LG16:8217402:8218212:-1 gene:TanjilG_23855 transcript:OIV95624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSNNEPQKNTKKWVAFKEQNDSQQIKNFTDEIQNTKKQVSALEIAAMKFKLDHRKALGLAEDQKMKIEELNAKIIQLQDQNQKLELENQQLNEKLDCKIHIEEEFLKTISDLSKDVKQKERSQELAGTCKTQEAINNELKKDPKQKRVMNKVEESTCCIV >OIV95691 pep chromosome:LupAngTanjil_v1.0:LG16:6886815:6892479:-1 gene:TanjilG_01485 transcript:OIV95691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPVSGLLSLVFSWSLDDILNNDLYRDKVKKIPRTFSSGDNYLKSFIFPLIEETRTDLCSNIKMVSEAPICQIIDIDFAQDYNPPNNLLYKIEMNTVVESDKKGDVYEPEPGDLIALTNIRPRCIDDLNKPGNSYFISLIKRVRQNIENKNAYKVQILASKPIKFEAYLHKDDRYVDAFAVYIGNITTNVRIWNALNTEPDGPGMYIIKKLLQPNSAVGENCAQCFSSERYNIDVSILGAIIRSFDLNKSQEDGIVSCLAARECSHKNTVKLIWGPPGTGKTKTVGSLLFCLFKRKCQTLTCAPTNVAVLEVITRFLRLVMESLDYHTYGLGDIILFGNKKRMRIDTRDDLLDVFLDYRANILAKCFAPLSGWKHNLELMIWLLENPREQYHKYLKDEEKRDYMTDGDDCLKEEMEQHLIPSPQTNQEKMDIKSQDPKNGKPNDWKSIVNKTLRESRLCFKEQNRNKYHKQENRGFLFQEEKIKTLTLLEFVKKKMNSIRMQMRKYVVDMCTHLPTSSISLRVLKNLFECLDWLKDLSMVLSDNSITEDGFQLALNTSDVDENRLSCYTWQSKLCTARKKCLKILKSIQDVLILPNFFDESSIKKFCLKRARMIFCTASSSARLQVEGLDRLEMLVVDEAAQLKECESNIPLQLPGLRHVVLVGDAKQLPALVKSEISEKAGIGRSLFERLVILGHEKQLLNVQYRMHPSISSFPNMEFYDKQILDSPSVKSRSYEKHFLHGDLFKPYSFIDVDFGQDELNEGTSRKNMVEVAVVSKIVLDLFKESASRKQSVSVGVISPYKAQVFAIQGALGKMFDGDVGNAFSVKVSTVDGFQGGEEDVIIISTVRYNNMGSIGFISNCQRTNVAVTRARYCLWVVGNGETLMNSGSVWERLVLDAKARGCYHNANENERLSRSITTSMIELDQVVHLLNTNSPLFRKARWKVYFNPGFLDSMAKIKSTNTCKKICFLLTQLSSGWRYPHQEINLGVVDNTCSPLWKVYKVTELLCLAWTIDILEENSNYVPVLKIWDVLPLSELWKVKRDIDISYRNNSNDILRSFEFRCSDGNFEVPVMWPASSNYTTNNNLPQPDPMQLLCNQFALLSLMDV >OIV95378 pep chromosome:LupAngTanjil_v1.0:LG16:16220151:16223725:-1 gene:TanjilG_14532 transcript:OIV95378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGDGDNSGDKLEQLLIYSAICNNEDLGPFIRKVFISGKPETLIHHLRHFARTKESEIEEMCKEHYQDFIVAVDDLRSLLSDVDYIKYSLSDSNSKFQSVALPLLSSLDSFVETRNVSKNLNRAIESVKTCVQLTELCSRANRHLLSDNYYMALKCVDTLEKEYLDKTPSFTLKRMLEKKIPEIRLHIERKVNKEFGDWLVDIRAVTRNLGQLAIGQALAARQREEDLRMKQRQAEEQSRLSLRDCIYALEEEDDDDDDEIGAGGGIGEDGYGNNGGAGGILGFDLTPLYRAYHINQTLGLVDGFKKYYFENRKLQLTSDFQVSSLTPFLESHQAFFAQIAGFFVVEDRVLRTGGGLISKVEVDDLWEIAVGKMCSVLEDQFSGMQTANHLLLIKDNVSLLGITLRRFGYPIDALLDVLNKHRDKYHELLLSDCQMHITEALAADKFDQMLMKKEYEYSMNVLSFQIQTSDIVPAFPYLAPFSSTVPECCRIVRSFIEDSVSFMSYGGQLEFYEVVKKYLDRLLNEDLDEALFRLINASVHGVNQAMQVAANMAVLERACDFFYCHAAKLSGVPLRMVERSRKQFPLRKARDAVEEMLSGLLKAKVDGFMTLIENINWMTDEPSQSGNEYVNEVIMYLEILVSTAPAQVLKRVLQRVLSHISEKIVGTLASDSVKKFTVNAITIIDVDIHLLESFAESQAPLFPDGDVDQLKTALAESRQMVNLLLSNHPENFLNPVIRERSYNTLDRKKVVSISEKLRDSSDGLFGTFSTHGPKQNQKMKLLDELINILKDAN >OIV95817 pep chromosome:LupAngTanjil_v1.0:LG16:3680655:3685016:1 gene:TanjilG_06793 transcript:OIV95817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEPSQFRRAMIDASAGAISGGISRTITSPLDVIKIRFQVQLEPTSSWTLLRKDLSSPSKYTGMFQATKDIFREEGIRGFWRGNVPALLMVMPYTAIQFTVLHKLKTFASGSSKTENHINLSPYLSYVSGALAGCAATVGSYPFDLLRTILASQGEPKVYPNMRSALIDIFRTRGFPGLYAGLSPTLVEIIPYAGLQFGTYDTFKRWAMAWNHLHYSTTTTEDSLSSFQLFLCGLAAGTCAKLVCHPLDVVKKRFQIEGLQRHPRYGARVEHHAYRNMHDALRRILQAEGWTGLYKGIVPSTVKAAPAGAVTFVAYEFASDWMESILT >OIV95342 pep chromosome:LupAngTanjil_v1.0:LG16:16548490:16550749:1 gene:TanjilG_07498 transcript:OIV95342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSKIIASAVSLVLVVGVVIGVVAVVSKDNTNDNPQLNSHNKAVTTLCNDADDKKLCHDVLKPVGNSSNPKEYVEIVVKTTMESVIKAFNMSDRLTIEHGKSNPGIKMALDDCKDLLQSAIHELEASGVFVRDGNIQDVLTHSAELKNWLGAIIAYQQSCLDGFNTDGEKNIQTQLNTGSLDNVGKLTGLALDIVSGISKMLSSLDLDLNLKPASRRLLEVDHEGYPTWLSTSDRKLLATKSEVGLIPHAIVSKDGSGKYKTILDAINSYPKGHKGRYVIYVKGGVYNEYITIDYMKPNILLYGDGPSKTIITGRKNFNEGVKTMRTATFSTEAEDFIAKGITFENTAGAIGHQAVALRVQGDRSVFFDCAIRGYQDTLYVHAHRQFYRNCEISGTIDFIFGYASALIQNSKIIVRKPGPNQSNIVVADGTDQKNMPTGTVIHNCEILPESALQVDRLKVKTYLARPWKAYSKAVFIENVIGDLIQPEGYIPWAPEPNTQFPYFAEFGNTGPGANTQARAKFSKGQISKQEAEKYVAERWIQASTWLPATGIPFDGGFTK >OIV95093 pep chromosome:LupAngTanjil_v1.0:LG16:17663027:17665376:-1 gene:TanjilG_21483 transcript:OIV95093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLDQLWDDTVAGPQPENGLGKLRKHHTFSFRSNSGKESEGVSARSYGEESPEEAIRVTRSIMIVKPAGYQSGSPPVSPAGSTPPLSPFSAREPFRFRRRSASDAYEKRSQNGSSPSSPFDV >OIV96162 pep chromosome:LupAngTanjil_v1.0:LG16:1088631:1089186:1 gene:TanjilG_13094 transcript:OIV96162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYTEHKSGGGVDGDGDVEDELENGDEGTGDGDVEDELENGDEGTHDGDVEDEPENGDGDVEDEPENDDVEDEPKNGDDGTCGSGSGGGCGCGGDDEEKGHEESLHEWCQALGKTHTKRNSLGVGIILEPLPLLYAYVLGNVILMLYLDYKERLFIGILSLI >OIV95888 pep chromosome:LupAngTanjil_v1.0:LG16:1268330:1274062:1 gene:TanjilG_26992 transcript:OIV95888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVAAQTSSQDFSGLQSLTQSWTNKPPNWVGSDPCGGNWDGIICTNSRITQLKLSGLALLGQLSSTIQSLSELDTVDLSYNTGFTGTIPKEIGNLTKLKSLALVGCGFYGPIPDSIGSLKQLTFLALNSNRFNGSIPHSIGNLSNLNWLDLSDNQLGGPIPVSDDQGQLGLDLLLKTQHFHMGDNKLSGHIPPKLFNSSMNLVHVLFYGNQLVGTIPSTLSLVSTVEVVRFDRNGLTGEVPSNFNNLGQLSELYLSDNKFNGSLPDLSGMNSLTYVDMSNNSFTSSDNIPSWVTSLEILTTAMLGDNQLSGTFNISNGYSTSLQLINLQNNAITDYKPGNQNINFEVILAGNPFCLENGVSQQSYCKVSTVIPSYSTPANNCSPQTCSNSQISSPNCKCAYPYTGSLISRALSISIFNTSYYQDIEKSLLNSFRAQNLPVDSVSLSDPMKNSSNENFQFTLSVFPSQTDRFNRSGVSQIAFVLSNQIYKAPEFFSPYFFIGGDYGYYGGEPKKSSSVHTGVIVGAVLAVVAFLVLAVIAGMYAIRQKRRAQRMKEQNPFVNWEQNKDNGIAPQLKGARWFSFDELRRYTNNFAEANTIGSGGYGQVYQGVLPAGELVAIKRAAKQSMQGAAEFKTEIELLSRVHHKNLVSLVGFCFEKGEQMLVYEYIPNGTLMDSLTGKSGIWMDWIRRLKVTLGSAKGLTYLHELANPPIIHRDIKSGNILLDSHLNAKVADFGLSKLLADSDVGHVTTQVKGTMGYLDPEYYMTQQLTEKSDVYSFGVLMLELVTARRPIEQGKFIVREVNRIMDTSKDLYNLHSILDPTIIKETKPKGLEKFVELALKCVKEHAHDRPSMADVVKEVENIIEHVGLNPNSESASTSEAYDVEKGGNILHPYSNEDFNYSGIFPSTKVEPH >OIV95449 pep chromosome:LupAngTanjil_v1.0:LG16:15115850:15120231:1 gene:TanjilG_06911 transcript:OIV95449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RCNHFSRRFRRMHGKQKLLRNVNDYADFLFQSWKEGIDGDDPSSSRDTSWFKKQYSAKDPGTGRYRSGTQGSSPRYKRDPFFCEDDFDVETILRSAFGGNRFTYWSFINDENPQWKRSGRFSNYERSWNWRNRSESDYDSSSESNSSDSYLIHDRLALGLSASGPLKLEDVKNAYRSCALKWHPDRHHGSSKAIAEEKFKLCSAAYQSLCDKLALD >OIV95592 pep chromosome:LupAngTanjil_v1.0:LG16:9072643:9073224:-1 gene:TanjilG_23823 transcript:OIV95592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRGTAEEKTAKSDPIFRNRLVNMLVNRILKHGKKSLAYQIIYRAMKKIQQKTETNPLSVLRQAIRGVTPDIAVKARRVGGSTHQVPIEIGSTQGKALAIRWLLGASRKRPGRNMAFKLSSELVDAAKGSGDAIRKKEETHRMAEANRNHSHLLSASNNQHNFDQYLDSFFDSKCWFGSYIPRSDCWLSACV >OIV95161 pep chromosome:LupAngTanjil_v1.0:LG16:18290041:18293276:1 gene:TanjilG_21551 transcript:OIV95161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAATVAPPRQLSQKEADIQLMLAAEVHLGTKNCDFQMERYIFKRRNDGIYVINLGKTWEKLYLAARVIVGIENPQDIIVQSARPYGQRAVLKFAQYTGAHAIAGRHTPGTFTNQLQTSFSEPRLLILTDPRTDHQPIKEAALGNIPTIAFCDTDSPMRYVDIGIPANNKGKHSIGVLFWLLARMVLQMRGTIRPGLKWDVMVDLFFYREPEEAKQQDEDEVIPGADYAIQDFNTTAIGGIAADGQWPTAVDSSWTDSVHQPIPAVPGAVTWTAAPDAAGEWGDSVAPPQQIPAPAIENVQPSGWE >OIV95199 pep chromosome:LupAngTanjil_v1.0:LG16:18797919:18798845:1 gene:TanjilG_21589 transcript:OIV95199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQRKTSVLLDASKYIQGIKRKLQELNKLAAASSQNIIAYDPMPKLKVEPQEEGFMIKVQSQRSCQGLLVFILEAFEELGLDVLQARVSCEDRFCLEALGIKENNEDTRHLDAQLVEQVVLQAIQNWRKLHSNNHP >OIV95503 pep chromosome:LupAngTanjil_v1.0:LG16:12865333:12874225:1 gene:TanjilG_25174 transcript:OIV95503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTPVSAARQCLKEEAARALDDAVTVARRRNHAQTTSLHVVSALLALPSATLRDACTRARSRSYSQRLQFRALELSVGVSLDRLQTMKTSSAVNGGADDGPPLSNSLMAAIRRSQANQRRQPENFHLLQMMQHQQQGTTSFLKVELKHFMLSILDDPIVGRVFAEAGFRSYDIKLALLQPPLPSSSSSRFFSRPVFLCNLEPVQAGLTFLDDNSKRIVDVLLRKNQRNPLLMGVYAKSALKSFIETVRIRRVLLPCELAQLSVPAMPTPVSAARQCLKEEAARALDDAVTVARRRNHAQTTSLHVVSALLALPSATLRDACTRARSRSYSQRLQFRALELSVGVSLDRLQTMKTSSAVNGGADDGPPLSNSLMAAIRRSQANQRRQPENFHLLQMMQHQQQGTTSFLKVELKHFMLSILDDPIVGRVFAEAGFRSYDIKLALLQPPLPSSSSSRFFSRPVFLCNLEPVQAGLTFLDDNSKRIVDVLLRKNQRNPLLMGVYAKSALKSFIETVRIRRVLLPCELAQLSVVCVEKEIVEFLSGSEENMGLKFKEVSYLVEQCKGSGSGGGVAVNFGEIEVFIGDGVGFVVEQLKRLIEVHDGKVWLIGVAGTSNAYSKFLGLFPTVDKDWDLQLLTMTSATTSMEGLYSKSNLMGSFVPFGGFFSTPSEFTSPISCTTNASLTRCDTCNEKYEQEVADFLKVDPATSAKCSYSTTLPWLQKVNVDTDKGLNVAKSCHYLKNGLHHPLQTTEENTSSNAKIFGLRKKWNCICQRLHNNGSLPLFDTQQTRFQAPSLERFPFGSGFKESSSQGLSLHEIQCSNQISNISEELQSTLPSKPTLPVSVPSDTGSISIEADHVPRFSKTSLNDLTSHWISPSAKANMNLLDHKSYSPVAPVTTDLGLGTIYTSAAHEPDTPKLCDHKKHLQHLSDSISTDFDATNENTSNQIARSSSCSGPNLELKFGSEDFKSLYQILTEKVGWQDEAIYVINQAISLCRSGARQRSGPHVRADIWLAFLGPDRLGKRKIASALAEILFGNKESLISVDLSSQDSFYPLNSVFEFRNSCSHDMSRRKTCVDYIAGELSKMPHSVVFLENVDKADFLLQSSLFKAIRTGRFPYSLGREIGINNAIFIVTSTLFKGDGSFVLEEPKIFPEERILEAKRFHMQLSIRLASEDAKRIGSTNVMVAPRNGTSIPSFLNKRKLVESMDSKEKDTCKTPKQVREALRSYLDLNMPLEEDDEAINYNHHETESLVEKSAGWLNDLCDQIDGKVIFKPFNFDLLAEQVIKSIDIEFQRSFGSEVVLEIDYEVMAQILAAAWLSDKKNSVQDWVERVLGRSFNEFQWKYHPAMQHVMKLVKCECIHIEEHAPGVCLPPLIKFN >OIV95513 pep chromosome:LupAngTanjil_v1.0:LG16:13379822:13380313:-1 gene:TanjilG_25184 transcript:OIV95513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYYPWEDDDREGFKNRYKNLEPYEEEDKTSHKGKQQHVNVKREKKHMHSGKNKNKAIAEYNKNGGERSQSEYQRGFVAGYAYAHSSGFKNRNSSGDNHGDHVIRNNEHVYHGSKHDYNSRHDHSYHGSKHDYGSRDDDSYHGFDYDSKDNEHGFEYDYHMSL >OIV95531 pep chromosome:LupAngTanjil_v1.0:LG16:11963742:11964951:-1 gene:TanjilG_11115 transcript:OIV95531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKKVIQLQPITQQEAPKHLVQETESNPEKNKENISGYDPKSTLQSKENVDHETSNIPKAREESMPQKGQEGHDPKSNVQNKEHDRPNLPKGTKETMPQMGQEVVNEEKERVVKEVTKEDTKKTSQLGKQPIKDVVERVVKEVTKENSHSDVKEIKEESKESSKDEENFVDPNPANKKKRKLHFDHEADEESYEKNIPESTVKRIKKVAASASETVTNLTKRFNEEDKQKLIYMGAAVIVVALGVCASYKFRSSPRL >OIV95642 pep chromosome:LupAngTanjil_v1.0:LG16:7803463:7805214:1 gene:TanjilG_23873 transcript:OIV95642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRDHQDTCAGSSYGGGRSGNSYSSTKSECSSMSSGKAKMWEDEQNHDGGHGGGMDELLAALGYKVRSSDMADVAQKLEQLEMAMGITQEDVISHLSSDTVHYDPTDLYSWVQTMLTEINPDTTNNVNNINNNNDNLNFINSRIVDDSEYDLRAIPGIAAYPIKSEPSENNATKRLKSSPIESDSSPLPPVTVPAPVSPTRSVVLVDSQETGVRLVHALMACAEAVQQENLKLADALLKHVNLLAASQAGAMRKVASYFAQALAHKIYRSYPQETLVDSSFSDMLHMHFYESCPYLKFAHFTANQAILEAFSSAAEVHVIDFGLKQGMQWPALMQALAWRPGGAPSFRLTGIGPPQNDNVDSLQQVGVKLAQLAQTIGVRFVFRGFVCNSLADLDQTMLDIRAEEAVAVNSVFELHLMLAQPGSIEKVLATIKMIKPKIVTIVEQEANHNGPVFMDRFNEALHYYSSLFDSLEGTSGSLPSSQDALMSELYLGRQICNVVASEGVDRVERHETLNQWRVRMGSTGFEPVHLGSNAFKQASMLLALFAGGDGYRVEENNGCLMLGWHTRPLIATSAWKLHATES >OIV96174 pep chromosome:LupAngTanjil_v1.0:LG16:1210733:1211236:1 gene:TanjilG_13106 transcript:OIV96174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSSSTTSREGHYRGVRKRPWGRYAAEIRDPWKKTRVWLGTFDTPEQAALAYDGAARSLRGSKAKTNFPPPPPSLSLDLNVPSSLLPSPAPSLTHFLHTAVLKAADPLTLPPPPPTLPFTHHHRVHLSGGGGVQINDGSPTASYLGLVRRGIPIDLNEPPPLWL >OIV96141 pep chromosome:LupAngTanjil_v1.0:LG16:949012:949344:-1 gene:TanjilG_13073 transcript:OIV96141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVLHSNNGFSWPPIGAPLNVQVHRNEHHQQQQQHWSKTNFDSSVNAVSFGFVATAILISMFLLMAIFERFLRPTSPPSRRRSRLHTHSQLTLDGKLAHPSPKVSLQKKI >OIV95685 pep chromosome:LupAngTanjil_v1.0:LG16:6832119:6836883:-1 gene:TanjilG_01479 transcript:OIV95685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWWCSFPSATATTTTTHRYYLNNSHTFQPHTNPFPFHLPLSSTSSSSLRFSIPLSIQTTTSPKFSKLSCTNSDTELDFQDSPPPQSALDCVGTGQDVECRFTTEEEKQNDDVLGLWEWVVLISPFFFWGTAMVAMKEVLPKCGPFFVSAFRLIPAGFLLIGFASSRGRPFPSGFNAWFSISLFALVDAACFQGFLAQGLQRTSAGLGSVIIDSQPLTVAVLAALLFGESIGIVGAAGLVLGVVGLVLLELPSLSFDQSNFSLWGSGEWWMLLAAQSMAVGTVMVRWVSKYSDPVMATGWHMVIGGLPLVVFSILNNDPALSGSLKEYSSTDVLALLYTSIFGSAVSYGVFFYSATKGSLTKLSSLTFLTPMFASIFGFLYLHETFSPVQLVGAIVTVAAIYMVNFRTTSE >OIV95100 pep chromosome:LupAngTanjil_v1.0:LG16:17717822:17721130:-1 gene:TanjilG_21490 transcript:OIV95100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATYLRLPMLTSIPTSSSSSSSYSTTTRRRNFTTPFCSIKFNASNNSKIPILNPNDPFLSKLASVAATSPETLLNRPIHSDSPPFLDIFDSPKLMATPAQVERSVSYNEHRPRRPPPDLPSLLLHGRIIYIGMPLVPAVTELVVAELMYLQWMDPKEPIYIYINSTGTTRDDGETVGMETEGFAIYDAMMQLKNEIHTVAVGAAIGQACLLLSAGTPGKRFMMPHAKAMIQQPRVPSSGLMPASDVLIRAKEVIINRDTLIKLLAKHTGNSEETVTNVMKRPFYMDSTTAKEFGVIDKILWRGQEKVMADVAPPDVWDKGAGIKVVDGF >OIV96005 pep chromosome:LupAngTanjil_v1.0:LG16:2281830:2284871:-1 gene:TanjilG_27109 transcript:OIV96005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSLPLSVTALCCVVIVLGALSFSSDAQLSTTFYDKTCPNLHTIVRNVTSNASKSDPRIGASLVRLHFHDCFVQGCDASILLNNTATIVSEQQAFPNNNSIRGLDVVNQIKTAVENACSGVVSCADILTLAAQISVVLGNGPDWKVPLGRRDSLTANRTLANQNLPAPSSTLDQLKSSFAAQGLNTSDLVALSGAHSFGRAHCNFFVNRLYNFSSTGSPDPSLNTTYLQTLRTICPNGGAGTNLTNFDPTTPDTFDKNYYSNLQVHKGLLQSDQELFSTTGADTISTVNSFSTNQTLFFEAFKVSMIKMGNIGVLTGNQGQVRKQCNFVNDNSDGLLATMASQESSQDGMVSSY >OIV95433 pep chromosome:LupAngTanjil_v1.0:LG16:14820328:14821869:1 gene:TanjilG_06895 transcript:OIV95433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCVFNNTIKGLLNSSITQGALASETITFKSSTGEPIQVNDIIFGCGHNNTGVFNDHEMGIIGLGRGPTSLISQISSTFGGNKFSQCLVPFHTDISISSKMSFGQGSEVLGDGVVSTPLVNKDGGPLYFVTLLGVSVEDTRFEISNNSETAPKGNMFIDSGTPPTLLPQQLYDQVFDEVRKQVPMSPITDDPDLGPQLCYRTSTNLEGPIITMHFEGADVQLTPIQTFIPPGAKDGVFCLAFTNTSNENAGIYGNFAQSNFLIGYDLENEVVSFKPTDCTK >OIV95349 pep chromosome:LupAngTanjil_v1.0:LG16:16474073:16476400:-1 gene:TanjilG_07505 transcript:OIV95349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVIDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIASKA >OIV95276 pep chromosome:LupAngTanjil_v1.0:LG16:17313597:17315402:1 gene:TanjilG_07432 transcript:OIV95276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRDIACYALAEFFLFGATGHGLDSFAPELAQGGPSPIGGKMANLDRLTKDVIYQFALQKIGHLRAIKRTVKGFPRPLLNIGTEVFAQIMHNAAGELLNPPFDPYANFINYLFASYLIPYLSLTGFIGAFPQLQNVTSKKLVAGLLGAESSQDGVILAMVEIRPGMLALPYNKTVEEFKNLVTKHRNDLGGKCLKDEGLEAPIFEGVEAKVPRKVYAYDKYIIPCPTTPEEILRIVYGGGDEHVPGGFFPKGAHGRIAKSYLKSAA >OIV95409 pep chromosome:LupAngTanjil_v1.0:LG16:15745377:15753144:1 gene:TanjilG_06278 transcript:OIV95409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMESELEDYDVTVDPEIALSYIDEKLQDVLGHFQKDFEGGVSAEKLGAKFGGYGSFLPTYQRSPACSHQRAPQKIHSQNMPKSPNNVQREGAQGGAVQFSTGTQSSGLGPGFSTSSRLPTVIAPSIDEGINQEKCMSITSAEAFNSKCKPQSMKTTSLPDQKTMKVRIKIGLNNLPTWKNAAIYNGLGLDVSPSSSLDESPSESEGISCRPQGAPFESPTSILQIMADLPTLLSSPLPNHFIELIVKETCGRVTVSSLVHMDKTESSRVLLPESNIVKGDRNLLGGKQVKSLEGYESKKNTQNDVGVLSRKEQSRDAPTTEALLYSACSFSDDPAKSVHGPCDSLKESNKTMGREKTFSDQAKKEHMNPTSTEVNGFAERANGGSVRKVMRDKVSLDDLSFSTVKDNVTLPVTKEHPFPGGEKRSKGNHGTMAVEREKDNSTVGTSLISKTKKSSDDNSTSNHETEDVRAQKGDEKARDTYRDFFGELEEEEDRMDSQETPYGNRLKESEDVEGNTPKINFGAKERSGEKKVDKPLTAEVYPETATNVRCTGNAKVTDAEIRKGVPAMVPPVVMEDNWVQCDRCHKQHLLPVGTNPDNPSEKWLCSMLNWLPDMNRCSFSEDETTKALFALCQGPPPEGQSNLKNVSGSVVVGGTMATVQRPDVHQLNNGQHAVPGGKSKIVKDMSNSANKDDFSPLSPAIKKNLQSSVKSKSLNDVNTSHDVSEANSPGEKHKNKPKMLENNFDRGDIKNMKVKSKRGHDQDCSSHSKKGKSDKVHSTDVEWNLEQRESSRKAGHSSNSSFPTTSVRKDRPRQEVCSSLRDSNLGKQRMRVSAENTKDKGQVSLDGRSLDLGNYDCIDSKKRKLKEYQDAQICSTGTPHQQDNRSSMQEFSNYWKEKKARSSKSEGRESSASEGSDRTDKKWDLGSVQASVAATLSSSKVSSSYKTKASFQEVKGSPVESVYLSPMRTLSTEKFTGMELIGKNDFHDTAALDGPRSCSDGEDDGGSDRSGITKKNKSFTIAHRSDFQNKGVNNLSDSKPKAHTASHCTNGCVDNITQDGKYPGLEQQIKDLAEDRPDDVSHTRKSGVESGLKDKNESSKSESHAEKVENSISSIQLQNQSPLREEKHRDGKVKLQENFGFKPDLSDNIHDDKKDYTGKGESGKTENHLNGGQYFEEVSIDGICKQEASHAPSHHQLLDYDTERSSKRSLLERSDHEVHGIGKSLSLLPSAQTEIFGCCPRPVVGFHKGNGDMEVDPSKVDDVSKLHKKQPKTADHQNETQQVSLRNSALNGHRSNKLDAPSPMRRDSYSHAANNAVKEAKDLKHMADRLKNSGSALESTSLYFQAALKFLHGASLLESGNNTNAKHDEMFRSKQMYSSTAKLCEFCAHEYEKSKDMASAALAYKCTEVAYMRVIYSSHTSAGRDRHELQAALQMVPLGESPSSSASDFDNVNNPTAADKVTLSKSVNSPQISGNHIIAARNRPNLVRLLSFAQDMNYAMEASRRSRNAFAAANSSPGVGKNAYDVSSIKKALDFSFQDVEGLLHLVRLAVEGMNR >OIV95786 pep chromosome:LupAngTanjil_v1.0:LG16:4586408:4587958:-1 gene:TanjilG_20236 transcript:OIV95786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIEEVKKTAELIKLKLSLDSKLEKLGLSLNWILHIIICSTAFLIVKHLMFVFDIQGAPPCTHYAQRGVCKFGPACKFDHPIASLSYSPSASSLADMPVALYPVASSIGTLALSSSSLELRPDLPPGSNNEADSVRVSSSISTLTGSVGFTLSTGEPVSQPNTQPSSQSSNPIAATTTTTSGNVSPTSS >OIV95324 pep chromosome:LupAngTanjil_v1.0:LG16:16708018:16708641:-1 gene:TanjilG_07480 transcript:OIV95324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHHKLQLVLHYFLGILILDALCDAKTVIKVNGFTCKSASNVTANDFSSNILAKPGSTNNTYGSLVTGANVEKVPGLNTLGVSLSRIDYAPYGLNPPHTHPRATEVVFVLEGTLNVGFITTSNVLISKNITKGEIFVFPKGLVHFQKNNGKKIASVIAAFNSQLPGTQSIALTLFTATPTVPDNVLSQAFQIGTNEVEKIKSSLAPKK >OIV95388 pep chromosome:LupAngTanjil_v1.0:LG16:15540184:15541609:-1 gene:TanjilG_06257 transcript:OIV95388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMKMTITSTKLSSCESIILYPRSTYITSFQTSSSVTFTTYQRSSSLSILHSTHSYLPTLVRSHSHSSTESNNEEPDQVEELRVPEPWLFPTTALQESEWLRVSLHKWLDDEYCPEETNVEISKVAAKSYYNSLLKNQKDLGTILLNMAHELESISYKESFHGAFSAANAAVNLIAQRIEQFTS >OIV95097 pep chromosome:LupAngTanjil_v1.0:LG16:17693427:17697029:-1 gene:TanjilG_21487 transcript:OIV95097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRLDRRDVWKSKARSLQLQLKYRFRVAVDRHWRRRHNVFIPSGDGYFSETLQRCINRFRDFRRDSLPSSSSFYRKRVTKDFSSEEDSTLLRMMQAVAVPVIGNVCHVFMNGLNRVQVYGLEKLQSALLERPKGKPLLTVSNHVASMDDPLVIASLLPPQVLMDAKNLRWTLCATDRCFKNPVTSAFFRSLKVLPVSRGEGIYQKGMDLAILKLNHGGWVHIFPEGSRSRDGGKTMGSSKRGVGRLVLDGDSMPIVVPFVHTGMQEIMPIGASFPRIGKMVTVLIGDPINFDDILSIENGPEVPRKMLYDAVSTRIGDRLHELKVQVDKLAIEQEMHLQDNSTRNIERTSGILQQVDWELFGMSSVMSSDDDSKQRQDTVSIPNISVSQPQQSDTDNDQSRRIGFSYRMRGYMNQMELMSFAARGLFMRNNETNNSAGYSRELGPVKAWKQFLEANLLRHWNYVQY >OIV96083 pep chromosome:LupAngTanjil_v1.0:LG16:3493696:3497277:1 gene:TanjilG_27187 transcript:OIV96083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGVGARLGRSSTRYGPATVFTGPVRKWKKKWVHIPPSSSASSNSNNNHSSSNGNNGSHLQLYKWTPISQSQNTTTYDGNSNSNTSKDAPEPLEEPPKRKFKYIPVAVLEEQRNEAAENEAAEKVEDEAKPIADDPSAAEPTRKNENWDEKPDINDVPMEESESQDKNQVVRQDLNESLDLSLGLTSHDDGHDSDSKTQTRDEQ >OIV95867 pep chromosome:LupAngTanjil_v1.0:LG16:4170872:4171366:-1 gene:TanjilG_06843 transcript:OIV95867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKKFESLIGIVRGFTFNLVSLGGCFATCYDHTQAYGLGTRIWNLSDRPVELQIRVGSILKKVHTLKPGCSKRVKSKCIYKTYMPEKSGSSGAKGLKSLLYYYDETCHPYIWIQDIGGGSLRMMKQQYISLEDLKDSSEIRILRDYQKGCISVCKRTRPDFCLV >OIV96106 pep chromosome:LupAngTanjil_v1.0:LG16:722880:726072:-1 gene:TanjilG_13038 transcript:OIV96106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLALLPNTMKSVIEHVWAIYNPQLLVKEDGQPETLDYRVFFHDQSGKKVSPWHDVPLQLGDGVFNFIVEIPKESSAKMEVATDEPFTPIKQDIKKGKLRFYPYNIHWNYGLLPQTWEDPSSANSEVEGAFGDNDPVDVVEIGETRRKIGDVLKIKPLAALAMIDEGELDWKIVAISLDDPKASLVNDIDDVEKHFPGTLTAIRDWFRDYKIPDGKPANRFGLGNQAADKDYALKVIKETNESWNKLVKRSVPAGELSLA >OIV95239 pep chromosome:LupAngTanjil_v1.0:LG16:19663611:19668710:-1 gene:TanjilG_21629 transcript:OIV95239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCSTFGFWLRIYVCLISVWGIQKCWSLNDEGLALLEFRARITSDPYVALANWNPNDCDPCKWFGVYCVDGKVQMLDLNGLSLEGILAPELGKLRHLKSLVLCKNNFSGTIPKELGNLIMLELLDLRENNLSGSIPEEIGNMSLLKHLLLCDNKIEGSGSQDHIKFRFPSKSLLVDDCSSPLTTLFSCINRKFGHWFKLRKAACHDPEEYRDDPSSTEPEIGQNEKNLVNSAHRRLLDQSSNLAAAPYSGESTIVISSIPSSLSSGAFQAVPDAIKEQNQSPPSSDSPHDASHNLSHENQQRSPNRVFGNFWMYIIIIAVAAVLVIVIIVIFCIWRKKAAKVISPWKTGISGQLQKAFITDFPFADVVSYALQIDTLSRLNHKNFVNLIGYCEEEEPFTRMMVFEYAPNGSLFEHLHVKEVERLGWSERTRIIMGTAYCLQYMHHDLNPPISHRNLNSSAISLTDDFAAKIGDITYGNIVSPITKTTGDDSKKSELPPHADPETNVYNFGILLLEIISGKLPHSEEQGNLVNWAAEYLSDKRSISYMIDPTLQSFKDNELDAMCEVIQDCIQPDPRLRPTMREITTKLREVIGISPEQAVPRLSPLWWAELEILSLEAA >OIV95182 pep chromosome:LupAngTanjil_v1.0:LG16:18465551:18469413:1 gene:TanjilG_21572 transcript:OIV95182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTPTLKNNNTMDQENGTVSSPISATVSSSIEWGTPEAVEYVRSLTEVGAMTRLLHECIAHQRALDFELDDLLSQRNDLDKHLLHLQRSSEVLNIVKSDSDYMLNNVSSTCDLADDVSRKVRELDLAQSRVRSTLLRIDAIVERGNCLEGVYRALDSEDYESAARYVQGFLKIDAEYKDCGNDERERLMSAKKQLEAIVRKKLSVAVDQRDHGSILRFIRLYTPLGLEEEGLQVYVGYLKKVIGMRSRVEFEQLVDLIEQRNNGSNVNVNFVLCLTNLFKDIVLAIEENNEILSGLCGEDGIVYAICELQEECDSRGSVILKKYMEYRKLAKLSSEINAQNSNLLAVGGGAEGPDPREVELYLEEILSLMQLGEDYTEFAISKIKGLTSVDPELVPRATKAFRSGSFSKVAQDLTGFYVILEGFFMVENVRKAIRIDEQVPDSLTTSMVDDVFYVLQSCLRRAISTSNISSVIAVLSGASSLLTNEYQEALQQKIREPNLGAKLFFGGVGVQKTGTEIATALNNIDVSSEYVLKLKYEIEEQCAEVFPAPADREKVKSCLSEMGESSNAFKQALNAGIEQLVATITPRIRPVLDSVGTISYELSEAEYADNEVNDPWVQRLLHAVETNVAWLQPLMTANNYDTFVHLVIDFIVKRLEVIMMQKRFSQLGGLQLDRDTRALVSHFSIMTQRTVRDKFARLTQMATILNLEKVSEILDFWGENSGPMTWRLTPAEVRRVLGLRVDFKPEAIAALKL >OIV96239 pep chromosome:LupAngTanjil_v1.0:LG16:80531:88324:1 gene:TanjilG_14916 transcript:OIV96239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLKLWAKKSLIVIVNKEEVMELKGQCFLLNGFIFLGSIFLLNTLIIPALWWILPHSSTFYSFLRLSLIHLFYLLWFYPLYVFSIVLSTIWYNDIAKYGYAAMGTSNITAHKGETQNHPPTLQNEHHVKRPSGLGGVMIGIGEQVYSILLLSVFFLEVYATGFIPYIGKVLNFVLLSWMYAYYCFEYKWNFNEVALDKRLDYFESYWAFFAGFGSPCVLAIFFFSPLVSYGIMAILFPLFVLTATGSKAEQEISFEKDKRRDAGMERLPIFYVANKVSTNGIRCKTEKHNKTVLVNDSGIIIDDWIPQDVVTTGGKCMLLRWVTEDTLKALNEKEKEPSAPEPEPEPTTEVLFLCSYDGCGKTFIDASALKKHSNIHGERQFVCHYKGCGKKFLDSSKLKRHFLIHTGERHFVCPHEGCGKVAFSLDFNLRSHMKTHSQENYHLCPYPDCGKRYAHEYKLRNHIASLHEKNASVEVTRYTPPSDKHTKTAKPSGTACSASSDRPYACPYDGCQKAYIHEYKLKLHLKREHPGHDENAVLHALANAADNEMDEASDQDVYGAVKRSNGKSQKKQSNPKPKMKLPPSKIARRKGSAPTPSSTLNVAKRPWTVKDETGDDDSEETEDGDNAEDGWRYGGNNDDFDDETEDED >OIV95728 pep chromosome:LupAngTanjil_v1.0:LG16:5629421:5633050:1 gene:TanjilG_05276 transcript:OIV95728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRKFETLVSLTRKRSIQFLIGVTVFYLVLFTVEVPFVFRTGFGSITQDFVTTQHHSRLLSEENLLEKEAPTRPFKRVSQNTALGPSQVGRRKLELVSGLVFDDTTFDATRKYGSTELYKVAKHALDSGLTLWEELKSGKIKTVIEKPEDGTESCPGSISVPGSGFSGMVALPCGMTLGSHVTVVGKPKPAWADYESRISMANGENKPMMVSQFMVELQGLKTVDGEEPPRIFYFNPRLKGDWSGKPVIELNTCYRMQWGTALRCDGWKSNADEDTVDRLVKCEKWIRDDENHEEQSKATWWLSRLIGRTKKVTVDWPFPFSENKLFVLTLHAGLEGYHVTVDGRHIVSFPYHTGFTLEDATGLSLGGDIDVHSIFAASLPSTHPSFSPQRHLDLSTRWLVPPLPESGVELFIGVLSAGNHFAERMAVRKSWMQHRLIKSSKVVARFFVALNPRKEVNTELKKEAEFFGDIVIVPYMDNYDLVVLKTVAICEYGVHTVSAKYIMKGDDDTFVRVDAVLDEARNVPDDMTFYIGNINYYHKPMRYGKWAVTYEEWPEEDYPPYANGPGYIISADIARYIVSEFQMHKLRLFKMEDVSMGMWVEQFNSSKPVKYIHSLKFCQFGCIEDYYTAHYQSPRQMMCLWDKLQTRARPQCCNMR >OIV95910 pep chromosome:LupAngTanjil_v1.0:LG16:1478749:1479103:-1 gene:TanjilG_27014 transcript:OIV95910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGHTSFVLHKVDAIDEANLSYDYSIIGGAGLDESLEKITFESKILPGPHGGSIGKINVKFHTKGDVLSETVRDESKFKGIGLFKAVEGYVLAHPDY >OIV96088 pep chromosome:LupAngTanjil_v1.0:LG16:3556189:3558998:-1 gene:TanjilG_27192 transcript:OIV96088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADERGSLLQMNKETEDVAQLRSFTSYLRWVYVDQSNLFKAGLSWSVFFSLAYVVPILSHVMLDCSKTTCDADHSRPYHVPVQISLSVFATISFLSLSDWDRRYGFRKFLFLDKVCDESIKIQRGYSHQMQRTMKLILLWGLPCFVAECAYKIWWYVSGNSQIPHYGNPYMSSIILSTLELCSWLYRTSIFFLVCVLFRLICYLQLLRLEDFAQVFQRETEVELILMEHLRIRKNLRIISHRFRGFILASLILVTASQLSFLLVATKPHADVDVLKAGELALVSITLVSGLFILLRGATKITHKAQSITGLCAKWHICATINSFENVDGETTTASQVVSRQATAATCGSSDDEVGDEEDELDNTKMLPIFTHTISFQRRQALVSYMENNKGGVTMFGFMLDRTWLHSIFAIQLALCLWLLNKTIGV >OIV95673 pep chromosome:LupAngTanjil_v1.0:LG16:6658264:6662953:-1 gene:TanjilG_01467 transcript:OIV95673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENFDSDPTKPLLLPNDVHDFTATEHGGSLGGRRLTQRRNSVNSLRTAFLSKLPEKVRSTLDAESSFDLDLSSSPALTQGEKEYYEKQFATLKSFEEVDSVVLTDGIVEEDSAELAQQERAMKISNYANIVLLILKVYATLRSGSIAIAASTLDSLLDLMAGGILWFTHIAMNNINIYKYPIGKLRVQPVGIIIFAAVMATLGFQVLLTALEQLIENSPSEKMTTEQLIWLYSIMIFATVVKFFLWLYCRSSGNKIVRAFADDHHFDVVTNVVGLVAAILGDKFYWWIDPIGAILLAVYTITNWSRTVMENAISLVGQSAPPEFLQKLTYLVVRHPQIKRVDTVRAYTFGVLYFVEVDIELPEDLPLKEAHAIGETLQIKLEKLPEVERAFVHLDFECDHKPEHSILSKLPSTQP >OIV95229 pep chromosome:LupAngTanjil_v1.0:LG16:19439310:19440923:1 gene:TanjilG_21619 transcript:OIV95229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSKICSNCSDSSFTRDDVTGNLMCSSCGLLQEFDQFDAQIGGINGPQGTFVHVGTTGSGTFYTYKQRKLFTAQNSIEELTYTLGLTSKHVEIKTMISAITEGEFGQGKWFQVLIGACAYVVMRKDDRPLPMAEVASAVGCELYELGKMIMRVVDFLGLKRPDFPEFDIVHSFERTLKNSQCFSAVERSTVDRMGKQGIFLIQCAVKWFLSTGRRPLPLVVAILVLVAELNQVDVLMEDLAKEVHAVVSTCRTRYRELLEKLVEVAQVLPWGKDITIKNVIKNAPIVIQYMEKKSMLKPCEKRKNLDQPGFDLTDVVSDCLRQDDIYNYGTVGETSQKDSQYSLLGSTSDRPNIQDEDRLQISPECLSMMYDKFLNDVRCVKSSGTSEKAQEWERLRLDLEDCREWWSGKSELSKKLLLKQLLEKDVGLDTMPPSFVAGQLKCKTRRERIKAAKARIKRIMHPLDAGLGDTLNHDILDETCHGRKRKKRRGTVAGDVDWEDLIIETLILHKVKEKEIEKGYYNTLLDLHVFKSGII >OIV96031 pep chromosome:LupAngTanjil_v1.0:LG16:2521006:2524392:-1 gene:TanjilG_27135 transcript:OIV96031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSENQGDEMEYAANADDNEMADVEDDIYFRGRAFGESDSDDDDDEYDPLENRITDTTASEARRGKDIQGIPWDRLSISREKYRQTRLEQYKNYENIPQSGERSEKECKPTKKGGMYYDFWQNTRSVKSTILHFQLRNLVWSTSKHDVYLVSHYSIVHWSSLNSKRSEVLNVQGHVAPCEKHPGSLLEGFTQTQISTLAVRDKLLIAGGFQGELICKYLDRPGVSFCSRTTYEDNAITNAVEIYEHPSGAVHFMASNNDGGVRDFDLERFQLSKHFCFPWPVNHTSLSPDSKMIAIVGDNPEGLLVDSQTGKTITSLCGHLDYSFASAWHPDGHTFATGNQDKTCRVWDVRNLSQSVAVLKGNLGAIRSIRFTSDGQFMAMAEPADFVHVYDAKHGFEKEQEIDFFGEISGVSFSPDTESLFIGVWDRTYGSLLQYNRRRNYMYLDCL >OIV95718 pep chromosome:LupAngTanjil_v1.0:LG16:7470616:7475601:-1 gene:TanjilG_01512 transcript:OIV95718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLTDLINLNISETTEKVIAEYIWIGGSGLDLRSKARTLPGPVSDPSELPKWNYDGSSTGQAPGEDSEVIIYPQAIFKDPFRRGNNILVLCDAYTPAGEPIPTNKRHAAAKIFSNPDVVAEEPWYGIEQEYTLLQNHVQWPLGWPVGGFPAPQGPYYCAIGADKAYGREIVDAHYKACLYAGINVSGINGEVMPGQWEFQVGPSVGISAGDEIWVARYILERITEIAGTVVSFDPKPIKGDWNGAGAHTNYSTKSMRNDGGYEIIKQAIDKLGKRHKEHIAAYGEGNERRLTGKHETADINTFKWGVANRGASIRVGRDTEKEGKGYFEDRRPASNMDPYVVTSLIAETTLLWKP >OIV95780 pep chromosome:LupAngTanjil_v1.0:LG16:4625217:4632521:-1 gene:TanjilG_20230 transcript:OIV95780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDAKNRGSYDADHHHNQPYYGTFQGVANYYPPHNPPPHSVVGYPQPIPPSQQPPASYDAPHYYYQGYNALPELRFEGEPRHNTRAIVQVLIPEMTSPLAVYHQYQVVGRALPTESDQHPKIYRMKLWATNEVRAKSKFWYFLRKLKKVKKSNGQVLAINEIFEKNPTKIKNYGIWLRYQSRTGYHNMYKEYRDTTLNGAVEAMYNEMASRHRVRFPCIQIIKTATIAAKLCKRESTKQFHNSKIKFPLVYKKIRPPTRKLKTTYKAKKPNLFM >OIV95493 pep chromosome:LupAngTanjil_v1.0:LG16:13973003:13974116:-1 gene:TanjilG_26756 transcript:OIV95493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQLSHDQITEFKEAFSLFDKDGDGYITTKELGTIMRTLGQNPTEAELQDMINEVDADGNSTINFPEFLHLMVRQMRDTDSEEELKEAFRVFDKDQDGFISAAELRHVMTNLGEKLTDEEVDEMIREADGDGDGKINYEEFVKVMTAK >OIV95947 pep chromosome:LupAngTanjil_v1.0:LG16:1783492:1785737:-1 gene:TanjilG_27051 transcript:OIV95947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITFNSITFNYNPCFSFTNFYPSSLPLSLQIKPSKSLSLIVNARKKNNTDDSHSSVPKPDESTGFFPEAVLLKKRTVEEDGKTLPEFEDDDERQLFESLMLDVETDLNVELMRHYEVMYLIHEKHAEEVAAVNEKIQEFLREKKGRVWRLNDWGMRKLAYKIQKAKSAHYMLMNFELEAKSINDFKTLLDKDERVIRHLVMKRDEAITEDCPPPPLFSGSADDSDDEDYEDWDDDDEEMDDYDDDEEDGIIVVEGDDDDTDSRDDTSAYVRQPERTK >OIV95352 pep chromosome:LupAngTanjil_v1.0:LG16:16444989:16449020:1 gene:TanjilG_07508 transcript:OIV95352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTERKRKVSLFDVVDDGAGKMLKSNGGANNNSLINPWNLRPYSQRYFDILEKRKTLPVWIQKDEFFKALKENQTLILVGETGSGKTTQIPQFVLDAVELETPDKRRKMMVACTQPRRVAAMSVSRRVAEEMDVTIGEEVGYSIRFEDVSSAKTVLKYLTDGMLLREAMADPLLERYKVVILDEAHERTLATDVLFGLLKEVLKNRPDMKLVVMSATLEAEKFQGYFYGAPLMKVPGRLHPVEIFYTQEPERDYLEAAIRTVVQIHLCEPPGDILVFLTGEEEIEDACRKINKEVGNLGDQVGPVKAVPLYSTLPPAMQQKIFEPAPPPLKEGGIPGRKIVVSTNIAETSLTIDGIVYVIDPGFAKQKVYNPRIRVESLLVSPISKASAHQRSGRAGRTQPGKCFRLYTERSFNNDLQPQTYPEILRSNLANTVLTLKKLGIDDLVHFDFMDPPAPETLMRALEVLNYLGALDDEGNLTKLGEIMSEFPLDPQMSKMLVVSPEFNCSNEILSISAMLSVPNCFVRPREAQKAADEAKARFGHIDGDHLTLLNVYHAYKQNNEDPSWCYENFINQRGLKSADNVRQQLVRIMGRFNLKLCSTDFNSRDYYINIRKAMLAGYFMQVAHLERTGHYLTVKDNQTVHLHPSNCLDHKPEWVIYNEFVLTSRNFIRTVTDIKGEWLVDIASHYYDLQNFPNCEAKRVLDKLYKKRERVKDEGKSRK >OIV96108 pep chromosome:LupAngTanjil_v1.0:LG16:732657:736048:1 gene:TanjilG_13040 transcript:OIV96108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVGQIFRLSLPTMLTLLFFLCLVTSSYSSEVQSLMKFKSSIQSSNANLFSSWTQENSPCNFTGIVCNTDGFVSEINLADKQLVGTLPFDSICALRSLERISLGSNFLHGSINEELRNCTNLKYLDLGGNSFYGAVPDLSSLNKLQYLSLNASGISGTFPWKSLENLTNLSFLSLGDNLLEESLFPLEVLKLNKVYWLYLANCSITGNIPFGIGNLTHLENLELSDNHLYGEIPADIVKLHKLWQLELYDNNLSGKIPVGFGNLANLVNFDASSNRLEGDLSELRSLTNLASLQLFQNKLTGRIPQEFGDFRNLTEISLYDNKLTGSLPQNLGFWKGLKFIDVSDNSLSGLIPLDMCKNNQINELSLLNNSFIGSIPETYANCTSLQRFLLNHNSLSGVVPSGIWSLPNLQLIDLSMNKFEGSIASGIGKAKLLAQLFLSDNQFSGELPLEISEASSLVSIQLSSNQISGHIPEMIGKLKKLTSFDLSNNNLSGIIPYSISSCISLNEINLAGNSLYGEIPSSIGSLPTLNSLNLSSNKLSGEVPSSLSPRTLSLLDLSNNKLFGSIPEPLAISAFRDGFIGNPGLCSQTLKKFQPCSSESGKSRSHRALLLCLMAGFMLMLLSSAYFLLMKLNHNKTEKPLKRNSWDVKQYHMLNFNENEVIHGIKDENLIGSGGSGNVYKVVLKSGAEFAVKHIWTSNQSDRGSCRSSSAILKRSSRSPEFDAEVATLSSIRHVNVVKLYCSITSEDSNLLVYELLPNGSLWDRLHTCDKKIKMGWKVRYDIGLGAARGLEYLHHGCDRPVIHRDVKSSNILLDEEWKPRIADFGLAKIVQGGAGNWTHVIAGTLGYMAPEYAYTAKVTEKSDVYSFGVVLMELVTGKRPIETEFGENKDIVCWVCNNMRSSKENAIVQLVDSTISKHFKEDAMKVLRIATLCTARIPSSRPSMRMVVQMLEETEPCTLTNIVLTIDG >OIV95823 pep chromosome:LupAngTanjil_v1.0:LG16:3745344:3746615:1 gene:TanjilG_06799 transcript:OIV95823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTHVSSLLSFSSSSERVSIKSSINLPKLPRPNSPFLAPTIPSRKLYEELNILPNTLPILQDGSSSCNNNLPKSKATTHQLYAILEAVADRVEMHHNIGQQRDNWNTLLLNSINMLTLTASTMAGVAATCGGDGAPLLALKLSSTLLFTASTGMLLIMNKIQPSQLAEEQRNATRLFKQLQSHIETILALGKVTKKDVKDAMEKVLALDKAYPLPLLGAMIEKFPSKFEPAVWWPKPNTKSSRRVNKVQYNEAKGKKNGWNDGLEMELKDVLEVVKRKDMEDYERLGNLALKINKTLAIAGPLLTGIAGLGSMFVTQGSWVAIVPVMCGALATLVNALEHGGQVGMVSEMYRNCGGFFQLLESSIHDTIEEDVDQRENGELFEMKLALKLGRSLSQLRDLARKSAYSRVEGTAPDEFASKLF >OIV95559 pep chromosome:LupAngTanjil_v1.0:LG16:10487378:10496081:-1 gene:TanjilG_02914 transcript:OIV95559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDYKLDIVTHHDHQEPGLSTTISSRQSLEGIHEQGNMSINNFTTNAERRALNDSMIMLNKIVLSSFNFNAGISLMFYQNLISTLVVVLLSLSGRISVEKLNWRLIISAVSGGITDLSFDVVGYGWQISNCVLTACYSLTLRWVMDEAKKSTKSGSLNEVSMVLLNNLLSLPFAIILILIFGEWDYVTHA >OIV95370 pep chromosome:LupAngTanjil_v1.0:LG16:16129532:16132913:-1 gene:TanjilG_14524 transcript:OIV95370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRHPEVKWAQRAEKVYITVQLADSKDAKVDLTPDGVFAFSAGAGTGGNQYELKLELFDKVNVEESKINVGKRSIFCVVQKAEDEWWKRLLRAEGKAPHYVKVDWDKWVDEDEDEGGEPDMGGTDFSKFGGMGDDAMGGLGGMGGLGGMGGLGGMGGMDFSKLAGMGGDAMGGMDFSKFGGMGDDIDGSDDEEQEVSNPGEQDAGKSTGDASKDKQEAAPSTS >OIV96035 pep chromosome:LupAngTanjil_v1.0:LG16:2549719:2551659:-1 gene:TanjilG_27139 transcript:OIV96035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPRLIHPIEGLVEDVQSGGGGDPLSYHSKGSSPSQAIFTAQCSHAFHFGCIASNVRHGSVTCPICRAHWTQLPRNLNNNLCGSFTSSHQNDPILRILDDSIATFRVHRRSLLRSARYDDDDPVELDYTPDSPKLCFSLASISLNAPTSFHPALQVSKHASYPCHLSPHHLACSSSSLLQSPRMQTPYNMCPSSNRAYLSVKLTHERATDLVLVASPNGPHLRLLKQSMALVVFSLRHIDRLAIVTYSSAAARVFPLRRMTSYGKRTALQVIDRLFYMGQADPVEGLKKGIKILEDCMHKNPESCILHLSDNPTRPYHAVSMELPPTPIHRFHVGFGYGTSSGFVMQEFEEFLAKMLGGIVREIQLRIYGAEEDIGSGRVMRIGEIRGGEERRIMLDLGDCTHVYVEYSYIEGEIDECVRRTGETVVAVGNHKGDDDDDDDDADKVTEGAEEIGRDMNTGGRTSSVESLDFHDPYMARRWAKHLHGYRL >OIV95323 pep chromosome:LupAngTanjil_v1.0:LG16:16721463:16724844:-1 gene:TanjilG_07479 transcript:OIV95323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLHELYGMVKEKLDSKEMNTKTCAVDQSSLPENDFSELVWENGHISMLGQSNIPRKIPTFQLHKGHHDKDVGYVNSNTNNNATNLRMLRFESDLDNGLNENRLQDEDVLPWLNYGIDDYNSDFLPELPELLDKRSNSNQLFRESHTTSSRVSNITENTTSNVGETIQTPSGSSGFSSLRMDKQDPIVSSNSCTIMNFSHFARPAAIVKANLRNIDIGDMNKGNVASRSYHGESTRVVFNGECPKEAAIHVMEPSKVDLKPLQPKSLEQNAAVTKQCDHACNVDVSKIDRASDLVLGESHGKEQEAVEKRIEPAVASSSVCSGNGSERFSDDPNQSLKRKSRDTKDSECQSEDADEESVGVKKAAPARGVIGSKRSRSAEVHNLSERVDKASMLDEAIEYLKTLQLQVQIMSMGAGLYMPAMMLPMGMQHMQASHIAPFSPMAMQMGYGMRIHDNNGGSSRFPMAHVPQIQGTNLLSAHMSGATDSHGMAARSYPQVFGVSNQGLSVPMPCSPLLPFQGEPLMNQSSLGLMETVDSVSTSSLKNPMPNVNSQVMQNTNACNSAIQMPNQCGARNVGFEHSANLVHNSGHAFEANDIRVVIR >OIV95117 pep chromosome:LupAngTanjil_v1.0:LG16:17863861:17866181:-1 gene:TanjilG_21507 transcript:OIV95117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSFLYRANALLTFAITILGVVCAIASFTDNFHNPTPHAQVKVLNINWFQKQPNGNDEVFVFLAAEYETPKNAVNQISLWDGIIPSKEHAKFWTHTSNKYRFIDQGSNLRGKEFNLTMHWHIMPKTGKMIADKIVIPGYKLPAEYR >OIV95050 pep chromosome:LupAngTanjil_v1.0:LG16:20492436:20494430:1 gene:TanjilG_10870 transcript:OIV95050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEILKLFFYLCIIPIIYASHDCQISWCGDDNIVIRFPFQLESEQQDPHCGYSGFELLCSNDSKAILKLPHTEKFYVRNINYIRQQIQLYDPDHCLPKLLLSLNLSGSPFIAIFRNNYTFLSCSYPNTDSQLVPIECLSNSTNFVSSIPSVNLTNSLLESCHVIRNISVPAAWQGLLYEGNFRDDLSEDLRLTWYAPDCTECESQGMLCGFENGENDQVGCFYDYQRGLSSHNKSKAMRILSLSIVGTSLICAIGVTCFVYFKIRIAARQRSAAAAISLQPATATMGLDESTIESYQKLVLGESRRLPGPNGGCCSICLSDYKSKEIVRCIPKCRHCFHAECIDKWLRMNSSCPICRNFPSTNT >OIV95841 pep chromosome:LupAngTanjil_v1.0:LG16:3896506:3899031:-1 gene:TanjilG_06817 transcript:OIV95841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQPGGPGGIAPPTIPQQPYVMLPPQPQPQPIWQQPPPQSLPTPQQQPTSADEVRTLWIGDLQYWMDENYLYQCFAHTGEVASVKVIRNKLTNQSEGYGFIEFNSRAGAERVLQSYNGSIMPNGGQPFRLNWASFSAGERRHDDSPDYTIFVGDLAADVTDYLLTETFRSRFNSVKGAKVVIDRLTARSKGYGFVRFADENEQVRALTEMQGVVCSTRPMRLGPATNKNVGTPQKVMLLKIAVQTHFFLVPRGSVISEPGTDFS >OIV95813 pep chromosome:LupAngTanjil_v1.0:LG16:4357496:4358005:-1 gene:TanjilG_20263 transcript:OIV95813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTEVLRPQDYFIERIGNPRPSVSAFSRRRSNFNDHTYNHNQNHVSTYVKPSRKPLVRPDPKKRVEKKPSSDDSKMVRRSGVVMEKVVILRRGESLDSKIKSEGLMKEGDFVPDPDRIPGCDIYAGSAFAMSPSPSALPLPSFHKKSSSSPAVIVDSATRDLRRLLRLE >OIV95750 pep chromosome:LupAngTanjil_v1.0:LG16:5176411:5177818:1 gene:TanjilG_05298 transcript:OIV95750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHHIRAFSSPLLTTSSDTGQPQDDCGVEGLATNIKLLLKLIQEHNGCSAKDNYERKCNRVNGMMFILDEARSRVQKVQSSTKRKAELRRCNTDLRPKIPAPKDKRIHPDLSVDEKEKLRKELNASLVEQQSLQAMCSSLGKEKQIMASELARKAQELAEMEEFIGDLKARNDTLLERLHAASSELKEKKNSGIDMDGNITLQARNKALTEQLQKSIDGYRSLKRKLRDIQEENKEMHATMEQMEVEVREGIDRIHGFKEEMVSGNEQTNNIQEEISALEHMLESLNKKISKYTQKKT >OIV95536 pep chromosome:LupAngTanjil_v1.0:LG16:11000491:11002608:-1 gene:TanjilG_10924 transcript:OIV95536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSKLRKAIGAVKDQTSISLAKVTNAANLEITILKATTHDKNSIEERYVNEIVQLVSSNKVYAVVCAQCIGKRIGKTHNWVVALKSLMIILRIFQDGDPYFPREVFHAMKRGAKILNLSSFKDDSNSSPWDYTAFVRTFALYLDERLDCFLTGKLQRRFTYHNRFHEKSQRNKLSNEPGIKDMKPTMVLDKITCWQRLLDRAIGTRPTGSAKTNRLVQICLYAIVQESFDLYRDISDGLVVVLDDFFHLSHPACANAFNACVKSYKQFDELSAFYSFCSSIGVGRSYEYPSVKKISEELMETLQEFLKDQHPENNNGSQHFLFLSPKDLAASSSSQDEGSERYGSTHERFFGSGSDFGSQCTSLEDLMSATDATLSPKRTFEEDMYSEEDETDSQSQYSCSTKSFHVDQTSRLSLDTIRFDGLMQQNQTNEWEQQNTNPGSDKGFKDCWELVLVETITAPKGTSPESKNGFEPFGTLFDQPSVPQNQYNPFLEDIGTIAPPVTTNPILKTQASFNDFFYAEPSTFCAQDPLASTLSGQSSNFTTNMDLIFGNINQNESTTAPTFIPQGSTNTNMTLPHTFSASKSDNMINAAPSLQAQHSFTNNDDNAPMFSMQNVYVTVETPGHATKNPNTFPAPSFYHSTVPPTFSAKDCNQKTPKEDDPFEPWHGSKINESIPNVSMQDQTWLWQQQLWLEQQNKIIGKHMT >OIV96059 pep chromosome:LupAngTanjil_v1.0:LG16:2750950:2751987:1 gene:TanjilG_27163 transcript:OIV96059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSIPSKNLWIRRQQCPCGDWKCYITYEGDGDGDEGSSAPELIKTEKPSSGTMITPYVGMVFKNDDDAFEYYGSFARKNGFSVRKERSRISPQLGVYKRDFVCYRSGFAPMKKKSNGENHRDRKSVRCGCDAKMYLCKEVVEGVPQWFVVQFSNVHNHELLEDDQVRLLPAYRKIHEADQERILLLSKAGFPIHRIVKMLELEKGIQGGQLPFLERDVRNFVQNRKKVVQENGALLSEKRENDVLELLEACKAMKEADGDFVYDFTVDENDKVENVAWSYGESVNANAMFGNVVYFDTTYRSITYGLLFGAWFGIDSYGRTIFFGCCLLQDETPQSFSWALQVYY >OIV95705 pep chromosome:LupAngTanjil_v1.0:LG16:7243694:7246705:1 gene:TanjilG_01499 transcript:OIV95705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAHLFNAPLEETETAIGVGTVGSSEAIMLAGLAFKRKWQERRKEEGKPYDKPNIVTGANVQVCWEKFARYFEVELKEVKLSDGYYVMDPEKAVELVDENTICVAAILGSTLNGEFEDVKRLNDLLVEKNKETGWDTPIHVDAASGGFIAPFIYPELEWDFRLPLVKSINVSGHKYGLVYAGIGWVIWRSKDDLPDELIFHINYLGTDQPTFTLNFSKGSSQIIAQYYQLIRLGFEGYKNVMENCKDNMLVLKEGLEQTGRFQIVSKDNGVPLVAFSLKDHSHFDEFQISDFLRRFGWIVPAYTMPPDAQHVTVLRVVIREDFSRTLAERLVVDIEKVLHELDSFPSKVVASTSVTVTESVENNGKAKKSAIETQREITAVWKKFVSERKKLNDKMSGVC >OIV96041 pep chromosome:LupAngTanjil_v1.0:LG16:2596880:2597339:1 gene:TanjilG_27145 transcript:OIV96041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVEMHSQKCRSRVLKIASGTRGVEYVGIEGEDKDRVVVVGDEVDAANLTICMRRKVGYTDLISVSKVEAK >OIV96033 pep chromosome:LupAngTanjil_v1.0:LG16:2536878:2539554:-1 gene:TanjilG_27137 transcript:OIV96033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYHPKNFHSQSLIGSNSLVHDQLIDCGGSTMDPGNGGNNTNSPNVSSKQRLRWTHELHERFVDAVAQLGGPDRATPKGVLRVMGVQGLTIYHVKSHLQKYRLAKYLPDSSSEEGKNADKKEMGDMLSNLDGSPGMQITEALKLQMEVQKRLHEQLEVQRQLQLRIEAQGKYLKKIIEEQQRLSGVLSDAPGSGVTASAQGGMYQEHDNKTDPATPDPEKAAKERAPAKSLSIESFSSHHEPLTPDSGCNVGSPAESPKGERSTKKQRISMEEAVYSKPEMVLPHQILESSMSSYQQANTVFLCQEQFDPSLRGISGRSGEGLEK >OIV96086 pep chromosome:LupAngTanjil_v1.0:LG16:3543401:3547556:1 gene:TanjilG_27190 transcript:OIV96086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTRIPTHQLSSGLYLSGRPEQPKDRQPTMSSRSAPYTGGDPSKSGELGKMLEIPTVDPNPSTKPSQNTGSVRSRPNSGSTIKHSGLGPLSRKSSGSGQMALPTGLFTSGPIGSGPVEISGGDGRRSGNLDRTGSMGKLVYGSSVTSLSEEVKVGFKVSRAAMWVFLVVVVMSLLVGVFLVVAVKKAVILVALGAVIVPVVVLFVWNCVWGRRGLLGFLKRYPDAELRGAIDGQYIKVTGVVTCGSIPLESSYQRVPRCVYVSTELYEYKGLGAKSANPKHRCFSWGSRYSEKYIADFYISDFQSGLRALVKAGYGAKVAPFVEPTTVVDVTKGNKELSPNFLGWLADRKLSNDDRIMRLKEGYIKEGSTVSVIGVVRRHDNVVMIVPSSEPVSTGCQWFRGLLPTYVEGLVLTCEDNQNSDVIPV >OIV95802 pep chromosome:LupAngTanjil_v1.0:LG16:4451952:4453877:-1 gene:TanjilG_20252 transcript:OIV95802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISSISATSMSLTSLSMVPAARIFNPNSVSLSIKGRNFPSITFQPKAPRFQVTCAAKPETVEKVCNIVKKQLALPDESAITGESKFSALGADSLDTVEIVMGLEEEFGISVEEESAQTITTVQEAADMIDKLLESNKS >OIV95792 pep chromosome:LupAngTanjil_v1.0:LG16:4534669:4534977:-1 gene:TanjilG_20242 transcript:OIV95792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRIAKLASQKAVVIFSKSSCGMSHAIKRLFYEQGVGPAIYELDEDSRGKEMEWALMRLGCNPSVPAVFIGGKFVGSANIVMTLHLNGSLKRMLRDAGALWL >OIV95328 pep chromosome:LupAngTanjil_v1.0:LG16:16679016:16685510:1 gene:TanjilG_07484 transcript:OIV95328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRLKSFRPTSDKIVKLQLHPTHPWMVTADDSDRVSVWNWEHRQVIYELKAGGVDQRRLVGVKLEKLAEGETESKGKLTESIRGGSVKQVAFYDDDVHFWQHWHNRSSAAEAPTGVHTSSFSSPAPSTKGRHFLVICCLNKAIFLDLVTMRGRDVPKQELDNKSLLCMEFLYRSGVGDGPLVAFGASDGAIRVISMITWKLVRRYTGGHKGSVSCLMSFMASSGESLLVSGASDGLLIVWSADHGPSSRELVPKLSLKAHDGGVVAVELSRVIEGAPQLITIGADKTLAIWDTVSFKELRRLKPVPKLACHSVASWCHPRAPNLDILTCVKDSHIWAIEHPTYSALTRPLCELTTVIPPQALAPNKKLRVYCMVAHALQPHLVATGTNIGVIICEFDPRSLPPVAPLPTPSDSREHSAVFVIERELKQLNFQLNNSANPSLGNSSSFSETGKSKGDSFEPLPVKQGKKRISTLVPHDSYSVLSVSSSGKYLAIVWPDIPYFSVYKVSDWSVVDSGSARLLAWDTCRDRFAILESSVPPRIPVVPKGSSSKRAKEAAAAQAAAAAFVAAASSSASVQARILLDDGTSNILMKPVGARSEPVRLFSV >OIV95183 pep chromosome:LupAngTanjil_v1.0:LG16:18472273:18473414:-1 gene:TanjilG_21573 transcript:OIV95183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLGEIASSYAALVLHDDQIPITADKISAVLKSANVQVESYWPILIAKLAEKRNLGDLIASAGGGGAPVAIAAAPVAAAAGGAAAAAAAAPAPQQEEPKEESDDDMGFGLFD >OIV96095 pep chromosome:LupAngTanjil_v1.0:LG16:3634195:3634344:-1 gene:TanjilG_27199 transcript:OIV96095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPNGAEAFNGEIATVGDDVKGMMENQDWAFDLGNDGGDAMLPFGDDNL >OIV95555 pep chromosome:LupAngTanjil_v1.0:LG16:10185000:10186647:-1 gene:TanjilG_02910 transcript:OIV95555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKLAPEKRHSFLHNGQKVFEWDQTLDEVNMYINLPPNVHSKQFYCKIQSKHLELGIKGNPPYLNHDLTCPVKTDSSFWTLEDDIMHITLQKRDKGQTWASPIVGQGQLDPYATDLEQKRLMLQRFQEEIAINIEIVKNRVTLTHIPNVGHVTLL >OIV95694 pep chromosome:LupAngTanjil_v1.0:LG16:6912926:6915181:-1 gene:TanjilG_01488 transcript:OIV95694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALIMFKQDIIDPFNKLSTWSSEHDCCAWEGVYCHNTTGRVISLDLYSFGTESKYLEGEINLSALFQLEFLSYIDLSYNFFTTLSVPSIHNNFTTRLQYLDLAGNDGLHIDHLNWLSQLSSLKYLNLSHIDIHKQTNWLHTMALLPSLSELHLSRCKLTNIYPSLKYVNFTSLVTLDLSQNNFSSKLPHWLFNLTSDISEIRLGGSNLHGEIPLSLLNLQTLEVLDLSKNSLSGSIPSSIGNLSSLIYFDISSNFLRGNVPESIGQLFKLNTLHIGNNGFSGVLSDMFFSKLSNLQSLDLSNSNFEFEMDTNWIPSFQLSEIDLSNTLQGPNFPSWLSTQMSLEYLDISNTRISSIEVDVWNFVAGIDNIYLSNNSISGDISNITLTSSIISLDHNNFTGRLPGLTANAGYVDVSHNSFSEGLPNGLENLEELIYINMESNKLTGELPLDMSNMTILEFIYLGNNEFSGNIPMKMPPSLKLLILRSNQFEGNIPSQLCGLTSLSILDLAHNKLSGPIPHCLHNITSMVSGETNSEAYFLENTFNLIMKGQELEFEYIGRSQTIDLSVNNLSGEIPSGLFSLVKVRSLNLSHNHLTGKILKTIGDLKNIESLDLSYNQFSGEIPQSISGLTFLESFNLSYNNFSGQIPQGPQLQTFDAWSYVGNPALCGVPLTKNCTQEENPSIPKQHSETDPFKESLYLGIGVGFAVGFWGVSGSLFLNKTLRYTYFRLLSRVADRIYVIVAVKFRNFRGT >OIV96029 pep chromosome:LupAngTanjil_v1.0:LG16:2497724:2500995:-1 gene:TanjilG_27133 transcript:OIV96029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQESSGELERHANSAHYVRGKSEYVRLVMSDEPGAVENEMLQPQAESRFNSFRWWIKAFLWCFVIVVIGLLMKWGVPFFFEKVVYPIMEWESTAFGRPVLALVLVASLALFPVFVIPSGPSMWLAGMFFGYGLGFVIIMVGTTIGMVLPYLIGLLFHDRIHQWLNRWPQYVEMIRLAGEGSSFHQFRVVALFRISPFPYTIFNYAIVVTNTRFWPYLCGSIAGMVPEAFLYIYSGRLIKTFADAQNGKRHLTTMEMVYNIISLIIAVVTIVAFTVYAKRTLNELKMEVANEEGASVSDNTSLEMEKGSH >OIV95996 pep chromosome:LupAngTanjil_v1.0:LG16:2196840:2199525:-1 gene:TanjilG_27100 transcript:OIV95996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHLALSQASNLNSQDKLWAPVITLNLKANHSRLRNRHVTCMSVQQASVSKVAVSPLGLEDAAEPPLNLHKPKEPYTATIVSVERLVGPKAPGETCHIVIDHGGNVPYWEGQSYGVIPPGENPKKPGAPHNVRLYSIASTRYGDFFDGKTASLCVRRAVYYDPETGKEDPSKNGICSNFLCNSKPGDKIQITGPSGKIMLLPEKDPNATHIMIATGTGVAPYRGYLRRMFMESVPTYKFGGLAWLFLGVANTDSLLYDDEFTKYAKDYPENFRYDRALSREQKNKKGGKMYVQDKIEEYSDEIFKLLDNGAHIYFCGLKGMMPGIQDTLKRVAEESGFSWEEKLSQLKKNKQWHVEVY >OIV95631 pep chromosome:LupAngTanjil_v1.0:LG16:8072385:8072819:-1 gene:TanjilG_23862 transcript:OIV95631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTITKLFFFFISLSSQVEALTLDQPGYTEIKCESCPCGDTCGAQTPPPPPPPPPLPQPCSPPPSPSPPPPSPPPLPNLPSCPGTCNPSPRPPPPPRFIYVPVPGNANPDKPYTWIYYYSGAENRGVGFLVMACLGLLSTMLFG >OIV95724 pep chromosome:LupAngTanjil_v1.0:LG16:6047767:6054004:-1 gene:TanjilG_05272 transcript:OIV95724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHLFRFSAANTRRNHLLLRYYKAAKAAPTPSPATPPKPPKKPQKFSFHGVTWEDQYSWMSNLSDKVAMRHMDVYMEQEEKYTEAVMLDTERLQDKLHSEMASRMAFDLSTPPIRWGPWLYYRRAEEGKQYPVLCRRLASLNDEFISHKHPSAGFDFTTGKRIEQKLVDYNQEAERFGELSEVSPNHLFLAYTMYDKDTDYFKLSVRNLNSGSLCSKPQADRVSNLAWAKDGQALLYVVTDQKKRPYRIYYSLIGSTDEDVLLLEESDENVYINIRHTKDFRFVTVNSFSTTSSKVFLINAADPLSGLKLVWECDAQAHCIIEHHHGYLYLFTDAPKGGQSVDYHYLLCRRVDNPSNPRKWEEVFVDDQDFIVQDVDFSDKYLALIVREGQNLRLCSVGLPLSSAKGAVKVRNLDTQFLSLPKHVCQISPGPNYDFYSSVMRFIISSPVMPDAVVDYDLATGRWNIIQQQNLLLERTRVLYGTNSASNSMDSSNSKCSSPVNANLEDEQLWNDLSEFYACEQYDVPSSDGVLIPLTIVFSRYNKPEDKKPGILHGHGAYGELLDKRWRSELKSLLDRGWVVAYADVRGGGGHGKKWHHDGRGTKKHNSINDYISCAKFLIERGIVNEDKLAGWGYSAGGLLVASAINRCPDLFRAAVLKVPFLDATNTLLHPILPLQAVDYEEFGYPGDLDDFLAIREYSPYDNIQKDVLYPAVLVTSSFTTRFGVWEAAKWVARVRDLSIYDPTRPTLLNLITDIVEENLYLQSMESALEAAFLIKMMKS >OIV95770 pep chromosome:LupAngTanjil_v1.0:LG16:4805736:4805960:-1 gene:TanjilG_23192 transcript:OIV95770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAITSAVIAVVGVLLGWITIEIACKPCLETGREAIDRSLNPDYDPDDDNTVREPLNPTVTDHSAAAPSAVKSV >OIV95875 pep chromosome:LupAngTanjil_v1.0:LG16:4259748:4260851:1 gene:TanjilG_06851 transcript:OIV95875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHGESPHAPIPTLPFELITEILSRIPVKFLMQFNCVCKPWKTLISDPKFAKKHLSTVTTTNLILSFMNPSREFLIRSYSLQSLFKSLTSIPMNLNYPLNNRNRFDVMVGSCDGMICFAIDQNWALLWNPSIRKFKKLPSLDNPKQEGSYTIYGFGYDCLSDTYKVVGVFCYECGSGGAIAYRTEVKVHTLGTDYWRRIQEFPSGVPFDSSGKFVCGAINWLASGSDAFNSSWVIVSLDLGKESYEELLQPDYGEVAVVTLTLGSLRDCLCVLAHGDTFSDVWLMKDYGNKESWTKLYRVPYMENTDSLPYTKALCISENDEVLLEFQSTLVVYNSRNGTFRVPEIQDISGWAIPEIYVESLISPCS >OIV95351 pep chromosome:LupAngTanjil_v1.0:LG16:16450388:16455821:-1 gene:TanjilG_07507 transcript:OIV95351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAKDILGLSKNSFPSLEKKSRPHKESQRKPDGISREVYALTGGLPSLMPSIDASHLKKKPPSNEKITWQWLPFTSSARKDNLQLYHWVRIINGVPPTGDYSFAKYNKSVEIIKYTEEEYEKYLTDPMWTKEETDQLFDLCERFDLRFVVIADRFPSSRTVEELKDRYYSVCRALLIARAPSPGDVAAHPVVKEPYNVSQEIERKRALSMVLSQTRQQERRDEEVLVEAKRIAELRMPAKAAEESQLAVASNPGAEVLERTVPGETAPSTLTDNAAILASLRTLRVYLRTYALDQMVQAASSSAGLRTIKRVEQTLHDLGVNLKPRVPTKAVCTEHLELRKEILTLLNLQKQVQYKEAEGSSFRDGSFCESPGTPKDRTFIPEFMSFGGERVAKKDHKRKGHGAPSPSAHKRPRKMKATDL >OIV95907 pep chromosome:LupAngTanjil_v1.0:LG16:1464209:1466641:1 gene:TanjilG_27011 transcript:OIV95907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVALLLLLVLSNAVRIDAEGGQCVSNPTLDPRPHSVSISEFGAVGDGKTLNTLAFQNAIFYLKSFADKGGAQLYVPPGKWLTGSFNLTSHLTLFLEKGAVIIGSQDPSHWDVVAPLPSYGRGLEVPGGRYQSLISGYMLHDVVITGNNGTIDGTGSVWWDLFDSHSLNYSRPHLVEIVASEFVVVSNLTFLNAPAYSIHPVYCSHVHIQNVSISAPPESPYTVGIVPDSSDSVCIEDCVVTMGYDAIALKSGWDEYGIAYGRPTENVHIRKVYLKAFSGSSLAFGSEMSGGISNVLVEDVHIFNSNSGIEFRTIKGRGGYMKDIFMSDIQMENVDTAISATGRFGSHPDDKFDPKALPLLDHITLKDVTGTNITIAGSFAGIEESPFSNICLSNITLSTNSVSTITWECSNVSGFSDSVSPKPCPDLENPSNSSSSCFPYLLSITERKTAVL >OIV95094 pep chromosome:LupAngTanjil_v1.0:LG16:17669013:17669357:-1 gene:TanjilG_21484 transcript:OIV95094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIASPVQPPRIIKFLKPYVLKMHFTNKYVSAQVIHSPTATIASSASSQEKALRSGLETTRDVAAAAKIGKLLGERLLMKDIPAVHVQLKKEQKYHGKVKAVIDSLVESGVKLL >OIV96093 pep chromosome:LupAngTanjil_v1.0:LG16:3609031:3613975:1 gene:TanjilG_27197 transcript:OIV96093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSFVQNGGFAAPRAATTSVTGRFASVYSDVQSSRVNHALPLPSVLKKPFTIVDGPQSSAAGNPDEIAKLFPNLFGQPSAALVPSDDSNAALPDQKLKIGVVLSGGQAPGGHNVISGIFDYLQDRAKGSTLYGFRGGPAGIMKGKYVELTSDYIYPYRNQGGFDMICSGRDKIETPDQFKQAQETSQKLDLDGLVVIGGDDSNTNACLLAENFRSNNLKTRVIGCPKTIDGDLKSKEVPTSFGFDTACKIYAEMIGNVMVDARSTGKYYHFVRLMGRAASHITLECALQTHPNITIIGEEVAAKKLTLKNVTDYIVDVISKRAEDNYNYGVILIPEGLIDFIPEVQHLIAELNEILANDIVDEDGLWKKKLTDQSLELFDFLPEAIQEQLMLERDPHGNVQVAKIETEKMLIQMAETELEKRKQEGKYNGDFKGQSHFFGYEGRCGLPTNFDATYCYALGYGAGALLHSGKTGLISSVANLSAPVEEWVVGGTALTSLMDVERRHGKFKPVIKKAMVELEGAPFKKFASLRDEWALKNSYISPGPIQFSGPGSDAISHTLRLELEAEA >OIV95408 pep chromosome:LupAngTanjil_v1.0:LG16:15733487:15742085:1 gene:TanjilG_06277 transcript:OIV95408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIQRVAVVVALDPPGTTPEPPCDNMKSPSSRSSRIMLVLPSCDFPHSDPLLLDHEVAYLSPLLAFNLNLHISCLKSILQNGQDSLATYFKPEGGDEDTAKSIKDYAVNVELEPLAQPPRFASHLRVSFVKIPESGVLESIRGTSPVELEERQDMIDMALQKYFEVNRYLSRGDVFGININWNCNSTICIPCNQNSQKKNNNLLYFKVIAMEPSDEPILCVNRTSTALVLGGSSPSALPPDLLIAGQEGPVPLQGDTVKILASILTPTYCPSALSSKFRVSVLLYGLAGCGKRTVVRYVAHRLGLHVVEYNCHDLMASDKTSVALTEAFKTARRYSPTILLLRHFDVFRDSNSQEGSLNDQRGNTSEVASVIRKFTEPVSEHGDSNSQGKSNSESRDVKVERNPEKTSGHKILLIAAADSSEGLPSTIRRCFSHEISMGTLTEEQRAEMLFQSLQSVSELLSDTDGFVKEMVGQTSGYMPRDMSALIADAGANLFPRSNAEVDKGRLEDVDDSLGSEVTENKNQNVSSQIPGKEDLMKALEQSKKRNATALGAPKVPNVKWEDVGGLEDVKKSILDTVQLPLLHKDLFSSGLRKRSGVLLYGPPGTGKTLLAKAVATECSLNFLSVKGPELINMYIGESEKNVRDIFQKARSARPCVIFFDELDSLAPARGASGDSGGVMDRVVSQMLAEIDGLSDSSQDLFIIGASNRPDLIDPALLRPGRFDKLLYVGVNSDPSYRERVLKALTRKFKLHDDVSLYSIAKKCPPNFTGADMYALCADAWFHAAKRKVLSEDPESSNQDNEADSVVVEYNDFVQVLGELSPSLSMTELKKYEQLRDQFEGTSK >OIV95198 pep chromosome:LupAngTanjil_v1.0:LG16:18769885:18772648:-1 gene:TanjilG_21588 transcript:OIV95198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYLLPHLHSGWAVDQAILAEEERLVVIRFGHDWDETCMQMDEVLASVAETIKNFAVIYLVDITEVPDFNTMYELYDPSTVMFFFRNKHIMIDLGTGNNNKINWALKDKQEFIDIIETVYRGARKGRGLVIAPKDYSTKYRY >OIV95382 pep chromosome:LupAngTanjil_v1.0:LG16:16270072:16275586:1 gene:TanjilG_14536 transcript:OIV95382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNHMRRTPPLFLVLVTILSIVFLVTHFTIIPKTTTTTTNLRHQEIHLHYQKHIQVAYSTCEGTLYPDLCVSTLTSFQDLTSKSVPQTICTLLNHTIYEVTLSSSNCTSFRKNLRNLNNLEQRALDDCNNLFDNTIEELKTSIYDLNQSTICSKRYHDSQTLLSGAMTNLYTCLDGFAYSKGTHVRDRIEEKLYEISHHISNSLAMLKKVPGVKKQSKSEVFPEYGKMKDGFPSWVSFKDRKLLQASVNETKFDLVVAKDGTGNFSTIVEAIAAAPNSSKTRFVIHIKSGAYFENVEVIKKKTNLMLVGDGIGNTVVKASRNVVDGWTTFQSATFAVVGDGFIAKGITFENSAGPSKHQAVALRSGADLSAFYQCSFVAYQDTLYVHSLRQFYRECDIYGTVDFIFGNAAVVFQNCNLYARKPDPNQKNLFTAQGREDPNQNTGISILNCKVAAAADLNPVKSTFKSYLGRPWKMYSRTVFLQSYIDDLIDPAGWLEWNGTFALDTLYYGEYKNRGPGSNTSARVTWPGYHIINSSIEASKFTVGQFIQGSEWLNSTDIPFFSDLS >OIV95087 pep chromosome:LupAngTanjil_v1.0:LG16:17619006:17622790:1 gene:TanjilG_21477 transcript:OIV95087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSESVFSVLTEDLLIRVLDQINSGTDRKSLRLVCKDFLRVESTTRRNIQILRIEFLLSLLKKYSNIETLDLSLCPRIDDGSVSILLSNELGSWTRGLKKLVLSRATGLGYTGLDQLVRAYPILEEIDVSHCWGYGDREAAALSNAPRLRKLNLDKCLGVTDIGLAKIAVGCGRLESLSLKWCLEISDLGIDLLCKKCLELKFLDVSYLKITSESLRSIASLLKLEIFAMVGCSLVDDAGLRFLEKGCPLLKAIDVSRCDYVSSSGLISIINGHGGLEQIDAGYCFSELSAPLVECLKNLKQLSILRINGVRVSDFILLTIGTNCKSLVELGLSKCIGVTNIGIMHLVSGCCSLKILDLTCCRFITDAAMSTIAHSCPDLICLKLESCNLVTENCLRHLGSNCLLIQELDLTDCFGINDIALKNLSRCSGLVRLKLGLCINISDIGLAHIACNCSKITELDLYRCVCVGDDGLAALASGCKKLMKLNLSYCNRITDRGMEHISHLGELSDLELRALSNVTSIGIKAVAISCNRLADLDLKHCKKIDDSGFWALAFYSQNLRQINLSYCNVSDAVLCMFMCNLKRLQDAKLVHLSRVTVKGLELALRTYCGRIKKVKLQSSLRFSLSPELLDTLHARGCKIRWD >OIV95766 pep chromosome:LupAngTanjil_v1.0:LG16:4985467:4986854:-1 gene:TanjilG_05314 transcript:OIV95766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAFISTMTITYIFLALFLSSDIGSIPHVKSLGINYGQVANNLPQPDKVLELLSTLKLTKTRIYDTNPQILSSFANSNIEIIVTVENEVLSQLDDPQQALQWVNSHIIPYLPETKITGIQVGNEVFTDDDDTLLQHLVPAVINIHNALSRLGYSNIQVSTPSSLAVLQNSYPPSAGSFKSEINGIMYQFLNFLSTTKTPFWINAYPFFAYEDDPSTIPLDYVLGNNPNEGMVDPYTKLHYDNMLYAMVDAVYFAIAKMGFRNIEVRVSETGWPSKGDTNEVGASPQNAATYNRNLLRRQMANEGTPLNPRIRLEVYLFALFNEDMKPGPTSERNYGLFQPNESMTYNVGLTSVSTTSTSTPSSSASFTLDSSATKTKVKP >OIV95516 pep chromosome:LupAngTanjil_v1.0:LG16:13610189:13611488:-1 gene:TanjilG_25187 transcript:OIV95516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMGFKEFIIRSYEGQSDRPQVEDLERRCEVGPAESVFLFTDTMGDPICRIRNSPMFMMLVAELGNELVGVIQGSIKVVTIHSCPPMGLAKVGYVLGLRVVPHHRRKGIGSSLVQRLEEWFISNDVDYAYMATEKDNHASVSLFMDKFGYTKFRTPAILVNPVNHHSFQISSNIEIARLKIEQAESLYRRFMSSTEFFPNDIDNILRNKLSLGTWVAYFKGDSDFGSNGQVPSNWAMLSVWNSGEIFKLRLGKAPFSCLLYTKSWGFIDKIFPCFKLPTLPDFFNPFGFYFMYGVYHEGPFSGKLVRALCQFVHNMASKSKDENCKIIVTEVGGRHKLNNHIPHWKLLSCPEDLWCIKALKNEGTNTLHELTKTPPRIALFVDPREV >OIV96161 pep chromosome:LupAngTanjil_v1.0:LG16:1082428:1084673:1 gene:TanjilG_13093 transcript:OIV96161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLTSMTGRFTRFLSTRHKELVLDSVVDFPCVDEQPSDASDEFEFLDYGDILGHSASNDECHSNEIMELDVEEEEEDEEEKDSEENRSFWENQHQVLQANVYRTSSLESRIRHATKEALEGIKSVETVCDCNRMMASTTSCRNCLLREVSRHLQNAGYDSAICKTKWRSSPNIPAGEHNFLDIIDNTSSKKGEVRVIIELNFRAEFEMARGSEDYNGLVRRLPEVFVGKVERLSNLIKTLCIGAKRCMKEKKMHMGPWRKHKYMQAKWLGPCQRNTSTTNLSKGYSYENMPIPKPKPKKASMLTVDLLEKLPNMHFTALEVL >OIV95140 pep chromosome:LupAngTanjil_v1.0:LG16:18083744:18089978:-1 gene:TanjilG_21530 transcript:OIV95140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRVVSAGNTSNNNSTRPRKEKRLTYILNDPDDTKHCAGINGLAVLKYAASDGSDYLFTGSRDGKLKRWALADDVATCSATFESHVDWVNDAVIVGDNTLVSCSSDTTVKTWNALSNGTCTRTLHQHSDYVTCLAAAGKNSNIVASGGLGGEVFIWDVNAVLAPVSKCNDATVDDSSNGMKSSGNLHTVGSSNNICVHTTQKEGYSPIAAKGHKESVYALAINEGGAVLVSGGTEKVVRVWDTRSGSKTLKLKGHTDNIRALLLDSTGRYCLSGSSDSMIRLWDIGQQRCVHSYAVHTDSVWALASTPSFSHVYSGGRDFSLYLTDLQTRESSLLCTGEHPIVKLALHDDSIWVASTDSSVHRWPAEGYNPQKIFQRGNSFIAGNLSFSRARVSVEGSSPVPVYKEPTLTIAGTPAIMKHEVLNNKRHVLTKDTSGSVKLWEITRGVVVEDYGKVSFEEKKEELFEMVSIGTWFSVDTRLGSLSVHLDTPQCFCAEMYSAELNIAGKPEDDKVNLGLETLKGILTDWLIKRKQRMGSPAQANGELLSGKDIAARSLAHSRTVVDGNSENDIMVYPPFEFPVASLPSIITEGSEGGQWRKRVADLDGTEDEKDFPGWCLDCLLNNRLPSREATKCSFYLHPCEGSTAQILTQGKLSAPRILRTHKVINYVVEKMVLDKPLDSVANGGFAPGLGASQLQHQAVGDGSSQSGFKPWQKRRPSIEILCNNQASYIFSSMLCYDIVYNIVFF >OIV95900 pep chromosome:LupAngTanjil_v1.0:LG16:1364828:1370936:-1 gene:TanjilG_27004 transcript:OIV95900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFMSLNQAIRAEKYYNVSGIRGRKAITGCNLFIGNWVLDPSFPLYDSSSCPFIDAEFDCQKYGRPDKQYLKYAWKPDSCALPRFDGVDFLKRWKEKKIMFVGDSLSLNMWESLCCMIHASVPTTKTSFLRKEALSSVIFQCDEHYLIMAIQNGLVVMIVVGEFVKDYGVTIHLHRTPYLVDIIPEDVGRVLTLDSIVAGNSWKNMDLLIFNSWHWWTHNGTKSQGWDYIRDGPKLVKDMDRLEAYSKGLSTWSRWVDLNIDPSKTKVFFQGISPTHYQGKEWNQPKKSCSGELEPLSGSTYPVGLPPANNIVNNVLKKMKNQVHLLDITLLSQLRKDAHPSSYGADRAGNDCSHWCLPGLPDTWNQLLYAALTS >OIV95213 pep chromosome:LupAngTanjil_v1.0:LG16:19096308:19096805:-1 gene:TanjilG_21603 transcript:OIV95213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPHQALRETKKAQAKRALRSLAIAIAVPVTLNIGVIILCGSGHKYHQLAKPIWFAPLWFIHLATLGSSFFMGLAAWLVWADGVFKLEPDALPLYVAQVSLSIVWEPLVVNAHWLALVSSIVNFGTLFMCYLRFRKVNPFAKDLAKPCLLWAGYLTLVSFKLMFL >OIV95191 pep chromosome:LupAngTanjil_v1.0:LG16:18669587:18672174:-1 gene:TanjilG_21581 transcript:OIV95191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTSFSDLLTSPTDHNNINNNLGFSERLMGLSGIVGSSVPKFKSTPPPSLPLSHFPISPSSYFAMPHGFSFSELLDSPVLLNSYNILPSPTTGALVAQGFNWKSNHGENKQNNIKEEEKNFSSFSFPTQPQPQVQSSNITNQTQQQAWTFHEATKQESIVKTEYSSMQSFTPEISNVQSNHNTNFQPQILSRRSDDGYNWRKYGQKQVKGSERSYYKCTYPNCPTKKKVERDLDAQITEIIYKGSHNHPKPQATRRNSSSLVIAPSKHVRNEVQDQSYVTHVSGQMDSAATPENSSVSIGDNDYEHNSQRSKSGANEIDEDEPDAKRWRIEGENEGISALGSRTVREPRVVVQTTSDIDILDDGYRWRKYGQKVVKGNTNPR >OIV95861 pep chromosome:LupAngTanjil_v1.0:LG16:4080887:4083373:-1 gene:TanjilG_06837 transcript:OIV95861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPLQKVLLILTNLLFLASVDAKQIRLTIPSRVEIAFPILEPIDQKLQQPLLAIKRPDFETEFNGKWEVINNDSGVSAMQVILMPTNKIIVYDATVYRTSRLRYPEGVPCVQYIDMNSKQQLQDCFAHAMEYDIETNQVRPLKVTVDPWCSSGGLAPDGTLISSGGWNDGEKTLRYIRDSCPNCDFREYVDALQDPRWYATQQILANGEFMVIGGRKAYSYEFIPKEGQKSAKAFFFPFLYETSDIDENNLYPFTHLLPDENVFIFANNRSLLLNPNTNKVVRTFPVLPGGSRNYPASGSSTLLPIRLDYNNTSVQSIKAEVMICGGNTPDAFIIAESKKIFLPALQDCARMVITDPIPAWDIEVMPSRRTMGDALNLPNGQILLINGAKNGTEGWWDAEEPNFEPVLYSPDKPKGQRFKVLNPSAIARMYHSSSAVLPSGKIWVAGSNTHNTYKDVDKFPTETRVEAFYPPYLDPNLDKYRPQILQEASAKILRYRVRFEVQFSIPEGGYLVKDDIKVTMYSPPFTTHGYSMNQRLVVLKSGIIIQVTKGVYKVGCSAPRFAGAAPPGYYLLFVVHRGVPSQGMWVQIQNAA >OIV96190 pep chromosome:LupAngTanjil_v1.0:LG16:384125:384529:-1 gene:TanjilG_14867 transcript:OIV96190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRKLFITSLLFVLAFSSMSFSLGARNLLQTTTSPNIPTIPTLPNPTTFTFPPLPSIPTLPQGVNVPPLPSIPSLPKPTLSPLPSMSIPTAIPTLPQVNLPPLPPTSLPNVPSIPTTLPSIPFFSPPPSTSTP >OIV95826 pep chromosome:LupAngTanjil_v1.0:LG16:3767210:3771505:-1 gene:TanjilG_06802 transcript:OIV95826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAETKNSLKVKQTQTMSSSSSSLSPLGSVVNNINPSKSSEVRGRKRRIGSQKQLPELKTPMVRVYNRSKLPRVRWTPELHRCFVHAVQNLGGEDTSFKFELPREQMYRNMKQEQQMSQAKKNFMTPHFVPRSTLESNYSHQNIHQWSRGTDHYAEELALIQAQGNHHELKCYYGNKGTESMVICKGDEQKKHTYIVFKDLLGSQSVQETKNPPGMESLGAAAAYKSYHQRLGEQKDEDMLSLSLGSRQGLNDQEPRPDANDVSLELKLKLN >OIV95090 pep chromosome:LupAngTanjil_v1.0:LG16:17632441:17634885:1 gene:TanjilG_21480 transcript:OIV95090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSSSLSSSPSPSHRRSKHRHRTRRDRVNKDSLKIRKKTKSHTKRRRSRHHHSSDSDSYSSSSFSDSSRSESSSDSEHETSHHSKRHKKSDRPKKNKEKDRSKSHHHKQKKHKLKEKQHGERRSSPVQLSKFLGRDKDDGVRRSAVSGKKILLKLDKTKEDKAAESKRNELLSFLNASFD >OIV95141 pep chromosome:LupAngTanjil_v1.0:LG16:18091022:18097693:-1 gene:TanjilG_21531 transcript:OIV95141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRVVSAGNTSNNNSTRPRKEKRLTYILNDPDDTKHCAGINGLAVLKYAASDGSDYLFTGSRDGKLKRWALADDVATCSATFESHVDWVNDAVIVGDNTLVSCSSDTTVKTWNALSNGTCTRTLHQHSDYVTCLAAAGKNSNIVASGGLGGEVFIWDVNAVLAPVSKCNDATVDDSSNGMKSSGNLHTVGSSNNICVHTTQKEGYSPIAAKGHKESVYALAINEGGAVLVSGGTEKVVRVWDTRSGSKTLKLKGHTDNIRALLLDSTGRYCLSGSSDSMIRLWDIGQQRCVHSYAVHTDSVWALASTPSFSHVYSGGRDFSLYLTDLQTRESSLLCTGEHPIVKLALHDDSIWVASTDSSVHRWPAEGYNPQKIFQRGNSFIAGNLSFSRARVSVEGSSPVPVYKEPTLTIAGTPAIMKHEVLNNKRHVLTKDTSGSVKLWEITRGVVVEDYGKVSFEEKKEELFEMELIFEIFGVFDSFNELNR >OIV96105 pep chromosome:LupAngTanjil_v1.0:LG16:715881:721805:1 gene:TanjilG_13037 transcript:OIV96105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQQHSESEEVKSKASLEFFMHSLSMEPPHISISTMIPTTSWFTPKRLLGIFCMINMLNYLDRGAIASNGVNGSKGTCSKAGICTSGTGIQGDFNLNNFEDGILSSAFMVGLLVASPIFASLAKSVNPFRLIGVGLSVWTVATLCCGLSFNFWSISVCRMLVGVGEASFISLAAPFIDDNAPVSQKTAWLSIFYMCIPTGYALGYVYGGLVGSYFGWRYAFWIESILMLPFAIFGFFMKPLQLKGFVPANSEKTLVPETVVSGVQDESMALAEFIDQSSNGHFRSKSGTKIFDQFSRFKNDMTALLLNKIYVVNVLGYIAYTFVLGAYSYWGPKVGYNIYHMTNADLVFGGITIVCGIVGTLVGGFVLDFMTNTLSNAFKLLSVATFIGGAFCFGAFLFKSMYGFLVLFSIGELLVFATQGPVNYVCLHCVEPSLRPLSMAMSTVAIHIFGDVPSSPLVGILQDNINNWRMTTLILTAILFPAAGIWFIGIFLRSVDRFNEESEHQVSTIERSSATPLLQEKTGETPESLAQSQEC >OIV95465 pep chromosome:LupAngTanjil_v1.0:LG16:15402468:15416622:-1 gene:TanjilG_06927 transcript:OIV95465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKQSVKSKGFGFNSSKAANSPSSSTTSSSKPFPETSADGQSSPASSSARSKPPRHVHIETVPLDAQREKENVTVTVRFRPLNPREIRQGEEIAWYADGETIVRNEYNLSIAYAYDRVFGPTTTTRHVYDVAAQHVVSGSMEGINGTIFAYGVTSSGKTHTMHGDQRSPGIIPLAVKDAFSIIQETPNREFLLRVSYLEIYNEVVNDLLNPAGQNLRVREDAQGTFIEGIKEEVVLSPAHALSLIAAGEEHRHVGSTNFNLLSSRSHTIFTLIIESSPCGENSEGEAVTLSQLNLIDLAGSESSKAGTTGIRRREGSYINKSLLTLGTVISKLTEEKPSHIPYRDSKLTRLLQSSLSGHGRVSLICTVTPSSSSTEETHNTLKFAHRAKHIEIQAAQNKLEDRQVRLQSRLEQEEEAKAALLGRIQRLTKLILVSTKASHSTRFPDRPGPRRRHSFGEEELAYLPYKRRDLILDEENVDLYVNLEGNSVTTNDSLKEEKKAKKHGLLNWLKLRKRDNASSGISDKSSSTKSTSIPSTPHGESGNHAESRFSHSLPAESSPCADPISDARDDKDIHDDSFLGQETYLTSIKSVDQIDLLREQHKILSGEVALHSSALKRLSEETARNPQNGQIHAEITRLKDEIKAKSEQIDLLEKQISRSLTASDNMDQSGVSQEIVAEMIAQLNEKSFELEVKSADNRIIQEKLNQKVCECESLQETIASLKQQLADALELKYCSDVVNPSQPFSLTKDYSGELYLDKGNSNFTNEGTLLQAQINEIEQLKQKVAEVTESKEQLELRNQKLAEESSYAKGLASAAAVELKALSEEVAKLMNHNERLAAELAASKNSPTQRRSGGTVQNGRRESQSRLRRNDQVGSNLDIKRELALSKERELSYEAVLSEKDQKEVELQRKIEESKQREAYLENELANMWVLVAKLKKKHAAEIDVSTSTRESSQLDGF >OIV95993 pep chromosome:LupAngTanjil_v1.0:LG16:2170724:2184101:-1 gene:TanjilG_27097 transcript:OIV95993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGRECYRDNGNENESLGDHILEEMESIWLDIDERLTILRMVSDSVIKGVVSAVEEQAAERIAQKELEVVGLKKMLHSFEEGSDTKTLGPSVPNRELHESATHHISDTVLENGGLFGSDSLEVAVTEQLKQLKKEINKIRGSSSIKGFCSGSDLVGLGGILQENVPERWTYVDKSFESLNDTLDALCRRVEIMDRSLKASLWHEELEFRSEIERIVISNCIWGLQQEFEEKLWDLYDFESRNCFDQHKEISNLRLELDSIFKALSFSETGLLISHGSLENGEEWCHSKRPDHFHWKLPTSLSLPLTLEENGKQENSKNSKHENLDPSLLKLESREELITYFNSEITKMRRNHECQLQEMTEENFRLKREVLNLKERGSPLLLKKDKDFDLLKKKIPHVISKLDKILVGNGKVNQFTENIESLSSLKDRLDFLLSENHQLKDTLTDKKKEIKSLSSQLSDVVEKLSLQQVTEKNLLQNIRKLEGDIGDAHTEVSVIQDVHKCLFEDITSEFRSTSEELHLKNSIMEEIYEIILKETAHSPQASWELEIEVAHMKSSIMQGLLDINQIIFKEALVDANKALKSEGSEKEELKQKVLTLTSMVEEREKLAQEAADALVQQKQKMELAYEQINSLQTHTLRQQGFITETNKELDATKGDLVAALNEIEQYKGQMRKLHQNLECRMNELREADDERKLLSSVAQKRQDALTLVEAKERETRKQMEPTIDLIHKLSTMVTDFEARVNGDMSKNCLRIENMKSEFRYINNKTNILKTTGLVYKQRLETKCSDLTKAEAEVDLLGDEVDTLLNLLEKIYIALDHYSPILQHYPGVGLPNVGKSTLFNTLTKMAIPAENFPFCTIEPNEARVNVPDERFEWLLELFKPKSEVSAFLEIHDIAGLVRGAHEGQGLGNSFLSHIRAVDGIFHVLRAFEDPDIIHVDDTVDPVRDLEIISEELRLKDVEFIERKIEDIEKSMKRSNDKQLKIELECCQKVKALLEEGKDVRLGDWKAADIEILNSFQLLTAKPVVYLVNMTEKDYQRKKNKFLPKIHAWVQEHGGEQIIPFSGALERNLADLPPDEAAKYSEENKIQSALPKIIKTGFAAINLIYFFTAGPDEVKCWQIRRHTKAPQAAGAIHTDFERGFICAEVMKFEDLKELGSEGAVKAAGKYKQEGKTYVVQDGDIIFFKFNVSGGGKK >OIV95432 pep chromosome:LupAngTanjil_v1.0:LG16:14782563:14784551:1 gene:TanjilG_06894 transcript:OIV95432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANNSFSRLGYHRGSLHYVCVFNNTIKGILIHQAVNSGFSVKLIRKNSTNTPFQRLTDAAQTNSYVYLGEYLLELSIGTPPVNIYGILDTSSDLIWTQCAPCLNCYKQLDPLFDPKKSSTYTDISCQSDKCHLLIAPACSGENTCDYTYGYADSSVTRGALASETITFKSSTGQPIQLNNIIFGCGNNNRGNFNDHEMGIIGLGRGPTSLISQIGSSVGGKKFSQCLVPFHTDISISSKMSFGQGSEVLGDGVVSTPLVKGEIGSAYGTVYFVTLQGISVEDTRFQISNNSETLSKGNMLIDSGTPSTILQQESYDQVFNEVRKRVPMNSITDDPDLGPQLCYKTSTNLKGPMITMHFEGADIQLTPTQTFIPPKDGVFCFAFTNTSNGDPGTLGNFAQANFLIGYDLENDVVSFKPTDCTK >OIV96242 pep chromosome:LupAngTanjil_v1.0:LG16:67229:71198:1 gene:TanjilG_14919 transcript:OIV96242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMRNSEELKEKPQLKRIKTLPSSSSSSPFSTNSFHHIRRELSAEEEEEEEEWNYPSFLGATTRRRRRRIIRPPSPSPSPSPQLLIPIPNTNTNNSTLSSSLITTPHPPYNHPSPLFYLFIITCIVLLPHSAYLHYRLATLQDEKHRLCCDFQSCSFDHHASFSYYFLNTDTRTLAFYIVLFTLLLPFILYKYLDYLPHIINLLRRTDNNKEDVPLKKRVAYMVDVFFSIYPYAKLLALLFATLFLIGFGGLALYAVTGATFAEALWHSWTYVADSGNHAETEGTGQRIVSVSISSGGMLIFAMMLGLVSDAISEKVDSLRKGKSEVIERNHILILGWSDKLGSLLKQLAIANKSVGGGVIVVLAEEEKEKMEMDIAKLEFDFMGTSVICRSGSPLILADLKKVSVSKARAIIVLASDENADQSDARALRVVLSLTGVKEGLTGHVVVEMSDLDNEPLVKLVGGELIETVVAHDVIGRLMIQCALQPGLAQIWEDILGFENAEFYIKRWPEVDGLCFKDVLISFPDAIPCGIKVAADGGKIIINPDDSYVLRDGDEVLVIAEDDDTYAPGPLPEVRKGLYPRIPDPPKYPEKILFCGWRRDIDDMIMVLEAFLAPGSELWMFNEVPEKEREKKLVDGGLDVFGLENIKLVHREGNAVIRRHLESLPLETFDSILILADESVEDSVAHSDSRSLATLLLIRDIQSRRLPYKDKKSSSMRLSGFSHSSWIREMQQASDKSIIISEILDSRTRNLVSVSRISDYVLSNELVSMALAMVAEDKQINRVLEELFAEEGNEMCIKPAEFYLYDQEELCFYDIMVRGRGRREIVIGYRQANQERAIINPSEKSVPRKWSLDDVFVVIAKGD >OIV95859 pep chromosome:LupAngTanjil_v1.0:LG16:4071219:4072709:-1 gene:TanjilG_06835 transcript:OIV95859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKIYNFLVALFIFAVASGIVLVDAAFSKSMYLTWGLQHASIQGEDLQLVLDQTSGSAAQTKRPFLFGSIESRIKLVPNNSAGTVTAYYLSSTGSRHDEIDFEFLGNISGQPYIAHTNVFTQGNGSREQQFYLWFDPTADFHNYTIHWNPTEIVWFIDSIPIRVFRNYENEGVAYPNQQGMRVYTSLWNADNWATRGGLVKINWTESPFTARFNHFRARACTFRGPVSINQCASNISANWWTSPIYKQLGNTQLGQLNWVRSNYLIYDYCKDINRFNGQLPPECLKAQF >OIV95194 pep chromosome:LupAngTanjil_v1.0:LG16:18694614:18696797:-1 gene:TanjilG_21584 transcript:OIV95194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METEADLGNGSIVLIKQGAEARVFESSFAGRRSVIKERFSKKYRHPTLDSKLTLKRLNAEARCMTKARRLGVHTPVLYAVDPVLHTLTFEYVEGSSVKDVFLEFGSRDDVEEQLDNIAYQIGEAIGKLHDGGLVHGDLTTSNMLLRNDTNQLVLIDFGLSFTSTLPEDKAVDLYVLERALLSMHSSCGNVMDRILAAYRKSSKQWSSTWNKLGQVRQRGRKRTMVG >OIV95842 pep chromosome:LupAngTanjil_v1.0:LG16:3901208:3903103:1 gene:TanjilG_06818 transcript:OIV95842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLHLKSLFGRFQEQFGSGPGLGPGSGTCLMKVDGIEPNFIKSLYKASAALYRTEPWKRLRPGHYFSVRVGKDSGWTGNKQPFLCVQFIGGDGGDVGFYMFRSESDAKKMTGPRETIHVPNVELLRVTYEVESLMFPSNRKMIRTLSLEASGTDRFPVIDVTRCMPGGDLRFRNPSFEELRFVYAFMKAISMVHPLLKQLDKEGGPKYSTLAYFEPFIETVDVQWPPEVTKRGYDLVAVTISHPPGQAYEEKGSMSAGSTPTKYRESPGEGNFNETKVYSHAGSRQCAMCDKEVHGEHSLCCGQCRAVIYCNSFCQKQHWNDTHKSMCGLYKAMMEREEELAIKIFMFPCSADQPCQWLESLSIHQKGMWRRKCSCYSHCPFGLLPVKGGLQELWGGLNEFEYPHDFPFNNHYVSSPFHLSGWSEYYNLRSLSLSSPVADILSHPLTVYHILTTLNISSKNLVLKGKEVIVHCLGPEGELDWMPAFAEIGHLLNGLGNVQIVMVGPEVPTNLSGTTSGISSRVRVNFVRGVYQVEASYLPTPHVVIALNSDLERYSSWGGALDLIKLMAVPAFFTEQSEVSCVNAKQVLRNAGLHITQPVTPNPFRSPVKNITPSSNLPSYSNGFVFGVNT >OIV95887 pep chromosome:LupAngTanjil_v1.0:LG16:1264080:1266230:1 gene:TanjilG_26991 transcript:OIV95887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKFKSKPTGRRQFSTPEDMLAGTSNRPRTFRQKEAEHEVEENEETSGDESGEESEEETSKNKGTQGIIEIENPNLVKTKNMKVRDVDVGKTTELSRREREEIEKQRAHERYMRLQEQGKTEQARKDLDRLALIRQQRADAAKKREEEKAAKEQKKAEARK >OIV95852 pep chromosome:LupAngTanjil_v1.0:LG16:3962729:3985663:-1 gene:TanjilG_06828 transcript:OIV95852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSNNTPQKHVETMPVLDGFKRFKRLRLFELCVCVAVFFIAIAFVICFFFYLQYREHTAPFRPSGLVEEQHHAVEFLEENGGVCDWFDGNWVWDESYPLYQSKDCRFLDVGFRCSENGRPDLFYTKWRWQPKNCNLPRFNATMMLEKLRNKRVVFAGDSIGRNQWESLLCMLSSGVPNKESIYEVNGSPITKHMGSLVFRFKDFNCTVEYYRSTFLVLQGRPPAGTSGNITYTLKVDKMDWNSVKWRDADVLVFNTGHWWNHEKTIRNGCYFQEGDEVKLEMKIEDAYRKSLETVLNWIQDSVNAMKTQVFFRPYSPVHFRGGDWRNGGNCHMETLPELGSSMVPVDNWFKVKIANSVLLEHTNTSETVKVNVLNVTQMTAQRKDGHLSIYYLGPKAGPVPLHRQDCSHWCLPGVPDTWNELLYGLLLEHEGELIQGTSNSSSIMQRLSPSLFSTTTHILCSSMADAYFSFFTLFIILPLALLAFLSFILRPRPVRIPIKNRHVFITGGSSGIGLALAHRAASEGSRVSILARSPNKLEEARNAVKLATGIEVAVFEADVRDYGAVKRAVDEAGPIDVLILNHGVFTALELEKMELSEVKFTMDVNVIGCFNMIKAALPAMKNRKDPLPASISFVSSQAGQVGIYGYAAYSASKFALRGLAEALQHEVIADNIHVSLIFPPDTDTPGLAEENKRKPELTKIISASSSAMKAEEVAQKAFDGIKSGSFIIPCNLEGLALSIATAGLSPQRSFLMAFVEVVAAGIMRIVGLCFQWTWYDSIEKWHSQRKGPRFEPGSELRDDLQTYATVLVVGAGGLGCELLKDLALSGFRNLHVIDMDRIEVTNLNRQFLFRVEDVGKPKAEVAAKRVMERISGLNIVPHFCRIEDKEIDFYNDFNIIALGLDSLEARSYINNVACSFLEYDSNDNPREETIKPMVDGGTEGFKGHARVILPGITPCFECTIWLFPPQVKFPLCTLAETPRTAAHCIEYAHLIKWNEVHGGTAFDPDNPEHMKWVYDEAVKRAELFGIPGVTYSFTQGVVKNIIPAIASTNAIISAACALETLKIATECSKTMSNYLTYNGSEGLHTKVAEFERDKDCLVCGPGVLIELDPSITLQKFMDLLEEHPKLQLSKASITHRGKNLYMQAPPVLEEMTRSNLGVPVFDLMGKLSKDIVHVNEYDSNDNPREETIKPMVDGGTEGFKGHARVILPGITPCFECTIWLFPPQVKFPLCTLAETPRTAAHCIEYAHLIKWNEVHGGTAFDPDNPEHMKWVYDEAVKRAELFGIPGVTYSFTQGVVKNIIPAIASTNAIISAACALETLKIATECSKTMSNYLTYNGSEGLHTKVAEFERDKDCLVCGPGVLIELDPSITLQKFMDLLEEHPKLQLSKASITHRGKNLYMQAPPVLEEMTRSNLGVPVFDLMGKLSKDIVHVNGMTSKNDQKFSCLRKLRVVFKGVDGIADMDTAGGA >OIV95189 pep chromosome:LupAngTanjil_v1.0:LG16:18638609:18641331:-1 gene:TanjilG_21579 transcript:OIV95189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYIFTRFIYTILKPFGQPSFISHILGGVTLGPSVLSHNSAFSDKVFPSKARNVLDTIAFFGFLLFVFQLGVKVDPTIIYRSPKRAFSIGILAFFVPFTLSSMAVFFLRQFASLDHDFSRMLSIVVQIQCITAIPVISGFLSELQILNSEIGRLAISSSFVCDICYWFIVTIKYGTYLTSTKSVGVTIGSGISSCLLFLFIMYVVHPAALWAIQHTAEGKPVQEIYIFGALLTLLFCGFMGEAIGLNAIVVAFLVGLAIPDGPPLGAALVDKLDCFASVVLLPILYIIGGLRTDVYAIQKMKNLGSILLIVCVSFCGKIVGALLPLLFCRMPFRDALSLGLIMNCKGNIELALLINWKLLNALSDECFTIMVITLVVTTALVTPIVKALYDPSMRFLAYKRRTLLHHRNDEELRIMACIHKQDNVLAILNLLTASNPTEASCIDLVVLHLVKLVGQASSVLVSHMPREKPSKHASQTEKIFNVFSKFEEAFRGKLTVHCYKGISPYATMHNDVCYLALEKRTTFIILPFHKQWIIGETTESTFAFKQLNKNVLEKAPCSVGVLIDRGNQKKFWCGYMKESIYQVAIFFFGGTDDREALAYARRMSEQPNVHVTLFHFSSIADIVGGTERSKMLDTQVLSDFRLNAFRNNRVSYKDEMVMNGKDVISVIECMESNYDLVMVGRRHANSQLMAELQKWKQGELGIVGEILVSLSTGAKTSILVVQQQTRYWGSCDPDEITPLRRVDI >OIV96072 pep chromosome:LupAngTanjil_v1.0:LG16:3013503:3015373:1 gene:TanjilG_27176 transcript:OIV96072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGLFNLSTSKVHPLHLQYFEFSGRVIALALMHRVHVGIVFDRVFFVQLAGKSITLEDIKDADPYLYSSCKQILEMDADFIDSDALGLTFVREVEELGYRKVIELCPGGESLVVNSKNREKYVNLLIQNCFRTSIHDQVSYFAKGFADILSNSTLQQFFFQSLELKDLDWMLHGSDKTISVEDWKAHTKYSDYKESDHQISWFWEIVGKMSAEQRKVLLFFWTSVKHLPVEGFRGLASHLYIYKALEPDDHLPSSHTCFYRLCFPAYSSKAIMQDRLGIITQEHIGCSFGTW >OIV95955 pep chromosome:LupAngTanjil_v1.0:LG16:1883437:1888059:-1 gene:TanjilG_27059 transcript:OIV95955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEETFVPFRGIKNDVKQRLMYYKQDWIGGITAGFRILAPTTYIFFASAIPVISFGEQLERDTDGVLTAVQTLASTALCGILHSVIGGQPLLILGVAEPTVIMYTFMFNFAKSRPELGSKLFLAWSGWVCMWTAILLFLLAILGACSIINRFTRLVGELFGLLIAMLFMQEAIKGLVNEFHIPERADPTSTEFQSSWRFGNGMFALILSFGLLLTALKSRKARSWRYGSGWLRGFIADYGVPVMIILWTSFSYIPSGSIPKGIPRRLFSPNPWSPGAYESWTDMLDVPIHYIFGAFIPATMFAVLYYFDHSVASQLAQQKEFNLRKPPSFHYDLLLLGFMVILCGLIGVPPSNGVIPQSPMHTKSLATLKHLLLRNRLVATARRCMKNQASLGQVYGSMKEAYWQMQSPLVHQESSSQGLNELKESTIQLASSMGTINGPVDESIFDVEKEIDDLLPVEVKEQRVSNLLQSLMVGGCVAAMPFLKMIPTSVLWGYFAFMAIENLPGNQFWERILLMFTAPSRRYKVLEECHASYVESVPFKTIAVFTLFQTAYLLVCFGITWVPIAGVLFPLMIMLLVPVRQYILPKFFKGVHLQDLDAAEYEVPALPFDLATERNLGKPASFTDGEILDGMITRSRGEVRHVCSPKVVNSTETPFTELTILQSPRLPDKLHSPRLRPTQKKSQPSS >OIV96012 pep chromosome:LupAngTanjil_v1.0:LG16:2362494:2363804:-1 gene:TanjilG_27116 transcript:OIV96012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLNVVPSSLSSVTFIKPNNQCLINASPNLKSNILSRIQSHKNHLQCHTTTFQLHESGFKSSKLSFMPTSQIPDSITKLSSFRRFLSYPFEVSPKPNHQIVKAASGDANHEGEREIVVNSNDPKSKKLKLALVFGLWYFQNIVFNIYNKKALNIFPFPWLLASFQLFVGSIWMLVLWSLKLQPCPKISKPFIIALLGPALFHTIGHISACVSFSKVAVSFTHVIKSAEPVFSVIFSSVLGDRYPIQVWLSILPIVLGCSLAAVTEVSFNAQGLWCALISNVGFVLRNIYSKRSLQNFKEVDGLNMYGWITIISLFYLFPVAIFVEGSQWIPGYYKAIEAIGKPTTFYIWVMLSGVFYHLYNQSSYQALDEISPLTFSVGNTMKRVVVIVSSVIVFRNPVRLLNGVGSAVAILGTFLYSQATAKKKVKKIEGEKKE >OIV96048 pep chromosome:LupAngTanjil_v1.0:LG16:2660000:2662323:-1 gene:TanjilG_27152 transcript:OIV96048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFRIRDQPKDVVSPREIGEIDTRAPFQSVKAAVSLFGDVAVSKERHSIKRRSSENVLEKETQLLLAQREFNKIKKQLEKSETTKAKALSELNKANVTLNELTKKLTSVRESKQLAMEAAETVKNQGKELERALSKRAVGYQAWKQELEHERKEYTITVTELDASKQELNKIRQDFDAALEAKLAAFQAAGEAQRSAKMNLEKINEISKEIATMKESIEQMKLASEQAQEEQAKVMRDRETQLSYYQSAKEEAQMKLMGLKSEYDPELTQNLEAKLAEISAEIQDLQEKMKQAHASEMNSVRVITLEIKEATKTLQEVAAEESSLRNLVDSLRTELEQVKKEQNELKEKEQAAEALAANLTDELQDTKEGAGPEAEAGSVEETENFRHETNLKLEQLALATENARRETEEMRTKALELKQEAEKSCAVAEELEKKLEPVLKQAEEAKAEERRAIEEMKILNDMDGRVSDAEINGKIVLTVEEFAALSEKIKESEDMIERAEAAAVTQVEAINTRKNEVDKKVEANLKAIEEIKAATDMALRNAEMADSAKVAVEGELNRWRQEEQKMESNLNHSDNSPRPISFHA >OIV95247 pep chromosome:LupAngTanjil_v1.0:LG16:19756050:19757632:-1 gene:TanjilG_21637 transcript:OIV95247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAVTFHSHHVHGFPMSFIFSKQLYVGKSLMSMKEVAVQPSPLVFQNVISPFTNSSIRSDGHKGGETNRRNENKRHQTFDGKKSKSSEDKEIIALFKRIRSSISKGVSQKTEKKSSKENNEKPSIKSILDILGDPKKVKAGKTSKRAKEQALIKRIGLTSKQKEVKEHLHVSDFKLIRPPSNFVKKSPITSLSTPRGIMEIGLHNDPFPAIMGDKQAQSERLEELKVAELKELAKSRGLKGFSKLKKGELIKTLKS >OIV96152 pep chromosome:LupAngTanjil_v1.0:LG16:1021113:1022154:1 gene:TanjilG_13084 transcript:OIV96152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEVPATQQFVEQNEEQQQQQQLKYLEFVQFATIQALMHAAIVYTYAKERSGPLKSGVESVEEAVKTVVAPVYDKFHLVPVELLKYADRKVDESVSEIDRHVPTNVKKASFQARYVVSEVRRTGVVDTASGLAKTVYDKCEPKAEQAAVSAWRMLKHLPLFPKVADVVLPKAAYCTEKYNETVALSAEKGYRVSAYLPLVPTEKIVNVFASA >OIV95196 pep chromosome:LupAngTanjil_v1.0:LG16:18717332:18720810:-1 gene:TanjilG_21586 transcript:OIV95196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLKLEMNEVVHVKPSKPTPSIVLPLSTLDHRPYPDSIWPIVHVYRSASNGKLDPAFVLKQALSKALVYYYPLAGKLVKQPDGKVAINCNNDGVPFLEAIANCNLSSLNYLDDHDILIAKQLVFDLHLQDENEYPHPVSFKLTKFQCGGFTIGMSTSHIVCDGWGACQFFRAIVELASGKSEPFVKPVWERERLIGSITTQPMPNPMDEATAAVSPFLPATDVMYELFKVDKESIRRLKMSLMKEISGNETMEQGFTSFESLAAYVWRSRARALNLNNEGKTLLVFSVQVRQHMSPPLSDGYYGTAITEGQVVLTMKELNEKPLSDIVKLVKESKNIAFTGDFIKNTIDTLESNPENFNVEEGPGATLALSDWKHLGFMPNVDFGWKEPINMVPAPCNMFEYEGLCIFLSPSKYDPSMEGGVRVFISLPSVAMPKFREEMEALKVTTP >OIV95236 pep chromosome:LupAngTanjil_v1.0:LG16:19605814:19606350:-1 gene:TanjilG_21626 transcript:OIV95236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNTIRCCISCILPCGSLDVIRIVHSNGRVEEISGTIKAIDIMKAYPKHVLKKPSSSSTLDGGGGGGVVPKIVVVPPEAELQRGKIYFLMPLPSPPSDKNHRQRKKKKEHSKSNSHNNNNNNNCDNTIFVANLVASSDRYLSDILSEKKLSTQRDGRRGRVAVWRPRLESISESPSDL >OIV95701 pep chromosome:LupAngTanjil_v1.0:LG16:7052982:7059202:-1 gene:TanjilG_01495 transcript:OIV95701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTASDKISNLKSAVAGLNQISENEKNGFINLVSRYLSGEAQHVEWSKIQTPTDEVVVPYDSLAPLPDGSSEVKNLLDKLVVLKLNGGLGTTMGCTGPKSVIEVRDGLTFLDLIVIQIENLNNKYGSNVPLLLMNSFNTHDDTQKIVEKYTNSNVEIHTFNQSQYPRLVAEEFLPLPSKGKTDKDGWYPPGHGDVFPSLFNSGKLDALLSKGKEYVFVANSDNLGAIVDLKILNHLIQNKNEYCMEVTPKTLADVKGGTLINYEGRLLEIAQVPDEHVNEFKSIEKFKIFNTNNLWVNLQAVKRLVEADALKMEIIPNPKEVDGVKVLQLETAAGAAIRFFDKAIGINVPRSRFLPVKATSDLLLVQSDLYTLQDGFVARNSARANPENPSIELGPEFKKVSNFLSRFKSIPSIIELDSLKVAGDAWFGASVALKGKVSIVAKPGAKLEIPDGAVIANKEINEAGDL >OIV95644 pep chromosome:LupAngTanjil_v1.0:LG16:6160043:6182046:-1 gene:TanjilG_01438 transcript:OIV95644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEDTKYNTAGALTVKPISDDRKLSQSSAAAAAAPTKKVIIKSADMLLDMQKEAIDIAVAAFEKYNVEKDVAEQIKKEFDKRHGPTWHCIVGRNFALMHVLYFYTHRSLQEGNTDSVLYEVSSGLDASIIGLLPIFTYNPNICLGNPLNCVICLSEFQEGEMGRVLPKCNHMFHVDCIDMWLYSHTTCPHCRVSVELTSIEQTRPNIVDDLCEPNFGSSSTLQENRSESSLVMDP >OIV95412 pep chromosome:LupAngTanjil_v1.0:LG16:15779266:15780932:1 gene:TanjilG_06281 transcript:OIV95412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKLLEFGRKALFYARVLSGYEERRIRSFRLQLEKRVQQVQERKVAVNKVPEQLILSEVRRMVEEMQALNKKLDETEAAIEEYFKPLDGEAEIIMKMQLEREEKTSHMMMSALEEQAALQAAEAERNASVNQAVNSETDQSEAEILMKMGLGGEDITLNEMMKAMQGQVLHVKDDAENTESVNSIPTSTTPPKAS >OIV95298 pep chromosome:LupAngTanjil_v1.0:LG16:17026163:17026774:-1 gene:TanjilG_07454 transcript:OIV95298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHTSQPPSATCTSCATTQRLSLIIHNVRYLAHNRHFCTNCLLKNHHGLFCPICFQVYNDSPPPHQRLMCLRCPSIAHRSCALPFSTTTANAGSVPVFLCPTCADHKFSYFNISAADRISRTVDDKSFKVLAAASQIAADSMNKGAAAARFEAERRAVEAAVARKRAKEAMEELASIQAKEEEENSCCVVDLNLDARLNVTEE >OIV95567 pep chromosome:LupAngTanjil_v1.0:LG16:10131317:10132733:-1 gene:TanjilG_04904 transcript:OIV95567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTPSVETLPSDSILDRLTSSGCEIKLKAIREVKNQIIGNRTKKLSYINLGAVPAVSAALSDSDSAPNLIVQAAAALGSFACGVDAGVFPVLDAGAFPHLIRLLSSSEEKVVDAASRSLRMIYQSKLAPKYDFFKHENMQFLLSLLDSENENLTVLGAGIVIHSCETSAEQSILCYAGALEKLTSLLDGSLSQRDASLDSLAAIVKNNPEAGSKFVDLDSGRALSSVIELTKDRYP >OIV95327 pep chromosome:LupAngTanjil_v1.0:LG16:16688599:16694560:1 gene:TanjilG_07483 transcript:OIV95327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESEILVNGQGKMRKCAEQYLRLLRCTLLLVFRHCRCFCTAAVTACALLPLLVRCCHLCAEDTGGGGWETFQPVGGLLPQPEWTAWDQTVDYCAFAYQQYIVISCLRPQYRYLGDVAIPYATSAVWHRRQLFVVTPTTVEIVFVDAGVSQIDIESKKMKEEQRMKEAQARAVAEHGELALIAVDGPQATTTEERISLRPPMLQVVRLASFQHSPSVPPFLTLLKQSRVDGDDSWMAREAEESKASEVAVGGGGVSVAITRFPTEQKRPDGPLVVVGVRDGVLWLIDRYMCAHALSLSHPAIRCRCLAAYGDAVSAVKWASRLGREHHDDIAQFMLGMGYANEALHLPGISKRLEFDLAMKSTDLKRALQCLLTISNSRDIGHDNTPGLGLNDILNLQQHQEKKPDLVDGVEGIVKFTKEFLDLIDAADATAQGEVAREALKRFAAAGAVKGALQGHELRGLALRLANHGELTRLNGLVNNLVTLGLGREAAFAAAVLGDNVLMEKVWQDNGMLAEAVLHAHAHGRPSLRNLVQAWNQMLQRAIEPNSSQKTDATSAFLDSLEEPNLTSLAEAGKKPPIEILPPGMASLNAPPISIQKKPASAAQNSQQEPGVQLAIEAPPTTTAAPESATQIQQPEATDAPVTDPPQPKGTSESMPVPESAPPKPESGETVVDNEHPTLASASDTTPTVNWETIQAESTSIPPPPEVPSPVAVARATNAPTPTTVPATNAPTPTTVPATHAPSPTTVPPTDPFFL >OIV95286 pep chromosome:LupAngTanjil_v1.0:LG16:17231870:17233791:1 gene:TanjilG_07442 transcript:OIV95286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTEAEIICQKSIPSMLDVKYHLCVAQEHNVKVEISPTTPSVQIFSQVRVSSESFSTEASSFESAVACSEIIKDSIMETPATKFSPSVRSASYAEIGPRVSMEDEHIRIDDLAAHLGFVFQCPIPSAFYAVFDGHGGPDAANYVKRNAMRLFFEDANMLRSYDTDAFFMKKLEESHRKAFLRADLALADEQSVNSSCGTTALTALVLGRHLLVANAGDCRAVLCRRGKAVEMSQDHRPSYLPERRRVEELGGFVDDGYLNGYLSVTRALGDWDLKNPLGAASPLIADPDIQMVTLTEEDEFLIIGCDGIWDVMSSQVAISLVRRGLRRHDDPHQCAKELVKEALRLNTADNLTVIVICLSPVESIAESCPPQRRRFKACSLSEEARNRLKSLVEGN >OIV96040 pep chromosome:LupAngTanjil_v1.0:LG16:2593244:2593993:1 gene:TanjilG_27144 transcript:OIV96040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHIKMKLSLFFIHHNNYTFFITLALTKNLEFFIQKHEGVTSVELEGEEKDKVIVIGDGMDAVNLINCLRKKVGKSDIISLAEAK >OIV96058 pep chromosome:LupAngTanjil_v1.0:LG16:2740697:2744377:-1 gene:TanjilG_27162 transcript:OIV96058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTCEEVPLVQPDPVVLEINRLQNQLTEKVKEVTTCQGEIKALRATGALKDKAIEELREEIIKLNDRLTLSEDNLKQKNLEIKKVSEEKKDALAAQFAAEATLRRVYAEQKDDDFVPIESFISPLEAEIKMHKNEITALQEDKKALERLTKSKESALLEAERILRSALERALIVEEEENKILEKTNRQKILEVEKLSQTIHELEEVILSSGATANAIRDYKRQISELQEEKRTLDRELARVKVSASRIANVVANEWKDENDKVMPVRQWLEERRIIQAEMQRLKEKLAISERTAKAESQLKDKLNLRLKTLEEVLKQCLPNNQSLKAEKSNIFGFLTSNGGLRNKSTPQPKAFTLGSSKKGIWSSRKKVVDTGEKENEMEVSAGMNLSMCNDEREIADIKTNIDVNEDSKFKNSSNDMVSGFLYDKLQKIVIQLKKSCEIKDNSLQAKDEEIKVLTKKVDALTKAMEVEWKKMKREAAAREKEAALTKSDDNRKNRKVQTPQRVMKEH >OIV96087 pep chromosome:LupAngTanjil_v1.0:LG16:3548318:3553480:-1 gene:TanjilG_27191 transcript:OIV96087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTNSKEESKTYQEWFDLADSDGDGRISGNEATDFFALSNLSRSQLKHLWALSDTKRQGFLGFNEFVTAMQLVSLAQAGYELNSDILRTQVDKENVKPPVMEGLDALLEEHILTTNSNSNYKFSQKMKTNSKEESKTYQEWFDLADSDGDGRISGNEATDFFALSNLSRSQLKHLWALSDTKRQGFLGFNEFVTAMQLVSLAQAGYELNSDILRTQVDKENVKPPVMEGLDALLEKTKSLAINAPKSPPEVNATAPPQKLLTKQWFSSKSSKKLPLNSVTSIIDGLKRLYIERLKPLEVAYQYNDFASPFLTNTDFDAKPMVMLLGQYSTGKTTFIKHLLRCDYPGAHVGPEPTTDRFVVVMSGPDERSIPGNTIAVDADMPFSGLTTFGGSFLSKFQCSQMPHPLLDEITFVDTPGVLSGEKQRTQRSYDFTGVVSWFAAKCDLILLLFDPHKLDISDEFKRVISSLRGNEDKIRVVLNKADQVDTQQLMRVYGALMWSLGKVLNTPEVVRVYIGSFNDKPMDEDFVGPLGLDLFEKEQSNLLADLIDIPKKACDRRINEFVKRARSAKIHAYIISHLKKEMPAIMGKAKAQQRLIDNLDEEFGKVQREFHLPAGDFPSVENFREVLSRYNIDKFEKLKPKMVQAVDDMLGYEIPELLKKFRNPYD >OIV95623 pep chromosome:LupAngTanjil_v1.0:LG16:8298581:8301710:1 gene:TanjilG_23854 transcript:OIV95623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLKKRLGHGFNGFQAPFIPRRPRSARRSCYSKKLVVDGQASAFELLATLAGKLLEESESSASSNASEGNHLVNQRDKQDAVRPLIAEGNHQGSCAESIFMTDVASQNSSQKSLPHAKTDSVLGRNSDVKAEAFEWENKIGRCSNSYYNKLVEAAGDFPGSYDGNVKNGFIQEREAGSSGFQRSTLADKFSLKDQLELRGSPALIDSNSNVKSPYCSESFPSASFSKHGNDLKLGFRDDDENFLRCNEVCTKSKAFRSPQHIAHPKIRKPLLSKYWKSSPKLKECELSKSDVDVKPLNHKRTASHGFETSQHNTLVKRRKFFDRVSQVTSDGGFSSESVSNSPEKGINGHNPSSSTKLHVPKDSHVKFSIKSLRIPDLYIEVPETATVGMLKGQKVDDDNRTLVQTGISCKENLDTLSFMLEPSSLLAPAAVCVGDPSSQCETSQPTRSSEIPVLESGNTDTLHDPFLLTNPGNLIESNHDSTTSPTDTIIEKITPDSKALVAIPISPEALAVVPVNQKTKRAEIAQRRTRRPFSVTEVEALVHAVEELGTGRWRDVKLRAFENADHRTYVDLKDKWKTLVHTARISPQQRRGEQVPQELLDRVLAAHGYWSQHQAKQNGKHQAIAGSVECVQSLVMV >OIV95486 pep chromosome:LupAngTanjil_v1.0:LG16:13891946:13893879:1 gene:TanjilG_26749 transcript:OIV95486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTVFISHSICSFPVIASLLTELEILNSEIGRLTLSSALVLDITGTAVTGIATSMVVSSNKGLEAYIINVGGLIALFVIILTIGRPLMKLVVRHTQQGRPVKKIFIYIIVFLVIIFGLMETELVQPFFGGAVMLGLAVPEGPPLGSELVKQLQLFSTWFLMPLFVTSCIMKVDLDLWNMNKNLVMIIFGLIIMVTLVKILLCVGICQFCSMPIIDSICIALILSCKGVVDICAYILLYDAKRYTSGEVSIMVISGLILATISKIGVKSLYDPSRKYAGYQKRNILYLKPNTELRVVACVHKPCHIVPVRNSLDLFSPTPTNPLVVDVLHLMELIGRTSPIFISHRNQQMSVGSSHHNFSGEIIVTFSLYEHEYAGAATVNAYTAISPFTFMHDDICYLAMDKVASFIILPFHLRWRDDGTVESDNNNIRTLNIKVMEKAPCSIGILVNRGSCTSISSSYVVAMIFLGGSDDREALCLAKRSAKELNNHLFVYRLVGPDSETTNWDSMLDAEVLRGVQGTYGRLENVTFEQITIDDPSQITSFLNDVVTKFDYIIVGRRHGVKSPITSAMVNWTEFPELGVIGDLLASPDMETKASILVVQQQQMPTKW >OIV96154 pep chromosome:LupAngTanjil_v1.0:LG16:1028441:1029356:-1 gene:TanjilG_13086 transcript:OIV96154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFEHYVPPECKSKSIPNAVTETENYNGCFDCNICLDFAYEPVVTFCGHLYCWPCIYKWLHVQSDSLAPDEHPQCPVCKADISHTTMVPLYGRGQASTQSHHDGKASTCCDIFVPHRPSASSAQALMATSSQSGQQHPHHDPYPGHHEEDAGPEMLNPGYHNPAVGMFGEMIYARVFGNSENSNAHPNSYQLMGSNSTRLGRQEMLEFDFAEDQSCYTLLYGMQWFSSLKM >OIV96158 pep chromosome:LupAngTanjil_v1.0:LG16:1057787:1062543:-1 gene:TanjilG_13090 transcript:OIV96158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLGIRSGSYGSLEKQLQQINGISPIQTGRKGSKMLKEKDRSLNWIFKFAGRKKVGMLLLSLISAVVFVWVLYVGKGEDSQEGNNVQTISVNESLSLSNSPSMINMATAMGFKTSLLLPPLPPRYFMGYSLPPGHPCNSFTLPPPPADKKRTGPRPCPVCYLPVEEAIALMPKFPSPSPVLKNLTFIYEENLSRDGEFGGSDFGGYPTLRQRNDSFDIRESMSVHCGFVRGAKPGHNTGFDLDEDDLVAMEQCRGIVVASAIFDEITEEYLRSSGKMGISKKVGLWRVIVVRNIPYTDARRTGKIPKLLLHRMAPNARYSIWLDGKLELVVDPYQILERFLWRKNATFAISKHYKRFDVFTEAEANKAAGKYDNASIDFQIDFYKNEGLTPYTEAKLPIISDVPEGCVIVREHVPISNLFTCLWYNEVDRFTSRDQISFAVVRDKLISRVDFHLSMFLDCERRNFVVQKYHRDLLLKLAAPVSVALNPPPPPLPGLETLPEKASVRRNPGKHRKAGSRRHRKVVAGNRDMEAN >OIV96081 pep chromosome:LupAngTanjil_v1.0:LG16:3474938:3476559:-1 gene:TanjilG_27185 transcript:OIV96081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETISSFWGPVTSSTECCEKNYANSSYIAEFYNTISNIPTIILAFIGLINALRQRFEKRFSVLHVSNMTLAIGSILYHATLQRVQQQSDETPMVWEMLLYVYILHSPDWHYRSTMPIFLFLYGAAFAVMHSVFHLGIGFKVHYIILCLLCTPRMYKYYIYTEDVLAKRLAKLYVATLVLGSLFWLGDRLFCNEISRWPINPQGHAFWHVFMGFKSYFANTFLMFCRAQQRDWSPKVVLLMGILPYVKIEKSKRQ >OIV95447 pep chromosome:LupAngTanjil_v1.0:LG16:15031375:15032606:1 gene:TanjilG_06909 transcript:OIV95447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METIPTSAPSIDAKVQHLTKKSSKELLNKFADESLKKKKLELGVIKRQKKSRGMREDQYDSSSNGGAAVVERRSLLPAAMTRRSVLLRQIRARDVRNKSLLGTIQKTWRRTVEGASKVFMEKHYHRHKRLINDIV >OIV95935 pep chromosome:LupAngTanjil_v1.0:LG16:1689331:1691464:1 gene:TanjilG_27039 transcript:OIV95935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGRLESKVLIREFDEDKDFKIVEKLEKNCEIGTKKGVSIFTNMVGDPLSRIRFYPLHVTLVAEILETKELVGVVRGCIKSMGTPSESFFKMGCILGLRVSPSHRRKGVALKLVTSVEEWMLRNGAEYASLATEKNNDASINLFTIKCNYVNLSSLFIFVHPIPTSTPIVHISRDIKIEKVNIDQAISLYRRTLKSKELYLLDMDVILKEKLSLGTWVSYFKDEDKLNLMKMVDNENTIITNETSSSSWVMFSIWNTCEAYKLQLKKSQPLRFLHTTLNHAREKIFPCLRMSLSESLCNPFGFLFLYGLHGEGENLGELMESIWRFTSRLGESMKDCRVVITELGFGDPLTKYVPQTDSMSCMDDLWYSKKLSSQIGEKDEVLVKGQVGNVFVDPRDF >OIV95306 pep chromosome:LupAngTanjil_v1.0:LG16:16919790:16921673:-1 gene:TanjilG_07462 transcript:OIV95306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFQDFELITERRKNEKKQKTKKRIIIGVVSAILLVGLIGCAFFVATTKYGFGQKQNEPSPESNNNIAHSERVVKMVCSSADYKQKCEGPLSEAVKKDPELTHPKDILKLYVKFADEEVSKAFNKTKTLKFESKEEKGAFEDCKQLFQDAKEDLAASISELGRIDFNKFSSKTPDFNNWLSAVISYQQTCIDGFPENSKLKKDLNTLFQDSREFISNSLAIVKKVGSLLSTFQSIGGRLLSENHDSHVFSLDKEGYPEWIKHEDRRVLKAADDKPTPNVTVAKDGSGDFKTISEALEKIPEKYKGRYVVFVKEGVYDETVTITKKMQNITLYGEGSQKSIITGNKNFRDGVRTFLTASFVVLGEGFIGKAMGFRNTAGPEGHQAVAARVQADRAVFANCRFEGYQDTLYTQTHRQFYRSCIITGTIDFIFGDAAVVFQNCIMNVRKPMDNQQNMVTAQGRMDKQQTTGTVLQKCLIKADNTLVPVKDKIRSYLGRPWKEFSRTIVMESEIEDFIHPDGWTPWAGDFALETLYYAEFNNTGPGASTKARINWPGYKVINKEDATKFTVDQFLKGTWVQGTGVPSIQGLYY >OIV95402 pep chromosome:LupAngTanjil_v1.0:LG16:15677224:15677674:1 gene:TanjilG_06271 transcript:OIV95402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVLARLIAENPVVIFSKSTCCMSHTVKALIFSFGANPAVIEIDKMPNGHQIERALIQLGCHPSVPAVFIGQQFIGGADKVIGLNIQNKLAQLLLNAKAIFIWASYLVTMIVVPSCENHIHEAV >OIV95789 pep chromosome:LupAngTanjil_v1.0:LG16:4560365:4567868:-1 gene:TanjilG_20239 transcript:OIV95789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVPKDQITLLLDHGLYNSAQILGSFLVSSPASNAESSLYLKTESLVLLGDSFFREREHRRAINTYKQALQCYKMIPKQNLTSSRSSLSSNRSSSPNSCNVPVINENEVKFKIASCHCSLNENKAALVEMEGIPSKTRNLPMNMLLGKLYRISRHSRAAVAIYKECLSVLMPELIALAYDYIHIFVFVHQETHLVFVFFDVLLNFVKAVEVQQCRHFDRGHCPYALEAVVALAELGSTAKDIISLFPQNPNRSGRGPFDHIDSSRWLQRYVEAQCNIASNDYKGGVEHFTDLLQRFPNNVHLLLEIAKVEAIIGKNEEAIMNFEKARSIDPYIITYMDEYAMLLKLKSDYSKLNKLVHDLLNIDPARPEVFVALSVLWERKDEKRALSYAEQSIQIDERHITGYIMKGNLLLTMKRAEAAVSAFRGAQELRPDIRAYQGLVQTYLALSKIKEALYASREAMRAMPQSAKALKLVGDVHASNSSGREKAKKFYESALRLEPGYLGAALALAELHVIEGRNVDAVNLLERYLKEWADDSLHVKLAQVFAATNMLQEALTHYQAALRLVPWVALALLRILDHIKGQPSVGVDPDAPEEDEDNDVEDVDGDQDETELL >OIV95647 pep chromosome:LupAngTanjil_v1.0:LG16:6224188:6225546:1 gene:TanjilG_01441 transcript:OIV95647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKSKIILQRYELGRLLGQGTFGKVYYARSSITNQSVAIKMIDKEKVMRTGQADQIKREISVMSRAKHPNIIQLFEVMATRTKIYFVMEYAKGGELFNKVAKGKLNEDVAHKYFKQLVNAVDFCHSRGVYHRDIKPENILLDENGNLKVSDFGLSALTDSKRQDGLLHTPCGTPAYVAPEIIKRKGYDGAKADIWSCGIVLFVLLAGYLPFRDSNLIEMYRKISKAEFKCPNWFPPEVCRLLSKILDPNPETRISIARVKESSWFKKGPNAGRKKHEAENNNASSSWTNISYQSEESDNVPTAETKEESVMPISINAFDIISLSSSFDLSKFFGDGFRKRAARFTSRQPASAIISKLEEIANRLRLKISKKAGGLLKLEGLDEGRKGVLSIDAEIFELTPLFHLVEVKKSNGDTLEYQKILNEDIRPSLQDIVWVWQTDQQQQSQQSEQQQ >OIV95575 pep chromosome:LupAngTanjil_v1.0:LG16:9403267:9405918:-1 gene:TanjilG_23806 transcript:OIV95575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAAATSYFFGTRLNNPASHSGKFHAFFNLRIKKSPPAPKKKEKVKPSSDGLVWFPGAQSPEWLDGSLVGDRGFDPLGFAKPAEYLQFDLDSLDQNLAKNLAGDIIGTRSETTEVKPTPFQPYTEVFGLQRFRECELIHGRWAMLGALGALAVEAFTGVAWQDAGKVELVEGSSYFGLPLPFSITSLIWIEVLVIGYIEFQRNAELDPEKRLYPGGKFFDPLGLAKDPEEKERLQLAEIKHSRLAMVVFLTFAIQAAITGKGPISFIATFNK >OIV95914 pep chromosome:LupAngTanjil_v1.0:LG16:1505835:1509070:1 gene:TanjilG_27018 transcript:OIV95914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLSMSTPSSSSPLKSSDAISDQFPAGLRVLVVDDDPTCLMILDRMLRACFYEVTKCKRAEVALSILRENKNGFDIVLSDVHMPDMDGFKLLEHIGLEMDLPVIMMSADDGKQVVMKGVTHGACDYLIKPVRIEALKNIWQHVVRRRKNGSKDLEQSGSVDEGDRQLKAFDDPDYSSSANEGKSSKKRRDEEEDPDERDDSSTLKKPRVVWSVELHQQFMAAVNQLGLDKAVPKKILELMNVPGLTRENVASHLQKYRLYLRRLSGVSPQQSNLNNPFINPQEASFGATSINGIDLLTLQAAGHLPAQSLAKLQAAGLGRLTAKAGVSMSLVEQRNLFSFENPRIRFGESQLQHLSNSKPMNLLHGIPTNMEPKQLANLHQPTQSLGNLNMRVNSSTAQTNPLLMHMAQSQPRGHMLSENTGSHVNRLQSSLVQTTLPNGISNDILGNGIAGTSNINTAYNPLQQNSSISSFPMNQSTEMAVTSFPLGSTPPISSMATKGMFHEEVSSGFKGSSGFVPSYDIFNELNHHKSHDWDLANAGLTFDISQHRNTSISNIDVSPSVLVHQSFPPIHQTGQNRDATSIGKGMFSIGEGMSQGNLQNFGQHHSTHLVDNSVKVKAERVHDPSSQINLFSEQYGQEDLMSALLKQQGGIGLAENEFDFDGYSLDNIPV >OIV95238 pep chromosome:LupAngTanjil_v1.0:LG16:19659799:19660560:1 gene:TanjilG_21628 transcript:OIV95238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNPVVEKFSEFYHKWVLKLEEILHLLLEVSKKRSNENGEQEMLVLVSKVTSHIKEYYTVKWGAAHEDVLVFFSPVWLSPLENAYLWVTGWKPSTVFKLMESLNKTTTFNMTEEQVKKIEVLRMRIRMEEEKVESEMERQQVALADRKMVELARMCSRERNGGGGGGGGGVVAEKVDMAMKEVLAGLEKIMKSSDCVRLKTLKGVLDLLTPMQCVYFLAANIAMQLRLRQWEKKRDMAGSELDSSLVLERRVV >OIV95621 pep chromosome:LupAngTanjil_v1.0:LG16:8455566:8456735:-1 gene:TanjilG_23852 transcript:OIV95621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGTSSYWCYSCSRFVRVSSQDTVVCPDCDSGFIEGIEQPARSIHVDGRRRRFPETAMYMIGQRPSSDQNSRPLIRRTRHNGSDRSPFNPVIVLLGSGGGSTEGAAEQDGAEGRGFELFYDDGAGSGLRPLPPSMSEFLLGSGIDRLLEQISQIEINGVGRYEHPPASKSAIDSLPTIEIGESHLELETHCAVCMESFELCTSVREMPCKHIYHEECILPWLTLHNSCPVCRHELPADTVPSQNTPLTRNSPNSIASNENENDGLTIWRLPGGGFAVGRFSGGRGGAERELPVVYTEMDGGFNNGGEPRRISWSSRGNRGGRESSGFHRFFQNLFGCFRGGEVGPRHPTSSTASPSIGRANLPRSNMDPSLRSRRTWSMDGNSGMRLW >OIV95897 pep chromosome:LupAngTanjil_v1.0:LG16:1330403:1334314:1 gene:TanjilG_27001 transcript:OIV95897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPAIVTPSAVRGTLGSHLARRLVEAGVRDVFSVPGDFNLTLLDHLIAEPQLNLIGCCNELNAGYAADGYARSKGVGACVVTFTVGGLSILNAIAGAYSENLPVICIAGGPNSNDYGTNRILHHTIGLPDFSQELRCFQTVTCFQAVVNNLDDAHELIDNAISTALKESKPVYISISCNLPGIPHHTFATDPVPFTIAPKLSNQEGLKAAVEATAAFLNKAVKPVIVGGPKLRVAKAQQAFIEFAEASGYPIAAMPSAKGLVTEQHPHFIGTYWGAVSTGFCGEIVESADAYVFVGPIFNDYSSVGYSLLIKKEKAIIVQPNRVTIADGPSFGCVFMADFLTALSKKLKRNTTAVENYRRIFVPQGIPLKLEKDEPIRVNVLFKHIQGMLSGDNAVIAETGDSWFNCLKLRLPENCGYEFQMQYGSIGWAVGATLGYAQAATNKRVIACIGDGSFQVTAQDISTMIRCGQKTIIFLINNGGYTIEVEIHDGPYNVIKNWDYTRLVEAIHNGEGKCWTAKVRTEEDLTEAIATATEAEKDSLCFIEVFVHKDDTSKELLEWGSRVAAANCRSPNPQ >OIV96003 pep chromosome:LupAngTanjil_v1.0:LG16:2268907:2271497:-1 gene:TanjilG_27107 transcript:OIV96003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGSLIRLHFHDCFVQGCDASILLNDTATIVSEQSAAPNNNSIRGLDVVNQIKTAVENACPGIVSCADILALAAEISSVLAHGPDWKVPLGRRDSLNANQTLANQNLPPPTFNLTQLKSAFANQGLNTSDLVALSGAHTIGRAKCSSFIGRLYNFSNTGNPDPTLNTTLLQTLQALCPNNGPGTNLTNLDLTTPDTFDNNYYSNLQSQNGLLETDQVLFSTSGADTIAVVNNFINNQTLFYEKFKASMIKMGNIGVLTGSQGEIRTQCNFVNGNSSSSSGLVTVITKESSEDGIVSSI >OIV95755 pep chromosome:LupAngTanjil_v1.0:LG16:5109532:5110725:1 gene:TanjilG_05303 transcript:OIV95755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLLSDLSMRIYPEPDRSESDHFDRLPDSILLLVFNNIGDVKALGRCCVVSRRFHALVPQVENVVVRVDCVISDDDSNSSNSSSDKTRGTFSNLFRLVFGGIVKPLQALGQLLGPKRTTLVSGSSSTSSPPSSSFLAVGSGDDGGEMDQGGVTHHSPTQVLKNFNEIRVLRIELPSGELGIEDGVLLKWRADFGSTLDNCVILGASSVINPNASGDVNNALCGVGGNNNNGGVGVDDNGSIPDSFYTNGGLKLRVVWTISSLIAASARHYLLQPIISEHKTLDNLVLTDADGQGVLHMNRDQLEELRVKPLSASLASKRTLVPALNMRLWYAPHLELPDGVVLKGATLVAIRPSEQSPKKETSDVSWVSTVFEEPYRTAAKMLVKRRTYCLEMNSF >OIV95120 pep chromosome:LupAngTanjil_v1.0:LG16:17897140:17900052:-1 gene:TanjilG_21510 transcript:OIV95120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKNVAFQVVLFSIFLAMANSFEFNYPAIFNFGDSNSDTGELAAGLGIILVTPNGQNYFKTPSGRFCDGRLIVDFLNTGTLISAGIESLYPPNGQTYFHVPSGRYSDGRLIIDFFMDAMDLPFLNAYLDSLGLPSFKKGCNFAAAGSTILPATASSICPFSFGIQVSQFLRFKARALELLSKGRKFDRYVPSEDFFNKGLYMFDIGQNDLAGAFYSKTLDQILASIPTTLVEFETGVKKLYDQGARYFWIHNTGPLGCLPQNVAKFGTDPSKLDELGCVSAHNQAAKTFNLQLHALSTKLQGQYPDANVTYVDIFTIKSNLIANYSKYGFEQPIMACCGSGGPPLNYDSRVSCGQTKTINGTTITAKACNDSTEYINWDGVHYTEAANNLVTSQILTGKYSDPPFSDKMPFLLKLKF >OIV95940 pep chromosome:LupAngTanjil_v1.0:LG16:1732589:1735330:-1 gene:TanjilG_27044 transcript:OIV95940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFLSLHASMFIFAMILIFMILCCMPYSALGTNAQTVIDSPLLTQRLGINRTIKVDINGKGDFKSVQAAIDSIPEGNSNWVIVHVRKGIYREKVHIPKTKPYIFMRGNGKGKTSIVWSQSSEDNVASATFKAEAKDCIIFGISFKNEAPTGVAYTSQNQSVAAFVAEDKIAFYHCAFYSTHNTLFDYKGRHYYESCYIQGSIDFIFGRGRSMFYGCEMFVIADERIKILGSITAQNREQEIEMSGFIFIKGKVYGIGGVYLGRAKGPFSRIIFAKTYLSKTIVAEGWTNWSYDGSTQNLLMGEYKTHGPGAVIEGRVPWSRQLTDQEVAPYLSIDYIDGKNWLPAYWQ >OIV95369 pep chromosome:LupAngTanjil_v1.0:LG16:16118774:16123807:-1 gene:TanjilG_14523 transcript:OIV95369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METSAAMDIEEQPSPNNNNNNLKRFGLKNSIQTNFGDDYVFQIIPKDDWSSMAVSLSTNTVKLYSPEKGQYYGECKGHYATINQIMFSDASNSHVLSSCSSDGTIRAWDTRTFQQISSINAGPSQEVFSFSFGGPGGNLIAAGCKSQILFWDWRNKKQIACLEESHVDDVTQVHFVHEEQSKLISTSVDGLICAFDTTGDINDDDHLESVINVGTSIAKVGIFGDNCQKLWCLTHIETLGIWDWKNGTNEVNFSDARGLASESWNLDQVDYFVDCHYSKEAEKLWVIGGTNAGALGYFPVNDKGQATIGGAEAILEGGHTDVVRSVLPMSRFHGGSAPSSVSSGIFGWTGGEDGRLCCWLSDDSTQINQSWIASSMIMKPEKTRKKKRHHPY >OIV95886 pep chromosome:LupAngTanjil_v1.0:LG16:1263114:1263323:-1 gene:TanjilG_26990 transcript:OIV95886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKGVHPQKQWISYVTQTGRLMHVMMTKIHPVGKVYHFRAKRQMAESLGQIAKFKRRFGLESQDTEEKK >OIV95646 pep chromosome:LupAngTanjil_v1.0:LG16:6198096:6202795:-1 gene:TanjilG_01440 transcript:OIV95646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISLLKVHVDDISSFLHLLFSGNKNSELVTKYYQKAKEILKLLKPIFDVIVNSELASDEVLCKLFEDLGHTVDELREHIENWHLLSSKVYFVKQAEPLISRIRTSGLSIFQQLKAFHHCLPDGLSSEHVEHCLQKLQHLGHNETSSVIEEAITEQQDGVGPSSEVMTKIANSLGLSSNEEVLIEAVALEKLKENAEQTENTAEAEYIDQIVAVVTCMHERLVMLKQAQSCSPVPVPADFCCPLSLELMTDPVIVASGQTYERAFIKNWIDAGLTVCPKTRQTLSHTNLIPNYTVKALIANWCESNNVKLVDPPKSKNLNQASVLHGYMESGTTRESPVFAHSRSNQSSPPESAPSRSCSSPGNNLTSGGIQREGTSPMHPRLTSEGSLSGMVNEQYMDLARMSPTGLDDRSASSDESNVDSAGQPSISPSRRESSSAFSSEQSQTHVRTVSDSCALSSAKFPQETQGDDNSAPQLSTSPSYSRAASGELNPGLDAAGAAAMPSPHREPEFPPRFVETRSRSQAMWRRPSERLGSRIVSSPAIETRADLSAIENKIRTIVEGLRSSDLDTQRGATAELRLLAKHNMDNRIAIANCGAISLLVDLLRSTDTRIQENAVTALLNLSINDNNKAAIATAGAIEPLIHVLETGSAEAKENSAATLFSLSVIEENKITIGRSGAIRPLVDLLGNGTPRGKKDAATALFNLSIFHENKNRIVQAGAVKHLVELMDPAAGMVDKAVAVLANLATIPEGRNAIGQECGIPVLVEVVELGSARGKENAAAALLHLCLHSNRFLSMVLQEGAVPPLVALSQSGTPRAKEKAQALLNQFRSQRHGNAGRN >OIV95218 pep chromosome:LupAngTanjil_v1.0:LG16:19220674:19221828:1 gene:TanjilG_21608 transcript:OIV95218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSHSMFKALLSLNLNSLPSPTPKCNPFLHHYSFTFPLKFYTTTSDPKSFTVSYLINNFGFSPESALKASNCVRFKTQKKPDSVLTFFRNHGFSDPDIYSVIKKEPWLLSCDIHKRVLPKFEFLSSKGASRSDIVRAVMVNPRFLKSSLENCIIPAYELVNGFLQSEKKTFASIVGCPSLLYSDSVAFNINYLVDNGVASLNIYRILRLRPFILCASDLKNTVEELKCLGFDPSKSYFADAMLAKRATSELQWRDKVENFKKWGWSDETILEAFKRQPMCMLTSSQKVDKVMRFWINKLGWDSSHLVKAPGIFGYSLEKRIIPRASVINYLLSKGLRKESASFLTPFCMTDESFLEKYVTCFDDEEASQLLKLYQENMDLQDCK >OIV95838 pep chromosome:LupAngTanjil_v1.0:LG16:3883928:3886279:1 gene:TanjilG_06814 transcript:OIV95838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTFSLTQYFGTGVHGSHSGSLDYVRRPVLKDNHVDPMFPELSPIGSPQPFLPLLAPSPLAPFTNTSIPKLSGSFLSSFWGYLGLWIFKGYDFNVVSLTILSMYLTGLCTLNFSAAESLISVTAIDCWDAFAPFLANVICCPQLEATLTILIGQSSKYTDVLALNGSTAKHCFSDVEQILMGQGANVGLQQICSIRSTNLTEASCPVKSVNEFHDIVDTAKLLTACENIDPVKECCYQICQSAILEAATAIASKGSDLLDTGVQHVLPKDSIRVSDCRNIVLRWVASKLDPSHAKKVLRGLSNCKINKACPLVFPEMSHVAKGCGNGISNKSACCNAMESYVSHLQKQSFITNLQALDCADTLAMKLKRSNITEDVYGLCHISLKDFSLQVAGCLLPSLPSDATFDSTSGISFLCDLNDNIPAPWPSTSQVGTSSCKKPVNFPALPAAASSQSCLYSRNVLFFMLIALSFLLMILL >OIV95514 pep chromosome:LupAngTanjil_v1.0:LG16:13396320:13420088:1 gene:TanjilG_25185 transcript:OIV95514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEENLHGGSFGVTALIGNGNLVVSNAGDCCAFIGRGGVAEALTFDHRPSREDERGRIETLVSDQEAVDLASPFCIGSNSKQSSLACKKLVESSISRGSVDDIKGWESSISITKLQHYI >OIV96143 pep chromosome:LupAngTanjil_v1.0:LG16:960702:961418:-1 gene:TanjilG_13075 transcript:OIV96143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSLEKRGNLFFLTLTGDDQHRLGPPLISSILSTISKISSQANAGSVLITTAHGKYFSTGFDLSYARSAPSPSEAIDRLKSMVESLRPVAAAFMSLPMPTIIAVTGHAAAGGLMLPICHDYILMRSDHGVLLMPEVDLGLPLPDYFAAVMRERIKSPAALRDMMLRGVKVTGEEGVKMKIVDSVYDSAERTIDAAVRLGEELARRKWNGEVYAEIRKSLYPESCQVLGLIQKQLVSKI >OIV95979 pep chromosome:LupAngTanjil_v1.0:LG16:2046340:2047962:-1 gene:TanjilG_27083 transcript:OIV95979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPKTCLAHLSQTLFLLITISATFAATPTSESVYPTFLHCFKHYTNSSTQLNNIVFSQTNPSFSTVLQNYIRNARFNTTSTSKPLIIVTPLQESHVQGTVICAKSIGIQIKIRSGGHDYEGISYISDEPFIILDLFNIRKVYVDIEKETAMIQAGATLGEVYYRIWEKSKVHGFPAGVCPTVGVGGHISGGGYGNMLRKYGLSVDNVIDAQIVDVKGRLLDRKSMGEDLFWAIKGGGGASFGVVLSYTVKLVSVPEIVTIFRVMKTLEENATDLVVQWQKVAPNTDDRLFMRLLLQPVTSKKVKKSKTVRASVVALFLGGVDEVVSILRNEFPLLGLKKEDCNETSWIGSVIWWNDDDAFNNGAKPETLLDRNLNSASFGKRKSDYVQKAISKHDLESIWKKMIEQGKVGFVFNPYGGKMDEIASDATPFPHRAGNLFKIQYSVNWDDPSEAAAQNFTNQAKNLHSFMTPFVSSNPRSAYINYRDIDIGINHFDKNSFEEGKVYGTIYFNNNFDRLVKIKNAVDPENFFRNEQSIPVHA >OIV95866 pep chromosome:LupAngTanjil_v1.0:LG16:4167223:4169267:1 gene:TanjilG_06842 transcript:OIV95866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELHVLILGIDKAGKTTLLEKMKSVYSNIEGLPHDRIVPTVGLNIGRIEVANRKLVFWDLGGQPGLRSIWEKYYEEAHAVVFVVDASCPSRFEDAKSALEKVLRHEDLRGAPLLILANKQDLPEAVSSEELAQYLDLKKLDERVFMFEAVSAYDGMGIRESAEWLVEVMERSKRTELLRVRASAMGPGSA >OIV96027 pep chromosome:LupAngTanjil_v1.0:LG16:2487901:2491780:1 gene:TanjilG_27131 transcript:OIV96027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGRDPWGGPLEINAATDSATDDDRSRNLQDLDRAALSRPLDETQQSWLLGPSEQKKKKYVDLGCIIVSRKIFVWTIGTLLFAAFVAGFVTLIVKTVPRHHHKNPPPDNYTLALHKALMFFNAQRSGKLPRHNNVSWRGNSCMQDGKSNGVSSAIKDLVGGFYDAGDAIKFNFPQAYAMTMLSWSVIEYSAKYEAAGELAHVKELIKWGSDYFLKTFNSTADSISTLAAQVGTGDTSGGSTTPNDHYCWMRPEDIDYDRPVTECHSCSDLAAEMAAALASASIVFKDNKVYSKKLVHGATTLFKFSRDQRGRYSAGSAEASIFYNSTSYWDEFVWGGAWMYYATGNSSYLKLATTPGIAKHAGAFWGGPDYGVFSWDNKLAGAQVLLSRLRLFLSPGYPYEEILRTFHNQTSIVMCSYLPVFTSFNRTKGGLIQLNHGRPQPLQYVVNAAFLAALYSDYLEAADTPGWYCGPNFFSTDVLRNFAKTQINYILGNNPRKMSYVVGFGDKYPKHVHHRGASIPKNKVKYNCKGGWKWRDSSKPNPNTIVGAMVAGPDKHDGFHDVRSNYNYTEPTLAGNAGLVAALVALSGDKGTKIDKNTIFSAVPPMFPTPPPPPAPWKP >OIV95941 pep chromosome:LupAngTanjil_v1.0:LG16:1739406:1745093:1 gene:TanjilG_27045 transcript:OIV95941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLLSRCWHPFGNSIDQSSSSSSSSSSSGSAILSKDGLLWFRDIGKHASGDFSMAVVQANQVLEDQSQIESGPLGTFVGVYDGHGGPDAARYVCDHLFRNFQAIAAESRGVVTAETIQTAFLRTEEGFTALVSELWSTRPQVATTGTCCLVGVIFQQTLFVASLGDSRLVLGTKVGNTGGVAAIQLSTEHNANLEAIRQELKELHPDDPQVVVLKHGVWRVKGIIQVSRSIGDVYMKHAQFNREPINAKFRLPEPMDMPFLSADPTIISHHLQPNDSFLIFASDGLWEHLSNETAVDIVHSNPRAGSANRLVKAALHEAARKREMRYSDLHKIDKKVRRHFHDDITVIVLFLNHNLISRGSVLDPPLSIRSALDH >OIV95393 pep chromosome:LupAngTanjil_v1.0:LG16:15596594:15599244:-1 gene:TanjilG_06262 transcript:OIV95393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASCHRPCDYCGHTIALLYCRADSAKLCFSCDREVHSTNQLFSKHTRFLLCDICDDSPATIFCSTESSVLCQNCDWEQHNNNNNNNKNSMSSTHERRPLEGFNGCPCVNELLGIVGFEDIEKKSLLSSDLESGVVGGDGYFGYEVEGVSDLFVWDTPSVVSLDDLISTSGSSHNYQAMEVPPLPKNRKAACGMHKEEVLNQLSKLAKSEPLDLDTEQNVPSGNLSTGFEGGLEPNIFPSFEWHRESSEHVNQVVPLPPDMSLSAYTEEVPVKHSTSAVWETHTYGNNEGTPSHSLTNETLPTTPKAVPCELTSQERDSALLRYKQKKKTRRYEKHIRYESRKVRAESRIRVKGRFAKMKD >OIV95896 pep chromosome:LupAngTanjil_v1.0:LG16:1324431:1327058:-1 gene:TanjilG_27000 transcript:OIV95896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAEKNKKEKSSIDKKRMKKNKNLVRRRRVKDEVDLEQKTDEVLDNCPSEVRDTDTDIGAAGKKKKAKSSVNRKRKNRDIGKQKAKDEIDLEEKSDVVLENCPSETHDDIQEIKDHENADTEAAIKPCKSKKSKKKRTKEESFVEEAQNLLEKAGEPDNDHDQENIYIISSGDDDGSKGMRKWISEYHQSRPGLNVLQQQIDDFITDHEEKLEEERKEREARAADGGWTVVVHHKGRKKTTDAESGVAVGSVAQAAVEHKMTKKKHNEVGLDFYRFQKREAQRNEIMMLQSKFEEDKKRLQQLRAARKFRPY >OIV95480 pep chromosome:LupAngTanjil_v1.0:LG16:14212279:14214222:1 gene:TanjilG_23923 transcript:OIV95480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEERLGQNSVPPSRTIDKYKILDVKPIRSMIPVFSMSPQGPASGEYPSGFTPFFPFGGPQQTPSRGVATPAPLRVYRARDTDGFDDHAASHSRGSQSSKRKHVKRTPVVLTDSGFLVGITPDIREDGNRDVVNLILMTFDAFRRRLCQLEDAKELITGSIKRADLKACNTLMMKGIRTNMRKRVGLVPGVEIGDIFFFRMELCIVGLHSQSMGGIDAMHVRGESEEETLAISIVSSGEYDDEAEDNDVIIYTGQGGNFNKKGKHVKDQKLQRGNLALDRSSRQHNEIRVIRGIREGVNQNSKIYVYDGLYKIQDSWMDRSKSGSGGVFKYKLVKVPGQPSAFAVWKSIQKWKSGFPSRTGLILADLSSGAESISVTLVNDVDNEKAPAFFTYFHSLRHPESFSLMQPSHGCNCTKACVPGDVNCSCIRKNGGDFPYTGNGILTSRKQLVYECGPTCHCFPNCKNRASQTGLKHHMEVFKTKDRGWGLRSLDPIRSGSFICEYAGEVIDKAKLSQLVRERDDDYVFDTTHIFDTFKWNYEPRLLEEESTNDSSEDYAIPYPLTISAKNIGNVARFMNHSCSPNVFWQPVIYEENNQSFLHVAFFALRHIPPMTELTYDYGFSRDEGSNAPKGRKKCFCGSSKCHGSYG >OIV96246 pep chromosome:LupAngTanjil_v1.0:LG16:51078:52584:-1 gene:TanjilG_14923 transcript:OIV96246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGERNVSSYFHYPPSPITPISDRQRYHPLLFKLIIINSYFNVIIINSYFNVIINYRYLSELVAERQKLAPFLQLLPLCTSLLTQEITRLSLPPNHKLFDPHTPFTSLPQHPHIRPIEDNGNRQRMPSFQPIPTTPVVKKVIRLDVPLHKYPNQYNFVGRILGPRGNSLKRVEAMTECRVYIRGCGSVKDSIKEEKLKDKPGYEHLKEPLHVLVEAEFPEDIINARLDHAVAILENLLKPVDESLDHYKKQQLRELAMLNGTLREESPSMSPSMSPSMSPFNSTGMKRAKTGR >OIV95735 pep chromosome:LupAngTanjil_v1.0:LG16:5395622:5402794:-1 gene:TanjilG_05283 transcript:OIV95735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMIKNPISSTCFFLLFLPLLASCTDKQEIYLVQFGEKTSSEDKTLHEIENTHHSYLLSVKQTEEEARTSLLYSYKHSINGFAALLTPNEANLLSDMEEVASVHKNQQRIYSLHTTRSWKFVGLDESETLNPWTQDSNQTNGDLLSRAKYGEDIIVGMIDSGVWPDSKSFRDEGMGPVPQKWKGVCENGTAFTSSQCNRKIIGARYYLNGYESIFGPLNEEEDYKSARDKDGHGTHTASIIAGRVVPKASALGGFASGTASGGAPLARLAIYKACWPIKGQSKDEGNTCMEVDMLKAIDDAIGDGVDVLSISIGFNKPLPYEDDVIAIGALHAVRKNIVVVCSAGNSGPSPKTLSNPAPWIITVGASTVDRSFFTPIKLRNGRTIEGHSITPVPVHEEKIFYPLVLASDVEQQGVLRTNSGQASRGKSLDPDSFTPGLALFTCPIVSNTNKVSQPSLSWLVSATEPKERIGLRAAQNQPNLFMVTSSFPTLFMLLHVPYGIQIQSSLIRREPRTWRYCLDNTLEPNKVQGKIVLCMRGQGQRLKKGLEVQRAGGVGFILGNNEKYGNDVPYDPHFIPATGVSYENALKIIQYIQSSPNPMAHFLPGKTVLKAKPAPSMASFSSRGPNIIDPYILKPDITAPGVYILAAWTEEDGPTRMTFHDKRVVKFNIFSGTSMSCPHVSAAAVLLKAIHPTWSSAAIRSALITTAVTTDNTGNPMTDETKNPANPFAMGSGHFNPKKAADPGLVYDASYMDYFIYTCHLGIAQNLTITDKCPNSLHEPFDLNYPSIQVHKLNVTRTIRRSVTNVGKRRIVYKFIANSPKEYKITATPNILRFNHVGQKINFTITVTARRGHITNKTDPYQYYFGWYAWTHKHYIVKSQVAVSFDSFP >OIV96244 pep chromosome:LupAngTanjil_v1.0:LG16:59975:62930:1 gene:TanjilG_14921 transcript:OIV96244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACSSAQLHGLGTIFLGHRLNPNPFPRSSSLKLNPTRRPLRVVNEKVVGIDLGTTNSAVAAMEGGKPIIITNSEGQRTTPSVVAYTKNGDRLVGQIAKRQAVVNPENTFFSVKRFIGSTMSEVDEESKQVSYTVSRDTNGNVKIHAPAIGKQFAAEEISAQVLRKLVDDASKFLNDKVTKAVVTVPAYFNDSQRTATKDAGRIAGLDVLRIINEPTAASLAYGFERKNNETILVFDLGGGTFDVSVLEVGDGVFEVLSTSGDTHLGGDDFDKRIVDWLASNFKRDEGISLLKDKQALQRLTEAAEKAKMELSSLTQTNISLPFITATSDGPKHIETTLTRAKFEELCSDLLDRLKKPVENSLRDAKLSFNDLDEVILVGGSTRIPSVQELVKKLTGKDPNVTVNPDEVVALGAAVQAGVLAGDVSNIVLLDVTPLSIGLETLGGVMTKIIPRNTTLPTSKSEVFSTAADGQTSVDINVLQGEREFVRDNKSLGRFLLDGIPPAPRGVPQVEVKFDIDANGILSVTAVDKGTGKSQDITITGASTLPSDEVQRMVDEAEKFAKEDKEKRDAIDTKNQADSVVYQTEKQLKELGEKIPGPVKEKVEAKLGELKDAISGDNTQTIKDALAALNQEVMQLGQSLYNQPGTPGAGPTPPGADAAPGASKSSGEGPDGDVIDADFTDSK >OIV95726 pep chromosome:LupAngTanjil_v1.0:LG16:5934250:5935406:1 gene:TanjilG_05274 transcript:OIV95726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSIYEYVKSFEVEDEVMFPNIILVCINGCNFSRFSQLHRLEKPYDLNALKLMNSCAVSVLEEYADVVLAYGFSDEYTFVFNKSSKFYQRRASKVLSIITSFFSSMFVTKWSDFFPQKELHYAPSFQGHVISCATIQVLQSYLLWRQNICHVNNQYDQCFWRLIKRGKTETEVRNSLKVAEKSNLNECLFHEFNINYNTLEEIYRKGSCVLKTMVEDIVKYADNGAPVRRRRRKIITVHKKKVASTRFWNEQTILLKELGGFTEEIDNVKSEYVHSFEFDTKLMPYTWIVVRLDGCHFHRFSDLHEFVKPNDERALNLMSSCAMAVLEEFQQDIVFAYGMERLLLK >OIV95316 pep chromosome:LupAngTanjil_v1.0:LG16:16779937:16784788:-1 gene:TanjilG_07472 transcript:OIV95316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKKIREFHSKNLIKEHLKRIASIHLDTLSAQVTESTDFVELTNQYPWVSTTKLVVKPDMLFGKRGKSGLVALNLDIAQVAQFVKTRLGVQVEIGGCKAPITTFIVEPFVPHDDEYYLSIVSERLGCTISFSECGGIEIEENWDKVKTIFLPTEKTMTLEACAPLIATLPLEIRGIIGDFIMGVFAVFQDLDFSFLEMNPFTLVNEKPYPLDMRGELDDTAAFKNFNKWGKIEFPLPFGRVLSPAESFINSFDEKTSASLKFTVLNPKGRIWTMVAGGGASVIYADTVGDLGYASELGNYAEYSGAPNEDEVLQYARVVIDCATSDPDGRKRALLIGGGIANFTNVATTFSGIIRALKEKESKLKAARMNIYVRRGGPNYQTGLAKMSALGEELGLPIQVYGPEATMTSICKQAIDCIISEA >OIV95576 pep chromosome:LupAngTanjil_v1.0:LG16:9386354:9390846:1 gene:TanjilG_23807 transcript:OIV95576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNNASSSNSTIDESVVVDCGRRRSSCGYCRSPHRTSISHGLWARSLTVGDYQALLDRGWRRSGCFLYKPEMEKTCCPSYTIRLKASDFVPSKEQLRVSRRLQRFLDGSLDNKGVSALEDPITSKKSESFLHPKLSNPTSEKSLYASSKEQNEVEKSSQALSDQIDKVVKMFNEKGEFPSGIQLPKASVRKVTQGKKKLLVNGSEDLLYSSNIAFQIAASIKRAQSGNKDGNNSKPPTDCVTVNESCPKLIAENLVTSLDQIVNNSGMSIKACNGHINFYSSSKQVPTGGSVQNVAEPKTSGTKHGIGGKCLINPQHCQVKRKKLEIRLSRSSFDPEEFALYRRYQLKVHNDKPDHVTEDSYRRFLVDTPLLHVYPTGDSTVPPSGFGSFHQQYLIDDQLVAVGVVDILPNCLSSKYLFWDPDFASLSLGKYSALQEIGWVKENEVYCPSLQHYYLGYYIHSCNKMRYKAAYRPSELLCPLRYQWVPFDIARPLLDTKRYVILSDYSIIQNGESSLPQVTDDVIEGQLDDIGHEDANDVPMDEDKDEDDERVESESESSDDDTGLETISYGESENGDISKILLGYRGNVRYKNLRNAIDPEQRNYLESQLKKYMRVVGPELAERMVYSLS >OIV95939 pep chromosome:LupAngTanjil_v1.0:LG16:1728209:1730769:-1 gene:TanjilG_27043 transcript:OIV95939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGDEGVEMVVDSKDLQQQSKAFDKLTDRVEDRQLDSTRVQEAMASIAASSEADWNAMRLSWTKRWLKEPCVSIKVMQLLPLDTYFTRVKVALILKGKLKLKSICNHAYPLMYLKLFLF >OIV95653 pep chromosome:LupAngTanjil_v1.0:LG16:6311152:6329971:-1 gene:TanjilG_01447 transcript:OIV95653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLKGNPPTITFSSDDVVLKSPNDRRLYRLVELQNGLRALLVHDPEIYPQEHMLFMGSEEFPDENEYDSYLSKHGGSSNAYTETEHTCYHFEVKPEFLKGALQRFSQFFISPLVKTEAMEREVLAVDSEFNQALQSDACRLQQLQCHTSTPGHPLNRFFWGNKKSLVDAMEKGINLREHIFKLYNDHYHGGLMKLVVIGGVICFVNDIDSLDLLESWVVELFGAVKKGPQVKPKFSAEGPIWKSGKVYWLEAVKDVHILDLSWKLPCLHQEYLKKPEDYLAHLLGHGPTFSSVALFNKNIINLSEGRGSLLSYLKARGWATSLSAGVGDEGIYRSTIASVFVMSIHLTDSGVEKIFDIIGSVYQFLKLLRQTSPQEWIFNELQNIGNMEFRFAEEQPQDDYAAELSENLQFYPPEHVIYGDYVFKTWDEQLIEQILGFFIPENMRVDVVSKLFLKSEDFQSEPWFGSRYVEEDIAQNLMELWRNPPEIDSSLHLPSKNEFIPSDFSIRADDSRINDFTNATSPRCIIDEALIKFWYMLDSTFKVPRANTYFRINLKGGYDNAKSCVLSELFIHLLKDQLNEIIYQASIAKLETSVSYVGDKLELKVYGFNEKLPVLLSKVLSVAKSFMPTDDRFKVIKEDMERTLKNTNMKPLSHSSYLRLQVLCESFYDVDEKLHYLNDLFLDDLKAFIPELRSQLYIEGLCHGNLSEEEAISISNIFKVNFPVNSLPIESRHAERVICFPSGANLVRDVSVKNKLEKNSVIELYFQIEQDVGVESTKLKALIDLFDEIVEEPLFNQLRTKEQLGYVVQCSPRITYRVFGFCFCIQSSEYNPVYLQTRVDNFIDGLEELLDGLDGDSFENYKSGLMAKLLEKDPSLSYESNRLWNQIVDKRYIFDMSKKEAEELRDINKHDVVEWYKTYFKASSPKCRRLLVRVWGCNTDLKDAEALPKSVQNHDTTLEREPWHNGTKFFVVCEPGTQHMETLLKFVYELYTDYVLKNPFYEMEMPIRCELFDINLTQAVQKDRVAFLGR >OIV96208 pep chromosome:LupAngTanjil_v1.0:LG16:276995:278324:1 gene:TanjilG_14885 transcript:OIV96208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISMAVHRNLLRLRGFCMTPTERLLVYPYMANGSVASCLRERHDGDPPLDWPIRKCIALGSARGLAYLHDHCDPKIIHRDVKAANILLDEEFEAVVGDFGLAKLMDYKDTHVTTAVRGTIGHIAPEYLSTGKSSEKTDVFGYGVMLLELITGQRAFDLARLANDDDVMLLDWVKGLLKDKKLEVLVDADLQGNYDDDEVEQLIQVALLCTQGSPVERPKMSEVVRMLEGDGLAEKWEQWQKEETFRQDFNHIHHPNANWIVDSTSHIQPDELSGPR >OIV96063 pep chromosome:LupAngTanjil_v1.0:LG16:2780690:2781687:1 gene:TanjilG_27167 transcript:OIV96063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGFPERTDLRTMQREQERERRRIRDRQRRQSMTQEQREMHLARRRRNYQLRRMRAANAHAPFVPFSHQHQLLESSAGEASTSDEFQGVTSSTSMDYSALCHGITQHDINQGLETLNLGTKVDEGSSSHLETLDHNLANSSGRLRLSHIRRLARNVTCPNNDLAGTHQVAAELITEEDVIAGDYGSTPKSLRLNRVKRVARSRNSPSEDSIGEKDRNLHPEEIHLLVRESFIASS >OIV95931 pep chromosome:LupAngTanjil_v1.0:LG16:1662816:1663918:1 gene:TanjilG_27035 transcript:OIV95931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSHNELIVAKVCETYDKISKLENLNPSNDITHVTSELKEYEVVFLAELVGMNTKEKQKVMNHLAKYMADGAILVLRSAKGARAFLYPVLNPSNIKGFEVLSVFHPTDEVINSVIITRKHLNKVLLQSPILCGKCSQVEDFNPLNHWNIIDEHA >OIV95434 pep chromosome:LupAngTanjil_v1.0:LG16:14840408:14850543:1 gene:TanjilG_06896 transcript:OIV95434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEPTEPATSSSNPSPMGPTSLSATDPTTLVHPRRELFEHGLLPIPKLIFSDPTQTLIPLKQKLLEQSSNHRVDSASIAESLQISIDHARLVLDTLASVLPSDSEPLVTAKPGENDAVGVDVFDLVVFLYVQSYKKLLPRTHKDSAAVSDVWPSTSAFDGYLSALSPLQLVRSNSRRFMPSQADEEAHQLSYLQKHLANILSLLAEPVEGEGEGEESLVLTMDRFEHLGLLVQFGDKGSGGNSLSQMSPFFANSDPDMPAVPVPAAHVHDWLLQNIASALEHISERTSSKENGPASASDQDVAMTDASAVRGSTGTRGATFIEGISKSSYVKNASDMKGYSIKVLNCQESAIYVLAPLRYATVYGCSDATIVLGAVGKAVRVEHCERVHVIVAAKRVCIANCRECVFFLGVNQQPLVIGDNHKLQVAPYNTFYSQLEAHMNEVGILPTVNRWDEPLALGMVDHHDSLSHPAGVSDAQAESATQVDPDQFTNFLIPNWLGGESTGSTENNPFTLPDIYMASQLRNQKNLGEIRQLLREAPLEESRKKELSSALHVYFKDWLYGMSNAVFPLKKIQTVFLLNKYFWVASFKLASCKCKVRLPPMDPHTFPGPCISHELYSTRLPFLASFKLGFILYQADCFTGECFAVLWNILMCYPLKYWPLDSIFGFIEQSPTVNITAVG >OIV95596 pep chromosome:LupAngTanjil_v1.0:LG16:8920805:8924606:-1 gene:TanjilG_23827 transcript:OIV95596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDALSKKSENFQKEKDGVRSSYGNGAKSECLTDSNAESRDTSFSGSSSSGSTEVAKAIGSSSSSPPSLGWPILKAAVSKCLKSDEKENQHKTHLVEDAKFSTISSKISEIDMMKERFAKLLLGEDMSGSGKGVCTALAISNAITNLCATVFGQLWRLEPLPCEKKAMWQREMEWFVSVSDHIVELIPSWQTCPDGSKVEVMSCRPRTDIFINIPALRKLDNMLLEILGSFTTTEFWYVDQGIVAPDADGSASFRRTIQRQEEKWWLPVPRVPPAGLSENSRKQLNNSRECAHQILKAAMSINGIALAEMEVPESYLAEALPKSLRLRGKIVYIYYPVQTPNGRACLGDFIYRYITSDQFSPECLLDCLDLSSEHVALEIANRVEAAIHVWRRKAHSRPPQTPNRSTTKSSWEIVKDFMADGDKREQLAERAENILLSLKQRFPGLTQTALDTSKIQCNKDVGKSILESYSRVLESIAFNVVARIDDLLYVDDLTKHSDRCPLASPQTVSSRRFSVSVSGTPHKAAAAIDTPSFSSKPLISPARGEKTPFLHNNNNGIKPHRRGFGVRRVLSNYLGAETKTKICSNSTEVNNCPNPGSKKTLQPEHQKDSCTSKNKTK >OIV96068 pep chromosome:LupAngTanjil_v1.0:LG16:2918951:2919238:-1 gene:TanjilG_27172 transcript:OIV96068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAARTGGWTAIKNINNPHVKEIADFAVTEHNEQSGDKLKIGSIIKGESQVVSGTNYRLLLTASDGSAVKRYEAVVLEKLWLHYRNLTSFELAH >OIV95362 pep chromosome:LupAngTanjil_v1.0:LG16:16051110:16056209:1 gene:TanjilG_14516 transcript:OIV95362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMVATPETADIQTPESCVNLGLQLFSKGKVKDALTQFETALSLNPNPIEAQAALYNKACCHAYRGEGKKAADCLRTALKEYNLKFGTILNDPDLASFRALPEFKELQEEARLGGEDIGNSFRRDLKLISEVQAPFRGVRRFFYVAFTAAAGISLFLTVPRLFRAIQGGDGAPDLLQTAQNAAINIGGIVVFVALFLWDNKKEEEQLAQITRNETLSRLPLRLSTNRIVELVQLRDTVRPVILAGKKETVSLALQRAERFRTDLLKRGVLLVPVIWGEGKETKIEKKGFGIRPKAAEALPSIGEDFEKRTQSISAKSKLKAEIRFKAEVVSPAEWEGWIRDQQKSEGVTVGEDVYIILRLDGRVRRSGKGVPDWLQIVKELPPMEAFLSKLER >OIV95507 pep chromosome:LupAngTanjil_v1.0:LG16:12994269:12996864:-1 gene:TanjilG_25178 transcript:OIV95507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKGLEPLLHVTNGAGNGDETHFDPGAPPPFKIAEIRAAIPKHCWVKNPWKSLSYVLRDLLVVTALIAAAIWFNSWFFWPLYWIAQGTMFWAIFVLGHDCGHGSFSNSPKLNNLVGHILHSSILVPYHGWRISHRTHHQNHGHVEKDESWVPLTEKIYKNLDNMTRVLRFTLPFPIFAYPFYLWYRSPGKEGSHFNPHSNLFSPTERKDVLTSTLCWSIMFSVLLYLSFTMGPFLMFNLYGIPYMVFIMWLDLVTYLHHHGYEQKLPWYRGKEWDYLRGGLTTVDRDYGWVNSIHHDIGTHVIHHLFPQIPHYHLIEATQAAKPVLGKYYRQPEKSWAIPFHLIKNLLHSVRQDHFVSDFGDIVYYQNDPRLQKYSWTKFE >OIV95376 pep chromosome:LupAngTanjil_v1.0:LG16:16177637:16183388:1 gene:TanjilG_14530 transcript:OIV95376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETKKQKSRDVQRREKTRPNWNSKNMKSFKSKTQGKKTGPHLPSSLKKEVERLNPTTNSFDSGKELNSDYENGEEILVKDLYEYEEEQAEEESKKNKRYDAGSVDKLEYELPDDLSDDFKDEDVESEDDDDDDMSKNRTAASNESEEEDEERHARMLQGVTGMPTEAFEEKRKNKKMKDTVLPELYQESECNSSRDVVNGDGLITIEDLLNPLHEKPGFGELRKRMNEIEKKARTIHAPLPKADQAKIERRAAYERSKKDVTKWQPIIQKNREAPTVFFDENVDLGFSTVGAIASEFEPRTEFEMKMAALVRDDKVMEAHKKDGSRLLEMNMVSVEDEKDRQNRIAKMHSLLFRHERKAKHMKKIKSKTYHRLLKKDRLKEESSRIEMDPEAAKEYAMKQERQRAEERMTLRHKNQNRWAKRILQRGLSSQDEGTRAAIAEQLQRHAALSRKMHSMKDSSSSSDDTSDEDENSDGSDQDRVSKLLGKAKEKTMRVLEEEDEVPKSGLLSLPFMRRGLEKRKEAAVEEANILIQEYEDSLMKLGSSGGSEDPKAASVSGRRVFGTAKPQISDAGNKVKSDNIHGSSDSEDDMRDNKRNDIENGEGNVLQTDVSIDSVLIQEDTDNHQESSFKNFDEIITIPGPESTYEVSIFASDTWQKAKNKNEGKTIKKCSKLTGPAKQDVKDTEKEFGEDSDTDSEGQMVDGILSSGSKLSYELPSQKELIQQTFAGDDVEDDFEKDKQEILNRENPEPEKPVSLPGWGQWTHIQQKKGEPSWMLKEHENAQRKRAEALKRRKDAQLKNVIISEKLDKKAEKLHTKALPFPFTSKEMFEQSMRVPIGPEFNPATAIGLLNRPEQRSEDKHRLKRSKGEGDKSVKKMKVDRKR >OIV95732 pep chromosome:LupAngTanjil_v1.0:LG16:5448773:5451059:-1 gene:TanjilG_05280 transcript:OIV95732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDVTDVISSMLSSSVKATIERYKKACSDSSGAGSASEANAQFYQQEADKLRVQISNLQNNNKLMMGESLGSMNAKDLKNLETKLEKGISKIRSKKNELLFAEIEYMQKRELDLYNNNQLLRAKIAESERNQHSINNVLHGGTSYDSMQQNQQQHFDSRGYFQVTGLQPNNQYAQQDHMSLQLV >OIV95758 pep chromosome:LupAngTanjil_v1.0:LG16:5080801:5082997:1 gene:TanjilG_05306 transcript:OIV95758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSLGAPEPLIHRMMKYLAVASSLKRKDGKSTISGNSYIQAIILKLLVTWLADCPSAVHCFLDAHPHLTYLLELTSNLSETSCVRGFAALVLGECVIYNKSNDSGKSAFAIVDVISQKIGLSSYFLKFDEMQKSFVFASVDSSLMRKSFSRSSAASMADIEDVVDENEFFEKKNLDHPILSSILDSYFVNLVKRLEADIKEQIVEVYSHPKSKVAVVPAELEQKSGESDGEYIKRLKEFVEKQSSEIQDLLVRNSTLAEDLAKTGGGHNFQSEPSDRVQIDRLRRDLQEASQKLESLKAEKAKVDSDATMYQSLATKTESDLRSLSDAYNSLEQANYQLENEVKALKKGVGVSSTFPDVEAIKAEAREEAQKESEGELNDLLVCLGQEQSKVERLSARLLELGEDVDKLLEGVGDDVDVGVGDYDEEDDE >OIV95501 pep chromosome:LupAngTanjil_v1.0:LG16:13675548:13679409:-1 gene:TanjilG_23232 transcript:OIV95501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGIDDNVTLVGDWGAPSQSPRTLFSRMLGEENVTKSISDPLGSGRTGFMFPGNHEQAETGKGDTKDSSQDGDSGEQLTYSTFLADQKSNSRGGLVERMAARAGFNAPRLNTESIRSTDLTLNSDIQSPYLTIPPGLSPTTLLESPVFLANTLAEPSPTTGKFPFISNGNMRYSELSSDAPEKSKENLDDFYASSFAFKPATDSGSSFYYGAARKVNPTTVPQQSVPGIEASVQSENSFQSQSVDAPKVQTENESSLHHQPDFIESSPQKDNGIKSFSGDQRAYNTVGGSIERSTPPEEQADEAGDQRGNGDSTVAGVGGTLAEDGYNWRKYGQKQVKGSEYPRSYYKCTHPNCLVKKKVERSHEGHMTEIIYKGAHNHSKPTLNRRSGMGSANPNADMQVDNPEQVEPQNGDNGDLGWANVHKGIVAGAANWKHDNPEGTSSASIGPEYRNHSTNLQTQNGTHLDSGDALDASSTFSNEEDEDDRGTHGSVSLGYDGEGDESESKRRKLESYATELSGATRAIREPRVVVQTTSEVDILDDGYRWRKYGQKVVKGNPNPRSYYKCTSAGCTVRKHVERASHDLKSVITTYEGKHNHDVPAARASSHVNAATSSAVPGQGHVHRPESSQIHNGIGRLERPSMGSFNLPGRQQLGPSHGFSFGMNHQPMFSNLAMPGFGPGQGKHPGMPVHPFLAQQQQRPTANEMGFMLPKGEPNVEAIPERGSSLYQEIMNHMPLGPHM >OIV95329 pep chromosome:LupAngTanjil_v1.0:LG16:16671088:16675573:-1 gene:TanjilG_07485 transcript:OIV95329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTLLLFFLAFSSSFLQINGAEAEHLTSLKLKSPILQESIAKQINENPEAGWEAAINPRFSNFTVAQFKRLLGFKKTSRKELTSTPVITHPKSLKLPKHFDARTAWSQCSTIGRILDQGHCGSCWAFGAVESLQDRFCIHFDVNITLSVNDLLACCGFLCGDGCDGGEPLYAWRYLSNHGVVTEECNPYFDQIGCAHPGCEPAYQTPKCVKKCVGGNLLWKRSKHYSVSAYRVKSDPYDIMAEVYKNGPVEVGFTVYEDFAHYKSGVYKHITGFALGGHAVKLIGWGTSDDGDDYWLLANQWNRSWGDDGYFKIKRGTNECGIEDDITAGLPSTKNLIREVTDMDTDIDVSF >OIV95261 pep chromosome:LupAngTanjil_v1.0:LG16:17529827:17530303:-1 gene:TanjilG_26958 transcript:OIV95261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHFPNKLKTKASHTKSNQKDRHVKVNGRDRRVYLPKMCALRILQLTHDLGYKTGGETIEWLLHQAEPAIIAATGSSFLHSNMDTNNNNNDNTPLFSVVEDENIVNLDTKLEDVNGVEEEAFQPFFDMENSDFQLYEDDIVLIQSMLMANNENIDSNI >OIV95348 pep chromosome:LupAngTanjil_v1.0:LG16:16478207:16481578:-1 gene:TanjilG_07504 transcript:OIV95348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESAGVVPASGLTDINASSIGVERLPDAMNDMRIRDDKEMEDTVVNGNGTETGHIIVTTIGGKNGQPKQTISYMAERVVGHGSFGVVFQAKCLETGETVAIKKVLQDKRYKNRELQTMRLLDHPNVVSLKHCFFSTTEKDELYLNLVLEYVPETVNRVIRHYNKMNQRMPLIYVKLYSYQICRALAYLHNTIGVCHRDIKPQNLLVNPHTHQLKICDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTTAVDIWSAGCVLGELLLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKAHPWHKIFYKRMPPEAVDLVSRLLQYSPNLRSTALEALVHPFFDELRDPNTRLPNGRFLPPLFNFKASELKGVPAEMLVKLAPPHARKQCALFAS >OIV95243 pep chromosome:LupAngTanjil_v1.0:LG16:19719467:19727233:1 gene:TanjilG_21633 transcript:OIV95243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKKKQSEGIALLSMYNDDDDDEMEDVEEEEDGEGEFADAGIRGSQNHQDSAADNAADDDLAADTDRMAVIDSGSDAADTLTPQQLISPQEQQRLSRKREKLTIVDYGHDEVAMSPEPEEGEIGVSGHVMIGDQLHVTNGDFMDRTPSGTVRVLTPNYQANNPQFSEPLKSDAMNSDALIRSDDAEIGEPDQDEQRSVNPLDKFLPPPPKAKCTEELQRKINKFLDLKKAGRSFNGEVRNRKNYRNPDFLLHAVRYQDIDQIGSCFSKDVFDPHGYDPSDFYDEIEADMRRESDRKEQEKKKAPKVEFIPGGTQPGIVAGAPRISLPVAGGSSVTSGLHLVHPTTDSINRDGRQNKKSKWDKVDGDGKNPLPSVGQDSVSTVGANTAILSAANAGGGYMLFAQQKRREAEEKRSSERRFERRS >OIV95138 pep chromosome:LupAngTanjil_v1.0:LG16:18061437:18069222:-1 gene:TanjilG_21528 transcript:OIV95138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLVMGFWVSPISSISLRRSRRHKFIVKAQNFDDVRDSTVLSSESVNGIASSSSSSSSSSSSSVVVENETQKNGSLLSAIVEKDKIIGNSTNDNTVLDEEEELAPLWNDGYGNLTVKDYFVAAKEMNKFSDGGPPRWICPLDCGHPFKNSPTLFFLPGIDGTGWGLALHHIALGKAFEVRCLHIPSHDRTPFEGLVKLVEEAIKLEYALSPKKPIYLVAESFGGCLALAVAARNPTIDLVLILINPATSFGRSQLQPLLPILEVMPDELHATVPFLLGFIMGDPVKMASVNIGDSLPPTEKVQKLSNNLISLLPYLNELADIIPRETLLWKLKLLKSAASYANSRLHAVKAEVLVLASGDDNMLPSANEARRLGGLLQNCRVRNFPNRGHTLLLEDGIGLMTIIKGTCMYRRSRRLDLIKDFIPPSMREFRYTMDQVVGLYRSLIGSVMFSTLEDGTIVKGLSGVPDEGPVLLVGYHMLLGTELISIIDEFLSQKGIMVRGIAHPELFTGRTENLSSEYSIVDWVKVFGGVPVSASNIFKLLSTKSHVLLYPGGAREALHYKGEEYKLIWPDQPEFVRMAARFGATIVPFGAVGEDDIAEILLDYNDLMKIPIVNDFIRDGTRDTNKFR >OIV96112 pep chromosome:LupAngTanjil_v1.0:LG16:751519:751992:1 gene:TanjilG_13044 transcript:OIV96112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSSYHVIITIFFIIFTICLAQNTPQDFLEVHNQAREEVGVGPLSWNHTLQAYAQNYANERIQDCNMEHSYGPFGENLAEGYDEMKGSDAVKFWLTEKPDYDYYSNSCVHDECGHYTQIVWRDSVHVGCARAKCDNDWVFVICSYYPPGNVEGERPY >OIV96076 pep chromosome:LupAngTanjil_v1.0:LG16:3249567:3275996:1 gene:TanjilG_27180 transcript:OIV96076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSQRKGCPVVQSGFLHISLLEGAAACLPMHLGGSRWHAMGLPPVPQQAIPSILDWSGMHQGLGQFLADKLGFLDAPVPRKSVWCGGAGLVTRCWFGSCELPSDLLRLSVVHGRMWGWVKPLGANPQHEGVQVQSSRSVLSAGFHGCRQCVVVCDSSKEHGLCRLVPWESMIIAIVGLQRGIPSKRESSARVDYVPALCTHRLSLLPSEWSGEVFGLQRRGHLPTQETSQPEVGSSGGKSTTRRVVSGNGSRRNGSLTSGKGLALRAGHGGPEPVGCRWTARAALTARAGRRVPVGGRIGNGPFEASSAGIEQSTQNKGCSPWRPDVVMSTSGRGRHSILRIFKCRRGHTGHHATCSAHPAAGPYLRLSRFQGFAVIAVPSYSSGPGHTPTAGLAHLGTVTQLPIHPTSRQLCLPKMAHLELSIPWRGSRKQPRRPTYLKFENRWRPDRTRPRAPAILREPATRRVGRRCHPQGDPTNQLPCALRVYLPADSHTCQTPWSVFQDGPNGEPAGRRPEHAGAHQTARASNHNRDDDVSTSMSTARACYTITIHVGPCSRRSMLSPFHIRSRHIAGPHPLPSRQFQALFDSLCKVLFIFPPRYLFVIGLSPVFSLGRNLPPEWGCIPKQPDSLSAPRGATGFGTTGLSPSLAPPSRGLGPGQPLRMLLQTTIRTPRATDSHGGLFPVRSPLLGESLRPTDPHGSKSRKAGGGDTHDRSRALAQPPSITAPSTADSVFNQPRQGTRQQCARPRQACPRPNGFGRNLRSKTRWFTGFCNSHQVSHFATFFIDARAKISIAESRSPIETLLRLLLPLNDKVQWTSHNIVGSETPTSPQSEHFTGPFNRQIAPPTKNGHAPPPIESRKSSQSVNPYYVRTCLATILPPEPKDFDFSLRASGILKATSADPRSASFMVETRTDQNPVVLSHANLSRAYACFEHSNFLKVTASEARPRQLRPGAHCRQKGRADRCTPMADRSTQPKVQLRAF >OIV95771 pep chromosome:LupAngTanjil_v1.0:LG16:4759946:4760326:-1 gene:TanjilG_20221 transcript:OIV95771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFRNCFTFSLVFLSYFLIMSTLAKSNVVLDIENNLPNDKPGLFKFRCGGDDWFNLRFGDHYIRTAPADPDYECNAIWGRWFTIWKAYDTNEFKGHDKVYWLVKEDGFYRSWEGSNWTHDGNWYTE >OIV95028 pep chromosome:LupAngTanjil_v1.0:LG16:20719266:20723821:-1 gene:TanjilG_10848 transcript:OIV95028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIMIKGGVWKNTEDEILKAAVMKYGKNQWARISSLLVRKSAKQCKARWYEWLDPSIKKTEWTREEDEKLLHLAKLMPTQWRTIAPIVGRTPSQCLERYEKLLDAACVKDENYEPGDDPRKLRPGEIDPNPESKPARPDPVDMDEDEKEMLSEARARLANTKGKKAKRKAREKQLEEARRLASLQKKRELKAAGIDIRQRRRKRKGIDYNAEIPFEKRPPPGFFDIADEDRPVEQPKFPTTIEELEGKRRIDVEAQLRKQDIAKNKIAQRQDAPSAILHANKMNDPETVRKKSKLMLPPPQISDHELDEIAKLGYASDQAGIEELGEGSSATRALLANYAQTPGQGMTPLRTPQRTPAGKGDAIMMEAENLARLRESQTPLLGGENPELHPSDFSGVTPKRKEIQTPNPVLTPSATPGGTPSLTPRSGMTPARDGFSFGMTPKGTPLRDELHINEDMDIHDSAKLELRRQADLRRSLRSSLSSIPHPKNEYQVVMQPVPEDTEEPEEKIEEDMSDRVAREKAEEEARLQALLRKRSKVLQRGLPRPPAASLELIKNSLMRADEDKSSFVPPTSVEQADELIRKELLTLLEHDNAKYPLDENKEKKKGAKRSATGPAVPVIEDFEEEDMKDADQLIKEEAQYLRVAMGHESESLDEFLEAHITCVNDLMYFATRNAYGLSSVAGNMEKLAALQNEFENVRSKLDDGKEKIVRIEKKVMVLTQGYETRAKKSLWPQIEATFKQMDVAATELECFQALQKQEQLAASHRINNVWGEVQKQKELEKTLQKRYGDHIAELERVQNIMNHYRVQAQEEIEANNRELETIENTGDETNVQGTENGSAVSVDPSHDGTIDQQVDNVQDQATCSLKNDDMDVNSCKEQTAPATDLKLTDTPIMEDDIANVVESNKTSANVDGETTVDMSAAVEVSSIEGNKEDRDVENLDDGVEAVNQGDN >OIV95895 pep chromosome:LupAngTanjil_v1.0:LG16:1317306:1319272:-1 gene:TanjilG_26999 transcript:OIV95895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTLGTSSIAFLPSQYLSSNPSIHSLSLSSGLSYGRKFYGGIGIHGIKGRSQFSVASVATEVNPVEQARKIASKESQRPVYPFAAIVGQDEMKLCLLLNVIDPKIGGVMIMGDRGTGKSTTVRSLVDLLPEIAVVAGDPYNSDPQDPEFMGVEVRERVLNGEQLQVVLSKINMVDLPLGATEDRVCGTIDIEKALTEGVKAFEPGLLAKANRGILYVDEVNLLDDHLVDVLLDSAASGWNTVEREGISISHPARFILIGSGNPEEGELRPQLLDRFGMHAQVGTVRDAELRVKIVEERGRFDKNPKEFRGSYIAEQEKLQEQISSARSILSSVQIDQDLKVKISKVCAELNVDGLRGDIVTNRAAKALAALKGRDKVSVEDIATVIPNCLRHRLRKDPLESIDSGLLVSEKFSEIFS >OIV95326 pep chromosome:LupAngTanjil_v1.0:LG16:16697107:16697940:-1 gene:TanjilG_07482 transcript:OIV95326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAGYNNVGSYELSLGLFRRMMFQEGTKVDEVTVGSVLSACGKMGCVGLLAGKSVHGFMVKSGWELNVDIGDALVNMYAKCGNLRSGAQVFELMSEREVMTWTALICGASKHGFSEEALVVFEKMLVSGVTPNELTFTGVLSACAHGGLVDEGRRYFKMIEDNGMEPRIQHYACLVYLVGKAGMLEEAYEIIRKMKLKPNIVVLGSFLSACKEHRQFQMAERVIEQVLTMAKPEYDGGVYSLVCDLYVMGKKMEEAERVKKLMLNNKHVDLVLNNWF >OIV96137 pep chromosome:LupAngTanjil_v1.0:LG16:909998:914162:-1 gene:TanjilG_13069 transcript:OIV96137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPIKSWRSLMRKKSSLSDGGKSSFKIKQLPFMAFVFTVMLFIVYRTTMYQYQQEEIDKRWNFWGESKTYSSSFGKLRGLPRGIVHANSDLELRPLWLRSGSRSKLSVYSNRNLLAVPVGIKQKQNVDAMVKKFLPENFTIILFHYDGNVNEWENLAWSSKAIHIVAQNQTKWWFAKRFLHPDIVSVYDYIFLWDEDLGVEHFSPSRYVRIVKEEGLEISQPALDPNSTEIHHRITIRARTKKFHRRVYERRGSTKCSDASEGPPCTGFVEGMAPVFSRSAWYCTWHLIQNDLVHGWGMDMKLGYCAQGDRTRNVGVVDREYVVHKGIQTLGGSGHDTTKVSKLKKSTTRQGIDVRSEIRRQSTWELEIFKERWNQAVADDKHWVDPFKGSEQRRRRRWRGIRHSS >OIV95468 pep chromosome:LupAngTanjil_v1.0:LG16:15486244:15486832:-1 gene:TanjilG_06930 transcript:OIV95468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISKTFSIFSILSLIVFSIFSSLTLAEDSHFFHKTISPTLIGLNKEKLSHLHFYFHDILSGPKPTAVNVAQAQMTNKSPTGFGMVMMADDPLTSGPEPDSKLVGKAQGIYASAAQDQIPIVGGSGLFKFARGYAHAKTHTFDLKTGNAIVEYNVYVFHY >OIV95288 pep chromosome:LupAngTanjil_v1.0:LG16:17154749:17157394:1 gene:TanjilG_07444 transcript:OIV95288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGELANIKKWNILYPVYINSKKTMAQGRRIGLTKACENPTCAEIGDCCTYLKIPFAIEIDKAYPRDFMQRGRVRVLLKKEDGTLFNPAIATRKQLMLRIAEMVPRHPGRTKKQETASSSSTAGPSTKSGKGGKKRR >OIV95119 pep chromosome:LupAngTanjil_v1.0:LG16:17884886:17892064:-1 gene:TanjilG_21509 transcript:OIV95119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGESEVIHSWSAPRSLSTSLMYSFSQRNDVEVLDEPLYAHFLRVTGVDRPYREEVLSKMEPDGNKVVNDIIFGPGRKKYRFCKHMSKQRLQGLTDDLMKKGKHFILIRNPLDILPSFDKVVPPSFFELGLAELVSIYNELRELGKPPPVIDAAELQQDPETTLRGLCDDLKIPFQPEMLNWEAGPKSVDGVWAPWWYSSVHKSTCFKEAKTYPQPFPFSHYDLLEQSLPLYNMLRRHVRRKSSLLSSPLPPPNLPVPENEKLLAWVGDEIVPRDSAKVSVFDSVVQGGDSVWEGLRVYNGKVFKLEEHLDRLFDSAKALAFENVPTRDEIKEAIFKTLIRNGMFDNSHIRLSLTRGKKVTSGMSPAFNLYGCTLIVLAEWKPPVYDNTRGIVLVAATTRRNSPNNLDSKIHHNNLLNNILAKIEGNNAKADDAIMLDQDGYVSETNATNMFIVKKGRVLTPHADYCLPGITRATVMDLVVKEQLILEERRISLSEVHTADEVWTTGTMGELSPVVKVDGRVIGNGEVGPVTRRLQAAYKKLTEQEFGVPIPTYLKT >OIV95175 pep chromosome:LupAngTanjil_v1.0:LG16:18408176:18409828:-1 gene:TanjilG_21565 transcript:OIV95175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLIQQVKGYSDSREEEEEFDEEVAATAEEEEEDNITIPREESSSRLHEQDAATSNYNLMIQRKQQHQKLELLDLSLGSNKNKNNNEAKFQQGVVIGGGCGSTTTPNDATCSSSISSTNNEGRISSNNNNVEKEHMFDKVVTPSDVGKLNRLVIPKQHAEKYFPLDSSSNEKGLLLNFEDRNGKLWRFRYSYWNSSQSYVMTKGWSRFVKDKKLDSGDIVSFKRGVGDLDRHRLYIDWKRRSDNHHHAHNNNNLLLHDPSQTTIISPLFLPNHYSIPWGGGRLYSLPSPNATTPTTTTTMLPPRHNNDHFHHSFNYNSTMYNTSHQQELQFHQQYYDGCSGSGLGYLRSTPSMSMHQIGDQSLQDMRGNIIAPMIIDSVPVTYQQNPQNGGVGIASSSASNSGKMLRLFGVNMECSSSSPNDDSK >OIV95471 pep chromosome:LupAngTanjil_v1.0:LG16:15517571:15518356:-1 gene:TanjilG_06933 transcript:OIV95471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKGFEPCPSESSASMSVTSNHEIDHNAYCNSDSHTGLDFVKLLKDGDLVGGSIVELDLFNNNNEAKYQNIEEKTLESRTFLCNFCKRKFSTSQALGGHQNAHKQERAIAKRRQNVDTNTLGLPHFPYYPFTSLSTQPPYPLYRSNNNRAIGLGVPSYPWTTPGLRYGPNGDGWASPMQGMPNQSLPLDRLNSIEGLQAHDHSIVGPKPHFGDSLTNVATTSSSSAIKMITMTNKDDHHSNKEELTSIPKCSVLDLSLKL >OIV95983 pep chromosome:LupAngTanjil_v1.0:LG16:2074115:2076828:1 gene:TanjilG_27087 transcript:OIV95983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREILHIQGGQCGNQIGAKFWEVICDEHGIDHTGKYSGDAELQLERINVYYNEASGGRYVPRAVLMDLEPGTMDSLRSGPFGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLATPTCEGMDEMEFTEAESNMNDLVAEYQQYQDATADEEEYEDEEEEAA >OIV95113 pep chromosome:LupAngTanjil_v1.0:LG16:17843109:17846855:1 gene:TanjilG_21503 transcript:OIV95113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSGKYQTIDNQRLQGESVPAVSDPDPAIVTVKFADSNLQTFPPSGAQGKISGGSGPPRDADDTFSKPVSGSEEPQQSGWLRTFTVAAYKPYFDVDTSDVVERIRYSLVPFTGTFNEKTASNPDLYGPFWICTTLIFVAASIGTFVTYIAHKVKNKEWDYDINLVTWSAGLFYGYVTIVPLCLYVILKYFSAPAGLVQLFCLYGYSLFVFIPALCMSVVPLEIFRWVIAGVAGLMSATFVALNLRAHIVSAGERWFFIVAAIFLLQLALAVVLKIYLFTVSV >OIV95397 pep chromosome:LupAngTanjil_v1.0:LG16:15634922:15635230:-1 gene:TanjilG_06266 transcript:OIV95397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRVRDLASKKAAVIFTKSSCCMCHSIKQLFYELGASPEVHELDNDSYGKEMEWAIRSLGCNPSVPAVFIGGRFVGSSKDVISLHVDGSLKQMLKDAKAIWF >OIV95344 pep chromosome:LupAngTanjil_v1.0:LG16:16531903:16534530:1 gene:TanjilG_07500 transcript:OIV95344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGITSTMMTTQHMLTYMYLLVYISLSSGVILYNKARNYRYHMPTNSFWVLSTLYFNFPFPITLTMIHMAFSGAVAFVLIRVLKVVSPVKMTFNIYATCVVPISAFFAASLWFGNTAYLYISVAFIQMLKALMPVATFLTAVSWGTEKLRCDVFWNMVLVSVGVVISSYGEIHFNVIGTVYQVTGIVAEALRLVLTQVLLQKKGLTLNPITSLYYIAPCSFVFLFVPWYILEKPEMEDPHMQFNFWIFFSNALCALALNLATFLVIGRTGAVTIRVAGVLKDWLLITLSTVLFPESKITGLNIIGYAIALIGVVFYNYLKLRDVRTTQTPTPSIQDESLKELLPEKKVDDDMNINDDWNDSVSNTNFDEEAPLMASSRLSHLGRKPA >OIV95264 pep chromosome:LupAngTanjil_v1.0:LG16:17448211:17448831:-1 gene:TanjilG_07420 transcript:OIV95264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSSVMILNPVTNTDRSRDSKRKKKKKSQLKHHQQDSNTHTKWKSQEQQQIYSSKLHQALTAPSQPRGGKAVREAADRVLAATAKGRTRWSRAILTNRLKLKFRKQKNNRQRSVAGATGTSRWKKPRVSVLRLKGKGLPDMKKKVRFLGQLVPGCRKEPLPVILEETIDYIPALEMQVRAMTALFNLLSASGDTSASTSAPPT >OIV95155 pep chromosome:LupAngTanjil_v1.0:LG16:18249515:18251607:-1 gene:TanjilG_21545 transcript:OIV95155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAGKWIRNFLLGKKEEKHKKIDTLFSENKSASMIESQMISPNMKRRWSFGRLKGGSGRKTNMIAGHKFSISFDSGDSAKFQIQALLETHDSKSFPTILSQVSRYRKDKSVAATKIQAAFRSYLARRALHALRGLVKLQALVRGYLVRKQTTATLRGMHALMAIQVRTRIHRIQKVEEANLLGKQPPEHRESPHHKGLKRKNKNSKGMSVDEMLETLKSRNAPRDRSHVKSMEHDPTTSYSNSRSVSKHQYRENTSITAPNSPENYRFMFEMNPTTIALSTSEHHQGSHNQSWSPSYMNKTQSSKAKARSHSEPKQRPKKGMRHKSKSIESTNELSTSLNGPRHSVSSESSRIDQRSFDHWIINHYGSTKDGGHDSYGSIMVNSDSYY >OIV96182 pep chromosome:LupAngTanjil_v1.0:LG16:448343:455095:-1 gene:TanjilG_14859 transcript:OIV96182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLNVDTPITPIEEDDEVSPIEEVRLTVTNTDDPTQPVWTFRLWFLGLLSCSLLSFLNQFFAYRTEPLVITQITVQIATLPIGHFMAAVLPSRKFGIVGFGSKRFSLNPGPFNMKEHVLITIFANAGSAFGSGSPYAVGIVNIIKAFYGRSISFPAAWLLIITTQVLGYGWAGLLRKYVVEPAHMWWPSTLVQVSLFRALHEKDDHRLSRGKFFFIALLCSFSWYVIPGYLFTTLTNISWVCWIFSKSVTAQQIGSGMKGLGLGALTLDWSAVASFLFSPLISPFFAIVNIFMGYALIVYVVIPIAYWGLNVYSANRFPIFSSHLFTAQGQLYNISAIVNDKFELNVEQYQEQGKIHLSVFFALTYGFGFATVASTLTHVACFYGREIVERYRASSSGKEDIHTRLMRRYKDIPSWWFHVLLVVTLVVSLLLCIFLNDQVQMPWWGLLFAAAIAFVFTLPISIITATTNQTPGLNIITEYIFGLIYPGRPIANVCFKTYGYISMAQAVSFLSDFKLGHYMKIPPRSMFLVQFIGTILAGTINIGVAWWLLNSIENICQDTLLPEGSPWTCPGDRVFFDASVIWGLVGPKRIFGSQGNYSAMNWFFIGGAIGPVIVWLLHKAFPKQSWIPLINLPVLLGATGAMPPATALNYNAWIVVGTIFNFFIFRYRKKWWQRYNYVLSAALDAGVAFMAVLLYFSLGLENKSITWWGTDGEHCPLASCPTAKGVVVDGCPLN >OIV95360 pep chromosome:LupAngTanjil_v1.0:LG16:15976986:15977603:-1 gene:TanjilG_14514 transcript:OIV95360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTQNLLLIFALFFLTATSTLHGTAGDYDGGGGSTDFIRRSCNTTLYPDVCFTSLSRYANAVQQNPGQLARVAISVTLSKVHRVVSFVSNLTRVADYSGDSRAASAIHDCFTNLDDAVDEIRGSLKQMRRIGASGSGEGSFLFQMSNVQTWMSSALTDQETCTDGFEDVEDGTVKTQVCDRVSNVKKFTSNALALVNSYANKGAP >OIV95197 pep chromosome:LupAngTanjil_v1.0:LG16:18765278:18767946:1 gene:TanjilG_21587 transcript:OIV95197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAAASACLPLNTTTSYSMIRTELSTLGFHTSTMHKSFSKSNSSSNFTCKASSSSSSSTSSYSSFMQDLDLYDLLGIDSSCDQIQVKMAYRSLQKRCHPDIAGPAGHDMAIILNQAYAILSDPNARMAYDKEQAKISDFKGFTGRPIYSVWYGSESEQRAIFVDEVKCIGCLKCALLAEKTFAIESVYGRARVVAQWADSEQKTQEAIDSCPVNCISMVERSNLAALEFLMSKQPRGNVRVGASHTAGARVSNIFVDVEKFQNRFQETMEKASTKFSKEMDFQRESRMSAIQAIRSISNWLYWQSPIASGSSSRPNNSMTRITYALPDPTIGKLRDAVARKKIRDSEKANHQIPLNAAHPEEYWAPSTQVIPSSITNIATPTSAKNPSVTKGQKRTNEIDAEDAYENQNSPFRWGFPMVTAFIAVATVRLHEVAPVHELKQHAAGSLALDIVNNPWSQSILAGATWFMIGLAVIELVAIIGNTKR >OIV95385 pep chromosome:LupAngTanjil_v1.0:LG16:16305933:16313698:1 gene:TanjilG_14539 transcript:OIV95385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFAPSMSVSLECVNVCKLWRGDGSGRYDCSLLSCAWKVPRVLTGFLASTAHPDQHSSLLNGRNRRRNRYNFDCEAFSTLDSYPDEALNILLFEGLSRSSLSQVTGRRWQLCCLSAFSLEAANKVSPDSLWEDFKPVISYLSPKELELVHDALMLAFEAHDGQKRRSGEPFIFHPVEVACILGELELDWESIAAGLLHDTVEDTNVVTFERIEEEFGATVRHIVEGETKVSKLGKLKYKNDKDSVQDVKAEDLRQMFLAMTEEVCVIIVKLADRLHNMRTLSHMPPHKQVSGIDSNKELWLLFSILEKTKESIMALQLRKVRLALQWRHLQVFAPLAKLLGMYQIKAELENLSFMYTNAEDYAKVKRRVADLYKEHEKDLLEANKILVKKIEDDRLLDLLTIKAEVCAMCKEPYSVYKSVLKSKGSINEINQIAQLRIIIKPKPCIGVGPLCAPQQICYHVLGLVHGIWSPIPRSMKDYIATPKPNGYQSLQTIIGWLNAIREWQEEFVGNMSSREFVDTITRDLLCSRVFVLTPRGEIKNLPQGATVIDYAYMIHTEIGNKMVAAKVNGNLVSPSHLLANAEVVEIIMYNALSSKSAFQRHKQWLQHAKTRSARHKIMKFLREQAACSATDITTEAVNEFVSDSEGDSESEELSDVSGGSKPMRGKMFVNGVEISNADRGEVLLESKNGSVWTHKVNGKHNKHVQHESFGEGEILLQRNRRAKMIQVNTTRYKETLLGLESWQAHKIASWHNIEGHSIQWLCVVCIDRRGMIAEVTTALATAGVTICSCVAENDGGRGMAVVLFRVEGNLENLVSACSRVDLILGVLGWSTGCSWPSLMEDTIP >OIV95130 pep chromosome:LupAngTanjil_v1.0:LG16:18009562:18010554:-1 gene:TanjilG_21520 transcript:OIV95130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWILISNFKLAYNLLRRPDGTFDRHLAEFLDRKVPANANPVDRVFSFDVIIDRETNLLGRIYRPAEEEQQHHVSIIDLEKPATSEVLPVIIFFHGGSFAHSSANSAIYDTLCRRLVGICKSVVVSVNYRRAPENRYPCAYDDGWRALKWVSSRSWLQSRKDQKVHIYLAGDSSGGNIVHHVALRAVESGIEVMGNILLNPLFGGEARTESEKRLDGRYFVKIQDRDWYWKAFLPEGEDRDHQACNPFGPNGRNLEGIPFPKSLIVVAGLDLVQDWQLAYAKGLEKAGQNVKLLFLEQATIGFYLLPNNEYFSTLMDEVKDFVSCNFCQYS >OIV95857 pep chromosome:LupAngTanjil_v1.0:LG16:4059942:4062305:1 gene:TanjilG_06833 transcript:OIV95857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIELMENNAEDESKKEEKQNMEQNGTVEEVKNNAEEDESKREENQNMEQEGTVEGVKNNAAEDESKKDENQNMEQEGTVEGVKNNAAEDESKKDENQNMEQEGTVEGVKNNAAEDESKKDENQNMEQEGIVEGVKNNAEENASKKEENKNMNQEGIVEGVKNDAAEDESEKKKRKHHYYGNYKHYYASRNGGKFNQDPRLEIFKKEWFQGKDCLDIGCNSGIVTICIAEKFCCRSILGIDIDPGRIKEAQSNLRKAVRSIYAEKRMRLQGSQLNKLLKQTQVFENDILKDQGKYLNKPAEPAENLDQEIPRRNLGHIVSFKQENFLEAAYDPHEEHYDTILCLSVTQWIHLNWGDDGLMTLFSKIRDLLRPGGLFVLEPHKWKSYRRKRKITETTYLNYKSIKLRPERFMRTLLEKVGFKALIHFSSSERINGKSHYNRDILVFKKSELSHAEKAGA >OIV95546 pep chromosome:LupAngTanjil_v1.0:LG16:11653775:11653969:1 gene:TanjilG_10934 transcript:OIV95546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLATLFGKFQEHEMEIGSLTMHEDLDRKKKCISLKATASQDQEDKEDGGSDSDLNNETLNLLV >OIV96173 pep chromosome:LupAngTanjil_v1.0:LG16:1190996:1196961:-1 gene:TanjilG_13105 transcript:OIV96173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSKTVDLARNFAVMVRVRGPDPKGMKMRRHAFHQYRSGETTLSASGMLVPDTLSDNQSTKLLYDDNYEGRVLVLTVASVVEPFLSPQHRDNIPQDRPELIPSVRIDIMTEKTNENSNQGTPSWLGAQLLSLVDIPASAVCLQSLIEASVGSPEHEWEVGWSLASNSNDNKPSKDLFRTQGRSEVGGSGSASLVYKSLTRMAILSVPLSLKDLLGSNVSSMNKRGDFLLAVGSPFGVLSPTHFFNSISVGRIANCYPPYSSDVSLLMADIRCLPGMEGSPVFSEDASLTGIMIRPLRQKTTGAEIQLVIPWDAVVGASSGLLQKSPQNTVKWLYNQGGKPYAAGKATFTDTDKLDSPSRSKNGHLNFNSSSLLPSEKAMASICLVTIGDGVWASGVLLNSQGLILTNAHLLEPWRFGKTHLSRGGYGTNSAKLPFKLEGATDLGNGIASIQKSQTLPSKVGTVYSFTADTYDNYRNIRVRLDHVNPSVWCGARVVYICKGPWDVALLQLESVPKNLLPVEINFSRPSTGSKTYVIGHGLFGPKCGFFPSVCSGVVAKVVEAKTPQSYQSIQPELMHMHEFFPAMLETTAAVHPGASGGAVINSDGHMIGLVTSNARHGGGTIIPHLNFSIPSAALIPIFKFSKDMKNLSVLRILDEPNEYISSVWALMRPSSPKLNAMPNLPQSLVDNNKSKEVKGSQFAKFIAERNDIFKMPSNIGKSGVLTKEVVPSKL >OIV95783 pep chromosome:LupAngTanjil_v1.0:LG16:4607162:4608034:1 gene:TanjilG_20233 transcript:OIV95783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSFFLQHNTSSPCSERRGRRKQQEPGRFLGVRKRPWGRYAAEIRDPTTKERHWLGTFDTAEEAALAYDRAAFSIKGSQARTNFIYSHNNSTSFHNLITPMQVQSLLPTPQFITNTQNTSNFNNNAMCNETETAFASAKDDNFFFSNDSNNNSGYLECIVPDSCLRPVSSDQKGSYINTKTMQGQSYFDNNAFSQEALSMQTTMQVSNFEGSSYQNEPSQGLLDNQQSWDCNSSELSSIFNKPLRFEDEYCMGALCPISESPSYETVSSCSPSFPPPFGDIDLGYSPSPF >OIV95457 pep chromosome:LupAngTanjil_v1.0:LG16:15284186:15287401:-1 gene:TanjilG_06919 transcript:OIV95457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADATKYELIGVGNNNNHHLVTDLKNIFSILKARKTVTFAYVFTLVFVSVTVFLAFSPSPNASSPWFTNIFSSSTTTATTPDSYKSQLSPFFSFLFPNTTNSSRSTNATSQSPNPNPNEETFKAPSLENITQSLVNSSQDAVPVSIPSTNQTTISTIKNSSLQGDSTSLVNKEVGFKNQTLKPDEAKSVKKNQTTNATVPAATNVSSSNASSSSSSSSAVKGVVSNNYTASLAKKQNNEKNGAREKDEYLESLIKCDFFDGEWVKDDSYPLYESGSCTDLIDEQFNCIRNGRLDKNYQKYKWKPKGCTLPRLDGHRLLDLLRGKRLVFVGDSLNRNMWESLICILRNAAKHKSRVYEVNGRVHFRGEASYSFIFKDYKFTVELFVSPFLVQEWEMPDKNGTKKETLRLDLVGRSSDQYKDADIIVFNTGHWWTHDKTAKGEDYYQEGSHIYHELNVLEAFRKAITTWSRWVDANVNPSKSMVFFRGYSASHFSGGQWNSGGACDSETEPINNEKYLNQYPPKMRVLENVLKFMKTNVTYLNITRMTDFRKDGHPSIYRKLNLSPEERKSPLRYQDCSHWCLPGVPDAWNEILYAEILTRQYQNQHQQKRG >OIV95944 pep chromosome:LupAngTanjil_v1.0:LG16:1770843:1772779:1 gene:TanjilG_27048 transcript:OIV95944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQRSAMDKDIEVDLESGMPLIGDDSNNVSTPGTSKRGTTLLSKISGGFVGGSVNSDHRPSLYSNESNLNEVSMDVVQETNKPKMGQDSVRRAEKLPVKEKRKRTSNKKAARPPRPPQPPTLDAADRKLMREISELAMLKRARVERMKALKKMRATKSSSSSNSRTWAMIFTAVFVIVIIIQGLSSGKSSVASLQGSPLSTSETEGGLISVQYQINPSASDSDAPGSDSQYFVHKVAGSDLPEKLTRDSG >OIV95704 pep chromosome:LupAngTanjil_v1.0:LG16:7190602:7194892:1 gene:TanjilG_01498 transcript:OIV95704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVSLPPGFRFHPTDEELVAYYLKRKINGHKIDLEIIAEVDLYKCEPWDLPGKSLLPGKDLEWYFFSPRDRKYPNGSRTNRATKSGYWKATGKDRKVNSQLRAVGMKKTLVYYRGRAPHGTRTGWVMHEYRLDERECEIANGLQDAYALCRVFKKSLVITPKVEEHYVNVTNHVNNLTRDQSSNMELYSEGREEVLESSNYMMPWEMRPTQNIGGTWVNNINGGTRDNGKWSHFSSEDPLLNIPTSLFPNYEALPYHSSKVNIALECARMQHRFSMPPLEVEDFPQAEISELKLTQASSSMCESRNEIDILQEILSVAQAQELMNHQSNNSHALSGNENYYAPYDQNDFTFMVGTNNNHTNDMNYMKYVNQTWEDHNTRSVEIGDFDDEFKGERLVENLRWVGMTSKNIEKSFMEDQKVVPIQHVSSIQINKEENDLRESDQHNCNKEFNDTDINYFSLGFINDNDPNENFMDDSNIDYSNSTGFQVVEETKMFVSNGPVTETFFHHIVPSQTVKVQLNPIMANNHSIENAETKMKLKKKWVFFRKFKAMGNAIVLIFELMLMHCLYLKERVENLELDPKVVKKKCCSNTMKILMRQQAENIEWNEQENIWFVGIKSGKGFSVVLKKIGIFLTISFALCTVWVNHIIVNP >OIV95721 pep chromosome:LupAngTanjil_v1.0:LG16:7592310:7606872:-1 gene:TanjilG_01515 transcript:OIV95721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRQCWPTGSEALPEGIVAKTSNLEMRPLWDSGIKNRISKHPSNLLAITVGVKQKEIVNKIVEKFPSSDFVVMLFHYDGFLDGWKNLAWSNHAIHVSAINQTKWWFAKRFLHPDIVAGYNYIFLWDEDLLVDNFDAKRYLSIVKEEGLEISQPALDPGKSEVHHPLTVRQKGSKVHRRYYKFKGSGRCDDHSTAPPCIGWVEMMAPVFSKKSWQCVWHLIQNDLIHSWGLDRQLGYCAQGDRMRNVGVVDSEYIVHLGLPTLGGSNGNEASDRDSDRPKVRMQSYNEMKVFEKRWREAAKMDKCWIDPYQQQQQQLNQTRL >OIV95308 pep chromosome:LupAngTanjil_v1.0:LG16:16854647:16855219:-1 gene:TanjilG_07464 transcript:OIV95308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLQPNFESTNSDTLHESNHKRRRKIEDHDSITHIPWRSETVQRIYTSKLTYHLRHVLRRIPPSAAAKPISGREVRVTGDQFLAAMAKGRTRWSRAILTSRLRRWNMNYNKVKKLTKGLVKRKPEIRRRLPVSQKKVRVLSRLVPGCREVSFPNLLEEAMDYISALEMQVRAMTALAEVLAGGAPPENTP >OIV95099 pep chromosome:LupAngTanjil_v1.0:LG16:17707420:17714980:1 gene:TanjilG_21489 transcript:OIV95099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIEENQQESWSKTKKRTPSFSNKTRNGSNKKTTRFKEEEELVEIVFDLGDDDNIGDGSRESEAALLATGLKKRMSSSKKVDRGAHVALKSLKFMTSKNFEDNGWSQVKKLFHDLALDDKLHRNKFGECIGKGHKVKLSVKDMVDKNADGRITVEEVKEIITLSASANNLSKIQEQAGEYATFIMEEFDRDKLGFIELQVLETVLLQTLEQSTHITTNNEVLRILSKKEDTTKKYNPIKSGFKALSYFVYENWKRVWVIALWLSICVALFTWKFIQYKNHVVFHVMGYCVTTAKGAAETLKFNMALILLPVCRNTITWLRTKTKLGVVVPFDDNINFHKVIAFGITIGVVLHVIPHLTCDFPRLLHATNIEYNPMKPFFGDVRPNNYWWFLKGTEGWTGMVMVVVMVIAYIFAAKTWFRRNKPNLPKIKKNVVVYPGKGKERVLALYVSKPKGFKYSSGQYIVVKCLDVSPFEWHPFSITSAPGDNYISVHIKNKGDWTSQMIGVFAKLCESASGDDPSGVLQLDMLQGNNNIPRMPKLLIDGPYGAPAQDYKKYEVILLIGLGIGATPMISILKDVLNNIEQQKDIEQGIVESGFKNNKKKDFVTNHAYFYWVTREQGSFEWFKNVMNEVVENDKDGIIEFHNHCTSVYKEDDLRCALITMLQFLHHAKNGVDIVSGTKVKTHFGKPTWRSVFENVAFKEKGKIVGVFFCGPQELVGELKSLSLEFSRIEGGTTFDFHRENF >OIV95966 pep chromosome:LupAngTanjil_v1.0:LG16:1966287:1966778:-1 gene:TanjilG_27070 transcript:OIV95966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFHLTPKPKFRSFQNLLQLANRSFSTETRTQKLDRIADDLISLNRFERHDFSILWSLKLGLNRYTSPNATGFAAAGPSAAADGAPAAAEAKEKTVFDIKLEKYDASAKIKIIKEVRSFTDLGLKEAKDLVEKVPCVLKKGLTKEDADSILEKLKALGATVALE >OIV95853 pep chromosome:LupAngTanjil_v1.0:LG16:3991395:3991643:-1 gene:TanjilG_06829 transcript:OIV95853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVTETTRNAVRSGIIVVGALAFGYLSLQIGFKPYLDKAQQQQQQQQQQQQQLQQSQSRFDSDPTSLSTDDESFSFPERNS >OIV96186 pep chromosome:LupAngTanjil_v1.0:LG16:419631:422797:-1 gene:TanjilG_14863 transcript:OIV96186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGAKRYGVLMCAEDSDYVRNKHGGYFGVFMRMLAEEGERWDMYKVARGEFPEERELCLYDGFVITGSCNDAHGNDPWVHHLINLISKLNSINKKILGICFGHQILGRALGGKVSRSPSGWDIGVRTITLSTSSSLALSSLKLPSNLSIIECHRDEIRELPRKAEVIAWSEKTGIEMFKYGDNIMGIQGHPEYTKDILLHLIDRLIHHNFIMESFAVETKLKAEMWEPDTKAWQRLCVSFLKGRLL >OIV96197 pep chromosome:LupAngTanjil_v1.0:LG16:350894:351742:1 gene:TanjilG_14874 transcript:OIV96197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNPIMGALVHQEPLVLKYHNGELLNGHHTVNLLWYGTFTSIQRSIIVDFINSLTSSKLDTLPSPATWWKTTENYKGLGSSALVVGKQILHQNYSLGKYLKNTHLIALASNLNGAASINVILTDKDVAVEGFCSRCGTHGSVRTGSNRTAFIWVGNSETQCPGQCAWPFHQPIYGPQGAPLVAPNGDVGVDGMVINLATLLAGTVTNPFNKGYFQGPVTAPSYEAVSACAGIFGSGAYPGYPGRVIVDKINGASYNAHGVNGRKYLLPAMWDPQSSACKTLV >OIV96067 pep chromosome:LupAngTanjil_v1.0:LG16:2862046:2866058:-1 gene:TanjilG_27171 transcript:OIV96067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGLFKSKPRTPSEIVRQTRDLLLFVDRNTEVRESKREEKQMSELYKNIRELKQILYGNSESEPVSEACAKLTQEFFKEDTLRLVIKCLPKLNLEARKDATQIVANLQRQPVQSKLIASDYLEKNMDLMNTLMAGYENTDMALHYGAMLRECIRHQIVAKYVLNSPHMKKFFDYIQLPNFDIAADAAATFKELLTRHKSTVAEFLSKNYEWFFAEYNCKLLESSNYITRRQAVKLLGDMLLDRSNSAVMTQYVSSRDNMRILMNLLRESSKSIQIEAFHVFKLFAANQNKPADIVGILVANKSKILRLLDDFKIDKEDEQFEADKAQVMREIAALELRT >OIV96126 pep chromosome:LupAngTanjil_v1.0:LG16:829351:830737:-1 gene:TanjilG_13058 transcript:OIV96126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFDLEDPFASFKEHQNCIVSQLFASESDHMPFTNSLTATHSHLSLRCDAVSFILQVQFSCNLEPSVTYLAINYLHRFMSRQEIPQGKPWLLRLVAISCLSLASKMKNTRFSLSDIQKQGYVLEAQCVQKMELLILGALNWRMRSITPFPFLHFFISLSEFKDQALKEALKERASEIIFNAQNEFKILEYKPSTIAACALMSASHELSPAQYSILRVSITSCEYIDEETLSKCFNLIQEMVNMETNESMMDTTTSFLSTETPIKGQRI >OIV95174 pep chromosome:LupAngTanjil_v1.0:LG16:18397432:18400694:-1 gene:TanjilG_21564 transcript:OIV95174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASACASSAIAAVSISSQKNGSSFGVTKASFLSGRKMKVNKYKTPNGGKSSTTVCVAAEPDRPLWFPGSIPPPWLDGSLPGDFGFDPLGLSSDPESLRWNVQSELVHCRWAMLGAAGIFIPEFLTKIGILNTPSWYTAGEQEYFTDTTTLFIVELIFIGWAEGRRWVDIIKPGSVNTDPIFPNNKLTGTDVGYPGGLWFDPFGWGNGSPQKLKELRTKEIKNGRLAMLAVIGAWFQHIYTGTGPIENLFAHLADPGHATVFAAFTNK >OIV95233 pep chromosome:LupAngTanjil_v1.0:LG16:19528851:19530920:-1 gene:TanjilG_21623 transcript:OIV95233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISEAKIPKGVLLLPLSLLIILVLLPLIRNLTQSSSSKIIYGASLETTERNRCNIFSGQWIPYPKESYYNNNTCPFILDQLNCISSGRPDKEFMKLRWKPDECELPQFDATQFMKLVRGKSLAFVGDSMGRNQMESLICLINSVAHPEDITAKRKYMDENYFRWWFSAEYNFTVAILWSPFLVKATDSVPKDFSFNSAMNLYLDEADKSWTSQLENFDYVIISTGQWFYRPMIFYENGQLVGFQKGSENNITDLNFYGYKKAFQTTFKAISKGFKGSTFLVTHSPKHFENGEWYEGGACDKTKPFTKEERSVYKYMDSLKSFYQIQMEEFEVAEKEARKKGLHFGLIDITEAMITRADAHPSRYGHTFNKNKKVNDCVHWCLPGPIDTWNEFLLYMMKLESEKSYHLT >OIV96064 pep chromosome:LupAngTanjil_v1.0:LG16:2808003:2813774:1 gene:TanjilG_27168 transcript:OIV96064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMDPGKLFIGGISWDTNEDRLRQYFQNFGDVVEAVIMKDRTTGRARGFGFIVFADPSVAERVVMEKHVIDGRAVEAKKAVPRDDQNVLSRSNSSSHGSPGPSPTRTKKIFVGGLASTVTESDFKMYFEQFGTITDVVVMYDHNTQRPRGFGFITFDSEQGVEKVLYKTFHELHGKMVEVKRAVPKELSPGPSRAQLGGYNHGLSRVSSFANGFLQGYNPSLVGGNGLRIDDRLSPVTVGRSGYSVMSPGYGSGLNFEPPLSQLLLGRALNPSYSASPSRYGNAMGYAGNNSYSTGNNSTISSANQNLWGNGNFNYATNPRSSDSFADYGSGNPNMGSFGHIGPLWSSSLGTDQAGAIGSGYGKSSLSYSSGDVILGSKAVGYGKSRENVAAPASSYAALSNGSYDDAYNYKGTYEAGSFYGDYTWGSSPSELADVGTLGFGLGNAVSDLVSKRSGGHIEAYAVANRQPDRGPSRAQIGGYNYGLSRVSSFANGFLQGYNPSLVGGNGLRIDDRLSPVTVGRSGYSVMSPGYGSGLNFEPPLSQLLLGRALNPSYSASPSRYGNAMGYAGNNSYSTGNNSTISSANQNLWGNGNFNYATNPRSSDSFADYGSGNPNMGSFGHIGPLWSSSLGTDQAGAIGSGYGKSSLSYSSGDVILGSKAVGYGKSRENVAAPASSYAALSNGSYDDAYNYKGTYEAGSFYGDYTWGSSPSELADVGTLGFGLGNAVSDLVSKRSGGHIEAYAVANRQPDRGIAA >OIV95674 pep chromosome:LupAngTanjil_v1.0:LG16:6679282:6679512:1 gene:TanjilG_01468 transcript:OIV95674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLHNKIFVPIAQPITHQDKGISVKQRMGVGMVLSILAMVIAALVEIRRLVQSETVPRSILVTTTIENTNCGIFHC >OIV96237 pep chromosome:LupAngTanjil_v1.0:LG16:93086:95062:1 gene:TanjilG_14914 transcript:OIV96237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVHTKYLLITYSQELVDGQPIFVSSNCLPVKALKLEPAGHAYHSAALKLLGVQEDNGDGAHNKKVIGEKESSYIPSFDSYSSKRKNKSGSGDKQQDHYALLGLSHLRYLATEDQIRKSYRETALRFHPDKQAALILAEETEATKQAKKDEIETHFKAIQESYEVLIDPVKRRIYDSTDEFDDEIPTDCAPQDFFKVFGPAFMRNGRWSVNQPMPTLGDDNTSLKEVDNFYNFWYSFKSWREFPHADEFDLEQAESRDHKRWMERQNAKLSEKARKEESVRIRILVDNAYKRDPRILRRKEEEKAEKQRRKQAKYLAKNLQEEEAARIAEEERRRKEEEEKKTAEVALQQKKAKEKEKKLLRKERARLRTLSGPILSQHLLDNSDDDVENLCMSLAIDQLRGLCEKIEGKPVVEQAQVLKDALNGEKYVVDKKDQNPAIQQNVPVKANVSASLLSNFEKKERPWNKEEIELLRKGMLKYPKGTSRRWEVISEYIGTGRSVEEILKATKTVLLQKPDSAKAFDAFLEKRKPAAQIASPLTTRDELEGASSAPTAVQPENSGTAATAPNNLEDNNSKKSTDTQDSSGGSVIANGVSSSSEQDVWSAVHERALVQALKTFPKETSQRWERVAASVPGKTVNQCKKKFTMMKESFRNKKSAV >OIV95541 pep chromosome:LupAngTanjil_v1.0:LG16:11309153:11338168:1 gene:TanjilG_10929 transcript:OIV95541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTEIDVIEARVTSMLILLQSECGVLQRLVYKNKNQHRRCSYFQRLLKVVRRDLKLLQSANIEELVTSCFLVLKGDRPKQKVHLLESEMSVLFARTFFMPFSVILMALLARLRVLVQQILLDVITLFNMVASLSKKKQSIKVTHEGVEVFREFYPVSEDFVMLECDWKVDKFILLERKNKRGNESQGEDSGGNVFVQASPIKYTCMESFIGDSQLVPEKVEADAAAKEDTSHVKDINTDLLTSLMQIDKGKDTECSEEGGESQGNTKAFFMESSLSQSSTTGKSHSRLKKVAFVSIENPKSTPQSSKSTSPLTADATNGK >OIV95235 pep chromosome:LupAngTanjil_v1.0:LG16:19581779:19583068:-1 gene:TanjilG_21625 transcript:OIV95235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEFPDSLTPPATNSRPFPVREDCWTEEASSTLVDAWGRRYLELNRGNLRQKDWQDVADAVNALHAHSKKTHRTDVQCKNRIDTIKKKYKIEKARVASSNGVVSSSWPFFERLDALIGPNFIAKKSTSSASPSPPVALPLLPYRKTQSPVAAPFTAVALPQKRSASAVMDDGYFRRNYSAMAAAAAAAEADEDGDEEDDDEEDEEEEMMEDEGRGSEVEEGERDKGKEGMRRLAKAIEKFGEVFERVEGQKLRQMVDLEKQRMQFAKDVEVQRMQMFMDTQVQLERIKRGKRSGSDGEDSADCLELL >OIV96147 pep chromosome:LupAngTanjil_v1.0:LG16:997629:998006:1 gene:TanjilG_13079 transcript:OIV96147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFDAFSVDLNVNSSSDHHFATASDHHDDTYSRYGGYSSFTGVFSSDGDIAVDHTAAASPEIYGLSDQNPGYSQSPFESVIAENENENGNGYGDGDDIFVADGPVLPPPGEMEPEEGYVLREWRR >OIV95986 pep chromosome:LupAngTanjil_v1.0:LG16:2103641:2107357:-1 gene:TanjilG_27090 transcript:OIV95986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEREQHDALRAENFRIQMERFIMIEQLKTIKCSSCGNSCCQKFEKHKHVEQLKLENARLIDEIEKTRKLVESYMEKPQSQPELQLDLTLGIGCSNDPSQASAPNILAIQSVPQNLGLSSDENIMPKAQMIGAAIAAKEELLGLLTTDEPFWVKSRTDNQTLILHRRSYEWLFPRVPLIKSSKTREESSKGSRILSMSPKRLVDMFMDPGLWRHLFSTIISKAHTIQVVETGSLENRSGAVVLMYADMHVLSPLVPSREFNFLRHCAQITDSVWIITDVSFDYLKEKILPSHSWRLPSGCLIKEMNNGFTEVTWVEHVEVDDNIQTHSLYKDVVSTGIAYGAQRWLSELSRMSERFSSFVPNYIPSHDTGAGITISEAVSTTNVAGSGEASTSRNHGGTRGSLVTIALQIQMSNYINSDFIEGANSLVNLTVQRIKDGLNFH >OIV95532 pep chromosome:LupAngTanjil_v1.0:LG16:11973686:11980025:-1 gene:TanjilG_11116 transcript:OIV95532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRFITISAISTLLSFVGLQFCADFSLDTLKSDGLVGWDVMQLDNGNHDTKLPLGLFTTVGLLANCMINVFILLNLCLKAMFFTELYSSETRKLIERLINYVVYKVILLFLQLIKGTFLPLIVPPTIYQAGLWSIWLAVLCSLKMFQALARDRLERLNASPSATPWTYLRVYSALLFVFLVDVLWIRLCLGIYRTHESSLFLLLFFEPLSIAFETLQAILVHGFQLVDIWCNNSDCRRPKLFDSITAGSLLECKGILVRNLGFFLDMATFFMALGHYMYIWRLHGVAFHLVDAVLFLNTRALLSAIVNRIKGFIRLRIALGALHAALPDATTEELRAYDDECAICREPMAKAKKLNCNHLFHLACLRSWLDQGLTDMYTCPTCRKPLFAGRPESDTNSTTEISSDEQLARQMSTGLGQANSTNTIPAGLYHNQTPNSVEGVPWRGAGLDAGWLHSWPNQGVDGAGPSTAIRTVGLGGVQMMMRHLASVGETYAQTAFEEPAWSLWPINPSQASTSDSTIPSPRGRLPGRSGSLHIRTASQSANDDVTNMLAMAETVREVLPHIPDELIFQ >OIV96124 pep chromosome:LupAngTanjil_v1.0:LG16:819226:821291:1 gene:TanjilG_13056 transcript:OIV96124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQRHSKNNNDLAFFTYDEKRKLGYGTQKERLGKDSIKPFDACSLCLKPFIDPMSCQKGHLFCKECILECLLSQKKDIQRRVVAHATQQKQEKEEEEERLMLEKAKELDAFDQQNHGALPQYSDRNYSRDKNGFHGANSVKVTSYEEEALRTMKAFWLPSATPEASVKVEAPSTSTVCPEGNEKLKLKTLFPVQFTEDTSDHKKSNNLDRTYICPSCKVTLTNTMSLVALSSCGHVFCKKCADRFVAVDKVCIVCNKACKERHMVNLEKGGTGFAGHGDHLEARDFKHLGSGSGLGLVRPAMKT >OIV96075 pep chromosome:LupAngTanjil_v1.0:LG16:3045627:3046235:-1 gene:TanjilG_27179 transcript:OIV96075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSSSTSNQPFFSSYSTSTTVTYTKNDNSTLPQHLNSTQLLPQSNAAITIQSLYRAHRIRTLYRKISTVDSEAIHLQRIIQRQETVDAVRSSHLEKVKMNEALMGLLLKLDSVPGFDPTVREARRKVTRRIVGLQEILDSVSEAKVDDECNYWWDNGFMMNWDQVIGDMEESVCRERGGDEMEQFCAQNLGFRCLQRFLREP >OIV95325 pep chromosome:LupAngTanjil_v1.0:LG16:16700707:16703973:-1 gene:TanjilG_07481 transcript:OIV95325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCANSCMSLPCITREKEALLKLKETLHDPSNRLSSWKGNHYCKWEGIGCDNVTAHVVMLDLSNPCSRLWKQEEFSCDPVHYSLKAQNLDPSLLELEYLSYLDLFGNDFHGSSIPNFIGSMRRLTYLSLSNANFGGRLPSGLRNLTNLQLLDLSGVDLGREHDLFQVLNMLPSLLRIHLGHCGLDNLNVRLVNLTNIAPRLQLLDLSQNQLTNQDLDAFKNMTCLVHLDLSININLNSVPSWFSTFRKLKYLDLSQSGLHGPIPDALRNMSSIETLKLGFNNLTTIPSWFGNFEKLVHLDLTSNAFRDPNPDPFKKKTSIEFLSLSKNKFTSIPSWLSNFEKLVHLDLSSNALYGPIPNTLQNMVFVEYLDFSFNHLTSVPCWFGEFNRLVFLDLSVNNFTLMECSLSTILTNMCRLKTLYLYGNKFGRETIGESELSGCITYALKDFDLKDNAFSGNLPTWLGKLENLEYLQLQSNFFYGIIPSFLGKLSKLKELHLNNNALDGNLHNNIGEFHSLTHLDISSNNLYGFLPSSLGELVNLQELDLSSNNFTGAIPRSLGELVNLETLDLSRNDLNGTIPRSLSQLNDLRYMYLYENNFHGNIPDDFDRLVELRRLDISSNKLDRIISDGKEWSFVMHQLQLVNLSYNHIIGSLPTNIGNVMPNLEQLLLASNMINGTIPNSLCQAELYRLDLSKNELSGEIPNCWRDTQVWEEINVSSNKLTGVFPSSVWNLSSLVWLHLNNNNLKGNFPMAANVLMDLLIFDVGENQLSGSIPSWITDAFPSLQILRLRQNNLSGSIPSQLCQLSSLKILDLSRNNFEGSIPSCLGYLRGMIQDSSSDQGNSEWNMGTFPGYQWYNEYVKQVMKGEEYDYVTILMLLVNMDLSENKLVGFIPNEITSLTGLHGLNLSHNHLEGMIPEMIDDMKSLESFDLSHNKLSGKIPNRMTSLTSLSHLNLSYNNFSGPVPIDNQFLTYEPSVYAANPYLCGHGLKNKCPGDDSGEVPRSKGHEDNDDEYGKKGIEEKMLLYSVIAVGFASGFWGVIVVLIMKKSWRYACFRWVEDATDEVYVEVVIKVAKLKKWYVRRNHVDV >OIV96092 pep chromosome:LupAngTanjil_v1.0:LG16:3603746:3606621:1 gene:TanjilG_27196 transcript:OIV96092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLYQLNCSIFSLNSTSSILPPRSSIIGSWTKPIAKSRLVANIPRPVFSVRAVTYDADNEAGSGVAVAEEVEKTEAEKVKKALVDSFYGTNRGLKVTSETRAEIVELITQLEAFNPTPAPTDSLTLLNGKWILAYTSFSGLFPLLSKATGPLISVAEISQTIDSENFAVQNSVQFAGPWSTTSFSTNAKYEVRSPKRVQIKIEEGIIGTPQLTDSLVIPEEVEILGQKLDLTPFKGILNSVQETASSVARTISSQPPLKIPISSTNADSWLLTTYLDEEIRISRGDGGSVFVFIKEGSSLLIS >OIV95894 pep chromosome:LupAngTanjil_v1.0:LG16:1314693:1316399:1 gene:TanjilG_26998 transcript:OIV95894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSNSGHSACGCENDASIWCSGLKKQLNKRPRVPKRGPGVAELEKILREQESIGISDMRNVVEGFIPHHYSNNPHLFSSAPSLSNNIPVHHSHVPSAPKFDHLGQTTSPSMISIYGNFGHNTLLPRISGSGFVLPDQELFPMNLNERFDVNQPDSANSSPGNLSFPAMIQKKTDQYPPPMMNQFLGPGNTSSSAPLPIGLHNHVEHPSSQSSHYKSTSRPPQQHKMASMKPPHPSSLENSLIPSSNSQASPMFCHFNRPNHQASTNESQGANFLPFATPEVPSPPMHLFQGELSKGNVLPYQVTRDRMEHSYQHSESRSDHRPFFNFLDVKEERVKGPLGPNHRGHEASGGIDLRLKL >OIV95287 pep chromosome:LupAngTanjil_v1.0:LG16:17159718:17210258:1 gene:TanjilG_07443 transcript:OIV95287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFEAHVLHLLRRYLGEYVHGLSAEALRISVWKGDVVLKDLKLKAEALNALKLPVTVKAGFVGTITLKVPWKSLGKEPVIVLIDRVFVLAHPAPDSRTIKEEDREKLFQAKLQQIEPSSGNSWLSSLISTIIGNLKISISNVHIRYEDAVSNPGHPFSSGVTLAKLAAVTMDEQGNETFDTSGALDRLRKIFGDGINESTDDHKLVSKWAMNRTYLVYPINAVLQYHRLGNQERINPEIPFEKVSLVLTDVSLTLTEAQYHDWIKLLEVVSRYKIYMEVSHLRPTVPISKAPHLWWQYAAHAMQQQKMCYRLSWDQIRHLCQRRRRYIQLYVASLQHSAHVNLTEIREIEKDLDSKVILLWRLLAHAKIESVKSKVAAEERRIKKKSWFSFSWGADAEEASLDDASEGPQLTEERLTKEEWQAINKLLSYQPDEELIVRSANDMQSMVQFLVTVSIGQAAARIINVNQMEIVCSRFEQLQVSTKFKHRSVYCDVLLKFYGLSAPEGSLTQVLMESIDRVLEFVKRSKAVSPTVALETATALQETQYDEQRQNLYSRFYIAGRDIAAFFTDCGSDFGRCSLVKPNYDSQMINSPIAEIVENVYSLIDRCGMAVLVNQIKIPHPRYPSTRISIQVPNLSIHFSSERYFRIMELLNILYKTMETYSLSTADSFEAKLTARSPSDLATDGRILVWKVLSQIFVKVAVTSEGIGNSVATWHPCFLVLSGSYLYIFESANSQSYQRYLSMAGRQVLDVPPSSIGGSSFCIAVSVRGLDIQKSLESSSTWILDFREEDEKASWLKGLIQATYQASAPPSVNVLSDREGAAASYSVLTTTNPKAADIVISGAVVETKLFIYGKVGDTIVGKQDESLILEVVADGGKVNVILADGDLTVKMKLHSLKIKDELLGCLSGAPRYLAVSVLKNETVSSSPGSFDSHGKDATLEFPEDDDSFMDALSEFISQADGGNHVHDMDLDQQGLLGISTDFESLENLIHEKEIDTGKSTPHEIYYEAEGSDHSNFVSVSFSTRSSGSPDYDGIDTQMSIRMSKLEFFCNRPTIVALISFGLDISSGNNVTSHTDIAATSLEGSLVKKEKTDEKGRVKGLLGFGKERVIFYLNMNVDSVTIFLNKEDDSQLATLIQESFIMDLKFKFISYGAEDDDYEGYDYSLQGHLSAVRIVFLYRFVQEITVYFMELASPHTEEAIKLVDKVGGFEWLIQKYEIDGATALKLDLALDTPIIVVPRNSISKDFIQLDLGKLHIKNEFSWHGSREDDPSAVHIDLLHAQAITIKIISLFLTAIKLQILGINMSVGIDGCLGKSMVREGQGFDIFVRRSLRDVFRKVPTFSLEVKLDSLHGIMSDKEYQVILDCTYMNLAEEPRLPASFRGGKSDSKDTIKLLVDKVNMNSQLLLSQTVTIIAVVVNNALLELCNGPDGESPLAHIALEGLWVSYRMTSLSERDLFVTIPKFSILDVRPDTKPEMRLMLGSSSDASKHTVTGKVPFPFNPASFRRTSSEARVEIDMPISTMFLMDYRWRMSSQSFVIRVQQPRVLVVPDFLLAVAEFFVPSLGALTGREEMMDPKNDPISRNSSIMLMESVYKQTEDVVHLSPSKQLVADCVGIDEYTYDGCGKTIYLSVETDAKEVRSTRFRPIIVIGHSKRLRFINVKIENGSLLRKYTYLSYDSSYSISIEDGVDIVLHDKFPSADEESLMNQTSCTSVYSESEASGTESFSFETQVVSSELTFYDGSKSFLDDSSYGEKLIRAKLDMSFMPIPPSQAVMAVCNTYDRVRKPVDFYLIGSFLDIQGHGEGEGHSVADSDCSLWMPIAPSGYTALGCVAHVGNQPPPNHVVYCLRSDLVTSAKYSDCLFNIPPNNQFTLGFSIWRLDNAIGSFLAHSSTGCPLYDKCYNLNHLLVWNSNRAPLIGSVPDFNSDHENNDQQTSKSVNTSGWDILKSISKATNCYMSTPNFERIWWDKGSDLRRPVSIWRPIARRGYAVVGDCITEGVEPPALGIIFKNDNPDISSKPVQFTKVSHIVGKGVEEVFFWFETYDNTAQAPLGLGKRVRISATSILNVNVSAANLESFVGSILSWRRQLELEQKASRLNTGVGGPKSKGDNATFSALDEDDLQTVILENKLGCDIFVKKAEHDEDIVDKLHHDDCTSVWIPPPRFSDRLNVADESREARYYIAVQMLEAKVGLPIVDDGNSHNFFCALRLVIDSQASEQQKLFPQSARTKCVKPVVSRINGWDEGTVKWNELFIFEVPRKVEQSNVGAMQNGRLFVSTSYFERNTIAKIQKDLENENVVDRDIGFWVGLSPEGKWESVRSLLPLSVVPKSLNNEYIGMEVVMKNGKKHAVFRSLVTVVNDSDVVLNILTSHASHGTDPLLGANSTSTAVEEVFQNQCYSSSGWGNDWPAVHLDNPGHWSTRDFSYSSKDFFEPPLPPGWKWASGWSIDKFQYVDKEGWAYGPDLKNLKWPPTSSKFSTKSASDNVRRRRWICTRETISEEGIECLHSGASTVHPRASAVLSWRSTSKDSNQYLQVRPNFNSSQPSYSWGRAVAVGSSYIYSKDNLLDPGSRQNSVTHSCSLKLNELEKKDILLCCNPSSGSKQLWFSVGSDATVLNTALNVPVYDWKISINSPMKLENRLPCPAEFSILEKTKEGNCVERHHGVISSRQSVHIYSADIQKPVYLTLFVQGGWVMEKVDSILVLDPSFSNRFSYFWMVNRQSRRKLRVSIEHDMGGTSAAQKTLRIFVPYWIVNDSSLALAYRVVEVEPLESAEVDSAHLSRAVKSAKTALKSPIISMDRRHSTSRRNLQVLEVIEDNSPLPSMLSPQDYAGRSGVTMFQSQKDTYLSPRERIDVKAFNSDGSYYKLSALLNMTSDRTKVVHFQPHTMFVNRVGCSLCLQQCDSQSVVWIHPTDPPKPFEWQSSIKVELLKGPLFMQLRVDGYKWSTPFSVSYEGVMRINLKKDDGDDSMQLRVAVRSGAKRSRFEVIFRPNSLSSPYRIENRCMLLPIHFRQVDGVGDSWQLLLPNSAASFLWEDLGRRRLLELFVDGTDPRQSLKYDIDEISDHEPISTAGGPTRALRVTIIKEEKINVVKISDWMPETETAGVLGRRNLSSMSDNQKQQLTTSEFHINFDLAELGISVIDHTPEEILYMSVENLVLAYSTGLGSGISRFKLRMTGLQVDNQLPLTPMPVLFRPQKAVAETDYILKCSVTMQSNGSLDLCVYPYIGLHGPESSSAFLVNIHEPVIWRLHEMVQQVKLGRLYDSQITAASVDPIIQIGVLNMSEVRLRVSMAMSPSQRPRGVLGFWASLMTALGNTENMPVRINQRFHENITMRQSAMISMAISNARKDLLGQPLQLLSGVDILGNASSALGHMSKGVAALSMDKKFIQSRQRQENKGVEDFGDVIREGGGAFAKGLFRGVTGILTKPLEGAKTSGVEGFVQGVGKGIIGVAAQPVSGVLDLLSKTTEGANAMRMKIASAITSDEQLLRRRLPRVISGDNLLRLYDEYKAQGQVDLFKVRGKFALTDAYEDHFMLPKGKILMVTHRRVILLQSRSMDMKENVRFIKCTPESHQALEVYSSIEHALSTYGPGASKGILKNKVTKPYSPFAADGPSSSVDLIAKDGASVWSPQQMPGSAPLSSTFGSRTDPND >OIV95615 pep chromosome:LupAngTanjil_v1.0:LG16:8584055:8588206:-1 gene:TanjilG_23846 transcript:OIV95615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLHNISLCSTSKPLHLSTSKPYLSISSFPFRFPSQSLSLKQNHHQHSKKLTFSLTESVSSTALIALLSASLFFVDPALAFKGGGPYGQEVTRGQDLTGKDFSGKTLIKQDFKTSILRQANFKGAKLLGASFFDADLTGADLSEADLRSADFSLANVTKEIHLSRAQISQVLILRMCLSERINANISAKLQMG >OIV95633 pep chromosome:LupAngTanjil_v1.0:LG16:8018257:8019731:1 gene:TanjilG_23864 transcript:OIV95633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAKDRTLHDSSMGSKVQTCVFNDTAMEITSLSLHGVNKHNHKFVLEEEDGSKNTNGLSNDSAITHSPPLCGSGIGYVYKGTNTNYQIQEAESVINFKDNNLMQPNESLLSFQQSRLIHNNSYLKDNIENEYYCGWENNLHNQISPRNTEDLRLVQDFNCFQTATSGYSSIINSAKENQHGESSFGWLYPEPTTLAESLQEPGAQEQVMKKRPSMGENMKAAKKQCPTESKTPKPKSSPSNDPQSVAAKNRRERISERMKILQDLVPNGSKVDLVTMLEKAISYVKFLQLQVKVLATDEFWPVQGGKAPDISQVKEAIDAILSSQREGSSTSK >OIV95377 pep chromosome:LupAngTanjil_v1.0:LG16:16209192:16216078:-1 gene:TanjilG_14531 transcript:OIV95377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQTKKPKSRDVASHGREKTKPNFDSKNLKSFKSKTHRKKSGPHLPSSLKKEVERLNPNTNSFGSDESDYEDGEREILVKDLYEYEEEQAEEESKKNKRYDAGSVDKLEYELPDDLSDDFKDEDVESEDDDDDDDMSKNRTAASNESEEEDEERHARMLQGVTGMPTEAFEGKRKNKKMKDTVLPELYQESEYNSSRDVVNGDGLITIEDLLNPLHEKPGFGELRKRMNEIEKKARTIHAPLPKADQAKIERRAAYERSKKDVTKWQPIIQKNREAPTVFFDENVDLGFSTVGAIASEFEPRTEFEMKMAALVRDDKVMEAHKRDGSRLLEMNMVSVEDEKDRQNRIAKMHSLLFRHERKAKHMKKIKSKTYHRLLKKDRLKEESSRIEMDPEAAKEYAMKQERQRAEERMTLRHKNQNRWAKRILQRGLSSQDEGTRAAIAEQLQRHAALSRKMHSMKDSSSSSDDTSDEDENSDGSDQDRVSKLLGKAKEKTMRVLEEEDEVPKSGLLSLPFMRRGLEKRKEAAVEEANILIQEYEDSLMKLGSSGGSEDPKAASVSGRRVFGTAKPQISDAGNKTFAGDDVEDDFEKDKQEILNRENPEPEKPVSLPGWGQWTHIQQKKGEPSWMLKEHENAQRKRAEALKRRKDAQLKNVIISEKLDKKAEKLHTKALPFPFTSKEMFEQSMRVPIGPEFNPATAIGPLNRPEFYKHLQFGRIEGIDCTMLEVKTNHCLQFDRIANISRTGT >OIV95114 pep chromosome:LupAngTanjil_v1.0:LG16:17850073:17853906:-1 gene:TanjilG_21504 transcript:OIV95114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDINLFREEKGHNPELIRESQRRRFASVDLVDDVINLDKEWRKRQFELETLRKDVNRISKEFAKIKIAGGDTTKLSSESEETKKQIAAKEVEVRDALKLLNSKLETIGNLIHDSVPVSNDEANNAVVRTFGEKRVEPKLKNHVDLVELLGIADLKRGADIAGGRGFYLKGDGVRLNQALINFGLDFLEKREYTLLHTPFFMRKDIMSKCAQLAQFDEELYKVTGEGDDKYLIATAEQPLSAYHLDDWIHPTQLPLRYAGYSSCFRKEAGSHGRDTLGIFRVHQFEKVEQFCLTSPNDNASWEMHEEMLKNSEDFYKALNLPYQVVSIVSGALNDAAAKKYDLEAWFPASQTYRELVSCSNCTDYQSRRLETRYGQKKSNEQLKQYVHMLNSTLTATERTICCILENYQKEDGVEIPEVLIPFMGGKTFLPFKSKPVAEAKGKKSKA >OIV95129 pep chromosome:LupAngTanjil_v1.0:LG16:18004613:18006996:1 gene:TanjilG_21519 transcript:OIV95129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGCFMHIREEEIHKLLKSLMVCSNEGKACNLSLELTSLTNNILCRMAMSTTCLDKDNDAKEIHGLVKEFLEVGAKLSMGEVFGPLGKLDLFGYGKKLVKIVGKFDQILEGILEEHEKEIEVSQRETRDMMDIMLQIYRDPNAEVKLTRNDMKAFFLDIFLAGTDTSSVASQWAMAEIINHPQVLKKLREEIDSVVGTCRIINESDIPNLPYLQAIVKEVLRLHPTAPIALRQSLQDCNINGYDIKGQTRTLINVYAIMRDPKAWNNPQEFIPDRFIEGSDYYFVKMMNSNNDFRYIPFGFGRRGCPGDTLALRVIQGTIATLIQCFEWKVEGDGDKVNMVEGSSFSVGLAMPLVCYPTTRFNLLI >OIV96090 pep chromosome:LupAngTanjil_v1.0:LG16:3569980:3571873:-1 gene:TanjilG_27194 transcript:OIV96090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANFPVVDIGKLNTKERDSTMDMIKDACENWGFFELVNHAISIELMDTVEKLTKEHYNKCMEQRFKEMVSNKGLESVQSEINDLDWESTFFLRHLPVSNISEIPDLDEDYRKVMKEFAQELEKLAELLLDLLCENLGLEKGYLKKVFYGSKGPNFGTKVSNYPPCPKPDLIKGLRAHTDAGGIILLFQDDKVSGLQLLKDGQWVDVPPMRHSIVINLGDQLEVITNGKYKSVMHRVIAQTDGNRMSLASFYNPGNDAVIAPAPIFVKEDEKSQAYPKFIFDDYMKLYVGLKFQEKEPRFEAMKAVESSVTLDPIATI >OIV94976 pep chromosome:LupAngTanjil_v1.0:LG17:283770:287123:1 gene:TanjilG_22173 transcript:OIV94976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWIAVICGLIFYKLLKRFLYDDDVLQVEGSDHSTPLFSVADRLQKLYGGNVYVGLRIPDADTSSPQTIHIVLSTKQELVVILVKNYSGILTVNGDGSWVCEKPDIRKVERHPDPVAEAKKQASILESYLEQRGVVLPEGYISFKVILPNPKLCVIPASSFPSEVITHEQWVQPKPGPKSMFSSWVKSAFGGGKKEMQESIHQNLDFVLSTAPIWDRLELKGNKYILGEFLEFKGKEEDVEALRHIRRSKVARIIIQKTSMFGLAPSRLQVLSTLRDYRSEGASALEWKEVTVRSSTEISFQLENVSKLKKFKLSSVSSMSLSA >OIV94060 pep chromosome:LupAngTanjil_v1.0:LG17:12843585:12844130:-1 gene:TanjilG_05440 transcript:OIV94060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWFSWLSKTSLEPSLVYEYGLAFAHNELEEEDIVYFNHEFLQSMGISIAKHRLEIIKLSRKDNKGSNRPLQVARLMVAMKKTKRFLANYIRRTMIRCEESTALVVVPSSSSRSSGYGTKRKKNSVMKRNKKMMVGNNKQEKLLLTNRSSPMEEKMDHGYWSASAVEDIRWDTMFKDLKPN >OIV94610 pep chromosome:LupAngTanjil_v1.0:LG17:3161229:3164460:1 gene:TanjilG_25834 transcript:OIV94610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTLPTTQDKSVPDATDYKGSPAERSRTGGWAAAAMILGGEVMERLTTLGIAVNLVTYLTGTMHLGNAASANVVTNFLGTSFMLCLLGGFLADTFLGRYLTIAIFATVQATGVTILTMSTIIKSLHPPKCTLESVQPCEQASNMQLMVLYLALYITALGTGGVKSSVSGFGSDQFDESNKGEKKQMIKFFNWFYFFVSIGSLAATTILVYIQDNQGRAWGYGICACAIVFALCVFLSGTSKYRFKTLVGSPMTQFAEVFVAAWRNRHLKLPSDSSLFFNEEHMIMKQRLPHSKEFRFLDKAAIKESGRITEKKWYLTTLTDVEEVKLVIRMLPIWATTIMFWTVHAQMTTFSVSQATTMDRHIGKSFQIPAASMTVILIGTILLTVPFYDRFIVPVTKRVLKNPQGLSPLQRVGVGLVFSVLSMVVAATIEIKRLRFAQSHGLVNNPEAKIPMSVFWLAPQFFFVGVGEAFMYMGQLDFFLRECPKGMKTISTGLFLSTLSLGFFFSSILVTIVNKLTGHGKPWLADNLNQGKLHDFYWLLAILSAINVIIYFVCAKWYVYKEKRLAEEGIELEEQPDATFHA >OIV94689 pep chromosome:LupAngTanjil_v1.0:LG17:2538769:2541653:-1 gene:TanjilG_25913 transcript:OIV94689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQAIPNLPRVHGYALAIVWLLCGLVFSIFMIVKCLSSASTSLPCLHHYYLHILFLLLFLTSLAIVASSLVLNTSNRTLRRTEKLKEAVLGIGEDALGTIGRVMKTTKQMQYILLPYNPQICATLSSITQDLRRNSRVIRRFVDSSRQAFNKATHTLYIAHVVVLSVNFVTLVASLVFLLLQWRPGFIMIIFCFWILTSLCWFLTGFDFFLYNFAGDACFAFEDFEQNPLNSSLGSMLPCINESFSEKLLAEIGYTIHNFIVELNSNMSVLYRLLGVGKENEGIMGVIKICDPFSGTPNYSYIPQHCPHDAIQIGDVSRVLARFTCHKEGTTKECKNEGKFLPEGSYNMAHAYSRSIQDLLDIYPDLLSLSKCTIVKNKVAEIVEHQCRPIRISTTLLWSCMVFLSSIMVFLVLTWVAEAFLCWQKNPVYVFQDTRI >OIV94986 pep chromosome:LupAngTanjil_v1.0:LG17:213557:216764:1 gene:TanjilG_22183 transcript:OIV94986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIPPPIPDVVNPLFTPYKMANFNLSHRVVLAPLTRQRSYNNVPQQHAVLYYSQRTSKGGLLIAEATGISDTAQGYPDTPGIWNQQQVQAWKPIVDAVHAHGGIFFCQIWHTGRVSNSSFQPNGQAPISSTDKPLTPQKRSNGIDVAEFAPPRRLRTDEIPQIVNDFRQAARNAIEAGFDGVEIHGAHGYLLEQFLKDKVNDRTDEYGGSLENRCRFPLEVVEAVVNEIGAERVGIRLSPFADYAECEDSNPKELGLYLVNALNKYGIAYCHMVEPRMKTLGEKAESSDSLVPLRKAFNGTFITAGGYDRQDGIDAVAEDRADLVAYGRLFLANPDLPKRFALDAPLNKYNRNTFYTSDPHVGYTDYPFLD >OIV94768 pep chromosome:LupAngTanjil_v1.0:LG17:1854794:1856530:-1 gene:TanjilG_12981 transcript:OIV94768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFFTNIAVQSQQINATDFSCPVDSPPSCETYVTYIAHSPNFLSVVSISNIFDTSPLSIARASNLEAEDNTLIPDQVLLVPVTCSCIGNRSFANMSYVIKLGDTYELVVETIYEHLTNWLVVADLNPGLVPTLLTVGVEVIFPLFCRCPSKNQLNKGIKNLITYVWQPNDTVSTVSSKFGASSADILSENNYGQNFTAAIHQPFLIPVTQLPHLSQPEPSNARKSSINLMLIIGISLGCTLLVAALAVLLVYVCYLKKSVLKRKASFVETADRLLTGVSGYVSKPTVYEIDAIMEATMNLSELCKIGESVYKAKMDGRILAVKKAKEDVSEELKILQKVNHGNLVKLMGVSSDNDGNFFLVYEYAENGCLDEWMFSKSTSNSMVSLTWSQRISIAVDIAMGLQYMHEHTHPRIVHRDITTSNILIDSNFKAKISNFSMARTSTNPMMPKIDVFAFGVVLLELLTGKKALTTKENGEVVMLWKDIRKVFDLEEDNKEVMLQRWMDPKLENLYPVDYALHLASLAVNCTADKPLSRPTMAEIVLTLSLLAQPPPASLERSLTSSGLDAEATQTIAPVAAR >OIV94295 pep chromosome:LupAngTanjil_v1.0:LG17:7082244:7088989:1 gene:TanjilG_25664 transcript:OIV94295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPYNRLPISGYSPPSPPQSPLRSPRLRHQRSSKTGRFSPVQPPGRTFTQRFAVAFLSVLLRRQGVFLFAPLIYVFGMLLYMGTASFDVVPIIKHRHAPGSVYRSPQVYAKLRVDMDSDNSSADAISTIWKSLYKGGHWKPCVNKSSKGLPESNGYIYVEANGGLNQQRTSVCNAVAVAGYLNATLVIPNFHYHSIWRDPSKFRDIYDEEYFIDALKNDVQVVDKIPEYLMERFGSNMTNVHNFRIKAWSSIMYYRDVVLPKLLEEKVIRISPFANRLSFDAPPAVQRLRCLANYEALRFSSPIMTIGESLVERMRKRSAINGGKYVSIHLRFEEDMVAFSCCVFDGGKQERNDMIAARERGWKGKFTKPGRVIRPGAIRINGKCPLTPLEVGLMLRGMGFTKNTSIFLASGKIYNAEKTMAPLLEMFPNLHTKETLASEEELAPFKNFSSRMAAIDYTVCLHSEVFVTTQGGNFPHFLLGHRRYLYGGHSKTIKPDKRKLALLFDNPNIGWKSLKRQLLNMRSHSDSKGVELKRPSDSIYSFPCPDCMCRANRTEDSRLLSAA >OIV94249 pep chromosome:LupAngTanjil_v1.0:LG17:9301232:9302826:1 gene:TanjilG_00100 transcript:OIV94249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLYGFSNLQHFQESDVKFMINWRRVAVTSMFGAGFVGPVGHFWYEGLDKFIRLKLHLMPKSVRAIATKVAMDAMIFGPVHLFVFFSYMGLCSGKTIPQVKEDMKKNFFPALILEGGVWPFVQVFNFRYVPVKYQLLYVNLFCLMDSAFLSWLDQQKDAPWKQWLKSLNSSKEKGNH >OIV94649 pep chromosome:LupAngTanjil_v1.0:LG17:2876397:2877091:-1 gene:TanjilG_25873 transcript:OIV94649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSSKRHCLLEEDNGLAFIANTEGGFSGHYPYHYQHGFVSRTLGYAYPISSLRSGRFYDARFEDHIPHFLQACFLCKKPLGNNRDIFMYRGDTPFCSDDCRQEQIEMDEAKEKNKNISSMKALRKKEQNKSASPNKTQDYSFRAGTVAAA >OIV94364 pep chromosome:LupAngTanjil_v1.0:LG17:3333921:3336755:1 gene:TanjilG_25426 transcript:OIV94364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSLSPFLFHDDEHPGTNYDYTPTVKPQRKVPKSPFKVLDAPALQDDFYLNLVDWSSNNVLAVGLGSCVYLWNASSSKVTRLCDLGIDDYVCSVEWAHRGTYIAVGTSKGKVKIWDASQRKMIGTMEGHRLCVGALAWSSSTLSSGGRDKSIYQRDICVKEDFISKLSGHKSEVCGLKWSYDNRELASGGNDNRVETLTGHIYRVLYLAISPDGQTIVTGVGDETLRFWNVFPSQKSQVSFTLGT >OIV94747 pep chromosome:LupAngTanjil_v1.0:LG17:2039855:2043764:1 gene:TanjilG_12960 transcript:OIV94747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSERILEYRDESQRLDQSSRLYDSSVSIDGFEVDASHIVQELGGIGTAMDRQKTLLHELMSVVKDMLRNTEVAVRSFMMLRPRFLHPSGGASSATAPSQTPGGPQSSSNQPTATSIVPVFDFYNGLPRKPSPFLQQTVLRFEKYIGECHQWIEELEQLLLLDSERNASSHGSSLLQSLPKVMTNVHDFFVHVAAKVESIHQYIESMKSAYLADQRRRGEVNDPFLEADRRETARQEAASKRVHPTLHLPANSQPSTQVAGLFSSSGTQGALPAPQTSAAPSSSSLSSSSGSGFSLFSTPSSAPSSSMSLFATPSTPAPGSSWFASSSATAPSSLFGSATSVPGPASTPSLFSNTASLFGSTPGAVTGSGASFGPGSVSRL >OIV94064 pep chromosome:LupAngTanjil_v1.0:LG17:13040997:13041344:1 gene:TanjilG_05444 transcript:OIV94064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIHMVVVAIAKLHWQLSSHNLRPTVVGFAYPMVLKLLMSSFRLFQDEALYQSRLFFFTISQIVHNRDLPLSTRSRLERAITLILRFIATTSIGTTSEGLEIGHDTFYALSMIAL >OIV93866 pep chromosome:LupAngTanjil_v1.0:LG17:16273691:16276920:-1 gene:TanjilG_05569 transcript:OIV93866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWDLNDSPDQRRKGEEESEEACSSLKTSMDCDDDDNNNNNKGKGVGSASNSSSSAVVIEDGSEEEEEGEKMMMKKRSSKIFGFSVTHESDDSMDSDNNLPQPLMTTRQFFPVEEADTAVTSGGGCGGVSSFPRAHWVGVKFCQSERVGAGKSVEVSQPMKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVEADINFNIEDYEDDLKQAKFVHVLRRQSTGFPRGSSKYRGVTLHKCGRWEARMGQFLGKKYVYLGLFDTEVEAARAYDKAAIKCNGKEAVTNFDPSIYDNELNSGTTTTADHNLDLSLGNSSSKTSNHDQHMSSESNWRNEGSINKPKLVSILPKPYSNRSNIETHGGMGVQGQAHLHSSPSNELQRYGPYKSLGESQMLHNFAHLQPPNFHFPSSSNGRRGLIGSDLSLSVSDQQQQWQSGPPHYIATAAASSGFPPQIIRPSSQGWLQKNGFHTLMRPSS >OIV94606 pep chromosome:LupAngTanjil_v1.0:LG17:3182369:3184481:-1 gene:TanjilG_25830 transcript:OIV94606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATFSFSIVTTSVSAAAAARYRSKTNWSRYKPSTRISCIGWDPEGVLGPPQGGHIDRLEFRKRLENDADARDAFDRQIREEAERRQAVRDFRVPPENPKELIEYFLDTEAQDIEYEIARMRPRLTKEFYDQLKSELGQIRFAVTKTQEMEDRLIELEALEKALEEGKEAFDKMQAELTTAKESLHKIFTSKDVKETLLEMVEHNEINRSLLTLLDENIANARRSNQIRAAEYMEKLRGDVLKYITV >OIV94838 pep chromosome:LupAngTanjil_v1.0:LG17:1311182:1312587:1 gene:TanjilG_22035 transcript:OIV94838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMEAQQPNEDGGSGKGGFMSRQSSTRWTPTTDQIRILKDLYYNNGIRSPSAEQIQRISARLRQYGKIEGKNVFYWFQNHKARERQKKRFTSDVPMQRAPTNTASTCKHDEPPIHTKYSNIPSTGGSSTPSSSAIGMVSMGHMGNYGYGSVPMEKSFRDCSISAGGSSSHVGSAINHNLGYFGMDQYSSAYTFFDKRRQNEETMEEEQVEDGSNEIQTLPLFPVHCEDIHGYCNLKPNSSNYVGAGWYQAEDGFMNGSRASLELSLNSYARKSPDFS >OIV94684 pep chromosome:LupAngTanjil_v1.0:LG17:2572248:2574837:-1 gene:TanjilG_25908 transcript:OIV94684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATSSNPPVSLQTQEKTWLSRIPSSHKLLNYGTRLKNRRLGCGCGFRLEGSRKREFFVRGCWCGPDDYGVTEEDQEFVKVLSEAQPYIAVHRDRVFVLVISAEIVASPCLDLILKCNVFNEGVNENVDEESYNSVKDKQFVRWFREAWPYLWAYRDGTFVVIISGEIVASPYLDPILKDIAFLHHLGIRFVLVPGTHVQIDNLLNERGSQPKYVGRYRITDDESLAAAMEAAGGIRLMIEAKLSPGPSICNVRRHGDNSRWHEVGVSVASGNFLAAKV >OIV94437 pep chromosome:LupAngTanjil_v1.0:LG17:4038547:4041203:1 gene:TanjilG_25499 transcript:OIV94437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKIAKASDRVALNLKRKRSSRCSVYLDGASRPLLRQWPSFTTQTGKVVKLVKLGRGKSKLTTSGTHIGQSLVRHYLNYKKSGRPERLMFYKNGEWLDFPTDVVELVKKDLVIKKGAVEVESNGYHVLLDFLHMYKLDLKTGLQQPIAWIDEAGCCFFPEVFATSDEEPYNFCKQEAGKSSDSCEIKLHLEIDLNGVDGSKLRECSGDSNAFVEPVRIDATQSCSHYDAQVEGSINKRDCGDVNADIQLNQDVGLGACTKYVYGNLDTDTVQKMFVTGMSSFGITDTDIIEIYRCSSMSMPARLELFSKQAEMTKKFHGNANVQYAWLASSKVELSTMVEYGLGHCRLSTCKCTYGIGVHLSAVTCPYTSARLCDNDENGVRHLVFCRVIMGNMELLRHGTDQFRPSSSAYDNGVDDLKSPTHYVVWDMNMNTHIYPEYVVSFKVSSDAEAHFCGSHSKNNASGFNTSDQGSIVDAGKASSTRKVPTSPFLPFPLLFAVIKKKVLPKDMELIKTHYEQFLSKQISRDNFVQKLRMVVGDSLLRAAILSLSLKKPSSGETRDSIKKEEV >OIV94294 pep chromosome:LupAngTanjil_v1.0:LG17:7080038:7080187:-1 gene:TanjilG_25663 transcript:OIV94294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHKSTHMDNSLVVENGGNNPWQVLQHSATMGILDNKPHHVHVPDLRDT >OIV94006 pep chromosome:LupAngTanjil_v1.0:LG17:15739953:15746420:1 gene:TanjilG_07554 transcript:OIV94006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLISLVNKIQRACTALGDHGEASALPTLWDSLPAIAVVGGQSSGKSSVLESVVGKDFLPRGSGIVTRRPLVLQLHKIEEGSREYAEFLHLPRKRFTDFVAVRKEISDETDRETGRSKQISTVPIHLSIYSPNVVNLTLVDLPGLTKVAVEGQSDSIVQDIENMVRSYIEKPNCIILAISPANQDLATSDAIKISREVDPTGERTIGVLTKIDLMDKGTDAVEILEGRAFKLKFPWIGVVNRSQQDINKNVDMIAARRREREYFASTPEYRHLAHRMGSEHLAKMLSKHLETVIKSKIPGIQSLISKTIAELESELTRLGKPVATDAGGKLYAIMEICRTFDQIFKEHLDGIRPGGDKIYNVFDNQLPAALKRLQFDKQLSMENIRKLITEADGYQPHLIAPEQGYRRLIESSLTTIRGPADAAVDAIHSLLKDLVRKAVSETLELKQYPGLRVEVSAAAIESLERMREESRKATLLLVDMECGYLTVDFFRKLPQEVDKGGNPTHSIFDRYNDSYLRRIGTTILSYVNMVCASLRHAIPKSIVYCQVREAKRSLLDHFFTDLGKMDPKRLSALLNEDPEVMERRSALAKRLELYRSAQDEIDAVAWSK >OIV93941 pep chromosome:LupAngTanjil_v1.0:LG17:18402324:18403269:-1 gene:TanjilG_05644 transcript:OIV93941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRMHSSGKGMSASALPYKRTPPTWLKISSQDVEENICKFAKKGLTPSQIGVILRDSHGIAQVRSVTGNKILRILKAHGLAPEIPEDLYHLIKKAVSIRKHLERNRKDKDSKFRLILVESRIHRLARYYKKTKKLPPVWK >OIV94141 pep chromosome:LupAngTanjil_v1.0:LG17:11723936:11727309:1 gene:TanjilG_31566 transcript:OIV94141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSRSYSNLLDLASGDSLSFEHMNRRIPRIMTVAGLISEVDDDENVDSVCSDPSSSVQTERIIIVANQLPIKAQRKPDGNKNWFFTWDDNSLLLQLKDELGDFDDTEVIYVGCLKEDVHPNEQDEVSQILLETFKCVPTFLPSDLYTRYYHGFCKQQLWPLFHYMLPLSPELGGRFNRSLWQAYVSVNKIFADRIMEVINPEDDYVWINDYHLMVLPTFLRKRFNRVKLGFFLHSPFPSSEIYKTLPTREELLRALLNSDLIGFHTFDYARHFLSCCSRMLGLAYESKRGYIGIEYYGRTVSIKILPVGIHMGQLQSVLSMPKTEEKVCELVKQFSDQGKTMLLGVDDMDIFKGISLKLLAMEQLLIQHPECQGKVVLVQIANPARGKGKDVKEVQAETKATVKRINETFGKPGYDPVILIEEPLRFYERVAYYVVAECCLVTAVRDGMNLIPYEYIVSRQGNERLDKVLELGSTPKKSMLVVSEFIGCSPSLSGAIRVNPWNIDAVVDAMDSALEMADSEKQLRHEKHYRYVSTHDVGYWARSFLQDLERTCRDHVRRRWWGIGFGLSFRVVALDPNFRKLSMEHIVLVYKRTKTRVILLDYDGTLMPQASIDKSPTNDCIKMLNILCRDKNNMVFLVSARSRKTLTEWFSPCENLGVAAEHGYFLRLKRDAEWEMCGPTTDCSWKQIAEPVMKLYTETTDGSTIEDKETSLVWCYEDADPDFGSCQAKELLDHLESVLANEPVTVKSGQNVVEVKPQGVSKGLVAKRLLSTMQEKGMTPDFVLCVGDDRSDEDMFEAITSCMASPSAAPRAEVFACTVGRKPSKAKYYLDDTAEIVRLVQGLASVSEQPVLF >OIV94912 pep chromosome:LupAngTanjil_v1.0:LG17:764450:768328:-1 gene:TanjilG_22109 transcript:OIV94912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNRRFNLVATSDDEEEAPLPPPQSKQQRKRKMMLVCEEEEEDDNNEIPKDNKNEKEQEEEEKVEEVVRAKPVGNPVRVSGKGKRWRKHFHTFDYDGNHYTLEDPVLLFPEDIAQKPYAAIIKDITQCQDGSVMMTGQWFYRPEEAPKHGGGFWKPIDTRELFYSFHQDEVPAESIMHKCVTHFVPAHKQLPNRKQHPGFIIQKVYDFEGRKLYRLSDMAFRDGKQEEINVLIERTLQRIGNDLLDIETEHAPADQHHPMKNISKYKRKNISPLYISREEKGTRMGGKHLKPENNASEHYRILMSFNALTGDAHRDKWLERMLQHIQYMWNSDGSTKKDDKGLRNANFSGIKNTSNNTISDSRNDSQVKAGEGSKYFIWPDAAVPAIVALEKASHDALSSDLQKYNQKLRSLDFNLKSNALLAHRLLNGELEPSKFINMTPTELKEGFTAEEIDKKEPDELQNMQMTNARCSRCNKSKVGVRDIICAGDSDRYQLECIHCGNSWYASPDEVSTLTIYGNIGAAGHAD >OIV94527 pep chromosome:LupAngTanjil_v1.0:LG17:5168806:5172722:-1 gene:TanjilG_25589 transcript:OIV94527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSYSSICFSDSNVLCSEDTIGTLSGKSTELYSSDIDSSPPTLRSSEEEESIAGFIEDEHNFVTRFDYLSRFRSRSLDDSARKESVAWILKVQAYYGFQPLTAYLSVNYMDRFLNSRHLPQTNGWPLQLVSVACLSLAAKMEEPVVPSLLDLQVESPKYIFEPTTIQRMELLVLGVLDWRLRSITPFSFLGFFACKLDSTGTFTGSLISRATQIILSNVQEASFLAYWPSCIAASAILCAANEIPNWSLVRPEHAESWCEGLRKEKIIECYQLMQEVVIDNKKRKLPKVLPQLRVTTETPMSSSVSSSSSSFSSSTSFSLSYKWRRINSNRFCVDAEKEKFREKEQ >OIV94653 pep chromosome:LupAngTanjil_v1.0:LG17:2843355:2845213:-1 gene:TanjilG_25877 transcript:OIV94653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVSSANSVDDSIKSSLTKLAPLEAVLFDIDGTLCDSDPFHYQAFRVMLQEIGFNGGVPITEEFFIATAAGKHNDDVALALFPDDIERGLKFLEDKEAMFRKLAAEQVKPLSGLDKVRKWIEDRGLKRAAVTNAPRPNAELLLSVLGLSDFFEAVIIGDECEHAKPHPDPYLKGLEALKASKDHTLVFEDSVSGIKAGVAAGMPVIGLATRNPEHLLMEAKPAFLIKDYEDPKLWAALEELDKAGAH >OIV94596 pep chromosome:LupAngTanjil_v1.0:LG17:6008768:6009435:1 gene:TanjilG_25658 transcript:OIV94596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMSDGNGVVHHVAMLPSAGMGHLTPFLRLATFFLSHNIKVTLITPHPTHTPSESQLLSRFQSSFPQVNQINFNVESSLSTQSPNSHIAIPYFQMIDDIRTSAAKALSPLLSSLSPPLSFFVSDYFMLSSVLSITQSLSLPNYVLFTSSASFFALFSYFSTLPSSLSEHETVEIQGIPPIPISSISPYLLAPNSIFKKVFIEDSSQITKFDGFFINTFEALE >OIV94734 pep chromosome:LupAngTanjil_v1.0:LG17:2351881:2354108:1 gene:TanjilG_06197 transcript:OIV94734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLSALEQSYLNRRSNTFRGSIESSGDGTAKSSSAAVFWLLLHGVCCLISLVLGFRFSRLIFLFLLSTSSTSLYTFPFRTVAEIAVDSNPVATVENSSNRTITAPPLVAGGNSRVVVGRHGIRNRPWPHPDPVEVVKAHRIIERVQREQRALFQVKYPKTVIAVTPTYVRTFQKLHLTGVMHSLVLVPYDLIWIVVEAGGVTNETASIIAKFGLRTVHVGFRQRTGVSIVRKEKFDGVVMFVDDSNMHSMELFDEIQSVRWIGAVSVGILVHSTNADEASSIIPREDVEEAMMPVQGPACNATNQLVGWHTFSSLRYKGRRAVYIDNQAPVLPRKLEWSGFVLNSRLLWNDGDDKPEWIKDLDALDGEIESPLSLQKSTSVVEPLGNCGRKVLLWWLRIEARSDGKFPAQWIIDPPLDITVPSKRPP >OIV94366 pep chromosome:LupAngTanjil_v1.0:LG17:3345451:3347014:-1 gene:TanjilG_25428 transcript:OIV94366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLTTIPVLSYKLNKPWLSPQQVSLLPHRTKLQKKNQAIVPVARLFGPAIFEASKLKVLFLGVDDNKHPGNLPRTYTLTHSDITSKLTLAISQTINNSQGWYNKLQRDEVVAQWRKVKGKMYLHVHCHISGGHFLLDLFARLRYYIFCKELPMVLKAFVHGDGNLFNKYPELEEALVWVHFHSNIQEFNKVECWGSLKDASSPSSRESGSHVEGNSSSSSRQGPFPSSSYEDLEGLTMPQPCQEACDCCFPPMRLSSIPRSQQDPSHPNDQEVPNIMTH >OIV94329 pep chromosome:LupAngTanjil_v1.0:LG17:6377053:6377583:-1 gene:TanjilG_18294 transcript:OIV94329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMATLWKSMSKLTNIATSNSTIWKTMPKSPQVPTQNSNKNKLRKSTSLKVATSFTRVCLCAPIYSYNDVFKAEVPPRRSNTYPRSKPPLQATTTHERVHVPSARISTEGRKVFRGKSLTDDVLMRRFVIEEEAMMQVRRRNQMEVIRRRSIMRRKKLGPSPLSRMVMANDIGQFN >OIV94452 pep chromosome:LupAngTanjil_v1.0:LG17:4238183:4240273:1 gene:TanjilG_25514 transcript:OIV94452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLWTDDNSSVMEAFMSTTSSSDLSSLWPPPTPSLPPQQPPPPPHQQPLFNQDTLQQRLQALIEGHATHIWTYAIFWQSSYDYSSSSTLLAWGDGYYKGDDDKSKSKSKPNNNNTKKTSSAEQNHRKKVLRELNSLISGSSNENDTVDEEVTDTEWFFLVSMTQSFVNGSELPGQAFFNSTPVWVTGSERLAGSACERARQAQVFGLQTIVCIPAANGVVELGSTEMVFQNPDLMNKVRFLFNFNNNNNNHDVGSWPFTASTTTDQGENDPSSLWLSDPEIRDSVNTNNTVVAPVTGDVSVSIPSLNNSHRNSHHNMVVPKTTQLETPGSSTLTETPSAVNFPNRSTQQKQNQQQQQSLFSKELNFSAYGFEGNGVKNGGNQHSHHSLKPESGEILSFGESKKSSYINTNENMSHFFSGQSQFVAAAPAAEENSKKRRSQTSRSSNDDGMLSFTSGVVLPASTSNMRSSGGGDSDHSDIEASIVKDPESTIVVEPEKRPRKRGRKPANGREEPLNHVEAERQRREKLNQRFYALRAVVPNVSKMDKASLLGDAISYITELKSKLQNLESDKDGLKKQLDTVKKELEKTTKDSDALPPLDKELKMLNSVGSGKLIDLDIDVKIIGWDAMVRIQCSKKNHPAARLMAALMELDLDVHHASVSVVNDLMIQQATVKMGSRFYTQEQLHVALSSKFGDGQ >OIV93890 pep chromosome:LupAngTanjil_v1.0:LG17:17468009:17468859:-1 gene:TanjilG_05593 transcript:OIV93890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNPSSTKLKHSSHCILTEMARLTILIALFAALVLVVHTSAFRSEQSYVRNSEESEELEQCCDQLNELNNQRCQYRKLQQIFENQSEQLERRQQEQQFEQELQKLPRTCGFGPLGNLY >OIV94222 pep chromosome:LupAngTanjil_v1.0:LG17:9688855:9690728:1 gene:TanjilG_09377 transcript:OIV94222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALWVFSVLFLLALLVHSSALYCICQDGVGTQALQKAIDYACGNGADCTSIQQNGPCYLPNTVKDHCNYAVNSYYQMEVSSGGTCDFSGVATTSSTPPSTASSGCVYPSSSSNGTTGTSPSLTPPTAITPDTGAGTPESPNFGTSPSSSTTNGATVVALESAYTKLLLPLLLTTAWLALRV >OIV94065 pep chromosome:LupAngTanjil_v1.0:LG17:13101350:13103210:1 gene:TanjilG_05445 transcript:OIV94065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNELQNGRENQDGADQGFITSYFPDLLDKPLFHPTLDGTKLDGIYRLPLGYQMDASYYYLKLRWSIPCGPNSVITFPGAPWLKPWYWWSWPVLPLGLQWHEQRRKTLGYGAEMAVILIQSTIYLGILAMARLARPSLSKLCYRRADKSISIMQNSLKLLAVWSIIAAYLTPFFIIPHTIHPLLGWLLYLFGTFTLCSIAINAFLLPMLPVLVPLFGIVGVLMVMAFPWYSDGVVRALCVFGYAFCATPVLWASMVRIMAGLQVSLEREGFMPRLGESSPPSWFNKLY >OIV93861 pep chromosome:LupAngTanjil_v1.0:LG17:20074832:20098784:-1 gene:TanjilG_06779 transcript:OIV93861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMEHASLLTDIIFRTVAIYDDRRSRKAVDDVIVKALSETIFMKTFAAALVQNMEKQLKFQSHVGCYRLLSWSCLLLSKSQFAAISKNALFRVASAQASLLNIIFQRSFRERRACKKKFFSLFSQSPDIYKVYIEELKSGRIPYKDSPDILLLLLEFSSQSPSSFGEFKPAFLDIYVTAILSAKEKPTKSLTEAFRPLYLQLSHDDFQNIVIPSSVKMLKRNPEIVLESVGILLKSVNLDLSKYAAEILSVVLAQARHADEGRRDGALAMVKSLSQKSSNPDALDTMFNAVKAVIKGSEGRLAFPYQRVGMVNAIQELSNAPDGKYIISLSNTIRDFLLLYYKEDGNEDVKIAILSAIASWAVRSPDMIQESLLSFLVSGLKEKETLRRGFLRSLHAICKNADSVLRMSPLFGPLVQLVKTGFTKAVQRLDGIYALLIVGKIAAVDIKAEETLVKEKLWSLISQNEPSLLPISMAAKLSIDDSMACIDLLEVLLVEHLQRTLSNFSVRLLLQLMIFFMCHLSWDIRRRAYNVARKIFASSPQLAEDIFFEFSKFLSLIGEKLLALRISDTDVSLDPQVPFLPSVEVLVKALLVMSPAALKLAPDSFVRIIFCSHHPCIVGSARRDAVWKRLFKCMETHGFDVADLVSANVVNFLEVFLGPSGLKSADQLEQQAAISSLCTLMSINPGDTYSEFEKACHLLNLSERTSHDALSENDVQIFHTPEGMLSTEQGVYVAESVAVTNTKQAKGRFRMYDVDDGLDHARTNHSVKRDQPSREVAGAGKRDTGKATKKPGKFCSFIDKGKTAKEEARELQLMEEASVRDRVHEIQKNLSLMLRSLGEMAMANSVFAHSKLPSMVKFVEPLLRSPIVGDEAFETMVKLSRCTASPLCNWALDISTALRLIVTDEVHLLLDLVPLVADEEIKDKSSFGLFERILDGLSTSCKSGALPVDSFSFVFPVLYHVLGVVPAYQASVGPALNELSLGLRPDEVASALYGVYAKDIHVRMACLNAVKCIPAVANRTLPKNVDVATNIWIALHDPEKSVAEVAEDVWDHYGLDFGTDFSGLYKALSHVNYNVRLAAAEGLAAALDEHSDSIQESLSTLFSLYIRDTSLGGDNLDAGWLGRQGIALALHSAADVLRTKDLPVVMTFLISRALADPNADVRGRMINAGILIIDKNGKDNVSLLFPIFENYLNKTAPDEEKYDLVREGVVIFTGALAKHLAKDDPKVHTVVDKLLDVLNTPSEAVQRAVSSCLSPLMQSKQDDAAALVNRLMDQLMKSDKYGERRGAAFGLAGVIKGFGLSSLKKHKIVIILQEALAERNSAKSREGALLGFECLCETLGRLFEPYVIQMLPLLLVSFSDQVVAVREAAECAARAMMSQLSAQGVKLVLPSLLKGLEDKAWRTKQSSVLLLGAMAYCAPQQLSQCLPKIVPKLTEVLTDTHPKVQSAGQMALQQVGSVIKNPEIAALVPTLLKGLSDPNEHTKYSLDILLQTTFINSIDSPSLALLVPIVHRGLRERSHDTKKRASQIVGNMCSLVTESKDMIPYIGLLLPEVKKVLVDPIPEVRSVAARAIGSLIGGMGEDNFPDLVPWLFETLKSDNSNVERSGAAQGLSEVLTALGIGYFEHVLPDVIRNCSHQKASVRDGYLTLFKYLPRSLGVQFQNYLPQVLPSILDGLADENESVRDAALGAGHVLVEHYATTSLPLLLPAVEDGIFNDNWRIRQSSVELLGDLLFKVAGTSGKALLEGGSDDEGSSTEAHGRAIIEVLGREKRNEILAALYMVRADVSLSVRQAALHVWKTIVANTPKTLREIMPVLMDTLIASLASSSSERRQVAGRSLGELVRKLGERVLPLIIPILSKGLSDPDSGRRQGVCVGLSEVMASAGKSQLLSFMNDLILTIRTALCDSVPEVRESAGVAFSTLYKDDKTSDTALDGLKQILSVRTSAVLPHILPKLVHLPLSAFNAHALGALAEVAGPGLNFHLGTVLPPLLLAMDDDDKEVQTLATEAAETVVLVIDEEGVESLMSELLKGVNDSQAAIRRSCAYLIGYFFKNSKLDLDDEAPNMISTLIILLSDPDSSTVTVAWEALSRVTSSVPKELLPSYIKIVRDAVSTSRDKERRKKKGGPIHIPGFCLPKALQPILPIFLQGLISGSAELREQAAIGLGELIEVTSEQSLKAFVIPITGPLIRIIGDRFPWQVKSAILSTLTIMIRKGGISLKPFLPQLQTTFVKCLQDSTRTIRSSAALALGKLSGLSTRVDPLVSDLLSTLQGSDGGVREAILTALKGVVKHAGKCVSSAVRDRTYSVLKDLIHHDDDKVRIYAASIMGILTQYLEDDQLTELIQELSNLAYSPGWSPRHGSILTISSFFLNNPASICSSSLFPAIVDCLRDTLKDEKFPLRETSTKALGRLLLYKTKTNPSDNVLYKDVLTLLVLSTHDDSSEVRRRALSAIKAVAKENPSAILSHGNIIGPALGECLKDATTPVRLAAERCAVHAFQLTKGSENVQAAQKYITGLDARRLAKLPEHSDDSGDSDEDNSNT >OIV93779 pep chromosome:LupAngTanjil_v1.0:LG17:21171140:21172528:-1 gene:TanjilG_07682 transcript:OIV93779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEEKLVEVLMVSLPFQGQLNPMLNLGKHLVSKGVRVTLATTEDGRQRMKQNLHNSNVQFEFFSDGLSLDFDRANKINELINSLHVKGSKIFSTLLTRLTKVHNYSCVIVNPFVPFAIDVIADHGIPCAMLWIQASALYSIYYRYYKNIDSFPNLEDPNEKVQLPSLPVFYVRDLPSFMLPLSRPHFGVYMNDLFQALDKVKCVLGTSFYEIEEEIVKSMDSLKPIYPIGPSVSPFLLGEKETNDFKADMWNAEDSCIEWLDNKQPSSVIYISFGSITVLSQKQMNNFASALKNSNKSFLWVIRTHGSNNSDASYLPLEFLEETKGRGLVVKWSPQEKVLMHPAVACFISHCGWNSTIESFVTGVPIICYPDWTDQRTNAILIDNMFQNGVNLKCDEDRVASTQEIERCIREVMEGPSSLKIKKRAMEIKELARKSLQEGGTSHQNLDKFFNDFIVSDTVKA >OIV94019 pep chromosome:LupAngTanjil_v1.0:LG17:14845348:14846830:1 gene:TanjilG_19380 transcript:OIV94019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESELRWEQNILINELIQGMEVAKQLKEDLKTPYSVDTMDMLVQRILSSYEKALLVLNASAPKPLNMSPGTATLLPDSLISFAGSPIRDDNDDGAIKGEKEVKTDSKKRKTTTKWIEQIRVSSESGVDGSHEDGYNWRKYGQKDILGTKYPRSYYRCTCRNTQGCQATKQVQRSDEDPTIFDITYRGKHTCSQGSNAPLIPKSIHEQEKPHNHNTYIHNANQPQESLAMLRNNLTVKTDNLGNEEMPCPCPSIFPSTSFGCMTQENHLLPMALDNYLFQTHLLSSTTTESNYFPSPSLQVNEFDWVYNRPRSEYDIPEIISTNTSATNSPIPEFNFSLDPVEINPNFPFNTSGFSP >OIV94357 pep chromosome:LupAngTanjil_v1.0:LG17:6319425:6322281:-1 gene:TanjilG_21697 transcript:OIV94357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSATLYSLSSLSLHTPSPFSLFPKPHKLTFFSLLPRTPSPLSFAAKSKPSTENETDTTGFDTANPEQDFPFDPPQPPEDFTPPPSIDDGPLETEDEISAAYEELYGPAYSGVSVLGNDIHVMDSKARKETGFGSKVKKEKIRDGFEERVVQVRRVTKVVKGGKQMRFRAVVVVGNKKGNVGVGVGKAKEVIAAVQKSAIDARRNIISVPTTKYSTFPHRADGDYGAAKVMLRPASPGTGVIAGGAVRIVLEMAGVDNALGKQLGSNNALNNARATVAAVQKMRQFREVAEQRGIPMEELWK >OIV93767 pep chromosome:LupAngTanjil_v1.0:LG17:21066547:21073549:-1 gene:TanjilG_07670 transcript:OIV93767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGSVNWETLDILIINFAKSENLIEDFSSSVQSSSPHSSSSYQLRLVIRHIRRSLESGDIDSAVDLLRLHAPSILDDHRILFRLHKQKFIELLRKGTVEDRDSAIDCLRIDLAPCALDAYPEAYEEFKHVLLAFIYDKDDTSSPVANEWSERRRFDLAGFMTSMLRAYLHAYDPIFSMSLRYLISIHRAYCLRQGITSPISDLTERLLLEERDPPATPQDTLYEVPPFDEVDIQALAHAVELTRQGAIDSLRFAKGDLDMAFQNELCRMRLDIPLLDQLVREYCVYRGIVDPAFGKQPIPEPVKFNQHDFGYCSSRNCSLDLDCNAGKHSDGETSVTNVHTDGSPENNADVTSMQGIDVEVRYTSETTSSHEDCSTSGLKQLRNPSVLQQRRFLGTGERSKRKRWRGRYDDSSYVADASLEDNNKQEHSISTVVSTLSKEKQGSEKTFVLEDCNLDDKFEILLGMKELASKGLAAEAIEEVNAIVPNFFAQSSLLLFQLKQVEFLKLVSCGDYDAALRVACSHLGPLAAKDAALLKPLKETLLALLRPNEDALGTALPLNALAASLQVAVGRRLGVEEPQLMKIMRATLYTHNEWFKLQMCKDRFEGLLRIDSLKEVNTPFLAPVSMSKSNGDSCTNGSSQATVSSVTKMSEDGSSPTQEISRDVICDEGAILKVMEFLALPRADAIHLLAQYNGNAETVIQQIFA >OIV94457 pep chromosome:LupAngTanjil_v1.0:LG17:4299571:4301110:-1 gene:TanjilG_25519 transcript:OIV94457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDVAKDLTAGTVGGAAQLITGHPFDTIKVKLQSQPIPLPGQPPKYSGAIDAVKQTIAAEGPRGLYKGMGAPLATVAALNAVLFSVRGQMEALLRSHPGAPLTISQQVVAGAGAGVAVSLLACPTELIKCRLQAQSALTSSATAAVTLKYGGPMDVAKQVLKSEGGIKGLFKGLVPTMAREIPGNAAMFGVYEALKQYLAGGSDTSGLGRGSLIVAGGLAGASFWVMVYPTDVVKSVIQVDDYKSPKFSGSVDAFRKIRSSEGFKGLYKGFAPAMARSIPANSACFLAYEITRSAL >OIV94474 pep chromosome:LupAngTanjil_v1.0:LG17:4554020:4555111:1 gene:TanjilG_25536 transcript:OIV94474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMSQHERPPKAAATTKDHHENNNQQGSNSGSKKSGTNTTRPQEHSLKCPRCDSPNTKFCYYNNYSLTQPRHFCKTCRRYWTKGGALRNVPIGGGCRKNKKVKSSRHSCDSKDSGGGTSSLEHHHHIGGGLKFLHSLSSPPIHDFQLGGLTLPFLHNPPIPTTNSIYNNQFSSFGDGSVTNAPSFNLDPSSGTTTPSSSKLLGLNYPFSGANAIQGMSSMNLQNPNLASSIESLSSINQDLHWKLQQQRLSMLFGGDNQKDGSNLEISHTQRPQPILFQNLENSNPETFPIGGSRNEGPSGGGGDIPTEWFFGNSYASVTTKTSTPTTSGGGHGHGNDNGNNWNDNVHAWGDVNVQQQYNSLP >OIV94392 pep chromosome:LupAngTanjil_v1.0:LG17:3559493:3564756:-1 gene:TanjilG_25454 transcript:OIV94392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVSGSVGGEVWKAHLAMALVQLFNGGYHVITKVALNVGINQLVFCVFRDLLALSILAPLAYFREKRTRPPITKSLLMSFFFLGLSGIFGNQLLFLIGLSYTNPTYASAIQPAIPVFTFVLAVMMGTERVNFLRYEGWAKVGGTLICVSGAILMVLYRGPALIGYTEIDHASLSEISARGQPEPTGWLIGGLEDLGLDHFHIGVLCLIGNCMCMATFLAIQTPVLKKYPSNLSVTAYSYFFGTVLMVAVSLFMTHESTNWCLTSSEILAVIYAGTIASALNYGLITWCNKILGPTLVALYNPLQPGFSTLLSLIFLGSPIYLGSIIGGSFIIAGLYTVTWASSRERNANAGVIPHHVSWVSESLIHEKNAYQKGHIFSGTSSMVSPKSLD >OIV94431 pep chromosome:LupAngTanjil_v1.0:LG17:3972840:3976208:1 gene:TanjilG_25493 transcript:OIV94431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVSSAIGDVLAVLPPSASLRLPEFRHLHGFAVSDTRSFLSSGFLKSCPSSCNIKHYNEFNNFRARGLAVRASADSSDNLVPFAPLQLESPVGQLLEQISQTHPHLLPATIDQQLENLQTAKDAKKEESSSSQDSLYKRIAEIKEKENRTALEEIMYCSIVHKFLEKNISMIPKISTTSDPTGQVDFWPNQELKLEAVHSAEAFEMIQSHLSLVLGERFVGPLQTIVQISKIKLGKLYAASIMYGYFLKRVDDRFQLERKMGTLPKDLGKLNVSYDEPSPANQLWDPESLIRIDAYNDGFGDEDYMDSGEGKSYRLRSYVMQLDAEMLQRLATIRSKEAISLIEKQTQALFGRPDIRVSNDGSIETSNDELLSLAFSGLTMLILEAVAFGSFLWDAENYVESKYPFLNN >OIV94945 pep chromosome:LupAngTanjil_v1.0:LG17:501220:505955:-1 gene:TanjilG_22142 transcript:OIV94945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDGSDELIPQHQFHPHSAFASVPHRPSTKNSSEKYSPKDWSSYFDKEDDLPIPDSNNVFHLYMAGTEGPVVFCLHGGGYSGLSFALAASKIKEKARVVAMDLRGHGKSVTDNELDLSVETMCNDVLAVIKQLYGDSPPAIIIVGHSMGGSIAVHVAARRSLSTLAGLVVVDVVEGTAMSSLIHMQKILSSRMQHFSSIEKAIEWNVRAGSLRNVDSARVSIPATLKYDDSKKCYVYRTELEKTEQYWKGWYEGLSDKFLSCPVPKLLLLAGTDRMDRSLTIGQMQGKFQMVVVRHTGHAIQEDVPDEFATLIITFISRNRIGPHGVEIPGLRKAAFSKP >OIV94706 pep chromosome:LupAngTanjil_v1.0:LG17:2417516:2418877:1 gene:TanjilG_25930 transcript:OIV94706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIASVADTLYTNLHKNDNGNVETSLSKLKPKLDSQSIVQVLSRCSHKESELGVRFFIWAGFQPGYRHSAYMYRKACNLLGVIQNPKIIRNVIDSYEAEGCLVTANMFREVLKMCKEAQLADVALWLLRRMDDFNLQPDTVMYNVVIRLCCKKGEIEMAEKLVEDMGLDGLFPDMITYMTLIEELCNVGRTENAYTLLKVMRVHGCTPNTVAFSAVLDGFCRSGSMERALELLDEMEKEGGNCSPNVVTYTSVIQSFCKRDQWTEACDILDRMKAFGCQPNHVTVFTLIESLCDEGLVEEAYRLVDKFVVEHGVSYGDCGSSLVIALIRIKRAEEAEKLFREMLAGEVKPTALSCSRMLKELCMKDRVLDGFYLLDAIEKKGYLSSIDSDIYSTLLVGLCQRSYLTESTKLARIMLKKSVPLGAPYRDSDIGFLRKHGEKDLVNQLTGKFKGL >OIV94532 pep chromosome:LupAngTanjil_v1.0:LG17:5237244:5238566:-1 gene:TanjilG_25594 transcript:OIV94532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSSFLFTLLLSCLLSSAIGAYKSPFIKSKPTTTLPPRLTPLNQNAPRVPPSNSNTPRVPPSNSYTPRVPPSNSYTPRVPPSNSYTPRVPPSNSYTPRVPPSNPNAPHSQTPDYGLDTSPQSTPDPYSYLNPYPPPPPSVEIIGLAVAKQYLHDFGYMTNSSYPFSDILDTETISAINTYQKFFMLEVTGQLDTQTLNQMFLPRCVVPDINLVYDLNSETSVSWPQGIRWFPNGTSTNRLTYGFLPESNIPLDFQMVFIDAFNRWSEAIAELNLAKLSFTETNYNTSDIKIGFYFLDNTVENVVAGTIMRYEDGSYNGNGNKVVGDIRLDASKYWILPGFNGMWSWLDGEFDLGTVAMHQIGHILGLSHSSIAKSVMYPSILTTNEIKVELTADDKNNILNVYREVSSPTISGSGGHFTPFGSCALLLINFSLGFILLLY >OIV94323 pep chromosome:LupAngTanjil_v1.0:LG17:6552527:6555645:-1 gene:TanjilG_19329 transcript:OIV94323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIFLLRRQKSSSKDETCKDNNRVLHEKSSRLIVSTTLTFTSSPDVKGGCLHGGNLNMTPVSKFRGVQVFTYKELEVATDGFNEANVIGNGGFGLMYRGVLSDGTLAAIKLLSREGKHGERAFRTEVDLLSRLHSPYLVELLGYCADQNHRLLIFEYMPNGTLHQYLHSSKNQTQPLDWWARMRIALDCARALELLHEHAVSPVIHRDFKSNNVLLDHNFRAKVSDFGLAKMGSEKMNGQVSTRVLGTTGYLAPEYASTGKLTTKSDVYSYGVVLLELLTGRVPVDIKRAPGEHVLVSWAIPRLTNREKVVEMVDPALLGQYSKKDLIQIAAIAAMCIQPEADYRPLMTDVVQSLIPLVRNLSSLRSSSSLRFQRQTPSPSH >OIV94302 pep chromosome:LupAngTanjil_v1.0:LG17:6872721:6873161:1 gene:TanjilG_19308 transcript:OIV94302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAPALEQVQCFGRKKTAVAVTYCKRGRGLIKINGSPIELVEPEILRFKAFEPILLLGKSRFAGVDMRIRVKGGGHTSQIYAIRQSIAKALVAFYQKYVDEQSKKEIKDILVRYDRTLLVADPRRCEPKKFGGRGARARFQKSYR >OIV94115 pep chromosome:LupAngTanjil_v1.0:LG17:12493681:12495434:1 gene:TanjilG_29215 transcript:OIV94115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRETDRTQIAYVTFKDSQGAETAVLLTGSKIGDLYVTIVLEENYQLPPEAIPISPTNQTAAAVQKAEDVMSTMLAKGFILGKDAVNKAKTFDERHHLSLNASSTVASIDRKIGLSDKLSIGTAIVNEKVREMDEKFLVSEKTKSAIAIAEQKASIAGSAIMSNPYVLTGASWMSSAFSAIAKAAGDVSMKTKEKVEQAELEKKEIIYNERKGTIDDFARVHFESLDVGPAVVPVNSGDDKKLEII >OIV94570 pep chromosome:LupAngTanjil_v1.0:LG17:5674791:5675753:-1 gene:TanjilG_25632 transcript:OIV94570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKASLKFREDQKPLLKAKVPLNILGIPFQSGVVSGHSKELTLNLATFFQSGPSIKFSYRPNDSGNPFSLILKTGTGSFGSPVSGSVVMSCEFNLQNRSGNGNVSPVFMLHFKPRFGDFSFKKSRSSIFEGKEGCDSMVMGSFSPENVNIFRTDSQAAGAIANFFSGAEVTARTSFPVMKRAVVNCRWGVRVPVEIKSGGGASKTTPGIAFQKSPFLVMDKIGVELMSGGDSKKVAAGVDSPVNDDVAEVYLTVKKHLEVLRGENGMLRNAVEDLRREIGHGDLELGKYRDFERNGGKNYDGKKNGKKLIEANKSEELKKA >OIV93800 pep chromosome:LupAngTanjil_v1.0:LG17:20493561:20501768:-1 gene:TanjilG_03763 transcript:OIV93800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSTQTFIFFIVFSYYGFQFHLAALFQFLLWELARALHLCGGSNKLGNLTSAFLFRFSPLLPGLSLSLSDAGYICISTVISVFVHEVGHAVAATSEGIQIEYIAIFMAVLFPGALVAFNYELLQSLPHFTALRMYSAGIWHNAVVMSSNPGSSLFADEACGLALFLLPLLLFPFYSSSHGPMVLNVPRTSPLSGFLVPGDVILSVDNVPIRNAQEWLEINTLTYDIKLNNVNNSQHTGDLWLANKGYCVPSVMMEESKITESLENQDACPSGLAAFVKVSCSVNITLDDGQSQTDNLNRKRNMYCLNAKDVVKLNKCGDHWGPVATNGSGCTCSQDEFCLAPVQEPGLVWVEITYSSPSPECSLQERIRSSVSETSGIKETNCGGTFIFVGDVISMAHSIQLTSYRPRLGLKFVAYLPNLLERILMWTFHVSLALAVLNGLPVYFLDGEYILEASLSHFTWLSPRKRKKVMSSNPGSSLFADEACGLALFLLPLLLFPFYSSSHGPMVLNVPRTSPLSGFLVPGDVILSVDNVPIRNAQEWLEINTLTYDIKLNNVNNSQHTGDLWLANKGYCVPSVMMEESKITESLENQDACPSGLAAFVKVSCSVNITLDDGQSQTDNLNRKRNMYCLNAKDVVKLNKCGDHWGPVATNGSGCTCSQDEFCLAPVQEPGLVWVEITYSSPSPECSLQERIRSSVSETSGIKETNCGGTFIFVGDVISMAHSIQLTSYRPRLGLKFVAYLPNLLERILMWTFHVSLALAVLNGLPVYFLDGEYILEASLSHFTWLSPRKRKKVLKLCLLGGSLISVIGFFQELL >OIV94577 pep chromosome:LupAngTanjil_v1.0:LG17:5761996:5765265:-1 gene:TanjilG_25639 transcript:OIV94577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAHAGANQRIARISAHLNPSNFKEGGDVVLKREECRAKGGAPGFKVAILGAAGGIGQPLALLMKINPLVSVLHLYDVVNSPGVTADVSHMDTGAVVRGFLGQAQLESALTGVDLVVIPAGVPRKPGMTRDDLFKINAGIVRTLCEGVAKSCPSAIVNLISNPVNSTVPIAAEVFKKAGIYDPKRLLGVTTLDVVRANTFVAEVLGVDPREVDVPVVGGHAGVTILPLLSQVKPPSSFSAEETEYLTNRIQNGGTEVVEAYAAAKFANACLRGLKGEAGVVECAFVDSQVTELPFFATKVRLGRGGAEEIHQLGPLNEYERIGLEKAKRELAESIQKGIDFIKK >OIV94024 pep chromosome:LupAngTanjil_v1.0:LG17:15002860:15006580:-1 gene:TanjilG_19385 transcript:OIV94024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIQNEISVSKFKRVCVFCGSSPGKKSSYQDAAIELGKELVSRNIDLVYGGGSIGLMGLVSQAVHDGGRHVIGVIPKTLMPRELTGETVGEVKAVADMHQRKAEMAKHSDAFIALPGGYGTLEELLEVITWAQLGIHDKPVGLVNVDGYFNSLLSFIDKAVEEGFISPNARHIIVSAPTATELVKKLEDYVPCHESVASKLSWQMEQQIAYPQEFDISR >OIV95007 pep chromosome:LupAngTanjil_v1.0:LG17:100933:102228:1 gene:TanjilG_22204 transcript:OIV95007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSDNIIAEIPSYITVYKDGRIDRPRQTPFVAASVEDPLNKQVSSKDIIISQNPLISARIYLPTFNNHNHLLPILVYFHGGGFFFESAFSQLYHNYFNSFVSQIHAIVVSVEYRLAPEHPLPVAYDDCWDALQWVASHSTNNIINSEPWLNNHADFNRIFIGGDSAGGNIVHNIAIRGGFEPLHGGVKILGAIYAQPYFCSSKPIGSEPVIGYEESLLYVVWDFVYPSAPGGIDNPLINPLAPEAPTLSGLGCSKILVCVASNDALRDRGVWYHEAVKESGWKGELELFEQQDEDHVYHIFHPESEHAKKLTKLMASFILE >OIV94164 pep chromosome:LupAngTanjil_v1.0:LG17:10474215:10477972:1 gene:TanjilG_13781 transcript:OIV94164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKSDFAQKLLDDLRLRKEQMGLSQRSNQSHQLPIDAYAYTKQTYRGSRKTKANEIVSSRTGNLLMLNKSGKSQRSPYTRQVSNQIVPFGKDQSSDMSIALAFAFENGAKLKSADSIMGFLNQMKRRTTEFGMRERPSNLDRQLTSTTHCHTLSPLQINEISKGAMKLNQILRACSTGLNMDTIQFAKELLQGAIDLEESLKMLADLQKSSEYMITPQKKNRIILLEDDSDDDRSIVISEQKQLARPIFSFDKPSKHTQNMQQVGKATYMQRPITVTYSKEGRNSNVETVVPHKRSTSSSYGNDISERKNQTMSVQSNTEKGRIPNVIARLMGLEKLPEEADSGYMQKIEGNHTAKGSTKKTELKNKRTENLLPAKNQRVIEALKMPATRDQKVTFGADKGIEKASIKMDSHNHSSSQKNLVRMSQKDVQVNGRKQDYTNNQEQKGTVMGRTNDPVLNDMREQVHERPQVKSSLQDEKEIIRYTIQSEKKHSNLHIIKNEKKSWNNLGVQKSYILSKNGLQEEKHLREQPRDESMLLEMTPQGGKEMESANQLVNPQKKQLSIKQNTSFKKNPGENVAPMKLENSHYDNRDEVINEASTVTNEKVKETTNRNPGIISSPRDREFVRVKGRHGIKKLMDEKHVHKLASTNIKNTRKQKVDMRGKIDQVLTRRNGITKERKKQITSLQERHRAPDKFNVLKEERVTMSEESDAHVIRSSNESVAEPLDVKSQPQKEAEIASMLYSSGGRELQRLQESVALVSNDLHYEDVQLLEANLQDQALPVATDEGFKAGEVAEHIINGIHEDRMGISNHSQLQDHSISEISIQQPLTDSENCLKWILVMSQLFINTAESLFRLNIPLSVLQNGGPANQDEDIKLILDCGYEVMKRTGIRQELKVHTCSNISISTVYIRSLDDLVRKLNNDMEKLKFYGKNRTLHIDVEDYLPKMLEDDVYDKDPDIDCMWDLGWNDETLAFIEKYDVIRDTEKHILSILLDEITGELFAC >OIV94322 pep chromosome:LupAngTanjil_v1.0:LG17:6593384:6596230:1 gene:TanjilG_19328 transcript:OIV94322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGAEAEGGAVRGGNIYWGRKDVTDFKGIVELRTTPCPVVFAAFSAGSKACMYKLFQLIDGGCEVPAYMRNYQLLRNCVPGHIYDSGPLDVISDFGFRFSLNPSVAKVPGPSKLVSWVAKSVASGLDSLYLTRFESLAAEHWQALYSSINYRAPFLILCSENDNLVRYQSIYNFSQRLRNLNGDVNLVNLSSSSHVGDYKAHPIQYRAVVSHLLEKAT >OIV94786 pep chromosome:LupAngTanjil_v1.0:LG17:1733607:1736250:-1 gene:TanjilG_12999 transcript:OIV94786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIYRVSYFMDEIWERAVETALDGETDHASVRTLTLDGAVKCVQGRLPPPSLLERFQNLGHLSVANIGVSSLEQFPRLSNLQKLILSDNRIAGGLEFLVQAGLDSLRDLDLSNNRIQFIEDLVPLAQLKLVSLDLYECPVTRVKDYRSRVFGLINSLKYLDKMDAEENERPESDDEDEEDEEEEDDPGSGEIDGEDRPLAMSNGHGEGVDGVVDVDEEEESEADEEEAETSRRVNGLNQENGFRMESVAWDEEDKDDDEEEEEEIDEEDGDDDEEDDGDDDVVEVHDIVDSDDDEDGVEFDEDDDEEEEVDNDDVEFVGPESTGLLTSVEGEIDGHEQGEDDEDEYDNGETGEDEIGVEYEGESEDDEEDDDEEEDYGAGYLVQPVGQAETVSAGAASVNGGDDQDEDDDDEEEEEEEVDDDGAEVLPPTSPNLKRKRGDDDGDDDGDEDDDSDEQ >OIV94916 pep chromosome:LupAngTanjil_v1.0:LG17:737994:738473:1 gene:TanjilG_22113 transcript:OIV94916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFPTGYPDFLIPKPFIYILTLLGFIRNQIFIFFRYLDFLEPDIVWPDPAPEFQSISTLLIQEMLPVVKFSDMEEPTAESCAVCLYEFEADEEIRRLTNCCHIFHKGCLDRWIGYDQRTCPMCRTPFIPYDMQSTFNERLWLASGVPEFNYQYPHITSF >OIV94506 pep chromosome:LupAngTanjil_v1.0:LG17:4935282:4939727:-1 gene:TanjilG_25568 transcript:OIV94506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSNLFKKFMFSEHFMAHRRKVLSGFSFGVAASLLFLTLLFLNSYLKVPNVHFFLHGSTTSRSNSSFSSWPFSFSSYPFSSSSVTNASSVNGVEEKGSDVGKISEVNVSKKGFENTHLGNFSVTHGNASLHGEQQRFPTQNLSDSTNGVVKNVTLTAANTSISDEVVTQKSMEGVLHEGDLVKKNSVTVIGHDDDSKKEKMHVGLNGKCDIFDGNWVRDESKPYYPLGSCPYIGRGFDCHLNGRPDSEYVKWKWQPNGRDIPSLNATDFLERLRGQKLVFVGDSLNRNMWDYNCSVDFVSSPFIVQESTFKGINGSFETLRLDLMDQTTSMYHDADIIVFNTGHWWAHKKTSKGKNYYQEGNHLYPKLKVLNAYTKALTTWAKWIDDNIDANRTQVIFRGYSVTHFRGGQWNSGGQCNKETEPIFNRTQLRKYSSKMRALDNVISKMKTPVIYMNISRLTDYRKDGHPSIYRMDYKTAEERVAAELHQDCSHWCLPGVPDTWNELLYASLLKYGKGSWKT >OIV93912 pep chromosome:LupAngTanjil_v1.0:LG17:17833111:17833524:1 gene:TanjilG_05615 transcript:OIV93912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTTTTNSTCKMAYIVALVLALAWYGASGQSPAPETATAPSPTTGSSGCFTALLNMSDCLSYVEDGSNLSKPEKGCCPELAGLVDSNPICLCELLGNPDSIGIKINLNKAIKLPSICAVTTPPVSTCSGNTTLITY >OIV93764 pep chromosome:LupAngTanjil_v1.0:LG17:21032652:21036935:-1 gene:TanjilG_07667 transcript:OIV93764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQAIKIFNFFVILSCTFLCAARYGSCSTNGMQNPTEEYDACEPFAKSYNLAVVGDTSLYYGSRTINGSFESVCPDNHSFCFRSTLSGFNHKLKCMKSTSVGDSGRQNNGPFCVWLAQDSKQGSNISWSSEYGLFRMLNGGVVSCSMNSKEVNDVSSLQTEDRKDDISSCGHSLLKQQTPHSPSKNFEMSKSSSYDGSSTPDVRIDPTVLDWGQKYLYSPSVAFLTVTNACNDSILHLYEPFSNDLQFYPCNFSEVSLGPGESVSICFVFFPRLPGMSSADLILQTSFGGFVVESKGYASESPFGIQPLSGLIVSPGGRLSKSFVLSNPYNETLHVEEITASISVSLGHNSDETEATCVNNFQVFDNSLFPTIRKLLVVKSCETGSPVVAIKPHRNSVIGPHSSETLMDIDITAGLEGRLFGTFCLHLRRSSQDKSDTIVVPIEAETGSHFGNDMAGVIVSAMLEGLASCEGGESVITISLRNNGPCVLSFVKALEVANTELFHMKYMDSMLLFPGTVTQVGLIYCNHLDTDLHDMPKVSDLRENCKLVILTNDSTSPQIEIPCEDILHICFEHQRRLSVEAEEKSKDIKSRNTGAGHEVRNVQPAPNVNVIETADVDELVLRNWKSQGTTDGMSVLADHEVLFPIVQVGSYVSRRITVKNPSQHGVMMQLILNSGEIIDECKGPDHLLHPSSSGLVLDEATTPTKYGFSISESAVTEAYVPPYGNVTFGPIIFYPSQPCGWSGSALIRNNLSGVEWIPLRGFGGLLSLVLLESSERIHNINFDFKMRKPLNFSLPYAFLHLKEITSACSKPLVKELYAKNTGDLPLEVKTVRVSGRECGLDGFKIHACRGFALLPGESTKLLISYQTDFSAAVVHRDLELVLASGIFLIPMKASLPYDVQSNCKKSIFWMRVKQWFLGFLLVASLILLVLCFIAPQTVPFSSVDYSCKSDEISIHTTIKRAGKSPLLPCNQRKSKLSMPSKMNNLFCSVEKDTNSTLQVPCDRYSYGQGKPSENEISQHLMQTSENHKQNSHLLDTPDERKSPSTGKEKSRRRKRKNSLGAKLASFSDVSSSQSGNSPSSPLSPAASSAKSNSPSSTDVEQPSPVAHRLITPAPASTVKTNVLEPKVPVKFCSNNKKVSSLQVPHSTNAATTTTTIQVKKPSATCPLADKTSTFSSTRESTVTVVAGGPGSIVVKQKDGAGKVGNRDEYAYDIWGDHLSWIHLLVPKNVTCMKSVPAGKNFESFFVEGPLTLITNSQQL >OIV94075 pep chromosome:LupAngTanjil_v1.0:LG17:13224166:13232534:-1 gene:TanjilG_05455 transcript:OIV94075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGVDYLALERNKAEFNVDAMKIVWAGSSHVLQVSERIAQLVASDPAFRKDNRPMLGRKELFKNTLRKATYAWKRITELRLNEEEASMLRTFVDEPAFTDLHWAMFVPAIKGQGTEEQQQKWLPLAQKMQIIGCYAQTELGHGSNVQGLETTATFDPKTDEFVIHSPTLTSSKWWPGGLGKVSTHAIVYARLIIDGQDYGVNGFIVQLRSLDDHLPLPGITVGDIGMKFGNGAYNTMDNGVLRFDNVRIPRDQMLMRISQVTREGKFVQSNVPRQLVYGTMVFVRQTIVAGASVALSRAVCIATRYSAVRRQFGSRNGGPETQVIDYKTQQARLFPLLASAYAFRFVGEWLNWLYTDVMQRLQAGDFSTLPEAHACTAGLKSVTTSVTADGIEECRKLCGGHGYLCSSGLPELFAAYVPTCTYEGDNVVLQLQVARHLIKTISQLGSGKKPVGTTAYLGRLEQLLQYHSDVQKAEEWLKPNVVLGAFEARAARKSVAVAQNLSKFSNPEEGFQELSADLVEAAVAHCQLIIVSKFIEKLQEDIPGKGVKQQLESLSSIYALSLLHKHLGDFLSTGCITPEQGDLANEQLRSLYSQVRPNAIALVDAFNHTDHYLGSVLGSYDGNVYPKLYAEAWKDPLNDSVVPDGYQEYIRPLLKQQLSNARL >OIV94804 pep chromosome:LupAngTanjil_v1.0:LG17:1596703:1598925:1 gene:TanjilG_22001 transcript:OIV94804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRSVFGKVKNVRRISVRGKWMSSGGTSVMSFGDGSEGALGIPTSSVGIGVDIYEPTPIPALPSTVVSVAAGHYHSLAVTSNGHLWAWGRNNEAQLGRGPTSRESWNEPKRVVGLEHVNVCGAFASGVVSAALGDDGSVWVWGKSKRGQLGLGKHVTEAIKPTKVEALSGENIAKVSFGWGHALARTMDGKLFGWGYSADGRIGKMGNEIETSPLDSTVGNNSQHSNSDLELAEKRVLEGMEKENNMPIIWEPCLVEELHGVQVVDIACGLDHSLILCRDGTLLSCGSNVYGQLGRGRLDLGIFPVDMKFSPVSIAAGLGHSLSICQLDGLSDVSLGITNIASWGWNQSSQLGRPGPGDGPALIDGLAGENPVIVSGGRAHSLALTSKGELWVWGSGKNGRLGLGSSVDEVEPYYLDSLEGFQILQAVSGFDHNLVLVAG >OIV94682 pep chromosome:LupAngTanjil_v1.0:LG17:2585172:2588882:1 gene:TanjilG_25906 transcript:OIV94682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWLARTIANSLKLDDEEDEEEDIHNNSDNLKFPQNKSESEPDPQSPSSSTSTPTARGVKEDLSELSKSISRQLWGVASFLAPPSDSDQNPPSQPSDLDSDPLLEPFDSKATDEDVIAGIRSDFEEISGRFRSGISKLSGNKTVSEFTKFASNFLQIGSDEGDSFDGVVGLTEEVLAFAGNIAMHPETWLDFPHPVDPDSDDFDLSDPQQEHALAVERLVPSLASLRMELCPGYISDDCFWKIYFVLLHPRLNKNDADILSTPQIVEARAMLSQALDKRSKENKGSDLSGNILSNEEEQHLSVPSSPQLESALLQTSAIEAAPSMVVSDVEMEKHPVQSTITHVLDNAVAKAAPENATDEQSSSGSANRFLHESLETYEDDADDWLKEDTSEMVGAGGTSVPTGDDEDVSFSDLEVDDDDVPTSHEKTTSGSDSSTKDSRDWVQLSRSPPNDVKPVESRHADSEHSSARNSDTKDSNDWLNVDDIDVM >OIV94687 pep chromosome:LupAngTanjil_v1.0:LG17:2546879:2552122:-1 gene:TanjilG_25911 transcript:OIV94687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAPKQKWTAEEEAALKAGVVKHGAGKWRTILTDPEFSSVLRMRSNVDLKDKWRNINVTAIWGSRQKAKLALKKSLPPPKIDNIHMSSSIVVHHAEEVAVTKASAVSGGTSSTSKEQISS >OIV94095 pep chromosome:LupAngTanjil_v1.0:LG17:13754867:13763918:1 gene:TanjilG_05475 transcript:OIV94095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGMYRERVGGSISKSEDRKRINEVLDKQLERSSPSTSRPIKDNRDNKSSLNSANISKNSNVSEESETDSEESDVSGSDGDDTSWISWFCNLRGNEFFCEVDDDYIQDDFNLCGLSSQVPYYDYALDLILDVESSHGDMFTEEQNELIESAAEMLYGLIHARYVLTSKGMAAMLDKYKNYDFGRCPRVYCSGQPCLPVGQSDIPRSSTVKIYCPRCEDLYYPRSKYQGNIDGAYFGTTFPHLFLMTYGQLKPQKPSQSYVPRVFGFKLHKP >OIV93870 pep chromosome:LupAngTanjil_v1.0:LG17:16520329:16530011:1 gene:TanjilG_05573 transcript:OIV93870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSRTASTHLQLESQIEESSKGQVTVDQPGSNLASHELSFVAMSDIGSDDTNHEKINSDLDAQHTEAELKESHHKVLALEVELENKNHCCGELEARCLELPLQFESLSKECLDHGNDQKDKPLQTMLAEDGTKSKVLKVSDGDSIATVIPSIIEPLEKILVLNRIKGHDDDSTDVNSLAIVPAKKKLGSGSLWKKILRRKKKSSNKKNTPSL >OIV94996 pep chromosome:LupAngTanjil_v1.0:LG17:161618:162727:1 gene:TanjilG_22193 transcript:OIV94996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFNLCFINSLTTIKVKDSDHDDTLHSASKYPSTLHLSPFFSDPNYSSTTRYDIKLVDCDAWGISSGLAQAWQGGRRDGAALPKVIDEQVLDSHHVESDLDFEEDIDNMRVRGNLLYKLERSSKEFEEYSLEFRGKKSSKKENVKEVKKAKTSPNVTSKTEKKLLRVQGRASLQSDLHKFNDMVQHEPLGGSKVGQLDTKSSNQRFMSATEVNPDSKVCQVTRSTHVISQLHEINGIPAENKRQRIPTFNQLTGPYHEPFCLDIFISNASVRACIIHRVTSKVVAVAHSISKDIKFDMASTKNRTTCAAVGAILAQRALADDIHDVTYTPRKGERLEGKLQIVLQSIINSGINVKVKIKQRPKKSFRHI >OIV94626 pep chromosome:LupAngTanjil_v1.0:LG17:3027091:3028671:-1 gene:TanjilG_25850 transcript:OIV94626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMQMSSAATVPAHKFQFQARCPSFRFICQSSSIKPPSTTDSTRLHLSNLNNILEKQNPLSIPTTKQQQQPIHNNESKDNNKKGRSMLENLNLGGLWPEMRAADEMSPGHLHRLQRLLSMTAEYSPRNVIGSRWMEYHGSNDWKGMLDPLDENLRREVIRYGEFVQAAYHAFHSDPAMSQTEPPKKTHHVALSDSSYKVTKSLYATSSIGLPKWVDDVAPSLSWMTQRSSWVGYVAVCEDRREIARMGRRDIVISLRGTSTCLEWAENARTHLIDISKNDSKAQGKPKVQCGFLNLYKTKGAHVPSLSESVVEEVKRLIDIYKGEKLSITVTGHSLGATLALLVADEISTCRPDVPPVAVFSFGGPRVGNKAFGNRITAKNVKVLRIVNSQDVITRVPGIFVSEELEQKIKNSKVNGVVDMLEQNTPLGYSHVGTELRVNTKMSPYLKPDADMACCHDLEAYLHLVDGFIASNCPFRSNAKRSLARLMQDQSSNVKKLYISKAKGLTVNFKRQESMSMPTCLPSPS >OIV94206 pep chromosome:LupAngTanjil_v1.0:LG17:10316454:10322533:-1 gene:TanjilG_28145 transcript:OIV94206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPKDHIEDIRRKKFSIGGELNPLAEDLHQSVKNLSAELYAKDVHFLMELIQNAEDNQYLEGVSPTLEFIITSKDITTTGASATLLIFNNEKGFSPRNIESICSVGRSTKKGNRSSGYIGEKGIGFKSVFLVTAQPYIFSNGYQMRLNEKPCPHCGLGYIVPEWVEENPTLLDIKQIYGDTLPTTTIILPLKADKVQPVKQQLSSIHPEVLLFLSKIKHLSIREDNEDPNLNTVTAVAISSEVNYMTRKNMNAESYTLRLSAEEKDDSGKECSYHMWKQRFPVRVENVVERRVDVEEWVITLAFPCQERLHRGMSLPGVYAFLPTEMVTNFPFIIQADFVLASSRETILLDDKWNRGILECVPSAFMDAFKTLVIASDQAPISNLPPMFKFLPIDSSPYEKLNDVREKIKEKMLEENIVPIETYSEQKHFYKPREVGRLLPEFWNILTKARAQGVYLLNLSSHDGRKILSSAFDINEYDHILNFLGVQQVNVDWYAKCIQSSNLVDGVSEDVYLELLLFVSTNWSRFRGTNMMSIPLIKYVGSDGSMAHFSLDECIQHHGAKRVILADPNQSCPCSWLIDWNKEFGCASNQFFMPEISQKAIQLFPRKQILLEWLQNQVNVATSNIYTFASAICSSIRSNRRLAIAYAHFLYQSYSKCYLLNREVDGLCSCLPLVDNYGHVTDSRKGVLVPANVSKWADLIVSNPWRSEGYVELGEEYLHPCHYAGQYTRNGELIEFLKTHVDASDIPHISPPNAGFSAVDTRLTKDNAFLLLDWIRNLKYKGTRLPQRFLKCIKEGSWLKVTVNGWRPPSQSFLIRSSLGKILQNGSVLVDIPLIDESFYDDRINEYEEELKTIGVMFSYEEACEFIGRELMSRAASFTLSRSHILLMLNFIQYLRKSLLPLDKFVNSIRQGSWLKTSHGPKSPVGSVLYDSGWRVASQISDIPFIDEAYFGDEIFQFQEELKLLGVIVGFGGNYQVVIDHLKSPSNLVSLADEALLLILECIQFSRTSSKLINSLSGTNCFKTNMGFKAPGECFMYDPVWGCILEVFNGLPVIDHKFYGEKIFTFKDELKRMKVVVDFEEAIKKFAGLFKQMASQTSFNQQHVYSFLSCCRRLKGTQYRFPSDFSIIIHNQKWLLTRVGDYRCPGHCILYGPDWKSLSPITLLPFIDDTDTCYGEAIHEYKEELKSTGVVTEFKDGVKFVPKCLKFPSDPSTITPENVISLLECIRLLIKENNPSLDVDFTKRLSKNWLKTHAGYRPPDKCLLFDSKSSSYLKATDGPFIDENFYGPNIATYKKELNAIGVTVDIEKGCSLVASHLDFHSDYDTIVQIYRYLSEHNWKPEDQSAKKIWIPDGNKDGKWVNPEECAIHDQDNLFGAKFYVLEGFYDKKILPFFSFAMDARNKPSVDDYVDLWSDWESSVEQLSLDKCYKFWMFILQHWSIKTENKLSDSLKKLPATSGNSEIFLLDKEGVFIADNLHLMKLFEGEKVFVWYPRQNLAPLDRCKLFDIYRKIGAQNISESLCKEESSLLTSFQLNQVDPSNVFNLKGLVKLILGFLACSSLNMDVDKRHEAVQGLLNLKLFESMEPITVSYSLSLSSGDILTKQANRMVRWEKESSKFFTQKMDWLSGNSSLIKYATYFSEAISEGVLCENYDHVAELSELVKLAFVLKFNNEEIEFLMESKNLQIFCEDEEFLSSAFPSS >OIV94529 pep chromosome:LupAngTanjil_v1.0:LG17:5196651:5197019:1 gene:TanjilG_25591 transcript:OIV94529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFITKLPNSNGFTVIMVVIDRLTKYSHFVAMKSDYSSKSVADAFMLHIVKLHGVPKSFISDRDKVFTSGFWQQLFKLQGTTLAMSSAYHPQTDGQLRLSISVWRCIYGVLPLIIQKPGLNF >OIV95015 pep chromosome:LupAngTanjil_v1.0:LG17:51109:53283:-1 gene:TanjilG_22212 transcript:OIV95015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQQSLLDKPGKSVSKTLLLVLSVATILSSSLFIASYLMKPTSSYFNLSSPHYACEHAIDKASCLAHCSEVAQAQGQKLNLLQSILIKSTSDMHKALHNTNHVKLRINSPKEQAALVDCEELMDMSMNRVWDSIMALSKNTTSSIQDAHSWLSSVLTNHATCLDGLEGSARSLMEAELEDLISRSRTSLALLVAILPPNPNGEGLIDEPLNGGFPSWVTSNDRRLLESSVEAITANVVVAKDGSGKFKTVAEAVASAPDNGKTRYVIYVKKGTYKENVEISGKKTNVMLVGDGMDATLITASLNFVDGTTTFKSATVAAVGDGFIAQDIWFQNTAGAAKHQAVALRVGADQSVINRCRIDAFQDTLYAHSNRQFYRDSVITGTVDFIFGNAAVVFQNSKLVARKPLSNQKNMVTAQGREDPNQNTGTSIQKCEVTPSSDLKPVVGSIKTYLGRPWKKYSRTVVLQSTIDSHVDPSGWAEWDAASKDFLDTLYYGEYMNSGAGAGTSNRVKWKGYHVINNAAEANKFTVKQLIQGDVWLKNTGVTFTEGL >OIV94147 pep chromosome:LupAngTanjil_v1.0:LG17:11844682:11844834:-1 gene:TanjilG_31572 transcript:OIV94147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTGRKEASERVRWLRTKTSDRVNSREALKMKWMLRSIATEKREEIIGTV >OIV93748 pep chromosome:LupAngTanjil_v1.0:LG17:20923301:20925653:-1 gene:TanjilG_07651 transcript:OIV93748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAESSEGEEEGKVTGGNQILMIDDDLREMGKKAAWSVSSCKPGNGVISLRDDNLETYWQSDGAQPHLVNIQFQKKVRLQLIVLYVDFKLDESYTPSKISIRAGDGFHNLKEIKTVELVKPTGWVYLSLSGADPRETFVNTFMLQIAVLSNHLNGRDTHVRQIKIYGPRPRCKAQKGDLWPTTVMVATGPCSTNRPRIHVWKTRSCPYPWPVHVLANFQNNFVYFSHRISGWNGPWS >OIV93763 pep chromosome:LupAngTanjil_v1.0:LG17:21026117:21029853:1 gene:TanjilG_07666 transcript:OIV93763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNPKCFLDISIGGELEGRIVIELFKDVVPKTAENFRALCTGEKGIGPNTNVPLHFKGSCFHRVVKGFMIQGGDISARNGTGGESIYGLKFEDENFEVKHERKGILSMANSGPNTNGSQFFITTTRTPHLDGKHVVFGKVIKGMGVVRSVEHIVTGEGDSPTQEVIIADCGELPEGEDDGTINFFKDGDTFPDWPADLEVKPDETSWWMNAVDSIKSFGNDHYKKQDFKMALRKYRKALRYLDISWEKEDIDQEKSAALRKTKSQIFTNSSVCKLKLGDNEGALLDADFALHDGDTAKALFRKGQAYMALNDLDNALESFKKALDLEPNDGGIKKEFAAAKKKVADRRDQEKKAYSKMFK >OIV94440 pep chromosome:LupAngTanjil_v1.0:LG17:4076983:4081217:-1 gene:TanjilG_25502 transcript:OIV94440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKEVRNGLEYLKRKRLQRAKAVTATDTSIANMMNRSGGDGLRASASCGIGLHGNADVFSKRKVDKFNTKDLEWTDKIPECPVYSPTKEEFDDPLVYLQKIAPEACKYGICKIISPLSASVPAGVVLMKEKAGFKFTTRVQPLRLAEWDNEDKVTFFKSGRNYTLRDYEKMANQVFARRYCSAGCLPATYLEKEFWNEIGCGNMESVEYACDVDGSAFSSSPRDQLGNSKWNLKKLSRLPKSILRLLEMSIPGVTEPMLYIGMLFSMFAWHVEDHYLYSINYQHCGASKTWYGIPGHAALEFERVVRERVYTNDILSTDGEDGTFDVLLGKTTLFPPNILMEHEVPVYKAVQKPGEFIITFPRAYHAGFSHGFNCGEAVNFAIGDWFPLGVIASRRYALLNRVPLLPHEELLCKEAMLLYSFLEVEESDFPSPDLLSRKCIKIAFVNLMRFQHCASWLLMKSRAYISVSSHSHGTILCTLCKRDCYIAYVDCNCHMHPVCLHHDIESLELTCGSKYTLYIREDIMDMEAAAKMFEQEDGALNEIQSDQNLYSYSKSSMFQRAEANGYTPYCELKLDSVIEFYASPEQSASIEECGTQSQPVFGHCSENLKPEVEPLQSSSTLKHAEGHANSAFRTVDSEEFGDRIPNSACESLLSPAQQYHERMCKQPGDLHRFVTKPTMCDEHDDSDSEMFRVKRPSSLKVERRSANHAMSSKRTKQQGLKRLKKVLPEGRSRLPTDSSRTNESKNKYSHPVNHKVGGEISSTNKLSSGNGIPLSIRYKKLGNEELSKQRDHPRKERLQQTFKEPNSIEIGPKRLKVRGPSFLGLESKSI >OIV93945 pep chromosome:LupAngTanjil_v1.0:LG17:18456573:18458221:1 gene:TanjilG_05648 transcript:OIV93945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRVIRAQRKGAGSIFKSHTHHRKGPARFRSLDFGERNGYLKGVVTDVIHDPGRGAPLAKVTFRHPFRYKKQNELFVAAEGLYTGQFIYCGKKANLVVGNVLPVRSIPEGAVICNVEHHVGDRGVFARCSGDYAIVISHNPDNDTSRIKLPSGAKKIVPSDCRAMIGQVAGGGRTEKPLLKAGNAYHKFRVKRNCWPKVRGVAMNPVEHPHGGGNHQHIGHASTVRRDAPPGQKVGLIAAKRTGRLRGQAASAASKADKA >OIV94988 pep chromosome:LupAngTanjil_v1.0:LG17:199792:204640:1 gene:TanjilG_22185 transcript:OIV94988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSESEEVRTPKRELEAVGALNSKMKGAGNQSSKDMIFRADKIDLKSLDAQLEKHLSRVWSRSSIDTKRPKEEWEIDLSKLDLRYIVAHGAYGTVYRGTYDTRDVAVKVLDWGEDGVATAAETAALRASFKQEVAVWHKLDHPNVTKFVGASMGTSNLKIPSKNSSNVAQDSLPSRACCVIVDFVPGGTLKQYLIRNRRKKLAYKIVIQLALDLSRGLSYLHSKKIVHRDVKTENMLLDGNRNLKIADFGVARVEAMNPSDMTGETGTLGYMAPEVLDGKPYNRRCDVYSFGICLWEIYCCDMPYPDLSFADVSSAVVRQNLRPEMPRCCPSALVNIMRKCWDGNPNKRPEMVEVVRMLEALDTSKGGGMIPEDQASEIPCWVNFSDSSIPSSDHDDFVIPSLAPWLHAHLFNPSQPLNPTTLYQSSNLDHNVQSLTTLLTKQRYSSFQELAQALDTHAFQVSDGLVQQILKRFNNDLIPVYGFFKWAKSQTGYVHSPELYNYMVDILGKMKRYDLMWELIEEMARLEKGYVTLDTMVKVMRRLAKNHKHEEAIQVFRRMGDDYGVGKDTKALNVLMDALVKGDSIEHAHNVLLEFKTSVPLSSLSFNILVHGWCKLRKFDMALKTMEDMKEHGFNPDVFSYTSLIEAHCRDKDFRKVYQVLEEMKKNGCNPNAVTYTNMMLALGKAGHLSKAMELYEKMKIDGIVADTPFYSALIFNLGKAGRLKDACDIFDDMPKQGLVRDVVTYNTMISTACLHSKEETALRLLKEMGKVSCKPNLETYHPLLKMCCKKKRMKVLKFLLVHMTQNDLSPDVGTYSLLVRGLCKSGKLDGACSFFEDMVLQGLTPKDSTLKLLVAELESKGMVKEKAHVESLMARVAEKHTVLA >OIV93962 pep chromosome:LupAngTanjil_v1.0:LG17:19037605:19039864:-1 gene:TanjilG_05665 transcript:OIV93962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDREEEGGHTNPETGTETETPISEIESSGLNQSEIVKAIEVAERDSLAIAESFTSLFASLRLALSNSTTTTVGHMHCFADATGRLQESVLDAATKGNRYINSCLRLNEEMKNIDSLASQLKILRRHVDALDSAVNKLLHVS >OIV94528 pep chromosome:LupAngTanjil_v1.0:LG17:5180204:5187260:-1 gene:TanjilG_25590 transcript:OIV94528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMGAVIEDRLSKRVTHVFAMDSDTLFNEFDKERLSRFKGTALQYQWLEDSLKSGEKLCQDMYVLRLDPQGEHIPDKSLDPEPGNENISSDAQQLQNKKMKTASEDTEIVNLKNNKDRRENGPFSSTSMSTSHGEVDNLSYANTRPQHLDTENDSSLSYCPPDLNKNITEIFGKLVNIYRALGDDRRSFSYYKAIAVIEKLPFKIENADQIKDLPSIGKSMKDHIQEIITTEKLSKLEHFETDEKVRTISLFGEVWGIGPATALKLYEKGHRTLDDLRNDNSLTNAQMLGLKYFDDIMQRIPRDEVQEMEHILQKVGEDVLPGVVIVCGGSYRRGKATCGDIDIIITHPDGTSHKGFLPKFVKQLKDMNFVREDLIFSTHSEEGTDSGVDTYFGFCTYPGRELRHRIDLKVYPRDIYAFGLVAWTGNDVLNRRLRLLAESKGFKLDDTGLFPSTQGSGGKRTASLIGVGTTQSELKAEYGGGKYDRTRNAAAESFVTMRLTYPNSEESGRKW >OIV94644 pep chromosome:LupAngTanjil_v1.0:LG17:2922358:2928493:1 gene:TanjilG_25868 transcript:OIV94644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHCVSARTRSKRSLLHNLKQSTTTNNNHVSVSEVVGLSSDNDEEEYETVIDYGEEEEEATEFSAIENDDDGEVVSDYKEEDNEVHIVKGVKNEVKCGNVEKKEGKRGRGRPKRKEGEGEVGGLRKRRMLGLDILIDENNSQENKCAAKRTRSHFLSKNKLKFGTIAKPICIDDNDEENEEEKESDFVHHTHEEEASDSKSKEEKASGSESDEQYSRGFKRKGHACVHNKGKRHKRQGFDVVKILKDSIYGKGEMVLKARDKEQNPCTDLPIKFSFLHKGPKEAEKSEEALEPTWAKIESGLDGTPDSYEEEYESSALPKKKHTSSKFSFSHKDSKATQISEEENGLEPIWDEMEHGLNDTPNSWVSCHKREASTCCRKKRHVHSDPNNIGVLNNVLQDYIYAEGEVPMEEPINNELNPNKELLPTFPFMYVKPKSPEKSDEEKELDALWAQMDLALYATQEKISSPASQKVQNDFDSDNDQCPKDEVSIATTCRQGKHHLILNDEIGLVCAYCLHVGLDIKDYLPPFSENPFGKSNRRESYIKDHAHHSVFNDFQDRVTGYDDGDDNLCDYTETVWAIMPGLKKNLYPHQCEAFEFLWKNLAGGIFLDQLKKQEDFDGGGCIISHAPGTGKTRLTITFLQAYMKLYPKCRSMIIAPKGMLLTWEEEFRKWNVDIPFHNLNNLDYSGKESEVAMNLVTGSGCNISSRLLKLYSWKCNKSILGISYKLFEQLTRQDCSDKELRKFLLEHAGLLVLDEGHTPRNSRSLIWKAVSQLRTKKRIILSGTPFQNNFDELYNTLCLARPKFSDWNPSRGKEVFKDKPGRPRKEYVGKWRHLTKSFDKVTDYSRKFKIAKEVRNMIHSFVHVHKGTILQESLPGMKEFVVILKPTRLQKELLEEIQRKRKRLSHGNQAPLNVMKIEYEESVTAVHPALFDLSEGKGKFERLRLNPVESSKTIFLMELIRLSELVNEKVLVFCQYIDPLKLMASQLKHHFSWTEGREVLHMHGQVEAKLRQASINSFNNPNSKVRVMLASTKACYEGISLVGASRVVLLDVVWNPSVERQAISRAYRLGQKKYVYTYHLITAGTREEEKICRQAEKDQLSELMFFNSDGDQQHHEKESSAELEDQILEEMVQQQKFKHTKRTKKAGIVGKYGTRYGASLRKQIKKMEVSQHSKFFCEFCGKYAVKRKAVGIWGCKDCGKVKAGGAYTLNTASAVTVRSTIRRLREQTEG >OIV94885 pep chromosome:LupAngTanjil_v1.0:LG17:952890:954466:1 gene:TanjilG_22082 transcript:OIV94885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNPKHPSGDSSTNDGKSPPSSPQNPPPPPSADDTNLVDLSLTIQNTNNNSGGRDGKEPKIMKTALEVLAELASDESPNDDGGGDGGMGQSGGIGASMAVAVGGAGGSMVVVQGSVGAGRDQGRASGKRRKVSDVKDPPSGKPTCPLCHKEFQSWKGAFGHMRKHPERQYRGFHKPPSFSTPLSLPAGAGEGSRTGEDRAAATTQPPSGGVLFDLNQPVTDVSESSNAADQRNEEALVSRPVAEEKNLRFDLNALPSDEDDNEDN >OIV94874 pep chromosome:LupAngTanjil_v1.0:LG17:1043496:1044353:1 gene:TanjilG_22071 transcript:OIV94874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANKYHARSNSFPSASHPSTLRVEEELNNLKNCEVTFTSTSGSIGNALFLIQDLYVCLDDLLNLPSTQKLISHYKGERCVEELLDGSVRLLDICSITRETMLQIKENVQALHSALRRRKSDSSIEKSVAEYNFCTKKVKKSAKKLITSLKQIDSKFGVSPLLSQDQDLAALIRVLREVIAMNMSIFQTLLSFLVFPSSKSKVTKWLIVSKLMQKGENSENSNELQCVDAALSTLLKDGTNVDKMQAARQKLEALEDATESLENNLESVFRLLIKTRASLLNIMTQ >OIV94303 pep chromosome:LupAngTanjil_v1.0:LG17:6858826:6860300:1 gene:TanjilG_19309 transcript:OIV94303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANNGEQNQTEAGRHQEVGHKSLLQSDALYQYILETSVLPREHEAMKELREITAKHPWNIMTTSADEGQFLNMLLKLINAKNTMEIGVYTGYSLLATALAIPQDGKILAMDVNKENYELGLPVIKKAGVDHKIDFREGPALPVLDELIKDEKNHGSYDFIFVDADKDNYLNYHKRLIELVKVGGVIGYDNTLWNGSVVAPHDAPLRKYVRYYRDFVLELNKALAVDPRIEITMLPVGDGITICRRIK >OIV94875 pep chromosome:LupAngTanjil_v1.0:LG17:1042186:1042647:1 gene:TanjilG_22072 transcript:OIV94875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANKYHARSNSFPSASHPSTLRVEEELNNLKNCEVTFTSTSGSIGNALFLIQDLYVCLDDLLNLPSTQKLISHYKGERCVEELLDGSVRLLDICSITRETMLQIKENVQALHSALRRRKSDSSIEKSVAEYNFCTKKVKKSAKKLITSLKQIV >OIV93778 pep chromosome:LupAngTanjil_v1.0:LG17:21165641:21167026:-1 gene:TanjilG_07681 transcript:OIV93778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEENTLEVLILSLPFQGHLNPMLNLGKHLISKGVHVTFAVTEDGRHHMKQNLHNSKIQFEFFSNGLSLDFDRSNIDVLINSLNVKGSKNFSTLLTTLTKVHNYSCVIINPFVPFAIDVIADHGIPCAMLWIQASAVYSIYYRYYKNIDYFPNLEDPNEKVNLPSLPVFDVRDLPSFILPSSPRYYTVYMNDLFKALDKVKWVLDLPLEFLEETKGRGLVVKWSPQEKVLMHPGVACFVSHCGWNSMIETLITGVSVICYPEWSDQRTNAKLIENVFQNGVNLKCDEDRVASTEEIERCIREVMEGPSALKIKKRAIEIKESARKSLQEGGTSHQNLDKFVNDLTETNTVKA >OIV94559 pep chromosome:LupAngTanjil_v1.0:LG17:5582084:5582446:1 gene:TanjilG_25621 transcript:OIV94559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSTVVLMLVSALFLSATVAQSPASAPAASPTKSPVSVSPSAPVVSGPSPSAAVKSPPSPPPATSDTPAGAPSASPSSISEPPSSHAPAEAPGKNGAVSNGFTIAGSIAVFGFTAALMM >OIV94159 pep chromosome:LupAngTanjil_v1.0:LG17:11317559:11321457:1 gene:TanjilG_03609 transcript:OIV94159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERNTGEVMMLEYTASHHQKPNAEIIDALPYIDDDYGDPRVKQDVDRLIEEEMRRSTKKPADFLKDLPSLPNFNFQDYPMIAREHERVRAGRPPVSLDRSRYELEMPPVNKRNDETAWKQAVQRAQRLLQYQIMRMENLDLLLKYGPDAWKQHNQRLEVYLSRMQKLAQEQNEKIEKVNRERKYHQQNTAYELNALSMQWKELCQKNIDIQAACASVEAQLKELKLEATERGWNLEAITENGQLMNSD >OIV94785 pep chromosome:LupAngTanjil_v1.0:LG17:1738250:1741458:-1 gene:TanjilG_12998 transcript:OIV94785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCPYCSAAQGRCATTTSGKSITECSSCGRVVEERQSHPHHVFHTRAQDNPLCLVTSDLPLPTLQPFQDNNHDDEDPFEPTGFITSFSTWSLEPAPLFLQSSLSFSGHLAELERILESTSSSSSSSSSTVVVDNLRAYMQIIDVASILGLDCDISDHAFQLFRDCCSATCLRNRSVEALATAALVQAIREAQEPRTLQEISIAANVQQKEIGKYIKILGEALQLSQPINSNSISVHMPRFCTLLQLNKSAQELATHIGEVVINKCFCTRRNPISISAAAIYLACQLEDKRKTQAEICKITGLTEVTLRKVYKELLENWDDLLPSNYTPAVPPERAFPTTLIPSGRSSTTKVDAVEIISLDTEKLPEIKPSKTNEVSVMVHQSRGKGEADGNSNARATHSTVNQQSTFWQSQLPSGTNSHQNVLQGMDIDGLQLNHHQLEHMAEDTNGTASVSSLKSSQFGSPLASGASSVMRPFSVSSSPGSNVRFVHPPKIMPGYPEH >OIV94092 pep chromosome:LupAngTanjil_v1.0:LG17:13622129:13626092:-1 gene:TanjilG_05472 transcript:OIV94092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNALAATNRNFQRAARILGLDSKLQTSLLIPFREIKVECTIPKDDGSLVSYVGFRVQHDNARGPMKGGIRYHPEVDPDEVNALAQLMTWKTAVANIPYGGAKGGIGCNPGDLSITELERLTRVFTQKIHDLIGVQRDVPAPDMGTNSQTMAWILDEYSKFHGHSPGVVTGKPIDLGGSLGREAATGLGMIFAIEALFAEYGKSIADHTFVIQGFGNVGIWAAKSIYDRGGKVIAVSDITGAIKNPNGIDISTLLKHKDNNGTLKDFSGGEAMDPNELLVHECDVLIPCALGGVLNKENAADVKAKFIVEGANHPTDPEADEILSNKGVIILPDIYANSGGVTVSYFEWVQNIQGFMWEEEKVNHELKKYMTNAFQDIKKMCKTHNCDLRMGAFTLGLNRVAHATLLRGWEA >OIV94590 pep chromosome:LupAngTanjil_v1.0:LG17:5850534:5851649:-1 gene:TanjilG_25652 transcript:OIV94590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGHVEKLAEEIKKGAASVEGVEAKLWQVPEILPEEVLGKMGAPPKSDVPIITPNELPEADGLLFGFPTRFGLMAAQFKAFMDATGGLWRTQALAGKPAGLFYSTGSQGGGQETTPLTSITQLVHHGLIFVPIGYTFGAGMFEMEAVKGGSPYGAGTFAGDGSRQPTELELAQAFHQGKYFAGIAKKLKASA >OIV94316 pep chromosome:LupAngTanjil_v1.0:LG17:6679549:6682233:-1 gene:TanjilG_19322 transcript:OIV94316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHLCIHAGYFIDCGGTKEVKVDNILYTPDESYISVGNTTTINEPNILPTLTTLRYFPDASAKKYCYSLPVIKGSKYIVKTIYYYGGFDGGKQPPVFDQVIEGTRWSIVNTTEDYAKGLSSYYEVVVKSFGKTLSVCLAKNADTGSSSPFISALEVKSLDDSLYNPIDFTKYALVTVARHTFGGDHIISYPDDKFDRMWQPFKDQNPAVGSHSNVTSSDFWNLPPAIAFTNGITTSRGKTLEIQWPHVSLPSTYYYISLYFQDNRSPSPYSWRVFNVSINDHTFFTGLNASAKGVTVYSSQWPLSGLTKLTMTPAAGEPVGPVINAGEIFQILPISGRTQTKDVIAMMDFARSIRNPPSDWNGDPCLPKGNSWTGVSCSQHDLVARVTTVNLTNVGLDGSLPATIGNLTSLVHLWLGGNKISGTLPDMSGLHELQTLHLENNKLEGPIPPSLKKLPKLHEMLAQEIFDINHRMLGK >OIV94212 pep chromosome:LupAngTanjil_v1.0:LG17:10405019:10406660:-1 gene:TanjilG_28151 transcript:OIV94212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNLALDLEELRHLEGIAKRPRTLSLLSSEIRILEKLSSDASSARAPQIPAPISTGTRVTNAPALKYATLASFSWDQDSDKVKIYVSWEGVDESKIESEFKPISFDVKFHDVQGKNYRCAIPKLNKEIVPEKCKILVKPKRVVIILIKASKGNWLDLHFKEDKVKPSLDKEKDPMAGIMGLMKNMYEEGDEEMKKTIAKAWTDARSGKTTDPLSSYR >OIV93850 pep chromosome:LupAngTanjil_v1.0:LG17:20262594:20264700:1 gene:TanjilG_13865 transcript:OIV93850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKEKEKEKESKDASNSMKKTVHWSPELDKTESNSQQSRFNLIQTVVTVRNVVGRWGRKVAETTRKAESLAGNTWQHLKTSPSFSEAAMGRIAQGTKVLAEGGYDKIFLHTFETVPEEQLQNSYACYLSTSAGPVMGVLYISTLKVAYSSDSPISYKSQDKTEWSYYKVIIPLHELKAANPSSNNTNPAEKYIQVITVDNHEFWFMGFLNYDGAVETMKEALEAGKSLQTVA >OIV94929 pep chromosome:LupAngTanjil_v1.0:LG17:625026:629447:-1 gene:TanjilG_22126 transcript:OIV94929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSRGSQNRLSGYSSFGSPLYALLLALFATVATLYVAGRLWQDAESRVYLLEELQRRTGQGQSAISVDDTLKIIGCREQQKKLSALETELAVARQEGFVPKHLSGNDGKHPTKKVLLVIGIMTTFGRKKNRDAIRKAWMPTGADMKKLADKKGIIARFVIGTSANRGDSLDKEIESENSQSHDFIILDGQVEAPKEKSEKIKSFFIYAAEKWDAEFFVKVNDDVFVNLDALGEVLTSHLDKPRVYIGCMKSGEVFSEPTHKWHEPDWWKFGDRKSYFRHASGQIYVISKSLAQFISINRAFLRTYAHDDVSTGSWFIGLDVTHVDERKFCCSAWSPGLLSTLSHINLLAINKE >OIV94981 pep chromosome:LupAngTanjil_v1.0:LG17:241477:242253:-1 gene:TanjilG_22178 transcript:OIV94981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSISNGTVQPPSANGGAENENKPFKIFVGYDPSEDIAFQVCRHSILKRSSIPVEIIPIIQSDLRKNGLYWRERGKIESTEFSFSRFLTPYLANFQGWAVFVDCDFLYLADIKELRDLIDDKYAIMCVQHDYAPKETTKMDGAVQTVYPRKNWSSMVLYNCGHPKNKVLTPETVNTQTGAFLHRFQWLDDAEIGSIPFVWNFLEGHNKVVENDPTTSPKAIHYTRGGPWFEAWKNCEFADLWVNEMQEYLKEAKKEIAN >OIV94068 pep chromosome:LupAngTanjil_v1.0:LG17:13131692:13138350:1 gene:TanjilG_05448 transcript:OIV94068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCGVAAAISIPTTTRHLRRLTLLPFSYFSLYHSTTTTAAAAAATLSIPLSHRPTTYSPLRRHSFSTAASLHSGEALLHNKVGSFRKKLKVVDIKNGPSQGLDFLGNTLFLNGWVRTLRIQSSVTFIEINDGSCLSNMQCVIDSEAEGYDQVESGLITTGASIWVQGVVVESKGSKQKVELKVNKIVLVGKSDPSFPIQKKRASREFLRTKAHLRARTNTFGAVARVRNTLAYATHKFFQDNGFVWVSSPIITASDCEGAGEQFCVTTLIPSSHDTADSPIDAIPKTNDRLVDWSQDFFGKPAFLTVSGQLNAETYATALSDVYTFGPTFRAENSNTSRHLAEFWMIEPELAFADLNDDMACATAYLQFVGVADKDFVQITYTEAVDLLSRAKKKFEFPVKWGSDLQSEHERYITEEAFNGSPDIKAFYMRQNDDGKTVAAMDMLVPGIGELVGGSQREERLEYLEARLDDLKLNKDAYWWYLDLRRYGSVPHAGFGLGFERLVQFATGMDNIRDVIPFPRTPGSAEF >OIV94312 pep chromosome:LupAngTanjil_v1.0:LG17:6722143:6728796:-1 gene:TanjilG_19318 transcript:OIV94312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHQGSTTETMTQEGLPVTISSGDGAVPKKKLSNLLPLFVALVVIAEITFLGKLDMAKNAAMVDTIADLFSGRMLVEGVGSGGGNGDDDSRLYAMSIVQNLEYESCEEWLEREDGVTYSRDFKKEPVFVSGATEELNSCSVGCKFEFNGAKKPDAAFGTPHQAGTASVHRSMESAEYYHVNNIAMARRRGCNIVMTTSLSSDVPVGYFSWAEYDIMAPVKPKTEAAFAAAFISNCGARNFRLQALETLEKENIKIDSYGGCHRNKDGRAFYDCEPHKSRNRLFACESKTVYIYAFQTPLVNKVETLMRYKFSLAFENSNEEDYVTEKYFQSLVAGTVPVVVGAPNIEDFAPSPGSVLHIKDIEDVESIAKTMKHLAENPEAYNQSLRWKYEGPSDSFKALVDMAAVHSSCRLCIHVATTIRENEEKSPGFKKRPCKCTSGSETVYHIYVRERGRFEMESIYLRSSNLTLEALKSAIALKFKSRNHVPIWKLERPEILRGGNDLKIYRIYPLGLTQRQALYSFSFQGGVDFRSHLDSNPCAKFEVIFV >OIV94516 pep chromosome:LupAngTanjil_v1.0:LG17:5046337:5047486:1 gene:TanjilG_25578 transcript:OIV94516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSNQGLRKPVFTKVDQLRPGTSGHTLTVKVVNAKIVLQKGRPDGPQPRQMRLAECLVGDETGLIIFTARNDQVDLLKDGTTVVLRNAKIDMFKGSMRLAVDKWGRVEVTEPASFTVKEDNNLSLIEYELVNVVE >OIV94778 pep chromosome:LupAngTanjil_v1.0:LG17:1775661:1780068:-1 gene:TanjilG_12991 transcript:OIV94778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQQIQQPSVSELFQLSLTCSSKNTGQDTSGADGAVFDDGFVFNIDPTLLLDRHKLSIDHIIGEGSHSIVYDGRYEFHPVAIKAILPGRTKDASPDCKARFQREVNLLSKVKHKNIVKFLGASVEPTMVIVTELLEGGSLLKNLKRIYPMTLDYEQCLSFALEISQAMEHLHAKGFIHRDLKPSNLLLTKDKKHVKVADFGIAREEMCDGMTSEAGTYRYMAPELFSKSPLRKGAKKCYDRKADVYSFAMVLWSLVKNETPFKDRKDLMAAYAAANNMRPSLDEFPGCLVPLVKSCWEEDPKLRPEFKEITTTLTTLLRVCRSTGTIALTRIAESDEELESNTDGQSSKAKGPTSQQSMENISNRRTIKPNGLIDVKGESLSQRKTKTPKWNNIKLKCLSFFKLCFGI >OIV95000 pep chromosome:LupAngTanjil_v1.0:LG17:145895:146640:1 gene:TanjilG_22197 transcript:OIV95000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMATQASIFTPPLSGTSKPCVPWRQQQPSTLSFTGPKPLNFTTRSTVRTAATADGKIEAPTPKKEEAPVGFTPPELDPNTPSPIFGGSTGGLLRKAQVEEFYVITWDSPKEQIFEMPTGGAAIMREGPNLLKLARKEQCLALGTRLRSKYKIKYQFYRVFPNGEVQYLHPKDGVYPEKVNPGRQGVGQNFRSIGKNVSPIEVKFTGKQPYDV >OIV93978 pep chromosome:LupAngTanjil_v1.0:LG17:19438176:19442203:-1 gene:TanjilG_05681 transcript:OIV93978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAVGSQIALDANDDVARAYKQQLYNESRELFASFRVFCNRKNIQCQEVLLEDTDVTKALIESVTTYSIELLVLGAPSKSGLVRRFRTTDIPNLVSKGAPPFCTVYIISKGKISTVKSATAPLTTKATIRNNASQPQYQLAPSPERTDAQLMRNHLPRPSTEKPIYGAPRLSDDEIRSPFTRAGKPYYKAYESSIPDSDISFVSSGRPSIDRMFPSFYDEMDSGRLSSGSDYDTRSYGSSFSGAKSIDQGDYSFCSQDSRFSMSSSSRLSMSDDVEAEMRRLKLELKQTMEMYSTACKEALTAKQKAMELQHWKLEEQRKMEESRMVEEATLASAEKEKAKSKVAMEAEEANQKIAELKAQQRMNRESDEKKTMDTISHAPVRYRRYDIEEIEEATNNFAPSLKIGEGGYGPVYRCELDHTPVAVKVLKPDAAQGRSQFQQEVEVLSCMRHPNMVLLLGACPEYGCLVYEYMANGSLDDCLFRRGKSPAIPWQLRFRIAAEIATGLNFLHQAKPEPLVHRDLKPGNILLDRNFVSKISDVGLARLVPPSTADTVTQYRMTSTAGTFCYIDPEYQQTGMLGIKSDVYSFGIMLLQIITAKPPMGLTHHVGRAIEKGTFAEMLDPAIEDWPLEHAMHFAKLALQCAEMRKKDRPDLGKVVLPELNKLRDFAEENLPMMMMFGAGFAPRNTYSRAAFFSSTVQDNMSESQMSGMSGYESRSSSSSHSNNNSFVATRMI >OIV94770 pep chromosome:LupAngTanjil_v1.0:LG17:1841699:1844542:-1 gene:TanjilG_12983 transcript:OIV94770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASYCVKESKPCVIWVEKYFKDCLCNIKDEISFGFGFFSLMCWGAAEIPQIITNFKTKSNHGVSLTFLLAWVAGDLFNLMGCLLEPATLPTQYYTALLYTITTIILASQSLYYEYIYSWCKRRHNINNEEAQEDETKPLILKPGNESVIPIPNGTHKSTPRMEFHYISARSLAGNGTSPFCSHMRAAKSGPSAMPLNNDSSSDDEAPTLPFIRPTTQPRPIPRSNAGIYGTFLAASATLPMRGNALKEGHVLFQGRKLLQEHVVHSALGQWLGWLMAAIYMGGRLPQIWLNVCY >OIV94414 pep chromosome:LupAngTanjil_v1.0:LG17:3812290:3813814:-1 gene:TanjilG_25476 transcript:OIV94414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKHNNVIPNGHFRKHWQNYVKTWFNQPARKTRRRLARQKKAVKIFPRPTAGPLRPVVHGQTLKYNMKVKAGRGFSLEELKAAGIPKRLAPTIGIAVDHRRKNRSLESLQANAQRLKTYKAKLVVFPRRARKVKAGDSTPEELANATQVQGSYLPITTEKPTVELVKVTDELKAFKAYYKLRLERTNKRHHGARLKRAADAEKEEKK >OIV94148 pep chromosome:LupAngTanjil_v1.0:LG17:11846977:11847675:-1 gene:TanjilG_31573 transcript:OIV94148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFNINTCSHLPLGMCPCVVTTKNDYSSSSSSNDNVCVHLSMGVCPCSYAYGSSSNGMSTCDTTRSHTPCSSSTKKRKYSQKDDSIGARKRACIDVETMRWGYSLDLMLYDDPWKIKKVLQKSDLGNMSRLLLPKDLAENLVLPVLNVDARRDAESERGTRVWIWDVDTNSMHSLLFKRWGSSKSYVFIDKWVQDFVKRRNLKEGDEVRFHWNPYNHHFAFTVLQVFKENCC >OIV94733 pep chromosome:LupAngTanjil_v1.0:LG17:2345800:2350553:-1 gene:TanjilG_06196 transcript:OIV94733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDMAAYYSQPQHQPLTYQYYQHPPPPPPTAMMTVVPPPPGAPDTVSHHLHQQYLPQPHYYPQQQQQQQQQQQQMFSSYSSHVPSHQLSPDEVRTLFIAGLPEDVKPREIYNLFREFPGYESSHLRSRSDSSQAFAFAVFINQHSAIMAMQALNGMVFDLEKKSTLYIDLAKSNSRSKRTRIDDGRAGSDKKAKGSAPSWASPDSGVGNIHMPGLGNPAFNTNTIGYPSAQRHSHVNAGGHAVNDGLFPNLKKCSNPYIPQNSAPCATLFVANLGPSCNEQELIQLFSRCPGYLKLKMQSTYGAPVAFIDFQDVATSTNALNSLQGTILHSSPLDEGMRLEYPLITCCIFSSNLFCSIVYLEI >OIV94232 pep chromosome:LupAngTanjil_v1.0:LG17:9461860:9464688:1 gene:TanjilG_08530 transcript:OIV94232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSPCCEKVGLKKGPWTTEEDQKLMAYIEEYGHGSWRALPAKAGLQRCGKSCRLRWTNYLRPDIKRGKFSLQEEQTIIQLHALLGNRLALQWSAIAAQLPKRTDNEIKNYWNTHLKKRLTKLGIDPTTHKLKADAYGGSGDCQSKNASHLSHMAQWENARLEAETRLVRESKLQPGSCSNTQPTCLVLNKITARPSVPPCLDILKAWQNSWSKPQQQITSQTTTKANMDNKMYQHSMYAMMHSTDDDNLESPTSTLNFPGTMLPITTTTTILNENLLPLTTTDNNTTTNMESESWRHLSLTNHNNNHPDEELLGEKRTIMENNTLSNLQDDDIMVAVEAFRTSSGYDNIVVPPVLFTTMEELSSNDAMVYDSSNDNLGAEHEENLAIMNGYGSIYNVNLEENNNKHYWNSILNLVNDSLSGSSVF >OIV93752 pep chromosome:LupAngTanjil_v1.0:LG17:20957546:20960118:-1 gene:TanjilG_07655 transcript:OIV93752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLLRRQTQNKHENDSSIQVAKLGELRAALGPLSGHSLKYCSDACLRRYLEARSWNVDKAKKMLKETLEWRSTYKPQEIRWTEVAHEGETGKVSRANFHDRLGRTVLILRPGMQNTASAENNIKHLVYLLENAILNLSEGQEQMSWLIDFTGFSLSTNVSIKTARDIIYILQNHYPERLAIAFLYNPPRIFQAFYKAVKFFLDPKTAQKVKFVYPNNNDSVELMKSLFDIDNLPSEFGGKANLNYDHEEFSRLMNEDDVKTAKFWGLDEKPFHTKNVHSGAEVAPEPIAG >OIV94360 pep chromosome:LupAngTanjil_v1.0:LG17:3302544:3304067:-1 gene:TanjilG_25422 transcript:OIV94360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTSTSKLNDKTNQPATLPPPAQLQKWPAGMLKMDMLISELRVQGRLALPMVVMNLAWFSKTAITTAFLGRLGELSLAGGALGFTFANTTGFSVLNGLCGAMEAICGQAQGAQNIKLLRKTLLMTILLLLLVSLPVTFLWLNVDKILISFGQQEDISTVARTYVSYLIPDLFITSFFCPLKAYLSSQSITLPTMFISAAALAFHIPINIVLSKTMGLKGVSMAIWITDLIVVVLLAIYVVILEKKGSRWKDGGWWDQSFMDWIRLVKLGGSCCLNTCLEWWCYEILVFLTGHLKNAKEAVGVFAIVLNLDYLLFSVMLSLGTCVSTRVSNELGANQAGNAYRSALVSLALGFMSGCIGSLVMVAVRGSWGALFSHDNGIIKGVKKTMLLMALVEVFNFPLAVCGGILRGTARPWLGMYANLAGFYFLALPLGVILAFKFHHGLVGLFIGLNTGIVACLMLDLVFIVRINWVKEAAKAHTLASDSQVQNAPKNDAEEPSMVQENHQV >OIV94336 pep chromosome:LupAngTanjil_v1.0:LG17:6032833:6037015:-1 gene:TanjilG_21676 transcript:OIV94336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIKWGSWEELLLGGAVLRHGTQDWTAVAAKLRYRIVSPDTYTPEVCEAKYQELLKRYSGSKDWFEELKKEGVAELKRALEQSEKSIGSLESKLESLKTEKNERKIDCHVDNGSAGPELHVPSKNSERVESSNRGTSKDGLSAGSFTHETRTNMSPVCQVPVVSSEDIEIKPECSRSDEQEKELNVDKLEDPIGEGQVGGLKKQRGRRKRKDSDRNINETSDRESNMTTSVDVSGCKEGSTSNFDEVAKSSDKDEKNKNLRKDRMKDLMEILDSILKFKGPSFHRKHDSQKRGRYRKMIRQHMDLDTIRSRISNRTITSVVELFRDLHLLINNALVFYSKSTYQYKNAQLLRDMVNERLKESIKDFSSSVTNANVSTTLAVNEPPLKLRTVCPGNRKIVAKESGGGSNSTSEVSEGAKKPSKMNPLLSEESLHVKKSCG >OIV94187 pep chromosome:LupAngTanjil_v1.0:LG17:10960867:10961358:1 gene:TanjilG_13804 transcript:OIV94187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETGPTESNPNPNSQSLYLKDMDAEELKRVFNRFDANGDGKISVTELDTALRSLGSSVSTSEVQCIMEDLDTDHDGFINLSEFAAFCRSDGDVSELRDAFDLYDQDKNGLISATELHLVLNRLGVKCSVEECHSMIKSVDSDGDGNVSFEEFKKMMSKQQTC >OIV94140 pep chromosome:LupAngTanjil_v1.0:LG17:11715811:11717954:-1 gene:TanjilG_31565 transcript:OIV94140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYWLNQQTTFAGTPPRLMIGSAKTSWLTRSIEVFGATKQAQPSSLSRSADQPGETSTPRRESEIPPPFGQGSGQAAPVGLSTLQHGQAVDLDTFGAKATPSTRRESGMGKSTASANKGKVTMPSKDEGAA >OIV93950 pep chromosome:LupAngTanjil_v1.0:LG17:18579265:18579681:-1 gene:TanjilG_05653 transcript:OIV93950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAEFEMNDLGNLSYFLGIEFTRSKEGILMHQRKYSNDVLKRFNMEHCNLASTPMKTSSMITASEVGTKSIDKTLYRQIIGCLRYICNTRPDIAYSVGVVSRFMESPKRANLLDAKIILRYVKGTINLGILLPAGSSL >OIV94670 pep chromosome:LupAngTanjil_v1.0:LG17:2726096:2727810:-1 gene:TanjilG_25894 transcript:OIV94670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSFDDLDLDYFYDQCFNSESNQQCFPVECPDSSVQTLSPYNTFTSGFMAPKASNSASLTSSQIISFQSFKAPAFASHQIYNLENSDVKSKVAAFARNTSQAQGHVAAERKRREKLTQKFIALSAIVPGLKKMDKASVLGDAIKYVKQLQERVQTLEDYAAQKKTESAFLVKRSILIAEDDDDSNECSLSNCDQPLPHIEARVSGKDVLIRIHCDKHSGCAATILSELDKYHLTVQGSSFLPFGNNILDITIVAQMNQGNCMTPKDLIESLRQSLRAFI >OIV94557 pep chromosome:LupAngTanjil_v1.0:LG17:5544019:5550615:-1 gene:TanjilG_25619 transcript:OIV94557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIHPSIPLRPTVYGTKPTSRSVIQQSVIEIETTSLEIEPSVRSTQTKEMGICLTWKDLYVTASVGRNGRRPILQGLTGYAKPGQLLAIMGPSGCGKSTLLDALAGRLGSNTRQTGEILINGHKQALAYGTSAYVTQDDTLLTSLTVREAVHYSAQLQLPASMSKGEKKERADFIIREMGLQETINTRIGGWGCKGISGGQKRRVSICIEILTQPKLLFLDEPTSGLDSAASYYVMRSIATLQKKDNIQRTVIASIHQPSTEVFQLFSNLCLLSSGTVVYFGPASAASEFFTSSGFPCPPLQNPSDHLLKVINKDFDQGTDLDMNGTITIPAEEAIRILVESYKLSERNHEVQKEVVILSEKGTRSTDKKRGRGSFLNQCFVLTKRSFVNMYRDLGYYWLRLVIYVALATSLATVFYDMSKSKDPIKDRGSLLSFVSTFITFMAIGGFPSFVEDMKVFERERLNGHYGVTAFVIGNTFSSIPYLVLNSLIPGAITYYPPGLQKGYEHFLYFICVLFSCLMLVESLMMIIASIVPNYLMGIITGAGIQGLMILVGGFFKLPNELPKSFWRYPIHYVAFHRYAFQGLFKNEYEGLKFNAGKVGGGLNKNISGEEVLRDTWEVDMSYSKWVDLAILLGMIVLYRVIFLVILKTTEKVKFIMACNVKST >OIV94027 pep chromosome:LupAngTanjil_v1.0:LG17:15199511:15201226:-1 gene:TanjilG_19388 transcript:OIV94027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSATSLALPSLSLRTLKLQQPQYFTPLSLLNPNSNPISISSTFLRSNAAPVSTLLSSRFVSNVALSEFHQEEDVFSEGDDEPRYNNNNSNNRSSDEFKVFVGNLPFGVDSAQLAEIFEGAGEVERVEVIYDKMTGRSRGFGFVTLSSAEEVKAAVQQFNGYEVDGRELRVNSGPPPPKDDNRFGNSRFDGNSRFDGGSRFGRSPPRGGGSAADNGNRVHVSNLAWGVDQSALEELFGEQGKVLEAKVIYDRESGRSRGFGFVTYSSTEEVNKAIRSLDGADLDGRSIRVAVADARPPRREF >OIV93910 pep chromosome:LupAngTanjil_v1.0:LG17:17819679:17820944:-1 gene:TanjilG_05613 transcript:OIV93910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASINIGIAIVLMGVSGAGKTTIGKMLEKEMNYEYLDADDFHSQSNKEKMQIGIPLTDEDREPWLNSLRNAVRERIINKTGVILGCSALKKKYREILRSANPDYEWGSYASAVNFVLLDAPAEVLSIRLNKRAAEGKHYMPASLLQSQLDLLEIDESEGIFRVDATLSPQSIVNTIQKMHQFQGSLQS >OIV94932 pep chromosome:LupAngTanjil_v1.0:LG17:603349:605177:-1 gene:TanjilG_22129 transcript:OIV94932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSWKDADPSLGYLTRKDTEVKLPRATRVKYYPTQTKKLPRRSPNNEEFNPSSWYAPEILTELEQTRNASTYSKYSEKADAYSFGMICFELLTGKVPFEDNHLQGDRTNQKIKAGERPLFPYRSPKYLVNLIKKCWQTDPSQRPTFSSICRILRYIKKLLSMNTEFHVINPELNQLEALSPPVDCCDIEAMFLKNFPIDRPSILSSISQIPYEMFAYKVVEKGKIISNSSNAKDNKYIEPTKDEATLSKEENDKQNIVCGDDNASIAEKLLQDPFPLITIPKPNCEDTKSVCFDAPSRKSVKVKKPALDKSKKDKGIPKLQATRSLPPSLPGRGLRVHKASSSVSSSPLSPGKRRPSNVSESSKINKPSVLTPSSSIRRKQTSEAQTLDSKPSLKLKRDQSPLSKHDNIPDSNVTTSKRKNPQLTPSPSMNSARLGRVSTTPNMKVQKGFMYSTFTSRKNSHLSDLDKTRGRLSPLALSPLSPYMTRGRKYGHLSDYNSSSKTKRQSLSPLVLSPLSPYAPRRRTSGHVSD >OIV94568 pep chromosome:LupAngTanjil_v1.0:LG17:5659595:5666025:-1 gene:TanjilG_25630 transcript:OIV94568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQLSIQRLKKFNFLMPQISVLGNAHFSTSSIKRNPMRVPNLIGGNFLDSKSSTFIDVINPATQEVVSQVPLTTDDEFKSAVSAAKKAFTTWRNTPITTRQRVMLKFQELIRRDMDKLALNVTTEQGKTLKDAQGDVFRGLEVVEHACGMATLQMGEYVSNVSHGIDTYSIREPLGVCAGICPFNFPAMIPLWMFPMAVTCGNTFILKPSEKDPGCSVLLAELALEAGLPEGVLNIVHGTHDIVNAICDDDNIKAISFVGSNVAGMHIYSRAAAKGKRVQSNMGAKNHAIVMPDANVDATLNALVAAGFGAAGQRCMALSTVVFVGNSQSWENKLIERAKALKINAGTEPDADLGPVISKQAKERIHRLVQSGVESGARLLLDGRNIVVPGYESGNFVGPTILSNVTANMECYKEEIFGPVLLFIEADSLEEAINIINRNKYGNGASIFTTSGVAARKFQTEIEAGQVGINVPIPVPLPFFSFTGNKASFAGDLNFYGKAGVNFYTQIKTVTQQWKDSASESKINMAMPTSQKSS >OIV94331 pep chromosome:LupAngTanjil_v1.0:LG17:6391368:6394125:1 gene:TanjilG_18296 transcript:OIV94331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGNRYIVVAVFVILLHLSLNTLAESEQLSSRECENLGFTGLALCSDCNTLSEYVKDKDLVSDCFKCCTPDSDDATTKITYSGAVLEVCMRKLVFYPEVVGFIEEEKDQFPLVKVQYVYNSPPKLIMLDDAGQQKETIRIDNWKREHILQFLREKLKPKAAE >OIV94921 pep chromosome:LupAngTanjil_v1.0:LG17:687629:690676:1 gene:TanjilG_22118 transcript:OIV94921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYYFIVSGLLLWCAIVGDNGLAIVMDEKLINTSASNYSSYADALGKAILFFEGQRSGKLPSGQRVTWRGDSALSDGNLQNVDLRGGYYDAGDNVKFGWTMSFSVSLLSWSAIEFSDQISSASQLRHLQNAIRWGSDFILKSHTSPTTLYTQLFDFADKYRGSYSASCPFYCSNSGYQDELLWAASWIYKASRESKYLDYVLSNQGWSHGVPELSWDNKFIGAQTLLTQEFYSGNNALSKFKGDAESFICTVMPGSSSVQIKTTPGGLLFTRDASNLQYVTSSTMVLMVFSKILQKNRINGVQCGSAHFSGSQIKTFAKTQVDYILGNNPMKMSYMVGFGSNYPKHIHHRGSSIPSIKDHPAKVGCNEGLSNYLNSPNPNPNIHVGAIVGGPDSNDHFSDARSDYSQSEPTTYINAAFVGSVAALLGKK >OIV94888 pep chromosome:LupAngTanjil_v1.0:LG17:935265:939183:1 gene:TanjilG_22085 transcript:OIV94888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKATPILILLNNFCVSQVSEEYQNGVWHCIFAFHSNHMPQFSNIPPLLLVTRNPKLQTIPNLHNDLHMIYNLGWKAKEKDIPQGSSRDESEGNSNDLQPSQSVLPVLYQDLNCLPYEEDVSDSEFQDNEMNDESLPGFTEKKKRTPSDHIAKIALSDLIKYFDMPIVEASRHLNVGLTVLKRKCREFGIHRWPHRKIKSLDNLIHDLQEEAKQQESKDKAAAMAVTERKRMLESEKENIEKKPFMDIQTETKRFRQDVFKRRHRARASEKRSSTPAAAT >OIV94403 pep chromosome:LupAngTanjil_v1.0:LG17:3699227:3702310:-1 gene:TanjilG_25465 transcript:OIV94403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSNGSKSTSSSCSGSFRKGRSKSHGGFQSYCLGTTSGSHDCDNDEVFEQNKVNRNDVIYTSDNEIDPDEVKIDSFRKVKSGEMPCMPSNVNLDDWDHTASRTDSSSAHTSSSQSLNPSSRFFSRLSLIPGNISFRLSRTTSLRSSRPCPVSSASPSIFDNENELNLHSWRPANLVNGNEPQQGSDSRNASFVNRVPVQYHEDASNNLRSITPALGSPQNLQRSPAIYPIQDVVTDRDGTREVLDLNLFTPQIHTESESVETRHTDRRSGVRDHVERNVHFTRTLSVGRLRHRVLRRSTLSDFTFCPLQQEREVRDARQNNGRQVGERDTIVSPSDPNAVSSPSTSGYSPSTMSSSSFTFQEYEVETSRSRETRYQDLLEHRSNFLERRRRMRSRVRALQRLGSRFENLSGHERSCILSGQLRNGHCSCRISSHERSTNSNDDTNARASISRIVMLAEALFEVVDEIHQQPVVLSSRPSVSSIGSVPAPNEVVESLPVKLYTKLNKYQEDAVQCYICLAEYEDGDSMRVLPCRHEFHRTCIDKWLKEIHRYTGIENMDLSLLVVN >OIV94486 pep chromosome:LupAngTanjil_v1.0:LG17:4681859:4686201:-1 gene:TanjilG_25548 transcript:OIV94486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEEYDVIVLGTGLKECILSGLLSVDGLKVLHMDKNDYYGGESTSLNLNQLWKRFRGSDTPPQNLGSSKEYNVDMIPKFMMANGTLVRVLIHTNVTKYLNFKAVDGSFVYNKGKIHKVPANDVEALKSPLMGLFEKRRARKFFIYVQDYDVNDPKSHEGMDLNQVTAKELISKYGLDDNTIDFIGHALALHLDDAYLTQPAMDFVKRMKLYAESLARFQGGSPYIYPLYGLGELPQGFARLSAVYGGTYMLNKPECKVEFDESGKAIGVTSEGETAKCKKVVCDPSYLPDKVKKVGKVNRAICIMSHPIPSTHDSPSVQVILPQKQLGRKSDMYLFCCSYSHNVAPKGKYIAFVTTEAETDNPQEELKPGIDLLGPVDEIFFDSYDRYEPTNQHDADNCFISTSYDPTTHFETTVRDVIALYSKITGKELDLSVDLSAASAAEE >OIV94280 pep chromosome:LupAngTanjil_v1.0:LG17:8899094:8904196:1 gene:TanjilG_00029 transcript:OIV94280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDSMKNGNSLSFLSHDINELEHSNQANLPRVTVVMPLKGFGEHNLHNWRSQITSLYGGPVEFLFVVESTEDPAYHAVSQLILEFEDYVDAKIIEAGLSTTCSQKIHNQLVGVEKMHKRSKYVLFLDDDVRVHPGSIGALTREMEKKPEIFIQTGYPLDLPSGSLGSYCIYEYHMPCSMGFATGGKTFFLWGGCMMAIIAQMHADDFRQDRYGLVSGLKNGGYSDDMTLAAVAGAHKRLISSPPVAVFPHPLASDLNFGRYWNYLRKQTFVLESYITNVNWLMNRALFAFHSWASWGFVTPYFMALIHVVAALRFYNKPYSFEETPYTFGGLVLVTILAICTLVELVSMWNLTRIEVQLCNMLSPEAPQLSLASYNWCLVFVAMLVDNFLYPISAVRSHFSQCINWSGIRYYLKDGKISKIERTQRSKDMDPVFTDLGGKHLCAKKVLPTRSSFLGSLLRSLAQWHQPKKFDN >OIV94069 pep chromosome:LupAngTanjil_v1.0:LG17:13142250:13148358:-1 gene:TanjilG_05449 transcript:OIV94069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGMVEDVSFIGGLIGVQFVYAGNAVLLSYLMSLGLNSLTIVIFSSLATFLILLPVAFYYERSVWPMKFSLKLFIQILLLSFGGVTLLQSLFLKGINLTSPSMGTAMPNLAPGLIFVIAWTFRLEKVDLSCTYSKVKVIGTLLCVLGALTMSIMSSLSISAPNKEATVQLVSSPPPDVLLDRQKIIGCLYLLAAVFMLSSNIVLQAFTLGDFPAPVSLCAITSLFGAFMTAATQLIQDHEFKTSWSLVSVKDLIAYSLLAGTVNGICLSFNGWALKKRGPVLVSMFSPIGTVCSVIFSYVTFGDTINIGSFGGMILMFTGLYFVLWAKGKEGYANGDGLDSKVHGSLARAGKVRGQTPKVAKQDKKKKPRGRAHKRMQYNRRFVTAVVGFGKKRGPNSSEK >OIV94852 pep chromosome:LupAngTanjil_v1.0:LG17:1192390:1194481:-1 gene:TanjilG_22049 transcript:OIV94852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASRFIKCVTVGDGAVGKTCLLISYTSNTFPTDYVPTVFDNFSANVVVNGSIVNLGLWDTAGQEDYNRLRPLSYRGADVFILAFSLISKASYENVSKKWIPELKHYAPGVPIILVGTKLDLRDDKQFCIDHPGAVPITAAQGEELRKLINAPAYIECSSKTQENVKAVFDAAIRVVLQPPKQKKKKNKAGCSIL >OIV94059 pep chromosome:LupAngTanjil_v1.0:LG17:12830541:12839103:1 gene:TanjilG_05439 transcript:OIV94059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSNNWIPNQSTEPAMDTSDWRAQLIPDSRHRVVNKIMDTLKKHLPVSGPEGLLELRKIAQRFEEKIFTAATSQQDYLRKISLKMLTMETKSQNTMGNNMPSNQVGPSNLTPDQGLVMQPQVHNPGQQQPVSLPNQPQPRQQILSQNIQNNIAPQANLPPVSSLAQTPSQNMGQNSNVQSTPGQNSVGGTIGQNSNMQNMFPGSQRQMPGRQQVLTQQQPQQSENPQQYLFQQQLFKHKLHMQSQMQQQQQQNLLQPNQLQSSQQSVMQTSSVLQPSMMQASSLSSLQQNQQANNVQQSTQSMLHQHPQVMRHQQQQTSSGHQQQTSMNQQQMLPTQQQQQQQQLMGSQTNATNMQHAQMLGAQNNVGDIQQSQRLLSQQNNFTNLQQRQQQQQLMNQQNNLANMHQQQFGNNAPGLQQQQLLGPESGNPGMQTTHQSAHMKVPITQQSQQHTTNLLPPQAQLSQTQSAPQQLMPQMNTQPAQLQQQYGLQQQQSNSLQHDMQQRHQSSGSMIQQQNALDKQKQLYQSQRALPETSTTSVDSTAQTSQSSGADWQEEVYQKIQTMKESYLPELTEMYQRIATKLQQHESLPQQSKSEQLDRARAFRTMLEHIIAFLKVPKNNISPNFKEKIGSYEKQIINLINANKPKKGMSSLQPGQLPPAHMHSMSQPQSQISQVQSHETQIDSQMQPTNLQGSVATIQQNNMPSLQHNSMSGVSTAQQNMNLIQPGTNFDSGQGNSMNSLQRVPVSSLQQNPIGTPQQPNINSLSSQGGVHGIQPNLNTLQSSSTMLQHQQLKHQQEQQILQSQQFKQHQQRQLLQRQQILQQQQQQQQLHQPGKQQLSAQLQTHQIPQLHQMNDINDIKAQKGMGVKPGIFQQQLTSGQHSAYPHQPLKPGGTYPVSSPQLLQAASPQILQHSSPQVDQQNHLPNSKKVATTMQSSNSPFVGPTPSPPLVPSPMPGDSDKPLPGFSSISNAANIVHQQTVGAVAAQSLAIGTPGISASPLLAEFSGPDNALAATSGKSTVTEQPIERLIRVVKSMSHKTLSAAVSGIGSVVSMNDRIAGSAPGTGSRAAVGEDLVSMTNCRRQARNFITQDGTSGNKRMKRYISAMPLNVISSPGSVNDSIMETSELESTATSTVKRPKTEANHALLEEIREINNQLIDTLVEISDEDVDPTAAAAAAEGAEGTIVKCSFRAVALSPTLKSQYASVQMSPIRPLRLLVPVNYPNCSPILLDKCPVESSKESEDLSVKAELRLSISLRSLSQPMSLGEIAKTWDVCARRAISEHAQQSGGGTFSSKYGTWEDCFD >OIV93882 pep chromosome:LupAngTanjil_v1.0:LG17:17245315:17246833:-1 gene:TanjilG_05585 transcript:OIV93882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSNSKVFTFEEVAKHNHKNDCWIIVNGKVYDVTPFLDDHPGGDEVILSATEKDATIDFEDVGHSDSAIEDMQKYYVGEFDANTLPAEVDNSTPPSRQAVAPNTSNQSSGFVLKILQYVLPMLILASAYALQYYGKSSKPNES >OIV94402 pep chromosome:LupAngTanjil_v1.0:LG17:3698218:3698412:1 gene:TanjilG_25464 transcript:OIV94402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMLYCFSQRCCNITKDEKSSFNCYFCGAKEKDVGYNMSTFSETCSQIDNSPSLSLGDGSRGSY >OIV94799 pep chromosome:LupAngTanjil_v1.0:LG17:1626430:1631599:1 gene:TanjilG_21996 transcript:OIV94799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSAKLSSCVAVAAAAVASVASPSDPAHAAGFRIPFFSSSPSQSPSSPDQDSDNKTDPPPSDEPNKSGFDPESLERAAKALRKINSSPYAKQVFDVMRKQEQTRLAEIDAEKIHYELIQAQQDIDRTRKIAEEQRNLIQEQAQRQAQVQRYEDELARKRLQTDHEAQRHHNVELVKLQEESSVRKEQARRATEEQVQSQQRQTERERAEIERETIRVKAMAEAEARAHEAKLTEDHKRRMLFERMQGERDKWLAAINTTFSHIEGGLRVLLTDRDKLIMTVGGATALAAGVYTTREGAKVTWGYINRILGQPSLIRESSMAKFPGSRIVSQAKNKVLNFSTSASVDTSAGNQNGLGSVVLHPSLKRRIEHLARATSNTKAHQAPFRNMLFYGPPGTGKTMVAREIARKSGLDYAMMTGGDVAPLGPQAVTKIHDIFDWAKKSRKGLLLFIDEADAFLCERNSIHMSEAQRSALNALLFRTGDQSRDIVLVLATNRPGDLDSAITDRIDEAIEFPLPGEEERLKLLKLYLDKYLHDDNSSSKGGFLLKKQPQKITIKDISEDVLREAAKKTEGFSGREIAKLMASVQAAVYGRPDCILDSQLFKEIVDYKVVEHHQRLKLASEGGLPDQM >OIV94359 pep chromosome:LupAngTanjil_v1.0:LG17:3301653:3302298:1 gene:TanjilG_25421 transcript:OIV94359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTFTHCLGFDFSFNNLSGVVPSRLCDIPRLSYEDWEAGTKALLDKEFIIGGGSIGTVYRTGFEGGISIALKKLETLGRMRNQEELEHEIGRLGYIQHPNLVHFQGYYWSSSMQLILSEFVPNGNLYDKLHGIGYPGSSISRGNRELNWSRRFQIAFGTARAISVLWDRNVFDIIRMQLETLSI >OIV94677 pep chromosome:LupAngTanjil_v1.0:LG17:2638350:2645674:1 gene:TanjilG_25901 transcript:OIV94677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETNENVSKETVKEYEFEESEDQSFEDLGLDARLVRALLKKGIDKSTPIQRVAIPLILEGKDVVARAKTGSGKTFAYLLPLLQKLFTNNTDRKKLAPNAFILVPTRELCQQVYNEVSSILELCRVQLKVVQLNSNMLHSDLRAALTGPPDVLISTPTCVQKCLSSGVLQGASINSSLETLVLDEADLLLSYGYENDIKAFTPHIPRSCQCLLMSATSSADVDKLKKLILHNPFILTLPEVGNHKDDIIPKNVEQFWIPCAERDKLLYILSVLKLELVQKKVLIFTNTIDTSFRLKLFLEKFGIKSAVLNAELPQNSRLHILEEFNAGLFDYLIAADISQSKEKDEATKENNGGSRKSRKYAKHKLDSEFGVVRGIDFKNVYTVVNFEMPQSVAGYVHRIGRTGRAYNSGASISLVSPDEMDTLEEIRSFVGDDENNGSNTIAEFPLLTKNLVESLRYRAEDVAKSVTKLAVRESRAQDLRNEILNSEKLKAHFESKPRELDLLKHDKLLSKNAPPTHLRGVPDYLLDKTTKEAKQMVKLARDAMGNNNHRHRGPKRKTRKEGDPLKAISSSVSKRTRKGV >OIV94002 pep chromosome:LupAngTanjil_v1.0:LG17:15581293:15596038:1 gene:TanjilG_07550 transcript:OIV94002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQERLIVEIEKGKEASEGRPSMGPVYRSIFASDALPPPVEGLDSCWDIFRIAVEKYPTNGMLGIRENVDGNPGKYKWQTYKEAYDLVLKLGTSIRSCGYGEGVKCGIYGANCPEWIISMQACNAHGLYCVPLYDTLGAGAVEFIICHSEVSIAFVEEKKIPEGDNQSFDLPVKKKSDICTIMYTSGTTGDPKGVMISNESIITLLSGIKNVLENCNEKLHEKDVYISYLPLAHIFDRIVEEAMIWHGASIGFWRGDVRLLLEDIAELKPTIFVAVPRVLDRVYTGLNQKISSGGFVKHTMFSFAYSYKLQNLRKGKNHGEAAPLFDKIVFNKVKQALGGHVRIILSGAAPLSKHVEGFLRVVTCSHILQGYGLTETCAGTFVSLPNHNDMLGTVGPPVPYVDVCLESVPEMGYDALASTPRGEICVRGKPVFSGYYKREDLTKEVMIDGWFHTGDIGEWQPNGAMQIIDRKKNIFKLSQGEYVAVENLENIYGQVPIIESIWVYGNSFESFLVAVVNPRKQALEQWAHENDIKSDDFDSLCEDSRTNRYIIDELTKIGRGKKLKGFELIKAIHLDPAPFDMERDLITPTYKKKRPQLLKYYQSTIDNMYKTINKSSA >OIV94475 pep chromosome:LupAngTanjil_v1.0:LG17:4561269:4567590:-1 gene:TanjilG_25537 transcript:OIV94475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPTHSIDFQQYPQALISEHKDSVMEDSDESLLDSMVCDSSSRLIPTGFTRPDNADGEYFMFVNAGGEAFNDADGGLTFLSDTFFDGGNVFRTDEQIVGAGDYPFIYQSARLGTFCYRFDNIPPGNYVIDLHFVEIINTNGPKGMRVFNVYIQEEKVLSELDIFAVAGANKPLQLTDSRVRVKDDEVILIRFESINGSPLVSGIGIRKATKVSVPQVTSDYIKCNYCAMETEIPSPQKKVMWTKSTAKYENKIKELTMQCELKAKECYEAWTSLTATNEQLEATQMELDKVTFKSLSIDQTLEKQAENLRSISSRYELDKKKWAEEIISLQEKVKLMKSDYCQLSFEAHECVDSIPELNKMVFAVQELVKQCEDLKVKYNEEMTQRKKLFNEVQEAKGNIRVFCRCRPLNKVEISVGCSTVVDFDAAKDGCLGILTSGSTKKSFRFDRVYTPKDDQVDVFADASSMAVSVLDGYNVCIFAYGQTGTGKTFTMEGTENNRGVNYRTLELLFKISKERSETFAYDISVSVFEVYNEQIRDLLATGPTSKRLEIKQASEGSHHVPGVVEAKVDNISEVWNVLQAGSNARAVGSNNINEHSSRSHCMLCIMVKAKNLMSGECTKSKLWLVDLAGSERLARTDVQGERLKEAQNINRSLSALGDVISALAAKSSHIPYRNSKLTHLLQDSLGGDSKTLMFVQISPSDQDVSETLSSLNFATRVRGVELGPVKKQIDTGELQKIKAMLEKARTECRIKDESIRKVEENLQSMESKAKGKDNLLKNLHEKIKELEGQIELKTSMQNQIEKQISLLSEKLKGKEETCSTLQQKVKELEKRLKDQLQSETASYQQKVLELEKKLKDQLQGSESQSAILKEKVKELERKLKDQDQNSELSFYHQQVKELERKLKEQDQNSELSFYREQVKELEKKLEQQQQTSELTLLRQQVKELEDRNREQEQQWQQTNCFVEAAKATPDIGKGCKTSEKCPSEIDPHILRSSNSSNHQINQGSTLSKGNDFTQHLRSKGGFRSNEIENSYVMSSSLQKRKVTRKSDPPMIGKGVRPITKPGITTQPPPLSHKRASTSRDVQGIKERDSKKKIWNR >OIV94276 pep chromosome:LupAngTanjil_v1.0:LG17:8718160:8724166:1 gene:TanjilG_00025 transcript:OIV94276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMESDKRLVGLTGAGGGLYFWERTPGEYEAHGYKFCLGMKENSESALSTNKEGISNVTRNLMESSILAQDERWRHALHVQVRREVVFPLANGHGPDSYGRQQWGIFRNGRKPDGAMPRGANSVPAAVVTQRMQALSGMIGRKASIGGFLSSPSNPRAQPWTGGGNYQAGVGTLPGLDMPRILLKERGAFGNADTGGAWLSSARATAGDKPEEGEDDVKSSCPLCPGRHTCYNGRDKGSRSREGELTPKTRPHHTAVNSFPGLVHTARHTMGAGHARSRYLNRKEGDAEGRASDWSEVVTRRIDGAIQVRSNVDPTFYSLVGSGRSGGDHHGSSLLENSYIPYQYMDSYLSSTGLGVPPHKGGCTDQAQATVYQKHRSPQSRKTMYGGWRLPSAGRSRKLVT >OIV94264 pep chromosome:LupAngTanjil_v1.0:LG17:7873022:7873339:1 gene:TanjilG_00013 transcript:OIV94264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPYAPQNCCVKVLEGHQHNFEKNLLKCNWSPDGSKVTAGSSDRMVYIWDTTTRRILYNLPGHNGSVNECVFHPNEPIVGSCSSDNDYRVIEFTYDAYRLETRQK >OIV93880 pep chromosome:LupAngTanjil_v1.0:LG17:17116095:17117159:1 gene:TanjilG_05583 transcript:OIV93880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDHMEYTYSAEASAEAETYSSTTPSSSTMRKKKNKNTKRFSDEQIKSLESVFEKDSRLEPRKKLQLARELGLHPKQVAIWFQNRRARWKSKQLERDYNILRSNYDNLASKFEALKKEKQALLVQLQKVKDIIDKRKPQEQAQSSSSKQVKAANSMVSEAENGDTSIKLEYFGIEEEPGLLNFFENPEGSLTSPEEWSTFDSDDLLAQSTSDLQWWDFWS >OIV94009 pep chromosome:LupAngTanjil_v1.0:LG17:15804091:15805143:1 gene:TanjilG_07557 transcript:OIV94009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKTDYYGDLRRVDEKTDVSAYGVLLLELITGRQALDRSQKSLVLWAKPEILM >OIV94969 pep chromosome:LupAngTanjil_v1.0:LG17:342942:347187:-1 gene:TanjilG_22166 transcript:OIV94969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTRPHQPSREEDEEELLGHGTTISGQSMSTSISVGSPSSRSEQTMATPVSENTFLRLNHLDIHGDDAASQGTVASKKKRRGQRAVGGDKSGRGLRQFSMKVCEKVESKGRTTYNEVADELVAEFSDPSSGVSTPDQQQYDEKNIRRRVYDALNVLMAMDIISKDKKEIQWKGLPRTSVNDIEGLKADRLALRNRNEQKRAYLQELKEQLVGLENLVQRNEQLYSSGNAPNGGVSLPFILVQTRPHATVEVEISEDMQLVHFDFNSTPFELHDDNYVLKEMKFCKRPQNDTLTLNLTDGGESSNMSGGFYDAQVPTIVSNPPVRPPSSPPLPGILKARVKNEH >OIV94429 pep chromosome:LupAngTanjil_v1.0:LG17:3959498:3961727:1 gene:TanjilG_25491 transcript:OIV94429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGTTVLTQTHFLPLPNNSPPQCSELNIKFNEQGWLSMLKGCKSLEELKQVHAHILKLGFLLDSFCESNLVATCALSKWGSMDYACSIFRQIEEPGSFEYNTMIRGNVNYMNLEEALLLYLEMLERGIEPDNFTYPFVLKACSLLGCVNEGMQIHGHVLKGGLKGDVFVQNSLISMYGKFGGIKHACAVFEKMDEKSVASWSAIIGAHASVEMWHECLMLFGDMSSEGHHRAEESTLVTVITACTHLGSLDIGRCIHGILLRNISELNVIVKTSLINMYVKCGCLEKGLSVFDNMVEKNRHSYTIMISGLAIHGHGKEALRVFSEMLEEGLEPDDVVYVGVLSACSHAGLVDEGLQCFNRMRFEHKIEPTVQHYGCVVDLMGRARMLREAYDLIKSMPIKPNDVVWRSLLSACKVHHNLELGEIAAQNLFMLNPYNPGDYLMLANMYARAQNWANAARVRTEMAGNSSVQTPGFSLVEVKRVVYKFVSQDKSQPQYNSIYDMIHQMEWQLKFEGMLHLACGALFWCQKHITGVHNEKIAITTKPTKEQRIGTMTYETKPTTKAVAHI >OIV94469 pep chromosome:LupAngTanjil_v1.0:LG17:4452969:4453304:1 gene:TanjilG_25531 transcript:OIV94469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKDLTITVQRSSCISGCMMFPPSCFQLRNEFQYSRVQYCNNPIKSRRGLRWRNILRRLMRESKTLCGSKPIISFQYDPVSYSQNFDEGCHLEEPRRLCQVFQDVRWDLHD >OIV94886 pep chromosome:LupAngTanjil_v1.0:LG17:951014:952536:-1 gene:TanjilG_22083 transcript:OIV94886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNPKHPSGDSSTNDGKSPPSSPQNPPPPPSADDTNLVDLSLTIQNTNNNSGGRDGKEPKIMKTALEVLAELASDESPNDDGGGDGGMGQSGGIGASMAVAVGGAGGSMVVVQGSVGAGRDQGRASGKRRKVSDVKDPPSGKPTCPLCHKEFQSWKGAFGHMRKHPERQYRGFHKPPSFSTPLSLPAGAGEGSRTGEDRAAATTQPPSGGVLFDLNQPVTDVSESSNAADQRNEEALVSRPVAEEKNLRFDLNALPSDEDDNEDN >OIV94773 pep chromosome:LupAngTanjil_v1.0:LG17:1827066:1829149:1 gene:TanjilG_12986 transcript:OIV94773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKKTLFQRLFNITKISSQTINNCRISSSSVHGRTSSSTPTRPDFELEPGDNAIFSRFMNKRTEVQPELRSPAFSGSLIEKLRDMDIARTRIRLEGLAPPVPEKVDALEEERVAVEDARKLLKAAQVELVKSKLREIQKTCISVSEFFRICSENCSDRDQAARIAKMLDESAVVIILGDVVFLRPEQIANAIHGLLPQRGAKVDDSVRKEFEEMERKKAAIDNRADTFVRCELWGGLGFLVVQTIAFMRLTFWELTWDVMEPVCFYLTSMYFMAGYTFFLRTSKEPSFEGFYQARFSTKQKRLMKLHNFDIGKYNELRALCSPSTLPKLDSFAAHPFDHYPQHN >OIV94289 pep chromosome:LupAngTanjil_v1.0:LG17:9020834:9022918:1 gene:TanjilG_00038 transcript:OIV94289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVKQNLHRAIDFMRSREPATSNDYTRLVLHCVRANDFTQAKRLQSHMENNLFQPKDSFLHNQLLHLYAKCGKLYDARNLFDKMSKRDVYSWNALLSAYAKVGMVEDLHAVFCQMPYRDSVSYNTLIACFSSKGYSCKALRVLVMMQEDGFQPTQHSYVNALQACSQLLDFWRGKQIHGRVVVADCGGNAFIGNALTDMYAKCGDIGRARWLFDGMANKNVVSWNLMINGYVKMGNADECIRLFNMMQLSGLKPDQITISNVLNVYFQCGRVDDARKMFSAIPKKDEICWTTMIVGYVQSGREEDALILFGDMLRGNIKPDSYTISSVVSSCAKLASLYHGQVIHGKVILMGIDDNMPVCSALVDMYCKCGVTLDAWVIFQTMPVRNVITWNAMIRGYAQNGQVQEALVLYERMLQENFRADNISFVAVLSACINADMVEEGQKYFDSISEHGMTPTLDHYACMITLLGRSGNIDKAVDLIKGMPHEPDYLIWSTLLSACAKKGDINNAELAANHLFELDPHNAGPYITLSNLYAACGRWKDVAFVRSLMKNNNAKKFAAYSWIEVENEVHRFVSEDRTHPEVEKIYAKLNHLISILQKIGYNPDTNIVLHNVGEEEKLRSISYHSEKLALAFALIRKPNVVAPIRIIKNIRVCDDCHAFMKFASITIGKPIILRDSNRFHHFFGGSCSCKDHW >OIV93859 pep chromosome:LupAngTanjil_v1.0:LG17:20054948:20066839:-1 gene:TanjilG_06777 transcript:OIV93859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTVSSPSFVETTDSKNAFDLGAFVGDLNFEDHLTNDDISLEGLEQELEECKNNDVVANILSKGTKLRDYTKGVENDLRKLELDSIQDYIKESDNLVSLHDQIRDCDSILSQMETLLSGFQGEIGSISSDIKILQEKSMDMGLRLKNRKVAESKLAKFVEDIIVPPRMVDILVDGEVNEEYMRTLEILSKKIKFVQVDPMVKASKALKDVQPELEKLRQKAVSKVFDFIVQKLYALRKPKTNIQILQQSVLLKYKYVVIFLKEHGKETYNEVRAAYIDTMNKVLSAHFRAYIQALEKLQMDIATSDDLIGVETRSSGLFIRAREPLKNRSAVFALGDRINILKEIDEPALIPHIAEASSNKYPYELLFRSLQKLLMDTATSEYNFCEDFFGEQSIFYEIFSGPFGVIDEHFNLVLPNCYDAIGLMLMIRIIHQHQLIMSRRRIPCLDSYLDKVNISLWPRFKMVFDMHLSSLRNANVKTLWEDDVHPHYVMRRYAEFTASLIHLNAECGDGQLDLNLERLRMAIDDLLIKLAKNFLKPKQQTVFLINNYDMTISVLKEAGPEGGKILMHFEELLKSNTALFVEELLQEHFSDLIKFVKAKASEDPMSSPDKPITIAEVEPLVKDFASRWKGAIELMHKDVITSFSNFLCGMEILRAALTQLLLYYTRLSDCIKRIVGGSALNKDLVSISSIMNFTPHGYILCLILQSEKEAKKEAFRKYLESSGAVDALTKVLVSLYEQNDKPSSALEFIQNKLSCPSISEHEKLQAELSDLQIRYNELLTAHQKTCKELEELKSTHASAMASTKEATDDESAKDGL >OIV94049 pep chromosome:LupAngTanjil_v1.0:LG17:14705792:14707195:1 gene:TanjilG_14296 transcript:OIV94049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSTTNNTLHKLQTLNSEKNHHYPETIFSPYLNSNNEVFKRLGESRPKLSTLMTNKKSPHHNGEIGVFGAEKYFNEEVNTPKGSNKAQQIALETMNYKSQYGTPSIGSESTTNNSQSALLQRNSQRNMKNKVHAKSFLAGVGLKCSCSDKDSVDINSRSSDEISFNKTDTYGIVHGKTTPRKIFNVGLDANHSVKINKPLPNLLINKDLNLQKPEKLEIVGMKRENSFAFSNKNFGLRNNNHQVKVQLQLEQEEEMARKSLEVFGSPIFPSRDIATAATKFEEIDFPRNSDSNYIYDAASDASSDLFEIESFKEKPNSFLERQASYVASSPKSCYAPSEASIEWSVVTASAAVISDCDEDQMSQGTIRSPVKISLSSSSNGKSAKSKRDMKKSPSMLLGSCKSQKAVRVAGVESFITYEKPSSTSSQVQPHRNNISHVPRFPAEKKLGNFGARHGQKHAYASKLLYI >OIV94944 pep chromosome:LupAngTanjil_v1.0:LG17:514283:519002:1 gene:TanjilG_22141 transcript:OIV94944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRTVLVGVALSVAVTTCAVAALVVGKRVRGRKKWRKVVNVLREFEEGCETRVERLKQVVDAMAVEMHAGLASEGGSKLKMLLTFVDNLPNGTERGTYYALHLGGTNFRCLRVHLGGQGYSISEHDVEHEVERQPIPEHLMTSTSEDLFDFIASSLKEFIAKEGDGSKADRRDLGFTFSFPVKQMSVSSGILIKWTKGFSIVNMVGRDVAACLQDALSRKGLDVRVSALVNDAVGTLALGHYHDADTVAAIIIGTGTNACYLERIDSIIKSQGLVTASGRMVVNMEWGNFWSSHLPRTVYDIDLDFESPNPNDQGFEKMISGMYLGDIVRRVILRMSSESDLFGPISPKLLMPFILRTPLMAAMHEDDSPDLREVARVLNDIFEIPDAPLKARKMVVKVCDVVTCRAGRLAAAGIVGILKKIGRDGSGGITGGRSRNDMKMKRTVVAIEGGLYSSYTMFKEYLHEALDEILGEDIAKHVILKVTEDGSGIGTALLAASYSS >OIV94553 pep chromosome:LupAngTanjil_v1.0:LG17:5492448:5496623:1 gene:TanjilG_25615 transcript:OIV94553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFFTKTKTIKLHSHFGKYLIADNDCETVIQSRNGSTRRAKWTVEPVEDVTNNIHRVRLKSCHGKYLTATATETPFLLGMTGNLVVQTNFDSGSEYKFDWEPVRDGFQVKLRSWCGKYLRANRATPPWRNSVTHDGPLNSATQNWFLWDVQSVELEDENESLFSESVVSPSACSDYLFNLVPVSSMPIIPHHLSRNFKIQCSNKFKTAMDFFHHAKAVRFRSNHHKYLLAEEDEESVSQHRKCSSKNAEWIIEHVPQYDNVIRLKSCYGKYLTASNHPFLFGMAGRKVLQTLPHRLDSSVEWEPVKYGSLVKLRTRYGNFLRANNGLPPWRNSVTHDIPNRSATQDWILWDVDVVETNAHSSVPSLFSYPSLVKEDSSRFDNSVPSSPVVPTKSPSHLSQQSNDSKAGSSHKLEGRTIYYHVAEDNEEESDEDMPENSLIFNGNGVEELTSILEEETGVEGIIVCSRSPLNRKLYPLRLQLPPNNVTMQVVLVHPLSKGEPFPLFGYLSMLTYSASIIFH >OIV93885 pep chromosome:LupAngTanjil_v1.0:LG17:17327815:17328018:-1 gene:TanjilG_05588 transcript:OIV93885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTICSVLDKQGQTTTSNTPEVVAEVQKEATKAPEAAIKTPGCIISSNQNSHSYTRQQDASTPSGIQN >OIV94750 pep chromosome:LupAngTanjil_v1.0:LG17:2025169:2028629:-1 gene:TanjilG_12963 transcript:OIV94750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGTMRKRGRGAKESLSIPNSLVASENNNMSEYELSREKRIRENRERMGNLGLINLSLKLKAQTQSPPPRRTRFNPKTPPLPPSAPSRRSSRLQNASPVSYCELPQKEGEDENRKNGKIVIEAGAKPEFYTEEHEKLLGNTERNWTLFVDGCRKDGKRIYDSFQGKTCHQCRQKTLGYRTRCCQCNMVQGQFCGDCLYMRYGEHVIEALENPTWLCPVCRGICNCSLCRQAKGWAPTGALYKKISRLGYKSVAHYLVQTRRSDIDVKKNEETAVNPVSAKRSLPFSDINESLKVDENHRGSPKPLAETESDDNEVSAKRSLLFSDVQDQPEKVECSDTMKPPKLEKVECSDTMKPLASSSTPSSDSIAGRLRSRVKKTL >OIV94864 pep chromosome:LupAngTanjil_v1.0:LG17:1117292:1118816:1 gene:TanjilG_22061 transcript:OIV94864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQRKEEGESKTKEIVVPTPPPLPKFRVCLRPRVEESVTKREIAKFWKQKKIEEEDHLFAAIKAAARIRARNLSDQEYMSFILSLNTYNDEDGPKEEIEKRVAEANHCNKDEMDKEIRVGIKDWWTKSKYAYLNQPNIDYMDPPKKRTSTYVPNYLSYKPKALYPNAIGVF >OIV94330 pep chromosome:LupAngTanjil_v1.0:LG17:6385194:6388231:-1 gene:TanjilG_18295 transcript:OIV94330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVDIMSWTNKGDLAANKDEDLDATENSSSFADTTSDTENCSRLSDIEVESVHSDQYRSKANKRRKRKKVEDTTDVASYASHHNIFSDLENKKSDPDGCLAYDSNNPVITEVHADSTHRFGINDDDQSFFEFDNADASLEQLLREIDNVHAKVHKLKNQVDKVMSKNASKFPSSKNLSLLLPHGDVSSAHSPIMSAGNGDAVSVGAIYNSNHHVAEFDLGDFVMPDSAVSSYGEVTIVPDVIESTVGLLFAADVTVHHPLAGNLCEDIVDNILIHEVAETEEHIFTNFNVAAANSAAASQDEPTLKSCLYKDANVPRNKRKRGERKAGSGAWSKKCSGEPDSQ >OIV94764 pep chromosome:LupAngTanjil_v1.0:LG17:1918689:1922841:1 gene:TanjilG_12977 transcript:OIV94764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELEGSGENEMLELGLRFTSRHKRSMSFPNKKRVQEDNPDKNLQASDQMKLDMGYLTEFAKARKKQTHTSKVHNNLKQEIVQLEKRLQDQFKVRCTLEKGLGYRPQSLVDSDDMIMPKPATELIKEIAVLELEVVYLEQHLLSLYRKAFDQQLSSVSPSTKKESVKSALITPRPQFIEVSKPEVLTKRGCSTVQSNDHELETPQQEHNGYELETLGKQYNGSRSGEKHLDSLVYRCHSSLSQCSAFARASPPAEPSTKPLRTCYSQPLSMMEYAQSSSSNIISLAEHLGTRISDHVPDTPNKLSEEMVKCISTIYCKLADPPMTHPGLLSPSSSLSSTSAFSIGDQADIWSPNFRNNSSFDVRLDNPFHVEGLKEFSGPYSTMVEVSWIYRDNHKSKDTDKLLQNFRSLICQLEQVDPRKLKHEEKLAFWINIHNALVMHAYLAYGIPQNNVKRVLLLKASYNIGGHTVSADTIQTTILGCRISRPGQWLRLFFSPRTKFRAGDGRQGYAIQQPEPLTHFALCSGNHSDPAVRVYTPKRVFQELETAKVEYVRANFGVRKDQKILLSKLVESFTKDSGLSSDGIMDMIQDCLPQSLRKSVKKCQLAKSKKSIEWIPHSFTFRYLISKELVK >OIV94882 pep chromosome:LupAngTanjil_v1.0:LG17:968879:975466:-1 gene:TanjilG_22079 transcript:OIV94882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPIRMNNNKRAVLCRMKTLFTSHSKSFHSLTPKGDIQHQLSPEITSHFSASQDRTDLSKWKALDARELGITSSMISQQSWVVLDLLRRKGFESYLVGGCVRDLLLKRTPKDFDVITTAELTEVRKHFRRLARAEVVGRRFPVCLVHINGSIVEVTSFDTVARTSKGNASNLYSMLPKFSNKKDLIRCKNSLRRDFTINSLFYDPFANKIYDYANGLADLKSMKLETVIPAQVSFEEDPGRILRGFRIAARLGLSLSRETEVALRTCSSLVKNMNKNKLMIELNYMLSYGAAGPSLRLLWKFKLLDFLLPLHAAYLDDQANKEDAQASNMLMKLFCHLDNLVACDQPSDCTLWIGLLAFHLALVNNPQDAVVVRTFASVLYHGEWEKGVKFAKERIEMCIHFAPEIMKSDVYKSDEEIAKAVTKLASLVMDSIPALVENNICVQLMSRYPSFSRSGIVFVPRKTGKHVSELFKVLVNGIQFYSSERKSSKINYNMLGKGHLREARYVFGKIVLETMNSGIVGDGKDFEAAKCHLKIDGAKETDQLQLSDPVNHQLVARKNKRHVSSTPNPEHNQEKIKKRRLVENGGTPVQKMGKLEYKETDEEHKKVDLSTKDSGAREKNYHRKQLVNDRNKSGITSKSFLDKAKHVKMVEQNRCTPAQSTVSKNHPVTADNCNIKVDAKTTNESDLKKKLHLSADDDFKKKGQEPPKIKQSQPILSNLFK >OIV94952 pep chromosome:LupAngTanjil_v1.0:LG17:469981:472775:-1 gene:TanjilG_22149 transcript:OIV94952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHQRLQQLTKITLNNNNFIQTALLSDLRVDGRRPLDYRKLTIKFGADDGSSEVKLGDTYVISFVTAQLLQPYKDRPNEGSLSVFTEFSPMADPSFEPGRASESAVELGRIVDRGLRESRAIDTESLCVVSGKLVWAIRIDIHVIDNGGNLVDAANVAALAALLTFRRPECSLTGEDGQQVVVHPPEERDPLPLIIHHLPIAVTFGFFSNENLVVIDPTYHEESVMTGRMTATLNANGDVCSIQKAGGEGVSQRVIMHCLKLAHVKAGDITAKIRDVVEIHNTERALRKIKRHSSSVAVDVCGTTATLGGKQNQSVGDKNVNHLDQLKLKDELNDMECDAKTPGQVQSNKKDGVSNNFTGGPSSWDPYSECVDSDSLKASLASRGQSTPQSKQKDSRSETKREEQPKEVKTNSSSAMDIAPNVRQSNNEGKTLKDAVKPKHKRKKKTSSNGRK >OIV94667 pep chromosome:LupAngTanjil_v1.0:LG17:2738563:2739390:1 gene:TanjilG_25891 transcript:OIV94667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLDLGTASRFVQNLHRPDFNLQQQQQQTESEEDGNQGDPYEDGPHQGLESGSGGDVVTRRPRGRPAGSKNKPKPPVIITKESANTLRAHILEVGNGCDVFDCVATYARRRQRGICILSGSGTITNVSLRQPAAAGSVVTLQGRFEILSLSGSFLPPPAPPGATSLTIYLAGGQGQVVGGSVVGELTAVGPVIVIAASFTNVAYERLPLDEEENLQIQPPGQDGGHGSSGGGGNNNQFPDPSSGLPFFNLPVNMPNVQLPVDGWVGNSVAGSSF >OIV94507 pep chromosome:LupAngTanjil_v1.0:LG17:4943318:4944442:-1 gene:TanjilG_25569 transcript:OIV94507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALKDAQESPIHGDILEVVFSHVPLVYLLPACHVSKSWNRAVFSSLTHVNPIKPWLMILTQCPRAPHVITIHAYDPRSHVWLEIKQKPLIAIKHASAVRSSHSSVFYTLSPTEFAFSIDPLHLKWNHAPAPKVWRTDPIVARVGNRIVIAGGAFDFEDNPLVVEAYDIEKGAWAKCQSMPELLKGSTASTWLSVAVAGDTMYLTEKYSGMTYTFNPIANLWNGPYDLRPDQSVMFCVTGTLGKRLAVAGIVGEGENVKGMKIWILKGELGSGIAMKEVGEMPKEMVEKVMGGSEFGLGSVVVNWVGEFIYVANPVQVEEVVVCEVVNGGTCEWLSVKNACTNDGTRMKRVVLSGVDVCFENLQREVGICMKVM >OIV94339 pep chromosome:LupAngTanjil_v1.0:LG17:6072725:6076716:-1 gene:TanjilG_21679 transcript:OIV94339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELEGTASKKVITREEWEKKLNDVKIRKEDMNKLVMNFFVTEGFVEAAEKFRKESGTEPDIDLATITDRMAVKKAVQSGNVDDAIEKVNDLNPEILDTNPQLFFHLQQQRLIELIRNGKIEEALEFAQEELAPRGEENQSFLQDLEKTVALLAFEDVSNCPLGELLDISQRLKTASEVNAAILTSQSHEKDPKLPNLLKMLIWAQNQLDEKAAYPHIKDLSSAKLEDPSI >OIV94699 pep chromosome:LupAngTanjil_v1.0:LG17:2466000:2469436:-1 gene:TanjilG_25923 transcript:OIV94699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSSSFLLSSTPSSPNYSFNSSFSSYPNLFLIKNPKFRNPTSQNIRIFAVALDPKELPKNSPQHLLKELAERKKFTSPKNKGPPRQFILKPPLEDKKLAERFLKSPQLSLKSFPLLSSCLPSSPLNNADKVWIDEYLLEVKQALGYSLEQSETLGEDNPAKQFDNLLYLAFQHPSCERTKARHVRNGHSRLFFLGEYVLELAMAEFFLQRYPRESPGPMRERVFGLIGKRSLPQWIKAASLQNLIFPSDEMDKMLRKDREGPVKSVFWALFGAIYLCFGIPEVYRVLFEVFGMDPDAEDCQPKLRRQLEDVDSVSAELEGKLSWQDMPPADALFAHPRLYRACVPPGMHRFRGNLWDFDSRPKVMETLGYPLKLNDRIPEITEARNIELGLGLQLCNMHPSKYKFEHPRFCYERLEYVGQKIQDLVMAERLLMKHLDAPGLWLQERHRGLLMNKYCGRYLRAKHLHHYIICDDKLQDSLEHNRRMRNPATTAVQQSFHGLSYLVYGKRDVRRLMFEVFDFEQIQPKEV >OIV94373 pep chromosome:LupAngTanjil_v1.0:LG17:3436748:3437881:1 gene:TanjilG_25435 transcript:OIV94373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENVCDVNHLDADVLLPPRKRLLAGLKKQSPDGHAAASPSVVSGSYVTISEAVSSSSFSCEFETRLKNLLTSHSNNPNLTPEEVVEASKAAALAATQTAKAARAAAEEKAEIAAKAVAAAKCALDLAASFPGEGVNQERNLKRNKLKKHLPVQLLYKKNQLIENCGTDEELARKLHRAMNSSPRISKNSPNSGSKGSKHKKPKSSSSFETTEDSDGGMASRQDCLSLNNGHAAAGKIDSEGSIQEVCSNKEAKKGSRYDRSNQMEIGNGEAESSRSKEKNTADLSPIGKKRGRVKLKKLPLSICTSKDRAQPKEVIRARSSPLTEMKQGNNPVDRSYPLFAAEPSTERVMPIEATSRWKCQEFKTPACIKQNKAVQS >OIV93802 pep chromosome:LupAngTanjil_v1.0:LG17:20521377:20523536:1 gene:TanjilG_03765 transcript:OIV93802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLLSLHTPNNNNNNFHIPTTTSTTTTTTSSTTASPGGALKVPKPEPFDEFLTPHHDSEVEEDDAEKQLQLYSDFCRVSNLFREAFGKTLHQQFGSDDDFHYDLDSHLVDVKVSVSDPILENGFDDLNFPVLNSNHVDPNAGAIVAVSSPQGQAVEVTTTPRRKPNRMKELMRAMDLGVKDQVHFREVVRKTRMVYESIRVVTSIEDEKRVAAAVEEKKRVIAAMAAAGSGEIEGSGSKSYRRPRLRGDLRAASLMRDQGLWLNRDKRIVGAIPGIDVGDVFLFRMELCVLGLHGQIQAGIDYLPGSMSSNGEPIATSVIVSGGYEDDLDDGEVIVYTGQGGQEKNSYRHASHQKLEGGNLALERSMHYGIEVRVIRGVRYEGSASSSGKVYVYDGLYKIVDCWFDVGRSGFGVYKYKLRRIEGQEKMGSAILKEARDVRRSGIDFNPMHCLSVDISNSKENFPVRLFNDIDDNRDPLCFEYITKTTFPQFVFHQSGKATGCDCVDGCAHDCFCFMKNGSEFPYNQHGRLVRGKPLIFECGPFCRCPPHCRNRVTQKGPKNRLEVFRSTQTGWGVRSLDLIHAGAFICEYAGVILTREQAQILTMNGCDSSIHPNQFSKRWAEWGDISQIYSDYVRPSHPSVPPLDFSLDVSTMRNIACYMSHSSTPNVFVQFVLYDHNNLMFPHIMIFALENIPPMRELSLDYGVADECTGKLSICN >OIV94813 pep chromosome:LupAngTanjil_v1.0:LG17:1538643:1544704:-1 gene:TanjilG_22010 transcript:OIV94813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTTTSTLFSSYASFAATTMLIRSIANELIPHPLRAYLNSLFHFLFTPLSSNLTLIIDDYSSNGMTRNQVYDAAEIYLSTKIDTSTKGRLRVSKTHRQKSLMVSIEKGEEINDRFDNIRLKWKFVCIERQDQNSRQSEKRCFELTFHKKFKDKVLDFYLPHVIDRATEIRNNQRVLKLYNRHCPYDNDGTGGGGGGGGGWGSINLEHPATFETLAMDPSMKKAIIADLDRFVRRKEFYKKVGKAWKRGYLLYGPPGTGKSSLIAAMANYLKFDIYDLELTTIFSNAELRRVLLSTTNRSILVIEDIDCSMEMKDRHSFDQYEQFDQPSNSKLTLSGLLNLIDGLWSCCGDERIIVFTTNHKDKLDHALLRPGRMDVHINMSYCSGHGFKLLASNYLGLHETCQNPLYHEVHGLIETTQVTPAEVAEMLMKNDDANVALQGLAAFLKRKRMEDNEMKNEGVHDAKKLKSNGDSCKATRSNSTKEKTMSGSFKQKAINASNMLRNSFTRKGRQSSKVMSVEIEDFHDAEELKIVDQFRQALILDELLPAHQDDYHMMLRFLKARKFDIEKTKQMWSDMLKWRKEFGADTIMEDFEFKERDEVLKYYPQGHHGIDKEGRPLYIERLGQVDATKLMQVTTMDRYIQYHVMEFERTFDKFAACSIAAKKHIDQSTTILDVSGLGLKSLNKHARDLLTRLQKVDGDNYPETLNRMFIINAGSGFRMLWNTMKSFLDPKTTVKINMVQNGAHKCSTKSLTPVVEEKIISEDKTSKLEANQTSQVTPVCDEALEDSVTKQEELSAYMENKKKKKQWALHLLSAKWRMSIDYQGLNFYSFKETSQMPS >OIV94011 pep chromosome:LupAngTanjil_v1.0:LG17:15929136:15929369:-1 gene:TanjilG_07559 transcript:OIV94011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTELGEFHSPRRERDGEEGFALPSFPPHFHGEPLGDSGNGTEAWIEVSDKAQRSPPRLAIVAISTTRKRKEKKMVF >OIV94891 pep chromosome:LupAngTanjil_v1.0:LG17:902665:906332:1 gene:TanjilG_22088 transcript:OIV94891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMELEMESMAASIGVSVPVLRFLLCFAATIPFSFFSRFISSPFFKHLYSASIGAFLSYLSFGFSSNLHFLVPIFIGYSSMLLFRHRSGILTFFLCFGYLIACHVYYMSGDAWKEGGIDATGALMVLTLKVISCSINYNDGLLKEEGLREAQKKNRLIRMPSLIEYIGYCLCCGTHFAGPVYEMKDYLDWAERKGIWSPGAKGPSPYGATIRALIQTGFCMGMYLYLVPHFPLSRFTDPVYQQEWGFWKRLGYQYMSGLTARWKYYFIWSISEASMIISGLGFSGWTDSSPPKPRWDQAKNVDIIGVEFAKSAVVIPTFWNIQVSTWLRHYVYERLVQTGKKPGFFQLLVTQTISAIWHGLYPGYIIFFVQSALMIAGSRVIYKWQQGVPPTKALVKNALVFTNFAYTVVVLNYSCIGFMVLSLYETLASYGSVYFIGTILPIVVILLGYVIKPGKPVRSKARKEQ >OIV94221 pep chromosome:LupAngTanjil_v1.0:LG17:9681879:9686487:1 gene:TanjilG_09376 transcript:OIV94221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSFWFNKLSVIFGPKPPLSWLILSIISILALIALFGSSSSNAIDSESRRPVSFIYTNYRRIKEQAAVDYLELRSVSIGGGIRQKELGLCGKERENFVPCHNVSANLLSGFKEGEELDRHCEFLMGAERCLVRPPKEYKIPLRWPSGRDVIWTGNVKITKDQFLSSGSMTKRLMLLEENQIAFHSEDGLIFDGVKDYSRQLAEMIGLGSDTEFPQAGVRTILDINCGFGSFGAHLLSLKIMMICIAAYEATGSQVQLSLERGLPAMIGNFIARQLPYPSLSYDMVHCAQCGIIWDEKNGMSLLEVDRVLKPGGYFVLTSPTSRQQGSSSRGKKKILVNPIEELTQQLCWTLLAQQDETCIWQKTADVDCYASRKKHAIELCKEGGDAQSYYHPLVPCISGTSSKRWIAIQNRSSGSELSSDEIKRPGDEDPLPPFNMIRNVMDMSANYGGLNAALLEEKKSVWVMNVVPARTSNVLPLILNRGFAGAVHDWCEPFPTYPRTYDMLHANGLLSLLTSERCSMIDLFLEMDRILRPEGWVILSDIMGAIEMARTLATQVRWEARVIDLQNGSDQRLLVCQKPFVKK >OIV93808 pep chromosome:LupAngTanjil_v1.0:LG17:20553496:20557104:-1 gene:TanjilG_03771 transcript:OIV93808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGAYVPITIVNDAVSKGAVCLDGSPPAYHFDKGSGEGINNWVVHIEGGGWCNDVTSCVWRKDTHLGSSEKMEEQAYFAGLLSDTENLNPDFYNWNRVKIRYCDGGSFTGDVEEVDPGNNLHFRGARIFVTVIGELLAKGMQNAENALLSGCSAGGLASILNCDRFKSLLPGGATVKCLSDAGYFVNVKDISGAQRIEEFYSQVVQTHGSAKHLPESCTSRLSPGLCFFPEYVASEIDAPIFIVNAAYDPWQIQNILAPGVADPGGSWRSCDLDIKSCSPDQLSIVQEFRTEFLKALSPLGNSPSKGMFIDSCYIHCQTETQETWLHNDAPLLSNSTISKAVGDWFYERSASNKKIDCSYPCNPTCHSRVFDARKYPGIFL >OIV94903 pep chromosome:LupAngTanjil_v1.0:LG17:819102:824438:-1 gene:TanjilG_22100 transcript:OIV94903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRSKFEAWKCMNKFHCCVNLSPQPHYPSMTRYPNGTNNQKLESESESKAVFSVIGMTCSACAGSVEKAIKRLQGIREAFVDVLNDKALVLYHPAMVNKERICEAIEDAGFQAKSIDEESNDTSSQICRIHIKGMTCTSCSSTIESALKTIHGVQKARVALATEEAEICYDPNIVSHNQLMETIEDAGFEAILISTGEHISKIEFKVHGILNDESISAIEHSLKALPGVETIDIYPHINKITITYKPYMTGPRTFIQVIESTGSGCFRAVIFPNEGGRETHRQEEIKRYFKFFIWSLALTIPVFLTSMVLMYIPGVKHVLDMKVVNMLNIGLLLRWEFSTPVQFIIGMRFYIGSYKALRKGSANMDVLIALGTNAAYFYSVYVVARAAFSKDFEGSDFFETSAMLISFILLGKYLEVLAKGKTSQAIAKLMDLTPDTATLLTQDDEGNVISEQQIDSRLIQKNDVIKIVPGAKVASDGLVMWGKSHINESMITGEARPVAKRKGDMVIGGTVNENGVLHVKVTRVGSESALSQIVRLVESAQMAKAPVQKLADHISKYFVPLVILLSLSTWLSWFLAGQLHAYPKSWIPSSMNSFELALQFGISVMVIACPCALGLATPTAVMVGTGVGATQGVLIKGGKALESAHKVNCIVFDKTGTLTVGKPVVVTTKLFKNMSLQDFYEFVAAAEARVNSEHPIGKAIVEHAKKTTEYHEQNHPLPEASHFVSVSGHGVKAIVKNKEIMVGNKKLMLDHNISISVDAVEMLAEAEKLAQTGILVSLDGEIAGVLAVSDPLKPDAKQIISILNSMKIRSIMVTGDNWGTANSIARQAGIETVIAEAQPEAKAIKVKDLQSSGYTVAMVGDGINDSPALVAADVGMAIGAGTDIAIEAADIVLMKSNLEDIIIAIDLAKKTFSRIGLNYIWALGYNLLAIPIAAGVLYPFTRFRLPPWIAGAAMAASSVTVVCSSLLLKNYKRPKMLENLDINGIKIG >OIV94013 pep chromosome:LupAngTanjil_v1.0:LG17:15325119:15326007:-1 gene:TanjilG_25009 transcript:OIV94013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFGDRWVVPWNAMTILQVMLLWTTAFWFIGSWMIPFAAHITGFNKESLTFRGQALFSLVIDVTEGIAGIAILLRCLSRFRPLPPDWFKFSLKGNWQIDVILGCLMFPIVNRLSQFNLDLLPLLPSTPVTFSSVEQSIRARDPVAMFLYAIVVSVCAPVWEEIVFRGFLLPSLTKYMPVWCAILVKESTAINAIA >OIV94445 pep chromosome:LupAngTanjil_v1.0:LG17:4134143:4135186:-1 gene:TanjilG_25507 transcript:OIV94445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLVIRERRHQQALRLSLPPPSTPSSDHLCHQIHVPASLPSPSNHENSSPGIKNLSDLKKVAVLGHGNGGTVYKVHHKKNHSFYALKVLRFNENSIGIRQPSMILEAEILKRVDSPFIVKCHAVFDNGNCTEAENGNDLSFVMEYMEGGSLHDVLRENYRLPEEAISLLAKRVLEGLNYLHGMHIVHRDIKPSNLLVNDKGELKIADFGVSHIVEGRFDVTELNAGTCAYMSPERVDPERWGGENVDEFAGDVWSMGVVMLECFLGYFPLIGPGQKPDWATLMCAICFGEKLEMPEKASPEFQNFVRRCVEKDWRKRATVKELLHHPFVNRKCFCIGKGLGDYVIHE >OIV94460 pep chromosome:LupAngTanjil_v1.0:LG17:4334052:4336241:-1 gene:TanjilG_25522 transcript:OIV94460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTGWRKAFCKSIPKDINREPKVLSNKQHQHCDTTTNNNTNKSPKTSSKFGFFSNPSTPRSQSNTVTGPNLRCRTSLTTCSNPNSPKLQCNNQKKHNNTNSPRLFQQSSPKSVSPSSFSLLKATLHLSKNRCGICMQSVKSGEGTAIFTAECSHTFHFPCIATRAKKNPIVTCPVCSTCWKVLPVLAIHSAERKNKDIKTKSFKVYNDDEPLMSPTSVTRFNPIPESEDEDDANTEFQGFNVFTSSPVVMSNIQVCLLPEAAIVAANRNYESYALVLKLKAPMVQMAANRAPIDLVTVLDVGGSMNSAKLRLMKRMMRMVISSLGPTDRLSIVAFSAGSKRLLPLRRMTSSGQRSARRIVDAIAKIDQPRSGSSVKNDAVKKAAKVLEDRRDKNYVASVIVLSDIQESRAAVTTTSNPKPYQVSSTRVPQLGIPVHAVTFPIESDCTDALPSDTFTKLMKSLLSVVAQDVKIQLSVMSHSRSVEIAAIYSLSGRPELLGTSSIKIGDLYSEEEKELLLELKVPVVSAGSHHVLTVFSSYVDPLTQDITNPIEQAMLVPRPHNIRSSAAKIERLRIIYVMARAVAESSRLAAEADFSGACHFLSSAQALLWGSSESGEVYLRWLEVELAELKQRCRQQQQRQRTNHCLDEKLEPLTPTSAWRAAERLAKVAIMRKSMNRVSDLHGFENARF >OIV94911 pep chromosome:LupAngTanjil_v1.0:LG17:770599:771914:-1 gene:TanjilG_22108 transcript:OIV94911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHDSMALEKNSWVVVLCVLILILLHGDDSTVKKLGCENQFVLVKVQTWVNGIEDTEFVGAGACGNCTFIKNAITAQMVNASAILIIFYNMVCGPDETDLNIHIPAFMLSQDASRRLEILLMSTSSDKLSTWAPKEAAIEKDKLLKEASDVPNIKAGVSGVVYMNVTGAVLSTVIAPCFLFVV >OIV94718 pep chromosome:LupAngTanjil_v1.0:LG17:2190704:2192911:1 gene:TanjilG_06181 transcript:OIV94718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDLLDLVGRRQYFPIVVCCSTRDDLDSLCSSLSPLPFVSYSALYSDLAEDERAFILDKFRQVTTRWNQTNHTGAGNEDEVGKDDDRSHVIIVTDTCLPLLASGEPPMNGHLLINYDLPAKKETYGRRMATCLTADGIVINMVVGGEVVTLKSIEESSGFIMQEMPMRILDIL >OIV94949 pep chromosome:LupAngTanjil_v1.0:LG17:485034:485564:-1 gene:TanjilG_22146 transcript:OIV94949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCWSSNSSNPSLHGVTNTNKKLKGVRRRKWGKWVSEIRVPGKQERLWLGTYSTAEAAAVAHDVAVYCLRRPSSLDKLNFPETLSSYDVLKRDDMSPKSVQKVASDVGMDVDARNIAGMKTTLVVEENNNNNYEKKSSSDDCDLFWLEELGDVSEGSEEYGCREGEALNISVEDYL >OIV94738 pep chromosome:LupAngTanjil_v1.0:LG17:2369159:2370980:-1 gene:TanjilG_06201 transcript:OIV94738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNIGIMDSAYFVGRSEILAWINSTLQLNLSKVEDACSGAVHCQLLDAVHPGTVPMHKVNFDAKNEYDMIQNYKVLQDVFNKLKITKHIEVSKLVKGRPLDNLEFMQWMKRYCDSVNSGLHHNYNPVERREVCKGGRETTKKSAQSQASTKASTTSRPHSSHNARRNDGSSAANARNQAPKVTSTRPSSAGGPAYDEQITGLKLSVDSLEKERDFYFAKLRDIEILCQIPEIEQSSVVAAIQKILYATDDDGTAVAEAQAMISGGQKEAEQLSPIAEASEEKSCSETRKRKIIANLAFDAAGTTNLSPRQRLADVSDVHCSESPLMTC >OIV94554 pep chromosome:LupAngTanjil_v1.0:LG17:5498904:5502429:-1 gene:TanjilG_25616 transcript:OIV94554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFALRTKSFGFEQGGVFTNHRWDEIDESDQWQKGIYYALCAAYASVSIVALVQLVRIQMRVPEYGWTTQKVFHLMNFVVNGLRAVLFGLYNSVFTIKPKALEQVLMEVPGLLFFSTYTLLVLFWAEIYHQAIIWIYMSVSRTAAGLEAAKLFLAVISFCAALGFLLYGGRLFFLLRRFPIESRGRQKKLYEVGSVTSICCTCFLIRCVMLALSAFDKGVDLDVLDHPILNLVYYLLVEIVPSALVLFILRKLPPRRVSDQYHPIR >OIV93888 pep chromosome:LupAngTanjil_v1.0:LG17:17379327:17379878:-1 gene:TanjilG_05591 transcript:OIV93888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPWTFLSILFTLSFIFISHAPLPASTINFKLYQVVCIQYSVRVSPNIKGCLAALQSDPRIPSAETYVALSIFIIDQAIKNSTLSQNFLKNLMKTDPSPAIKQCANEDYDGIIKALKNALAIVIHNPQTAINYLRRDLAYAQGKCSGALKDDPKPYFEVNVLIHNLYFYKDVAELSLNHLLDK >OIV93753 pep chromosome:LupAngTanjil_v1.0:LG17:20971002:20976351:-1 gene:TanjilG_07656 transcript:OIV93753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDFNNDPLMRNQNAAVQARAKAQNRANVLQLKLIGQNHPTGLTSNLLRLFEPRPPLEYKPPPEKRKCPPLTGMAQFVSKFAEPGDPEYSPPVPVAETPAEKKARIHKLKIEKGAAKAAEELEKYDPHKDSNISGDPYKTLFVARLSYESTESRIKREFESYGAIKRVRLVTDSMTNKPKGYAFIEYLHTRDMKAAYKQADGRKIEGRRVLVDVERGRTVPNWRPRRLGGGLGTTRVGGEEVNQRHSGREQQQSGPSHSEEPRVREDRHADRDREKSRERVKDRDKELERSLERPSDRAKDRDYREDKHHRDRDRNRDRDREKERDRGRDRERTRDRDRGRDRNDRDRERDRDREHDRHRERDRDYEVEETDRGRSHDRKTDYDHIEANDEKDRHDDGVHDYEPEDDGRYQPVHRHRHADSDHDADQYEHRGRGKHDDGDDHGDRYNEYPDHDRMEDDYHTERERSHDVDRGYRRSERSHSRDHEY >OIV94102 pep chromosome:LupAngTanjil_v1.0:LG17:13798680:13801576:-1 gene:TanjilG_05482 transcript:OIV94102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLINELLDVALDDNHNHDSDDNNNWEQLDERPRSSWAEVVSGEQDHQTQQLDENNDDGWQTVGNKHSRKQHKIQRDSWNQYKLPSDEQQYSNEVEVGDRVEPSEDELTDLSRACEKLWDLDLNRLVPDKDYEIDCGEGKKAFRKGDMAQRNLFTWVNDDVFRKPTFGRFLSLLDNYIPHQGSEEVVTSEEIQEQASFIEEISRTAPIKYVHRYLASKGIVSGSYQDFKRRMTSLWFDLYGRSGTFGSSSAFEHVFVGEIKQNNEVSGFHNWLQFYLEEAKGRVDYQGYIFPRRSGEIVSDYLLT >OIV94973 pep chromosome:LupAngTanjil_v1.0:LG17:308173:312143:-1 gene:TanjilG_22170 transcript:OIV94973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPLLLLNPHSFLFSFSFPRRRRSTSPNSQPLKATLLTNSDSFQVGRPIATYGFMNDVGQGSVNIRLYEGRVSQGPLRGTPIIFKVYPGKRAAGVEADMMAANELNAHSFLQSSSEGGSQHILLLVGGFETITGEQWLAFRDYGKYSAADYAKRASERVSRDRALGEASSWNRFEQGQTLKRKQIFIVNLLVGVMRGLAYMHDQDRLHQSLGPFSVVLNTIAEREARYLIPRLRDLAFSVDVRYSEVEEDPQPLADGLWRRARAAGAFTRMEKRLFGIADDIYEAGLLFAYLAFVPFCEAGAMDSLSLQRLLENTFQLDLEATREYCLADDRLVNAVEFLDLGDGAGWELLQAMLNADFRKRPTAEAVLNHRFMTGELL >OIV93965 pep chromosome:LupAngTanjil_v1.0:LG17:19117533:19120508:-1 gene:TanjilG_05668 transcript:OIV93965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLPSSLLLEILSRLADSTDLARCRLVSKTLNTVSHDIRSVTLFCSMSRYLKSRSPETKHLVTPFKTVFTDLVRRSRNLDTVSLGVDRALGTISFDDVEDECDDLYLTDFSFFKEWLPLISEGLKSLTVSDFWVQSCWRRSDALSLISSSCNTLVKLVIRNAWLSVDSLNSMPTLTNLTLEFVRLDDEDLSRISSSFPNLIELNLIGVGGLKEPKINLLHLRTCQWSVSNAPLSLIICAPNLVDFHLKCIKPRLIVLDAPLLHTFNLSLENTDELNLKNCTNIESLQLNIECISLGFLCSMFCHCSTVNRLTVDLVRKTDRVEVTEFGLDTLLDFFPNISYLNLGPGAWFVMESSFRKGGLKESIGMKTLKELVAHLVVQEIESTLAFVSCILDKCMKCSDFYLLIHRDVDSYVAGNLISTCKSKFPRVKWRWGIWKEGIKDTLVSDGI >OIV93745 pep chromosome:LupAngTanjil_v1.0:LG17:20880745:20892647:-1 gene:TanjilG_07648 transcript:OIV93745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAIPKTEKNGIAVVDIDIDDDDIDAAAGDYIVGSSSKPPSFQGHLDHARVHPKFLHTNATSHKWAFGAIAELVDNAVDEIQNGATYVKVDRVHIIKDNSPALSFLDNGGGMSPESLRKCMSLGYSSKKSKTTIGQYGNGFKTSTMRLGADAIVFSRATCSGKLERLLDPRFRLHRDTISLCQPSAPRFTVLLSGRAKNHRSSTIPIDFDISGHWAEPIIYGSQDDWSAHLKTILEWSPFTSKEELMLQFDDIGLHGTKVVIYNLWLNDEGIYELSFDDDAEDIRLRDEANQGDMKKLHKKAVQLQSHISYRMRYSLRAYVSMLYLKKFSNFQIILRGKPVDQFNIADELNYSQIVSYRPQLAMGSNEAAVLTTIGFIKEAPNLNVSGFNVYHKNRLIKISEKL >OIV94862 pep chromosome:LupAngTanjil_v1.0:LG17:1132431:1134018:1 gene:TanjilG_22059 transcript:OIV94862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFLPNTFPLRSSLFGSFGNLFERVKQMGTLAVSVIIGNILSAILTFCFALVGTLLGAMTGALIGQETESGFIRGAAVGAISGAVFSIEVFESSLVLWQSDESGIGCLLYLIDVIASLLSGRLVRERIGPAMLSAVQSQMGAVETGFDEVQNIFDIGGSKGLSGDSVEKIPKIKITSDNNVDGSGERVSCSVCLQDFQLGETVRSLPHCHHMFHLPCIDKWLFGHGSCPLCRRDL >OIV94827 pep chromosome:LupAngTanjil_v1.0:LG17:1399028:1403246:-1 gene:TanjilG_22024 transcript:OIV94827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQQRTRRRCEGTAMGAIILDLRPGLGIGPFSLGMPISEAFAQIEQQPNIYDVVHVKYYDEEPLKMDIVISFPDHGFHLRFDPWSQRLRLIEIYDVKRLQMRYSTSLIGGPATLATFVAVYALFGPTYPGVYDRERGVYTLFYPGLSFAFPIPSQYTECCHNGGVELPLEFPDGTTPVTCRVSIYDSSSGKKVGVGSLMDKASAPPLPPGSIYMEEVHVKLGEELYFTVGTQHIPFGASPQDVWTELGRPCGIHQKQVDQMVIHSASDLRPRTTLCGDYFYNYFTRGLDILFDGQTHKIKKFVLHTNYPGHADFNSYIKCNFVIYGSDSVGGSFQEVHNSKQRAITPSTKWEQVKEILGDCGRAAIQTQGSASNPFGSTLVYGYQNIAFEVMKNGYIATITLFQS >OIV94343 pep chromosome:LupAngTanjil_v1.0:LG17:6122217:6123065:-1 gene:TanjilG_21683 transcript:OIV94343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSSEQVSSSGLDWNNNLMEAQKLELLPKPHHNEMKKSSESLKCPRCDSTNTKFCYYNNYNKSQPRHFCRDCKRHWTKGGTLRNVPVGGVRKNKRLKKPTTTTTSCTLTTSTSIVDGVLSSNMNNIQTSLAVSDQKNMPSSSLYQAMIRPSSLLLQQQNLMNMRDLESKDFGIGNGMTLSSTLPLHQNQTLLFPFSTSFYTNPCSVSTTSLRSSSNVYNYVEESTINSVIPSTSSGINTQTLEIPATSGGIGMSNYWSWEDIDSFVSTDLKNPWDDSDIKP >OIV94076 pep chromosome:LupAngTanjil_v1.0:LG17:13238426:13241415:-1 gene:TanjilG_05456 transcript:OIV94076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRRCSHCSHNGHNSRTCPNRGVKLFGVRLTDGSIRKSASMGNLNHYASSGGTLIGAETLDHGAVAADGYASEDFVTGSSSNSRERKKGIPWTEEEHRMFLLGLQKLGKGDWRGIARNYVISRTPTQVASHAQKYFIRQSNVSRRKRRSSLFDIVADEAAEAPMVEQDFLSANQFEAETEGNNPLPAPPTLDEECESMDSTNSNDGEPAGPAPLKPDNNSQSSYPLIYPSYYPPFFPLPLPYWSGYSPAEPVKKEDMHEVLKPTPVHSKSPINVDELVGMSKLSLGETIGDSGPSALKQKLQEEGPSRQSAFHATPATTGSNVNGSVIHAV >OIV94355 pep chromosome:LupAngTanjil_v1.0:LG17:6312192:6315022:1 gene:TanjilG_21695 transcript:OIV94355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDDSSNSWIRRAKFSHTVCHRMDYSSLGSFPIRNSGLKSRPLEDSGVKSRLPEDSGLKSRKLEVSGLKSTPLELTPHNLKPVAKVSQVQKNLITNKQRSLSPLPETFVSQTFKEARHEQKRFSTPGPQRKNQDNKIMFMGKLLNKDPREIKVSNPKSPIKHFASMKSGDKSKHHKESGWAKYFDHGGGRVTAVETAEEWTVDLSKLFLGLRFAYGAHSRLYHGMYNEEPVAVKIIRVPDEDENGALASRLEKQFIREVTLLSRLRHPNVIRFVAACRKPPVYCVITEYLSEGSLRAYLHKLERKTVSLQKLIAFAMDIARGMEYIHSQGIIHRDLKPENVLINEGFHLSIADFGIACEEAYCDSLADDPGTYRWMAPEMIKRKSYGRKVDVYSFGLILWEMLTGTIPYEDMTPIQAAFSVVNKNSRPIIPSNCPPAMRALIEQCWSLHSDKRPEFWEIVKVLEQFESALAHDGTLTLVQSLLCQDHKKGILHWMQRLSPSNQHSGPVPKPKFS >OIV94033 pep chromosome:LupAngTanjil_v1.0:LG17:14235391:14238106:1 gene:TanjilG_14280 transcript:OIV94033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSAGHGGHSGGGDWSRTPSTETPLKKRVSEVFEHHVILVESGEDIAERVMAYSGQGSRKICILSASGLVSNVILQQTALSGGTATYEIIVGSFVPNGKKSSSNVLKSGPSSAPAPKMFYFGGSMTATSPT >OIV94714 pep chromosome:LupAngTanjil_v1.0:LG17:2129504:2131652:-1 gene:TanjilG_06177 transcript:OIV94714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLRTAVLMFSMICSVFIFLVCLKQISTHARTKFLELNVIDKPSQSIVIPYLHYPEPVSFSRNECACNPVLFFAILSNQRSGSGWFETLLNSHINVSSNGEIFSVIDRRKSASSILQTLDTVYNLDWLNSASKNECSAAIGLKWMLNQGLMEHNKEIAEYFNRRRVSVIFLFRRNLLRRMVSLLANSYDRHAKLLNGTHKSHVHSAEEADILSKYKPSIDSTLLLDDLKDMEMRAAKVLEYFNSTRHMILYYEDLVRNPNKLKYVQEFLGLPQMELTSRQVKIHRGQLSDLIRNWDDVNKMLKGTVYESFLEADY >OIV94595 pep chromosome:LupAngTanjil_v1.0:LG17:5932766:5933902:1 gene:TanjilG_25657 transcript:OIV94595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTHTDSSPIPSITTLPLNLHFNLHHVLPLLLLLFILIQFPPPATAQLPNTLTPPPPDNTISNVQFNKSMVMALVILVVVFVLLGFVSVYTRQCTERRMRGRHDLSIPIIGSNHRPRGLDREIIETFPTFIYSTVKSLKIGMATLECAVCLNEFQDDEKLRLIPVCNHVFHAECIDAWLVNHSTCPVCRANLVSTPSEVVPFMTIQLPDQTDPEPDPVHVDEFSGRQGTVMKESPKLSNNNSVNQNRPRRSRSTGFRFTNLLPRSHSLVQRGENLERFTLRLPEEVRNQLVTSTLSRTKSLGVAFTPESSERRGYRTRSVGSGCGRNNLERLDQSDRRMFRWMSRAGSNISKKVTEFNKDDVGERSSDRLFSGKENDM >OIV94971 pep chromosome:LupAngTanjil_v1.0:LG17:318630:322830:1 gene:TanjilG_22168 transcript:OIV94971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRNNILRSKSRILFYLSSLLCFFFFFLFSYSSHLTIPSFSFSLSHSQFNSNIHTSFVTSLEHFLSTHKSNAHDDTFIASSDADVTNLDIALYNSEINRLYAEDNYPIRVYVYNMPNKFTYDLLWLFSNTYKETSNLTSNGSPVHRLIEQHSIDYWLWADLIAPESERVLKSVVRVHRMEDADLFYIPFFTTISFFLMEKQQCKALYREALKWVTDQPAWKRSGGRDHILPVHHPWSFKSVRRYMKNAIWLLPDMDSTGNWYKPGQVFLEKDLILPYVANLDLCDARCLSETNPKRKTLLFFRGRLKRNAGGKIRSKLVAELSGADGVMIEEGTAGEGGKAAAQSGMRNSLFCLNPAGDTPSSARLFDAIVSGCIPVIVSDELELPFEGILDYRKIALFASSTDALKPGWLLKFLKGISPARVHEMQQNLAKYSRHFIYSSPAQPLGPEDLVWKMMAGKLVNIKLHTRRSQRVVEGSRNRCTCECRPSNSTSPTIS >OIV94870 pep chromosome:LupAngTanjil_v1.0:LG17:1064133:1068516:-1 gene:TanjilG_22067 transcript:OIV94870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERCFRFFPCLVDPGRRSSLWLKLAFVTIHVVYIAILFLFDGDLVEKTKKEPWYTALYLLLCVVTLIQYFATSIASPGYALNAMRAVNERNAVYRKTSETSNKVNQLQAEMGASLLQWMEIRSEEMFQEVMQQIGQSWWQTCIRYHQSEHGHAPTAMWSSLREQSIVMIVTSVFFSLIITVFGLATALARAIIVGFGGISVKRQHCASGLVARCDRDTTLDLSGNFPYLSTSPNAIS >OIV93924 pep chromosome:LupAngTanjil_v1.0:LG17:17996056:17997565:-1 gene:TanjilG_05627 transcript:OIV93924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVCFQEITSSGVRKGEVYLADVSTKLKNKNITTDVKVDTNSNLLTTVTVDEPAPGLKTIFSFIFPDQKSGKVELQYQHEYAGISTSIGLTASPIVNFSGVIGNNLVSIGTDLSFDTASGNFTKTNAGLNFTHSDLITSLTLNDKFDTLNASYYHIVNPLTSTAVGAELSHSFSSNENILTIGTQHAIDPITLVKARVNNFGKASALIQHDWNPRTRFSLVGEVDTRAIEKSAKVGLALALKP >OIV94692 pep chromosome:LupAngTanjil_v1.0:LG17:2523020:2523460:-1 gene:TanjilG_25916 transcript:OIV94692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFNPRVSSSRRKSRKAHFTAPSSVRRVLMSAPLSGDLRSKYNVRSVPVRKEDEVQVVRGTYKGREGKVVQVYRRKWVIHIERITREKVNGSTVNVGVHPSKVVITKLRLDKDRKSLLDRKAKGRAAADKEKGTKFAPEDVMQTVD >OIV94265 pep chromosome:LupAngTanjil_v1.0:LG17:7895232:7902167:1 gene:TanjilG_00014 transcript:OIV94265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVVALVSGGKDSCYAMMKCIQYGHQIVALANLLPVDDSVDELDSYMYQTINWGGCLVLTRGLEFKSRVKYLEGSVLPPIVVLLDSRNIALRKGRDGRHQELGYRITQGDEVEDMFNLLCEVKRQIPSVNAVSSGAIASDYQRLRVESVCSRLGFVSLAYLWRQDQSLLLQEMIANGIVAVTVKVAAMGLDPAKHLGKELAFLNPYLHKLKELYGINVCGEGGEYETLTLDCPLFTNARIVLDEYEVVRHSSDSIAPVGVLHPLAFHLENKEDIQSLKSQDKINEVCTHKLGSVFEVIDNVENFDATCKPVDYSVDPIDGLEHKFIISRTNNKSTFSLCCWLQDSCNGLQEDLKTVLEKVESQLASFGFGWENVIYIHLYIDDMNKFSEANETYVRFITQEKCPFGVPSRSTVEMPLVEMGLSRAYIEVLIANNKDKKVLHVQSISSWAPSCIGPYSQATLHEGILHMAGQLGLDPPTMNLCNGGPSVELEQALKNSEAVAKSFNCSISTSSIAFVVYCSKNVSSLERLDIQEKQETILRQMKISNLRKGHNDKVLDPMFLYVLVPDLPKRAYVEVKPVLYVEDDTDVEIETNTERSCSKEPYYWGFKQEDWHDSCIQKCVVPGKICASIVSITREMAAKICFDSPHPDYVNDGQYSLPKVHMEKLSKFCVYLLNKVISDHDFSWEDIMNLRFYIPASLQLMAEELMPMFSIALSEFAEMSQQKVLNGEEPIFNIVPVLGAGRAASSMDDVVTCELLARKS >OIV93762 pep chromosome:LupAngTanjil_v1.0:LG17:21021417:21024738:-1 gene:TanjilG_07665 transcript:OIV93762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCEVSQLKELELEHFEMREVLRCILHTIVFHRALGLVRPKDVDMELFDITYVQCGEVELEKKIDEKIEQFVCWVEKHPNKKSQICLSFYEVKNKQASWFSNKVERLYWEQWYINLNVSQHPKAHSVKSHHSKVVNPREGALEDRNVRSAALEASLRDVLFQIIKFVNEKKDHVPPIPNIEGPVSFPYEITIPSSSDSAFGMDMLKRMLQTGHPTMLS >OIV94421 pep chromosome:LupAngTanjil_v1.0:LG17:3884033:3887098:-1 gene:TanjilG_25483 transcript:OIV94421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVPNTTPAMMFTAAQPLFTVAQWQELEHQALIFKYLKAGLTVPPDLLVPIQKSLQSIMSHQNYPSLGYYGNGKKIDPEPGRCRRTDGKKWRCSKDAHPDSKYCDRHMIRRRCRSRKLVESQSQSSSSSSVATTGTTTTTATVSAASGTGTFQNLPLHTSCTRQGFTIGNGNNSTMNMMEPLPLTTEDSRKELRFGLSSEADEHNFLQKDLGTMRHQSYYDFTSDDTWSLMSQIPQNIISESRNRSTVVNNCFQQQTMRDTELLNLYDNGRSKELVFDGQLSSPGCLKQEYQSPQSLFSDSHLKMDLSSSTIDYRPIIDFNCNPDEN >OIV94167 pep chromosome:LupAngTanjil_v1.0:LG17:10561931:10562491:1 gene:TanjilG_13784 transcript:OIV94167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGGPDNSNSVYRECLRNHAASLGSYATDGCGEFTVDNMSPGSLQCVACGCHRNFHRKLKYPLVGHRGLISYTTSRGLEHPETTTDMIDYSGGGGDGSDGRLLELDGSGKKRFRSKFTVDQKEKMLAFAEKLGWKLQRKDLDEEIERFCRSIGVSRQVFKVWMHNHKNSSSSSSASNGNVSSLTQ >OIV94808 pep chromosome:LupAngTanjil_v1.0:LG17:1577620:1577982:-1 gene:TanjilG_22005 transcript:OIV94808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRSTSTRRGSSKYEKLEKESAGNGTWNDELKRSTSVPSRAQGSIFGDINIERNSTNKKANTNNNNNSNQKEKIHPLFGFLDFRRKKKTTAKPEFARYVEYLKEGGMWDSNSNKPVIYYK >OIV94672 pep chromosome:LupAngTanjil_v1.0:LG17:2693119:2695391:-1 gene:TanjilG_25896 transcript:OIV94672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDQNLISACNHQYQTNEPNNQVQLDVTGPSNKINSPSHENVHSNSNQQQQPPIVQWSHTPQNATQQLMAVSFPNQVLSHGVFNQWQQFLNQLQVFAQSISPSCQSQWPGGANPLLGGPNVPPIFQTLQAHQTPLPNMPYHVAYPFPGFPGPCNHSSCLGQMQQLQHSYAYNSPGAPGFSSATPTMPSCSTSGKQTSESGTIKPTAKLSQKHQQLWEAQSVENVQLRSMVDKLQAEVSDYKDRLVKLEEEVSSLKQDYKNQIMKLEQVSSLKQIVETPKAAEVIQTIPAGTGQPPKRGRGRGRPKRLASMEESHEPSPQSRGRKPALNPFQLDSNSPIFEKVVLKRVENKDIPNHPTTRIAQQENSGKILNGVSNSAKSTYQGRINQEYQGIKMSGSGAIICCASGVKINFERDKDKDMKMVYSQQSQPSKVLGICTSVSTKYIGNTSNGNHGLTSIDSARDVLDAANQSLFHNGSLVEQREKISPGLNSANEEDDSVEMEDAIVGSSKDENEEGMIDDTSFSA >OIV94548 pep chromosome:LupAngTanjil_v1.0:LG17:5423585:5428528:1 gene:TanjilG_25610 transcript:OIV94548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGACVSTAKGSSKRKTRKKRSELRRRVSSKLSKESLDKVHVAGLPDRSFANPTFQGSIEESWFDSFAVFDSDFDDDYQSVPEDVASHNGTEGGSISSSPSSRDGNHRSSDVQHSGVDVNDSQRKCDGNGAVFLDEISSVHSCSNKDGGILDNCGILPNNCLPCLASTVPSFDKRRSSSSSPPSERKKAYMKPSFKSKERHGDATLISPKTLLQRPIAGSQVPFCPIDKKMLDSWSQIDPSTFKVRGVNYLKDKKKEFASNYSAYYPFGVDVFLSPRKVNHIAQFVELPAISSSGNFPPILVVNVQIPLYPTALFQGETDGEGVSFVLYFKLSESYSKELPLHFQENMTRLMDDEIEKIKGFPVDISVPFRERLKILGRVVNLEDLNLNAAERKLMQAYNEKPLLSRPQHEFYSGENYFEIDLDMHRFSYISRKGFEAFMDRLKICTLDGNRTEELPEQLLCCIRLNGIDYMNYQQLGLTQDLL >OIV93935 pep chromosome:LupAngTanjil_v1.0:LG17:18259981:18260450:-1 gene:TanjilG_05638 transcript:OIV93935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMKKNWNENKNKKKVGDISNLDSELKINHQMQAINKQNLGMFNQSQLDLFMEAYCLALSQLKEAMEEPQKKSMAFLNNMHSQLRKLTMVAMSTPSKLDDTTSSSITTNMESKRNSSNEKNYH >OIV94478 pep chromosome:LupAngTanjil_v1.0:LG17:4605198:4610239:-1 gene:TanjilG_25540 transcript:OIV94478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDPNSAAENDHHHHNNNNATASKRKSRFKRPIFKVLLPGQIAFRIVCQSSIIGGLIGSSGSFVSSLRQQTGCKIHCEDSVPATEERTILVIGSILSRKGVTLIGVDGDGGGGEVEVSSAQEAMLRIYERIWELEVEKRNSVGLNREVMCKLLAHTSQIGAVVGKGRKSINTIRNNSGAKIRVCPSPHCAPKDQELILITGRILAVKKALIGVSQCLQDCPPLAKVPASLTTPTINNSDRSTSSSDPDAELFPHLSSWIYSMEGLSLNDASKGRNSNGASGHDSKGTECEVAFRLLCSNNVAGSVIGRKGAIVRALECKTGASITFAPPLAEFAERIITISAIEKLESSYSPAQDAIVLVFARIIEEKFEKGFLTVSDMSSPVTARLLVSSSTINYLSGNEGRIISELKEVTGCDIQILYGEPLPSGATDSDVVVQITGGYRSVPNALYKITCSIKDNIPNEVLPEARMKSKLKAKKDPLKGNHFAHGKSASTSVRFLPKNAGVHAAAISENGHTDLSGNSGHGRGNKLATVTNTTVEIKVSEHVFGSVYGEDGGNLDRIKQISGASVTVYDPSVGTSGGRVVISGTPDQTFAAQSLLQAFIQAGQIAPES >OIV94297 pep chromosome:LupAngTanjil_v1.0:LG17:7098444:7098872:1 gene:TanjilG_25666 transcript:OIV94297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRVRTKTVKKSSRQVIERYYSRMTLDFHTNKKVLEEVALIPSKRLRNKIAGFSTHLMKRIQKGPVRGISLKLQEEERERRMDFVPDVSAIITDHIEVDKETLDMLHSLGLNDVPGIVQVDPTPVQPQFAFGRGAGAPPRRY >OIV93826 pep chromosome:LupAngTanjil_v1.0:LG17:20707651:20711918:-1 gene:TanjilG_03789 transcript:OIV93826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKMTYDSITKKLLSPNDEHEKDDNVIASTEGGSEEELSDSIQEPTLENLNQDESELAIEKVNKKCSLPNDISAWKNDFTIDASKRIQLDLNIPIIDEDEGEAIDEKVGNVESPHALGLQLDQGENEESPYELGAAESEGGISSLNKSDEKVYDFDLNVPLVDEDEDGAY >OIV94163 pep chromosome:LupAngTanjil_v1.0:LG17:10446477:10451781:1 gene:TanjilG_13780 transcript:OIV94163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELASTRRGHGGRSNRPRNNHNNHDHNDYYDSYKAPLTSRQKYKLELLFKVCPYTDEKKRMEISRELGMQPQQVKFWFQNKRAQLKTQHERQDNSALKAGNDRIRRENVAIRESLKKLTCPNCGPPINEDSYYADQKMRLENVHLKEKLDTMSNFVSKYTGKPFSSLPPILAINISPINVSMGTSTIGKQQRFFDGGGNGGAGGSISSLGTNLRQETSTSCNATPYLPPPGSLSGIDEPLMSTIATIAFEEFMRLLHTNEPLWLMSPTDGRDVLNFETYERMFPTENTRLMNRNVRVEASRDSAFVMMKSSTLAEKFMDPNQWKEIFAPIVSAAKTIEVVSSSIMDGSNGSLQLMYEELQVLSPHVSTREFHFLRYSQEIEKGTWAIVDVSYDNPQDKQCMPQFRCHRFPSGCLIQNMPNGQSKVTWIEHVEVEDKTPIHNFFRNFMHSGMAFGADRWLAILQRMCERMASLTVTTNSTRDPGGVITSPEGKTSMLKLSQRMVTSFCASVGTSNGQNWTTTFGSNEIRFRVTYHKGTDPKQTNGVVLSAATTIWLPIPLHIVFNFFKDEKRRPQWDVLSNGNHMQEVANIANGSHPGNCISILQDFNTTKNGMLILQESCIDSSGALVVYCPIDLPSINKVMSGEDPSFIPLMPSGFSISPDGQKHHGGGDGASTSSSLTRSIGGSGGSLITVSFQILVSNIPSAEINMDSVAHVTNLINTAIHQIKVSLNCPSSS >OIV94650 pep chromosome:LupAngTanjil_v1.0:LG17:2857674:2874133:1 gene:TanjilG_25874 transcript:OIV94650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSQQSNLFDTVSQPDPGNDAYAFLEFNTQGEDFDYPEFCDPIRSPVSWPTPSDSLAEPSERGGGGGGAGSDHQSDASPVSAAPGSAAKGRSGSSSGGGNSQMVDALLPGMSGLNFEDTGDDDNFEYGKGDFTEHACKYCGVSNPACVVRCNVPSCRKWFCNSRGNTSGSHIVNHLVSSYNGICNLFNLLLLPDLLVPGIWGSGGFGDFEIVEYNMVRAKHKEVCLHKDSPLGETILECYNCGCRNVFLLGFISAKTESVVVLLCREPCLSVNALKDMNWDLSQWCPLIDDRCFLQWLVKVPSEQEQLRARQISAQQINKVEELWKTNPDASFEDLEKPGVDDEPQSVALKYEDAYQYQNVFAPLIKLEADYDKEDNELRLVPGDELRLRYSGDAAHPAWQSVGHVIKLTAQEEVALELRASQGVPVDVNHGFSVDFVWKSTSFDRMQGAMKTFAVDETSVSGYIYHHLLGHEVEVQMVRNALPRRFGAPGLPELNASQVFAVKSVLQRPISLIQGPPGTGKTVTSAALVYHMAKQGQGQVLVCAPSNVAVDQLAEKISATGLKVVRLCAKSREAVSSPVEHLTLHYQVRHLDTSDKSELHKLQMLKDEQGELSSSDEKKYKALKRATEREISQSADVICCTCVGAGDPRLSNFRFRQVLIDESTQATEPECLIPLVLGVKQVVLVGDHCQLGPVIMCKKAARAGLAQSLFERLVILGVKPIRLQVQYRMHPCLSEFPSNSFYEGTLQNGVTVNERQTTGIDFPWPVPNRPMFFYVQMGQEEISASGTSYLNRTEAANVEKIVTTFLKSGVVPSQIGVITPYEGQRAYIVNYMSRNGSLRQQLYKEIEVASVDSFQGREKDYIILSCVRSNEHQGIGFLNDPRRLNVALTRARYGIVILGNPKVLSKQPLWNGLLTHYKEHECLVEGPLNNLKQSMVQFQKPKKIYNERRLFYGGGPGVAASDNFGSGAGATSDRRSGRGRGSYMPHGPPNGTHKPGVHPAGYTLPRVPLHPFHGGPQSQPYAIPSRGAVHGPVGAVPHVPSPGSRGFGAGRGNTGAPIGNHLPHQQGTPQSVGNIGPTFNFPTLENPNSQSSVGGPLSQPGFANNMSVQGASQSFRDQFSVPGMSQDFLGDDFKSQGSHVPYNVADFSTQASQSVYAVDYATQGAQGGFPGNFLNQNSQAGYSRFGSGNDFMSQDYMAHGSPGLFTQAGFTDPLQDDASQSHFSAANPNALQSQMNPLYSQPFAHYNTQPLNLQASQQQSQGQSSQNQKIHYKS >OIV93886 pep chromosome:LupAngTanjil_v1.0:LG17:17329354:17331164:1 gene:TanjilG_05589 transcript:OIV93886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLETVAHHGDDEHDGVSSRTSGSQGMNQVMEQEEVTMLDSSVVSTLTNVVSGSRHGGSEWVQVQGSGGSPLMSGFGHASPSSPSTLSSFPSRSALVSGSWVGNKRRRDEESGAPHQFMQQDDPRHFRTIGDFRVPKQGESSSEAASTTTTAITVTVTTLATPSTETASNEETIERRRYRGVRQRPWGKWAAEIRDPHKATRVWLGTFNTAEAAARAYDEAALRFRGNKAKLNFPENVRALPPPPIHTFPATTMLAATLSDSSDILRQPSAATMTPPQFQQQPLLQGSSDMIRDYWEYSQFLQSSGGFQQQLQLQQQQPSSLFQQLYYNSQLGALQSSLLLSSSPSLSSSMSATASFSPPTQFSSASCPMFSSQQMGYFGPPGNQTQGGGVTRSVFPPPTWSDNSGHQPPPS >OIV94954 pep chromosome:LupAngTanjil_v1.0:LG17:451859:455206:1 gene:TanjilG_22151 transcript:OIV94954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTFSSSSSTLLGSSALPSLKITSPFSKCRTTRLVTKASVAVQQQTQHPKVALIRIGTRGRYSFFPASGSSPLALAQAYETRDKLIASHSELAEEGAIQIVIIKTTGDKILSQPLADIGGKGLFTKEIDEALLNSDIDIAVHSMKDVPTYLPDKTILPCNLPREDVRDAFISLNATSLADLPTGSVIGTASLRRKSQILHRYPSLNVQDNFRGNVQTRLRKLSEGVVQATLLALAGLKRLNMTEHVSSTLSIDDMLPAVAQGAIGIACRSNDDKMAEYIASLNHEETRLAVVCERAFLLTLDGSCRTPIAGYACRNEDGNCLFRGLVASPDGTRVLETTRVGPYAVEDMIEMGKDAGKELLSRAGPGFFTS >OIV93848 pep chromosome:LupAngTanjil_v1.0:LG17:20249955:20252170:-1 gene:TanjilG_13863 transcript:OIV93848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLILPFFMTSLGQGLPLKTKLLSYATLLFGFYMAWNIGANDVANAMGTSVGSGALTLKQAVLIAAVLEFSGAMLMGTHVTSTMQKGILVADVFQGKDSLLFAGLLSSLAASGTWLQCASYFGWPVSTTHCIVGAMVGFGLVYGGAGAVFWGSLARVISSWVISPLVGAAVSFLVYKCIRRFVYSAPNPGKAAAASAPIAVFLGIVGISFAAFPISKSFPITLARALACGTVCAFLVDRIIRKQLGHLLVKSDIPEPEPKEDNVHQNVGAGGGFLSDIAGPKGTQLEIVYGVFGYMQVLSACFMSFAHGGNDVSNAIGPLAGALAILQGAAKGTEIVIPIDVLAWGGFGIVAGLMMWGYRVIATIGNKITELTPTRGFAAEFAAASVVLFASKLGLPISATHTLVGAVMGVGFARGLNSVRSETVKEIVASWAVTIPVGAALSVFFTWIFTKILAYIL >OIV94022 pep chromosome:LupAngTanjil_v1.0:LG17:14950507:14952000:1 gene:TanjilG_19383 transcript:OIV94022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESAAALSRIGLAGLAVMGQNLALNIADKGFPISVYNRTTSKVDETVDRAHREGTLPLTGHYNPRDFVLSLTRPRSVIILVKAGSPVDQTIAALSEYLEPGDAIIDGGNEWYENTERRIQQVNEKGILYLGMGVSGGEDGARNGPSLMPGGSYQAYSNVQDILHKIAAQVNDGPCVTYIGEGGAGNFVKMVHNGIEYGDMQLISEAYDVLKHVGGLSNSELADIFDEWNRGELESFLVEITADIFKVNDYESGYGYLVDKILDKTGMKGTGKWTVQQAAELSVAAPTIAASLDCRYLSGLKEERENAASVLKEAGLDQEVGALSSGVDKKRLIDDVRQALYASKICSYAQGMNLLRAKSVEKGWDLNFGELARIWKGGCIIRAVFLDRIKKAYQRNPSLASLIIDPEFAREMVQRQGAWRRVVGLAISAGISTPGMCASLAYFDTYRRARLPANLVQAQRDLFGAHTYERVDRPGAFHTEWTKLARQSGTTGVGSLS >OIV93786 pep chromosome:LupAngTanjil_v1.0:LG17:21258159:21259152:1 gene:TanjilG_07689 transcript:OIV93786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKIVKTCDKEYMRMAMLKHEETFKEQVYELHRLYRVQKILMKNMESRRGNEVCESEWYFNNAISLTQNVHHKGAQGKPQMKFDLERPSKEHIAESDDEELENIDEAEIELTLGPSRYSHRKRFSARLASDSHSLCSSSDGSKSNHINTTRCRTYKSSYTTRQESNGSMIHLVQVQDSTSGYQNGIRNSFGIEEQLRQDRFKQSPWLLQVLNLNMT >OIV94223 pep chromosome:LupAngTanjil_v1.0:LG17:9709051:9712110:-1 gene:TanjilG_09378 transcript:OIV94223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSDGSPTPQMVGNAFVEQYYSILHQNPDQVHRFYQESSVLSRSEEDGSMTTVTSIADINKKILSQDYTNFRVEILSADAQPSYKDGVIVLVTGYLTGSDNLKRKFTQSFFLAPQDKGYFVLNDVFRYVDEFKSVDIESAPANDAEESAPTDALVPESEPQHVPENILPAPIQNAVVDTDTTVSKEVDQPIENVKLPVAEKVVPVNHVKEPSHQEHRPITEKAASPTQEDLPKKSFASIVNALKENAAPFHVRASPVKPVEQPRVSIVPAPETPVPNTVASEKNNENSGKAHAIFVANLSMNATVEQLEEVFKKFGPIKPDGIQVRSNRQQGSCFGFVEFESATSLQSALEASPPIILDNRKLSIEERRANNDKARFSSGRGGYRNDRNDNFRGRGNFGGGGRGYSGRNDFEKRGEFSGRPRGSNNNYGRSNGESAPNGGYQNGGGKTPRQPVKAQ >OIV94120 pep chromosome:LupAngTanjil_v1.0:LG17:12197420:12198845:-1 gene:TanjilG_29220 transcript:OIV94120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVEVDVAVAAGQVPKKRTFKKFSFRGIDLDALLDLSTEDLAKLFSARARRRFKRGLTRKPLALIKKLRKAKREAPQGEKPEPVRTHLRNMIIVPEMIGSIIGVYNGKTFNQVEIKPEMIGHYLAEFSISYKPVKHGRPGIGATHSSRFIPLK >OIV94413 pep chromosome:LupAngTanjil_v1.0:LG17:3782352:3810626:-1 gene:TanjilG_25475 transcript:OIV94413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYDSDNSQDGRDEDDEEEYEENDKGNRFLGFMFGNVDNSGDLDADYLDEDAKEHLSALADKLGPSLTDIDLSGKSPQTPPDIVEQDCGEKAEDAVDYEDIDEQYDGPETEAANEEMYLLPNKEFFSAEASLEVLESRASVFDDENYDEESEQEQDLVNDNSKIDGISLADVGYGFHESARKLVLVVVLKFELDCLLNIAINSTPNRYVRVEALKMESWGRKLNMLGEQEENSIDASKGESGQEQDLQVGLPQTEEWDTEIQKLEEEGSEVMKRFTPLPVLCVEDGVTILRFSEIFSIHEPLRKGEKRECRHSIPRERYNKSFNFSDDTVEEDEETFLKGFSQSLSLTEQVCVVHNDVSESNYVDLEIPKFGFLHGDAPLAVKDDRQQKDCCLSAEPMKEDIEEDLSQKDDSFIWANFYPLDQQDWEDEILWGNSPAAGDNIVESCEISGPELGDSGGNKVEIESEKNLQFEPQKMMWEKDHNVFTCGSSPLLEPFGSRDPLGSKTNLLSESLFHPQLLRLESRSQEDSSCPEDGRGGNISEKHNQIGQVKRFTKIFSQNREMIEGSWLDKVIWEELHEPTVKPKLIFDLQDDQMHFEVLDSKDCKHLRLQAGAIILTRFLKSSSGDSSEIAGHGGQHGWRNVANDKHYSNRKTSQQLKSNSKKHSAHGIKVFHSQPALKLQTMKLKLSNKDIANFHRPKALWYPHDNEVVVKEQGKLSTQGPMKIILKSLGGQGCKQHVDAEETLSSVIEKVSKKIDFKASETFKIYYLGRELEGNKSFAAQNVQPNSLLHLVRTKIHLWPRAQRVPGENKSLCPPGAFKKKSDLSVKDGHVFLMEYCEERPLLLSNVGMGARLCTYNQKCSPDNQSGSLVRNTNSSLGHTISLDPADKSPFLGDLKPGCNQSSLETNMYRAPIFPHNVPLTDYLLVRSSKGKLSLRRIDKLNVVGQQEPLMEVLSPGSKNLQTYMMNRLLVHMCREFQVAEKRHLPPYIRTDEFLSHFPYLSEASLRKRIKEYAYLQRGTSGQSILVKKRNFRMWSEDELRKMVTPELVCAYESMQAGLYRLKHLGITETHPTHISSAMSRLPDEAIALAAASHIERELQITPWNLSSNFVACTSQGKENIERMEITGVGDPSGRGMGFSYARAPPKAPVSSAMVKRKAPTNRGGSTVTGTDADLRRLSMEAAREVLLKFNVPGEVISKQTRWHRIAMIRKLSSEQAASGVKVDPTTISKYARGQRMSFLQLQQQTREKCQEIWDRQIQCLSDINGDENESDSEGNSDLDSFAGDLENLLDAEECEEGEEGTNDSKRDMGDGVKGLKMRRRPTLAQAEEEIEDEATEASELCRLLMDDDEADRKKKKKARVVVEEARLVPKLQSKFIFDNTEKYNQIKNTLQVDGINHWKGDTITDLGEGGKFSARKSTSVKVNKAKKNGIPPISLSNKKIKLNMGEPIKLGHMRTNKHCPKYGEDLEAQLESTDMEKSSGKVDPSSHSQRKALFKKSISNSATRIAPVDNSTKIPVKFKCSSTEKSCDKPAIETLQSSDKPVTSDSETAKPAKVNKIIFPKIVKPDDTQAESLTPAIVIRPPTDSGRGQIDPHKLPIKIRQPPAEVDRERSHKKKIIIKRSKEDYYKNDQDLDSPGGNTGFEHRKTKRIVELSNLEMHRNQKTMYSTEGLVKWKGKEDRRWWEEQEKQKNEARLREEWARRHHKEFKMPKEQKLDEIRRYEEDIRMEREEEERQKAKKKKKKKLELGDEYLDGPRTRHDKRMLERSGKRRHAELGKGGADYMQPIKRRRGGGGEVGLANILESIVDTIVKDRNDLCYLFLKPVSKKVAPDYLDIIERPMDLSRIRERARNMEYKSREDFRHDMWQITYNAHKYNNGRNPGIPPIADMLLEHCDYLLNENDDNLTAAEAGIQISDF >OIV94085 pep chromosome:LupAngTanjil_v1.0:LG17:13489979:13492331:-1 gene:TanjilG_05465 transcript:OIV94085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPFEDDSYLDSYISTIGVDFKIRTVEQDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIVVYDVTDQESFNNVKQWLNEIDRYASENVNKLLVGNKSDLTANKVVSYETAKAFADEIGIPFMETSAKNASNVEQAFMAMAAEIKNRMATQPMNNARPPTVQIRGQPVNQKSGCCSS >OIV94896 pep chromosome:LupAngTanjil_v1.0:LG17:873496:879032:1 gene:TanjilG_22093 transcript:OIV94896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLERGQTWNLWVNAGTAMARIWGRTGCNFDGSGRGRCQTGDCTGGLNCQGWGVPPNTLAEFALNQYANQDFYDISLVDGFNIPMDFYPINGGCHKLSCTADINGQCPNELRAPGGCNNPCTVYKTNEYCCTNGQGSCGPTYFSRFFKDRCRDSYSYPQDDPTSTFTCPAGSNYKEDDCLIDLVGNYGLKKWSYIAQFLPGRIGKQCRERWHNHLDPTIRKEAWTEEEEAVLAYYYQLYGSKWAEIAKVLPGRSDNAIKNHWNSMKKKLDASSPSGSDISFATSGVKPAHVLAKKELQSFNEMVSLEQSHLLKDSVNNSHTQLILQNTSAGEFCSEKSCLEGGTPSASIKYMALCNTPDMYATNLDYFPLATDVASEDIESPKRQKVSSSETKFLADGEYYNQIRKGSNNKINSMQHYADDISGFSPVSVLRSLAMTYENMPSIIRKRSPRKTSSADYCDSTQTPLSMIVSTPESERVFNY >OIV93960 pep chromosome:LupAngTanjil_v1.0:LG17:19003439:19004854:-1 gene:TanjilG_05663 transcript:OIV93960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPFVGGEGVVQFPHKSDSNIVTINVGGQLFQTTKQTLTSAGPKTFFSRISDVVSTSPLHTPFVDRDPEIFSLLLSLLRTGNLPSKAKSFDVQDLIQESIFYGIEKLLIDSLSNPSQFEPFNLQKALILPLNGRDSASVIATTRYGSLHVAHGSKITSFHWSLRRKSTVLTHFTAVDSLLAISPSIAAAGANDFSGLEIIDLENGLVKETLDWENVTQSGSTVQAIGSGPDHLFTSFESSRRNSNSIVVYDLHSLRPVSEISHNEIFGANIGSAIPATKLQWVNGYNLLMASGSHSGPSGVNGNVRLWDVRSGNLVWEIKETVDCFADVAVSDSLSVIFKVGVNSGEASYIDMRSLCDKNEWTCLGDKRKVLNGKKEGYGCKIETQGNHVFCTKGGEVELWSEVIMGNGKKSSFRECVLVNDRIFKKNLMGRVKDMGGAKITNLSFGGSRMFLTRKDQQCVEVWQGSYREL >OIV94702 pep chromosome:LupAngTanjil_v1.0:LG17:2448594:2449869:1 gene:TanjilG_25926 transcript:OIV94702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGNAQKAKMAREKNLEKQKGASKGSQLDANKKAMSIQCKVCMQTFICTTSEVKCREHADAKHPKSDIYTCFPHLKK >OIV94485 pep chromosome:LupAngTanjil_v1.0:LG17:4675640:4680495:1 gene:TanjilG_25547 transcript:OIV94485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPGTMQLSHGLGLCMNLRCNKHPDCRGFQHFRQIGKPTHTLSYKSRAFKCHSFLVPGQPSEIPVVKVAATVLARSYNVLQSSPVIVKLIPAVGIIIFALWGLGPFMCQTRKLFFQRSDNSWKKSTTHYIVTSYIRPLLLWTGAILICRALEPAVLPTETGQVVKERLLHFVRSLSTVVAFAYCLSSVIQQAQKFLMDNTDASETRNMGFQFAGKAVYSAVWIAAFSLFMELLGFSTQKWVTAGGLGTVLLTLAGREIFTNFLSSAMIHATRPFVVNEWIQTKIEGYEVSGTVEHVGWWSPTIIRGEDREAIHIPNHKFTVNVVRNLSQKTHWRIKTHLAISHLDVNKINNIVADMRKVLSKNPQVEQQRLHRRVFLENINPENQALLILVSCFVKTSHFEEYLCVKEAILLDLLRVIGHHRARLATPIRTLQKTYRGAADLENIPFADSTFSGPGTVSNRPLLMIEPAYKISTDDKMKSRSARPAGDQDNKTTVRTRPDSKTDTKVSDSEVGMPEEMSSSQKDINVKQSKSLAINNVKPSVDTDNIISSSSTSTDDSGLPTNMSTKQGERKPASQSHASNRPVLEENIVLGVALDGSKRTLPIDEGIDNAATTREAKEMAACQGGNGSPKGTDGNDKIAIAPTSK >OIV94173 pep chromosome:LupAngTanjil_v1.0:LG17:10679407:10680543:1 gene:TanjilG_13790 transcript:OIV94173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTHTDSSPIPSITTLPLNLHFNLHHVLPLLLLLFILIQFPPPATAQLPNTLTPPPPDNTISNVQFNKSMVMALVILVVVFVLLGFVSVYTRQCTERRMRGRHDLSIPIIGSNHRPRGLDREIIETFPTFIYSTVKSLKIGMATLECAVCLNEFQDDEKLRLIPVCNHVFHAECIDAWLVNHSTCPVCRANLVSTPSEVVPFMTIQLPDQTDPEPDPVHVDEFSGRQGTVMKESPKLSNNNSVNQNRPRRSRSTGFRFTNLLPRSHSLVQRGENLERFTLRLPEEVRNQLVTSTLSRTKSLGVAFTPESSERRGYRTRSVGSGCGRNNLERLDQSDRRMFRWMSRAGSNISKKVTEFNKDDVGERSSDRLFSGKENDM >OIV94272 pep chromosome:LupAngTanjil_v1.0:LG17:8435306:8436013:-1 gene:TanjilG_00021 transcript:OIV94272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNGRSNSAVVASMLLFSMLVFCSEMVCAKTYTVGDEHGWTYNLANWTKGKHFKIGDRVVFKYDPRKHDVVVITGNKKAYDKCITPAGTIVYNTGNDEFLLNGGVNYFISKIPGQCQAGLKLAIKTE >OIV94669 pep chromosome:LupAngTanjil_v1.0:LG17:2730899:2732266:-1 gene:TanjilG_25893 transcript:OIV94669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLDKATGDGFTSSLRWQRRWEAVTVVRCSGSIDESGITVRNVDYHDGLKKRNHYQRHHQHSFLGEDHIQ >OIV94268 pep chromosome:LupAngTanjil_v1.0:LG17:7998388:8007601:1 gene:TanjilG_00017 transcript:OIV94268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIARDENGGVGRGTWSRGFGCLIRRKQVDSVHINGHGHQLARTLSVIDLVAIGVGSTIGAGVYILIGTVAREQAGPAIVISLLVAGIAAALSAFCYAELACRCPSAGSAYHYTYICIGEGVAWLVGWALILEYTIGGSAVARGITPNLALFFGGEDNLPSFLARHTLPGLGIVVDPCAAVLVLLVTILLCLGIKESSTAQFIVTTVNVCVMLFVIIVGGYQCFKSGWVGYELPSGYFPYGVNGMFAGSAIVFFSYIGFDAVTSTAEEVKNPQRDLPLGISTALCICCVLYMFVSAVIVGLVPYYELNPDTPISSAFSSYGMDWAVYLITTGSVTALFSSLLGSILPQPRIFMAMARDGLLPSFFSDINRRTQVPLKSTIVTGVFAAVLAFFMDVSQLAGMVSVGTLLAFITVAVSVLIIRYVPPDEVPIPSSLQTSVDRLARHSGGGIEEDQAISPVDPASYCENIHLHDKSEALLGHPLIIKEVTNDEQNEKNRRKLASWTIALLCLGVLVLAGAASIDRCPSILRFTLCGMGGILLLCSITVLACMKQDDTRHSFGHSGGFVCPFVPFLPAACILLNTYLLIGLGMATWLRVSAWLLIGVLVYLFYGRTHSSLLNAIYVPSACTNEIHRSRAHHLL >OIV94229 pep chromosome:LupAngTanjil_v1.0:LG17:9366189:9367233:-1 gene:TanjilG_08527 transcript:OIV94229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVIGFVLMVFLTLFSATYAYGGAWTNAHATFYGGGDASGTMGGACGYGNLYSQGYGTDTAALSTALFNNGLSCGSCYEIKCVNDKGWCLPGSIVVTATNFCPPNNALPNNAGGWCNPPQQHFDLAQPVFLKIAQYKAGIVPVSYRRVSCRRKGGMRFTINGHSYFNLVLITNVGGAGDVHAVSIKGSKTGWVPMSRNWGQNWQSNNLLNGQSLSFKVTTSDGRTVVSNNVAPSGWSFGQTFTGAQLQ >OIV94278 pep chromosome:LupAngTanjil_v1.0:LG17:8777730:8780765:-1 gene:TanjilG_00027 transcript:OIV94278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCISISSGTRIKTRKRNIAAPLDPAAFSDAVVQIYLDNAGDLELIAKSIESSDLNFSRYGDTFFEASFLNQWKTFFLCSKALILDFIVVFIGCRTQPGTTKPDEGERHPYSIIECEPKHELILPSVIYIQKILRRKPFLIKNLENVMRKFLQSLELFEENERKKLAIFTALAFSQKLSGLPPETVFQPLLKDNLVAKGLVLSFMTDFFKEYLIDNSLDDLISILKRGKVEDNLLDIFPPAKRSNESFSEHFTKEGLVALVEYNEKKIFEVKLKEMKSALTTQITEEADISEVIETVKLQIRDAKLPDTEVVRVLWDVLMDAVQWSGKNQQQNANAAMRQLKTWSELLNTFCTTGKLELELMYKVQMQCYEDAKLMKLFPEIIRSLYEADVLAEDTILHWFRKGTNTKGRQNFIKALEPFVNWLEEAEEEE >OIV93984 pep chromosome:LupAngTanjil_v1.0:LG17:19621361:19622236:1 gene:TanjilG_05687 transcript:OIV93984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQKKTIARKGSSFACRIQEHVKLGPKLSETLKGKLSLGARIIQEGGRRNIFKHIFGMQEEEQLLKASQCYLYTTAGPIAGILFISTEKVAFCSERPITFTSETGQLVRVPYKVLIPIGKVKEVNERKNMNKTEQKCIEIVTQDDSEFWFMGFLRYEKAFSNLQKVIYRSC >OIV94200 pep chromosome:LupAngTanjil_v1.0:LG17:10207336:10209040:-1 gene:TanjilG_28139 transcript:OIV94200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMLRRMVVESITMVMLRRMVVESITMDNAQSRLSMAISYAKAACEAHQDNIFSNLPATISTITVLTWLLLTRVNIELVALDVGEIYMRCACP >OIV94788 pep chromosome:LupAngTanjil_v1.0:LG17:1726128:1730052:-1 gene:TanjilG_13001 transcript:OIV94788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPDNSSPDNSTPDNATPDNNNPPPSSNNPPPPSSSNNPTPPPPASSGRSPPSPSGGSPSPPQHKPLPSNNNDNNNKTPSAPKSGNDNDNSDKAIIGAVLGVGAVLLILVIVCVICSRRKKKRKQMYYYGEQDAKGNNHYYNNSGQHPVGYYGGPRHPDHVVGVQSGMAPPNSGWGAPPPLMMNSAEMSSSFSTGPPPLPPPSPNLALGLKGTFSYEELAAATNAFNDQNLIGQGGFGYVHKGVLPNGKEIAVKSLKAGSGQGEREFQAEIDIISRVHHRHLVSLVGYCIAGGQRMLVYEFIPNNNLEHHLHGKGVPTMDWPTRMRIAIGSAKGLAYLHEDCHPRIIHRDIKTANVLIDDSFEAKVADFGLAKLTSDNNTHVSTRVMGTFGYLAPEYASSGKLTEKSDVFSFGVMLLELISGKRPVDLTNTMEDSLVDWARPLLTRGLEEDPGNFRELVDPFLEGIYNPHEMSRMAACAAGSIRHSARKRLKMSQIVRALEGDVSLDDLKEGMMKTGITNVQTTSSSSSDMPYDTMQYNHDMQKMRHMVYSGEAGTSSGSSAEMSRIQETPRRLN >OIV94129 pep chromosome:LupAngTanjil_v1.0:LG17:11504567:11508091:1 gene:TanjilG_31554 transcript:OIV94129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKKKRVSSKVWCYYCDREFDDEKILVQHQKAKHFKCHACHKKLSTAGGMAIHVLQVHKESVTKVPNAKPGRESTDIEIYGMQGIPPDVLASHYGEDDDEVPSKAAKVDIPSTPLVRGMMPPPLGTGYPPQPPFGTVPPIYNPAVPVPPNGWAVPPRPHSWYPQPPSVSILPRAPYPQQPLFPVQNVRPPLPTTVPPALQTQITPPGLPTSTTSVPVVQPLFPVVGNNNTTQGSSFSVAPVASSVPSITPALSMNVLVDAHLSAISSLTNNYQAIGVPGEAASNSHSYASGPNTGGPSIGPPPVIANKAPVSQPATNEVYLVWDDEAMSMEERRMSLPKYQVHDESSQMSSIDAAIDKRILESRLAGRMAF >OIV93795 pep chromosome:LupAngTanjil_v1.0:LG17:20410003:20415838:1 gene:TanjilG_03758 transcript:OIV93795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSDLDKSPKEKEPKAPPPAMSQVQTANGSGPINPDWSSYQAYSPLPPHGFVASSPQAHPYMWGVQHIMPPYGTPPHPYVAMYPHGGVYGHPSIPPGSYPFSPFTMQSPNGTADTSGNTAVSVEANDKLPEVKNKLPIKRSKGSLGSLNMITGKNNEPCKTPGTSANGINSKSCGSASEGTSEGSDENSQCDSQLKSGERHDSFEDEPSQNGSSVHAHNQTMSIVPTSADGAHGAVPGPTTNLNIGMDYWGTPISSTIPALHGKVPSTAVSGGMVAAGSRDSVHSQPWLQDDRELKRQKRKQSNRESARRSRLRKQAECDELAQRAEALKEENASLRSELSRISMEYEQLISENTALKEQLGEAPENENLRSSRDDQPVGNE >OIV93976 pep chromosome:LupAngTanjil_v1.0:LG17:19410588:19410797:1 gene:TanjilG_05679 transcript:OIV93976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRVSLKAKGKNSKGTEERTVAEYLKEWSTWTMRKAKVITHYGFIPLVIIVGMNSEPKPQLSQLLSPV >OIV94752 pep chromosome:LupAngTanjil_v1.0:LG17:2004031:2010248:1 gene:TanjilG_12965 transcript:OIV94752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPTAPHHYSFAAEYDGPPVTFDLPKAVPITVDNIPVASVVSNNILPFSLPVVQPLILPPPSKDLPRVSKEIQLGSETTTVSPTSVIANNVCDCDVSGEFSDDFSNTNYASGEFSDAANTSTTVLHESSNVEFSDSLERSSDAFRFSTSGKESLDFNELNNHQDWGSTESVLSLEYPSTRVSSSKHEEDSGNEPGFDAKRFSVVTLDVDGSVDDGDASNEDFDVDVEEENVIKPVKREPLTKGKKGSCYRCFKGNRFTEKEVCLVCDAKYCSSCVLRAMGSMPEGRKCVTCIGFSIDESKRQNLGKCSRMLKRLLNDLEVRQIMKEERFCEVNQLPPEYIYVNGKSLCYEELHILQNCPNPPKKLCPGNYWYDKVSGLWGKEGQKPSSIISAHLNAGGPIKLDASNGNTEVYINGREITKVELRMLQLAGVQCAGNPHFWVNEDGSYQEEGQKNTRGYIWGKPGTKLVCAFLSLPVPSKSSNSCGEQHSNLVNRTVPDYLEHGIVQKLLLVGCSGSGTSTIFKQAKILYKSVPFSEEEQENIKLTIQSNVYAYIGILLEGRERFEDEILGDLKTRHSSELDITGTSSKLDDKTIYSIGPRLKAFSDWLLKTMVSGKIDAIFPAATREYAPLIEELWNDTAMKATYERKSELEMLPSVASYFLERAVKILRTDYEPSDLDILYAEGVTSSNGLACVEFSFPQSASEENVDTADLHDSLVRYQLIRVQARWPGENCKWLEMFEDVGMVIFCVSLSDYDQFSVDGNGCLANKMILSRMFFETIVTHPAFEEMDFMLILNKFDQFEEKVEEVPLTKCDWFSDFHPTISRSRPSSNSNSINNNPSLGQLASHYIAVKFKRLYSSLTGRKLFVSLVKGLEPGSVDPALKYAKEILKWNEERPNFSLSQYSMYSTEGSSFSH >OIV94636 pep chromosome:LupAngTanjil_v1.0:LG17:2963464:2969826:1 gene:TanjilG_25860 transcript:OIV94636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEFHEHIITELLEDSNGGLVILSSGLSLSKLISTLLILHSSSQGTLLILSSTSTSLKSKITFHLKTLNPQFHQIPAEITADLPSNHRYSLYTSGNIFFITPRILIVDLLTNKLPTSAISGIIILNAHSLSETSTEAFIVRIFRSLNRNAYIRAFSDKPHAMVSGFAKAERTMKFLNVRRLHLWPRFQVDVSQELEKEPPDVVDIRVPMTKYMVGIQKGIVEVMDACLKEMRKTNKVDVEDLTVENGLFKSFDEIVKRQLDPIWHTLGKKTKQLVSDLRTLRKLLDYLVRYDAVTYLKYLDTLRVSESFRSVWIFAEASYKIFDYAKKRVYHLVRSDGMKLDGLSKSAKNKKRKVKGDNKDTEEGVDGASSTSSNAGLVLEEVLEEAPKWKVLREVLEEVEEERQKQGALREEVLAEGEDNGIVMSEEWKKYLLSKVQLRDIVHNKKNKPKDPKGFGILDGVTPTTPAQNADASSISKQEHDALLAAASGLKDFAKNDHVEGPSLPDFGGRGRGKGKRKLGSKNGPIVVDGSGVHNGNKETSTSDKFGKCDSKNEAHIDEASPASSGRFHEIMHGGTSVDNILLRKHTDAAALNAKPLPPVHFYAIESDQPILDILKPSIIIVYHPDMAFVREIEVYKADNPSKRLKVYFLFYEDSTEVQKFEASIRRENGAFESLIRQKSMMMIPVDQSGYSTLESDLNTPQNSITRKAGGRKEANKEMQVIVDMREFMSSLPNVLHQKGMHIIPVTLEVGDYILSPLICVERKSIQDLFMSFTSGRLYHQVETMVRYYRIPVLLIEFSQDKSFSFQSASDIGDDVTPNSIISKLSLLALHFPRLRIIWSRSLHATAEIFASLKANQDEPDETKAMRVGVPSEEGVVENDVRAENYNTWAVEFLRRLPGVTDSNYRTIMDGCKSLADLALLPMEKLAKLMGGHKAARTLRDFLDAKYPTLL >OIV93845 pep chromosome:LupAngTanjil_v1.0:LG17:20216651:20216989:-1 gene:TanjilG_13860 transcript:OIV93845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSEIVEGSFESEDNKKWVIAGIALKAPLKPIYTIPSKKKEQEQEVEADECSSTTPTSVESKIPTMLTCPPAPMKRKPSSKCNYCSIVKELFTATTHDLETVFMRQVEKTN >OIV94743 pep chromosome:LupAngTanjil_v1.0:LG17:2065852:2067104:-1 gene:TanjilG_12956 transcript:OIV94743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSNSPCAACKFLRRKCTQECVFAPYFPPDNPQRFAYVHKVFGASNVAKILNELTAAQREDAVKSLAYEAEARLRDPVYGCVGLISVLQHRLRQIQMELNNAKKELATYIGPQGLPTTAILQQHPSAAAYPFTSSVFPVTTAAHGGQLLLGEPQHPQHQILEAQQLAAAMAAREQQEMFRGFEHQQQQQQPEFLRFNGGGFDLDSVSGGGFSQQVSPAAPVSDDQLSPSLTLGSFENPFHHMQQPQQGEPHAHVHSHHLPLEAQLLLSSPHQEKQEQQQQTQQSQLQHHQQQLERDECRSVGPSC >OIV94465 pep chromosome:LupAngTanjil_v1.0:LG17:4410869:4420500:1 gene:TanjilG_25527 transcript:OIV94465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFESIACCADSNYTDPLTTLNYTTDYSWFSDKRSCRQISKNVSNYGSNENVRLFDIDEGKRCYNLPTTKNEVYLIRGIFPFDELSNSSFYVTIGVTQLGSVISSSLQDLGIEGVFRATKNYIDFCLVKEKVNPYISQLELRPLPEEYINGLPTSVLKLISRNNLKGEGDDIRYPVDKSDRIWKGTSNPSYALPLSFNAINFDPKTNMTPPLQVLQTALTHSEKLEFIHSDLEIEGYEYRVFLYFLELNSSLKAGQRVFDIHVNSEAKEERFDILAEGSNYRYTVLNFSATGSLNLTLVKASGSENGPLLNAYEILQVRPWIEETNQTDVEVIQKLRKELLLQNQDNKVIESWSGDPCIIFPWQGIACDNSSVITELDLSSSNLKGTIPSSVTEMTNLKILNLSHSSFNGYIPSFPMSSMLISIDLSYNDLTGSLPESIPSLPNLKSLYYGCNQHMSEKAPENLNSSLIKTDCGKCQTDNPKFGQIIVIGAVTCGSILITLAVGLIFVCCYRLKLTPSEGFGEKNYPMATNIIFSLPASKDDFFVKSLAVAIQIFTLEYIEVATERYKTLIGEGGFGSVYRGTLEDGQEVAVKVRSATSTQGTKEFDNELNLLSAIQHENLVPLLGYCNEKDQQILVYPFMSNGSLQDRLYGEPAKRKILDWPTRLSVAHGAARGNMCAKVADFGFSKYAPQEGDSYVSLEVRGTAGYLDPEYYSTQQLSEKSDVYSFGVVLLEIVSGREPLNIKRPRNEWSLVEWAKPYIRASKIDEIVDPGIKGGYHAEAMWRMVEVALQCIEPLSAYRPCMVDIVRELEDALIIENNASEYMKSIDSLGGSNRYSIVMEKRVLPSTSSSTAESTITTQTLSQPQPR >OIV94668 pep chromosome:LupAngTanjil_v1.0:LG17:2734268:2735871:-1 gene:TanjilG_25892 transcript:OIV94668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSTDESWTSWLCDLDAEDYSLISESYTRVVEGGLSYPNNDVVPTPQEENSENQLSYATFKTNNSNTMSNSSGDHNSSTFEKPSKALKTRTTSNIGYLSQKDSSSSSYILSFDNVNPEPILLNTDTTLMPKGKIENERKELIRINMDKATVLRDTIKHVKFLHQQVKKLEEQAKRKRVESVVYVEKSKVSSSEDVPDSSSNSVDGDSNNDPSSKSIESLPEIEARVSEKNVLIRIQCKKQKGVLVNIVKVIENLHLSVINSSALIFGTSLMDITIIAEIPFYFFKLGFSF >OIV94332 pep chromosome:LupAngTanjil_v1.0:LG17:6396463:6398506:-1 gene:TanjilG_18297 transcript:OIV94332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGHKRSPCSVDQSSYTIASKRQKSDMSITTKAILSTTKKSQTDGPSVSVNAVNSLTDGTSASVRAMVFGYSLADGPSVLVQAMERKDKLGERIVALQQLVSPYGKTDTSSVLKEAMEYIGFLHQQVKVLSAPYLESSPKTEIQGIEPCSLRSRGLCLVPASLTMGVADSNGADIWAPIKTTSPKFEKDDGSQF >OIV93911 pep chromosome:LupAngTanjil_v1.0:LG17:17822410:17822646:-1 gene:TanjilG_05614 transcript:OIV93911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNKVGKEEKEDEILLKIVPPLDQTYVKWLARDLERVHGFTPKRALAVKPPDHYIEYMKLNGLLDVDLDDPKLAHLFK >OIV94217 pep chromosome:LupAngTanjil_v1.0:LG17:9881094:9885885:-1 gene:TanjilG_10645 transcript:OIV94217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKESGKKEGAASSSSSPPCAACRLLRRKCTHDCVFAPYFPPTQPHEFANVHKVFGASNVNKMLQEIPEHHRGDAVSSMVYEANARVRDPVYGCVGAISSLQQQINILQTQLVITQAEAMHLRVHHTASSNIIESQAKYFCDMDMVEHTSYGDSMRSC >OIV94494 pep chromosome:LupAngTanjil_v1.0:LG17:4792678:4801284:-1 gene:TanjilG_25556 transcript:OIV94494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLLLAATQTQPSLIPSITSNHHHNIITQPLIIRAYQKNRYPFTTPTFSSRSRHILLTHHPLKSASINGVSVQNNPEASSEVNAIDFFHRLRKWVQFLPLILPGGKWWNLSDEDVQAQVLAKPITVWRALAKMWHLVSRDRWVIFAAFTALIFAAVSEISIPHFMTASIFSAQNADITVFHRNNFSSCMWDMRIPNKHFLCCSGIRGCCFGIANMILVKRMRETLYSSLLLQDISFFDTETVGDLTSRLGADCQQVSRVIGNDINLILRNFLQGAGSLIYLLTLSWALGLSTLLICSILIAVMLHYGRYQKKSARLVQEVTASANEVAQETFSLIRTVHVYGTEKEELGRYKSWLEKLADISLRQTAAYGFWNLSFNTLYHSTQVIAVLFGGVSILAGHITAEKLTKFILYSEWLIFSTWWVGDSVSNLMQSVGASEKVFHLMDLASSSQFIARGKCFYELCFNYFKRVKLQSLTGQIEFLNISFHYPSRPTVPVVQLVNFVVHPSEVVAIVGLSGSGKSTLVNLLLRLYEPTNGQILIDGIPLKNLDVKWWRERIGYVGQEPKLFRMDISSNIKYGCTRDVKQEDIELAAKQAYAHDFISALPNGYETLVDDDLLSGGQKQRIAIARALLRDPKILILDEATSALDAESEHNVKGVLRSVRSDSHSRRSVIVIAHRLSTIQTADRIVVMDGGQVVENGSHRELLLKDGLYARLTRKQADAMA >OIV94797 pep chromosome:LupAngTanjil_v1.0:LG17:1637346:1638368:-1 gene:TanjilG_21994 transcript:OIV94797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGQEDKEIEMPTTLGYNRDSSSSSSKLSSPTAGETSVHHQPFPASQTPTLIFNDQPQTSHQATTQLHQSQEPSTDPDLSSFPVVTTSITITPIASIPIPPRTAPPQTTITAIATTTALIRYRECLRNHAASMGSHVVDGCGEFMPSGEEGTPESLKCAACDCHRNFHRKETEGEPQQHASNYHSYHPNKHNNTHNIIPSPPLPHHNHSHLQFHTPSSSMHHRFSHGVANPTSLIPPMIAFGGGGGGAAESSSEDLNMFQSNRGGEILMQPPSMSKKRFRTKFTQQQKERMMEFAEKLGWKIQKQDEQEVQQFCSQVGVRRQVFKVWIHNNKQAMKKQQM >OIV94190 pep chromosome:LupAngTanjil_v1.0:LG17:11020393:11029446:-1 gene:TanjilG_13807 transcript:OIV94190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNILKKLHIMSNQSEDTAAQGSTTSSRGNKFNDGSSTPKKISNWLHSVSNRQSPSPPSPQRVELFDSVSSGGLDIVSDPVRRDSGSSTSRDPEMEEEQQIQLALELSAKEDPEAVQIEAVKQISLGSCDPDNTPAEVVAYRYWNYNALGYDDKISDGFYDLYGILTESTSARMPSLVDLQGTPTADGVIWEAVLVNRTGDSNLLKLEQKALELADESRTDFEVVVDSSLVHKIAILVADYMGGPVEDPASMTRAWRSLCYSLKATLGSMVLPLGSLTIGLARHRALLFKVLADSLGIPCRLVKGLQYTGSDDVAMNFVRIDDGREYIVDLMADPGTLIPSDATGSHIEYDESSFGFDSSHIASFNSRVGTLSKETSDFGILDKGNRSKHNAYTEKESVVSKPATRKDELKKPSSELKNTPNEENITVSESPTRPNYPYMHVRSPSWTEGISSPAVRRMKVKDVSQYMIDAAKENPNLAQKLHDVLLESGVVAPANLFSEIYHDQLGSSSEASLPTTEKDEFKQGGVHKKTKALFLPPLPHLRHHSKASPVSQPVPSEPVDGLGIGIPPDTRTNSGQHALSQEAATQAKYGENVPVAAAAAAAAAVVASSMVVAVKKSSTESNIEIPLAAATATAAAVVATTAAVSKQHEQGSRSDGDTDGSGYELKGSGDGENIASGANSEGERTSDRSGGNDSTRSESALDDVAEYDVPWEEITMGERIGLGSYGEVYRGEWHGTEVAVKRFLDQDFYGEALEEFKSEVQIMKRLRHPNVVLFMGAVTRPPNLSIVTEFLPRGSLYRLIHRPSNQLDVRRRLRMALDAARGMNYLHNCTPMIVHRDLKSPNLLVDKNWVVKVCDFGLSRMKNSTFLSSRSTAGTAEWMAPEVLRNELSDEKCDVYSYGVILWELCTLQQPWGGMNPMQVVGAVGFQHRRLDIPDDIDPAVADIIRQCWQTDPKLRPTFAEIMAALKPLQKPITSSQVPRPSSQSLRAAEDAI >OIV94681 pep chromosome:LupAngTanjil_v1.0:LG17:2591245:2592498:1 gene:TanjilG_25905 transcript:OIV94681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELQLVEKGLGYARKRKKLILILCAVGFTGYSAYRVYHAPSIARKRKRLFKILGAFVSVAESVSESAETIRVVSRDMKDFLQSDLDEIPNSLKQISKLARSQHFSDSLVSVTSAVTIGVLRGYHQSVNRSDNDQTGAGSSFVDMVVDKLFTAAGSGFASVVVGSFARNMVLAFYSDGQCSGESNSSNATCVTNVVSNSNHVNPTWVDVVCSDKCSELIGNCVQLFVSTAVALYLDKTMHINPYDDFFSGLTNPKHETQVRDVLVSVCNGGIDTLVKTSHKVLTSSPSCLDNGERPARNEESGVETSRSVESKSGYVSDVENDSGWVSKVSSTLAVPSNRRLVLDMTGRVTFETVRSFMEFIFQTFCASVKRCADIVHEAVIELMRYAAAKSSVVFTICLSLCLHIMGGSDGWALVNA >OIV94447 pep chromosome:LupAngTanjil_v1.0:LG17:4152100:4153808:-1 gene:TanjilG_25509 transcript:OIV94447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGGPDEDDNRWPPWLKPLLKQTFFVQCKQHADSHKSECNMYCLDCMNGSLCSLCLIHHKDHRVIQIRRSSYHDVIRVNEIQKVLDITGVQTYIINSARVVFLNERPQPRPGKGVTNTCEVCERSLLDSYRFCSLGCKRVGTSKNFQKKHSAIMASESEDSYCSSSSHGRHLNSMVQRFTPSTPPPTSVNYRTAKRRKGIPHRAPMGGLIIEY >OIV94244 pep chromosome:LupAngTanjil_v1.0:LG17:9637285:9640256:1 gene:TanjilG_08542 transcript:OIV94244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPNLTLFFLLTSFFSNLHSAQSNPTLSLSLTTLSKSNDIVNIKWSGITSPSDLDFLAIYSPPNSSHDNFIGYVFLSKSDPAWKSGSGSISLPLINLRSNYTFKIFHWTREEINPKRHDHDNNPLPQTKNLIAESGEVSFEPGRGPEQIHLAFADDVDAMRVMYVSGDVRETYVRYGEKEGKLDGVAVARVKRYERKHMCDAPANDSVGWRDPGYIHDALIKKLKKGVRYYYKVGNDYGGWSDTHSFVSRNSDSDETIAFLFGDMGAATPYNTFLRTQDESLSTMKWIQRDVEALGDKPAFISHIGDISYARGYAWLWDHFFMQIEPVATKVAYHVCIGNHEYDWPLQPWKPDWANYGKDGGGECGVPYSLRFNMPGNSSESTGTIAPATRNLYYSFDMGVVHFVYFSTETNFLPGSNQYNFLKHDLESVDRKKTPFVVVQGHRPMYTTSNEERDAALRGKMLEHLEPLLVKNNVTLALWGHVHRYERFCPLNNFTCGSNVSQRVGDRGAFTVHLVIGMAGQDWQPIWEPRPDHPDMPIYPQPKQSMYRTGEFGYTRLVATKEKLKLFYIGNHDGEVHDTVEILASGEIISGNGDGNVSDAKAEEKSALSWYVQGGSVLVVGALAGYIFGFIKHTRKKSDAKSNWTPVKTEET >OIV94696 pep chromosome:LupAngTanjil_v1.0:LG17:2493221:2495111:-1 gene:TanjilG_25920 transcript:OIV94696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYLFHLFIIFSLVHVLTGYKFYNVVFFTSSFFTLNSDKNHCFLICIAATSEESIQILNLCETSDDIVLASSHTNSPLAVSINSRNLNSVSSSILSAETWLRSNVLAHYPAAKITTILVGNFASCEQKDLGLVLPSSKNMYHSLRRWGLEKEIKVSVALNLDCLPPNLKMVKPLIEFLHSVNSTFSLIPHSGFSHFSDKSLNLVSSHLESMKKLGFLYLNNINIVAMVPKLQKTITRKLSVVESSKTGSFPLRPTPLPEIAEPPLDYPVGYPAPSNVATKPLPPLAQVVSSPPPFSFAPEIPPLFVAPASSPHGFNLPPCNPIDNGSPNPMIVPVQKLWCVAKPTVPEETLQEALDYACGEGGADCMEITPQGNCYSPDTVVAHASYAFNSYWQSNKRHGGTCSFGGTAMLINSDPSFLHCQFILS >OIV94878 pep chromosome:LupAngTanjil_v1.0:LG17:1006510:1010236:1 gene:TanjilG_22075 transcript:OIV94878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRRTTPLLKRLFASHSRLNPTRSVTYMHRPGDGTPRAVTLIPGDGIGPLVTGSVEQVMEAMHAPVYFEKFDVHGDMKAVPAEVIDSIKKNQVCLKGGLATPMGGGVSSLNVQLRKDLDLYASLVNCFNLPGLPTRHDSVDIVVIRENTEGEYSGLEHEVVPGVVESLKVITKFCSERIAKYAFEYAYLNNRKKVTAVHKANIMKLADGLFLESCREVAANYPGIKYNEIIVDNCCMQLVSKPEQFDVMVTPNLYGNLVANTAAGIAGGTGVMPGGNVGAETAVFEQGASAGNVGDRKVVEQKIANPVALLLSSAMMLRHLQFPAFADRLEIAVKRVILEGKHRTKDLGGTSTTQEVTDAVIAALE >OIV94401 pep chromosome:LupAngTanjil_v1.0:LG17:3684287:3686175:1 gene:TanjilG_25463 transcript:OIV94401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLISELLANIMLLVTRPFTLLKLACLFGIRTALIVTYTWTELIMATIIFHVNIISGTITWTFGLISLPARVVNAFQTERQLEQKLHQMHYKLESLIWDRKKLQEWFQMAVKECKMMELLFAELEEEHDMAIEKIKKLERKLQDQINENLRLKETQGKAYWCSEDQNDTYSVQNIGDSSYNHPAMQCKSSYNGSEISLQDVLMHKDNWEVDNKTRTEMLKLLKTGPKSGSVPQVKTEMISNDVVKMREVLDQRRDIALSRSLFSAVMSLIVGVTIWEAEDPCMPLVVALFAVVGMSLKSVVQFFSTIKNKPASDVVALLSFNWFILGTLTYPSLPRVAQMLAPLLLRVMDQNMSRFDFSPLS >OIV94441 pep chromosome:LupAngTanjil_v1.0:LG17:4100552:4100902:1 gene:TanjilG_25503 transcript:OIV94441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRRWQRQGAEYFPTTRLLSRVPSLPFFGSVSCGGDCDSSVMSLQGGGSRWFGLLFSSTLMGCGSGDDGSWTRRLSAVGIPTSSLAPMKVNSSPSSLFVSYSIGFGTVGLLFGFFR >OIV94708 pep chromosome:LupAngTanjil_v1.0:LG17:2405627:2407755:-1 gene:TanjilG_25932 transcript:OIV94708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METETEFSPPHVAVEPPPSSWDEKSTKALKFIEEITRNTDYVQERVLAEILTQNADTEYLKRFGLNGATDRDTFKTKIPVVNYEDLQPDIQRIANGDTSPIFSSHPISEFLTSSGTSAGERKLMPTIHQELDRRTLLYSLLMPVMNQYVPNLDKGKGLYFLFIKAETKTPSGLVARPVLTSYYKSEHFKNRPVDPHNIYTSPNETILCTDSFQSMYSQMLCGLIMRHQVLRVGAVFASGLLRSIRFLQLNWRELAHDISTGTLNPKITDPSIKECMGNILKPEPELAEFISKECSGENWECIITRIWPNTKYIDVIVTGAMSQYIPTIDYYSGGLPKACTMYASSECYFGLNLKPICDPSEVSYTIMPNMGYFEFLAYDDSSPVTLSRDSPPRLVDLADLEVGKYYELIITTYSGLCRYRVGDILEVTGFHNAAPQFRFVRRKNVLLSIDADKTDEAELQKAIENASVLLHEFNTSVVEYTSFADSKSIPGHYVIYWELLVKDSSHEPTNEVLNNCCLVMEESLNSVYRQGRVADNSIGPLEIRVVKNGTFEELMDFAISRGASINQYKVPRCVTFSPIMELLDSRVVSVHSSPAAPHWTP >OIV93948 pep chromosome:LupAngTanjil_v1.0:LG17:18554839:18558391:1 gene:TanjilG_05651 transcript:OIV93948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDENVLDDIIRRLVAAKNGRTTRNVQLTEAEIRQLCTSSKDIFLGQPNLLELEAPIKICGDVHGQYSDLLRLFEYGGYPPEANYLFLGDYVDRGAQSIETICLLLAYKIKYKENFFLLRGNHECASINRIYGFYDECKRRFNVRLWKAFTDCFNCLPVAALIDEKILCMHGGISPDLRNLDQIRNIARPIDVPDHGLLCDLLWADPDKDLDGWGENDRGVSFTFGADKVAEFLEQHDLDLICRAHQVVEDGYEFFAKRQLVTIFSAPNYCGEFDNAGAMMSVDETLTCSFQILKPSDKKLKFGFGNNSSGPGTPPHKGGKA >OIV94868 pep chromosome:LupAngTanjil_v1.0:LG17:1074211:1082725:-1 gene:TanjilG_22065 transcript:OIV94868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMEQDIESCGSRALKSSQNAHARHHRQKLEVYNEVLRRIQDSDCEEARVPGFDDQLWLHFNRLPARYALDVNVERAEDVLAHKRLLKLAEEPSNQPAFQVRLVQVYPSGSATDSVHLDPSEKEDAQSSLNYSFKQGIHPPPTFGSSSNLETLPLQTTKNNIEDGGSAMGVTPCFPRPMHEITFSTIDKPKLLSQLTSILGEMGLNIQEAHAFSTTDGFSLDVFVVEGWPNEETEELKGVLEKEILKVKEQYLSNQGILPATNEQYQGRMELSPHCIHIPSDGADVWEIDTNQLIYGNRVGSGSFGDLYRGTYCSQDVAIKVLKPERISTDMLKEFAQEVYIMRKIRHKNVVQFIGACTRPPNLCIVTEFMSRGSLYDYLHKQRGVFKLQSLLKIAIDVSKGMNYLHQNNIIHRDLKTANLLMDENELPYSHLTPLQAAVGVVQKGLRPTIPKNTHARLSELLQCCWKQDPMERPNFAEIIEILRQITNEVNDGKTDGQKDKASHSFLSSFKRSHH >OIV94633 pep chromosome:LupAngTanjil_v1.0:LG17:2988802:2990481:-1 gene:TanjilG_25857 transcript:OIV94633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQLVVFEKDSLDLNENIEDFQVVPKSHGFNVNVPPFGHGNFGGHENDSTVTRNKVRETLRLFQVVSRKILQQVEGNSKGRANNSKRVDLQASKILKEKGKYVNTGKQILGSVPGVEVGDEFQYRVELNMIGLHRQSQSGIDYLKHNGKILATSIVASGGYADDLDNSNVLIYTGQGGNVMSSDKEPEDQKLERGNLALKNSSEEKNPVRVIRGYGSMDGRPKTLVYDGLYLVESYWQDMGPHGKLVYKFRLRRIPGQPELALKEVKKSKKFKTREGLCVDDISHGKERIPVCAVNTVDDEKPPSFKYITSMIYPDGNLTRHEGCDCTNGCSDSNKCSCVVENGGEIPFNHNGAIVEAKPLVYECGPSCKCPSTCHNRVSQLGIKFQLEIFKTSTRGWGVRSLNSIPSGSFICEYIGELLEDKEAEQRTSNDEYLFDIGNNFTNSTLWDGLSTLMPDAQSSSLEVVKDGGFTIDAAEYGNVGRFINHSCSPNLYAQNVLYDHDDKGMPHIMLFAAENIPPLQELTYDYNYKIDQVFDSDGNIKRKDCYCGSVECTGRMY >OIV93904 pep chromosome:LupAngTanjil_v1.0:LG17:17714750:17722852:-1 gene:TanjilG_05607 transcript:OIV93904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEQIPKSHKPLLSLDWNLLLPDAPDSHAPPPELRVLKPSDPMASDGPDHLSDHQLLLSIQSKKSTLENTGKGLPDRGAKLRATIQNYEDELTRRKAKRQHEEFDEDQRRKQAAAAATSTSVVGVSNDLTQENESSQAPPESSFSSCFVKKMEDDTDCTTVNAFSKDISHFKHCNNQTARENGEPRERKRHRSSSRCASNLSKRNRSNHDKSSRTTSAYSQHSIGKYLFRFVPKIRKDVSQATQSDGLRSRKGQPIVLDDDDDEGPNIPEKIEEENKLPEYLKEAKIYYPTRDDPECVEVCYKDIDCLAPEGYLTSTIMNFYIRYLKQQASLANRLLSDYHFFNTFFYKKLKEAVSNKQSDRERFFAKFRRWWKGVNIFQKPYILIPIHEDLHWSLIIICIPDKEDESGPIILHLDSLGLHSSKSVFNNIKSYLLDEKNYLDKENLSSDVPIAEKIWNWLPRRIDTQPIAVPQQKNESDCGLFVLYFIQRFIEEAPERLKKKDLDMFGKRWFKPEEASSLRVKIRKLLIAELRNTVSESSSLAASADPAIEECVDTANDSS >OIV94994 pep chromosome:LupAngTanjil_v1.0:LG17:173352:176190:1 gene:TanjilG_22191 transcript:OIV94994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEKNKMKNGGGGGGGGGGGGGGGYMMNLRRVAAEESGEGLPYAPENWPEAGDIWGWRTGRRVAGNGNFHDRYLYLPMRLCPDSSGGGSRKKRVSFASKLAVERYIKTNFPNANLPQFFSSFKWKIPALHIPSSNGNMVPIAAVPLQQIAQEQSDSDIDVVKCKAGNAMCDSLIPEKVEKYSPAMPCDICCTELGFCRDCSCILCCKTISSAYGGYSYIKCQVKHGDGICAHVAHMECALRSRMAGTVGRSIGLDAEYHCRRCDGKTDLISHVNKLLQTCKAVDLDDEIQKKILNLGACLLRGSQKPIAKELLSHIELAISKLNGGTWKQDNLAAHSTGLSDHGNDWMEFKVNESPTGSRSGSEEYLPQSLKLEAEIDEVLYALRKSQEFEYDVAEERLQAHKTYLQNLYQQLDSEKSKLGSQNSSHSDALLHVVREREQQIRREVAKLQVMKKVASGFGRTSKDILKDYFGLDIAD >OIV94592 pep chromosome:LupAngTanjil_v1.0:LG17:5918425:5919886:-1 gene:TanjilG_25654 transcript:OIV94592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAPCCDKNGLKKGPWTPEEDQKLVDYIQKHGYGNWRTLPKNAGLERCGKSCRLRWTNYLRPDIKRGRFTFEEEEAIIQLHSILGNKWSTIASRLPGRTDNEIKNYWNTHIRKRLLRMGIDPVTHSPRLDLLDLSSILSSSFYDSSQMNIQRLLGMQPMVNPELLKLASSIFSSHQGQYPTNMECAQNGDQENQHCSTQFQNQIQNFEHTPCVSLPLTHSQLVESNMNQYPSDFNDFSSQQHSHMSDWHSNEIASRTAINEDYVPQLPSYNYYGSDYLTNIMDPLVSETSTFYSNNSNQNFSFTSVLSTPTSSPKPLNSNSTYINGSSTEDERESYVSSSILGYEIRNILGVNEIM >OIV94166 pep chromosome:LupAngTanjil_v1.0:LG17:10492490:10501368:-1 gene:TanjilG_13783 transcript:OIV94166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETNSVDVILDFLRKNRFTRAEAALRSEINNHPDLNGFFQKLTLEEKASRDTPQNDKGKLAVEIQGADSRESEVSKELIVKEIECGASRSASETKWKTSAPSISERNKSIGTSDKHFTFSKSPEDSMLDLYSLKSNPSNGPADPYQNDTGSRANNTLKASVSQQSKYQTNEDVAATNSNANNTLKASVSATNSNAKSGEESTLLAANKPLWLGSSIKASVEPKYDLVAQSKEPREHDRQLKFNSSALKINFSDNPWSRADENANSSSDPWNCSVKTVFPFPKGDMPTSFDGTIYSDKNEEKRLEISDTRASIKEQVDEVGRAIFLGKSQGSSELKIISSSSFPLVYENQREEFPRLPPVKLKPEDKPLPVKWEEKFERDGPTSKFSGGDSTLYIGSYLDVPIGQEINPSGMKRATGGSWLSVSQGIAEDTSDLVSGFATIGDGLNESVDYPNEYWDSDEYDDDDDIGYMRQPIEDEAWFLAHEIDYPSDNEKGTGHGSVPDPHERGPAKDEDDDQSFAEEDSYFSGERYLQVSNVEPVTASDDPIGLIVTEMYGSTNDNDLMAQYDGQLMDEEELSLMRAEPVWQGFVSQSNELIMLGDGNVLDDRVRSRLENIRMDDDQHGSVRSIGVGINSDAADIGSEMHETLVGGSSEGDLEYFRDRDVGVGGFKHSHHNLDKSSISKSNKNKKKNDNSESNKYAKGGGKDAQLQMKTHGDGNFSFPLSSKDGQMAEASSKQSLWSNNCNADETDECLNAFEGSDDMLALWKQKSSDSSPIKSSRDENNANQVRSRNSSPATVSNYRYSEREHIKPEEDEKVDAAREDDLGASLEDEEAAAVQEQVRQIKAQEEEFETFDLKIVHRKNRTGFEEDKNFHVVLNSVIAGRYHVTEYLGSAAFSKAIQAHDLHTGMDVCVKIIKNNKDFFDQSLDEIKLLKYVNKHDPGDKYHLLRLYDYFYYREHLLIVCELLKANLYEFHKFNRESGGEVYFTMPRLQSITIQCLEALQFLHSLGLIHCDLKPENILVKSYSRCEVKVIDLGSSCFETDHLCSYVQSRSYRAPEVILGLPYDKKIDIWSLGCILAELCTGNVLFQNDSPATLLARVIGIIGPIDQSMLAKGRDTYKYFTKNHMLYERNQESNRLEYLISKKTSLRHRLPMGDQGFIDFVAHLLEINPKKRPSASDALKHPWLSYPYEPISS >OIV94648 pep chromosome:LupAngTanjil_v1.0:LG17:2892857:2894090:-1 gene:TanjilG_25872 transcript:OIV94648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVQAQYPFNVFRLNRQQQGYDYSSEQHTGLDQSHMLFNNGGTSSRKRGREETAPNVVNPLYTLQSQSQPPQLIDLTQLHNSQHNAVSTGLGLSFGDQHQQQHSSLSSPFLSLFSEGLNSQIKQQRDEIDQFLRAQGEQLSQALAEKRHKHYRELLNAADESVARRLREKEAEVEKAKRKNAELEEHAAQLSMEAQVWQAKIKAQESTAASLQAQLQHAVMMSHEKRALSCFVGQAEDAESAYNDPDRVTVSGPKCRGCDSRVASVVVLPCRHLCVCVECDRHFRACPVCFTVKNSSIEVCLS >OIV94844 pep chromosome:LupAngTanjil_v1.0:LG17:1260491:1262596:-1 gene:TanjilG_22041 transcript:OIV94844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTKTLNSLVDEKTLESSFVRDEDERPKVAYNKFSNEIPVISLIGIEDVNGMREEICKKIVEACEEWGIFQIIDHGVDMKLISEMTRFAKEFFDLPPQEKLRFDMSGGKKGGFIVSSHLQGETVKDWREIVTYFSYPIGKRDYSRWPNKPEGWIKVTKEYSEKLMNLACKLLEVLSEAMGLEKEALTKACVDMDQKVVVNYYPKCPQPDLTLGLKRHTDPGTITLLVQDQVGGLQATRDDGNTWITVQPIQGAFIVNLGDHGHYLSNGRFKNADHQAVVNSNCSRLSIATFQNPAPEAKVYPLMVREGEKPILKEPISFSEMYKRKMNKDIEISRLKKVAKEEKQLQNLDKDKLETKPIEEILA >OIV94146 pep chromosome:LupAngTanjil_v1.0:LG17:11821328:11828248:-1 gene:TanjilG_31571 transcript:OIV94146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATMTSLIGLINKIQRACTVLGDHGGEGLSLWEALPSVAVVGGQSSGKSSVLESVVGRDFLPRGSGIVTRRPLVLQLHKTEDGQEYAEFLHIPRRRFTDFAAVRKEIADETDRITGKTKQISNIPIQLSIYSPNVVNLTLIDLPGLTKVAVEGQHESIVEDIENMVRTYVEKPNCIILAISPANQDIATSDAIKLAKEVDPAGERTFGVVTKLDLMDKGTNAVDVLEGRSYRLQHPWVGIVNRSQADINKNVDMIVARKKEREYFETSPEYGHLAHKMGSEYLAKLLSQHLEQVIRQKIPSIIALINKTIDELNAELDRIGRPIAVDSGAQLYTILEMCRAFDKVFKEHLDGGRPGGDKIYGVFDHQLPAALKKLPFDRHLALKNVQKVVMEADGYQPHLIAPEQGYRRLIDGSITYFKGPAEASVDAVHFVLKELVRKSIVATEELKRFPTLSNDIASAANESLEKFREESRKTVLRLVDMESSYLTVEFFRKIHLEPEKNPNAPNAPNRGGSTIDSTDNHLRKIGSNVSAYIGMVCETLKNSIPKAVVYCQVREAKRSLLNRFYVQVGRKEKEKLGAMLDEDPALMEKRGQLAKRLELYKQARDDIDSVAWK >OIV94248 pep chromosome:LupAngTanjil_v1.0:LG17:9282306:9286105:-1 gene:TanjilG_00099 transcript:OIV94248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLCIFTPFPSLQNPNFHHTHFVNSPLTSHLHFSHTRIHFQGKIHCNALKDSSEEIKAVLGSDGGGGGGGGGGDGGNDGDGDRSKKVEKKDGPLPDWLNFTSDDAKTVFAALAISLAFRTFVAEPRYIPSLSMYPTFDVGDRLVAEKVSYYFRKPCANDIVIFKSPPVLQEVGYTNDDVFIKRVVAKEGDVVEVRKGHLIVNGVEKNEKFIFEAPSYEMEPTRVPENYVFVMGDNRNNSYDSHVWGPLPAKNIIGNCREEGGAPPSGRCTGKDALH >OIV94531 pep chromosome:LupAngTanjil_v1.0:LG17:5208973:5214090:-1 gene:TanjilG_25593 transcript:OIV94531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGNRSKSDKDGGAKQLRRDPYEVLGVSRNSTDQEIKSAYRKMALKYHPDKNANDPKATDMFKEVTFSYNILSDPEKRRQYDSAGFEAVESDSQELELDLSSLGAVNTMFAALFSKLGVPIKTTVSVTVLEEALNGLVTIRPLPLGHFISKRVEKQCAHFYSVTITEEEAQAGFVCRVHSSDKSKFKLLYFDQEDNGGLSLALQEDSAKTGKVTSAGMYFLKFPVYRLDQTMNSIAASKDQDTSFFRKLDGFKPCELTELKAGTHVFAIYGDNFFRSANYTIEAVCAAPFSEEKESLRNIESQILSKRAEMSKFETEYREVLAQFTEMTSKYSHEMQAIDQLLKQRNEIHASYTVTPSKLSSSKSRNKSSSKEAKEDDQARDESNARDHPRKKKWYNINLRVDKRKAC >OIV93902 pep chromosome:LupAngTanjil_v1.0:LG17:17685008:17687605:1 gene:TanjilG_05605 transcript:OIV93902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEMEIVEWLRPLVHTKAWDFIVVWKYGNDPTRYIEWMGCCCSGSCSEENIEQNVIKLKEEEMDDDDDDDQCYLASNSICRDTHFHHPIRTKACEALAKVPFAFSLFSGVHGEIAISQQPKWLTKDQDGSVGTQVLIPIVGGLIELFTAKLIPKDMSIIEYISAHCCLSLKQETTTAAGYASLNFIDHLPGAKCSSHLSIEGTSSGSNPSNEHLSFDSKTQHEYLKQLVKISPTPKVKRPKYNEAEGKQRKGLSSHCGTMEEDKAKLARQPRSETFIAKNLATERKRRNKIKNGLFTLRSLVPKITKMDRVSILSDAIDYIKELQHEVKELKDEVMALEVEECERNKPHLKMSNEKEHGGTRHAPLTELNQSSFECNKKRQMKVQVEVCHIGRTDFLIKLYCEKKQGGFSRLMEAIHSFGLQVVSANVTTLDGKVMNIVTVKATKQDIHPATLKEYLIKNAGE >OIV93961 pep chromosome:LupAngTanjil_v1.0:LG17:19014703:19018753:-1 gene:TanjilG_05664 transcript:OIV93961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTSPSLAASFLPPSSLTNNNNNNAFSLKPPTLSPLSSNTCCGCRLTQNNRNTTQPLQHQQPWVFIKSHPRSRSFLTASDGSFLTDPDPHPTDSETKDKVSLNLRVNTTRQKGSSSYPISSNSNPDLLAIPGVGPRNLRKLIQKGIAGVAELKQLYKDKFCGKSNDKMVEYLQSSVGIIHKNHAESITTFIKKSVEEELEDNSSTVQPLQKKRLTFCVEGNISVGKSTFLQRIANETIELRDLVEVVPEPIGKWQDVGPDHFNILDAFYAEPQRYAYTFQNYVFVTRLMQERESSGGIKPLRLMERSVFSDRMVFVRAVHEAKWMNEMEISIYDSWFDPVVSSLPGLIPDGFIYLRASPDTCHKRMKLRKREEEGGVSLDYLRDLHEKHESWLFPFQSGNHGVLSVNKLPQLVDNSLHPDIRDHVFYLEGDHMHSSIQKVPALVLDCEPNIDFSKDVEAKREYARQVAEFFEFVKKKNEISSREANEVEKNIEAQPPVLLPHEGCLWLPNGKPFPQSALKPLGFRRAMSFMSGQ >OIV94476 pep chromosome:LupAngTanjil_v1.0:LG17:4578961:4583845:1 gene:TanjilG_25538 transcript:OIV94476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAEQHGKQPQQLSTLRCSLLTLAILTLLSFTFLSLKYSTPQVSVANFLGDTKEISRTVEQDGEDFSDIYHSPRVFKFNFAEMENKFKVYIYEDGDPKTFYQTPRKLTGKYASEGYFFQNIRESHFRTLDPDQAHLFFIPISCHKMRGKGTSYDNMTIIVQNYVESLISKYPYWNRTLGADHFFVTCHDVGVRATEGLPLLVKNSIRAVCSPSYDVGFIPHKDIALPQVLQPFALPAGGNDIENRTTLGFWAGHRNSKIRVILARVWENDTELDISNNRISRADGHLVYQKRFYRSKFCICPGGSQVNSARIADSIHYGCIPVILSNYYDLPFNDILDWRKFAVVLKESDVYQLKQILKRISDAEFVALHNNLVKVQRHFQWNSPPIKYDAFHMVMYDLWLRHHTIQY >OIV94513 pep chromosome:LupAngTanjil_v1.0:LG17:5019199:5023137:1 gene:TanjilG_25575 transcript:OIV94513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLTFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIANKQQQQPVQVYPDTITDQSHLHYSNGSFGSGQGYKHAKQQKQQNHHHNMHPKEVDIEIGFNNKIAASKPNGHGYGHGHARGAMPMKPLSAHHGHVDMRSFEMKRGHGGKIRAGP >OIV93893 pep chromosome:LupAngTanjil_v1.0:LG17:17591466:17595656:1 gene:TanjilG_05596 transcript:OIV93893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQSFGQIREEEVEELIIKLREVSLSGPYIVNLSEMLMHIANNLICKCALGCKFNTSDGDGNNKVKYHARKVMIQLAAFTVRDYFPMLGWVDVLTGKIEEYKETFREMDALLEQVIEEHKKVEMESEENSNKKDFVDILLQFKERDMLDFELTNDDIKSLLMDMFLAGTDTTSTVLEWAFVELMRNPIIMKKVQKEVRRVVGNKSKVEENDIGQMLYLKCVIKEILRLHAPTQIIPPRETISSVKLNGYDIPAKTMVYINAWAIHNDPELWKNPQEFLPERFENSDVDFKGKHFQFVPFGFGRRGCPGLNFGIISIEYVLATLLYWFDWKLPETYKSAHDIDMSEIFGLVTSKKEPLHLQPIAFSF >OIV93760 pep chromosome:LupAngTanjil_v1.0:LG17:21013447:21015307:-1 gene:TanjilG_07663 transcript:OIV93760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSLQTAATFMQPTKFGCTNTLHFKSTHSITKPFGFESSRVKVTCSLQTEVKDFAHKCFDATKVAGFALATSALVVSGASAEGVPKRLTYDEIQSKTYLEVKGTGTANQCPTIDGGADSFAFSAGKYNAKKFCLEPTSFTVKAEGVTKNAPLEFQNTKLMTRLTYTLDEIEGPFEVSPDGSVKFEEKDGIDYAAVTVQLPGGERVPFLFTIKQLVASGKPDSFSGEYLVPSYRGSSFLDPKGRGASTGYDNAVALPAGGRGDEEELGKENNKSAASSKGKITLSVTKTKPETGEIIGVFESLQPSDTDLGAKAPKDVKIQGVWYAQLDS >OIV94285 pep chromosome:LupAngTanjil_v1.0:LG17:8995367:8996449:-1 gene:TanjilG_00034 transcript:OIV94285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRAGVLPDHYTLPIVLKAVCQTFSTRLGKQVHSIGIKLGLQSNEYCESGFIGLYCKAGEFGSARKVFDENPDPKLGSWNAVISGFSQAGLARDAMGVFVEMRRHGVAPDGVTMVSLMSACGSIGDLDLALQLHKCVFQAKDMEKADILMPNSLIDMYGKCGRMDLAYRVFATMEERNVSSWTSMIVGYAMHGHVKEALECFLFMREAGVKPNYVTFVGVLSACVHGGTVQDGRYYFDMMKNVYGITPQLQHYGCMVDLLGRAGLLDEARKMVEEMPMKPNSIVWGCLMGACEKYGDVDMAEWVAKHLQELEPWSDGSYVVLSNIYANKGTWKEVERIRAIMKERKFAKVPGYSLTTKSD >OIV93916 pep chromosome:LupAngTanjil_v1.0:LG17:17879421:17882562:-1 gene:TanjilG_05619 transcript:OIV93916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDEVISFSDYDLLCTEDTSVVLSVESPEYSSDMNSWEEESVASFIEEENKFVPPVEYLSRIQSTSLQSVAWILKVHAYYGFHPLTAYLSLNYFHRFLDSHQLQLTKDWALQLLSVACLSLAAKIEEPLVPSLLDLQVEGAKYIFQPRTICKMELLVLDVLDWRLKSITPFNFLSYFASKLDSTSTFNGFLISRATDIILSNIQEASFHAYRPSCIAAAAILYAANEIPNWSLINPEHAESWCQGLTKEKIKGCYKVMQELMNGNNRSKLPKMLPELRVTTRTRMRSSVSSLPSSLSSLSLSYKRRKIDNCLWVDDEKGNS >OIV94448 pep chromosome:LupAngTanjil_v1.0:LG17:4190591:4191268:1 gene:TanjilG_25510 transcript:OIV94448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMYLDEEEVWKCPKHPSKRRRSGICSTCLRDRLVTLCPDCAKVRPCSCCPTSSSSSTSSSSSFSRFSFAGDGGGSVGRIHSLIESEPSLRKSRSVAIPFLRSRSRFSGIGGSFDIDGAVRDSPALNRRGSSRSFWSVFKSQKSSREQDCDAKKALTEVNDGDLSSKAVMARSRSVAVLSGSGSGDGELRGKMKGRGWFFPSPMKAFRNSKVSKVVQERSPLYRG >OIV94828 pep chromosome:LupAngTanjil_v1.0:LG17:1391508:1395028:1 gene:TanjilG_22025 transcript:OIV94828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKTTTRGVVMDMTMLSCLLVLLFFPTLSYSYAPRTPSRLNRFSNTANVTSNYLTKQQLWLSQTLDHFSPYDHRKFQQRYYEFLDYFQFPDGPIFLVICGEYSCNGIKNDYIVVLAKKFGAAVVSLEHRYYGKSSPFKSLATENLRYFSSKQALFDLAVFRHYYQDSLNSKLNRTKTENPWFVFGVSYPGALSAWFRLKFPHLTCGSLASSAVVLAVYNYTEFDQQVGESAGAESKAALQETTQLIEQKLATNAKALKKSFNAAELQIDGDLYFLADAAALSFQYGNPDKVCKPLVEAKKAGEDLVDAYAKFVKETFGDSVQSYDQKHLKSTAVSDDDSDRLWWFQVCTEVAYFQVAPSNDSVRSSKVDTRYHLDLCKNVFGDGVFPDVTATNIYYGGT >OIV94071 pep chromosome:LupAngTanjil_v1.0:LG17:13162152:13164758:1 gene:TanjilG_05451 transcript:OIV94071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMPQLIFGNHNILNTTMDAKKEDEVLLGTAVHERKSNGYLLIATSGGLNQQRTGITDAVVVARILNATLVLPELDHHSFWKDDRVPDKVMRSMEKPPYTMRVPRKSEPEYYLNQVLPILLRWQVLQLTKFGYRLTNDLDDELQKLRCRVNYHALRFTKPIRQLSQKLVIRMRKMANRFIAVHLRFEPDMLAFSGCYFGGGEKERLELGEIRKRWTILPDFNPDEERKRGRCPLTPHEVGLMLQALGFTNDTYLYVASGEIYGGDETMKPLKDLFPSMYTKEMLAEEELKPFLPFSSRLAAFDYIVCDESNVFVTNNNGGRWVTKEPSDQMQRGLVHCCWKGINGIGIPSREKLRHAKEDSWESQMR >OIV94745 pep chromosome:LupAngTanjil_v1.0:LG17:2055089:2058322:-1 gene:TanjilG_12958 transcript:OIV94745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNSIMLQLLLCFVIGIVSVFVVQGEDAYKFYTWTVTYGTISPLGTPQQVILINGQFPGPRLDLVTNDNVVLNLFNKLDEPFLLTWNGIKQRKNSWQDGVLGTNCPIPPNSNYTYKFQPKDQIGTYSYFPSTAFHKAAGGFGGLNVYHRSVIPIPYSNPDGDFTLLIGDWYKTNHKTLRQTLDSGKSLAFPDGLLINGQARSTFTANQGKTYMFRISNVGLSTSINFRIQDHTLKLVEVEGSHTLQNIYHSLDVHVGQSVSVLVTLDQPPKDYYIVASTRFSQTVLTTTSVLHYSNSNSQASGPLPAPPTDKYDWSIEQARSYRWNLTANAARPNPQGSFHYGKITPTRVINLANSAPLINGKLRYAVNKVSYVNSDTPLKFADYFNIPGIFNTNLLQSNGPVTVATSVLPTSHHDFIEVVFQNNENTIQSWHLDGYDFWVVGYGLGQWTSASRSSYNLVDALTRHTAQVYPNSWTVILVSLDNQGMWNLRSAIWERQYLGQQFYLRVWNAQQNLANEYDIPHNALLCGKAIGKQHP >OIV94237 pep chromosome:LupAngTanjil_v1.0:LG17:9511851:9513852:-1 gene:TanjilG_08535 transcript:OIV94237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHKDDSQDFNEWQQIEQHPSPTVHQSSVAWNTVAINDTYLHKFHADRSSVEDSEDGTSEGETPMPAALPPSDWWLRVANEGRKLLKLRFEAMRAGVVRVVYKVRNCAIYVGAFWSILCVTGAATVAVLVCRIRRRRRRVGRQSVDHLTYLLREKDEKIRQLALQIAQLNEVISSRRKVPVLRISS >OIV93947 pep chromosome:LupAngTanjil_v1.0:LG17:18495347:18500558:-1 gene:TanjilG_05650 transcript:OIV93947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAVQLSLPVDVAAVPKLMGSEGFSRTAGVEPRNDSVSVLVNHSNSIENENESLLCNSSSQLPHLNGEEFSKNMPANNGKNCLVISSTLEAVPLQRKAAKVNKSSSSCSKRARMSRSEDPTSTNGIAESNPEKTQLQKQKSNGTKRGDKRSFKVPSAKSKFESSSMKMGASLFSSSSGANNFFGWLFQKLTFLTQAIAEPPFFSHEPYYSSTPSLLFSGKSQSYSTGLYGLKHDFHDVTKLMDEQPLDELLKGTFARPNLGKDKVKRTSSLNENFMNSVRKACSILHSPKSVQSQNTAEMDFSSNKTSPCELSSVCAVESVGNEEKDQSCTKDVPSCSKDLCSETEIIASPLDIPLSQPKDVLERIALHPFQDLDSLLLDLSKSTNDLRSGKQASRRSSLPSFPWSHAFGGHSRTNSDTVKLSTSRSACQGKWARTGIIASSTDIDRSCFTNLDSFSYDSSLVPSTGCSDNKLLPSLFSNLPSHQWDSSSPVTCKDSQFNAEVGGQTDPTENDECCPRVLAAARTLCELATRSLRQNPDGILRWQRKTSHKAMKTCNYKSNDKLEEMPSIPISMIGSDVFSSSAGQTMPSKKPRLSIVENKNSGHSNNFRKGPCTWSTSKSSRSIPSKPIKDSIVEGKRTTSSILKQHCMMPPPARDLGKAAYDGKRQDGKLVLTDWKRGRDK >OIV94563 pep chromosome:LupAngTanjil_v1.0:LG17:5597156:5602337:1 gene:TanjilG_25625 transcript:OIV94563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEEYDVIVLGTGLKECILSGLLSVDGLKVLHMDRNDYYGGASSSLNLTQLWKRFKGDDKPLEHLGSSREFNVDIIPKFMMANGGLVRVLIHTDVTKYLNFKAVDGSFVYNKGKIYKVPATDVEALKSSLMGLFEKRRARKFFIFVQDYETNDPKSHEGLDLNQVTARQLISKYGLEDDTIDFIGHALALHLDDNYLDEPAKEFVERMKLYAESLARFQGGSPYIYPLYGLGELPQAFARLSAVYGGTYMLNKPECKVEFDGDGKAVGVTSEGETAKCKKVVCDPSYLPDKVRKVGKVARAICIMSHPIPETNDSHSAQVILPQKQLGRKSDMYLFCCSYAHNVAPKGKYIAFVTSEAETDQPEVELKPGIDLLGPVDEIFYDMYDRFEPTNDHQADGCFISESYDATTHFETTVQDVIEMYSKITGKALDLSVDLSAASAATEE >OIV94000 pep chromosome:LupAngTanjil_v1.0:LG17:15495492:15495905:-1 gene:TanjilG_07548 transcript:OIV94000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGAVRDDTLLQLRVTTMFVQKLDATLKKMKSRNELQANVSTQDAVDVIALQAIAAFISKSLE >OIV93985 pep chromosome:LupAngTanjil_v1.0:LG17:19626403:19626644:1 gene:TanjilG_05688 transcript:OIV93985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDRPTAVVPALLINIVAEDDLDVEVGKPLVNLGAATVVDKDRAVANAVGGSFR >OIV93747 pep chromosome:LupAngTanjil_v1.0:LG17:20917715:20920969:1 gene:TanjilG_07650 transcript:OIV93747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAHLRIFNTSILCRQLLLHKTTPPPPPFLSISSSLFPSSHSPNLPPFSIPRNFFTSLTSSHFSLPHTSNLKPFNSHHSGTAWNRAPVNSHIPKLGLSGDRDRDVTVVLLGWLGAKNKHLRRYVECYNSRGFHAVTHVVDVKEFICFDLGDLLDRKIEGLTNDIVSWVSDKDDNGRERCLVFHVFSNTGWFIYGAILGRLLDLGRQDVMEKIKGCIADSGGGGPFDPQVWAAGFSTAILKKQSSSAQAVVEVGDMIKSETEVNSSKIQEKEPDTKIEIVVLSLLEKFFSTILKLPDVERRLTKVVSVLSKHQPCPQLYLYSTADRVVPSWSIEALIEEQRRTGRTVRSFNFGTTPHVDHFRTFPDVYLSKVNEFLEECFAINIKHTTSKS >OIV94180 pep chromosome:LupAngTanjil_v1.0:LG17:10771010:10771510:1 gene:TanjilG_13797 transcript:OIV94180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSMSMSSCISDLRLRVGGVRSFSPNCTTGWIMMSHMPMPISLPTPIHLNNKTSSIIPNRTSTSIIRCEVAAAEVSTSSPDDQEQEESKIGARVKVKVPLKVYHIPKVAEFDLTGLEGEIKQYVALWKGKRISANFPYKVQFLTDIQGRPVKFFAHLKEDEFHYL >OIV93901 pep chromosome:LupAngTanjil_v1.0:LG17:17683734:17684688:-1 gene:TanjilG_05604 transcript:OIV93901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGVVLLELVTGRKPVDQTQPLGDESLVEWARPLLIRAIETHDISELTDPRLEKHYVESEMFRMIEAAAACVRHSTPKRPRMVQVVRALDSADDISDLTNGVKYGHSTVYDSGQYDKDIMLFRRMANDSFVDANSDTYSKEYGYSRDMSGIQPAWLRSGSSGESESKAFNRHQSSS >OIV94436 pep chromosome:LupAngTanjil_v1.0:LG17:4033149:4034683:-1 gene:TanjilG_25498 transcript:OIV94436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSTSKDAQDLFRALWSSYSATPTNLKIIDLYVIFAFFTALIQVVYVALVGSFPFNSFLSGVLSCVGTAVLAVCLRIQVNKENKEFKDLAPERAFADFVLCNVVLHLVIMNFLG >OIV94271 pep chromosome:LupAngTanjil_v1.0:LG17:8149782:8153131:-1 gene:TanjilG_00020 transcript:OIV94271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFASSTLNCFSLVDKCDDLHRQIADYHPTIWKDFFLPYASDSKELDLASPYIETLKKEVRIMLVSTTEEPLKKVDLIDSICRLGLEYHFEYEIEQVLQHMHKNYVENGEIILECNLRSLALIFRLFRQHGFLVSANVFNKFKDVHRNFSERLTTNVEGMLSLYEASYLRVQGEDILDEALAFTSTHLESISTQLNPFLEEQVKYSLQQPLHKGLPRLEARRYISIYQQDPFHHETLLTFAKFDFNMLQKLHQKEVGNISKWWKELDVSRNLPFIRDRIVELCFWVLGVYFEPQFYQARNIMMKVISLIAIVDDTYDAFGNIDELELFTIAIERWDISCMNDLPEYMKLTYRLLMNVYEEIEQLVMKEGRAYIIDYGIKEFKMIVQAYMTEARWLNCKYIPTTEEYTNISSVSCGYPLLATISYIGMGDIATEEIFKWVTNEPKIVKASTIVCRLMDDIVSNEFEQKREHVSSFLECYMRQYGMSREDAINECRRRVTNAWKDINEECLRPTKVPNTFMMRILNLARFMDVIYKDRDNFTHADGVMKMYIQALLVDPVPI >OIV94701 pep chromosome:LupAngTanjil_v1.0:LG17:2451131:2455507:-1 gene:TanjilG_25925 transcript:OIV94701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKARVYTDINVLRPKEYWDYESLTVQWGDQDDYEVVRKVGRGKYSEVFEGINVNSNERCVIKILKPVKKKKIKREIKILQNLCGGPNIVKLLDIVRDQHSKTPSLIFEYVNSTDFKVLYPTLTDYDIRYYIYELLKALDYCHSQGIMHRDVKPHNVMIDHELRKLRLIDWGLAEFYHPGKEYNVRVASRYFKGPELLVDLQDYDYSLDMWSLGCMFAGMIFRKEPFFYGHDNHDQLVKIARVLGTDELNAYLNKYHLELDPQLDSLVGRHSRKPWSKFISADNQHLVSPEAIDFLDKLLRYDHQDRLTAREAMAHAYFSQVRAAESSRMRTQ >OIV94116 pep chromosome:LupAngTanjil_v1.0:LG17:12486852:12490193:1 gene:TanjilG_29216 transcript:OIV94116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFVLYEAPMDHLDERVEAISHSKPTSNWSIHISDIRTVKVTNISLATPKKDIGEFFSFSGDIQYIEMHRETDRTQIAYVTFKDSQGAETAVLLTGSKIGDLYVTIVLEENYQLPPEAIPISPTNQTAAAVQKAEDVMSTMLAKGFILGKDAVNKAKTFDERHHLSLNASSTVASIDRKIGLSDKLSIGTAIVNEKVREMDEKFLVSEKTKSAIAIAEQKASIAGSAIMSNPYVLTGASWMSSAFSAIAKAAGDVSMKTKEKVEQAELEKKEIIYNERKGTIDDFARVHFESLDVGPAVVPVNSGDDKKLEII >OIV94145 pep chromosome:LupAngTanjil_v1.0:LG17:11817647:11820307:1 gene:TanjilG_31570 transcript:OIV94145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMPKEKDDKEFHGKKRLKSTRNEQKQVVEVAEEEEDHASTVVEEEETEFPLQPGLSFYPTIPSSFVVCDALELDFPIIYVNKVFEISTGYRADEALGRNCRFLQYRDLRAQRRHPLVDPVVVSEIRRCLQEGIEFQGELLNFRKDGTPLVNRLRLAPIHDDDGTVTHVIGIQLFSEANIDLNHVSYPVFKETCNQDVDKNSKYLPKNGQSLYTQQHQEMCGILQLSDEVLAHNILSRLTPRDIASIGSVCRRIRQLTKNEHVRKMVCQNAWGKEMTGTLERMTKKLGWGRLTRELTTLEAVCWRKMTVRGAVEPSRCNFSACAAGNRLVLFGGEGVDMQPMDDTFVLNLDAKNPVWRRVSVKKSPPGRWGHTLSCLNDSWLVVFGGCGSQGLLNDVFVIDLDAQQPTWTEICGGTPPLPRSWHSSCTIEGSKLVVSGGCTDAGVLLSDTHLLDLATENPTWKEIPTSWAPPSRLGHSLSVYGRTKILMYGGLAKSGHLRLRSSEAYTIDLEDENPQWRQLECSAFTGSASQSAVVPPPRLDHVAVSMPCGRVIIFGGSVAGLHSPSQLFLLDPSEEKPSWRILNVPGQPPKFAWGHSTCVVGGTRVLVLGGHTGEEWILNEVHELCLASRQDSDL >OIV94975 pep chromosome:LupAngTanjil_v1.0:LG17:289259:290347:-1 gene:TanjilG_22172 transcript:OIV94975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMMRRTTNSDESGLKKGPWTPEEDKILVEYIERHGHGSWRALPKVAGLNRCGKSCRLRWSNYLRPDIKRGNFSDQEQHLIINLHALLGNKWAAISSHLPGRTDNEIKNFWNTHLKKKLIQMGLDPVTHRPRSDHQNLLTNLQQILEAANILSNFTNPSDNTNNALRLLHSDATQVAKLHLLHNILQVIGPIPASTNLESSLHYLDVLGLNNHSNMQNLCNHTSNGFSCENQLPNLHNIEALHQHLNVGRNISCICESKKFGEQLGSTNVNVVPTQSSNSVVLLQNLVSTSPEEPGCSTVKQDENPTNTFEMWSDFMDEEASDVYWKDFIE >OIV94306 pep chromosome:LupAngTanjil_v1.0:LG17:6817001:6817444:1 gene:TanjilG_19312 transcript:OIV94306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNASTINHHRPPERLCREEGNQRSGLLSCSGHTGENRRRKSQTSYWGSGDVLFPVVFNAITFKIFKGEILEGVVHKVLKHGVFMRCGPIENVYLSNLKMPGYHYVPGENPCFMNEKMSKVGKDVAVRFCCHWYKVDGGRKGVSGVG >OIV93820 pep chromosome:LupAngTanjil_v1.0:LG17:20645272:20651861:-1 gene:TanjilG_03783 transcript:OIV93820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKVLMNENQEFPEMETDDFTDSQLIYHVRDALASVTSIDGGDRENYDELVGYLHPKKYLNPHEIALLVTTLKALAGAASYIDSAYHEALLFAVSRMSLWNYGTDVMDALLELIISLAASNGKYIDWCLEMLVKNFVPPYFDITKHENGIDKKNKVLPRVHAALKDIADLVPLAPLRLSPIVVQRMPSVFSKEPEIVAYVENMLRLESGAIGEIVSGTMLPALVDRLIELDVEIGWDGLLQEDARGMFEMELEDDDDIEYNDAKYDTLSMHVYKAFKAAFCPIEIRRALLWFLPVSLSGYHAKLSGTHAWLDQAMVEQLCEVAKLHMVNTDLGASSVAFNYSDIQSSSELLFRKSLQGNLVVEKLDSLMVLTFLHLESCQSSGRLAEVFNVLLGSFKRTILNAHKSKFTQFVMFYACALDPEECGVKFAKVLLEMFVGDVNPPITRMSAVAYLASYLSRAKFLSAALVASILQSLVDRCIDYCKSHDFDMNPRAHQVFYSGCQVCLPTIVEEFLRQAKAAQLFMTSESFIFNDLLESDLSRAFGGMDRLDMFFPFDPCLLKKSESYIKPHFVRWSKVKTMYDSDNGDLSDSGSDVSDEEFGDGNAEEMIDDDMMVNIVGIDLNRMSITPKNRSFKQLEQFTRMPASIRPSTTPGSL >OIV94266 pep chromosome:LupAngTanjil_v1.0:LG17:7935751:7948833:1 gene:TanjilG_00015 transcript:OIV94266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNRGHSSLLLLLVTIILSFHYSEELQSSKSQNLLRIQRLLNFPAALSSWNKNTDFCSTDSNSSLTVVCYEGNITQLNIIGERRTPLKNFSINSFVTILVRFPSLKVLTLVSLGICGPLPGNIANLSSLEIVNVSSNFIYGSIPQEISSLSNLQTLILDNNMFAGQLLNWFVSFPALTWLSLKNNMFNGFLPNSVGSLVSLRVLSLSNNHFNGAVPDLSSLKNLQVLELDDNAFGPQFPRLGNKLVALVLRNNRFRSGIPAELSSYYQLEKLDISSNTFVGPFQPALLSLPSITYLNISRNKLTGMLFENMSCNSDIDVVDISSNLLTGSLPRCLVSNSGNSERSVLYARNCLEKPNQNQQPQPFCRTGAFAVGILPDRKKHTQVSKVVLSIGIVGGALGGVALVLLILFIIRRKNAKSKMKNHPTRLISENAASGYTSKLLSDARYISQTKKLGEVGLPTYRSFSLEEINAATNNFDIASFMCEDSYGQMYRGQLKNGLLVAIRCVEMKKSYSTQNHMHNIELISKLRHRHLISALGHCFECSLDDSSVTRIFLIFEYIPNGTLKSWISDGHARKLLSWNQRIGVAIGVAKGIQFLHTGIVPGVFSINLKIEDVLLDQNLTAKISSYNLPSLSNMGKVWHGSSSGGSKNSNINKSVKHEDKSDIHDFGVILLELILGRTIKSRNDAGAFKDLLQASILADDEARRRVVDRVIRKACLDQSLKTMMEICVRCLVKEPQDRPSIEDVLWNLQFAAQVQDAWRGDSQSSEGSPSSPLQPPRLTFD >OIV94183 pep chromosome:LupAngTanjil_v1.0:LG17:10873622:10874113:1 gene:TanjilG_13800 transcript:OIV94183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNAASCTPSFISNGVYKVLYLDGRLQFYTEPIRAAELMLEYPKQFVCDSSYLKVGHRIRGLLADEELERRKFYFLLPMDLLYSVLTHEEMISFNYKASKALKHGGFNNLGKIFPVCMFLPSEAKKIEADSEVMNEPEPVERYSKQRSWRPALETIDEIPSRT >OIV94154 pep chromosome:LupAngTanjil_v1.0:LG17:11900610:11903469:-1 gene:TanjilG_31579 transcript:OIV94154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLTEEETTRLHRIRKTVMQMLRDREYLVTDHEINISKHEFKSKYGENMKREDMVINKTKKDNSSDQIYVFFPDEAKVGVKTMKTYTNRMNSENVFRAILVVQQNLTPFAKTCVSEISGKFHLEVFQEAELLINIKEHVLVPEHQILTESEKKTLLERYTVKETQLPRIQVTDPVSRYYGLKRGQVVKIIRPSETAGRYVTYRFVV >OIV94435 pep chromosome:LupAngTanjil_v1.0:LG17:4021755:4030147:-1 gene:TanjilG_25497 transcript:OIV94435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTSNTYFSSSLPFSSSLRLTNKTRTMSIFSPSLSTLFATPTLRVSSFSFSSIYSRSVTASSSVVAVSSSSSLFSIKGEDNAASPKDYFPAKMSATVSSRTFLDAQSEQELLSGIRNEVESGSLPPNVAAGMEELYENYKSAVFQSGDPKADETVLSNMSGLLDRIFLDVTDPFVFQPHHKAKREPFDYYMFGQNYIRPLVDYRNSYVGNMPLFIEIEEKLKQGHNIILMSNHQTEADPAIISLLLEKRLPYIAENLTYVAGDRVITDPLCKPFSIGRNLICVYSKKHMLDDPELIEMKRKANTRSLKEMAMLLRSGSQLIWIAPSGGRDRPDPTNGEWFPAPFDPYSVDNMRRLVDHSGPPGHVYPLALLCHNIMPPPMKVEKEIGEKRVISFHGTGLSVAPEISFSETTAACESPEKAKDAYSKAVYISVNEQYNVLKSAIHGKKGLEASTHKVSLSQPWN >OIV94575 pep chromosome:LupAngTanjil_v1.0:LG17:5739158:5743063:-1 gene:TanjilG_25637 transcript:OIV94575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFRQLGNTGLKLSCVGFGASPLGNVFGNVSDEDAISSVRFAFQSGINFFDTSPYYGGTLSEKMLGKALKALDVPRSEYIVSTKCGRYKDEGFDFSAERVTKSIEESLQRLQLDYVDILQCHDIEFGSLDQIVNETIPALQKLKEAGKIRFIGITGLPLGIFTYVLDRVPPGTVDVVLSYCHHCINDSTLEDIIPYLKSKGVGIINASPLAMGLLTESGPPEWHPASPELKSACQAAATYCKEKGKNISKLAMQYSLLNKEITSVLVGMKSVKQVEENVDAARELADSGIDEEALTEVGNILKPVKNQTWPSGIQQS >OIV94489 pep chromosome:LupAngTanjil_v1.0:LG17:4705096:4705857:-1 gene:TanjilG_25551 transcript:OIV94489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFEDQEEQEEELCFNPMGNPSRVKIPGSVEPMMMPQPVRSSNNARYRECLKNHAVGIGGHALDGCGEFMPAGTEGTLDALKCAACNCHRNFHRKETDTSAIVTGSDPFLLTNHPHQAHHYQPPPHFAAYYRSPAGYLHVGGGHHPRGAVPGGALALPSISGGGVGGYGTQGMREDQEDMSYPMSGGGMKKRHRTKFTQEQKDKMLELAERLGWGIQKHDESVVQEFCNETGIKRHVLKIWMHNNKHTLGKKP >OIV94411 pep chromosome:LupAngTanjil_v1.0:LG17:3765273:3767763:-1 gene:TanjilG_25473 transcript:OIV94411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMLSRSVNAKVSNTSIMMVATRFFFTVEAGDTVVFAAAKGGLCFGAPNKLGHWVRAPTTVHGVSVVRNGSTLAMSEKENKNDDVGSSFESVGGNKEENKVVSYWGVQPPKVTKPDGTEWKWKCFRPWESYKADLSIDLKKHHAPVTFLDKMAYWTVKVLRYPTDIFFQRRYGCRAMMLETVAAVPGMVGGMLLHFKSLRRFEQSGGWIKALLEEAENERMHLMTFMEVAKPKWYERALVISVQGVFFNAYFLGYLLSPKFAHRMVGYLEEEAIHSYTEFLKELDKGTIENVPAPAIAIDYWQLPPNSTLRDVVMVVRADEAHHRDVNHFASDIHYQGRELREAAAPIGYH >OIV94213 pep chromosome:LupAngTanjil_v1.0:LG17:9791164:9791883:1 gene:TanjilG_10641 transcript:OIV94213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADKLAIRDSWDRKLKRKIDETSMIDKDSNLLLSLSLGCSNTSEEPLSNRKAHEDSDFDPKLVENSDNKGVIKPNEHEFSCKFCDKKFHNFQALGGHQNAHRRERIFSRMNKEIAMGTFGFSAYPCPCSSMENLHPFRGSPCYHTAHMNPMAHMSPMPWSHSRPGYGNQGMYNTPFSGHQFGITSNSSASAQTPQKINHNGVGFGYEPYQTSSLKDVVNKSTTPHNDLEGHRRNQYTSN >OIV94063 pep chromosome:LupAngTanjil_v1.0:LG17:13029139:13032175:-1 gene:TanjilG_05443 transcript:OIV94063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTGELLSIEPLELNFPFELKKQISCSLQLSNKTDSYVAFKVKTTNPKKYCVRPNTGIVMPRSTCDVMVTMQAQKEAPPDMQCKDKFLLQSVKTNDGASPKDISADMFNKEAGHVVEECKLRVVYVSPPQPPSPVPEGSEEGSSPRGSISENGILNGSDFTEVARGFTERPEALEKSAEARAHISRLTEEKNNAIQQNSKLRQELFSSRKTDEHRLSCMGESCAAKAPFSKGFDSGIFNSG >OIV94105 pep chromosome:LupAngTanjil_v1.0:LG17:13909235:13910316:1 gene:TanjilG_05485 transcript:OIV94105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSTDSSTSVRPCYLEKNHGLASLKDMDSVHCGNNSFVSRSMMPMTMGYAAASSYVSSPRSGRRFYDARFEDHKPHFLQACFLCKKTLGNNSDIFMYRGDTPFCSEECRAEQIDIDEAKEKKKKLSSSMKVMRNKEQRKSGSPNKAQGYSFRTGAVAA >OIV94117 pep chromosome:LupAngTanjil_v1.0:LG17:12467460:12467804:1 gene:TanjilG_29217 transcript:OIV94117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQGVQHFCAWEARSPLPLLQQGIEYDENGKFSNDQMMDAKLKKGVQQLGVESTDFGCQASKHGLCLAKHGAVSVEHKLSSSYRGIHCQAMPPMHGLCLSHKLLSISDAFSLSG >OIV94399 pep chromosome:LupAngTanjil_v1.0:LG17:3660953:3667380:-1 gene:TanjilG_25461 transcript:OIV94399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSQSKELFHNHSKEFQLLIKSIGDSKSKSEEDRIVFNQIQTLKTQLTEPNISNRNIKEYIITLLYIEMLGHDASFGYIHAVNITHRDNLKLKRIGYLAVTLFLHDNHDLIILIVNTIQKDLKSDSYVVVCAALNAVCRLINEDTIPAVLPQVVELLGHSKEAVRKKAVMALHRFFQKSPSSVSHLVANFRKRLCDNDPGVMGAALCPLFDLVTVDANSYKDLVVTFVNILKQVVERRLPKNYDYHQMPAPFIQVKLLKILALLGSGDKKASENMYTVLADIIRKSDSSTNIGNAVLYECIRCVASIYPNPMLLEAAADVIANFLKSDNHNLKYMGIDALGRLIKLSLNIAEQHQLAVIDCLEDPDDTMKRKTFELLYKMTKSSNVEVIVDRMIDYMISISDDHYKAYIASRCVELAEQFAPSNHWFIQTINKVFEHAGDVVNIKVAHNLMRLIAEGFGEEDDAKYSQLRSSAVESYLRILGEPKLPSVFLQVICWVLGEYGTADGKYSASYMSGKLCDIAEAYSNDENVKAYAISALMKIYAFEVAAGRKVDMLPECQSLIEELLASHSTDLQQRAHELQALIGLDAQIVETIMPRDASCIGIEVDKDLSFLNGYVQQSIEKGAQPYIPEDVRTGMENMSSFRSQDQQEPLQHGLRFEAYEIPKPPMQSKVAPVSFAFSTDIASVPKALYSRETHHVSSMGSASEAGSSELKLQLDGVQKKWGKPTYTSSTSSTSYSTSQTPTNGTAKVDDPTAVNSKVRDSYDSRKAHVEISPEKQKLAASLFGGSTKPEKRSSTSHKVPKASASAADRSQGSKTAGVPDELAMERKIHHPPPDLLDLGESTVSTGPPPVDPFQQLEELLDPSISSTTNHNTGAVTNTLDIMTLYAETTASGHSGSGGYSIPVSGDNLDLLYELSNAAIGVASAETIATPLPQSVKFSNAKDSVQKDAFVRQMGVNPSRNLFRDLLG >OIV94106 pep chromosome:LupAngTanjil_v1.0:LG17:13928016:13929675:-1 gene:TanjilG_05486 transcript:OIV94106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTSCSTDCFVQSRAAYYPNSEVNGTDVGACGFGSFGATINGGDVSAASDLYRNGVGCGACYQVRCTNSAYCSSNGVTVVITDQGSGDNTDFILSKRAFGGMAQTPDKATSLLALGVTDIEYRRYPNKNITVKIVESSSNPHFLAFVIWFQQGKRDITAVQLCESENFVCKLLDRSHGAVWTSTSPPNGPLSLRMLFSDEEGQETWVVPVNDIPQDWIAGETYDSGVQVDQ >OIV93796 pep chromosome:LupAngTanjil_v1.0:LG17:20420850:20423359:-1 gene:TanjilG_03759 transcript:OIV93796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQIVESPKGSDSPGNDGSNNPSKHIIDTAAPIESVKDAVSKFGGKLGWRGLQTQSLERSKLEGQDFGKPDNTEELESIKKLIEELKVKLEKVEREEGEAKQEVEIASLKIEEMEQDIVNEASIEANIQVETEKARHAAAVSDLEIIRRELDSLNKEYASMVSERDIAVKKSEEAVAESEEVEKELEDLAAELIATKESLESTRAAQLDAEEQRLRVVDEETRNLKVEFEQAEEEFQRLNQQVSSAMVLKSKLDASSSLLLDLKAELAAYMESKIKEEGIEEERKKELEELKLNIEKANAEVNSLREASESLKSKLELEKSLLIDLKQSEETASAAVLTLREELEKTRSAIACLKMKEEEARDMMIELPKKLQQAAQDADEAKSLAQAAQQELVEAQEEAEQAKARASTLETRLVATQMEIEASNVSKRLAKESISALEKSESSRSNNDMDYSNVVTITLDEYHELSKRSYKAEEQAKARVAAANSQIEMAKESELRSLERLEELNEELAVRRESLNIAAEKARQGKLAVEDELRTWKTEQEQQRNDMTTIAAATSTDPVHDLLSSKDKTPPNDGETGSAPDTKSNKKKKKSLFPSKVVMFFTKRKTHPTK >OIV94551 pep chromosome:LupAngTanjil_v1.0:LG17:5475091:5480091:1 gene:TanjilG_25613 transcript:OIV94551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEERGIKLDKLGYEVRTSSQECVSAINSYYNQVLSYGRERFVILEAASHDKDCVLANILAAHFLYSSDSSRASPYLHAAKSHLEHATLYEKLVFDAINYLISVDRDDDVAVELHSKLLKEFPRDLVSLKRAQILCFYMGRPDLSLSLVHQVLPQNEGENYIYGMLAFPLLELGQMKDAEEAAKRGFEINKQDGWSQHALCHVLQYECRFKDAVKFMEGCSSSWSSLSSFMLTHNWWHVALCYLEGNAPSERVLEVYLNAVGLFLRLCVHGELEIFGDRLKILAECLIDQANWYIEWHLDVLTVWALAKTGELSKAEDLLKGLKDRISRMTKKKRQLMQRGMMLAEALYAYGSGNDERGVELLGPNFDANDCKMIGASDEQIDVFNEVWYSMLLNTGEAEKAIEVIEKRIKKREGIPFLWRLLEKGYKLANRPETGIANQQAKTLESAYFN >OIV93932 pep chromosome:LupAngTanjil_v1.0:LG17:18217744:18219174:-1 gene:TanjilG_05635 transcript:OIV93932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFSNNPIIIHENLLVSPQSSTQLSLPLTFLDLFWLRFHPVERIFFYSLPNHSHDPSFFFNNIVPNLKTSLSLTLQSFLPLAGNIIWPSHLPKPIIQYNPGDAVSFVVAESNVDFNHVLQNSPRDASESRFLLPNLDSSDSTASVVSMQVTLFPKKGFSIGISAHHAVVDGKSSTMFINAWANMCRSSVEECPSFYLKPELEPFFDRDVIKDPTQLDLLLADNWTKDPNDATKKKRSLEILSFVFKPKVENSVRATFKITFKDLDKLKKRLLSKWNEVVNDDEVVNDSCSKPDTLSSFVAICAYVSTCMARAIQEDEKNEQKKFAFGFAVDCRSRLEPKVPENYFGNCVNLHVVDAKPEDFTKEDGFVIVAKKILSKTKNLDKDGVLEGMDTLSSKHETRVRLGVELIGVAGSSRFRVYENDFGWGKPSKVEITSVDRGLSIGISENKDEKGGLEVGLVLKKNVMDIFTNLFHEGL >OIV94317 pep chromosome:LupAngTanjil_v1.0:LG17:6667463:6668011:-1 gene:TanjilG_19323 transcript:OIV94317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTSFLDFQYNLIKRSYLRKPSRLFSKQNSGLRNNFQPNMDEMKQVFEKFDSNKDGKISQQEYKATLKCLGMGNLVHEVPNIFRVVDLDGDGFINFKEFMEAQKKDGGVRTLDIQNAFRTFDKNGDGKISAEEVQGMLRRLGERCNLEDCRRMVRAVDTDGDGMVDMDEFMVMMTQSLRCV >OIV94867 pep chromosome:LupAngTanjil_v1.0:LG17:1087957:1089153:-1 gene:TanjilG_22064 transcript:OIV94867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQVMMFDLWEELPSDLLPEIAKHLHSSKDYVRFRATCKTCYLNLSNIPNHITGPKLMIPFDFGMGRVENFVHLRLPEKPNRTLYRGSGFGWLIGIGIDGVIRMLDPVTNDHIDLPPMSTTPDIVEFHPDNEDEEYTITGHVRNKPSYTYVIEKDHIQKMHLHKIIMSSSPKNHNHDFMALAIYGEQRQLALCRIGDSKWTTFSTKQSYFLQDVIFYEGKIYGIDIVAKLFEFDPKTLTGSIVQVPPPKDDDPRIRFLDVTTKYLIRNVEGDLLLVVRRWEWKKWRENGGVDEKYYNTTKFNVYKLDKSVKQWKRVSSVGNSVLVVGFNTSICMLPFSDGKNNWVRNCIYFSDNHVEAQYRQVYGGHDVGIFNLQNNTIQQFFPDRVFLCPPPLWLLS >OIV94391 pep chromosome:LupAngTanjil_v1.0:LG17:3555694:3557314:-1 gene:TanjilG_25453 transcript:OIV94391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEATGSGTVAVSVRDGGDTWKAHIAMVLVQLFYGSYHVITKVALNVGIYQLLFCVYRDIIALSILAPLAFFHERRIQLPITKDLLISFFLLGLIGIFGNQLLFIIGLSYTNPTYASTIQSALPVFTFLFAVMMCTERVNLHRYEGWVKVGGTLICVSGAIFMVLYHGPALIGYTESVPVTQSEISARGNCICMAAYIAIMAPVLRKYPANISATAYSYFFGAVVMVIVSLFTVNELKEWILTPSKVLAVLYSVSHYNLK >OIV93934 pep chromosome:LupAngTanjil_v1.0:LG17:18243003:18243578:1 gene:TanjilG_05637 transcript:OIV93934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTGSPNHPTDDGAVIDLTPLLENSGNSSDESNSGRRFSRREGLRQAARFLRQASGQRTMREPSMVVRETAAEQLEERQSDWAYSKPVVVFDILWNFAFVVTTASVLVLSVNETPSMPLRFWLLGYVLQCVLHVVCLCVEYRRRRRNRREGSSNATAQGGVGGSEDLSSSSIEGSGQHRLVPQLDEEATR >OIV94771 pep chromosome:LupAngTanjil_v1.0:LG17:1837129:1838163:1 gene:TanjilG_12984 transcript:OIV94771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFMSSMATHVFLISLYLLFYSHATAQCFKEAPKFYNSPNCPNINLHPTLTCSPHAVHVAMTLDVTYLRGSMAAILSVPQHSSCPENIIFHFVTAASKINSTTVLNRTLSTSFPYLKFQFYPFDDHSVAGFISTSIRSALDCPLNYARNYLANLLPPCVEKIVYLDSDLVLVDDIAKLAATPLSGDVVLAAPEYCNANFSSYFTPTFWSNPSLSLTFAGRRACYFNTGVMVIDLARWRDGDYTKKIEEWMELQKRMRIYELGSLPPFLLVFAGNIGPVDHRWNQHGLGGDNFHGLCRDLHPGPASLLHWSGKGKPWARLDANRPCPLDALWAPYDLLETRFALEA >OIV94484 pep chromosome:LupAngTanjil_v1.0:LG17:4670938:4672645:1 gene:TanjilG_25546 transcript:OIV94484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQILRYYLIMSLMSARMQGHSLKDVPQGTSGIRRIIFQIEVAIRAIRNLEQISKGCDSAFKAIKSEWSFQVEQLTGTINSLWTELENKTAVVKELEKELESSHSSTSEMMLLNEELSVMLLVYQQGVSETQLNISKELDGHNEMLEESTKYKLLPKEKVLHMEFDLEEKLREVGDALDKAIIELSDTIHERNEMELQLQVWMLYVERLRKDLEENFVMGKRLENSLLAQVVFSESLKQENDKLVLKLEEKENRISCLQHYNLLEMIEEKNKILEELKKEVVWLEQESFRREVESHVVTEIKMEKTNELEKENHMKGENMRIDEVMPQLTTLEKHCTSYLTSISSQLDEKQDEIKQVQEACDKIKAVALESKKIKMENRNLHENATRLSSENENLLIFVRLLYDKMCDSTTADTEIMDMLNNFVHSFENNDPVMNLIKGNEFLVEENMIIHSPRRTKKHDTCKVTIYGA >OIV94114 pep chromosome:LupAngTanjil_v1.0:LG17:12500914:12507679:-1 gene:TanjilG_29214 transcript:OIV94114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEGRDFAVTQMRNSVEKLGSSAEGYEDPTLMRFLIARSMEADKAAKMFVQWRKWRAAMVPNGFIIESEVADELETRKIFLQGLSQDKYPVMIVQTKKHFPANDQIQFKKFVVYLLDKTIASAFNGREIGNEKLIGVIDLQNISYRNIDARALITGFQFLQAYYPDRLAKCYMLHMPWFFVSVWRLVSRFLEKATLEKIVIVSNEDERRKFIREVGEEVLPEEYGGRAKLVAIQDVQLTPLEN >OIV94125 pep chromosome:LupAngTanjil_v1.0:LG17:12002212:12008485:-1 gene:TanjilG_29225 transcript:OIV94125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKFLINWCVGYFLLYSLLLVHGIELSCNQTPYPHVCKHYIDTSHAIDKISTTLDASSSYSSFHDMALKVTMDQAIKYHKLFSTMEMNNFKDTRAKLAWEDCLHLYEDTIHQLNHSMRSNNINDKLTWQSASIANHQTCQNGFIDFNLPSHLNYFPSMLTNFSKLLSNSLFISNTIALASSSSAKQVGGRKLLSSHDGFPNWVSSSDRRLLQATRAAPIKVDVVVAQDGSGNYKTISEGVAEASKLSGKGRIVVHVKAGIYKENVDIKKTVKKLMIIGDGIDATIITSNRNTQDGFTTFRSATFAVSGEGFIAKDITFENTAGPQKHQAVALRSGADHSVFYRCSFKGYQDTLYVHTQRQFYRDSDIYGTVDFIFGDAITVLQNCNIYVRKPMSNQQNTVTAQGRTDPNENTGIIIHNSRITASGDLKPIQSNFKTFLGRPWQKYSRTVILKSNLDGLVNPLGWIPWSGSFALSTLYYGEYMNIGAGANTKGRVNWVGFHVINDPSEAVKFSVGDFLNGDSWIPAVSGEGFIAKDITFENTAGPQKHQAVALRSGADHSVFYRCSFKGYQDTLYVHTQRQFYRDSDIYGTVDFIFGDAITVLQNCNIYVRKPMSNQQNTVTAQGRTDPNENTGIIIHNSRITASGDLKPIQSNFKTFLGRPWQKYSRTVILKSNLDGLVNPLGWIPWSGSFALSTLYYGEYMNIGAGANTKGRVNWVGFHVINDPSEAVKFSVGDFLNGDSWIPGSGVPFDVGL >OIV93936 pep chromosome:LupAngTanjil_v1.0:LG17:18264834:18285679:-1 gene:TanjilG_05639 transcript:OIV93936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPSSSSTTVVVEITIISIEGLNNYTSFFNPTIKPFITITKLPSTTSTPMITTLTWDHKFRITVDHSFFHDRYACLYLQLFTKRRIIGLTQLGWSMIPALDIGLLPQGSVGYLSYRLRAKDGSRGHAVINLSVRLEGHGHVHVSNVPGMLMSLSPTTDTHHTVIGIPVTAIRGEQRVDVGDISNLDSELKINHQMQAINKQNLGMFNQSQLDLFMEAYCLALSQLKEAMEEPQKKSMAFLNNMHSQLRKLTMVAMSTPSKLDDTTSSSITTNMESKRNSSNEKNYH >OIV94052 pep chromosome:LupAngTanjil_v1.0:LG17:14740648:14742476:1 gene:TanjilG_14299 transcript:OIV94052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQRLTYRKRHSYATKSNQHRIVKTPGGKLVYQTTKKRASGPKCPVTGKRIQGIPHLRPAEYKRSRLSRNRRTVNRAYGGVLSGGAVRERIIRAFLVEEQKIVKKVLKIQKAKEKQASKA >OIV94216 pep chromosome:LupAngTanjil_v1.0:LG17:9875759:9879670:1 gene:TanjilG_10644 transcript:OIV94216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAVSGELSHHGSSEGDSYRSSKDKLGEAIGCWYMSRKEIEENSPSRIDGIDLKKETYLRKSYCTILQDLGMRLKVFWLPGLLVLEVSNQMLELYEQNKIPPSQGSEVEGSAAGGGSRAAAKAPAANEEQASKQMFSHSAPQQSSDENHVAPSKGMQNQSNEESAEMGSDITDHKVELDIKESQNPEHLPHEDNKRDVDNRSKSGNEQIVAGDQDRMVGTKEGAEVGRRDDAASHKSSFTFGRNLELREGQLGQSPIEAIKMIDRDKVKAAMEKRRKERAEMTLKKDIIDEDDLIEMELEDGVELAVEDEKNKGEGRQDWSKLELDHGKDHKETKDGKNMGIKGQLQKYIDADNAKEGEMIDDASSMLNNCKRKIGRPPARQPEMKKRHDSSYHNVLADEGNRKEGAGYAERE >OIV94287 pep chromosome:LupAngTanjil_v1.0:LG17:9008213:9009761:1 gene:TanjilG_00036 transcript:OIV94287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFEYFGCRTDQVMENLQNLTNLQELWLGRNRIKFVNLCGLKCIKKLSLQSNRLTSMTGFEGCIALEELYLSHNGISKMEGLSSLVNLRVLDVSSNKLTSIDDIQNLMHLEDLWLNDNQIESLEGIAESVAGSKEKLTTIYLEKNPCAKSPNYTAVLREIFPNIEQIDSDVFS >OIV94202 pep chromosome:LupAngTanjil_v1.0:LG17:10219675:10221227:-1 gene:TanjilG_28141 transcript:OIV94202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIVRQRLLHTLRGGDVPSETLKRKVLELDKKRKMRKPKSKDQFIVTVPESMSYLDTVTFPMVVFAVGVAVFAKLLMTFDESRSQELLERKMMKAPDGQGSVRMLSREEWEKVRELRPRTPYESMLARPNARIRTGEPLHLEDIKDWTIDVLMDATTRVEEYSKHGSK >OIV94259 pep chromosome:LupAngTanjil_v1.0:LG17:7498932:7500161:1 gene:TanjilG_00008 transcript:OIV94259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLVYDKSSSGRYKMTHNFSSRADMYMIPVGSEGHDLPYHWVDREVLGTKNSFPHGAHLDVDVFDGLMENFALFAPVPSCPVHPCLRDGVLKLTVVEMANCWPVRRLLRDGHMPSLPTTEAHPLSRAVQVTAAQSSTS >OIV93783 pep chromosome:LupAngTanjil_v1.0:LG17:21209931:21211817:-1 gene:TanjilG_07686 transcript:OIV93783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSTTLFSIPPLSSANNRSPPSTTHLPPPDKLALLIDNSKSINHLLQIHATLLRRDLHHHPILNFKLQRSYSSFNYLHYSVTLFNQTHNPNVFLWTSIIQSHNLYGFSHQAIDYYTQMLIHGVEPNAFTFSSVLKGCPFETIREIHSHVLKFGFGSDLYVCTGLVDGYGRGGDVESAEKVFDKMPERSLISLTAMLTCYAKHGKLLEGRVLFEEMEGDRDVVCWNVMIDGYAQHGFPNESLSLFRKMLRERVKPNEITVLSVLSSCGQLGALECGRWAHSYIDNNGVRINVRVGTALVDMYCKCGSLDDAREVFDNIEGRDVVAWNSIIMGYAIHGFSEEALKLFHDMCSIGIKPTDITFIAVLTAYGHSGLVTEGWGIFNSMKDVYNLEPKIEHYGCMVNLLGRAGNLQEAHDLIKNMKIDPDPVLWGTLLWACRLHNNVSLGVEIAEFILSNNFASSGTYVLISNIYAAAGNWVGVAKARSLMKDSGVEKEPGCSLIEVNNKVHEFLAGDLRHRKSRDIYLMLDEISNWLKANGYTPKTDIVLHDIGEQQKEQSLEVHSEKLALAFGLISTRPGTAIKIVKNLRVCLDCHAVMKMISKITGRKIVMRDRNRFHHFENGSCSCGEYW >OIV94242 pep chromosome:LupAngTanjil_v1.0:LG17:9617892:9622267:1 gene:TanjilG_08540 transcript:OIV94242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTKVRNLPEYCSIRDHNEESSSCGWPLFYGDKTVTNGQYCHNYLSSATADACSVYDKDILKQKMLEHEVTFKNQVFELHRLYRIQRNLMGEVNMKELHRNKIPVETSFTTGPLASQITSEDGKKWYTHSFPIGSSTCARPSISGFEGSHSPLDTNKGISKQAGKFPSPKESSSKDVEVLKCRPSKARRRIFDLHLPADDYIDTQESEKLGDERKSGATLFLPDRNCNHDVNVFHSNGGKTGSQQDSSRPEKSLKSRNGLADLNEPIEIEEINDASYVPLLNHNLYLGKTECSDLCAKQNSRFFGLSTDDLINSHHGTDSWARNNGYMENDGSGKGFVLSGLETGLAKSNLKPIPHVFKQEQSLLSPQNMKDTLSMAHKPTSDCRTNQSKADLWWEKAVSSLDVSEGNHEYSTNKYAESVVSSHRSSLFAIAPSPDLVKSWSHSPSSLEMPTSSLNQKTASAQTPPWLNASGVLSRSSQSHQSNAILGSTWPQHINSKANPGFQCEVPLQNGFYPGSSSGCKELSANISSISYDYLNHNNNDRKRIPERCSNDSAKYYESSNSNCNNKQSGKDINLNVLLSNGSSNMLVTQSGPGIMEGEQKHEEQIAVLPWLRAKTACKNEMQNVARGLTTRELGFSHVTSLSDKDEIGQGSSEKVMHNVTSGLCSNDNEPRRAKVSEISGIKKILGVPIFDRPHISVKDLSSLNSPSASVRNPSDVELVENNHKIRVLDINLPCDDAVLELDEQAVIEIVVSNKGSPSKDANSRNHIDLNLSVSEDEEIMTTVPTTDVKVKADIDLEAHAVPESEEDGIHEEKQLETSSVSPVGPQDTVEQPQDELMWHAAEAVVIMSSLCFNQVDDATDSPSESPMIDPLNWFVDVVSSCVNLERKLDNSREKYGMVNDESSPDGLDSFESITLKLTETKEEDYMPKPLVPENFRVEESGTTSLPTRTRKGPVRRGRQRRDFQKDILPGLTSLSRLEVTEDLQTFGVLMRATGHSWNSGLTRRSSSRNGCGRGRRRLQVTPSPPPPVATIETSTPLVQQLNNIEVGGWGKTPRRPRRQRCPAGNPPSIRKSNHT >OIV94208 pep chromosome:LupAngTanjil_v1.0:LG17:10355795:10360663:1 gene:TanjilG_28147 transcript:OIV94208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLICSSSSSSSYPHPPPPPPLTHLIHVIILILILFLILCSSSPSSYAHPHPRPLPHLHPHPHLILILILSSSSSYPHPHLILILILSSSSSYPHPHLILILILSSSSSYPHPHLILILILSSSSSYPHPHLILILILSSSSSYPHPHLILILILSSSSSYPHPHLILILILSSSSSYPHPHLILILILSSSSSYPHPHLILILILSSSSSYPHPHLILILILSSSSSYPHPHLILILILSSSSSYPHPHLILILILSSSSSYPHPHLILILILSSSSSYPHPHLILILILSSSSSYPHPHLILILILSSSSSYPHPHLILILILSSSSSYPHPHLILILILSSSSSYPHPHLILILILSSSSSYPHPHLILILILSSSSSYPHPHLILILILSSSSSYPHPHLILILILSSSSSYPHPHLILILILSSSSSYPHPHLILILILSSSSSYPHPHLILILILSSSSSYPHPHLILILILSSSSSYPHPHLILILILSSSSSYPHPHLILILILSSSSSYPHPHLILILILSSSSSYPHPHLILILILSSSSSYPHPHLILILILSSSSSYPHPHLILILILSSSSSYPHPHLILILILSSSSSYPHPHLILILILSSSSSYPHPHLILILILSSSSSYPHPHLILILILSSSSSYPHPHLILILILSSSSSYPHPHLILILILSSSSSYPHPHLILILILSSSSSYPHPHLILILILSSSSSYPHPHLILILILSSSSSYPHPHLILILILSSSSSYPHPHLILILILSSSSSYPHPHLILILILSSSSSYPHPHLILILILSSSSSYPHPHLILILILSSSSSYPHPHLILILILSSSSSYPHPHLILILILSSSSSYPHPHLILILILSSSSSYPHPHLILILILSSSSSYPHPHLILILILSSSSSYPHPHLILILILSSSSSYPHPHLILILILSSSSSYPHPHLILILILSSSSSYPHPHLILILILSSSSSYPHPHLILILILSSSSSYPHPHLILILILSSSSSYPHPHLILILILSSSSSYPHPHLILILILSSSSSYPHPHLILILILSSSSSYPHPHLILILILSSSSSYPHPHLILILILSSSSSYPHPHLILILILSSSSSYPHPHLILILILSSSSSYPHPHLILILILSSSSSYPHPHLILILILSSSSSYPHPHLILILILSSSSSYPHPHLILILILSSSSSYPHPHLILILILSSSSSYPHPHLILILILSSSSSYPHPHLILILILSSSSSYPHPHLILILILSSSSSYPHPHLILILILSSSSSYPHPHLILILILSSSSSYPHPHLILILILSSSSSYPHPHLILILILSSSSSYPHPHLILILILSSSSSYPHPHLILILILSSSSSYPHPHLILILILSSSSSYPHPHLILILILSSSSSYPHPHLILILILSSSSSYPHPHLILILILSSSSSYPHPHLILILILSSSSSYPHPHLILILILSSSSSYPHPHLILILILSSSSSYPHPHLILILILSSSSSYPHPHLILILILSSSSSYPHPHLILILILSSSSSYPHPHLILILILSSSSSYPHPHLILILILSSSSSYPHPHLILILILSSSSSYPHPHLILILILSSSSSYPHPHPHPILLLILIVIL >OIV94597 pep chromosome:LupAngTanjil_v1.0:LG17:3294453:3295256:-1 gene:TanjilG_06623 transcript:OIV94597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRCSMCMPLMIALFLMAGTNVINLAEGQTLPPCGDQLFQCLNYLNYTIPPKSCCIPLQNIYATQKTCLCQVVFTPGILQVLGVTTTQAVILGHSCGVDVSNTGCKAALPDLAPSSSVKPQGKKKSHEQHSGAIA >OIV94939 pep chromosome:LupAngTanjil_v1.0:LG17:551063:553241:1 gene:TanjilG_22136 transcript:OIV94939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVADVALTPILKESVHWQKQQLGLETYPGESILVYLTVNGAVTPMRVLESDSIASVKMRIQTCKGIVGSRKKQKLVAGGRELSRNDSLIKDYGVTAGNVLHLVLRLSNLIFIVVRTTCGKEFEFQIDRHRNVRYLKQHIKNKGKGFVGVEDQEFFRNGKKLDDQKLFHDICKSDDDVIHLVIKESAEVKATPIHKDVELSVVADTSVGGLGVGENQIQIPAGVDFWLEPIIVNPKINIFLLLQDMIRSTFDGLQKGNHPVRSSEGTGGTYFMQDSTGMEYVSVFKPIDEEPMAVNNPHGLPVSSNGEGLKRGTKVGEGAFREVAAYVLDHPKAGRRLVSGEAIGFAGVPPTAMVKCLHKTFNHPEGYHCSSKHFKMGSLQVFMNNDGNCEDLGPGAFSVEEVHKITVLDIRMANADRHAGNILFKREAGGKTLLIPIDHGYCLPEKFEDCTFDWLYWPQASQPYSPDTVDYIKSLDAEEDLELLKYYGWDVPLECARTLRVSTMLLKKGVERGLTPYAIGSIMCRENLNKESVIEKIICEAQESLLPGYTESEFLESVSQIMNSRLEKLRK >OIV94510 pep chromosome:LupAngTanjil_v1.0:LG17:4978925:4982167:-1 gene:TanjilG_25572 transcript:OIV94510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPDTTIPSYWLNWRFLICAVFILIAMGLSSFLIWKYEEFNKSRRDRRRREEETAGLLYKDEAWNTCVKRIHPAWLLSYRTISFIVLLSLLIANVVSDGGGIFYFYTQWTFTLVTIYFGLGCCLSIYGCFFSNNAFGSNADNHSSLDSEQGMYVAPTLDGAADVSNLYKSSGPYQEPHTRDAADIWGYIFQILFQTCAGAVVLTDCVFWIIIYPFLTAKDFSLDFFTVSMHSFNAVFLLGDTALNCMRFPMFRFAYFVMWTAIFVVFQWIIHMCVSLWWPYPFLDLASPYAPLWYLAVGVMHIPCYGIFALIVRLKHLCLLRVSPGSCQFLR >OIV94274 pep chromosome:LupAngTanjil_v1.0:LG17:8555136:8555819:-1 gene:TanjilG_00023 transcript:OIV94274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVASMLFSMLVFYLKMVHAETYTVGDERGWTYNLAGWTEGKHFKIGDRVVFKYDPRKYDVVVIIGNKKAYDECITPAETVVYNTGNDEFLLSGGVNYFISNIPGQCEAGLKLAIETE >OIV94726 pep chromosome:LupAngTanjil_v1.0:LG17:2258222:2270333:1 gene:TanjilG_06189 transcript:OIV94726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDEESPTTGTGTGRRRTRGPEASARSEALERLKARLRGGRRSDDGGPQIRLENPIYDTVPEDEYNALVAKRREQAQSFIVDDDGLGYGDEGEEEDWSKAGFTLSDESDGELEKPKRKKVEKKDPHTKRASASSASLSAAASIMGANRLSSMFTSSVFKKRDDKSCDSIVDDVIAEFAPDENDRQRRRRVQLSSVSTESGASEALRINSGFSEKPSIGNSPDSIRGYTVPNLDVESVRAVKITNSSDLGLEKKYDTLKENVSSVEDGKDCSSENGESSIEQVQPNGDLVEEKAAKEIEVERKPAIKNEVFTLNAKVSKEADHGLSATADWKALKSGGVENIVTDSKVAREASNAEQHSEFYLDKDGLLPFYLLDAYEEFKGANAGTVYLFGKVKAGNMYQSCCVIAKNIHRCVYAIPTHPLHYTDEMIKLEKDVQESQISLADFNKNLQDAVSGLKNEIAKHLLDLNVSTFTMAPVKRKYAFEHSEIPAGENYVVKINYPFKDPELPVNLRGESFCALLGTQCSALELLLIKRKIKGPSWLHISNFSTPTASQRVSWCKSEVIVSSPKDIKIPASSKTPPEIPPVVVTAINLKTTINEKQDINEIVSASIVSCNRVKIDTPMLASEWKKAEMLTHFTVVRKLDGTIFPLGFSKEVTDRNTKARSNVLFVESSERALLNRLMIELHKLDSDVLVGHNISGFDLDVLLHRSQALKVPPRFWSKLGRLNRSVMPKLDRKYKGSGASHGIMSCIAGRLLCDTYLSSRELLKEVSYSLTQLAKTQLNMVRREVAPHDIPKKFQSAESLMELIEYGETDAVLSMELMFHLCILPLTRHLTNISGNMWGRTLQGARAQRVEYLLLHAFHAKKYIVPDKVSVYAKETKLTKRRAPNGVDDGNFDEADIDDANYDNVAGNGDNKKSKKTSSYAGGLVLEPKKGLYDKYILLLDFNSLYPSIIQEYNICFTTVERSSDGSFPRLPSSKTTGVLPELLRNLVERRRMVKSWMKKESGLKLQQLDIQQQALKLTANSMYGCLGFSNSRFYAKPLAELITLQGREILQSTVDLVQNNLNLEVIYGDTDSIMIYSGLDDIAKSKAMAGKVIQEVNKKYRCLEIDLDGLYKRMLLLKKKKYAAVKVQFKNGTPYEVIERKGLDIVRRDWSLLAKEVGDFCLSQILSGGSCEDVVESIHNYLMKVQEEMRTGDVPLEKYVITKTLTKPPEAYPDAKNQPHVLVAQRLKQQGYSSGCSVNDTIPYIICNEQGGSSGSATGIAQRARHPDELKQEQEKWMIDIEYYLSQQIHPVVSRLCASIQGTSPERLADCLGLDSSKFQHKSSEALSDDPTSSLLFSADDEERYRGCEPFVLSCPSCSGTFDCPPVFKSICLLGSGKPTSPGMEDSNYNFWHKLHCSKCPEEGGAGRISPAMLANQVKRQAEKFVSLYYKGLLMCDDETCKHTTRSINLRLVGDSERGTVCPNYPRCNGHLHRKYTEADLYKQLSYFCHVLDTVSGIEKMETKSRIQVEKELMKLRPVVDMAASTIQKIRDRCAYGWVKLQDLVITD >OIV93953 pep chromosome:LupAngTanjil_v1.0:LG17:18811421:18815259:1 gene:TanjilG_05656 transcript:OIV93953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVVGYRHIDCAQIYGNEKEIGSVLKKLFEDGVVKREDLWITSKLWNTDHAPEDVPLALDRTLRDLQLNYVDLYLIHWPAPIKKGSVGFKPENLVQPDIPSTWRAMEALFDSGKARAIGVSNFSSKKLGDLLEVSRVPPAVNQVECHPSWQQDSLRAFCKSKGVHLSGYSPLGSPGTTWLKSDVLKHPVLNDIAEKLGKTPAQVALRWGLQLGHSVLPKSTSESRINQNFDVFDWSIPEDFVANFSEIQQARLLRGASFVHETFGVYRSIEEFWDGEI >OIV94666 pep chromosome:LupAngTanjil_v1.0:LG17:2748035:2752128:-1 gene:TanjilG_25890 transcript:OIV94666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSRGQPRQQPNVIMGPTSYPNTIPLSIMGPTTAQFPFTSMPLQHHHHQQQQPQQPPAPVSNNNPSFQPFNINNSATPYEHGSSTALKPCVLTATDSGKKKRGRPKKHSSDSDSIALDLAAPAKTQHVSSPPPPPPPPPPAASATPSAEPTAKKHRGRPPGSGKKQLGALGAAAGFTPHIILVENGEDIAAKVMSFSHGGLRTVCILSAHGAISNVTLRHTTMSGDTVTYELFRFVVYLYGLFEIISLSGSASGKSSGYNKMDGFSVSLAGPDGRVLGGIVAGTLTAASQVQVIMGSFIAESKKSISNNLKSSSAPPSPQVLTFGAPATSTSPTSQGPSSDSSDDNDDDPFNRGSGFYNNATQTIHNMPLYRPQLWVSQTQQ >OIV94700 pep chromosome:LupAngTanjil_v1.0:LG17:2457761:2461197:-1 gene:TanjilG_25924 transcript:OIV94700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSSSFLLSSTPSSPNYSFNSSFSSYPNLFLIKNPKFRNPTSQNIRIFAVALDPKELPKNSPQHLLKELAERKKFTSPKNKGPPRQFILKPPLEDKKLAERFLKSPQLSLKSFPLLSSCLPSSPLNNADKVWIDEYLLEVKQALGYSLEQSETLGEDNPAKQFDNLLYLAFQHPSCERTKARHVRNGHSRLFFLGEYVLELAMAEFFLQRYPRESPGPMRERVFGLIGKRSLPQWIKAASLQNLIFPSDEMDKMLRKDREGPVKSVFWALFGAIYLCFGIPEVYRVLFEVFGMDPDAEDCQPKLRRQLEDVDSVSAELEGKLSWQDMPPADALFAHPRLYRACVPPGMHRFRGNLWDFDSRPKVMETLGYPLKLNDRIPEITEARNIELGLGLQLCNMHPSKYKFEHPRFCYERLEYVGQKIQDLVMAERLLMKHLDAPGLWLQERHRGLLMNKYCGRYLRAKHLHHYIICDDKLQDSLEHNRRMRNPATTAVQQSFHGLSYLVYGKRDVRRLMFEVFDFEQIQPKEV >OIV94760 pep chromosome:LupAngTanjil_v1.0:LG17:1944983:1946824:-1 gene:TanjilG_12973 transcript:OIV94760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQLKLLHAQIILHGLTDQVLTLGKLVSFCSTHEQGDLRYAHLLFDQIPQPNKFMYNHLIRGYSNSDDPTMSLLLYRQMVSAGLYPNEFTMPFVVKACSFKPSYRKIVIVHANAIKLGMGSHACVQNAFLSAYVACRLIQSARKLFDDISDRTLVSWNSMIAGYGRMGCCKEVILLFQEMQNLGVELDVFTLVSLLSVSSKHGNLDLGRFVHIYIIITGIETDSIVTNALIDMYAKCGHLQCAKIVFDRMVDKNVVSWTCMVNAYANHGLIDYALELFNQMPIKNVVSWNSIIWCHVQEGQYSEAVELFRRMCISGVMPEEATLVSILSSCSHMGDLALGKEAHVFISDNNIAVSVTLYNSLIDMYAKCGALQTARDIFFAMPEKNVVSWNIIIGALALHGFGEDAIEMFEKMQENGLCPDEITFTGLLSACSHSGLVDSGQYYFDMMSTFGISPDIAHYACMVDLLGRWGLLGEAMTLIQKMPMKPDVVVWGALLGACRTYGNLEIGRPIMKQLLELGRYDSGLYVLLSNMYSESQRWDDMKKIRKIMDESGIKKCRAISFIEIDGCCHQFMVDDKRHGASTRIYSMLDQLMYHLKFVGYLCKPSDDVEDIY >OIV93858 pep chromosome:LupAngTanjil_v1.0:LG17:20339156:20348902:-1 gene:TanjilG_13873 transcript:OIV93858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQGNNEKQLKGLQGINLTLFLRLELFMNALDTLGNTKWRVNKKVLSIISQIWSNGGRLADLVDRQDVPFPVEPNTTDESEIRKWKWKVKTVKKENNERHSQRCDIELKLAVARKVKDEEGFYYPHNLDFRGRAYPMHPYLNHLGSDLCRGILEFAEGRALGKSGLQWLKIHLANLYAGGVDKLSYEGRIAFTEDHLDDIFDSADKPLEGGRWWLQAEDPFQCLAACINLSEALRSPTPDITISHMPVHQDGSCNGLQHYAALGRDKLGAAAVNLVGGDQPADVYSGIAARVLEIMKRDAEKDPQTNPNALHARRLISQVDRKLVKQTVMTSVYGVTYIGARDQIKRRLKERCAIEDDSELFAAACYAAKTTLIALEEMFEAARSIMSWLGDCAKLLDGFQKSFPTLKFPPLPERGDFDLREVLESPYFFN >OIV94416 pep chromosome:LupAngTanjil_v1.0:LG17:3828333:3831460:1 gene:TanjilG_25478 transcript:OIV94416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHKDGKPINLPNKHRNVTVAITVIVLCGIAFYLGGMQCSSQNGGLVTNIVEKALDLPKQSGSLQVRPINFPECSTDLQDYTPCTDPKRWRKYGTYRLTLLERHCPPLSERKECLVPPPDGYKPPIRWPKSRDECWYRNVPYDWINNEKSNQHWLRKEGEKFLFPGGGTMFPNGVGEYVDLMVDLIPEMKDGTVRTAIDTGCGVASWGGDLLDRGILTISLAPRDNHEAQVQFALERGIPAMLGVISTQRLPYPSNSFDMAHCSRCLIPWTEFGGIYLHEIHRILRPGGFWILSGPPVNYERRWRGWNTTIEEQRSDYEKLQDVLTSMCFKLYNKKDDIAVWQKSKDNNCYDKLPSETYPPKCDDSFEPDAGWYVPLRTCVVVPEAKYKKSALTYMPKWPERLLATPERISAIHGASSSTFNHDNSKWKKRVQHYKKLLPELGTEKVRNVMDMNTLYGGFAAALINDPLWVMNVVSAYGTNSLPVVYDRGLIGTYHDWCEAFSTYPRTYDLFHLDGLFTDESHRCEMKYVLLEMDRILRPGGHAIIRESTYFVDAIATIAKGMRWGCRKENTEYGVEKEKILICQKKLGNSSKKGSR >OIV94849 pep chromosome:LupAngTanjil_v1.0:LG17:1209251:1217742:-1 gene:TanjilG_22046 transcript:OIV94849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKENLHALQLKPSYTSINKPVSAKNKYKTGAGVVPDKLNVHFVPHSHDDVGWLKTVDQYYVGSNQSIQNACIENVLDSVVMSLQRDRNRKFVYAEMAFFHRWWVEQSLETQAQVRKLVDSGQLEFVNGGWCMHDEATTHYIDMIDQTTLGHRFIKKEFDKAPRAGWQIDPFGHSAVQAYLLGAELGFESVHFARIDYQDRDKRKNDKSLEVVWRGSKTFGSSAQIFANAFPERYSPPDGFQFEVATYFEPIQDDPLLFDYNVEERVEDFIDAAMIQANVTRTNHIMWTMGGDFNYQYAESWFKQMDKLIHHVNKDGRVNVLYSTPSIYTDAKIAAPQLWPVKTHDYFPYADKPDAYWTGYFTSRPNLKRYVRMLSGYYLAARQLEYLAGKKSGSDHITSALGDALGIAQHHDAITGTAKLHVTNDYAKRLSIGASKAEAVISSSLACLASKKSMVKCSAPASTFSQCQLLNISYCPPTEDNIPESKSLILVVYNSLGWNRTDIIRIPVNDAQLVVKDSSGNNLESQYVPVDNVTANLRNLYVKAYMGFSPKQAPTYWLLFQASVPPLGWSTYFISKAPGKGKRRKGVHTELNSKKIETIEIGQGNLKVSFSSESGQLTRMYNSKTGVDIPIEQNYLWYPSSIRGFSKSSGAYLFHPDGPPKVVSKSVPLKVIRGPLVDEVHQNFSSWIYQVTRIYRDKEHAEIEYTIGPIPIDKGVGREVISQMTTNMVTNKEFYTDSNGRDFLKRVRDYREDWPLQVVQPVAGNYYPLNLGIYIEDMKSEFSVLVDRATGGASIKDGEVELMLHRRLLYDDGEGVEEALDEQVCVNVTCEGLTVRGNYYMSLHKLGAGSSWRRTTAQEIYSPLLLAFTYEDLGNWKSSHLTEGTAMDPNYILPHNVALITLEELDDGKVLLRLAHLYEAGEDAEYSSLTKVELKKMFVTKKIKEVVEVSLSANQEKSKMKKRTWKVEGDKEQEPQPIRGGPVNSYNFVVELGPMEIRTFLLKF >OIV94005 pep chromosome:LupAngTanjil_v1.0:LG17:15718617:15725352:1 gene:TanjilG_07553 transcript:OIV94005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLNLCTPCFSPLLSSSPLPLPKSSSINHNTNTNTLFFSTSSIPNLLKSLNNAKASVSENHNEVSNDTVSEQLLDQKLLIRIVATAKDADEVLNMIAENSASKRGVVCVSDCCSVISAALKRNNHELALSVFYAMRATFDQVGYNGSLDEGWKWSRPNADVYTLLIQGLAASLRVSDALTAIKYICEVGVSPGEEVRFGKIVRCPSCRIAVGVAQPQQGIQIVSCAKCRYKYELVSGDIVSIQSEEISMDITAWERGLRFLPLMKKIIPSAVHSIVVQTPSGMARTHRFATETVDLPAQEGERVTVAVAAPSDVYRNVGPFKFSPRAPDFFPGEAMCITNHKDGRESLLLRAPRKEGNSSLLKPSFLFPLLAVIATGDAASGLIDPNLPQFLSVVAVSSLAATATLNYFVLPQFNQLPQGSVEVTAIKQRLLSQYDVLLSRIKDLKEAAEKEIWMLARMYQLENKISAVGEPSYRSRRNKVMRVRESLQNSLRGRIELIDSYTRVSSMIEIEVEMETDVLAAETASNADSITEQIEQIMELENLEERWKIQAEANDEAERLLSSQSMPFEED >OIV94936 pep chromosome:LupAngTanjil_v1.0:LG17:572068:580670:1 gene:TanjilG_22133 transcript:OIV94936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKRYIIEVEKAKESSDAKPSMGPVYRSLFAKDGFPSPIQGLDSCWDVFRLSVEKYPTNPMLGRREIVDGKPGKYIWQSYKEVYDLVVKVGNSIRSCGYEQGVKCGIYGSNSAEWIISMEACNAHGLYCVPLYDTLGAGAVEFIIAHAEVSIAFAEEKKIPELLKTFPNAAKYLRTLVSFGKVTPEQKQEVEKYGLAIYSWDEFLLLGQDQSFDLPVKKRSDICTIMYTSGTTGDPKGVLISNESIITLLAGVKRLLESVNEELEVKDVYLSYLPLAHIFDRVIEEAFIWHGASIGFWRGDVKLLIEDIGELKPTIFCAVPRVLDRVYSGLTQKISAGGFLKQTLFNFAHSFKLNNMKKGHPHAEASPFFDKLVFDKVKQGLGGKVRLILSGAAPLSTHVESYLRVVTCSHVLQGYGLTETCAGTFVSLPNELGMLGTVGPPVPNVDVCLESVPEMGYNALATTPRGEICVRGSTLFSGYYKREDLTKEVLIDGWFHTGDIGEWQPNGSMKIIDRKKNIFKLSQGEYVAVENLENIYDQLSSIESIWVYGNSFEAFLVAVANPRKEALERWAEENGISMDFNSLCEDSRAKKYLLDELSKIAKENKLKGFEVIKAIHLDPVPFDMERDLITPTYKKKRPQLLKYYQNVIDNMYKSGSKPNA >OIV94955 pep chromosome:LupAngTanjil_v1.0:LG17:447060:449344:-1 gene:TanjilG_22152 transcript:OIV94955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAHDGEALDFEPEDDDLMDEDAAVDAAGTSPSAPPLPKLKSAITSSSSISVPKKTKGRGFRQETDTNRNNRLAGSDFDSLTTEGGPGPQRSIEGWIILATGVHEEAQEDDLQNAFGEYGEIKNLHLNLDRRTGFVKGYALIEYERAEEARNAIENLNGSELLTQTIYVDWAFSSGPINDSIRRKNTRPSRGERRSRSPRRRY >OIV94860 pep chromosome:LupAngTanjil_v1.0:LG17:1146404:1148007:-1 gene:TanjilG_22057 transcript:OIV94860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTISRHCFGDDDSESESSSFCISIIENMKEEYGLFVWPCSVILAEYVWQHKQRFHGSTVLELGAGTSLPGLVAAKLGARVTLTDDSQRLQVLDNMRKVCDLNKLNCNVQGLTWGVWDSSIFNLHPTIILGADVLYDSNAFDNLFATVTFLLRKDPGSVFITSYHNRSGHHLIEFLMGKWGLKCLKLLDGFSFLPSEKASLISGNIQLAEIAVI >OIV94627 pep chromosome:LupAngTanjil_v1.0:LG17:3021304:3021552:-1 gene:TanjilG_25851 transcript:OIV94627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRSSSSPSSLYSSFKPNNIKTDIDQNLLPTYDPLSHVAQKEQHRLKSAQKAIHLIPLLVLLCAIILWFFSSPLPQTSESTN >OIV94137 pep chromosome:LupAngTanjil_v1.0:LG17:11648976:11651152:-1 gene:TanjilG_31562 transcript:OIV94137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKQRSISHPTPTPSPSPEEEVNNVEEDVTHVDQDQTLPKILSISISNHEPLSSDYKYTKRPNENNDPSSPKRTKIEGSDLKPPFLRFLSFDDELIILKGMHDFISENGPHLHKSSNDFHYFIKKSLHVEASSTQLKKKKSLHVEASSTQLKEKVRKLKLKFLKNEGGHSHGTLEKAESDETIEGKHVKIVDVGKDVEIENDEKENKESVKVQNGPRLKDQIQSLHFTVFWSFDGELIILKGMHDFISENGPHPHKSSNDFHNFIKKSLHVEASSTQLKEKVPKLKLKFLKNGETLSFSNAHDSGIFELSKKIWGNTEGGHSHETLEKAESGETKEGKHVKIVDVGKNVEIENDENENKSSLYLMEVFQLYGFDKDAKKEGIELLGESERIEFEKLWKEVQNVELELYVRRAELVAK >OIV94992 pep chromosome:LupAngTanjil_v1.0:LG17:178437:180483:1 gene:TanjilG_22189 transcript:OIV94992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGKNKRISKGKKGGKKKAADPFAKKDWYDIKAPSLFQVKNVGKTLVSRTQGTKIASEGLKHRVFEVSLADLQGDEDHAFRKIRLRAEDVQGKNVLTNFWGMDFTTDKLRSLVRKWQTLIEAHVDVKTTDNYTLRLFCIGFTKRRANQIKRTSYAQSSQIRQIRRKMREIIINHATSVDLKELVKKFIPESIGKEIEKATCGIYPLQNVYIRKVKILKAPKFDLGKLMEVHGDYSEDVGTKVDRPADETVAEPAQEIVGA >OIV94321 pep chromosome:LupAngTanjil_v1.0:LG17:6603498:6613017:-1 gene:TanjilG_19327 transcript:OIV94321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIKPGMSALVTGAASGIGKSLVLALAEKGVFITLVDFSEEKGREVAALVQKINTKFHPNLDFPSALFFKCDVTNSRDLAAAFEKHYLTYGGLDICINSAGITNPIEFRKDQTDGTRSWKHTVNVNFTAVIECTRLAIKTMEAAKRPGAIINVGSASGLYPMYFDPIYSASKGGVVMFTRALRLYKRQGIRINVLCPEFIETEMGKKVDSKFVSWMGGFVPMELLVKGAFELITDESKAGHCLWITNRRGLEYWPTPSEEAKYLTSSVSHLRQRSEFKAPSVKLPDSFEKIVVQILSHNFRNATTIVRTPLGLPVKPKHAVVKIIYAGVNASDVNFSSGRYFGGNNSDTASRLPFDAGFEAVGIIAAVGDFVTDLKVGMPCAFMTFGGYAEFITIPSKFALPVARPDAEVVAMLTSGLTASIALEKAGAAQIESGKVVLVTAAAGGTGQFAVQLAKLAGNTVVATCGGGAKAKLLKELGVDRVLRKEFPKGIDVIYESVGGDLLKLCLNALAVHGRLIVIGMISQYQGDSGWTPSNYPGLLEKLLAKSQTVAGFFLVQYAHLWQEHLDKLFNLYSTGKLKVAVDPKKFVGLRSVADAVEYLHSGKSVGKVVVSVDPSFHPQVAKLKENLSMRAAILQRFNC >OIV94757 pep chromosome:LupAngTanjil_v1.0:LG17:1954549:1955986:-1 gene:TanjilG_12970 transcript:OIV94757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSMQYLTMKTVPGTVSFTDFHINELKLVTEKLFHDANKLGGLGFGTSSFKCMASLAAIGEFGKWIASVAVVLRLFFPRHFPHWLELPGSMILLLVVAPNFFAYKWRKNAIGIVIDLLIGCYLLQEHIRASGGFRNSFTQRHGISNTIGILFLLAYPVWALVVHFA >OIV94792 pep chromosome:LupAngTanjil_v1.0:LG17:1689292:1695784:-1 gene:TanjilG_13005 transcript:OIV94792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRCVARRLGAPPASNRSSPRSIISRFFSSGESTSSYTVVDHKYDAVVVGAGGAGLRAAIGLSEHGFNTACITKLFPTRSHTVAAQGGINAALGNMTEDDWRWHMYDTVKGSDWLGDQDAIQYMCREAPKAVIELENYGLPFSRTEEGKIYQRAFGGQSLNYGKGGQAYRCACAADRTGHALLHTLYGQAMKHNTQFFVEYFALDLLMNNDGTCQGVIALNMEDGTLHRFQAASTILATGGYGRAYFSATSAHTCTGDGNAMAARAGIPLEDLEFVQFHPTGIYGAGCLITEGSRGEGGILRNSEGERFMERYAPTAKDLASRDVVSRSMTMEIREGRGVGAHKDHIYLHLNHLPPDVLKERLPGISETAAIFAGVDVTKEPIPVLPTVHYNMGGIPTNHHGEVVTIKGDDPDAIVPGLMAAGEAACASVHGANRLGANSLLDIVVFGEKQKPLEKDAGQKTIAWLDKLRNSNGSLPTSKIRLNMQRVMQNNAAVFRTQETLEEGCQLIDKTWESFHDVKVEDRTLIWNSDLIETIELENLLINACITMHSAEARKESRGAHAREDFKVRDDENWMKHTVGFWENEKVRLDYRPVHMNTLDDEVESFPPKARVY >OIV94927 pep chromosome:LupAngTanjil_v1.0:LG17:639193:643131:1 gene:TanjilG_22124 transcript:OIV94927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSQSLSFSPKPFSNFPFPYSKTHHHTSNFGNQTKLSKFLSFHEIARACAFKDSHSFNLPSLFQIQKLPATKAFTRAYKNCVAVETCNDSCESVSDNPNWECDLAPQIVSSDIDNSTNGLLKFMMFFGLITLQHSYPAAAAASDFATGLSTLPIFGDIGDLSTGFASAFLLIFFSELGDKTFFIAALLAARNSAGVVFTGTFGALGAMTLISVALGRTFHYVDEILPFRLGETDLPVDDIAAVCLLVYFGVSTLLDASSSDGQKSDDEQKEAELAVSEFSGNGSGILAAASTAASTFLLVFVAEWGDKSFFSTIALAAASSPLGVIGGALAGHGVATLVIAYVGGVLFLVFAAVTVFEIVQ >OIV93822 pep chromosome:LupAngTanjil_v1.0:LG17:20663506:20667686:-1 gene:TanjilG_03785 transcript:OIV93822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISVWVLVLTVLANGFSSTGIGMDNSTIPDFVNIGVLYSFNTSVGRIVKIAVEAAVEDVNSDPSILGKTKLKVSLQEDSKYRGFLSIAEALQLMATHTVAIIGPQTSTTAHVISHIANELQVPLLSFSATDPTLSSLQFPFFIRTAFSDIYQMTAIADLVNYYEWREVIAVYGDDDHGRNGIGALGDKLAERRCKISFKAPMSPEATREEITDVLVQVALAESRVIVIHTSTAWGPKVLAVAKSLGMMENGYVWIATNFLSTRLDIDSPLSSDVMDEIQGVITLRMYIPDSKHKREFASSRWKGLTSGKTANGSLGLSTYGIFAYDTVYLLARALDTFFKQGNQITFSSDSKLSQLRGDNMHLDAVKIFNEGKLLLKSIYAVNMTGVSGPFMYTSDGNLVNPAYEIINVIGTGTRRIGYWSNYSGLSLVPPETLYSKPPNRSSAGQKLLTVIWPGETAKKPRGWVFPNNGRVLKIGVPKRVSYPDFVSQVQGTDMFKGFCIDVFLSAVNLLPYAVPYKFIPYGDGHSNPSNTELVRLITAGVFDAAVGDITITTERSKMVDFTQPFIESGLVVVAAVKKTESNAWAFFTPFTPMMWTVTAIFCIIVGAVVWILEHRLNKDFRGPPRKQIITTLWFSLSTMFFAHRENTVSTLGRFVLLIWLFVVLIINSSYTASLTSILTVQQLSSPIKGIGSLINSKEPIGYLQGSFTRNYLIGEIGIDESRLVPLKTPEESTKALEKGPRKGGIAAYVDERAYIELFLSSRCGFTVVGQEFTRNGWGFAFPRDSPLAVDMSTAILELAENGDLQRIHDKWLLSIACLSQGAKLEVDRLNLRSFWGLYLVCGLACLLALLVYLIQTLRQYNKHCPTEPECSGSSHFRTFLSFVDEKEDIAKSRSKRRQMEMISYRSTSEVGSSISNAEYYQSSMNRIDCTNEV >OIV94541 pep chromosome:LupAngTanjil_v1.0:LG17:5339747:5341564:1 gene:TanjilG_25603 transcript:OIV94541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSEQRRKRKQQQQYLAGRNQILNLPSSKSLFLSFFLFIFLIFLSLNRFSLIYFFNNRSFTFHPSLTPSTLSLLYSSKSNSILDPQTTTTTLHPFTLQHRILFPDYLLLFLTGHRPKPNEVECVYYNNGSATGSTEPTGDIIEVQPVLSTDQYDEFRSIARCPFPKNMSTATTVDIRRRGEMGRRDLNQIAKKTQSWDKVAYEATLDGDTVVVFVKGLNLRPHKISDPITFWCHFGLKGFNKEGAFMLTTKSVSVAQEVVRCLLPHSIRKNPGMARGIRVTVSHMAGNVRHPVRMLVPSVAKVSNPSESVKRKSGGKYELCVCTMVWNQASALREWIMYHAWLGVERWFIYDNNSEDDIERVINDLDVQGYNVSRQAWPWIKTQEAGFSHCALRAREECKWVGFFDVDEFFYFRNEFRNSLAQGGGVPGENSLRLMVANFSSSSSIAEIRTACHSFGPSGLMLPPKQGVTIGYTCRLQSPERHKSIVRPDLLGISLLNVVHHFELREGLKSIDMPEGNVVINHYKYQVWENFKAKFFRRVATYVVDWQKDQNKGSKDRAPGLGTEAIEPPNWRLQFCEVWDTGLKDFLVSYFADSATGLMPWESS >OIV94314 pep chromosome:LupAngTanjil_v1.0:LG17:6697511:6698230:-1 gene:TanjilG_19320 transcript:OIV94314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPLTRLTSEQELSVIVAALTNVVTGSTSTSLDTTSNNVISQFSSQLTSQATSATTGGTTSDQRILPTSNIVTCSECNIAGCLGCSFFSEEKKLQKQAKRKYRGVRQRPWGKWAAEIRDPRRAARVWLGTFSTAEDAARAYDKAAIEFRGARAKLNFPVIDDSLIVEAHKGNMNVLNAEEEVVKDDNLSKGMEMKTVGMFGNKESEFWDRIGEDDFHQLMMMDFGGDSSHSAAGNTSGS >OIV93750 pep chromosome:LupAngTanjil_v1.0:LG17:20939734:20942481:1 gene:TanjilG_07653 transcript:OIV93750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLSIIRKIKRKEKEMRILMVGLDNSGKTTIVLKINGEDTSVISPTLGFNIKTITYQKKSRHISIHIEIGRYTLNIWDVGGQKTIRSYWRNYFEQTDGLVWVVDSSDLRRLDDCKMELDNLLKEERLSGSSLLILANKQDIKGALTPEDIAKVLNLEAMDKTRHWNIVGCSAYTGEGLLEGFDWLVQDIASRIYMLA >OIV94175 pep chromosome:LupAngTanjil_v1.0:LG17:10732882:10735393:1 gene:TanjilG_13792 transcript:OIV94175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHNPFALFLFLLLLPSAKPDLSSERAALLHLRSSVGGRTLFWNATNQSPCNWAGVQCDHDHVVELHLPGVSLSGQLPTGIFSNLTHLRTLSLRFNALTGHIPSDLASCTNLRNLYLQRNLFSGSIPDFLFSLTDLVRVNLGFNNFSGQFSTGFNNLTRLRTLFLENNQLQGSIPDLSNVVNLEQFNVSNNLLNGSVPLKLGTFSEDSFLGNSLCGKPLGLCAGDDGNGSGSVPGEIEINGGKKKKTKKLLGGAIAGIVIGSVVGLLLVVFVLILLCRKKSSSKKTSSVDVATVKDRELEVNGGENKVNDVENGGHGNGNGNGNGYSVAAVAAAALTGNGNKGGEVVNAGGAKKLVFFGNSVRGFDLEDLLRASAEVLGKGTFGTAYKAVLEVGPVVAVKRLKDVTISEKEFKEKIEVVGAMDHESLVPLRAYYFSRDEKLLVYDYMSMGSLSALLHGNKGAGRTPLNWELRSGIALGAARGIEYLHSQGPNVSHGNIKSSNILLTKSYEARVSDFGLAHLVGPSSTPNRIAGYRAPEVTDPRKVSQKADVYSFGVFLLELLTGKAPTHALLNEEGVDLPRWVQSVVREEWTSEVFDLELLRYQNVEEEMVQLLQLAVDCTASYPDKRPSMSEVTQSIEELHRSSLKDDQDQIQHHDLINDI >OIV93943 pep chromosome:LupAngTanjil_v1.0:LG17:18419083:18424902:1 gene:TanjilG_05646 transcript:OIV93943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWPRVQILERVSPLVGVRLHNLPSPYPTKWKPRALCHPWSLLEKYSCKASKLHLKPRRFKIFVEALEHQLLKDRKKNVRKRSLQGRNSPPTPNTVSNQIRASGNDVSAVKVVLVDSQNILKLRPPKSSVKRNANMGVNRSNTKGDSIIMKPSRQRKKSGMITTAAYKQWEKAAIAGVSLVADAAEHLERAATVKEAEHSQENPGEKCSDPVDQVLPSLPSFPQNHFVDNNVQSTLKLKLQLFPIDEATRRALEMDKHNPYLELTLSTRKKISSILEHLNRKWGSSSIAIGELMLFPYGIQRENLVNYRRWTLESTLCAADIYSMIGSPPTFRLRYGWFSNTELGLLNMQVPVASGCMLGQSNINVDISKGHTVNPASLHMQSRNNHCMELFEVRGTSMIRNCALTTMSTDLLNARDDSVYPNTSKESCDPVNLSCHGEDVRDGTVTRQSEAMDELKLSSGTGQSAGEWADSLTNISVGDLLSGLSQDLDNCIDSPIAENYNALQQIPFCSDSFDAAIFAHISRHQDKMGQPTHMSSIWDAEETCDAFLFKNNHVHREDGPCLSPFASSETKKKATGRSSECSNKLAELSPEKERLVDDVAQAEPMDSSESDIDIQDHFPKDFNGLADISWPDSLGPLDLDIPSTKYHSEDLILGNSLSGLNHLIATSLDAFQNCSFFGFDKKEVPSTVEARDTAAFSDFKIRSGV >OIV94144 pep chromosome:LupAngTanjil_v1.0:LG17:11803657:11803848:-1 gene:TanjilG_31569 transcript:OIV94144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATTSLYREEPNPSLERRRMKKMTSSPCNTEIGDGEDQCKSEPCAMPTIEVIGVSFSELSHC >OIV94451 pep chromosome:LupAngTanjil_v1.0:LG17:4219731:4225365:-1 gene:TanjilG_25513 transcript:OIV94451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSDPFTDKNTVFRKLKAKSENKMCFDCNAKNPTWASVTYGIFLCIDCSAAHRSLGVHISFVRSTNLDSWSPEQLKIMSFGGNNRAQVFFKQHGWTDGGKIEAKYTSRASELYKQILSKEVSKSMAEEAGLASSVVAVQSPQVANGLPEVRTNELPKENSLGKPETPESTSSPRASHTFSSTIKKPIGAKKAGKTGGLGARKLTKKPSESLYEQKPEEPPAPVSSPANNNLPTGPSPPSRFGYVENVQSSDSNSGAGDTKMPGHVSVPKSSSFFADFGMDSGFPKKTLSNSSKVQIQESDEARKKFSNAKSISSSQFFGDQNKAEDLAAQATLSKFSSKTAISSADLFGDSDSSIDLAASDLINRISFQAQEDISSLRNIAGETGKRLSSLASTLMTDLQDRIL >OIV94178 pep chromosome:LupAngTanjil_v1.0:LG17:10766099:10767052:-1 gene:TanjilG_13795 transcript:OIV94178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKFNVVQKRRRTQIAEKKRKAHGDPSTGKLKVKSQPLSISGKRKRKLLKQWRRDQKDALQQGLITMEDVQMALSPSQQGETKDTRTSSAKIHLKKKKKLKTSFKIKAKGKNKRKSDVPAADISTDAMVE >OIV94492 pep chromosome:LupAngTanjil_v1.0:LG17:4773332:4773604:-1 gene:TanjilG_25554 transcript:OIV94492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTKEMKIQISSANDAISSTGMEFQGTQGVLTRQPSMTKNNCLCSPTTHAGSFRCRLHRTPSLQRTKSIEPPTMFDQASIVHATGYANKD >OIV94525 pep chromosome:LupAngTanjil_v1.0:LG17:5141471:5143066:-1 gene:TanjilG_25587 transcript:OIV94525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSPNPFKDQSFSLSKKLLPWILYALLPLALLRLYFYPVPFLLYPETELPHSSPITITSTSSLPSATHFSSLSEKEQTLETPCDYFNGKWIRDRRGPLYNGTTCGTIKEGQNCMTHGRPDSDYLYWRWKPSQCNLPRFEPQTFLQLIKNKHVAFVGDSMARNQLESLLCMLATASTPNLVYRNGEDNKFRRWHFDSWNASVSVYWSPFLVQGVEKSNSGPNHNKLYLDHVDERWARDMDQMDLIVLSLGHWFLHPAVYYEGDSVFGCHYCPGLNHTEIGFYDVLRKALRTTLNGIIDRRGGKGNGIDVIVTTFSPAHFEGEWDKAGACPKTKPYRNMEKKLEGMDDDMRNIEIKEVEDAKAKAKGIGGVKLEALDITKLALLRPDGHPGNYMYPFPFANGVPERVQNDCVHWCLPGPIDTWNEIFLELMKKWEEQRGTEN >OIV94925 pep chromosome:LupAngTanjil_v1.0:LG17:653948:658990:1 gene:TanjilG_22122 transcript:OIV94925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENKNIFLSALSVGVGLGIGLASGQSVSKWGGNNNNNNNNNNNNINITPQNIEQEMLRLVVDGRHTNLTFDHFPYYLSEQTRVLLTSAGYVHLKHAEVSKYTRNLAPASRTILLSGPAELYQQVLAKALAHYFEAKLLLLDLTDFSLKIQNKYGSSGNKESCFKRSTSESTMERLSDLFGSFSIFPNREDTKGKMHRQSSGVELRSMEGEGSCNPSKLRRNVSASANMSSLASQSSHTNSAPMKRTAIWSFDERLLIQTLYKHDDECKIRFQVLVFVSKTYPIVLYLRDVDKLLNRSQRTYNLFQKMLKQISGPILILGSRVQESGDDYKEVDESLSSLFPYNIEIKPPEDESQLVSWKSQFEEDMKMIQVQDNRNHIMEVLSANDLYCDDLDRICVADTMVLSNYIQEIVVSAISNHLMNNKDPQYRNGKLVISCNSLSHALDIFQEGKINGRDTLKLEAQRAVAPEQREDGAVVKPEAKAENPAPATKAEPEISTSEGKTEVPPDNEFEKRIRPEVIPANEIGVTFSDIGALDDTKESLQELVMLPLRRPDLFAGGLLSPCRGILLFGPPGTGKTMLAKAIANEAGASFINVSMSTITSKWFGEDEKNVRALFTLAAKVSPTIIFVDEVDSMLGQRSRVGEHEAMRKIKNEFMSHWDGLMTNKGERILVLAATNRPFDLDEAIIRRFERRIMVGLPSVENREKILRTLLSKDKVDEGLNFKELATMTEGYTGSDLKNFCTTAAYRPVRELIQQELLKDKKKKQKDAEKQNNNVQDVVQGDLNTVEEIKQEREINLRPLNMQDFKEAKKQVAASYAAEGAGMNELKQWNELYGEGGSRKQEQLSYFL >OIV94157 pep chromosome:LupAngTanjil_v1.0:LG17:11286182:11288316:-1 gene:TanjilG_03607 transcript:OIV94157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETLVLRGTLRAHTDDVTAIATPIDNSDMIVTASRDKSLIVWHLTKEDKNYGVPRRRLTGHSHFVQDVVLSSDGQFALSGSWDSELRLWDLAAGTSRRFVGHTKDVLSVAFSVDNRQIVSASRDRSIKLWNTLGECKYTIQDSEGHSDWVSCVKFSPNTLQPTIVSASWDRTVKVWNLTNCKLKNTLAGHGGYVNTVAVSPDGSLCASGGKDGVILLWDLAEGKKLYSLEAGSIIHSLCFSPNRYWLCAATESSIKIWDLESKSIVEDLKVDPKSEADANTGTGIANKKKVIYSTSLAWSVDGSTLFSGYTDGVVRVWGIGRY >OIV94982 pep chromosome:LupAngTanjil_v1.0:LG17:234522:239739:1 gene:TanjilG_22179 transcript:OIV94982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLKMSEEKIKPKTDSELFQSCTNHCVWKILENGSCAGANAASGVDFTIAATDPLSEIVYSQDKGLSLKRADASFADKSNSVFRDVGPNCVVLAPPQSLTGIMPQGEGNEENGTGSGGNMETMNTAERALNDQHENLMNDSPKNTCDVANIGTDKIFGIEGNNFSTIPGQVDQMPFCSLVIQSDEHKHSMEQNPSPKKCSNEGMDIGKGKKAVVIDDDLYATFEPIIDCKGSGAAETNLASSSRNPLEKLESTAENDLQTSNIEAVCVATSGVIVNEIGNKSRNNEMMLPCDKILPLSHYPCHSRIHMTINEGKEKSLSDRDAKVRLSEDSNTSVESCNSTGSFSTRKKRRNFSQRLIIGSKRVKKQIEENFGSKSYVKPDSSFMNWISNMVKGLPQSMQDVPNTSAHAVSNPDPDDRLITFNTNQDLEPKGIGFKSLFQSIYCRSLKDSGTRKSRQEGEGCKDIEPDYTVGGIEATPITCCEENNGLYRQYLQSNRFEVSAERYEAGPSSQPRIKPLDFLNSHESSEDKKEMGSHSSSIRQNTNNTENVDSIALYDRREAGNIHHKSDNTLESLWITRFSPKTTAPLIISDHLNEKVGSQVHATGSSKIPNNSNKHISYLRNCKIEQTREQFGDEMDVSAGLEEDKGNNDHKLKNKFNPLSSSTGFRNSKPMTSMFARRLGANKNILPASTTDSTTQVNTLCLFCGTRGHQLDDCSSVEESERKNLQDNISLHGELEELPCICIKCFQPNHWAISCHASTSTRKQKLEVNALFNYRIPSGEHISPSNERSTRLLTSEEDQILSGSCNIDGTDHKEERNLNLRPKSKQAVTSKVGRYASLKKYCSASSEENKFKEDPGTSPSKLAERQVSQVPQRISDAVKRLRLSRTDILKWINANGSISQLDGFYLRLRLGKWEEGLRGTGYHVASINEAERQSSEQSTTKSLSVHVRGIKYMLESRYISNHDFLELLQFNPRLLLVQEEIMEWWFTTTEAGAAIPSEEDLNKRIEKKIMLGL >OIV94765 pep chromosome:LupAngTanjil_v1.0:LG17:1902931:1909403:-1 gene:TanjilG_12978 transcript:OIV94765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVPLPIDKLALHLITDQSSTSNTTTQGKIYVILVATGSFNPPTFMHLRMFELARDALNSEGYCVIGGYMSPVNDAYKKKNLISAEHRIRLCQLACKSSEFVMVDPWEANQSTYQRTLTVLSRVQSSICETGLVSRESLKVMLVCGSDLLQSFGIPGFWIRDQVKAISRDYGIVCISREGQDVGIIISNDDILNENQANIKVVDELVPNQISSTRIRECIARGLSIKYLTADEVIDYIREQQLYAHSDDK >OIV94334 pep chromosome:LupAngTanjil_v1.0:LG17:6462485:6462652:-1 gene:TanjilG_18299 transcript:OIV94334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIHRIELCITMVRIAIEFVIAVAEAVVIVQERNSLPLGTLNRTSTPIHFSAFMP >OIV93832 pep chromosome:LupAngTanjil_v1.0:LG17:20756571:20757666:-1 gene:TanjilG_03795 transcript:OIV93832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTRKITLKSLDGEAFEIDEAVALESQTIKHMIEDGYSDNCIPLPNVNSNILSKVIQYCNKHVATNPIHDLKAWDADFLNVDNSTLYDIILAANYLNIKSLLDLTCQTVADMIKGNTPAEIRKIFNIKNDFTPEEEDKLRRENPWAFERRRENPWAFE >OIV94730 pep chromosome:LupAngTanjil_v1.0:LG17:2326865:2329484:-1 gene:TanjilG_06193 transcript:OIV94730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWDLNDSPDQRYDNKGKGVGSVSNSSSSAVVIEDDGSDEELKKRSSKIFGFSVTHDGGGGDDDESMDSDNLPVTQQFFPVEEESEVTVPSGDGGSSSNFPRAHWVGVKFCQSEGLGGGKSVEMSQPMKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVEADINFNIEDYEEDLKQMSNLTKEEFVHVLRRQSTGFPRGSSKYRGVTLHKCGRWEARMGQFLGKKYVYLGLFDTEVEAARAYDKAAIKCNGKEAVTNFDPSIYDNELNSESSGTVADHNLDLSLGNSSSKHSNNQASGNHTPNVATYHTPKVHITQYFLDLNLLHICLNHTFVFVAYIFIQLVNILPKLCGKSNMDGHGTHLQSPASNNEMQIHGPLSSSGEPHNFAHHLHPPNFYFPSSSNGVRIESDLSLSMNNQQKWQSGPPLFVATAAASSGFSPQIRPSQAWVQKNGFYNLMKPP >OIV94199 pep chromosome:LupAngTanjil_v1.0:LG17:10198503:10201458:1 gene:TanjilG_28138 transcript:OIV94199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGGREEEGEEGRTGGGGRRRRRREEEDEEEEEEGGGGRRRNEEEEEEGRRRRGEEEEEQKKNEKEEKKKQEEEKKREKKKQEEQKNEEEGGGGRRRKEEGGRRRKKKKEGGGGGGEEGAGEGEGVGKGAEAEAEEAEAEVEEEEAEEGGGGGGEGGE >OIV94044 pep chromosome:LupAngTanjil_v1.0:LG17:14596467:14596763:1 gene:TanjilG_14291 transcript:OIV94044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RTKLKQTEVNCEFLKKCCKTLKDENMRLQKELQEMKALKLAQSVYMPMPAATLTMCPSCERLSHGVSGGPSNKCTPFSMSMAPKPHFYNPYINPSTAC >OIV93824 pep chromosome:LupAngTanjil_v1.0:LG17:20679450:20680559:-1 gene:TanjilG_03787 transcript:OIV93824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENVCDVSQLDADVLLPPRKRLLAGLKKRSSDGDATAFLSPVAVCCVTDVAYPSSVSFSSEFETRLKHLLSYRSNNPNLTPEELVEASKAVAISATKAAKAARAAAEEKSAIAAKAVAAAKSALDLAASFSEEAVTKKRNLKRSKSKKHLPVQLLYKKNRPIGNCRTDEELAHTLHRAINSSPRISKNSPNSNSKGSKHKKLKSSSSFKIIEASDAGVAFGQDHLSLNNGHTLVGKIDSDGSNKKGFKYDISSQMEIDNGKAESSQSKEKNYEDLSPIGKKRGRAKLKKSPLSICTSKDKARPKEGIRARSSLLTEMNTGNHSANNIPLFPVEPSSDRMKPIEATPMWKCKELKAPPPTCIKQNKSVQS >OIV94472 pep chromosome:LupAngTanjil_v1.0:LG17:4500032:4501258:-1 gene:TanjilG_25534 transcript:OIV94472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQTLVCKIEFQGLIHLILITWPTKYRHEIAGIVTEVGSKVQKFKVGDKVGVGCLVGSCRSCQSCHDNLENYCSSSILTYSAKNIDGTITYGGYSDSMVADEHFVISIPDNLPLDAAAPLLCAGITVYSPLRYFGLDKPGLHIGVVGLGGLGHMAVKFAKAFGAKVTVISTSPNKKEEAIQNLGADSFLISRDPDQMQAAIGTLDGIIDTVSAFHPLLPVISLLKSHGKLVMVGAPEQPLELPVFPLLLGRKLIAGSNIGGLKETQEMIDFAAKHNIKPEIEVIPIDYVNTAIERLLKADVKYRFVIDIGNTLKPSS >OIV94142 pep chromosome:LupAngTanjil_v1.0:LG17:11741972:11745290:1 gene:TanjilG_31567 transcript:OIV94142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSESSKSIYEFTVKDIRGNDVTLSEYTGKVLIIVNVASQCGLTQTNYKELSALYQKYKDQGLEILAFPCNQFGSQEPGTNEEIQETVCTKFKAEFPVFDKVEVNGKNAAPLYHFLKHQKGGIFGDGIKWNFTKFLVNKEGKVVDRYAPTTSPLKIEKDLQKLLQSS >OIV93952 pep chromosome:LupAngTanjil_v1.0:LG17:18758532:18768740:-1 gene:TanjilG_05655 transcript:OIV93952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLLGGPGTKSGLLLRLGQCAFGAASIGLMVTSLGFSGYTAFCYLIASMGLQVLWSFGLACLDIYALRRKRDLQNPILVSLFVVGDWVTATLSLAAACSSAGIVVLYASDLNFCSIHKSLPCNRYKVSVAMAFITWIFTAMSSHVMIWILASV >OIV94615 pep chromosome:LupAngTanjil_v1.0:LG17:3122331:3129304:1 gene:TanjilG_25839 transcript:OIV94615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNPPFQMEDIADEDFFDKLVEEDDYVGPVKSAHDEGNNSDEAKAFANLSISEGDAAFENLAAVESGDELKGEFGSVKLDEGLVGGHEQEGNLALSSTTSVGFDSKTDLPGNDGAGSEVTPASAVSESDIISGPGIKEVSWSSFYADSNGGTGFGSYSDLFNELGDQSGDFPVSAFDNLNSDVNPANEVHNDGVNTSANYLQYQGSQGFDASLMNHTIGHDDGLNASVNYVQYQEVQAYDSSLEKHTNGQDQNSSQNWEDLYPGWKYDHNTGEWYQIDGYNAAAITQGGSEANTAVDWTGASDGKTELSYMQQTAQDVTGTSAETVTTESVPSWSQVSQGNNEYPDHMYFDPQYPGWYYDTITQEWRSLETYNSSTQFAVQGVENGHASASTVSHIDNSLVKEYSHAGSYGSQGMSGQVVDGSWSGLSGANHQQSFDMYTTEAPVRSGDNITSGGNQQFAHSYGPSIYVNKNQQNTSSSFGSVSLHNHDHGLANGKIEPQSFVPHGDVVQQFNYSNTKFDEQKNFSNGFAESQKPFTYSQQPIQGGHQQSYAPHVGRSSAGRPPHALVTFGFGGKLIIMKDGGLLSSSYASQGVVQGFVSVMNLMEVVMGSVDSSSIGNATVDYFRALSQQSFPGPLVGGSVGNKELYKWIDERIAHCESPDTDYKKGERLRLLLFLLKIACQHYGKLRSPFGTDTTLKENDTPESAVAKLFASAKTSGTQYGMLSHCLQNFPSEGQLRATASEVQNLLVSGKKKEALQCAQEGQLWGPALVLALQLGEQFYVDTVKQMALCELVAGSPLRTLCLLIAGQPAEVFSADSSVSVHPGASTMPQHSAQVGSNTMLDDWEENLAVMTANRTKDDELVIVHLGDCLWKERSEITAAHICYLVAEANFESYSDSARLCLLGADHWKFPRTYASPEAIQRTELYEYSMVLGNSQFILLSFQPYKLIYAYMLAEVGKVSDSLKYCQAVLKSLKTGRAPEVETWRQLVSSLEDRIRTHQQGGYAANLAPAKLVGKLLNFFDSTAHRVVGGGLPPPAPSPSQGAVHGNEQHNRQMSNSQSTMAMSSLVPSASMEPISNWTADNNRMAKPNRSVSEPDFGRNPRQETTSADAQGKAQASEGTSRFSRFGFGSQLLQKTVGLVLRPNPGKQAKLGETNKFYYDEKLKRWVEEGVDPPAEEAALPPPPTTAAFQNSSTEYNLKSALKAEVSPSNEGSNIRTSALEHSPGIPPIPPSSNQFSARSRLGVRSRYVDTFNQGGANSANSFQPPSVPSVKPALAANAKFFVPAPVPSDDHTMKAIAESNQEDSAANKDPSASATNDWSYQSPKHTSSTAMQRFPSMGHITKQDGTTNGGDFHFDHARRTASWDGSLNDSFVPPKMGELKPLGEVLGMPPSTFMPDDSSLMHTPIRRNSFGEELQEVEL >OIV94729 pep chromosome:LupAngTanjil_v1.0:LG17:2292435:2293678:-1 gene:TanjilG_06192 transcript:OIV94729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGLTENEILKYIKLETFQSVTDGTPQTELCCICQDNYSKGEKLGILDCMHKFHLDCIKQWLLTENTCPLCKQIGLNIPIDEEDQNEGGVGVAGEVGVAGEGEVGVAGEAEVRVAGEAEAEAEARNE >OIV94179 pep chromosome:LupAngTanjil_v1.0:LG17:10768057:10769901:1 gene:TanjilG_13796 transcript:OIV94179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANIEIKGILKEVGNDGVRVPKTKIVCTLGPASRSVDMIEKLLRAGMNVARFNFSHGTHDYHQGTLNNLKTAMQNTGILCAVMLDTKGPEIRTGFLKDGKPIQLKEGQEITITTDYDIKGDTETISMSYKKLPLHLKSGNTILCSDGTITLTVLSSDTQAGTVRCRCQNTAMLGERKNVNLPGVIVDLPTLTDKDKEDILQWGVPNKIDIIALSFVRKGSDLVNVRKVLGPHANHIQLMSKVENQEGVMNFDEILRETDAFMVARGDLGMEIPVEKIFLAQKMMIYKCNLAGKPVVTATQMLESMIKSPRPTRAEATDVANAVLDGTDCVMLSGESAAGAYPEIAVKIMARICVEAESSLDYGAIFKEMIRSTPLPMSPLESLASSAVRTANKAKAKLIVVLTRGGSTAKLVAKYRPAVPILSVVVPVLTTDSFDWTCSDETPARHSLIYRGLIPLLAEGSAKATDAESTEVILEAALKSATEKGLCKPGDAVVALHRIGVASVIKICLVK >OIV94082 pep chromosome:LupAngTanjil_v1.0:LG17:13314602:13319426:-1 gene:TanjilG_05462 transcript:OIV94082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELKYFSSSSLSTSEVVHIGRTYSTLVSSFSHKVGPRYSTQFKCRRGFFLRTYGRPDYTYLADKAVTSSVGPDNFAAETSPYLVNGMNGSGLSLFSRSLRVLDAFDDEYGGVVIDPDRLSANPSVFAPMLRFSLSHWKKMGKKGIWLKLPLEKSDLVPIAVKEGFKYHHAEPGYVMLTYWIPEGPCMLPANASHQVGVGGFVINDNNEVLVVQEKHCSAATLGLWKIPTGFILEAEEIYTGAIREVKEETGVDTEFIEVITFRHAHNVAFEKSDLFFICMLRPLSSRIIVDEHEIEAAKWMPLVEFVKQPLIQEDSMFKKVIDIFIARLGKRYCGLAIHKMVSKFDGKPSSLYYNVTDNEDINCVEN >OIV94007 pep chromosome:LupAngTanjil_v1.0:LG17:15768253:15769203:1 gene:TanjilG_07555 transcript:OIV94007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVAASLVQGVYILERDRQEKREGPDVLAPPWWKFFHFQLLRPLVDDVDSSIFGAVYEFKPPSSQDNDTLYRSPRYVIAFRGTLTKSDSVSRDIALDIHFIRQGLHQTSRGDIAIQAVRNMVETVGDSNVWLAGHSLGSAMAMLTGKTMAKSGMFLESFLFNPPFVSAPIERIKDEKVKHGIRFMGSVITAGLTIAMQAKQPKDLSVDPFAALAAWVPFLFVNPSDHICSEYIGYFEHRRKMDEIGAGVIERLATQNSLGGLLMSAFGKESEPLHLIPSASVTVNVGPTHDFQDAHGIHQWWKPDLRLEHKLYNYK >OIV94662 pep chromosome:LupAngTanjil_v1.0:LG17:2776851:2800840:1 gene:TanjilG_25886 transcript:OIV94662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGISPDRDGNSLRAFLLPHIRLRLPTFWWILPNFLMLGELKYATSHEWIKHEGSVATVGITGHAQGKFKEDAEEFTLDGSVDLHGRSAIRTKSGRWAAGTIILLNQGLATLAFFGIGVNLVLFLTRVIGQNNADAANNVSKWTGTVYIFSLVGAFLSDSYWGRYKTCAVFQVIFVIGLMSLSLSSYLSLIRPKGCGNELVQCGKHSKLEMGMFYLSIYLIALGNGGYQPNIATFGADQFDEEHSKEGPLKVAFFSYFYLALNLGSLFSNTILGYFEDEGMWAIGFLVSAGSAFAALILFLAGTPLYRHFKPSGNPLSRFCQVFVAASRNRKVQMPTGGEELYDMDRKGASTNGRKILYTHGFKFLDRAAFISSRDLEDHKGALDNPWRLCPISQVEEVKCILRLLPIWLCTIIYSVVFTQMASLFVEQGAAMKTTVSNFRIPPASMSSFDILSVAVFIFFYRRVLDPFVGKLKKSDSKGLTELQRMGVGLVIAVLAMVSAGIVECYRLKYAKSLCSHCNDTSSLSILWQIPQYAFIGASEVFMYVGQLEFFNAQTPDGLKSFGSALCMTSISLGNYVSSILVSIVMKISTEYHTTGWIPGNLNKGHLDRFYFLLAALTSLDLIAYIACAKWYKCIQLGEKHDENDEVDSFKV >OIV94151 pep chromosome:LupAngTanjil_v1.0:LG17:11873094:11877231:-1 gene:TanjilG_31576 transcript:OIV94151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVSGNGGAEETMVTLIPAPLSVSGSFKEGKSSSRRRVMRPSLDADEFMNMLHGSDPVRVELNRLENEVRDKDRELSEAHAEMKALKLSERLREKAVEELTEELSKVDEKLKLTESLLESKNLEIKKINDEKKASMAAQFAAEATLRRVHAAQKDDDMPPIEAILAPLEAELKLARQEIAKLQDDNKALDRLTKSKEAALLEAERTVQVALAKASMVDDLQNKNQELIKQIEICQEENKILDRMHRQKVAEVEKLTQTVRELEEAVLAGGAAANAVRDYQRKVQEMNEERKTLDRELARAKVTANRVAVVVANEWKDANDKVMPVKQWLEERRFLQGEMQQLRDKLAIAERAAKSEAQLKEKYHLRLKVVQESLRETPNSISRGTPAEGRSITNGPSRRQSLGGADNISKLTPNGFSSKRTSSFQIRSSVSSSTILKNAKGTSKSFDGGTRSLERSKILLNGKPPSYSFNQSSEGTKDKEKDNWKANLDDKPNEIPMVGTEDSVPGVLYDLLQKEVIALRKAGHEKDQSLKDKDDAIEMLAKKVDTLTKAMEVEAKKMRREVAAMEKEVASMRVEKEQDSRSKRFSGVKGPINSAQHQLVSGSYELPGLNSGT >OIV94400 pep chromosome:LupAngTanjil_v1.0:LG17:3679674:3680285:1 gene:TanjilG_25462 transcript:OIV94400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFKRRLVTGQNDNTHPLIWLLAFICIIIAIAVIVAGITVFVGFLIIHPRVPTISIANAHLNLFRNDYVGLLQTQVTILVVAENGNAMANATFSNISFNISYQSHDIAILVADPFEVPKNSSKYLNYVVQASSIPLNPDQMGNVDYSWKRNIVGFDLHGNARAQWRVGPLGSLKFRCHLECTLKFHLLNGSYIPSKSCTSKLK >OIV94185 pep chromosome:LupAngTanjil_v1.0:LG17:10921071:10926994:-1 gene:TanjilG_13802 transcript:OIV94185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDERDVQKNYWIEHTVDLSVEAMMLDSKAQDLDKEERPEVLSLLPKYDGKSVLELGAGIGRFTGELAQKAGQLLAIDFIDSAIKKNESINGHHKNVNFMCADVTSPDLQIAEGSIDLIFSNWLLMYLSDNEVEKLAERMMKWLKDDGYIFFRESCFHQSGDSKRKYNPTHYREPRFYTKVFKECNMSDDTGNAFELSLVGCKCIGAYVRNKKNQNQICWMWQKIKSQNDRGFQQFLDKVEYNHKCISRYEHVYGQGFVSTGGLETTKGIVAMLGLKAGQKVLDVGCGVGGGDFYMAEKFDVEVVGVDLSINMISLAIERAIGHNYTVEFECADCTRKTYPENTFDVIYSRDAMLHIKDKPTLFRSFYKWLKPGGKLLITDYCKRAGSISVEFAEYIKRGGYFLNDIKEYGLMLENAGFNVIVAEDQNDLFVNTLEQELNALENTKDDFIGDIGEEEYNGTIKRWKAKQIRGASKEQLWGLFFAEKK >OIV94263 pep chromosome:LupAngTanjil_v1.0:LG17:7844600:7844854:-1 gene:TanjilG_00012 transcript:OIV94263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLVLLFSELVRNRKCGASALLAAYPPSSSYSTITSSSYATVRKPLNDEDTVKNMKNRRIEEIQERMTENLLESRVCVDFVWP >OIV93782 pep chromosome:LupAngTanjil_v1.0:LG17:21195325:21207801:1 gene:TanjilG_07685 transcript:OIV93782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFSRLSKNLRGSFNGCRWFLSVGDRNHHRLVSSRDYELSRNSIEQDELDPFSLVADELSLVSNKLRAMVVAEVPKLASAAEYFFKMGVEGKRFRPTVLLLMSTALNLPIPNASPPIELDDTLATDLRSRQQSIAEITEMIHVASLLHDDVLDDADTRRGIGSLNVVMGNKLSVLAGDFLLSRACVALASLKNTEVVSLLAKVVEHLVTGETMQMTTTSDQRCSMDYYMQKTYYKTASLISNSCKAIAILAGQTTEVAMLAFEYGKNLGLAFQLIDDVLDFTGTSASLGKGSLSDIRHGIVTAPMLFAMEEFPQLRAIVEEGFENPKNIDLTLEYLGKSLGIQRTRELAVKHANLAAAAIDSLPQNDDEEVKKSRRALIDLTQRVITRTK >OIV94622 pep chromosome:LupAngTanjil_v1.0:LG17:3071278:3077671:1 gene:TanjilG_25846 transcript:OIV94622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGKLNGPTVSLSSTAGIAVTTSSPNYSSRLVQETLEHLATIDLIELCKEAKVECCRATRDLRSCGRFVHHVLNSCGHASLCEECSQRCDSCPICRIPIPKTGTRLRLRLYYECIEAGLISRRCDDRLQEIEDGEKQLTADVQCLYSLFDVALENNLVSLICHYITDVCMDETAVSSDPVIAFLLDEVVVKDWCKRTFKSILTELRGIYNLDVLGMKGRLSSLMKISLYLKGITNVLEILESSFKGNLSAQLHDLHHLHESILKAKQHLEIIIWCIRHHFLENVESRFSDSSSWASAVRKRKSEATRRAWPDAVNQSLESTEHIGSLFIEDALNNLDLEESLVQEIEEGLQVSSLQMDRALFFRSKTDQVLGCYPFKNLRTAADLLFLHGSSDTVIAKQAIFLYYLYDRHWTITDEEWRHIVEDFAATFSISRHSLLESLTFYLLDDHTEEALQEACRLLPEMSGPVSHPKIAEVLLERGCPDTALMVLRWTGRDSGPHMISLRDAVTAVRVRVECGLLTEAFMHQRVLWTRVKEKHFNKGAHGGTSDKLKGQCSNWVEWVEALVTEICCLCIRRNLADRMLELPWNSDEEIYIHKCLLDYAIEDPRRTTGSLLVVFYIQRYRYSEAYQVHVRLEKIEQDSISKGSISQEFLPRLETAVRWRANLVNRCLELLPEIQQQKLRSGILTEDAGTFHEEVEIPAKFDVPPIHDSRPTSLLIPSSANSSSLLQNDHTNGLLSSSILGTSTKLGITFPTTGPELGNIHSLSYHHEGLITSNERVPNNQGKIGKILRYDNTPTPRSRKIRLINDSPLKGVNRTSPSSFQENKVDKILPGVERSLLSGFYQTTPHPTYSGKAIANPDSRSGRSSSKEFANDLPNMSSWNVQAHTYDRSWNVESTNDPMEVSLSHTEKKLDSGENINGGPRWRSDETSDEDEEQGLEKAIDIAHYATPTRTIRRSRISMR >OIV94407 pep chromosome:LupAngTanjil_v1.0:LG17:3731901:3740206:-1 gene:TanjilG_25469 transcript:OIV94407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGICLIKWQWPWLLYVLLIDIVINKSKAISRDGEVLVSFRTTVVSSDGVLVQWRPEDPDPCKWKGVKCDPKTKRVTHLSLPHHKLSGSLSPDLGKLEHLRVLALHDNNLYGTIPSALGNCTDLQGIFLQGNYFSGTIPGEIGNLSQLQNLDISSNSLSGTIPPSLGKLYNLKNFNVSANFLSGTIPYDGVLANFTASSFAGNRGLCGVQINSTCKSSPDANSQSNLDQNGKKKYSGRLLISASATVSALLLVALMCFWGCFLYKKFGKDDRISLAMDVSGGSSVVVFHGDLPYSSKDIIKKLETLNEENIIGIGGFGTVYKLAMDDRNVFALKRIVKLNEGFDRFFERELEILGSIKHRYLLNLRGYCNSPTSKLLIYDYLPGGSLDEALHERPEPLDWDSRLNIILGAAKGLAYLRHDCSPRIIHRDIKSSNILLDGNLDARVSDFGLAKLLEDEETHITTIVAGTFGYLAPEYMQSGRATEKTDVYSFGVLTLEVISGKRPTDASYIEKGLNIVGWLNFLITENRPREIVDSLCEGVQMESLDALLSLAIQCVSSNPEDRPTMHRVVQLFESEVVTPCTSDFYDSSSD >OIV94356 pep chromosome:LupAngTanjil_v1.0:LG17:6317934:6318260:-1 gene:TanjilG_21696 transcript:OIV94356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFKYVLVFLLLTLAIVAKASKIHDISINHVTTIGDLIGDDNEDLLDSEASRRTLRGRRRYIGYNALRANQVPCGSRGRSYYNCQRRGRANPYRRGCTAITHCARNVN >OIV94377 pep chromosome:LupAngTanjil_v1.0:LG17:3449093:3452441:-1 gene:TanjilG_25439 transcript:OIV94377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFFNLLQVASMPIIQVLLISALGAFMSTRYFDHLLSPDFRKSLNKVVFITFTPSLIFASFAKSVSLDDMISWWFMPVNVGLTFLIGGILGWILVKSLKPNLKLEGLIIASCSAGNMGNLPIVIIPAICDEKGGPFGRSDACHSNALSYASFSMALGGIFIWTHTYQTIKSRSLRYKALEAAGILKIPNKDLDANAETLLLKGGNNENTILEVPTSDYIGDTENQSIQEQDQSAVSKNRKESSWYRAIEVLRHFVAELLSPPAIATFFGFLFGTVAWLKNLIVGDYAPFAVIQDTLVILGNGTIPCITLLLGGNLTQGLKSSSVKPLILISIIIARFFVLPVIGFFIVKAAANFGLLPLDPLFQYVLVMQYVMPPAMNISTMAQLFDVGNEECAVILLWTYVAAAIALTVWSTFLMSVLS >OIV93833 pep chromosome:LupAngTanjil_v1.0:LG17:20763243:20765626:1 gene:TanjilG_03796 transcript:OIV93833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKLSAKDKSRKVQKGRRKLRSSGNKYLKPGTISSASVSVSSALVASISAKACTDLGKKRVEVMNSRKCKDNGGSDDRHEDKVFDKSPLMLSPLNSVKQSGLLVSPKTPRVEDCYSDSRLESLPMDLMVKIICHLHHDQLKAVFHVSQRVRKAVVVARQFYFNYTTPDRSRLEMLSTMTPRPTERWPFLSKVDGKALKIRSPHTPKAPRHGPRPPSRLKVSEMRQVAAVLFPESAFPTRCLMPSVIPKPMCKSLASNRVLFYEDELCQAVAQNKLL >OIV94660 pep chromosome:LupAngTanjil_v1.0:LG17:2805813:2807329:-1 gene:TanjilG_25884 transcript:OIV94660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNFSLPIHSNVRHNVEVALYKDPGLAASLLRLHFHDCFVMGCDASVLLDSVEGMQSEKEAGPNLNSLRGFEVIDKIKYLLEEECPVTVSCADILAMAARDAVELRGGPGWDVWLGRKDSLMSSFSGANQFIPAPNSSLEVLIDNFKQHGLEIEDMVALSGSHTIGRARCLSFRQRIYEPKQEYHYGYDRYKRYTTFRRILQSICPVSGRDNKFAPLDFETPKRFDNHYFINILEGKGLLGSDNVLTSQDFDGTITKQVWAYASNQKVFFASFAKSMIKMGNINVLTGNEGEIRRNCRFVNA >OIV94172 pep chromosome:LupAngTanjil_v1.0:LG17:10658247:10661960:-1 gene:TanjilG_13789 transcript:OIV94172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSVNSEFDFLCQTACILLLDSIVEKPFQFIVGMSLQVRNQRRDRSRVPAQAARQEWIPRASTTTTAIAATDQPSNSLLDYQNGDSGISNHSILGPPTSQHRSNGPPTSQHRSNGPPTSQHISIGPPTSQHISIGPPTSQHRSNGPPTSQHRSIGPPTSQHISIGPPTSEHISIGLPTSQNRSIGPPTSQHRSIGTPANQYRSNHGSRSDESRPVNQRREKEKRGSEKHVGRGLRDSNLPQLLQEIQEKLMKGAIECMICYDNVRRSAPIWCCSSCYSIFHLNCIKKWARAPTSVDLTADKNQGFNWRCPGCQSVQHTSSREIRYVCFCGKRPDPPSDLYLTPHSCGEPCGKPLEREVLVTGGSKDDLCPHACVLQCHPGPCPPCRAFAPPCLCPCGKKKITTRCSDRQSVLTCGQRCEKLLECGRHQCERICHVGPCDPCQVLINASCFCSKKMEVILCGDMTVKGEFKAEGGVFSCGSNCGKKLSCGNHACIETCHPGICGECDLLPSQINTCCCGKNRLEEERQSCLDPIPTCSQVCGKSLPCGIHHCKEACHAGDCSPCLVLVSQKCRCGSTSRSVECYKTTMENEKFTCEKPCGQKKNCGRHRCSERCCPLSNPNKNLSEDWNLHFCSVACGKKLRCGQHVCESLCHSGHCPPCLETIFTDLTCACGRTSIPPPLPCGTPLPSCQLPCSAPQPCGHPPSHSCHFGDCPPCSVTVAKECIGGHVVLRNIPCGSKEIRCNKLCGKTRQCGLHACGRTCHAAPCDNPSSMEGSRASCGQTCGAPRRDCRHTCTARCHPSSTCPDIRCEFPVTITCSCGRITANVPCDAGGSSNNYNADAVHEASIIQKLPAQLQPVDANGKKVPLGQRKLMCDDECAKLERKRVLADAFEITPPNLDFLHFSENSVASELLSDMLRRDPKWVLSIEDRCKFLVLGKSKGITHGLKVHVFCPMLKENRDAVRVIAERWKLTVNAAGWEPKRFVVVHVTPKSKAPARVLGVKGTTTINTPLPPAFDPLVDMDPRLVVSFLDLPRDADISALVLRFGGECELVWLNDKNALAVFHDPARAATAMRRLDHGSIYNGAVSYAPNVGTSVASSATNAWGGAGTMKDGAAAALKGNPWKKAVVQEAGWIDDSWGDGEWATTGSAIVQPPSVWKKEAPIAASLNPWSVLDQESSSSSSGAAAKTDVSKKQGENSAVPKLEPHSGSSILEGQLGGNFDASNVSDVVDDWEKAFE >OIV94149 pep chromosome:LupAngTanjil_v1.0:LG17:11855747:11856280:-1 gene:TanjilG_31574 transcript:OIV94149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVCPCSHASLSHCESCTSCSSSTKKRKQGQKDDTNGARKRARNDVERIRWGYSLDLMLYDDPWKIKKVLQKSDLGNMSRLLLSKDLAENLVLHVLNADERRDAETGIGTEISIWDLDTNSMHSLLFKRWGSSKSYVFIDKWVQDFVKRRHLKEGDEVAFHWDPYNHHFAFTVLQVF >OIV94635 pep chromosome:LupAngTanjil_v1.0:LG17:2970464:2972817:-1 gene:TanjilG_25859 transcript:OIV94635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNTHAAINNLTNFSRRYFHSLTQTPHRLRKRMLATWTPDQELNQVRQRSGADMKRKLNWYDLVALGIGGMLGVGVFVTTGSVAHHHSGPSVFISYIIAGISALLSSLCYTEFAVQIPVAGGAFSYLRLTFGEFVGYFAGANILMEYVFSNAAVSRSFTEYLSFAFGENDPNVWRVEVHGLPEEYNMLDFPAVALILLLTLCLCYSTKESSILNLIMTVFHVIFFGFIIVTGYCKGSAKNLVSPKGIAPFGVKGVLDGAAIVYFSYIGYDSASTMAEEIRDPSKSLPIGIVGSVLITTVLYCLMALSLCMMIPYNEVSDRASFSIPFLKIGWKWASNLVGAGASLGIVASLLVAMLGQARYLCVIGRARLVPSWLAKVHPSTGTPLNATLFLGLCTALIALFTELDIIIELVNIGTLMVFYLVANALIYRRYVVTQHTPPLHTVLFLVLLSLSALGFSMSWKFKQQWWGLPLFGGLMITVTTFFQHMVPSHAQVAAVWSVPFMPWPAAISIFLNVFLITTLKLLSFQRFAIWACIITIFYVIYGVHSTYKAEEIEMGVNEVSSSTANLQTKVELELL >OIV93765 pep chromosome:LupAngTanjil_v1.0:LG17:21048636:21048896:-1 gene:TanjilG_07668 transcript:OIV93765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPTYEVASVGYSKADISAFRPTSPGDSPGVGHRFEEYGDMKGVVVVQSPNVRVHVNEETKNGFKPTQPGHSPGVGHAYQNKNGPN >OIV94871 pep chromosome:LupAngTanjil_v1.0:LG17:1057998:1061151:1 gene:TanjilG_22068 transcript:OIV94871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEGKEIYSAPITPKTVSSPPVSCPPSQFHSPSLTRSPLLQSENGDTHPNTKSKTPRTPRMSLTPRFITPLGSPMRKALRLTKLDPQDAWLPITESRNGNKYYAAFHTLCSGIGIQALVLPVAFTILGWTWGAISLSIAFIWQLYTLWLLVHLHESVEQGIRYSRYLQLVFATFGEKLGKLLAMFPILYLSAGTCTTLIIIGGTTARTFYQVVCGDACATSKPLTTTEWYLVFTCAAVVLSQLPNLNSIAGISLVGAVTAVGYCTSIWAVSVAQGALPGVNYDPIRKGSSAESAFSILNALGIIAFAFRGHNLILEIQATMPSSEKHPSHVPMWKGVKVSYTIIAACLFPLAIGGYWAYGQLIPSDGGMLTALYTYHSHDVSSFVLGFISIFVVINGLCSFQIYGMPAFDDMESTYTKRMKKPCPWWLRSIFRIFFGFFCFFIGVAIPFLSSLAGLIGGVSLPVTLAYPCFMWLKIKKPKKHSVMWCLNWFLGTLGMSLSGILVVASIYIIVDTGINVSFFNPQ >OIV93754 pep chromosome:LupAngTanjil_v1.0:LG17:20979638:20982135:-1 gene:TanjilG_07657 transcript:OIV93754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPHNSSPDNATPDNSTPDDSIPDDSTPDNSKPNNSKPHNSTPDNSTPDNATPDNSDGKPNSSSSQPGSNESPTTQKSLPNGKAASSGGKDDNKAMVGAAVGVVFVLLILVIVCNNYYNSGASHPNWSGPNGLPSEHAIRMHQSGGWGPEMNNTPSSGLVLPLKGGTFTYEELADATNNFANENIVGQGGFGYVHKGVLHNGKEVAVKSLKSGSGQGEREFQAEIDIISRVHHRHLVSLVGYCIWGGQRMLVYEYVQNQTLEHHLHGKGVPTMDWPTRMRIAVGSAKGLAYLHEDCHPRIIHRDIKASNVLLDASFEAKVADFGLAKLTTDNNTHVSTRVMGTFGYLAPEYASSGKLTEKSDVFSFGIMLLELITGKRPLDLTNTMEDSLVDWARPLLSRALEGDGNFGELVDPFLEGNYNSQEMARMVACAAASIRHSAKKRAKMSQIVRVLEGDVSLEDLKEGVRPTSSGQSSTYTLSSGSPEYDTMQYNADMIKFRQQILFSDDSIEISSKEYGHH >OIV94877 pep chromosome:LupAngTanjil_v1.0:LG17:1013854:1017366:-1 gene:TanjilG_22074 transcript:OIV94877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEDQFECSANYVPLSPITFLEQAATAYGDDKISIIYGHHVRFSWRQTHQRCLKLASSLVKFGISNGDIVAAFAPNIPALYELHFGAPMAGGVLSALNTSLDATTLVLLLEQLESCKIMFVDYQFIDSALKALEIMSQRKCKPPLIVLITDYYDQKQSFLAKDMLPKNTLNYNEFLAMGEPDFETLRPSNEFDPISVNFTSGSTGTPKGVVYSHRGAYLNSLAAISRFDMKPIPVFLWTVDMFRCNGWCFTWAMAALGGTNVCLRNVSARDIFDAIHLHKVTHLCGAPTLLVMNADASSYDQKPFPHRVKVTVAGALPPFQIINKVATKLGFDVSIGYGMTETMGPVILRQWKPNSDDEHTKFNYHYHGEQGLPDFMMQEVDVKDPNTMKSTPHDGKTIGEIMFKGNTLMLGYHKNSQATEEAFRCGWYRTSDLGVREHNGSITLKDRAKDIIYSKGESISTLEIETVLLSHPMILKAAVVGKYDDDRLLESSPYAVVRLKDGYSASVEDIIKFCEENLATHMVPKYVVFGDLPVNSTGKVQKFLIREKIKKNKSEIHEQKFL >OIV94780 pep chromosome:LupAngTanjil_v1.0:LG17:1761356:1763691:-1 gene:TanjilG_12993 transcript:OIV94780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPHKAFLASSFWLVLVIFLTISLHGVNTSNEVHHVKTHVGGLVEKDQRRTLFDTEYGDISSIEIKGGHRAPSYHLQFFTLEPNSVFLPVLLHANMVFYVHTGSGRVTWTVEDGGTRRTDLCEGDLFKLNEGCVFYIESNLEAERKKLRIYAMFANTDDTTYDPSIGAYSRINQLVKGFDIKIIQAAFKVPGDLIEAITNKTDTPAIVHANSKEHKTFWELEALVLSDFLGIPSNDKKGKTYNIFDKDPDFKNCNGWSLAVTKKKLKSLKGTNIGFLMVNLTTGSMLGPHWNPTATEIAVVLEGEGMVRVVCANRKNNEDKMEECRNMRFRVKEGDVFVVPRFHPMAQMSFNNDSLVFLGFSTAAKENYPQFLAGKRSVLGALDRHILGTSFGVSNTTIDQLFASQDDSIISGCVSCAEEEERVMKEEERKREEEKEEEQEKEQERARREEEAKREEEQARRQQEKRERRREKEARREHEKGKEEEEEEAEREREGGRKAHRRERKHVERREEEEAQREQEQARRQQEEREKRREQESGREKEEEGERGRRGGVRPHRRGDRGRSREEGAAEWEQEEARRHQEQDGDDVEDKGNRSSF >OIV94761 pep chromosome:LupAngTanjil_v1.0:LG17:1939552:1940067:-1 gene:TanjilG_12974 transcript:OIV94761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCSSSDSTQIATAKLIHQDGRLQEFPYPVKVSYLLQQHPMVFICNSDEMDFDDVVTAVHEDDVLQPGQLYFALPLTRLSNPLQAAEMAALAVKASSALMKSGVTDNKSGCRRKRVVFSEEGYSKPCRSVPPTIRTGGGAAHRSRKGRTVTGGGINGRGKFTALLSAIPE >OIV94096 pep chromosome:LupAngTanjil_v1.0:LG17:13766519:13766898:-1 gene:TanjilG_05476 transcript:OIV94096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVSQHSKFFCEFCGKYAVKRKAVGIWGCKDCGKVKAGGAYTLNTASAVTVRSTIRRLREQTEG >OIV94156 pep chromosome:LupAngTanjil_v1.0:LG17:11278808:11284405:-1 gene:TanjilG_03606 transcript:OIV94156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFSFFSASFIHPQLLPIVTRMTLFDTILFYVIHFVDKVVLWHRLPVLLGLAYLGIRRYLHYRYNLLHVGGDNGHNYDSKEYAYRTSDGKCNHPTDNLVGSQGTFFGRNMPPATLDYGFQDPHPTLVASKLLARKKFKNTGKQFNMIACSWIQFMIHDWIDHLEDTKQVGIRAPDSYPSGCPLKSFKFFKTKKFNTSSSYMKTGFLNTRTPWWDGSVIYGNNEKGMRRVRTYRDGKLKISEEGLLEHDEKGIPISGDVRNCWAGFSLVQALFVKEHNAVCDMLKVYYPDFDDEQLYRHARLVTSAVIAKIHTIDWTVELLKTDTLLAAMRINWYGFLGKKFKDLFGNIFGPILSGLVGIRKPRDHGVPYSLTEEFVSVYRMHSMLPEEIVLRNIKPTSINGKYKCPPVLEKVPIREMLGKEGERKLSKIGMEQMLVSMGHQSCGAVALWNYPTWMRNLIVHDIDGEDRSDPVDMASMEVYRDREREVARYNEFRRNLLMIPISKWEDLTDEEEVIEVLKEVYGDDIEKLDLIVGLQAEKKIKGFAISETAFFIFLMMASRRIEADRFFTSNFNSQTYTNKGLEWVNRTESLKDVIDRHYPEMTKKWMRCSSAFSVWDSIPDPTNYIPLYLRLAPKQ >OIV94385 pep chromosome:LupAngTanjil_v1.0:LG17:3514675:3515100:-1 gene:TanjilG_25447 transcript:OIV94385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHMSYNRVSSGKGSSCHGKCRGFRLNLRRFYVMHLHKRFSFFLRLFDKWKVSYGKAFQVLKKVLRRKSGLRRKNSNSSRSSLVSKERIKGNADFRVTSYGRNNSFYAEAIADCLEFIKRTSISSMDQIEDPVGHIQDRDI >OIV94432 pep chromosome:LupAngTanjil_v1.0:LG17:3981660:3984982:1 gene:TanjilG_25494 transcript:OIV94432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYEDDPYRDEDGEALMNYDDFQSDREASPVPNQQGLVDDFEEEDVDNLGGRDRSQTPVYDNNDSRAKPRKRLIKKSDTGKQPVAPELYDEVEEEEDNAPRFAREGSEEGDARYKRKKGKEVASGSGKKEKRHKGEKRFAGSNSSGGGKSASKFGSSRRGISGNGGRDHDGEEVKEMWDTIAGGDSEDDHEGQRNVDDDNFIDDTGVEPAYYGDEEPRSPGDAPQAEEGEEDDEIKNLFKIGKKKKKNERSPAEIALLVENVMAELEVTAEEDAELNRQAKPAINKLKKLPLLTEVLSKKQLQLEFLDHGVLTLLKNWLEPLPDGSLPNINIRTAILQILNDFPIDLEQYDRREQLKKSGLGKVIMFLSKSDEEINLNRKLAKDLVDKWSRPIFNKSTRFEDMRNVEEERVPYRRPSVKKPANKAAGMQSRDGDLDLDLSQPRSGQSSSRQLTSRPEATPLDFVIRPQSKIDPEEIRARAKAAAQDQHRMKMNKKLQQLKAPKKKQLQATKLSVEGRGMVKYL >OIV94417 pep chromosome:LupAngTanjil_v1.0:LG17:3832068:3833796:-1 gene:TanjilG_25479 transcript:OIV94417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKLPASITFETISDGFDNGRVGVAKSLKEYMDTFWQVGQQTLEELIEKLGRKGNHVDCLIYDSFFPWGIDIAKKCGIVGAVFLTQTLAVSSIYYHFNIGKLQVPITKHGVSLPQLPQLQLEDLPSFFCNYAEDSIILDFLSAQFSDIYKADWVLCNTLYELEKEMINWTMKIWPKLRTIGPSIPSMFINKKIENDEDYGDAQFTNEECLKWLDDKPKVSVVYISFGTMVAISDEQLEELAYGLKDSGSYFLWAIRDSEQTKLPKDFELKSEKGLVVAWCSQLKILAHEAISCFVTHCGWNSSLESLSLGVPMIAMPQWSDQYTNAKFIADVWKIGIRAKVDERKIVTREVLKHCIWEIMDSDRGKEVKSNVFQWKTLAVGAVGEGGSSHKNIKEFMDALFHL >OIV94309 pep chromosome:LupAngTanjil_v1.0:LG17:6801225:6804860:-1 gene:TanjilG_19315 transcript:OIV94309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFLLPKPFTAIPPPITATPPPFTASVSHRSVLLIPFASITSPPPPRKKKTPTPKLSPITPSTPSITTTTEDAPSSSSSSIPLKKVLVPIGFGTEEMEAVILIHVLRLAGAHVTVASIEPQLQIEAARGTKLVADTDIASCSDQSFDLVALPGGMPGSARLRDCDILKNITCKHAEKRKLYGAISAAPAVTLMPWGLLNRKKLIFSSLSCKTTSHPAFFDKLPTFWAVKSNIQVSRGLTTSRGPGTTFEFALCLAEQLFGESVAKDVGELLLMRTSYDDAIEKEFNEVDWSVGNHAPSVLIPIAHGSEEIEVVTIIDILRRANANVIVASVEKTVEVLASGGVKIVADVLLGDAQESAHDLIILPGGTAGVQKLSRSRILKKLLKEQNSARRIYGAVYSSLGILDKQGLIKDKKATAQPSAVESVKDEEINGAKVVIDGKLITTDGLSTVIEFALAIVCKLFGNGRARSVAEGLVFEYTRS >OIV93914 pep chromosome:LupAngTanjil_v1.0:LG17:17850650:17863161:1 gene:TanjilG_05617 transcript:OIV93914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASDKCSLEQEEKKQVSRSFSDDNLVGIGGFGRVYSGIMPSREISDFGLAKLMPEGQEYLITHFSDFQLACLGSFFLHETVFFFSGLPFIWLERSGWLTKYKIQAKINSPASQEKCIARLLLYHFGVNLPVMLCSYPVFRYMGMRTSLPLPSWKVVIAQIIFYFILEDFVFYWGHRILHTKWLYKHVHSVHHEYATPFGLTSEYAHPAEILFLGFATIVGPAITGPHLITLWLWMVVRVLETVEAHCGYHFPWSPSNFFPLYGGADFHDYHHRLLYTKSGNYSSTFTYMDRIFETDIGYRKLKALKSAEVEYCTEEKKL >OIV94195 pep chromosome:LupAngTanjil_v1.0:LG17:9919764:9922542:-1 gene:TanjilG_28134 transcript:OIV94195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITLLFFNSATTSAEEAATYNVVHLGANPDGKTDPTEAFLSAWTKACALAELAEIHMLQGRFKFTSGVIFKGPCANKAISITIDGAALWDCKRSSKEKCPIKATLLKFSNSKNIGISSIGSLGWTKKEPGVQHVTIRSVIFTGTQNGVRIKSWGRPSNGFVKGVTFQNATMVDVKNPILIDQNYCPSKKNCHGQESGIKISDISYEDIHGTSATQVVMRFDCSSTNPCKGIRLEDIKLTYRNQVAQASCKHVGIRDMESVQPERC >OIV93812 pep chromosome:LupAngTanjil_v1.0:LG17:20583496:20587430:1 gene:TanjilG_03775 transcript:OIV93812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGQSRKWMILVATIWIQAFTGTNFDFSQYSSTLKSALNISQVQLNYLATANDMGKVFGWSSGLALMYLPVSLVMFIAAFMGFIGYGFQWLLINHLISLPYFLVFFLSLLGGCSICWFNTICFVLCIRNFPVNRALALSLTVSFNGVSAALYTLAANSIDPSSKSLYLLLNALVPLAICVAALVPILRQPALDPLPADAVNQDSLVFLILNFLAMLTGIYLLLFGSSTSGATSARLYFGGAILLLIFPLCIPGIVYARAWFRRTINSSFRMEGGSFILVHDDDLEIHKEFLSRNDSIISNEEGYTLLNENGSMFRNLKSKDSDVCCERMISQDQLAMLGEDHSAAVLVQRFDFWLYYVTYFCGGTVGLVYSNNLGQIAQSLGLSSNISTLVTLYASFSFFGRLLSAAPDYIRNTFYFARTGWLTIALIPTPIAFILLAASGTPLALHTSTALIGLSSGFIFAAAVSVTSELFGPNSVGVNHNILITNIPIGSLLYGFLAAVVYDANAHSKPENMTVSDSVQCMGRECYFWTFVLWACISVLGLVSSVLLFFRTKHAYEYYERHRISTLSILS >OIV94150 pep chromosome:LupAngTanjil_v1.0:LG17:11868233:11868751:-1 gene:TanjilG_31575 transcript:OIV94150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVSPCDTTGSHTPCSSSTKKRKHGPKDDTNGARKRARNDVERIRWGYSLDLMLYDDPWKIKKVLQKSDLGNMSRLLLSKDLAENLVLHVLNADERRDAETGIGTEISIWDLDTNSMHSLLFKRWGSSKSYVFIDKWVQDFVKRRHLKEGDEVAFHWDPYNHQFAFTVLQVF >OIV94037 pep chromosome:LupAngTanjil_v1.0:LG17:14374028:14376572:1 gene:TanjilG_14284 transcript:OIV94037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRECISIHIGQAGIQVGNACWELYCLEHGIQPDGQMPSDKTVGGGDDAFNTFFSETGSGKHVPRAIFVDLEPTVIDEVRTGTYRQLFHPEQLISGKEDAANNFARGHYTIGKEIVDLCLDRIRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTVYPSPQVSTSVVEPYNSVLSTHSLLEHTDVAVLLDNEAIYDICRRSLDIERPTYTNLNRLVSQVISSLTASLRFDGALNVDVTEFQTNLVPYPRIHFMLSSYAPVISAVKAYHEQLSVSEITNSAFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVGTIKTKRTIQFVDWCPTGFKCGINYQPPTVVPGGDLAKVQRAVCMISNSTSVAEVFSRIDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAEATEGEEGDEGDEY >OIV94269 pep chromosome:LupAngTanjil_v1.0:LG17:8009928:8013532:1 gene:TanjilG_00018 transcript:OIV94269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPLVSVGTLLAFITVAVSVLIIRYVPPDEVPIPSSLQTSVDRLARHSGGGIEEDQAISPVDPASYCENIHLHDKSEALLGHPLIIKEVTNDEQNEKNRRKLASWTIALLCLGVLVLAGAASIDRCPSILRFTLCGMGGILLLCSITVLACMKQDDTRHSFGHSGGFVCPFVPFLPAACILLNTYLLIGLGMATWLRVSAWLLIGVLVYLFYGRTHSSLLNAIYVPSACTNEIHRSRAHHLL >OIV93749 pep chromosome:LupAngTanjil_v1.0:LG17:20930183:20935216:1 gene:TanjilG_07652 transcript:OIV93749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFRDDNSENKDLQKPFLHTGSWYKLGTRQSSMMNSSTQIIRDGSVSVLFCVLIAALGPIQFGFTCGYSSPTQQAIISDLKLSISEFSLFGSLSNVGAMVGAIASGQIAEYIGRKGSLMIASIPNIIGWLTISFANDSSFLFMGRLLEGFGVGIISYVVPVYIAEIAPQNMRGGLGSVNQLAVTIGIMLSYLLGLFVNWRVLAILGVLPCTILIPGLFFIPESPRWLAKMGMTDEFETSLQVLRGFDTDISVEVHEIKRSVASTGKRTTIRFAELKRKRYWFPLMVGIGLLILQQLSGINGVLFYSSTIFANAGISSSNIATVGLGAIQVLATGVSTWLMDKSGRRVLLIVSSSIMSVSLLLTSIAFYLQEVVPKDSDLSNILAILSVVGVVIMVIGFSLGLGPIPWIIMSEILPVNIKGLAGSTATMANWLISWVITMTANLLLTWSSGGTFLIYTVVAAFTVAFVALWVPETKGRTLEEIQFSFR >OIV94922 pep chromosome:LupAngTanjil_v1.0:LG17:679039:682491:-1 gene:TanjilG_22119 transcript:OIV94922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAREVEKKAKEAFFDDDFIAAVDLYSQAILLDPNNADLFADRAQTHIKLNCFTEAISDANKAIQFNPSLFKAYLRKGIACIKLEEYHTAKLALQIGASFAPNDSRFAKLIQDCDRYIAEEPSSLTSTISPNGPIAAVPSGDTSAGTTKEAERDSLVTQTNEVASMGPKYRHEYYQKPEEVVVTIFAKGIPAKDVVVDFGEQILSVTIDVPGQGAYHYQPRLFGKIVPDKCRIAVLSTKVEIRLAKAEAINWTSLEYSKDVLPQKINTLTVQSERPTYPSSKPRARDWDKLEAQVKKEEKEERLDGDAALNKLFRDIYQSADEDMRRAMSKSFLESNGTVLSTDWKEVGSKKVEGSPPEGMELKKWEY >OIV94160 pep chromosome:LupAngTanjil_v1.0:LG17:11366457:11373836:1 gene:TanjilG_03610 transcript:OIV94160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLEEEIRSLQLDSAEESNGVVNPEDVKPEEVDKSAKIDEDPKQEVQPQLVQADEPKVKVKETPPVQDEKDDIEMKKRHVNVVFIGHVDAGKSTTGGQILFLSGQVDERTIQKYEKEAKDKSRESWYMAYIMDTNEEERAKGKTVEVGRAHFETEKTRFTILDAPGHKSYVPNMISGASQADIGVLVISARKGEFETGYEKGGQTREHVQLAKTLGVAKLIVVVNKMDEPTVQWSKERYDEIESKMIPFLKQSGYNVKKDVLFLPISGLNGANLQTRMDRSICPWWDGPCLFEALDSVELPTRDPQAAFRMPIIDKFKDMGTVVMGKVESGTVREGDSLLVMPNKDHVKVVAIFIDEDRVKRAGPGENLRIRLSGVEDEDILSGFVLSCVVLILKEGEPWCNESPIPAVTEFVAQLQILELLDNAIFTAGYKAILHIHSVVEECEIVDLLHQIDPKTRKPIKKKVLFVKSGAGVVCRVQVSNTICIETFSRFPQLGRFTLRTEVGKVTGL >OIV94008 pep chromosome:LupAngTanjil_v1.0:LG17:15797910:15798596:-1 gene:TanjilG_07556 transcript:OIV94008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPTNTPNAPTPTPLPPPPMAVRGVPTTKPKRPPRPPSGRTNLASCIVATIFLLFIIIVILIVYFMVFKPQDPRIAVSSVKLPSFSVVNGTVNFTFSQYASIRNPNRAVFSHFDSTLQLIYSGTQVGFMFIPAGEIDAGRTQYMVATFSIQSFPLSPPVINVGPTLANGDGAGFDYGLRIQPTMEIESKLEMAGRVKVLHFFSHRVVANAGCRVAIAVTDGSVLGFHC >OIV94550 pep chromosome:LupAngTanjil_v1.0:LG17:5469732:5470741:1 gene:TanjilG_25612 transcript:OIV94550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKNELKLLGGWFSPFALRVEIALNIKSLNYENIEETLNPKSDLLLQSNPVYKKIPVLIHGDKPISESGIIVQYIDEVWTNAPSILPTNAYDRANTRFWASYIDDKLFPSLRNILAAEDEEAKKTNFIQVEEVLERMEEVFNKHSEGKAYFGGDKIGFIDIAFGSTLRWLSVIENMSGKKVLVEAKTPSLVKWAETFAADPAVKGVFPETDKLIEFAKVLQQRWASAAAAAAK >OIV94910 pep chromosome:LupAngTanjil_v1.0:LG17:773621:776954:-1 gene:TanjilG_22107 transcript:OIV94910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNRRFTQIATSDDEDEAPLQPPSQSKQQPKRKRMQLLYEDDDEEEEEDNNVKSKENKNNEEELEEEEEEEGEEEKEEALAEAKPVGDPVRVSGKGKNRRKHFHTLEYDTNHYTLEDPVLLIPEDSEQKPYVAIIKDITQRRDGSMMMTGQWFYRPEEAPKKGGGSWKPIDTRELFYSFHQDEVPAESIMHKCITHFIPPHKQLPKRKQYPGFIIQKVYDVEERKLFRLTDDKDFHSGKQKEINVLIEKTLRCIGNDLLDIETGQAPADQDHEVKNKRISMRKNISPLDVSMEEAENPTSDKHLKPETPGSCLNNASEHYRILMNFNALTGDAHRDKWLERMLQHIQYMCNSDGSTERDDKGLQNAKFSEIKNTSNNTSSDSRNDSQVKAEKVSKYFIWPDAAVPAIVALEKASHEALSSDFQKYNQKLRSLDFNLKSNALLARRLLNGELEPSKIVNMTPTELKEGLTDEEIAKKEPDELQNIQMTDARCSRCNKSKVGVRDIIRAGDSDRYQLECIGCGNSWYASRDEVSTLTIDGSTSKRSNGTVPFPIANSVDVEKKLPSPRESDKSAKDVEEEK >OIV94337 pep chromosome:LupAngTanjil_v1.0:LG17:6057193:6057990:-1 gene:TanjilG_21677 transcript:OIV94337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFEVYNDARSLRRKRVMVSNTYPLDSNPPLKRMCSGKFTSISKGSLLEALPIDLLVKVLCGADHEDLEQLFNVSKTIREASKMAKRLHFEYSTPKKKTFAFHTAIDMEHVNGFEDIEAPSAPLRKYRSTLNGKNLSSISIVLFG >OIV93755 pep chromosome:LupAngTanjil_v1.0:LG17:20985721:20988177:1 gene:TanjilG_07658 transcript:OIV93755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIDLDNVSTTSGGEASVSSSGVNPTESSKPITKKKRNLPGMPDPEAEVIALSPKTLMATNRFVCEICNKGFQRDQNLQLHQRGHNLPWKLRQRSSNEVKKKVYVCPEQTCVHHDPSRALGDLTGIKKHFCRKHGEKKWKCDKCSKKYAVQSDWKAHSKVCGTRENKCDCGTLFSRRDSFITHRAFCDALARESAGSQTVAVNSNSRSDSKVIMGDSLPQSPATTTQSNAVQTQNQDLPENPPQIGEVQATTVLNGSCNGCATTSNSVFTSLFAPSTISTSLQSQTPAFTGLVRAIGCPDRPADHPDPSSSDPISLRISNNHGSSIFGAGVQEQHQYAPPPQPAMSATALLQKAAQMGAAATNASLLQGFGVVSSSTTSHSSSQQHNLQWSHGQQLEPSESGSLLAGLGLELPCDNGSGLKELMMGTPSFFSPKQTTLDFLGLGMAAGGSPGGGLSALITSIGGGLDVTAAASFGNGEFPDKDNGRSL >OIV94134 pep chromosome:LupAngTanjil_v1.0:LG17:11628265:11629916:-1 gene:TanjilG_31559 transcript:OIV94134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGPNHLPEGSDRYWVRALMNSSFGYCDDHLDLRSNEKNVFCIDCEVRMCRHCKEAHSLHRTFQIYKYSYQDVFRHSELQKYFDCSKIQTYISNNERIVHLKPRPSISKPKSADLSPESKSKESNLPIRPKAGGTCKECGKHLQDERNRFCSITCKVSLHPVEPQNHYSQRKSSECTTAPKPDPADCTLNDNPNSEPESSISEAQPCELIEVVNFRKRPRKTAPLQRPSFVFTS >OIV94542 pep chromosome:LupAngTanjil_v1.0:LG17:5349712:5351050:1 gene:TanjilG_25604 transcript:OIV94542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRTCSQCGNNGHNSRTCTDAAAASGGGDSHKENSIMLFGVRVTEGKSNLFRKSVSMTNLSQYEHQTSHEPNAVDAGYVSDDVVHASGHSRERKRGVPWTEEEHKFFLLGLSKVGKGDWRGISRNFVKTRTPTQVASHAQKYFLRLHNHGRRRRRSSLFDITTDTVMKPSSIMEEEQVVEQEMVVPQPPTSAAYPLSHYGAFPGSAFPMAVAPVVLPVTAGERSAKPIRPTAILPVPPSSKMASLNLKDKTSPLPLIEPLPLSLRLPPPPPSKEQPPTTSSHSSSSSSTAIAFQPRSTGKFNGGGDNIISVA >OIV94578 pep chromosome:LupAngTanjil_v1.0:LG17:5766595:5772528:-1 gene:TanjilG_25640 transcript:OIV94578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSITQNLTATSFSSSSSAFLAPTTFNSRSQVSLPVKSVGICKCVAAPQEADTVYKTKVSRNGNIAKLQAGYLFPEIARRRNAHLLKFPDAKIISLGIGDTTEPIPEVITSAMAKRSYALSTLEGYSGYGAEQGEKPLRSAIASTFYKDLGIDDDDIFVSDGAKCDISRLQIVFGSNVKMAVQDPSYPAYVDSSVIMGQTGFYLKDVEKFANIEYMRCKPENGFFPNLSSISRPDIIFFCSPNNPTGAAATREQLTQLVQFAKDNGSIIVYDSAYAMYISGDNPRSIFEIPGAKEVALETSSFSKYAGFTGVRLGWTVVPKQLLFSDGFPVAKDFNRIVSTCFNGASNISQAGGLACLSPEGLKAMREVIAFYKENTDIIVETFDSLGFKVYRGKNAPYVWVYFPGRSSWDVFSEILEKTHVVTTPGSGFGPGGEGFVRVSAFGHRENVLEACRRFKQLYK >OIV94880 pep chromosome:LupAngTanjil_v1.0:LG17:985273:990490:1 gene:TanjilG_22077 transcript:OIV94880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVISNDNISNSINSTTLQDQMGTRKFEQNNRRALAIINQNMAVNKRAFSEKHGVCEKKQADPVHRPITRSLAAQIASTKQTRAEGNKKSNFGISNPNDFGQCICIDDEPSKSMEDQQVPMSLEQTEAMLSEPDHMEEIEMEDIDEEPLLDIDNSDANNPLAVVDYIEDLYAHYRKIERASCVSPNYMAEQFDINERMRAVLIDWLIEVHDKFELLHETLFLTVNLIDRFLEKQTVARKKLQLVGLVAMLLACKYEEVSVPVVEDLILISDKAYSRKEVLEMEKLMVNTLQFNMSVPTSYVFIKRFLKAAQADKKLELLAFFLVELSLVEYQMLKFPPSMLAAAGVYTAQCTIYGFEQWSKTCEWHANYSEDQLLECSSLMVDFHKNAETGKLTGFIKMSMVTSPQTRFLHNHHNNNLFYNNNNNNSFIRITTTTPSFKPISIKCSATAQTHTPSPPITTAQDRVFNFAAGPATLPENVLLRAQSELYNWRGSGMSVMEMSHRGKEFLSIIQKAESDLRTLLDIPSEYSVLFLQGGATTQFAAIPLNLCTAEDTVDYVVTGSWGDKAFKEAQKFCKPKVIWSGKSEKYTKIPSFHELKQNPDAKFLHICANETIHGVEFKDYPTPKNRSGILVADMSSNFCSKPVDVSRFGIIYAGAQKNVGPSGVTIVIIRKDLIGNAQGITPVMLDYKIHDENNSLYNTPPCYGIYTCGLVFEDLLEQGGLKEVEKKNKKKGEILYNAIDESNGFYRCPVEKSVRSLMNVPFTLEKSELEAEFIKEAAKEKMVQLKGHRSVGGMRASIYNAMPLAGVENLVAFMNKFQARHA >OIV93908 pep chromosome:LupAngTanjil_v1.0:LG17:17808694:17811029:1 gene:TanjilG_05611 transcript:OIV93908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKPKATSPFFFFLLFLHIFIIANGVHSFHEKKLFNVQMLQRKQQLGTRSCFLPESRNRGTCDASNSSSCNYVVNYGDGSYTNGELGLEHLVFGGISVSSFVFGCGRNNKGLFGGVSGLMGLGRSYLSMISQTNATFGGVFSYCLPTIESGGSGSLVMGNNESSSVFKNVTPIAYTNMVLNPQLSNFYILNLTGIDVGGVALKSTSFGNGGMLIDSGTVITRLVPSVYKALKEEFLKQFSGYPSAPGFSLLDTCFNLTGNEEVSIPTIRLHFEDNAEMNVDVAGILYIVKDDASQVCLALASLNDESDIGIVGNYQQRNQRVIYDTKDSKVGFASEPCSFI >OIV94430 pep chromosome:LupAngTanjil_v1.0:LG17:3970676:3971308:-1 gene:TanjilG_25492 transcript:OIV94430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGLINANPTIYEKKERRVRTTPLFSDQDDAVEPIDQLEDPEHPYSLEELKVITEEAVEVDDKKSYVRVTFTPTVEHCSMATIIGLCLRVKLMRSLPLRYKVVLPIF >OIV94073 pep chromosome:LupAngTanjil_v1.0:LG17:13189407:13190551:-1 gene:TanjilG_05453 transcript:OIV94073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMKCDYGLYVDSVCVAVGAYKYISELWRKKQSDVMRFLQRVRCWEYRQQPSIVRLTRPTRPDKARRLGYKAKQGYVVYRVRVRRGGRKRPVPKGIVYGKPTNQGVTQLKFQRSKRSVAEERAGRKLGGLRVLNSYWVNEDSTYKYFEIILVDVAHSAIRNDPRINWLVNPVHKHRELRGLTSAGKSNRGLRGKGHRHHKARPSRRATWKRNNTLSLRRYR >OIV94629 pep chromosome:LupAngTanjil_v1.0:LG17:3009387:3013261:-1 gene:TanjilG_25853 transcript:OIV94629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTASSANQLISISPDELRFHFELDRQTFCDLKVANNTDSYVAFKVKTTSPKKYFVRPNTGVIQPWDSCIIRVTLQAQREYPPDMQCKDKFLLQSTVVNQNIDVDDLPPDTFSKESGNTIEELKLRVAYISPNSPQGSSEDDALKNSQKLDASSVLQHLKEERDAAARQTRQLQQELDILKRRRSRSNPGFSFTFAIFVGVIGILLGFLLKLIFSSPSTE >OIV94523 pep chromosome:LupAngTanjil_v1.0:LG17:5124471:5133434:1 gene:TanjilG_25585 transcript:OIV94523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCSIIRFRRCVSSIHLSNTLLLTNRFSLSTSPFSSAAMVPHPKDAAYLTAVIPKRVKLFEEIQFEQRTKLLSLSQDPIKVTLPDGTVKEAKKWLTTPLDIAREISKNLASNALIARVNGVLWDMARPFEEDSELRIYKFDDDEGRDTFWHSSAHILGQALESEYGCKLCIGPCTTRGEGFYYDGFYGELGLNDEHFKQIEKKASEAVGIEIINDLPADKTITVYRCGPLVDLCRGPHIPNTSFVKAIACLKASSAYWRGDKDRESLQRVYGISYPDQKKLKEYLVRLEEAKKYDHRILGVKQELIYHHEWSPGSWFFLPHGARIYNKLTEFIRNQYRDRGYQEVISPNVFNMDLWVQSGHAANYREDMFVLEIEKQEFGLKPMNCPGHCLMFKHRVRSYRELPLRFADFGVLHRNEASGALSGLTRVRRFQQDDAHIFCRESQIRDEVRNALNFINYVYDIFGFTYDLKLSTRPEKFLGELETWDKAENALREALDEFGKPWQLNEGDGAFYGPKIDISVSDALNRKFQCATLQLDFQLPHRFELEFAAEDEGKIEKPVMIHRAILGSVERMFAILLEHYKGKWPFWLSPRQAILCPVSEKSQAYALQVRDQIHQAGYHVDADTTDRKIQKKVREAQLAQYNYILVVGEEEANTGQVSVRVRDKADHRVMSVETLLKHFGEEVAAFH >OIV94026 pep chromosome:LupAngTanjil_v1.0:LG17:15185911:15189023:-1 gene:TanjilG_19387 transcript:OIV94026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGRSRSVRFHDDIEIDELAELESEESDHLSMLAYKMKDRKKLGKDAIGKSLAGRELSRVFSEDYDAAEMLVLDPRGTRVNRWNKIFLVACLISLFVDPLFFYLPVAKKDKCTDMSLGLGVSLTIIRSMIDAFYIIQIYVRFQTAYVAPSSRVSGRGELIIDPSKIASNYLHKDFWLDLVAALPLPQVMIWAVIPNLRGSQMIASRHVLRFVTIFQYLLRLYSIYPLSSEIVKVNGVMMEKAWAGAAYNLTLYMLASHVLGSSWYLLSIERQDECWKKACTLQYPYCRYHYLDCHSIGDPDRSAWFRSSNLSGLCDQNSDFFQFGIFADALTLEITASKFLNKYYYCLWWGLKNLSSVGQNLSTSTHVAEIIFAVIVAILGLVLFALLIGNMQTYLQSTTMRLEEWRIRRTDTEKWMHHRQLPRYLKQNVRRYDQFKWVATRGVDEETILRNLPVDLRRDIKRHLCLNLVRQIESQ >OIV94546 pep chromosome:LupAngTanjil_v1.0:LG17:5387500:5402538:-1 gene:TanjilG_25608 transcript:OIV94546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSESVPSTRTVRLGRVKPQAPGHRTIFCNDREANLPVRFKDCLNSPVSPITNVLPLSVVLLLSLIKEAFEDWKRFQNDMVINNNTIDVLQDEKWVSIPWKKLQVGDIVKGCSLRNTEYIVGVVIFTGHETKLQLVPSVIVHGHCFIDTWNTLQVMMNAMNVPSKRSTLERKLDKLILILFATLFMMCFIGAVGRNLMEFFKCSIGGEIYGNGLTETEKGLAERNGVKLEENITTNAVRERGFNFNDARLMSGAWRNEPNPDSCKEFFRCLAICHTVLPEGDESPEKIRYQAASPDESALVIAAKNFGFFFYRRTPTTIYVRESHVEKMGKIQDVSYEILNVLEFNSTRKRQSVVCRYPDGRLVLYCKGADTVIFERLADDYNSIKKVTREHLEQFGCAGLRTLCLAYKDLHPDVYESWNEKFINAKSSLHDREKKLDEVAELIENDLILIGSTAIEDKLQEGVPACIETLQRAGIKIWVLTGDKIETAINIAYACNLINNEMKQFIISSETDAIREVEDRGDQVEIARFIKEEVKRELKKCLEEAQSYVSSISKPKLALVIDGKCLMYALDPSLRVMLLKFSLSCHAVVCCRVSPLQKAQVTSLVRKGAKKITLSIGDGANDVSMIQAAHVGVGISGLEGMQAVMASDFAIAQFRYLADLLLVHGRWSYFRICKIIQEMHRQEYDDTGREQLIEMGGILSPAEAKSHGVSQLPQEISKHTGFAFDSPGYESFFAAQLGIYAPQKAWDVARRASMKPRLKIGQKK >OIV94056 pep chromosome:LupAngTanjil_v1.0:LG17:13999545:14004540:1 gene:TanjilG_06356 transcript:OIV94056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNGLSSFCSCLEPANRPNRDEDVIFSAAEPLDETLGHSFCYVRSSNRFISPTHSDRFVSPSTSLRFSPVHEPKNRTDSHETGFKAISGASVSANSSVPKTVIQLENTNSGNSNNAFDDVVSDYGGAVLVNGFEGTSSFSALPLQPVPRGGEPYETSGFFLSGPIESGAAADCGGATGDVPFSAPLGGFYVKKKRRNGISSFRKAFHRNNSSEKKRPWVVPVLNFVGRKEVPANELKEAKLVTEAKNESNVQWALGKAGEDRVHVVVSEEQGWLFVGIYDGFNGPDAPEFLMGNLYRAVHNELQGLFWEIEKSEESNNPLNLSVAAESSMLEEVKNNEGVVTEIDVAEDSISRSVKRVTFQAEGTESRRRRLWEFLAEDPEDGLDLSGSDRFAFSVDDALSVNNGNAGSAVSRRWLLLSKLKHGLSKHKEGHSSRKLFGTEEKEKVEVENQNPVEEKPSGSSSGGSGRKRKVGPVDHDLVLRAMSRALEVTELAYLDMTDRLLASNPELALMGSCLLVVLMRDEDVYVMNVGDSRAIVAHYEPKNIGSNVESGSKGARRSSTESIIEESLALGEGESARKLGSEGPAQEMRLAASQLSTDHSTSIEEEIIRIKNEHPDDNHCIVNDRVKGRLKVTRAFGAGFLKQPKLNDAVLEMFRNEYIGTAPYISCCPSLRHHRLCPTDQFLILSSDGLYQYLDNEEVVSQVESFMEKFPEGDPAQHLIEELLLRAAKKAGMDFHELLDIPQGDRRKYHDDVTVMVISLEGRIWKSSGKYL >OIV94344 pep chromosome:LupAngTanjil_v1.0:LG17:6171911:6178296:-1 gene:TanjilG_21684 transcript:OIV94344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAETLPYQNGVVLNGNLGANTNIPTAAKKSRESDRRRRRRKQKKNKQTSQEPDPNTTEDGDDTKENTDSYQVVEQVEIEYVPEKAELDEGLDEEFRKIFEKFSFTDVTGSEDTDKKDESAENANASKKADSDSEEEENDDEKKEKGGVSNKKKKLQRRMKIAELKQICSRPDVVEVWDATAADPKLLVFLKSYRNTVPVPRHWSQKRKFLQGKRGIEKQPFQLPDFIAATGIEKIRQAYIEKEDSKKLKQKQRERMQPKMGKMDIDYQVLHDAFFKYQTKPKLTSLGELYHEGKEFEVKLREMKPGMLSHELKEALGMPEGAPPPWLINMQRYGPPPSYPHLKIPGLNAPIPHGASFGYHPGGWGKPPVDEYGRPVYGDVFGVLQEDQPNYEEEPVDKTKHWGDLEEEEEEEEEEEEEEEEEMEEEDLEAGIQSVDSLSSTPTGVETPDVIDLRKQQRKEPERPLYQVLEEKEEKIAPGTLLGTTHTYVVGSGTQDKSAAAKRVDLLKGQKSDKVDVTLQPEDLDALENVLPAKYEEAREEEKLRSQREDFSDMVAENENKRKRKMQEKEGKSKKKSFKF >OIV93771 pep chromosome:LupAngTanjil_v1.0:LG17:21099106:21105462:-1 gene:TanjilG_07674 transcript:OIV93771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPASTDGSQPPPEDYLVKIAPTTTPTLKRVLLVIDSLKNQVVADRLISIEKRVEENRQKLAGLTDNLRKIAKERSTCSFTRTGRSLDLLAKRQKDAIDANNGGRENTGDHEDNLRCIAVLHKYNAPAPDPSLVVTIMLPGIMKIPKYSTWLFLDRNELTTKEQSVEGRRQIYYDENGGETLICSDSEEEMPVEEPEKKDFLKSEDFILCMTIKELGLCDLVVDSLSRLFSRDASEIKERYETLINKENTASGSNTRDTEEHFQIGNLCIEKDLGAAQASFDNLFCRRCLAFACRLHGCSQDLIFPAEKQTPWIPPDAGNAPCGSNCFLLVLQSENFAKVTSSSQADVEENRSRDVEVQMLSKESSDISARKQIECSKTEGASSNAKNIYESSGSENARVQETISPSKSVNEGGIIKTDSKRLAESVLVSMQKRQKQAATPDSNDIGERLGSSSNKMNTNSLIISSEDNMMKEQFVRESDCQQEFTDNESWKPLEKGLLDQGMEMFGRNSCLIARNLLSGLKTCWEIYQYINCNDRKKEGAIGDAPNSPLEGDLKGNNKEKRGSRFQRRRGKVGRLRYTWKSAAHRSNRKRITGGTGKLYKHYSPCDCQTACGKECSCCEIGTYCEKYCGCPKSCKNRFRGCRCAKNQCQTRQCPCFAADRECDPDICRNCWVSCGDGTFGSPVQRGDNYECRNMKFLLRQQRRILLGESDIHGWGAFLKYVLDAQRMGNKLKFANHATHPNCHAKVVMVAGDYRVGIFATERIRAGEEIFYNYGFAPECTPEWVLKLEASTPKKERGGASSSRRAKKCA >OIV94530 pep chromosome:LupAngTanjil_v1.0:LG17:5199996:5207430:-1 gene:TanjilG_25592 transcript:OIV94530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFASTLSLYSHPQARHHRLQSTAATPAGLGQFVPVPRRRRRSFLVKASSTSRRVYHQSQQDNAIVAPVKQIANFVAPFAVFFAVTFVIWKLVEKVLVPAPKQSKTSPGESQSASQGVKWSFGSGTNLLSQFGTKIDRQSKLKLNEFAQELRSLPSIDMSGRNFGDEGMFFLAESLAFNRIVEDVSFAANGITAAGLRAFDGVLQSNITLKTLDLSGNPVGDEGAKCLCDILAHNSSIEKLQLNSTDLGDEGAKAIAEMLKKNSSLRVLELNNNMIEYSGFTSLAGALLENNSIRNIHLNGNYGGALGANALAKALERNKSLRELHLHGNAIGDEGVRSLMVGLSSHKGKLSLLDIGNNSLTAKGALHVSEYVKKSKSLLWLNIYMNDIGDEGAEKIADALKENRSITALDLGGNNIHAGGVSAIARVLKDNLVITTLELSYNPIGPDGAKALAEVIKFHGNIKTLKLGWCQIGAKGAEFIADALKYNTTISILDLRANGLRDEGALCLARSLKVVNEALTSLDLGFNEIRDDGAFAIAQALKSNEDIAVTSINIASNFLTKFGQSALDDARDHVYEMTEKEINIFF >OIV93864 pep chromosome:LupAngTanjil_v1.0:LG17:16098279:16102270:-1 gene:TanjilG_05567 transcript:OIV93864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGIARGRLTEERKSWRKNHPHGFVAKPETLPDGTVNLMVWHCTIPGKAGTDWEGGYFPLTLNFSEDYPSKPPKCKFPQSFFHPNVYPSGTVCLSILNEDSGWRPAITVKQILIGIQDLLDQPNPADPAQTEGYHLFIQDAVEYKRRVRQQAKQYPAIL >OIV93907 pep chromosome:LupAngTanjil_v1.0:LG17:17766924:17771332:1 gene:TanjilG_05610 transcript:OIV93907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLESGVGLNSVKKKESWKTVMILAYQSLGVVYGEISTSPLYVYRNTFAEDIGHTESNEEIYGLLSLVFWTLTLVPLVKYAFIVLKADDNGEGGTFALYSLLCRHARVGLMPNCQLEDEKLSMYKDHSYGVAPEKGFGHMLKSLLEKHKVLQQILLVLALIGTCMVIGVGVLKPPLSVFSAMSGLELSISKEHHRYVEVPATCLILTGLFALQHYGTHRVGFLFAPIVITWLLCISSIGIYNIYYWNPHVYRALSPYYAFQFFRKTQTGGWMALGGILLCITGSEAMFVDLGHFSQLSIKIAFTIVVYPCLILAYMGQAAYFSRHHDIEQEYQFGFYVSVPGLAVVSVMLITTCLMSLVIVLCWHRNVLLALGFVLLFGTIEALFFSASIVKFFEGAWIPIALAFVLITIMYIWHYGTLKKYEFDVQNKVSINWLLSLGPSLGFVRVRGIGLVHTELVSGIPAIFSHFVTNLPAFHQVLVFLCIKHVPLPHVRPEERFLVGCVGPRGNRIYRCIVRYGYCDVHKDDVEFEKDVVFSIAEFIRKGTTSNEAEVVEKDDDKMIVVGTCTNPSFISEHNHNDHDVKEVKELKSPMLNQPKKRVKFVLPESPKFDDKGAMEELMEAREAGVTYIIGHSYMRAKQGSNMLKKIVISLGYEFLRRNSRAPSYVVNVPHASTLEVGIQYQV >OIV94695 pep chromosome:LupAngTanjil_v1.0:LG17:2501327:2505366:1 gene:TanjilG_25919 transcript:OIV94695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPTFFIIFFTSTLFLFQFHSSISIHESHTRRFLHQPLFPEASVPPPETYTPPSPDGNIPFFYENPPGPPPPSQSVPSNASLSNSNSNPTATQPNNGTKKVAIAISVGIVTLGMLTALAFFLYKHKAIHHVETQKLVTQNPNPNSEDSMVPSSSSILYIGTVEPTVTEPNSSPYRKLNSVKRSERYRPSPELHPLPPLRKDGNYPPAASPSSSDEESHVTTAFHSPQNSSVSYEDSYYTPISRQSSLANYSPAAVAASTVPPPPPPVPLSQRTSSKSRVSASSPDVRHIIIPSIKQTPPPPSPAPLDKRAISGTSGRPKFSSPPPASNLTHLQSPINRAPPPPPPPPPPPPLKLKESSGIRVSSSHESRKENSCSPSKGVGSSSSSVKKGSASENVDNRKSVNNGVRFSESVEENEKPKLKALHWDKVRATSDHDTVWDHLKSNSFQLNEVMMESLFGCNATNSVPKEPIKKSFFPSIDKENRVLDPKKSQNIAILIRALNVTRDEVSEALLDGNPEGLGAELLETLVKMAPTKEEEIKLKNYDGDLTKLGSAERFLKAVLDIPFAFKRVEAMLYRANFDAEVNHLRKSFQTLEAASVELRNSPLFFKLLEAVLRTGNRMNVGTNRGDAKAFKLDTLLKLVDIKGTDGKTTLLHFVVQEIIRSEGADGELANENVKSQMNSKFNEDEFKKQGLHVVAGLSRDLSNVKKAAGMDSDVLSSYLSKLETGLDKVRLVLQYEKPDVQGNFFKSTKLFLRDAEDEIARIKADEKKALFLVNEVTEYFHGDTTKEEAHPLRIFMIVRDFLNILDLVCKEVGRMHDRIVGGSSRSFRIAATASLPVLNRYNARQDRSSDEESSSP >OIV94947 pep chromosome:LupAngTanjil_v1.0:LG17:492656:496841:-1 gene:TanjilG_22144 transcript:OIV94947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFWGIEVKPGKSTPYHADNVQGKLRVTQATLGIGSSAEKSILQCSSGHKSPVFLCSLLPNKIESCPLNLEFDDDDLVAFSVVGPRSIHLSGYFVTVDGDDYEYDSFDEDAEGSETEESSEHDSEDGYDFIDDSDADMYPSSHIPNSGVVIEEIVDDDKPESGDDPTKQLKKKKQVAHLKEDKSSHLPIVVRGETVPPNLEDEDDAEENEDKDGFPISVAEKGKSESPKEETEIKEEQAHKKTEKANKRAKQVDHSASIKRKVENAEEDEQQQDGKKKKKRKDKLKEHGKGESSHASDNINETNETTPDEKHPEEVKTTVDVNDVSHAKDGQPLSNNEVLVEKKNKKKNKKKTTKESEEATTPNQIANTVENKDLSISEKSGKKQTEDKPSQVRSFANGLVIEELSTGKPDGKSATPGKKVSVKYIGKLKKNGKIFDSNVGRATFKFRLGLGQVIKGWEVGVNGMRIGDKRRITVPPSMGYGDKRAGSIPPNSWLVFDVELVNVGG >OIV94333 pep chromosome:LupAngTanjil_v1.0:LG17:6452081:6457214:1 gene:TanjilG_18298 transcript:OIV94333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALDNHSPSLEILVRGPEEFSLWSGPPFVNGQPGVKLEKISCVNAKFSDDGSRLMVIKSNSVISVYDCKNAKEIRSFELPNVTSAILSPGGTYLQTFQKPSAPQEKNVTLWKTETGDPVYQHSQKHLTKNTWPAIQFSSDEATACRLATNEVQFFDGGDFSKGIISRLRVPGVAAVELSSTPGSHIAAFVPESKGIPASVQIFASGNASQSQAIARRNFFRCSTTQLKWNHGSTGLLVVVQSDVDKTNQSYYGESKLHYLTTDGKHEGLVPLRKEGPVHDAQWSYSGLEFAVVYGFMPAKATLFDKNCNPLLELGTGPYNTIRWNPKGKCKGKQMNLFCGLDPWLPVLCLAGFGNLPGDMVFWDYSDKKQLTATKAEWSVTSEWSPDGRYFMTATTAPRLQVDNGIKIFHYNGSLYFKKMFNKLYQVDWKPESPSKFGDITELIKSLDLGKVEDKKPPGQGPKTTQAAAKASSANPPAQKPAAYRPPHAKTAAAIQAQLLGESPGESLSKNALRNKKKREKQREKKTASDASSS >OIV94326 pep chromosome:LupAngTanjil_v1.0:LG17:6495220:6495786:1 gene:TanjilG_19332 transcript:OIV94326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEFMSSTESLGFDSIDERQVNDHVDHEIHHDNDNDDGYCYDSRRTRVAEGRRDCFPPPLSSWNRNCRPSFFLRPVRKNGRLILTKVRMKRHEMIYSSRENGRLRLYLVPDDDDDDEEEELVGEIAEQTEEEMMVDSDLEEEEIEEDRVGEWNYGMGSNEGFRRCHQVMNPCYHGSHQNLRMYGLGIA >OIV93819 pep chromosome:LupAngTanjil_v1.0:LG17:20634907:20643046:1 gene:TanjilG_03782 transcript:OIV93819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEYPEELRTPPLILASLLGCPELHSLISTHLLSLQPPINTLPIPHFSNLNLSNLKTQPSHDSSIAFAGILKRDWLLKHRTQVPSVLAALFPSQIVFAHSDQWLQLCYDLDSIKNVIRGRNIKLVVVIVNTNVQEEVSDDRMIALRKRAEVEAKYVITLNPNDNSELKQSLNSLANAFSELASIYYREEGRGIKQRIEKKNVSSVELIVRYCFKVAVYAEFRGDWAEALKFYEEAYHTLREIVGVTTRLPPVQRLVEIKSISEQLHFKISALLLHSGKVTEAVTWFRQHKNVYRKLVGAPEATFIHWEWMSRQYLVFGELLETSAITTQSFLPVISSSKPLSDWEYYPAFYYQLAAHYLSEKRSALELAISMSETSTEIDSGADSVVPSVYVGQFARLIEQGDNVDMLPITDEEYTRFAVSEGRRFRDSLEIIALQKKAYESYGSMKIDRMSSYCGFQMAKEYFIEGDIDNAKQVFDSIASLYRKEGWVTLLWDVLGYLRECSRKNGVVKDFVEYSLEMAALPITSDIGVQRDTGPAGPANLLQRETIHKEVFNLVTEASGSATNEHLSNLKFTGGESLQLEVDLVSPLRLVMLASVAFHEQAIKPGTSTLITVSLLSHLPITIEIDRLEVQFNQSDCNFFIANAQKPRSVEVGGVQQHRVETVPSLSLESNKWLRLTYDIKSDQSGKLDCLAVLAKIGPHFTICCRAENPASLESLPLWTLEGSVPSVPLKDPVLVLSGQKSIQVAQQDSGVDLHLDASGPALVGEIFLVPVTVVSKGHDVYSGELKINLVDVKGGVLFSPKDSERYSTDSHHVELLGISAPEGEDDSQLDPDKIKKIQQSFGLISIPFLKNGDSWSCKLEIKWHRPKPIMLYVSLGYTPYRDESNTQMIHVHKNLQIEGHTAIVLNHYYLMPFRQDPLLLSRNKQALESDQSESLPLNQKTVLVVSAKNCTELPLRLKSISIEVEGDDVKRTCSIQHTNAELPEPALIVPGKEFKKVFSVSSDMNISKLKLGTVIWRWRRDLRVEDKSASATALSWVVTKQKLPDKDVELPPLIVSLECPPYAISGDPFTYYIRILNQTQLLQEIKYSLADSQSFVLSGYHNDTIHVLPKSEHILSYKLVPLASGIQQLPKFSMTSVRYSAAYQPSNSSNSVFVFPSKPQFKTTDSTNFRVKSVAANE >OIV94522 pep chromosome:LupAngTanjil_v1.0:LG17:5103835:5122608:1 gene:TanjilG_25584 transcript:OIV94522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRAIRACKTASEERAVVRKECAAIRASINENDQDYRHRNLAKLMFIHMLGYPTHFGQMECLKLIASPGFPEKRIGYLGLMLLLDERQEVLMLVTNSLKQDLNHTNQYIVGLALCALGNICSAEMARDLAPEVERLLHFRDPNIRKKAALCSIRIIKKVPDLAENFVVPAISLLREKHHGVLITAVQLCTDLCKISTEALEHIRKRCTDGLVRTLRDLANSPYSPEYDIAGITDPFLHIRLLKLLRVLGEGDAGASDSMNDILAQVATKTESNKVAGNAILYECVQTIMSVEDNGGLRVLAINILGRFLSNRDNNIRYVALNMLMKAVTVDAQAVQRHRATILECVKDSDASIQKRALELVYVLVNETNVKPLAKELIDYLKVSDHDFRGDLTAKICSIVAKFSPEKIWYIDQMLKVLSEAGNFVKDEVWHALIVVISNASELHGYTVRALYRAFQTSTEQEILVRVTVWCIGEFGDMLVNNVGMLDIEDPITVTESDAVDVLEIAIKRHASDLTTKVMALVALLKLSSRFPSCSEYDLFFKFFDSFITFKMNLFLLFWLFSLERRIREIIVPFKGNFVLELQQRSIEFNSIIAKHQNIRSMLVERMPVLDEATFVGRRDGSLPGAASTTLAPSVSLPNGVAKPVAPLIDLLDLSSDDAPAPSSSGGDLLHDLLGVDLSPASKQSGAGQTSNSAADVLLDLLSIGSPSAPSTSSTVDILSSSASNKAPVSPLNDLSPLSLSSRATSNAGAAPMLDALDGFSPSPPTVHPSITAFESSHLRLTFDFSKQPGNPQTTIIHATFMNLSFDTYTDFVFQAAVPKFLQLQLDPASSNTLPAAGNGSIMQILKATNSQHGKASRGPKLIETTTLNKILSVKGTDVPLLPNGQLGEPCPLMTSCPKDTLSHSHTESLHTIRFG >OIV94584 pep chromosome:LupAngTanjil_v1.0:LG17:5797653:5799950:1 gene:TanjilG_25646 transcript:OIV94584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIKQMENKKACVIGGTGFVASLLIKHLLEKGYAVNTTVRDPDNLQKISHLVALKSLGELNIFRAELTAEEDFDAPIAGCKLVFQLATPVNFASKDPETDMIKPAIKGVLNVLKACARAKEVERVILTSSTAAVSINQLDETGLVMDESNWTDIEYLNTAKPHTWGYPVSKVLAEKAAWEFAEENHINLITVIPSLIAGYSLTPDIPSSVKLAMSLMTDNDFRINAMKGMQLLSGSVSITHVEDVCRAHVFLAEKESASGRYICCAHNTSVPELARFLKERYPQYNIPTNFDDCPSKAKLTISTEKLIKEGFSYKYGIEEIFDQTVEYLKSKGPLNN >OIV94251 pep chromosome:LupAngTanjil_v1.0:LG17:9318095:9326964:1 gene:TanjilG_00102 transcript:OIV94251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTITSTFFLSIFFLFLSLSLYHFQFHPSSSSQTLPSNTTTTTQNYVVRFLQYKPHRHHRRYLQSNLRSDGWEWIERRNPASKYPTDFGLVSVHDSVKNIFFREIGKLELVKDVHVDMGYKRGLLNKDHKHKGGSFHDGMKRPGKIFTKMSFSVEEEMEGGKGRSSCSTIHNVSVRLGRELLGKQRSQVTSMFGAEDLWAKGYTGTKVKMAIFDTGIRADHPHFRNIKERTNWTNENTLNDNLGHGTFVAGVIAGVDAECLGFAPDTEIYAFRVFTDAQVSYTSWFLDAFNYAIATNMDVLNLSIGGPDYLDLPFVEKIWEITANNIIMVSAIGNDGPLYGTLNNPADQSDVIGVGGIDYSDHIASFSSRGMSTWELPHGYGRLKPDIVAYGRDIMGSKISTGCKSLSGTSVASPVVAGIVCLLVSVIPEPSRKDILNPASMKQALVEGAAKLSGPNMFEQGAGRVDLLESYEILKSYKPRASIFPSVLDYTDCPYSWPFCRQPLYAGSMPVIFNATILNGMGVIGYVEGPPTWHPSNEEGNLLSIHFTYSEVIWPWTGYLALHMQIKEEGAQYSGNIEGNVTLRVSSPAAQGEEDRRRSICVLQLKLKVVPTPPRAKRVLWDQFHSIKYPPGYIPRDSLDVRNDILDWHGDHLHTNFHIMFNSLRDAGYYVETLGSPLTCFDARQYGTLLMVDLEDEYFPEEIEKLRDDVINTGLGLAVFAEWYNVDTMVKMRFFDDNTRSWWTPVTGGANIPALNDLLAPFGIAFGDKILNGDFSLSGEQNRYASGTDIVRFPSGGYVHRFPFLDSSESGATQNVLMTSDMTKADSPILGFTVMGEGRIAVYGDSNCLDSSHMVTNCYWLLRKMLDFTNEDIRDPVLFSGSVKLHTSLYEDDNQLPTRRSDVNFSTYSAVAGKELICRTDSRFEIWGTKGYNLQVRGRNRRLPGYPVIDSGKGFNVTFGNSNIRHPKFTMRNKGDSRRKTYMGLFYGDEPDAPMLVGSHWLVPAVVAAIGILLLCFWRIRQKPRRRKKGSASGRLASL >OIV94113 pep chromosome:LupAngTanjil_v1.0:LG17:12542458:12547257:-1 gene:TanjilG_29213 transcript:OIV94113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEFTGEGGALSTGEVVVTPVSLTVSGSFREGRGSSSRRRGSMRPPSMDADEFMNLLHGSDPVKVELNRLENEVRDKDRELSDAQAEIKALRLSERLREKAVEELTEELSKVEGKLKLTESFLESKNLEIKKINDEKKASMAAQFAAEATLRRVHAAQKDDDMPPIEAILAPLEAELKLARQEMAKLQDDNKALDRLTKSKEAALLEAERTVQVALAKASMVDDLQNKNQELSKQIEICQEENKILDKMHRQKVAEVEKLTQTVRELEEAVLAGGAAANAVRDYQRKVQEMNEERKTLDRELARAKVTANRVAVVVANEWKDANDKVMPVKQWLEERRFLQGEMQQLRDKLAIVERAAKSEAQLKEKYHLRLKVLEDSLRGNLNSYNRGTSEGRSISNDSSRRQSLGGADNLSKLTFNGFLPKRTPSSQLRSSLSSSTILKHAKGTSKSFDGGTRSFERSKSLLNGTASSYSFNQSIEESKNREANDDWKGNSDDKPNDFPTVDTEDSVPGVLYDLLQKEVVALRKAGHEKDQSLKDKDDAIEMLAKKVDTLTKAMEVEAKKMRREVAAMEKEVAAMRVEKEQDNRAKRFSNVKAPVNSAQHQLISGRWKFWLS >OIV93793 pep chromosome:LupAngTanjil_v1.0:LG17:20392238:20399656:1 gene:TanjilG_03756 transcript:OIV93793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSSSKRSSDDSPPSSTTGNSPPPHLGAFYYLPDEILMQILRLLDPKDAAKLCFLSKLWRYIISDNDLWIHFLQNYYPDPWDSVLFAETNLSSGYPLPATAAEMSHVSFRNVYAQRAQLPSSVIIDGGSGYCKFGLSDHAYPLGRSATFLEFGSVETPMYTRLRHFFGTIYNRMQVKPSSQPVIVSIPICHYDDTESAKASRRQLKEAIYSALFDMNVPAVCALNQATLALYAAKQTSGIAVNIGFQVTSVVPILNGKVMRNVGVEVVGIGALQLTGFLREQMQQNNIIFESLYTVRTLKEKLCYVAADYEAELSKDTEASFEAVGEGWFTLSKERFQTGEILFQPRLARVRAMGLHQAVALCMDHCHSAELVGDGDWFKTVVLSGGTACLPGLPERLEKELHALLSPEVSNGITVIPPPYGVDTAWFGAKAIGNVREYLSRALVYNEEAIPSEL >OIV93780 pep chromosome:LupAngTanjil_v1.0:LG17:21180010:21181398:-1 gene:TanjilG_07683 transcript:OIV93780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEEKIVEVLMVSLPLQGHLNPMLNLGKHLVSKGVCVTFATTEDDRLRMNQNLHNSDIQFEFFSDGLSFDFDRANKMSELIKSVHVHGSKNFSTLLTRLTKVHNYSCVIVNPFVPFAIDVIADHNIPCAMFWIQASALYSIYYRYYKNIDSFPNLENPNEKVHLPSMPIFDVRDLPPFILPSCPPHLRVYMNDLFQAIDKVKWVLGTSFYEIEEEIVKSMDSLKPIYPIGSMVSPFLFGEKETNDFKVDMWNVEDSCIEWLDNKPPSSVIYISFGSIIALSQKQMNNVALALKNSNKSFLWVIRTHGSNNSNASDLPLEFLEETKGRGFVVKWSPQEKVLMHPAVACFISHCGWNSTIESLVTGVSVICYPHWADQRTNAMLIENMFQNGVNLKYDEDRVASTQEIERCIREVMEGPSALKIKKRAREIKELARKSLQEGGASRQNLDKFVNDLTITDTVKA >OIV94673 pep chromosome:LupAngTanjil_v1.0:LG17:2690387:2691748:-1 gene:TanjilG_25897 transcript:OIV94673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSKLVASTLRHHLSKSTRLFCRQPFSTAAVAESEYHEDSAGITMKGVKISGRPLYLDVQATSPVDPRVLDAMLPFYISRYGNPHSRTHFYGWESDNAVEHARAQVASLIGASPKEIVFTSGATESNNISIKGVLHFYKDKKKHVITTQTEHKCVLDSCRYLQQEGFDVTYLPVESDGLVNLEKLRAAIRPDTGLVSVMAVNNEIGVVQPVEEIGKICKEFNVPFHTDAAQALGKIPIDVEKWNVSLMSLSGHKVYGPKGVGALYMRRRPRIRVEPQMNGGGQERGIRSGTVPTPLVVGMGAACEVSLKEMEYDEKRISALQERLLNGIREKLDGVVVNGSMDRRYAGNLNLSFAYVEGESLLMGLKEVAVSSGSACTSASLEPSYVLRALGVEEDMAHTSIRFGIGRFTTEAEIDRAIELTVNQVEKLREMSPLYEMVKEGIDISKIQWAQH >OIV94138 pep chromosome:LupAngTanjil_v1.0:LG17:11672462:11672872:-1 gene:TanjilG_31563 transcript:OIV94138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHDFISENGPHPHKSSNDFHNFIKKSLHVEASSTQLKEKVRKLKLKFLKNCETHSFSNAHDSEVFELPKNIWGNTEGGHSHGTLEKAESDETIEGKHMKIVDVGKYVELENDEKENKESVNYYTFKGMNKKALIFF >OIV94262 pep chromosome:LupAngTanjil_v1.0:LG17:7785038:7786588:-1 gene:TanjilG_00011 transcript:OIV94262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRLIRLDPSNIVPIRVVPGQKCYGQITLRNVMYTMPVAFRLQPLIKTRYMVKPQSGIISPLATITVEIVYNLPSGSTLPHSFPHSDDTFLLHSVVVPGATIKEPSSMLDAIPSEWFTTKRKQVFIDSGIKIMFVGSPILSQLIADGSIDGIREVLECSDPSWKAIDSIDQQGYTLLHLAISQRRPDLVQLLLEFKPEIEAPSQTGSTPLEVASSLGEALIVELLLAHKATTERSESSRYGSIHLASREGHVDVLRLLLLKGAKVDSLTKDGNTALHIAVQEHRRGCAKLLLANGAKTHIRNTREGDTPLHIAAAIGDEKIVKLLLQKGANKDVRNKLNKTAYDVAEENGHKHIFDALRFGDNLCVAARKGEVRMIHKLLESGAAINGRDQHGWTALHRASFKGRIDAVRALLEKGVDVDAKDEEGYTALHCAVECGNADVTEVLVKKGVDVGARTNKGVTPLKIAESLHYVGIARILTNGGASRDNVDQTSETGSTVIPSGSKMESRAVGVRRRR >OIV94046 pep chromosome:LupAngTanjil_v1.0:LG17:14686661:14688007:1 gene:TanjilG_14293 transcript:OIV94046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVENKKYITAEELKEHNKEGDLWISIQGKVYNVTDWAKVHPGGDIPILNLAGQDVTDAFIAYHPGTAWKYLDKFFTGYHLKGFKVSEVSRDYRKLVSEFSKLGLFEKKEHVTLFTLTSVAVMFGIVLFGVLRCTSVWAHLGSAMLLGLLWMQSTYVGHDSGHYEVMSSRGYNKLAQIICGNCMTGISIAWWKWTHNAHHIACNSLEYDPDLQHIPVFAVSSRFFSSMKSCFYGRELKFDTLSRLLVSYQHWTFYPVLCVARVNLYLQTLLLLFSRRKVPDRAFNIMGILVFWTWFPLLISCLPNWQERIMFVMASFCVCSIQHLQFCLNHFAADVYMGPPNGNDWFEKQTAGTLDISCSTWMDWFFGGLQFQLEHHLFPRLPRAQLRKISPLVIDLCKKHNLPYRSLSFLEANKWTLRTLRTAALQARDLTNPAQNLLWEAFNTHG >OIV94834 pep chromosome:LupAngTanjil_v1.0:LG17:1331811:1333035:1 gene:TanjilG_22031 transcript:OIV94834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRVIRAQRKGAGSVFKSHTHHRKGPARFRSLDFGERNGYLKGVVTDIIHDPGRGAPLAKVTFRHPFRYKKQQELFVAAEGLYTGQFLYCGKKATLVVGNVLPLRSIPEGAVICNVEHHVGDRGVFARCSGDYAIVISHNPDNDTSRIKLPSGSKKIVPSACRAMIGQVAGGGRTEKPLLKAGNAYHKEKHANTSYCNGE >OIV95016 pep chromosome:LupAngTanjil_v1.0:LG17:49425:50819:1 gene:TanjilG_22213 transcript:OIV95016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMATSLTPSHISSNLKHSSFHGTPISSCINSTTRQHNNNNNNNNMNKTISMSLNSPPYDLNSFKFKPIKESIVSREMTRRYMTDMITYADTDVIVVGAGSAGLSCAYELSKNPNISVAIIEQSVSPGGGAWLGGQLFSAMVVRKPAHRFLDELEIEYDEQEDYVVIKHAALFTSTIMSKLLARPNVKLFNAVAAEDLIVKGGRVGGVVTNWALVSMNHDTQSCMDPNVMEAKVVVSSCGHDGPFGATGVKRLRSIGMIESVPGMKALDMNAAEDAIVKLTREIVPGMIVTGMEVAEIDGSPRMGPTFGAMMISGQKAAHLALKALGKDNAIDGTYEVGAEAPQLVLASAETKEIVDA >OIV94467 pep chromosome:LupAngTanjil_v1.0:LG17:4423815:4431390:-1 gene:TanjilG_25529 transcript:OIV94467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSASLQDDVASNPLLQDFEFPPFDVVQPNHVRPGIRALLKNLESDLEELERNLEPSWPKLVEPLEKIMDRLSVVWGIVNHLKSVKDSSELRSAIEDTQPEKVKFQLRLGQSKPIYNAFKAIRESPEWETLSEARKRIVESQIKEAILNGVSLEDDKREHFNRIEQELEKLSQKFDENVLDATKRFEKLITDKKEIEGLPATALGLAAQNAVSRGHENATAENGPWVITLDAPSYIAVMQHARNRSLREEVYRSYISLASSGDLDNTEIIEQILKLRLEKAKLLNYDNYAEVSLATKMATVDKAEELLEKLRRASWDAAVQDIEDLKKFSKSQGASEGDNLTHWDINFWSERLRESKYDINEEELRPFFSLPKVIDALFNLAKTLFGIEIESADGLAPVWNDDVRFFRVKDSSGSPIAYFYFDPYSRPSEKRQGAWMDEVFARSRVLSRNGTSTRLPVAHMVCNQTPPVGNKPSLMTFLEVETVFHEFGHALQHMLTKQDEGLVAGTRGIEWDAVELPSQFMENWCYHRETLMGIAKHFETGESLPEEVYLKLVAARTFRAGSLSLRQIRFASVDLELHTKYVPEGQESIYDVDRRVSERTQVIPPLPEDRFLCGFSHIFAGGYAAGYYSYKWAEVLSADAFSAFEDAGLDNNKAVQETGHKFRETILALGGGKAPLEVFVQFRGREPTPDALLRHNGLLSVAASA >OIV95010 pep chromosome:LupAngTanjil_v1.0:LG17:89128:90036:-1 gene:TanjilG_22207 transcript:OIV95010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEIMYRGLHSCIVSNPIDIPFESCFYEPNTKPHCEENSYKTNQSGWNFLQALSNVSKDKESSTNTYVHPQLKYSSVRLSPKSLELCTENLGNETGSDDIMDNEVELLSYSPCFSWECVEKKKGSKIMRGENEKEKRKNFPPPLTTIRGGSECLKMMPCREGGRLVIEVTKLQPTGSCFQAERSQGRLRLSFLRNPMEEEEQEEEEEEEEEEEEEEDDENEEELENEMIRESRELQEEIEDLEEEEEAELEGHENENERDKSGNSWNIRIMEKYDRKGRRSRSRCNEENNGFGIAVVNFLTA >OIV94284 pep chromosome:LupAngTanjil_v1.0:LG17:8992109:8993005:1 gene:TanjilG_00033 transcript:OIV94284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYQSFGKPQRPKGANIKQALKLMLVLAVCAWFVYQMKHSIRNKTENHGFQTKLDTGDATIPLGRKGTPSRLDGIAFPNSGNEDSSESSSGSDDLFDEAKKLDKSEEEFGHVNEKLKGNEEKEVELEPENQSMVSSKNEDKDSSKEESAKVYVELSRSESSDEEHSNDPRSYDKETAKQLREPQHDDHVTKNAKSDFSRKENDEEISTNAGLTEGIDEVQTFHDETGVPPDFNETETIVGQARAMHEESTSDFSKGSSIGEASIFEVTSRERNNVEVTLEGTKNDATADEGINTARMS >OIV93770 pep chromosome:LupAngTanjil_v1.0:LG17:21096255:21097100:1 gene:TanjilG_07673 transcript:OIV93770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVNREYYELGLPIIQKAGVEHKIDFREGPALPLLDQMLKDEKKKGSFDFVFVDADKNNYLNYHKRVIELVKIGGVIGYDNTLWCGSVVAPPNAPLKGYIKQFRGDIMELNNYLANDSRIEICQIPIGDGVTLCRRII >OIV94444 pep chromosome:LupAngTanjil_v1.0:LG17:4129187:4132977:1 gene:TanjilG_25506 transcript:OIV94444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFQDIESGRPFASRRSLINGKQDPTQAVASGIFQINTAVSTFQRLVNTLGTPKDTPELREKLHKTRLHIGQLVKDTSAKLKEASEIDHHSNVNVNKKIADAKLAKDFQAVLKEFQKAQRLSAERETAYTPFVPQTALSLSDVDISSDKPPEQRALLMEIKRQEVLFLDNEIAFNEAIIEEREQGIQEIHQQIGEVNEIFKDLAVLVHEQGTMIDDIGSNIENSHAATAQAKSQLAKASKTQKSNSSLTCLLLVIFGIVLLIVIIVLAA >OIV94519 pep chromosome:LupAngTanjil_v1.0:LG17:5070958:5076506:1 gene:TanjilG_25581 transcript:OIV94519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGGIEECSVKLLDSFCNYGSSESRWVDGSEVDSMEHEPPPSRSNEGREGYDSLRRRLVKKPKRVDSFDVEAMEISRTQDHHSKDASLLPTLALAFQTLGVVYGDMGTSPLYVFADVFSKVPIESDVDILGALSLVMYTITLIPLAKYVFIVLKANDNGEGGTFALYSLICRFANVGLLPNRQQADEHISSFRLKVPSLEMKRALKIKDTLEKNKSLKTLLLLLVLLGTSMVIGDGILTPAMSVLSAVSGLQGEIESFGTEEVVIISIVVLVALFSIQQFGTGKVGFMFSPILALWFFSLGSIGAYNMVKHDITVLRAINPAYIYYFFKKNGKHAWSALGGCVLCITGAEAMFADLGHFSVQAIQIAFTCVVFPCLLLAYMGQAAYLMKNPASYVNIFYDSVPDSLFWPVFVIATLAAMIASQAMISATFSCIKQSMALGCFPRIKIIHTSRKFIGQIYIPVINWFLMVMCIIIVAIFQHTTDIANAYGIAEVVVMLVSTSLVTLVMVLIWQTNFFLAFCFLLVFGSVELIYMSSVLAKIAEGGWLPLAFATFFLSVMYTWNYGSVLKYQSEVREKISSNLMLDLGSNLGTVRVSGIGLLYNELVQGVPSIFAQFLLTLPALHSTIIFVCIKYVPVPVVPQEERFLFRRVCPKDYHMFRCVARYGYKDVRKEDHHAFEQLLIESLDKFLKKEARESALESNIVEDIDSVSTKSKYSDLPADNVTDELRIPLMLGRNSEETGTSTSRKPAAALPSSYLSSDEDHSLEYELSALREAMASGCTYLLGHGDVRANKNSFFIKKLVINYFYAFLRKNCRGGTANMRVPHTNIIQVGMTYMV >OIV93835 pep chromosome:LupAngTanjil_v1.0:LG17:20782465:20788446:1 gene:TanjilG_03798 transcript:OIV93835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKRNSLVFLSSDDEDASNNRSLSSNRRYTSKPNSRTCLGQKKISLLDEDFNEAFTGSKVSTGTRRSNTSELWVDKYKPRSLEELAVHKKKVEEVKTWFEERLEPSKGVYRNNVLVISGQAGVGKSAAIHVIASHLGATVCGWNTPTPVIWQEHLYNSGAGAEYTSKLGEFETFVERIRKYGMMPTSFTGESKPSVILLIDDLPMTNGKAAFERLKDCLHLLVYSTQIPTAILFTDYGNTDSADYNAKCLEELQLSLQSSGACKVAFNPITTNSIKKILFRICKMEHCDVVADHVDHIAKASGGDIRHAITSLQFFCLKLNQKHSFSLFTHSSGALKDEGNKPVRLDDGYYLHYGRDQTLSLFHALGKFLHNKRETGSSIEYDQDAFLIKERFSRLPLKMDVPEKILCQAHAQPGLVAAFLHENVLDFMDDEAIDDAWTLSSYLVDADILLAKPRGMLSNDNDAESVLQCAASSVAVRGVLFGNSQPLSSRWHAIRPPKLWQVEKASLYHKNEILMMTFPSCKGLSSYHISIMATEYMPIFKFLGKRACGIYDEPNHESVKNMDMEDVDFDQMSLDNEAKGISDDDIEDW >OIV94025 pep chromosome:LupAngTanjil_v1.0:LG17:15180419:15183940:1 gene:TanjilG_19386 transcript:OIV94025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQIRQLNQSTPQAGGPINEGGSGNYARSQGSPAQMPQQQSKFTKQQLHVLKAQILAFRRLKKGEGTLPQELLRAIAPPPLETQMQPPNHPSGGQIQDKSTGSIVAEQPSYTESNTKDSQSIPTVNGQSSLKQQSFGRDEKSIMPSVHMQAVVPPASKESAPMLSAGKEQQKSIASSAKSDQDNEHGDNRTPIRSELALDRGKAIAPQPSVPDSMQMKKPEQVSTMSQPKDVGSTRKYHGPLFDFPFFTRKHDSFGSSMMVNNNNNLSLAYDVNEILFEEGMEVLTKKRTEKLKKIEGLLAVNLERKRIRPDLVLKLQIEEKKLRLLDLQARLRNEIDQQQQEIMAMPDRPYRKFVRLCERQRMELARQVQTSQRALREKQLKSIFQWRKKLLEAHWAIRDARTARNRGVAKYHERMLREFSKRKDDDRDKRMEALKNNDVDRYREMLLEQQTSMPGDAAERYAVLSSFLSQTEEYLHKLGSKITATKNQQEVEEAAKAAAAAARLQAGLSEEEVRAAATCAGEEMMIRNHFMEINTPRHSSSVNKYYSLAHAVNETVIRQPSMLRAGTLRDYQLVGLQWMLSLYNNKLNGILADEMGLGKTVQVMALIAYLMEFKGNYGPHLIIVPNAVLVNWKSELYNWLPSVSCIFYVGMKDQRAKLFSQEVSAMKFNVLVTTYEFIMYDRSKLSKIDWKYIIIDEAQRMKDRDSVLARDLDKYRCQRRLLLTGTPLQLVFPFITKFTYVPLHVKYLFAKRHMTGEGCKNTASLMGEK >OIV93884 pep chromosome:LupAngTanjil_v1.0:LG17:17322413:17322652:-1 gene:TanjilG_05587 transcript:OIV93884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSGLLLSALNHNIQLPKVPTNFIWPKEYLVEAHEELQAPMVDLNGFLKGDENATHYASKTIAQACLNHGFFQSGQPWC >OIV94533 pep chromosome:LupAngTanjil_v1.0:LG17:5247352:5248824:-1 gene:TanjilG_25595 transcript:OIV94533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSSFLFTLLLSCLLSSAIGAYKSPFIKSKPTTTLPPRLTPLNQNAPRVPPSNSNTPRVPPSNSYTPRVPPSNSYTPRVPPSNSYTPRVPPSNSYTPRVPPSNSYTPRVPPSNSYTPRVPPSNSYTPRVPPSNSYTPRVPPSNSYTPRVPPSNPNAPHSQTPDYGLDTSPQSTPDPYSYLNPYPPPPPSVEIIGLAVAKQYLHDFGYMTNSSYPFSDILDTETISAINTYQKFFMLEVTGQLDTQTLNQMFLPRCVVPDINLVYDLNSETSVSWPQGIRWFPNGTSTNRLTYGFLPESNIPLDFQMVFIDAFNRWSEAIAELNLAKLSFTETNYNTSDIKIGFYFLDNTVENVVAGTIMRYEDGSYNGNGNKVVGDIRLDASKYWILPGFNGMWSWLDGEFDLGTVAMHQIGHILGLSHSSIAKSVMYPSILTTNEIKVELTADDKNNILNVYREVSSPTISGSGGHFTPFGSCALLLINFSLGFILLLY >OIV94674 pep chromosome:LupAngTanjil_v1.0:LG17:2685326:2685906:1 gene:TanjilG_25898 transcript:OIV94674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRDHHAICAQRLSFITISLFFLIFSSWTLQGFVTEEGSRKTSKQNGFQQIVHADKVTVRARIGSRPPKCERRCRSCGPCEAIQVPSNPQAQNGKININPSMMPTTAYERGDNVNYKPMSWKCKCGNLIFNP >OIV94191 pep chromosome:LupAngTanjil_v1.0:LG17:11044551:11047461:-1 gene:TanjilG_13808 transcript:OIV94191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVCQRLSKPNPDSKLTPQLEQNVEPKSTKLGLNDEGEFSSSVAGATTEVMKTSQSCSLKVFGEGEGVSSTLRLENGKRVRRPPVKYQSFLSVDIISDDHKQKPRRPSKSHLKPIVVPSTTRSNDGGVPPPADAHELAQLPSNSVPIGSPKPRGRPKKNVVASPSIGGGSAAYGGGKKPVVARKPMKKSIGKPMGRPKGSKLAVKNKQEDIEADLREKIRYIQSKVRRSVEVLRTYFHMKSPVAARAAIHRLQNLGNLDINLPLWEDSPPVVPIHNAINRR >OIV94240 pep chromosome:LupAngTanjil_v1.0:LG17:9561712:9566311:-1 gene:TanjilG_08538 transcript:OIV94240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKHGWQLPYHPLQVVAVSVFLALGFAFFVFFAPFVGKQMHQYIVMGLYTPLITCVFGLYIWCAATDPADSGVFKSKKYLKIAYTENIDGLKDSKLGGESTSSMHDGNALAVGAKSVHKEALGTEGNLKDASNSIEKKSASSAFSSCFLILCSPCAYICSCSSSSEESSDKLASEDGMFYCSLCEVEVFKYSKHCRVCDKCVDHFDHHCRWLNNCIGKRNYRQFFTLMVAANLLLILQWSTGIIVLICCFVERKQFSVDISSKLGSSFSLAPFVIVVSVCTVLAMIATLPIAQLLFFHILLVKKGISTYDYIIAMREQEQDQVGVGGQQSPQMSTVSSLTGLSSVSSFNTFQRGAWCTPPRLFVEDQFDVVPPETSSVSSLGKKTLGNEPFKKKNHGAVKISPWALARLNAEEASKAAAEARKKSKILQPVIRHNEAYRLEPESSFGSSGRRMLPRIENNKRRSSNTANGFNEISSLAPLQHDTHNAFQTSQTMSSSAAIVASSPESSLDSPDIHPFRVTSSQLASLSASGVATLHGIPLSRSTSDGYEASGGEDSDRVPARIVERPMSWASLFGTDQDERVFQTNSSSSLVHNRKL >OIV94077 pep chromosome:LupAngTanjil_v1.0:LG17:13264577:13270805:-1 gene:TanjilG_05457 transcript:OIV94077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTTSLDLPIIDLSSPDRVSIANSIRQACTEYGFFYLVNHGVEKDSVQEVFDHSSNFFSLPLEHKMKLARKAYRGYSPLYAEKLDPTSHSKGDPKESYYIGPVKDTASVNLNQWPSQELLPNWRPTMESFYWKLFTAGKELLSLIARSLNLDEDYFKKIGAINEPAAFLRLLHYPGELSSSEEIYGASPHSDYGMVTLLVTDGVPGLQICRDKFKQPQVWEDVTHVEGAFIVNIGDMMERWTNSLYRSTLHRVMPTGKERYSAAFFLDPPSDCVVECFETCCSDSSPARFPPIRSGDYLNERFRLTYGSVADLKTPAI >OIV94215 pep chromosome:LupAngTanjil_v1.0:LG17:9849928:9850146:-1 gene:TanjilG_10643 transcript:OIV94215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKGQNKIRKWFDCGGWKIFADDNCLNIGDACVFELMEYSNQKIIFKVQILRGNITSKYHNGIRPDEPIVID >OIV94350 pep chromosome:LupAngTanjil_v1.0:LG17:6255968:6258374:1 gene:TanjilG_21690 transcript:OIV94350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMSYSPAARLFLFLVQWTDCNLAGALGLLRILIYKVYVDGSTTMSIQERRASIREFYAVIYPSLMQLQKGVTDTEDKNQNAVCMERYRRRDDEDYRQCSNKDIEREDECGICMEMNSKIVLPNCNHAMCLKCYREWRTRSQSCPFCRDSLKSVNSGDLWIFTDKRDVVDMATVTRENLKRLFMYIDKLPLTVPDSLFDTYDSHLR >OIV94630 pep chromosome:LupAngTanjil_v1.0:LG17:3004888:3006964:-1 gene:TanjilG_25854 transcript:OIV94630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAFTSQFDSRNRWNYDTLKNFRQISPVVQNHLKQVYFSLCCAVIAAAVGAYFHVLWNIGGFLTTVGCVGSMIWLLSTSPHEEQKRVSLLMLSSLFEGASIGPLIDMAIQIDPSLIFSALVATSLVFACFSGAALVAKRREYLYLGGLVSSGLSVLLWLHFASSIFGGSIALFKFELYFGLLVFAGYIIVDTQEIVEKAHFGDLDYVKHALTLFTDLAAIFVRILIIMMKNSGERTEKKKKRRD >OIV94341 pep chromosome:LupAngTanjil_v1.0:LG17:6100352:6102365:-1 gene:TanjilG_21681 transcript:OIV94341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSTDSSTCSQHPQLPPGFRFHPTDEELVVHYLKKKIASIPLPVSIIAEVDIYKFDPWELPSKAVFGEQEWYFFSPRDRKYPNGARPNRAATSGYWKATGTDKPIVTSDGHQKVGVKKALVFYGGKPPKGVKTNWIMHEYRVVVNNNNSLISSSNNPPPLPADPPLNNKKSLRLDDWVLCRIYKKNNNSTMPRPPLMEHDNELSMETMLPTISTLSMANYTNHNSKLLSSRNNGYAPLVLDQNDDNFFNGILAADQNMQNGLSSSPSKRFRGDLNGGSITIAEENNSFVSLLSQLPQNATFHSNAILGDGVMRQQFQLPGINWN >OIV94439 pep chromosome:LupAngTanjil_v1.0:LG17:4060318:4064999:-1 gene:TanjilG_25501 transcript:OIV94439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELFPAQPDLSLQISTPNTKPTSSWRRSTTTDEEVDLGFWKRALDSRNSLSSSMPKTNAISFDLSLSNPTRASSNTNTSNNLIHHFQNTNNANTNNNNNPFQSFHQNHYFHQQQQQHHPLLFQPQKQHQSLSQELGFLRPIRGIPVYQNPPPTMTFPQQYHNLLDTSSTTISPISNAATTSSPFHHSQVLMRSRFLSRFPAKRSMRAPRMRWTTTLHGRFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQMYRTVKTTDRAAVSSGQSDVYDNGSSGDNSDDLMFDINSSRRCDLSIKEGRPIVNQDKEFHGLWSNSSSNNEKYSLDVFGCNREAWLHGKPKGDCVRNVPCLEKDMDPNCFSYERISDGNSSSNLSGSSTKKLNLDLEFTLGQPL >OIV94050 pep chromosome:LupAngTanjil_v1.0:LG17:14708047:14711783:-1 gene:TanjilG_14297 transcript:OIV94050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKISSADGRTRSSLQIFIVVGLCCFFYILGAWQRSGFGKGDSIALQITKSSEECDIVPNLSFDTHHGGEVSNIDEAGSKPKVFKPCHTRYTDYTPCQDQRRAMAFPRENMNYRERHCPREEKKLHCLIPAPKGYVTPFPWPKSRDYVPYANAPYKSLTVEKAIQNWVQYEGNVFRFPGGGTQFPQGAEKYIDQLASVIPIADGTVRTLLDTGCGVASLGAYLWSRNVIAMSFAPRDSHEAQVQFALERGVPAVIGVLGTIKVPYPSRAFDMAHCSRCLIPWGANDGMYMMEVDRVLRPGGYWVLSGPPINWEVNYKAWQRPKEELEEEQRKIEEIAKQLCWEKRSQKAEIAIWQKTVDSEACRSRQDDSSVKFCESSDADDVWYKKMEDCITPSPKASAGNLKPFPSRLYAIPPRIASGSVPGVSSETYQDDNKKWKKHVAAYKKINRLLDSGRYRNIMDMNAGLGGFAAAIQSPKLWVMNVMPTISEKNTLGVVYERGLIGIYHDWCEAFSTYPRTYDLIHANSLFSLYKDKCDAEDILLEMDRILRPEGAVIIRDEVDVLIKVKKLVGGMRWDTKLVDHEDGPLVPEKILIAVKQYWVVDGNTTST >OIV93967 pep chromosome:LupAngTanjil_v1.0:LG17:19143320:19145939:-1 gene:TanjilG_05670 transcript:OIV93967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLKTLQSKACQASQCVAKYGTAYYKQLLEQNKQYIQEPATVEKCNLLSKQLLYTRLARLYFLESCIPVRKEAFSKELDYVKQLWKNKQELKVEDAGIAALFGLECFAWFCAGEIIGRGFTFTGYYAS >OIV93776 pep chromosome:LupAngTanjil_v1.0:LG17:21155825:21157210:1 gene:TanjilG_07679 transcript:OIV93776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYEKTLQVLMVSMPLQGHINPMLNLAKRLISNGVHVTIATTEDARHRMKQNLQNTEVQFEFFSDGLALDFDRSNTEALVNSLKLQGSKNFSTLLTNLTKVHNYSCVIINPFVPFAIDVIADHGIPCALLWIQASALYSIYYRYYNNIDYFPNLEDPNDKVHLPSLPVLDVRDLPSLMLPSSPRHFYNLLNDLFKSIDKVKTVLGTSFYEIEEDIVKSMFFITPIYPIGPLVSPFLLGEKETNNFKLDILSAEDSCIEWLDNKTPSSVIYVSFGSITVLSQKQMNNFASALMNSKKSFLWVIRSHGSNNSNSPDLPLEFLEETKGRGLVVKWSPQEKVLMHPAIACFISHCGWNSTIETLVTGVPVICYPDWTDQRTNAVLIENMFQNGVNLRCDEDRVASTEEIERCIRGVMEGPSALKIKKRAIEIKESARKSLQEGGTSHQNLDKFINDLKATNIVKA >OIV94790 pep chromosome:LupAngTanjil_v1.0:LG17:1716184:1717464:-1 gene:TanjilG_13003 transcript:OIV94790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITRSKLVEQLRDYQIRSQHKYTALTLFSPKPHLQTRVDVAVAIGFSLLLAMLVVSTLTTLYLRRFWLSLVLLCLNIFLLSRLRVSRQTLARKRERRLPLSI >OIV95002 pep chromosome:LupAngTanjil_v1.0:LG17:131028:136693:1 gene:TanjilG_22199 transcript:OIV95002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVANGRASENGKRDARKRLEGKEWTVGMVGCEREENLNRKRRREKKIEGAEKEGIGEEKEEEEEEEEEEEEIGMERNREGRRSMGSGDGLTRRRRRRRHTTSNTTDSPDEEDAETTRLTDRLHRFGRTKNTTTSTTQEQHEYTSDESFNDQPLQHHKSRMLPLNPIRKTFPSSSSSQLFRQQQPPPPPPPSWKPADEMIGVSVPRKARSASTKRSHDSTAGIPPDHFHRQPFTSSPSPASPSSSNLSLRKKIVKPSNNNNNGNKFKLLKSSSSKTSSPAQDQEEEIEIEIAQVLYGMMTQPQPSSLNHLHSAQPNNSESIQPQNSTSDIIPMSVVAPKRKKPRQVKYEEESQSPATFTVRSSPISSTSKAEVEHPSKVETCSQNFDENTGFVPENGAVSSDLASLEGQAESIKVESNVSSHSKMLTEESEKQDVELSNELVTPQSPNKESTKLDVDLELVKSTKSNLTLYECENQREQKFHIDLMSPPPLLRSSPERNLEINFVAGDPKPLVTDADMEVKPVLKEGEKSLRTNKEEVVGMEMDEAETQKPIITQKERGIDFQLDLEKGDKAEASGIDNIIINNQHENNIQKQQQQPNSGKNVVSGPVPLPLSVPNWSGGLPPMGYMTTLPGVVSVDGTTVTSAAIPQIAKMNPFWPAAAGSASLYGAKPCNLNVVTSAELHANVPSRAPNPTQDKDQGLVMFPGQMGKDKGSQPTIVDNSQQKQVLLQQALPPGTPSNILGPAFIFPLNQQHAAAAAAAAASVRPGSMKSFPVASSAVSSFTANSAPQNASGTGATAVPPMSFSYPNMAGNETQYLAILQNNAYPFPIPAHVGGPPAYRGTHAQALPFFNGSFYSSQMLHPSQIQQQPQLQQPQQGHHASISSGSSSSQKHVQNQQQIPNAIGSTGGVGASGGSMQGSPVTKNHSSKPLQLQQQQQQQQRQQNHHASHPAHQIETEMGSADTPSADSRQTRATMSIYGQNIAMPVQTPNFALMTPASITGTGPNGGHGEKKQAQEHPGPKGATETSQAFAMSFASINGATPVTGLDPSYIAQSHHSIMQNHHNYLIMAAAQAASAQQKKNYRIVEDGKNAVDSSNLDEDRKPVGGRIPATVGQSIAFSRPDASDPSITALAGNNVVDSSARTLSLGSGSINASVASSQQHRQRNQQQIIQKQNQFATAARSKTTSTSNGSVYSDHHPSTLSMNTKFPNAVSMFPQNLVQCSSTVVAQSPQRKNSARASVSQFPLSMASPSSSSVQTLPQHQARSQQTHSQISFSGNPKSTSAQVQPLSSTQSPSPPVIVGSPTTSSVSKNTGSPRTTSTSTDNKISQTSLASQQTKNAQAGPSGKSGRNMPSILGGPQLTTSSTGTNPQLPQQQQHQQISKQALPHAQLFMSNPYYIHSQVSQSNSPTSTTSAASGYYLQRRGHDQMQRQSSSGTNSNGAAGSNTKGSGLPTPGLPHPAQFASMSQAGNPHPYVVPAGFPYVHAVPAAVQVKPAEQKQPAAE >OIV94937 pep chromosome:LupAngTanjil_v1.0:LG17:567245:568835:1 gene:TanjilG_22134 transcript:OIV94937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPGDNIKAGFMLKLFTLRGLPWSPIKGGKGKVELTAAEVESLRSELADIEDREAQLKAKLLNIDEILRSARLSGYLYIRKRWAALPGETAPIDDTDVDDWLPRFLVLHGECLFLYLLCTDLSPQDSTLLSDIVEVDRLPSFKREDGEMWYAFYILTRHGLRYECSSSSKIQVNSWLLTLQNECKLEYSTSAPNDSTKI >OIV94884 pep chromosome:LupAngTanjil_v1.0:LG17:959117:960429:-1 gene:TanjilG_22081 transcript:OIV94884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSGQNMSVADELPSKVLNKDEGEKSHVICGDDHKRKIIFISSLSTDFLGALPPSSSEQSMPQVMNMVEGSPIEAAGVNAFDLNQKIEDGQDNEENPKMKVVAAEKENNKEVKASDSAAAEFEAEPVDASLIEKQNGGAVRIVRDIDLNELPPEFEEDD >OIV94479 pep chromosome:LupAngTanjil_v1.0:LG17:4616462:4618798:1 gene:TanjilG_25541 transcript:OIV94479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKSCTSVQDARFVLKLLRQLNQNHDGGLGFKLSLTSYNRLLMCLSNFVMIDELVVLYKEMVLDDEVLPNLITFNTMLNVYCKLGNMVVAENIFAKLFKGGFCPDTFTYTSLILGYCKNRALEKAYRVFRLIPEMGCQRNVVSYTNIIHGLCENRQLDEALKLFLQMKEDGCFPTVRTYTVVIDALCESGKETEALKFFEEMDQTGCEPNVYTYTVLIDYFCKEDRMDEAMKMLNRMLEKGMPPSVVPYNALIVGYCEPGKMDDAMGILDLMKSNKVCPSASTYNELICGFCQSKSMDRAMAMLNKMLQSKLSPNRITYNTLIYGLCKAGVVDSASRLYHLMIKDGFSPDCWTFSSFIDCLCRMGSVEKAHQIFESIKENYIEVNEVIYTALIDGYCKAGKTEDAHLLFKEMLAEKCLPNSITFNALIDGLRKEGKGQDAMSLVEDMVKFDVKPTVHTYTILIEEMLKQNDFDHANRILHQMISSGCQPNVVTYTAFVKAYCRQGRLQDAEDMVIKIKNEGILLDSLIYNLLINAYVCMGLLDSAFGVLKRMFDAGCEPSLQTYSILMKHLINEKHKEEGSNLVGVDLCSTNISANDADLWKIVDFEITNVLLEKMVEYGCVPNVNTYSKLIKGLCKVEQLDVAFRLLHHMGESGISPSENIHNSLLSGCCKLGMYEEAVRLLDSMMECSHLAHLKSYKLLICGLFENGNKEKAEAVFQKLLCCEYNYDEVAWKVLIDGLVRRGYIDQCSELLNLMVKRGCCLHSETYSMLMQELNEV >OIV94579 pep chromosome:LupAngTanjil_v1.0:LG17:5773914:5777444:1 gene:TanjilG_25641 transcript:OIV94579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMATTTREWNQQNTKKIPLFPLLSLLFFTSIFILLSQFRNTITTTPSSSHSPLHTFQSHHASCDYSNGKWIHHSAKTLRYDNTCKEIFKGWNCISGNKSNALELAMWRWKPNGCDLPQFDPIGFLHNYRDTSIGFVGDSLNRNMFVSLYCTLKSVSNGQVKKWRPAGADRGFTFLDYNLTIAYHRTNLLASYGRWSANDNGGALETLGFREGYRVDVDVPDKTWVQAPSYHDILILNTGHWWWAPAKFDPVKSPMLFFKKGEPVIPLLSPERGLDMVLEHMIPYVEERARPGAIKFFRTQSPRHFEGGDWDQGGSCQRHQPLLTEEVEELFSVKNNGTNVEVRLVNEHLYKALEGSGFIILDVTHLSEFRADAHPGKAGGKRHDDCMHWCLPGITDTWNDLFIEHLNNIKGRS >OIV94254 pep chromosome:LupAngTanjil_v1.0:LG17:7234084:7235581:-1 gene:TanjilG_00003 transcript:OIV94254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMDIDGWEILSDDSLLDFKEDDADENHIFSGKKNNESKSVFDNYFCTSPKSKKNTEASRNHSQRVPNQLFDVQMQLEPRILNTPDDVLVEENTKDHVGITMVPSTTTTEKRNVSHVGSVVEGDVDTVSQVFFKMNENEFVKKEMESPKSSSRVICPPLDPYCLKFEDKDEAMEIMTSPRMKVEKEMFSLECDKEEDGFNFWKWSLSGIGSVCTIGVAAAATICVLYYGSKHKNKLQQHHKIQFQIYTDDKRIKQVVQHATKLNEAISVAARGVPLSRAHITFGGYYDGL >OIV94192 pep chromosome:LupAngTanjil_v1.0:LG17:11053297:11053500:1 gene:TanjilG_13809 transcript:OIV94192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIPFLLHFPRSFKAGDKGRREKISRIWPSSSSIQRRQRGKPIDEGAVKWFTVVPDLGFLFPWWPPK >OIV94250 pep chromosome:LupAngTanjil_v1.0:LG17:9316484:9316963:1 gene:TanjilG_00101 transcript:OIV94250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMFPPVEIEKDKDVGGGHIQIQIQGVSQGVELMEECLLHWLPIRGLQYMDKGLYTFGSVWEWSNGLDCAPRICGGTGVKIDSRSFKT >OIV94607 pep chromosome:LupAngTanjil_v1.0:LG17:3179264:3179869:-1 gene:TanjilG_25831 transcript:OIV94607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASVGFSLLVLMNLVVYMASTAESAIPRKSTPNPANFIKSSCRATCYSTLCVQSLLAYANVIGHSEKQLAMTALSVSITRTRSCASFVKNIAKARGIKPREYRAVQDCIENMSDSISSLSQSVRELGKMSQMVGEDFAWHMSNVQTWVSAALTDDNTCLDGFDGSGMDGNVKAAIRNRVVNVAQLTSNGLALVNRFASRH >OIV94428 pep chromosome:LupAngTanjil_v1.0:LG17:3947561:3955490:-1 gene:TanjilG_25490 transcript:OIV94428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQNRGESWRELVKKMLPPGASVPDDSSNLDYSIALEYEGPRLPYEVPRVEPLDVNSRVIPTAEPLSESQRSVACMRDPMVIEPIPLPVSRIAGVTSSPNHSPRLSGSSESVVSVLQNHDLSSASASPASVHNPTSNPPRQETKRTSVVTFNTNVERSQTKEMEVVKPVYPEYVGVVKKKKTRVCYRCGKGKWETKESCFVCNAKYCSNCVLRAMGSMPEGRKCVTCIGQPIDESKRLKLGKHSRVLSRLLSPLEVKQIMKAEKECLANQLRPEQLIVNGFPLKADEMADLLGCPLPPRKLKPGRYWYDKESGLWGKEGEKPDRIISSNLSFTGKLSLDASNGNTEVYMNGREITKLELRVLKLANVQCPRDTHFWVYDDGRYEEEGQNNIRGNIWEKASTRIVCTLFSLPFPHGQPHGQRDEISNYTTVPNYLEQKKIQKLLLLGIQGSGTSTIFKQAKFMYGNTFTVEELQDVKLMIQSNVYKYLSILLDGRERFEEEAISRMHGQSSPGQTTETGSDVETSNNGECIYSLNPRLKHFSDWLLDIIATGDLDAFFPAATREYAPLVEEVWKDPAIQETFKRKDELHFLPDVAEYFLSRAKFMYGNTFTVEELQDVKLMIQSNVYKYLSILLDGRERFEEEAISRMHGQSSPGQTTETGSDVETSNNGECIYSLNPRLKHFSDWLLDIIATGDLDAFFPAATREYAPLVEEVWKDPAIQETFKRKDELHFLPDVAEYFLSRAVEISSNEYEPSERDIVYAEGVTQGNGLAFMEFSLDDRCPKFEFTDNLDAQPPQIKYQLIRVNAKGMNEGCKWVEMFEDVRAVIFCVSLSDFDQLWLAPDNSGSGTLLQNKMIQSKELFETMIKHPCFKDTPFVLILNKYDTFEEKISRVSLNACEWFHDFCPMRAHHNNQSLAHQAYFYVAMKFKELYASITGRKLFVSQAKARDRVTVDEAFKYIKEVLKWDEEKEENYYGPPEDSFYSTDISSSPYVRQE >OIV94656 pep chromosome:LupAngTanjil_v1.0:LG17:2827672:2832211:-1 gene:TanjilG_25880 transcript:OIV94656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCTANDLQGWKDFPKGLKVLLLDGDINSAAETKAKLEAMDYNISSFYDENEALSACSSRPEDFHVAIVEVSTSSSEGGFKFLEHAKDLPTIMTSNNHCLSTMMKCIALGAVEFLNKPLSEDNLRNIWQHVVHKAFNAGASVLPESPKPVRESVESMLIDVGNLSKLSYSDHEHSTGSDKYPAPSTPQLKQGERLLDGEDCQDQTNCSTEKESGEHDGDSKSVDTSCENLNTESMTKPIKPEKNLIKGEEDFADGCKGEIAVSLNPNNRKFLSKAGGNSTSSNKASVRDSCEIKANRKKMKVDWAPELHKKFVQAVEQLGVDQAIPSRILELMKVEGLTRHNVASHLQKYRIQKRQIVPRDEDRRWTNQRDQMQRSYCVQRPIMAYPPYHPNHTLSPPPAYPMWGQPGSETAGVRVWGPLGYPLCQPFPTVHADAWGFPVLPPPQAPFSYTHASIIHLNYITYAIFNMVGFHNAKAVDYRFDVPQNSFEHHPAEEEVVDKVVKEAMSKPWLPLPLGLKPPSADSVLAELSKQGISNITKDSSLS >OIV94258 pep chromosome:LupAngTanjil_v1.0:LG17:7434834:7435646:1 gene:TanjilG_00007 transcript:OIV94258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIQFQDPNLQHQKAGISVTNKKRGKFKGRSRNGNTNKFVGVRQRPSGRWVAEIKDTTQKIRMWLGTFETAEEAARAYDEAACLLRGSNTRTNFITHVSMDSPIALRIRNLLKDRKGVKQQPEDMVDASSTTRVSNASTSTHSSNNNTNDKNDDSLSSVTTTQNSTQLFDDAYKPDLNNCKVESESIPQSNPNFSWGFDNFPYAQMLDLAKIDGFSDTPDYELSEFERMRVERQISASLYAINGVHEYMEAVKDSNESLWDLPPLCSLFC >OIV93919 pep chromosome:LupAngTanjil_v1.0:LG17:17943457:17948447:1 gene:TanjilG_05622 transcript:OIV93919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLSPLPHPIWFITILSLSLFICGESLKVPFRINDVLPVLPPGISWPVLNNLHSAVDLLPYFVGSVTPNVNGSIQWKGACFYDNKAMLQFTPSADQSHLGGGVIFLKTEAAHSWTCMDLYVFATPYRVTWDYYFSSREHTLKFDSWEEKAELEYVEQHGVSVFLMPSGMLGTLLSLIDVLPLFSNTAWGQKANLDFLKKHMGATFDKRSQPWRATINPDDVHSGDFLAVSKIRGRWGGFESLEKWVTGSFAGHTAVCLKDEIGNLWVGESGHENEKGEEIIVVIPWEEWWELALKDSSNPQIALLPLHTEVRAKFNSTAAWEYARSMSGKPYGYHNMIFSWIDTVADNYPPPLDAHLVISVMSMWTRMQPAYSANMWNEALNKRLGTEDLDLHDIIIETEKRGIPFDELLTIPEQDEWEYSDGKSTTCVAFILSMYKEAGVFGPVSSSIQVTEFTIRDAYMLRIFEDNQTRLPRWCNNENDRLPFCQILGEYRMELPGYNTLESYANMNEYCPSLPPTYERPSRC >OIV93909 pep chromosome:LupAngTanjil_v1.0:LG17:17815718:17819060:1 gene:TanjilG_05612 transcript:OIV93909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNHLLLLSLTLLFLFFNLSVSAAPSTTSPARVVNGFLSNSVPAFVKWVWSLKAATKTAFSRRSMMKFESGYNVETVFDGSKLGVEPYAVEVLPNGELLILDSANSNVYRISSSLSSYSRPKLVAGSSGGYSGHVDGKVREARMNHPKGITVDDRGNIYVADTANMAIRKISDSGVTTIAGGKSSRGRGHVDGPSEEARFSDDFDVVYVGSSCSLLVIDRGSQAIREIHLRFDDCAYQYGSGFPLGIAMLVLAGFFGYMLALLQRRLGTLVTIQDAQTEVPVMSNFSPSLHQKPLQSVRPTLIQSESEPDKLEDFFRSSGKFIANFGESMVEIMGGLLPGFRKTPQNYLYEREPLLQQPQKQQVNAWPVQDSFVIPDEDEPPSIDERTPTPRKTYAFMSKDAEKMQQLRQSKAFYSGWDGDLQQKQQQQKYNHRHEYRSSIPHTYYEQSHETNNEIIFGAVQEQDAKQKSVVIKPVEYGASMYEHCNIRSRMSSMDYNYKY >OIV94869 pep chromosome:LupAngTanjil_v1.0:LG17:1071368:1072482:-1 gene:TanjilG_22066 transcript:OIV94869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCNAIISEIEQEKLLEKLQVFKIKGKDKRCRKILRIIGKFFPARLVNVDVMNKYLEERVFPKLGKRKFCVLYVHTGVNRTENFPGISALRSIYDSIPTNVKENLEAVYFIHSGLQARLFLATFGRFLFSSGLYGKLRYISRVDYLWEHVKRKEVEIPEFVFDHDDDLENRPMMDYGLESDHARVDAGPPTFDSPISTYSMRCIS >OIV94586 pep chromosome:LupAngTanjil_v1.0:LG17:5808366:5813206:1 gene:TanjilG_25648 transcript:OIV94586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEKIGLPPKPSVRGNNWVADASHCQGCSCQFTFINRKHHCRRCGGLFCNSCTQQRMVLRGQGDSPVRICEPCKKLEEAARFELRHGRRAGRGSLKSTPRDEDEILNQILGQNREETSENVLRGEGQVGIASSSSTKEHSNSGDVSNDKPNILGIDLGSITPDELRQQALEEKKKYKLLKGDKKSEEALKAFKRGKELERQADALEIQLRKARKKTVSVESVTKTKSLPHMGKEKDDLTSELRELGWSDVDLHTEDKRSASLSLEGELSLIIGKISPKTGEEKKGSRIDKSGVVAMKKKALMLKHEGKLAEAKEELKRAKILEKQLEEQEILAEAEDSDDELSAVIHGMDDDVKGEFPDLHVQEHGHDFGQLLGIDDLDGNFEVTDEDMMDPDLAAALESLGWTEPENTFSKSETFDKEALLGEIQSLKREALNQKRAGNTEEAMTFLKKAKLLERSLNSPGSEIVGNGSDSFHLDERNTNATSNAASTVAPKSRLMIQRELLSLKKKALTLRREGKLNEAEEEMRKGAALEHQLMDMDKASNLKASKINSTENVPHAANKHSDIHRNLPLEEGSEDDVTDQDMSDPAYLSLLRDLGWNDDNNELSNSPSKPLKKDDNDFVATNVASLNKNSTKVFVGAPRRSKVEIQRELLGLKRKALALRREGKDEDAEEVLKKAKDLEAKMAEMEAPKNESRVDATMMKDKLFNPLVETAIDEERDGVVSEEDMHDPALNSMLVNLGWKNDELETATTKEEPVKEATSRFTRTEDISAFDSSSSIPATASRSKGEIQRELLVLKRKALGHRRKGEIEEAEEVLRMAKSLEAQMEDFGSQNKELLLDVSKEEKSVLPGSYDFLERHGSQGVAVEVDKGSASSVVVSSKHVPELEIPATFQLTDNKHSLSAEVSASSENIAKKMKSPKTTGPSNSAGYSMHMQDLLTGDGYSSYEVSTQEQKEHKLSSANSSQAGPPIHLDSSVNLSHDQGSKSNVTTQRGTELAGDNQKPNITESNAVEDPASQYNLSLRQQILARKRKAVALKREGKLIEAREELRQAKLLEKNLEDGSMQPNTALTHNVSDASLVQKKQDSPNVTAKPLTSRDRFKLQQESLGHKRQALKLRREGRIEEAEAESERAKAIEIQLEESTAHDAGKSDAVDDVTVEDFLDPQLLSALKAAGIEGASVASRAPDRAEPLKPNVARIENSNQERNQLEERIKEEKLKAVTLKRSGKQAEALDALRRAKLYEKKLNSITSG >OIV94766 pep chromosome:LupAngTanjil_v1.0:LG17:1887819:1895789:1 gene:TanjilG_12979 transcript:OIV94766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIATPPPPPLPSIILSHSKTQPSESCSNSMSQDYQQGIFTFSNGFERTTVTHQDQQQENQIRRDKVRLQQGFQPPPPQPPPPLVGIEEEQGGIPVYEPAGMLSEMFNFPHAGDTAAELLEQQQQMTATFRSSGPQPMTSGSEWYGRRQGIITGLGPLGDSTKNHHHQNDNNYNHHHHHSQISSINAADSAAAHQAMQLFLMNPQTRSPSPPPPPPPNSSSSTLHMLLPNPSSNNPLQGFATSSTAGGSYGQFTWVPDHSTEGGGNTGGVVEGQGLSLSLSSSLQHLEAAKAEEFRMGESGFLYYNQGPEGASSSHYPYRNLGNSHHQQQQALHLQGAMGHQVHHVGFGSSSSSSLSAVNVLRNSKYVRAAQELLEEFCSVGRGQFKKNKFNRQNSNPNNSNPGGDGGGPSNSSSSKDHPPPPTILSAADRIEHQRRKVKLLSMLDEASVPYTALAQKAMSRHFRCLKDAITAQVKHSCEVLGEKDGAGSSGLTKGETPRLKMLEQSLRQQRAFHQMGMMEQDAWRPQRGLPERSVNILRAWLFEHFLHPYPSDADKHLLARQTGLSRNQVSNWFINARVRLWKPMVEDMYQQEFKEVEGGSEEKENRNESNNSNSGQLAQTPITAATTSTATTALPPPTITTTLTTGKRSDINAHDNDPSLVTINRQGFSENQASTTNTTTIINATLSEVAPPVSQSLDSDLTPHISMATDDTCHHGNAVTGADYGTQVSAGGADIGSTLIRFGTTAGDVSLTLGLRHAGNMPEKTPFSLRDFGGI >OIV93918 pep chromosome:LupAngTanjil_v1.0:LG17:17920341:17921415:-1 gene:TanjilG_05621 transcript:OIV93918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKSMALKLFLVMAVFLLCMAKVVKGPNRRALPFVGDCGASCRVRCSLHSRPNRCKRACGTCCSRCKCVPPGTSGNREICGSCYTDMLTHGNRLKCP >OIV94367 pep chromosome:LupAngTanjil_v1.0:LG17:3351354:3357008:1 gene:TanjilG_25429 transcript:OIV94367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESSSSPFNMDHHNHDQQQKYEEPQHQQEETVKDFIHKTKTIQFLGRTTPIVLQNDNGPCPLLAICNILLLRNNLNLSPDIPEVSQEKLLSLVAERLIDSNANVNNKDAGYVENQQQNIADAIDLLPRLATGIDVNIKFRRIDDFEFTRECAIFDLLDIPLYHGWIVDPQDYDTTNAIGSKSYNALMEELVSLETLNMEVQHKSNLEEDSVDFVAATTAALGIPSPSLSKAKSFDDSPDSVSDEIARKGDREEEEELLRVLKLSENDSKVSVSDPIVGHANAGAIFVSMDDSMCNKQVIPVDSGDRLEKSTGAGKNDFPEVAETSISDDYTVSVKNRDDQTSSASIMGEAVNSSLKTDAINDHQSAHMGPEESIGQNDVTENVRLDALVQNESAVILSPGKHSVSLSESCADVSGRAGKIQDQPTLTTTDHEVIDGSQGSISNTNSDLSGVRFPQTDVSSALPSSIDGSEPIYEGEERVLDTRAQNVEVCEPVYEGEVVLAEQAEKSTLAAPDLRAKDEITPQQGELIKTFLRNNASQLTFYGLFCLQDGLKEREICVFFRNNHFSTMFKFEGELYLLATDQGYINQPDLVWEKLNEVNGDTLFMTSNFKEFKVEESRESSTWDENNAMTSTTDYLASIDSASQAGLDINSDLQLAIALQQQEFEQQPPPRHTLQQSSISGGSRLVTGPQVAGNTGRHSSSSPKPEGKSKEKCTVM >OIV93929 pep chromosome:LupAngTanjil_v1.0:LG17:18115944:18123611:1 gene:TanjilG_05632 transcript:OIV93929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKASKWFRALLGLKKTESSQSSSFSPKNKRRWSFLQPSSTQNDNDTLTSPELPLHHNNHAVAVAAATAAVAEAAVAAAQAAAAVVRLTSSSRCTGSIGIREEWAAVKIQSAFRGCLARKALRALKGLVKLQALVRGHIERKQQAKMRVKRMQAHLQAQARFCAGRAQIMQTPFHSTSISSTCLLHGPGTPDKFETTIRSKSMKYEQSPVLKRNGSRSCGSRSESIVDEQSWSQGRSFIRASCKSEEKSDRILEIDYGKPQLASKRRSLFFTRDSTSLQSGHTSSCEVEETPFCTASNSPQYLSATSKDDGSKRTHFTPTTRSDGSRSYLCGYSDYPSYMAYTESSKAKVRSLSAPKQRPQYDRSSSSNRYSLNGFGDTRLATQKVSALHTGFTNKAYPGSGRLDKLGMPVGYRY >OIV94363 pep chromosome:LupAngTanjil_v1.0:LG17:3330888:3332571:1 gene:TanjilG_25425 transcript:OIV94363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTFMGEPAAAVLVFQPSPWHLAHATFYGDETASATMGGACGYGNLFQNGYGTDTVALSSTLFNNGYSCGTCFQIKCYQSSACYGNVPYVTVTATNLCPPNWSEASDNGGWCNPPRAHFDMSKPVFMKFAQWKAGIVPVMYRRVPCGRKGGIRFSFQGNGYWLLVYVMNVGGGGDISSIAVKGSRTGWISMSHNWGASYQAFSTLGGQTISFRITSYTNKQTIYAWNVAPSNWNVGLTYTANVNFH >OIV94572 pep chromosome:LupAngTanjil_v1.0:LG17:5709273:5711430:1 gene:TanjilG_25634 transcript:OIV94572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAGGSSATTTLPTPPSSSASFRRSYQLRIFSQPSLKCAPLSATLPSLNPRSEVVSDNSVQKNNIAFNASPLQLLKTSAPNRYTKERSSIIAIGLNVHTAPIDIREKLAIPEVRWPQVITELCALNHIEEAAVLSTCNRVEIYVLALSQHRGVKEVNEWLSKASGVKIAELCKHQVLLYNKDATQHLFEVAAGLDSLVLGEGQILSQVKQVVKSGEGVPGFDGKISGMFKQAISAGKRVRSETNICSGSVSVSSAAVELGLRKLSDSSYANARVLVVGAGKMGKLVIKHLVAKGCRKMVVVNRTEEKVNAIQQELKDVDIVFRPLSEMITCAAAADIVFTSTASKSPLFSKQDVQMLPLVRQGDRRRLFVDISIPRNVEPGVSDLETAFVYNVDDLEEVVAANKEARLQKAAEARAIIHEELTKFEAWKDSLETVPTIKKFRAYVERIRASEVEKCFSKMGNDISKEHKEAIYALSMSIVNKILHGPMQHLRCDGNGNHCLSDVLENIRAINRMYDLETETSMIEEKIRVKMGRTKK >OIV94490 pep chromosome:LupAngTanjil_v1.0:LG17:4730295:4735191:-1 gene:TanjilG_25552 transcript:OIV94490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQPTPKPEHKSNSTDSSHAPNRDSNNTEALGNVSPVLDSEPSSERLTERAASTRSRKKSVHWSPDLVTEPAFDSTPKESQSNPEIYSSLSSSPSSLSSSDSSSSVSEKVDIVKNVLGRWGKKLGEATRKAETLAGNTWQHLKTNPSFAEAAVGRIVQGTKVLAEGGYDKIFLRTFDIVAGEHLQNYFACYLSTSAGPVMGVVYISSAKLAYCSDNPLSYKSDNQTEWSYYKIAIPLHQLKAVNPSSNKANPAEKYIQVISADNLEFWFMGFLNYESAVECLQKAFEANKLQS >OIV94588 pep chromosome:LupAngTanjil_v1.0:LG17:5833256:5834401:-1 gene:TanjilG_25650 transcript:OIV94588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRVLHRNLSTLAQSTTTTTTVTNIKSISQDLFKEQNFKRLVDKFKKASDIDRFRTKHGIYNDTVRRLAAAKYFNWVEDILEHQKRYSDISKEGFNTRLITLYGKSGMHVNARKVFDEMPERNCTRTVLSFNALLAAYLHSNEFELVQRVFNELPKDLSIEPDLVSYNTVLKALCELGSFDSALSLIGEIEKKELKPDLITFNTILDKLYSKGRFEDGEKIWSQMGVKNVEPNIRSYNARLLGLTLEKKTNEAIEFFEEIKKRGVKPDIFSINALIKGFVSEGNLDEAKKWYSEIANSSYDPDKTTFATLVPFLCEKGELKTAIEVCKEIFNIRCFVDASLLQLVVDKLVSEAMISEAKEIVELGKTNRYCRYKLTLPADE >OIV94468 pep chromosome:LupAngTanjil_v1.0:LG17:4434235:4439625:-1 gene:TanjilG_25530 transcript:OIV94468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAMALRRLSSSMDKPLRPLFNAGSVYNKSSLPDEAVYDKEKRVSWPKQLNAPLEAVDPEIADIIELEKARQWKGLELIPSENFTSLSVMQAVGSVMTNKYSEGYPGARYYGGNEYIDMAETLCQKRALEAFRLDPAKWGVNVQPLSGSPANFHVYTALLKPHDRIMALDLPHGGHLSHGYQTDTKKISAVSIFFETMPYRLDESTGYIDYDQLERSATLFRPKLIVAGASAYARLYDYERIRKVCDKQKAVLLADMAHISGLVAADVIPSPFDYADVVTTTTHKSLRGPRGAMIFFRKGVKEINKQGKEVLYDYEDKINQAVFPGLQGGPHNHTITGLAVALKQATTPEYRAYQEQVLSNSSKFAQALTEKGYELVSGGTDNHLVLVNLKNKGIDGSRVEKVLEAVHIAANKNTVPGDVSAMVPGGIRMGTPALTSRGFVEEDFVKVAEFFDASVNIAVKIKAESKGAKLKDFLATIQSSSYFQAEIGKLRHDVEEYAKQFPTVGFEKATMKYNK >OIV93900 pep chromosome:LupAngTanjil_v1.0:LG17:17679558:17682155:1 gene:TanjilG_05603 transcript:OIV93900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEMEIVEWLRPLVHTKAWDFIVVWKYGNDPTRYIEWMGCCCSGSCSEENIEQNVIKLKEEEMDDDDDDDQCYLASNSICRDTHFHHPIRTKACEALAKVPFAFSLFSGVHGEIAISQQPKWLTKDQDGSVGTQVLIPIVGGLIELFTAKLIPKDMSIIEYISAHCCLSLKQETTTAAGYASLNFIDHLPGAKCSSHLSIEGTSSGSNPSNEHLSFDSKTQHEYLKQLVKISPTPKVKRPKYNEAEGKQRKGLSSHCGTMEEDKAKLARQPRSETFIAKNLATERKRRNKIKNGLFTLRSLVPKITKMDRVSILSDAIDYIKELQHEVKELKDEVMALEVEECERNKPHLKMSNEKEHGGTRHAPLTELNQSSFECNKKRQMKVQVEVCHIGRTDFLIKLYCEKKQGGFSRLMEAIHSFGLQVVSANVTTLDGKVMNIVTVKATKQDIHPATLKEYLIKNAGE >OIV94907 pep chromosome:LupAngTanjil_v1.0:LG17:788907:789329:1 gene:TanjilG_22104 transcript:OIV94907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREILHIQAGQCGNQIGGKFWEVVCDEHGIDQSGNYVGNSHLQLERVNVYYNEASGGRYVPRAVLMDLEPGTMDSLRSGPYGKIFRPDNFVFGQNGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGKLVLCSIH >OIV93987 pep chromosome:LupAngTanjil_v1.0:LG17:19668321:19673298:-1 gene:TanjilG_05690 transcript:OIV93987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLETVKYLMGWRGPSGFGSKSTAEQVTEGCADLSSVTAIITGATSGIGAETARVLAKRGARLVLPARNLKAAEDTKARIISECPDSHIIIMPLDLSSLNSVNNFVNHFHSRDLPLNLLINNAGKFAHEHAVSEDGIEMTFATNYLGHFLLTKLLLERMVETAKKTGIQGRIVNVTSGIHGWFSGDMMSYLSLISSNKSDYDATRAYALSKLANVFHTSELARRLQQMEANVTVNCVHPGVVRTRLTREREGLLTGYLVFFLGSKLLKTIPQAAATTCYVATHPKLLNVSSKFFCDCNEGYTSKMGCNSTEEAGLWAASELIISRGPKAAFDLIMNP >OIV94914 pep chromosome:LupAngTanjil_v1.0:LG17:751915:754899:-1 gene:TanjilG_22111 transcript:OIV94914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTKEESSMFGSRTAMTSRNMSSSSTSFFSANQSPFFSPRSPSCHLSEPPRPDLLSDRIYLDAATPSTSSRIPEPKSLVNVRHTYSDVSASPMGCTSGDLQKLDCISSSAGISSSSVSSYLHCGDAGICGEIEKESKRDRSNRTSSTPSSTYIQRNSDVFIGLHSSKPHLLRFAKWLRAELEIHGISCFLSDRARCRSSRKLEIAERAMEAAVFGIVVITRKCFKNPYTVEELQFFSDNQNLVPIYIDLRPGDCVVRDIIEKRGEVWEKHGGELWLSYEGLEQEWKDAVHSLSRVDEWKLEAQDGNWRDCIYSAVTLLATGLGRRSVVERLTKWKDKVEKEEFPFTRNENFIGRKKELSELEFILFGNVTGDAEQDYIELKARSKRKKLAIGWGKSNMIDERQGERLMGNRTRKEKEAVLWKESKKEIEMDGIEFSHQDYRSNHKHGKYTRRKIGRKILYGKGIACVSGDSGIGKTELILEFAYRFHQSYKMVLWIGGESRYIKQNYLNLRSFLEVDVGVENTLERSRVKGFEEQEAVAVSRVRKELMRNIPYLVVIDNLESEKDWWDHKLVMDLLPRFGGETHVIISTRLPSVMSLEPLKLSYLSGVEAMSLMIGTDKDYTLTEVDALRIIEEKVGRLTLGLAIISAILSELPITPSRLLDTINRMPLQAMSWSGKASHSLRKNTFLLQLFEVCFSIFDHADGPRNLATRMVLVSGWFAPGAIPASLLALAASKIPEKSHGNSLWTKILQSLTCGLASPYTQKSEIEASSLLLRFNIARSGTHQGYIQFNELIKLYARKREVNGAAHAMIHAVINQVTISQNLEHLWAACFLLFGFGHDPVAVELKVPELLYFAKRVVLPLAIHTFITYSRCAAALELLRLCTNALEAADQTFVTPVDKCFDKSLCWRSIRTNAQLNPFLWQELALCRATVLETRAKLMLRGAQFDIGDDLIRKAVFIRTSICGEDHPDTVSARETLRKLTRLIANVQSHAST >OIV93814 pep chromosome:LupAngTanjil_v1.0:LG17:20599190:20602108:1 gene:TanjilG_03777 transcript:OIV93814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHKDGKPITQPDKNRIVPMAIIFVVLCGFSFYMGGIFCSEKSRFAFIKSHESIEPPKGSSVASLQVKSTSFPECSSDYQDYTPCTDPRRWRKYGSYRLTLLERHCPPIFERKECLVPPPDGYKPPIRWPKSRDECWYRNVPYDWINKQKSNQHWLKKEGQKFLFPGGGTMFPNGVGEYVDLMRDLIPEMKDGSIRTAIDTGCGVASWGGDLLDRGILTVSLAPRDNHEAQVQFALERGIPAILGVISTQRLPFPSNSFDMAHCSRCLIPWTEFGGVYLLEIHRILRPGGFWVLSGPPINYERRWRGWNTTIEEQRSDYEKLQDLLTSLCFKMYNKKDDIAVWQKSPDNNCYDKLARDTYPPKCDDSLEPDSAWYTPLRSCIVVPDPKFKKSGLTSISKWPDRLHATPERISMFHGGSASSFKRDDSKWKKHVAHYKKLIPDLGTNKIRNVMDMNTVYGGLAAALTNDPVWVMNVVSSYATNTLPVVYDRGLIGTFHDWCEPFSTYPRTYDLLHLDGLFAAESHRCEMKYVLLEMDRILRPTGYAIIHESSYFVDATAAIAKGMRWGCRKEKAVDGNETEKILICQKKLWYSSNKSSR >OIV94746 pep chromosome:LupAngTanjil_v1.0:LG17:2050607:2051629:1 gene:TanjilG_12959 transcript:OIV94746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPELLHGASFIAEERKISINGGGGGGGVVLPSTVPSSTFHSSSQSHSDSTTNVTATTNVGSSENQNLRCPRCDSPNTKFCYYNNYNLTQPRHFCKTCRRYWTKGGALRNVPIGGGCRKSKNVVVSNSASKAATTKMKTVASELGRSGVGPAGFVHEFMTSPIQWGSPHNTHISALLKANTQNQNPIPNPSSVSFNDDGVKEEGNFTGSQPHVMAEPFIANLNPRTLGYDSVGQVPSMGFGSTFWRSNNQNQPQQHNSGFVLGEHQNMSGIQELYHKIRSSSSGNYCSDMSSPMFVGNMASSSSSLSYILEPPSVAGSELGSWNPTFSWSDLPTSNGAYP >OIV93883 pep chromosome:LupAngTanjil_v1.0:LG17:17267922:17272115:-1 gene:TanjilG_05586 transcript:OIV93883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHMEVPMEEKPFPAWSWSVEQCLKEYAVEVDKGLSTYEVEKLRCEKYGWNELAKEKGKPLWQLVLEQFDDMLVKILLLAAFISFVLAYFDGSESGFEAYVEPLVILLILVLNAIVGVWQENNAEKALEALKELQCESAKVLRDGNLVPDLPARELVPGDVVELHVGDKVPADMRVAALKTSTLRVEQSSLTGEAMPVLKGTNPIFLDDCELQAKENMVFSGTTVVNGSCVCIVITTGMNTEIGKIQKQIHEASLEDNDTPLKKKLDEFGGRLTTAIGVVCLIVWVINYKNFLSWDIVDGWPSNIQFSFGKCTYYFKIAVALAVAAIPEGLPAVITTCLALGTRKMAQKNAIVRKLPSVETLGCTTVICSDKTGTLTTNQMSVTEFFTLGGKTNSSRVISVEGTTYNPNDGGIVDWTCYNMDVNLVAMAEICAVCNDAGIYFDGRLFRATGLPTEAALKVLVEKMGVPDMKSRNKIRDTQLAAKMIGCNTLKLGCCEWWNKRSKKIATLEFDRIRKSMSVIVREPDGQNRLLVKGAVESLLERSSYVQLADGSLVPTDEQCRELLLQRLLEMSSKGLRCLGMAYKDDLGEFSDYYADTHPAHKKLLDPACYSLIESGLVFVGVVGIRDPPRQEVHEAIEDCKKAGIRVMVITGDNRSTAEAICREIKLFSKDEDLTLKSLTGKEFTSLSHSEKVQILSRPGGKVFSRAEPRHKQDIVRLLKELGEIVAMTGDGVNDAPALKLADIGIAMGITGTEVAKEASDMVLADDNFSTIVSAIAEVLNIDTIFRYMISSNVGEVISIFLTAALGIPECMIPVQLLWVNLVTDGPPATALGFNPADVDIMQKPPRKSDDALISSWVLLRYLIIGSYVGIATVGIFVLWYTQASFLGINLVSDGHTIIELSQLRNWGECHSWSNFTAVPYTVGNGRVITFSNPCDYFSVGKVKAMTLSLSVLVAIEMFNSLNALSEDNSLRTLPPWRNPWLLLAMSMSLGLHCVILYIPLLNDVFGVVPLSLNEWFIVILISSPVILIDEILKFVVRSQRKMRKEKAA >OIV94396 pep chromosome:LupAngTanjil_v1.0:LG17:3611116:3625885:1 gene:TanjilG_25458 transcript:OIV94396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQTTEGATNFVEAVAFSFLTHQELKRSSCVTIKNPILLDGLDRPVPEGLYDPALGPLDEKSPCKTCGQASKHCPGHFGHIELILPAFNPLMFNILKNLLQITCFSCHRFKASRPEVDLCTSQLELIIKGDIIRAKNLGSINPEEFVRPGDDDGSQCCSAEQMGEKWTSLQFSEAKSVLRKFLMKKYRKCQNCKFINPKITTPTYGWFHKNVISAAHTRSNIINAQDAFMSSEAINDDISFENGDTTDVEDIALDGTGKSASKKHNLSHMLAKLDERSGSLLPSKVKSIFKHLWENEARLCSYISDIQGQGVGKNTGPSMFFLENIFVPPIKFRPPTKGGDNVMEHPQTVLLTKVLESNESLRDAWRAYLDVSGSSKVVSSWWMELQKSVNILFDNKTSGQKEAATGICQLLEKKEGIFRQKMMGKRVNFACRSVISPDPYLAVNEIGIPPYFALRLTYPERVTPWNVAMLRDAILNGPESHPGATHYADKVSIVKLPPKGKMLSLTSRKLPSSRGVILQHGKISDQEFEGKVVYRHLKDGDIVLVNRQPTLHKPSIMAHVVRVLKGEKTVRMHYANCSTYNADFDGDEINVHFPQDEISRAEAYNIVNANNQYVKPTSGDPIRALIQDHIVSAALLTKKDTFLRFEEFNQLLYSSGVSMTGMGSFCGKSGKKVFMLSTESEMLMFPPAIWKPEPLWTGKQVISALLYYITKGSPPFTVEKNAKIPSNFFKTRINEGIRCSEDASRKKDQPDEDKLLIYRNDLVRGVVDKAQFGDYGMVHTVQELYGSNIAGNLLSALSRLFTNFLQMHGFTCGVDDLLITEEKDGERMAQLESCEEIGDIVHREFVGVMDDARLDPITLQLNIEKKIRSNGEAALTYLDRKMISNLNTRTSSGILKELLSEGILKSSGKNWISLMTTSGAKGSMVNFQQISSHLGQQELEGKRVPRMVSGKTLPCFTPWDCSPRAGGFIIDRFLTALRPQEYYFHCMAGREGLVDTAVKTSRSGYLQRCLMKNLECLKVSYDHTVRDSDGSVIQFHYGEDGVDVHQTSFITKFEALSTNKELVYSNFCRQLDKSSPYINKLPDALERKAKKFIREKQRNTGSIKRSDFLKLMEHKYISSLAQPGEPVGVLASQSVGEPATQMTLNTFHLAGRGEMNVTLGIPRLQEILMAAAKDIKTPFMSCPLTLIPEKSMEDANRLADKLKKITVADIIENMEVSVVPVAVHDGQICSIYKLEMKLYKPKHYPKYTDITIADWEETLNFFFVRELEDAIENHMSLLSKISGIQNFKSDPQSSSTNGSEDVDSNGLESEKKGRNNDDDDEDGGGEDAEGAEDLGSDAQKRKQQGTDEVDYEDGAEEDTHDGELSEDFEDDEGGGNVKVNEDGGHVIVNENESDTEFSEGGENVPNDANNSHAHEIKSKPKSTDKKDNMESGKKKSESTTKKYDRRIFVEAKGMNFKIHFKFINEPHILLAQIAQRTAKKVCIQKFGKVGECKAITCKESGVIYNGEDEGKKDAEKIPALQTSGIHFQTFWELQDDLDVNYVYSNNVFEMLNAYGVEAARETIIREVQNVFKSYGISVNIRHLTLIADFMTHSGGYRPMSRMGSIADSTSPFIKMCFETASKFIVEAAYHGQVDNLETPSARVCLGLPVKMGTGCHELIQKVEI >OIV94088 pep chromosome:LupAngTanjil_v1.0:LG17:13572422:13575511:1 gene:TanjilG_05468 transcript:OIV94088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVASLSNGHSEEKFGKPLMENGDCNFLVLPEYKRRKVSVIRDFPEGCGLFGSKIHPKPIFQTSDLSLMKEDPVVSSHMHAPPPLANNEPANEQLVGMEAALEDTELTRTPKAVKCSSISMSNLPPTVDEVAFFGGSKFLLSDCNMSWSSSCLKKAVTTRSFPRRKVSAVRDFPPLCGKNASHLRFDSECLNGISSLENKSNGLQNMVVDDKSLEKVTATDAKEVMGIVQAENQGDDATTNVKTLVVFEPSSTMQLPPESVRERCITIFEEGNHHQVEINSKAEDIDGNGDAVQFEGTSGKEIIVPPECQSHETKPSEMPNCRHEPKGGFDGLQVHHMVEINSKAEDIDGNGDAVQFEGTSGKEIIVPPEFQSHETKPSEMPNCCHEPKGGFDGLQVVPTKTLVLGLVAESKYPWRHGKDSSKLDLIDGTNQSKRKKVGLFAQHDMSKADMRKESPNHAGKKPLQKKKQNAASEGSGQLVIWENNNSHYSSEEIGEFRVNEKSHGSSVQVPSISHHNNDSNVTRNKVRETLRYFHAVYRKLLHEDESRIKRQTDGPRIFHTHAAKILKEQRKYINTGKQILGSVPGVEVGDEFQFRLELNIVGLHRQVQGGIDYVNHDGKILATSVVASGGHADELDNPHVLIYTGQGGNLVNSDKEPEDQKLTRGNLALKNSSEEKNPVRVIRGSESTDGKLRRYVYDGQYVVDRYWQDMGPHGKLIFRFLLHRIPGQPELAWKEVEKSKKMKLREGLRINDISHGKERIPICAVNTIDNEKPPPFKYITSMIYPNWCNPIPPEGCDCIDGCSDKEKCSCAAKNGGDIPFNHNGAIVEAKSLVYECGPSCKCTSCYNRVSQRGIKLQLEIFKTEKRGWGVRSINFIPSGTFICEYTGELLEEKDVEQRTGTDEYLFDIGNNYNNHTSSDEPLTVIQDTQSISCEVVEGNSFTIDAAEYGNLGRFINHSCSPNLYAQNVLYDHDDKRIPHIMLFAADNIPPFQELTYDYNYKIDQVFDSDGKIKRKNCYCGSVECTGRMY >OIV94691 pep chromosome:LupAngTanjil_v1.0:LG17:2525040:2528509:1 gene:TanjilG_25915 transcript:OIV94691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEMSTVQPPRSFRLLQNVECEPLAVEKLGFVKLCGINAVELDGYRKSLGLYGYNAIVKSKLLKPIKAKEDHGASLIHDSSHRCQGISSHKDPDSVSFSGKNLSQMSNSENSLNILWHESPIQKLDRQEILQQKGCVIWLTGLSGSGKSTLACALSRSLHSRGKLTYILDGDNIRHGLNRDLSFSKEDRSENIRRIGEVAKLLADAGVICITSSISPYRKDRDACRALLPKGDFIEVFIDVPLDVCEARDPKGLYKLARAGKVKGFTGIDDPYEPPCSCEIVLQQKGSDSMSPSDTAEILISYLEKNGYLRA >OIV94346 pep chromosome:LupAngTanjil_v1.0:LG17:6191905:6196247:-1 gene:TanjilG_21686 transcript:OIV94346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPCDSDGICMFCKTKPTILESLICKTCITPWHVPCLPVNDRPVNMADAEKWVCPDCTIMSIEPVTRCNHGGGGSIVAAVRVIQLDARLSDEEKDKKRQELLIGSLKVTDGNCAEGDVMNSVLDVFDGSECSICMQLPERPVTTPCGHNFCLRCFEKWISQGSGKCANCRCLLPPKMAKNPRINSQLALAIRMAKMARSGCDVPGGPPKIYQFIHNQDRPDSAFTTERAKKPGLANACSGKILVTVPQDHLGPIPAENDPTRNRGVLVGDTWTSRMACRQWGAHFPHISGICGQSTFGAQSVALSGGYVDDEDHGEWFLYTGSGGRDLSGNKRTNKNQSFDQKFENMNEALRLSCLKGYPVRVVRSSKEKRSAYAPDEGVRYDGIYRIEKCWRKVGKQGCKVCRYLFVRCDNEPAPWTSNDCGDRPRPLPKIEELKGAVDLTERKEAPSWDYDEEKGCWLWKRPPPESRKLAGTVSPVNGKTGKVKRKVKTASVKEKLLKEFACQICRKVLANPLTTPCAHNFCKACLEGVFSGQSFVRERACQSGRSLRTQKNIMKCPSCQNDIAEFLQNPQINRPMMGIIEALQREAEQMEENSSESSEKNDENEKNVSEVSEQCDSSEEVLEEIKDNNMNRTKKLRKCAKGAVVENKEEHNDEASDVKGNEGRGKRDLASSNMHVMV >OIV94406 pep chromosome:LupAngTanjil_v1.0:LG17:3727982:3729451:-1 gene:TanjilG_25468 transcript:OIV94406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNNKPKKSISPSHFKLFITLFFIVLFTLPALFLLHAPTTNSICTTLANKAWSGDLRLAEFAWNRLPFFEDSPSPIALKIAVFSRKWPIGTTPGGMERHAHTLHTALARRGHQVHVFTSPPQDESISVVASETSNHEAGAPSSPYIHWHEGEPGRWRYNKAWEQFSEENKREAFDVVHSESVALPHWLARKLPNLAVSWHGIALESVQSSIFQDLARGPDEPISPDFNISLHGVIPKVLNEIRFFRNYKHHVAISDSCGEMLRDVYQIPSRRVHVIINGVDEDDFREDVKLGKEFRTKIGIPSNASLVLGVAGRLVKDKGHPLLHEAFSRLITKHPNVYLIIAGSGPWENRYKDLGSQVLVLGSMNPSMLRAFYNAIDIFVNPTLRPQGLDLTLMEAMMSGKPLLASRFPSIKGSIIVNDEFGFMFSPNVQSLLEALEAVVKEGQERLARRGQACREYANSMFTATKMALAYERLFLCIKNDAFCTYP >OIV93854 pep chromosome:LupAngTanjil_v1.0:LG17:20295475:20295792:1 gene:TanjilG_13869 transcript:OIV93854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPPSASHSHPSPYSTTSMVRYTNKEEGEENNASRHACAACRHLKKKCKKDCVLAPYFPTEKTKQYFIVHKVLGYNIMTKMLSNLVEQERNEAVESFQWEAMMW >OIV94010 pep chromosome:LupAngTanjil_v1.0:LG17:15920487:15922312:1 gene:TanjilG_07558 transcript:OIV94010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEILAKTTFVSSSWRGNRQQHSQKVSSMVPTTSSFNSEIRSLPSMKLKSQVLRSSSFSSDFRGKKLVFRVNRVIPIKVNSQFQTSTVSQMPLRIGKAQKWWEKGLQSNMREVTSAQDLVDSLLTAGDKLVVVDFFSPGCGGCKALHPKICQLAEMNPVVQFLQVNYEEHKSMCYSLNVHVLPFFRFYRGANGRLCSFSCTNATIKKFKDALAKHTPDRCSLGPTKGLEEKELLALSANKDLSFTFKPKPVEPALSPALEVSPTEEAQARPSSEPLPLPVLEPNSVISKDRTLTTAGR >OIV94822 pep chromosome:LupAngTanjil_v1.0:LG17:1459212:1460735:-1 gene:TanjilG_22019 transcript:OIV94822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSKNNKMHEYISPGLTTKKVIDQYQKTLGDIDLWRSHYEKMLQNLKKLNDINHKLRRQIRHRIGEGLDDDLSFQQLRSLEEDMVSSIGKIRERKFHVIKTRTDTCRKKVRSLEQMNGNLLLELEKCVIHPQFLLHDEGDPESAVALANGASNLYAFCQHQTHLNLHHHHLQDHQDQGFKTNDLRLA >OIV94998 pep chromosome:LupAngTanjil_v1.0:LG17:151359:153074:1 gene:TanjilG_22195 transcript:OIV94998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPAYTVASDSETTGEEKSSTFPEIAIGIDIGTSQCSVAVWNGSQVELLKNTRNQKIMRSYVTFKEDIPSGGVSSQLLHEHDLLSGATIFNMKRLIGRVDTDPVVHASKNLPFLVQTLDIGVRPFIAALVNNVWRSTTPEEVLAIFLVELRAMAEAQLKRPIRNVVLTVPVSFSRFQLTRVERACAMAGLHVLRLMPEPTAVALLYGQHQQQASHDNTDSGSEKVALIFNMGAGYCDVAVTATAGGVSQIKALAGCTIGGEDLLQNMMSHLLPDSQSLFKNHGVKEIKSMGLLRVAMQDAIHRLSSETNVQVDVDLGDGLKICKVVDREEFEEVNKNLFEKCESLIIQCLQDAKVEVEDVSDVIIVGGCSFIPKVKNLVTNIFKGKEPYKGINPLEAAVCGAAVEGAVASGINDPFGNLDLLTIQATTLAIGIRANGNNLVPLIPRNTTMPARKDVVFTTTHDNQTEALILVYEGEGKKVEENQLLGYFKITGIPTAPKGVPEINVCMDIDAANVLRVLAGVVMPGSRQPAIPVMEVRMPTVDDGHGWCAEALNRTYGATLDLVTLQKKA >OIV94634 pep chromosome:LupAngTanjil_v1.0:LG17:2983299:2986027:1 gene:TanjilG_25858 transcript:OIV94634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNALAATNRNFQRAARILGLDSKLEKSLLIPFREIKVECTIPKDDGTLVSYVGFRIQHDNARGPMKGGIRYHPEVDPDEVNALAQLMTWKTAVADIPYGGAKGGIGCNARDLSLSELERLTRVFTQKIHDLIGVQRDVPAPDMGTNAQTMAWILDEYSKFHGHSPAVVTGKPIDLGGSLGREAATGLGVIFATEALFAEYGKSISGMTFAIQGFGNVGTWAAKSIFDRGGKVIAVSDISGAITNPNGIDIPALLKHKESNGNLTEFSGANAMDPNDLLVHECDVLIPCALGGVLNKENAADVKAKYIIEAANHPTDPDADEILSKKGVIILPDIYANSGGVTVSYFEWVQNIQGFMWDEEKVNHELKKYMTKAFRNIKAMCQIHNCDLRMGAFTLGVNRVARATLLRGWEA >OIV94029 pep chromosome:LupAngTanjil_v1.0:LG17:15226831:15227155:-1 gene:TanjilG_19390 transcript:OIV94029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATKLDKEHRRDVFSFFGDGIDLGGEVVRLVFTVPLGGDLRFWLQSARSTHGGWWQRSEFMEEDSGEWMRSSIEDNLGVVI >OIV94676 pep chromosome:LupAngTanjil_v1.0:LG17:2658996:2660650:1 gene:TanjilG_25900 transcript:OIV94676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLLSSKASCNTHGQDSSYFLGWEEYEKNPYDEAMNPKGIIQMGLAENQLSFDILESWLAKNQDVAGFKRDGKSIFRELALFQDYHGLPSFKKAMVDFMAEIRGNKVTFDPNHIVLTAGSTSANETLMFCLAEQGDAFLLPTPYYPGFDRDLKWRTGVEIVPIQCTSSNNFQITESALLQAHEDAKKRNLRVKGVLVTNPSNPLGSTMSRNELNLLIDFIKVNKDMHLISDEIYSGTVFSSPGFISVMEILKERNYLQDSSDAAQVWNRVHVVYSLSKDLGLPGFRVGAIYSDNDQVVAAATKMSSFGLVSSQTQYLLSAMLGDKKFTKNYLSENQKRLKRRQRMLISGLQKTGISCLKSNAGLFCWVDMRHLLSSNTFEAEMELWKKIVYQVKLNISPGSSCHCTEPGWFRVCFANMSEDTLNLAMKRLTTFVANITGTNESKKNSGTSRRTMSLPNWVFRLSSRDHREQEER >OIV94066 pep chromosome:LupAngTanjil_v1.0:LG17:13111571:13115108:1 gene:TanjilG_05446 transcript:OIV94066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAATVTCTVSSSSSSNSSTKHNWVGPIGHRVISVDVNGGGHFRSVQAAVNAVPENNRMNVFIQISAGYYIEKVVVPVTKPYITFQGEGRDVTVIEWHDRASDPGPNGQQLRTYRTASVTVFANYFSARNISFKNTAPAPMPGMQGWQAAAFRISGDKAYFSGCGFYGAQDTLCDDAGRHYFKDCYIEGSIDFIFGNGRSMYKDCELHSIATRFGSIAAQDRKSPNEKTGFAFVRCKVTGKGPVYVGRAMGQYSRIVYAYTYFADIVAHGGWDDWNNADNNNKTVFFGVYKCWGPGAAAISGVSLAQELDFEAAHPFLVKSFVNGRHWIAPSDA >OIV93897 pep chromosome:LupAngTanjil_v1.0:LG17:17643789:17647320:1 gene:TanjilG_05600 transcript:OIV93897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDEPEEVKKFSLNARKINKDGFPKGLDPAISKFFVQLPQKLNILKSQLSRLAQKDGMNFVRSVQRHGNRSFIASEAELKEQLQAWQENPSWVDKPPQIKVTVPKGSLCNLNVEVDVGLPPDAVYNIVIDPDNKRVFKNINEVISRQVLVDEGHRQVVELEQAAIWRFLWWSGTILISVLVDQNRTDHSMKFKQIKTGFMKKFEGCWRVEPLFVDEATCFPFKPLTKEDYNICTRGKGRIGSKVTLQQVLQPAIVPPPPISWYLRGITARTTEMLINDLLAETARIRGGYETEKTTLEVERKHDENVDLVANTRDIKERWMLRRKNAKQNHRRLLTAK >OIV94220 pep chromosome:LupAngTanjil_v1.0:LG17:9659729:9661437:-1 gene:TanjilG_09375 transcript:OIV94220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYKRCLDVNEFEDLSLNKAKRLESENELVSLTDNSFVKTVMSGDNEGGFYNIQWYDAIEGNTASEAPPPGERNVPTSGPIISWSSDYDAGSGATSLSSASSGCLEFDIPKKSYDDVYSSLDCSPRKSVPVGPNHQAVLPVWSGKVNKISKLVIYNDDIPFSGLVSIHTAHDNEERLMGTSVLPMPDSSLYSSNSNEGGEGRIECDCLDQGSIRCVRQHVREERENVRRTLGMENFVNLGFCDMGEYVALKWNEEEEEVFHEVVYSNPASLGRNFWKILSAAFPTRTNKEIVSYYFNVFMLRRRAAQNRSRFLDIDSDDDECHTSDPRFYGYENSDDSGIESLDDEDVHVENQDYSDEDDDNSGNGNEFVGYNMGNVTKEEGEIDQRSSKCKADLQIDSWSNPSQHLDGTRGILKDDYGAKDDSCMSFECDAKMDVSRCHGLLDASISLQDKEIKCDQSSHVPGKLDMPSHELEDIYLLEPRFSKDWYPAYSTFLDTSIDFLPTSNLIDEFFGLGTPDRKTRSD >OIV93801 pep chromosome:LupAngTanjil_v1.0:LG17:20506245:20512306:1 gene:TanjilG_03764 transcript:OIV93801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSFLEVAQGQTAETARQFLQATSWQLEEALQLFLIGSDAGAVLPSSHTPPLESVDSLIDQPHSEPRKETTNGSSGQNDGEVRPPLPVIRETLYDGAMLYGASRTGDHPQEPSSLIAFRNFEEERRRPGVWESEQGSVSTAESSRDNLASLYRPPFHLMFSGSFDQAKGAASIADKWLLVNIQSTKEFSSHMLNRDTWANEAVSQTISTNFIFWQVYDDTTEGRKVCTYYRLDSIPVVLILDPITGQKMRSWCGMVEPETLLEGLLGFLDAGPKDHHVTLSHKRPRASSSPPKTKAMVDLDLSKEEDEEVQRALAASMESMNESSAVVGGDENKDTEIAVSAQDEPSLPKRPTYPTLPEEPKAERNLLCRVGVRLPDGRRVQRNFLRTDPIQLLWSFVSTQLGEDETKPFRLTQAIPGASKILDYESNSTFNESGLANSMISVTWD >OIV94243 pep chromosome:LupAngTanjil_v1.0:LG17:9625113:9625406:1 gene:TanjilG_08541 transcript:OIV94243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVQPSVSVFGAFLHGCGLHSRFELGEVAMKRMLELHPDEACYYVLMSNLYASDGRWGQVKQVREMIKQRGLNKVPGCSSLEMDINNDIHAKLAASY >OIV94974 pep chromosome:LupAngTanjil_v1.0:LG17:294006:306851:-1 gene:TanjilG_22171 transcript:OIV94974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASASASTSLIPLHLHHHRRRLPQSTSTITTSSSSSFPRFPSRFRNNRFLLSTSLPFSSSIQSERRLHKAVHSGLGLRTNGGDALKHYTSILGEPVKQSLFLPHHSCTSCCLASSKKRRTSHARFIPGAFLDKSSFQLSKNKLHHTSITSDCAITSHVKRVQLPHATVGPDEPHAASTTWPDGIGEKQDSSLFDSELEQIEGFLNSELPSHPKLHRGQLKNGLRYLILPNKVPPNRFEAHMEVHAGSIDEEDDEQGIAHMIEHVAFLGSKKREKLLGTGARSNAYTDFHHTVFHIHAPTSTKDSNGDLLPVVLDALDEIAFHPKFLSSRVEKERRAILSELQMMNTIEYRVDCQLLQHLHSENKLSKRFPIGLEEQIKKWDADKIRKFHERWYFPANATLYIVGDIDNIPKTVSQIEAVFGQTGVENEKSTVATPSSAFGAMASFLVPKFSVGLGGNSSERSANIDQSKVLNKERQAVRPPVKHNFSLPGSGTDLKPPQIFQHELLQNFSINMFCKIPVNKVRTYGDLRNVLMKRIFLSALHFRINTRYKSSNPPFTSVELDHSDSGREGCTVTTLTITAEPKNWQSAIRVAVQEVRRLKEFGVTQGELTRYLDALLKDSEHLAAMIDNVSSVDNLDFIMESDALGHKVMDQRQGHESLFAVAGTVTLEEVNSVGAKVLEFVADFGKPTAPLPAAIVACVPRKVHIEGTGETEFRISSTEITDAMKAGLNQPIEPEPELEVPKELIQSSTLEELKMQRTPAFIPISPETNATKLYDEETGITRRRLTNGIPVNYKISKTETQSGVMRLIVGGGRAAESSDSRGSVIVGVRTLSEGGRVGNFSREQVELFCVNHLINCSLESTEEFISMEFRFTLRDNGMRAAFQLLHMVLEHSVWVDDAFDRARQLYLSYYRSIPKSLERSTAHKLMVAMLDGDERFIEPTPKSLENLTLQSVKDAVMSQFVGDNMEVSIVGDFTEEEIESCILDYLGTAQAERDHKGEQEFSPPLFRPSPSDLQSQEVFLKDTDERACAYIAGPAPNRWGFTVDGQDLLGTISNDALTINDDQSKSVVPQTEGGLRKSLRGHPLFFGITMGLLAEIINSRLFTTVRDSLGLTYDVSFELNLFDRLKLGWYVISVTSTPGKVHKAVDACKNVLRGLHSNKITERELDRVSFLNMCIYMCVCVCVYIHLSCFKSQLRRMILYAVLTVLFLADYYKLMFPFDSYLLAKRTLLMRHEAEIKSNAYWLGLLAHLQASSVPRKDISCIKDLTFLYDAATVEDIYLAYEQLKVDENNLYSCIGIAGAQAGQEIAAPLEVEEVGDTYPGVIPVGRGLSTMTRPTT >OIV94798 pep chromosome:LupAngTanjil_v1.0:LG17:1632801:1634601:1 gene:TanjilG_21995 transcript:OIV94798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRITKLLLVVVLLAMCVGRCICWDEDSLEGVKSKDKTESYAEWAHHSLDFDEDDAKQAAQNMKNKAEDAASRATETLKSTASGASNYASEKASDAKEAISGAMEYGKEKAYKAYDGDNEIIRMPTDKITNAKDSMGEAMGHARDRMGDTYEDAKQTMYTASDKASNMANNAKDNMAESMGYDKQKMNMASDMASEKFNNAKDTMGEAMGYAKEKARDTYYEAGRKINDMASGNGYDETKHKVKGAFEYGKDKASSYYDEAKEQMNMSGDKAYGAMGYGRDKAAETFDQAKDEVGYAYMSAKNTMSEEARAKYEAAKERASEAAGQVGANMRNNQQQL >OIV94544 pep chromosome:LupAngTanjil_v1.0:LG17:5374026:5376813:-1 gene:TanjilG_25606 transcript:OIV94544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSQADLDRQIEVLMECKALPEAEVKLLCDQARAVLVEEWNVQPVKCPVTVCGDIHGQFYDLIELFRIGGSAPHTNYLFMGDYVDRGYYSVETVTLLVALKVRYRDRITILRGNHESRQITQVYGFYDECLRKYGNANVWKFFTDLFDYLPLTALIESQIFCLHGGLSPSLDTLDNIRALDRIQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDIAAQFNHTNGLSLISRAHQLVMEGYNWCQEKNVVTVFSAPNYCYRCGNMAAILEIGENMDQNFLQFDPAPRQIEPETMRKTPDYFL >OIV93847 pep chromosome:LupAngTanjil_v1.0:LG17:20240444:20244889:-1 gene:TanjilG_13862 transcript:OIV93847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWGHLGRIPSSSADAGPTTTTTTEPRRFPLAAQPEVMRAAEKDDQYASFVYEACRDAFRHLFGTRVAVTYQNETKLLGQILYYVLTTGSGQQTLGEEYCDITQVAGSYGLPPTPARRTLYIVYQTAIPYIAERISSRLASRGIMLADSESAESYNENAPRSSSSQISTSSELPSSSSTLGQYIPTLTRLKEKLSGFWLHTIQRWPAMLPIVRELLQLLLRANLMLFYFEGLYYHISKRAASIRYVFIGKASNQRPRYQILGVFLLIQLCVIAAEGLRRRNLTSIAGSVNQASFANYHRTPGHDLPILNEEGALASPDADKGTLVSESSSPEYHATGGASKCTLCLSDRQHPTATSCGHVFCWSCIAEWCNEKPECPLCRTPITHSSLVCVYHSDF >OIV94319 pep chromosome:LupAngTanjil_v1.0:LG17:6648808:6649490:-1 gene:TanjilG_19325 transcript:OIV94319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQRISFSYDFVDTEQAIKHQNIYREAPVSSDFEFSVKNYSMIPADEAFFQGMLLPLKTNCSKKMTLRDELLNNDDELPRLSKSSSWWKEGLGLKKGASKKDKNKNDEFLQKHVFEQGDTTFSKNNMELFYEGGVSPRGIK >OIV94901 pep chromosome:LupAngTanjil_v1.0:LG17:834190:834707:1 gene:TanjilG_22098 transcript:OIV94901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQANAASGMAVHDECKLKYQELKAKRSHRFIVFKIEEQQIVVEKVGGSTESYDDFQASLPADECRYAVYDFDFVTNENCQKSKIFFIAWSPENSRVRMKMVYASSKDRFKRELDGIQFELQATDPSEMSMDIVKGKIQLSY >OIV94983 pep chromosome:LupAngTanjil_v1.0:LG17:229448:232556:1 gene:TanjilG_22180 transcript:OIV94983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDAFYLDIVEFLKKPSVTETFVDILLCAVPIWVAVMIGLLIGWSWRPRWTGLIFIGLRTKFRFIWTAPPGFGARRLWFAFTALSAFSICRTFWSNFNGRRKDEPQPQSVTQSDNVNSDFGNATDRSGDRAEEERGQDIVTQTDLEHLLHLLEVNDGVMDWQCFMEKPTPNMQYSAWRHDPENGPTIYRSRTVFEDATPELVRDFFWDDDFRPKWDAMLAYCEVLEECPHNGTMISHWIKKGVPYPSLPKRDKPRRVEHYFSSWVIKPVESRKGDGQLSACEVTLLHYEDMGIPKDVAKLGVRHGMWGAVKKLHSGMRAYQNARKTDGSLSRCALMASKTTKISFDRNLHSSEHLSSEEEKRQGMNNNRQTGPGIDWKWIAVGGTVALVLGVHSGAVGRALLLGAGHRLARR >OIV94652 pep chromosome:LupAngTanjil_v1.0:LG17:2847952:2850207:1 gene:TanjilG_25876 transcript:OIV94652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALYLVSCTFYHLVGACGFGSFGATLNGGDVSAASNLYRDGVGCGACYHVNHKLLVRCTRSAYCSDNGSTVVITDQGSSDNTDFILSKHAFGRTAQTADATASLLALGVVDIQYRCIIFYPNKNITFKIDESSGNPHYLAFVILFQQGRRDITAVQLYSELGLQVTGSEPWSSVDYYVSTEWTTTSPPSGHLSIRMLFSDDEEGEETWVVPVNNIPQDWKAGDIYDSGVQVNQ >OIV94282 pep chromosome:LupAngTanjil_v1.0:LG17:8986792:8987010:-1 gene:TanjilG_00031 transcript:OIV94282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELGGFQFPLHREIRRTRQKLGAKPLARHNPSPPLLEAEAVAMNGGSPNHRHHRWLERENKKEEVSLFPFHC >OIV94313 pep chromosome:LupAngTanjil_v1.0:LG17:6712057:6715295:-1 gene:TanjilG_19319 transcript:OIV94313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTRGKTASRITKEMQVKIVKCSESMWKLTYYATVELFILKIIYPEPWFSNTKLYFEDWPNHELKSPLKIYYMCECGFYIYSIAAILTWETRRKDFGVMFSHHVITVFLIGISYLTSFFRIGSIILALHDASDVFLEAAKVFKYSGREFGASVFFGFFAVSWLVLRLIFFPFWVIRATSTDLQKCLNLAETFPMFLYYTFNTLLIMLLIFHIYWWMLICAMINRQLKNRGKVGEDIRSDSDDD >OIV94335 pep chromosome:LupAngTanjil_v1.0:LG17:6020947:6025411:-1 gene:TanjilG_21675 transcript:OIV94335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRYENPFEDEVNPFSHPGSVAPATNVRPSPLKPARADYNYGYGETVDIALDTSLELKKKEKELQSKEAELNKREQVITFCFAAGIVIEEKNWPPFFPIIHHDIPNEIPVHLQRLQYVAFATYLGVKIWLLAIIYLISGVPGGYVLWYRPLYRVFRNESAFKFGWFFMVYLFHIGFCIVAAVAPPIVFNGNSLTGIMSAIDFVGHHVLVGVFYFIGFAFFCIETLVSLWVIQVRLHRDFKTID >OIV94325 pep chromosome:LupAngTanjil_v1.0:LG17:6499012:6501840:-1 gene:TanjilG_19331 transcript:OIV94325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTIFPTHTLSPLFNIKASTSKFLVSPQPNSNSNFYSKPIISCLKKNQTLSLKPSWPACTSWFTHAQHGLAALAISLALNFSPLLHTGNALASEFDVLNEGPPKESYVVDDAGVLSRVTKSDLRRLLSDLETRKNFHINFITVRKLTSKADAFEYADQVLERWYPSVEEGNNKGIVVLVTSQKEGAVSGGPAFVQAVGENILDATVSENLPVLATDEKYNEAIYSTAKRLVAAIDGLPDPGGPSFKDNKRESNYKSREETEEKRGQFSLVVGGLLVIAFVVPMAQYFAYVSRK >OIV94772 pep chromosome:LupAngTanjil_v1.0:LG17:1833098:1834015:-1 gene:TanjilG_12985 transcript:OIV94772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGKAASTAISTFNFYLSEHPTVVGFRWSYTQWGSTWSFLFTSITAYILISLFLHLIFSFLLRRTRPVPLGPLPALHSLSMSLISVTIFVGIFLSAVAEIRDTRWFWRRSITPFQWLLCFPLGTRPSGRVFFWSYVFYLSRFLHMLRTVFIILRRRKLALFQLFNHSISTFMSFIWLEFSQSFQVLAILFTTFAYSVMYGYRFWTAIGLKSACFNFELNCQIVLLGFNLVSHVGVLLLHFFKGGCNGIGAWVFNSVLNGAILFLFLNFYVRLYLGERRNMKGVEVSDQSENNSVLESDTHKLKSN >OIV94723 pep chromosome:LupAngTanjil_v1.0:LG17:2241418:2243393:1 gene:TanjilG_06186 transcript:OIV94723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNSGSSQKLGSFLSPISSNHREKNIGSQKGWSSERVLQPASSSHRHASVSGLTPISSGRTMPSKWDDAERWICSPLSGYANNRNSSSYAQLHRRPKSKSGPIVPPGTAYYYSNYSPTIPLRQGLVVKNLMAGSPFTTGVLAPDALSIHHFDAHDTVFGPRYDIDNGIQYSSIVLNENGVGLPSVSSAPTWSELLCGTSSPNSQDEENDGTKHEETAISPFPKCDKGTQMSPPESDDDAHSSPKSSATGSMDQQNCHSTKLEVRDVQVDSEETALRWSKSNAEIRASCWDIAESTLDISKFQREEAKITAWESLQKAKAEAEIRKLEMKLEKKRALSMDKIVNKLRRAQTKAEKKRSLIPVQQGQQISKPWKVFSFPKYGHIWSPITCFTTTIDP >OIV95009 pep chromosome:LupAngTanjil_v1.0:LG17:92698:97691:1 gene:TanjilG_22206 transcript:OIV95009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGELEKPLVGDHHLHEDDDVIELNRDYDLEGACYPNEPHFHGLVHKQRIPPLSIHLNHFPQQQQQQQQQQQPQLAVPDTIVLDVLSQHDDLLGHTLKALHHGDGQPTPTFHNHTPLHHFPQTETSHQRSCLTLEVISGPSCGLHCSVQSTNPSRLPLTLGRISPTDLLIKDSEVSGKHALIKWNLDKMKWELVDMGSLNGTLLNSQPINHPDTGSRNWGDPIILANGDVITLGTTSKVMVHITSENHHCIPFGVGMASDPMAMRRGGKKLPMEDVCYYQWPLPGLDQFGIFGICDGHGGDGAAKSASKLFPEIVASILSDPLKRERVLSLCDASDILQDAFSQTEACMNHYYEGCTATVLLVWADGHQNFFAQCANVGDSACIMSVNGKQIKLTEDHKITDYSERVRIEERGDPLKEGETRLYGINLGRMLGDKFLKQQDSRFSSEPYISQVLHIHQANRAFALLASDGLWDVISIKKAFQLVLQMRERYCTEREDSAEKIASFLLSEAKTLRTKDNTSVIFLDFDTLNRFSCKVEP >OIV94241 pep chromosome:LupAngTanjil_v1.0:LG17:9601513:9602381:1 gene:TanjilG_08539 transcript:OIV94241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVDDVDKSWKRALLSCNNQGDLVGPDNSVDGDVSKEEDEDSSRDESWPEKDSCWGENLLVEGDEDDVDEVENIHRQEVRSPLTLFGDTGNKRVSGISVPFSCPEYRA >OIV94993 pep chromosome:LupAngTanjil_v1.0:LG17:176640:177255:-1 gene:TanjilG_22190 transcript:OIV94993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDDNNESRSGICLRPFKASDVDEFLKWASDDRVTHYLRWNSITSKQQALDYINNVAIPHPWRQSICLCDHSIGYISFKPQTGDNRCKAHVSYCLAVEHWGKGIVTEALKMALPIVFKQFPQVLRLEALVEVENKGSKGVRESWVSQRGATKEVCIL >OIV94094 pep chromosome:LupAngTanjil_v1.0:LG17:13712589:13733713:1 gene:TanjilG_05474 transcript:OIV94094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALKGAIKQQRRLFNYASSEEEKKEGFCSAPSVVSLESKSKPMYWKVDNPTLSPFHLQENARSGPPLHYDLERFFFVLQGAVTLTYAPDVSHILKVDSYAYLPPGFEHSVECDTAATIVVFERRHSLLQDDVPELVVNSTDKQPLLETPGEIMDFQPGEFLNVKGKRQYPGHEDPVVLASQTAFTVSEVEALFELFKSISSSVTDDGLISKEEFQLAIFQNRKKENIFTNRIFDLFDVKKKGVVDFDDFVRSLNVFHPNARLEDKVDFSFKLYDLCNTGFIERQEVKQMLIALLCETEMKLADEMIETILDKTFVDADLNQDGKIDIVEWQNFVSKNPSILKIMTLPYLRDLTTCFPSFVFNSNVDEVSA >OIV94062 pep chromosome:LupAngTanjil_v1.0:LG17:13022943:13024982:-1 gene:TanjilG_05442 transcript:OIV94062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVMHTHSFSLAFSFFSLKRRRHLPSSAIAKANKTPEEIRVCTNRTCRRKGSFQTLETLSALSSPNLAVKPSGCLGRCGAGPNLVLLPDGIIVGHCGTTAQAAELMVSLFPGDFDAKNCLNALALKKSADFECEKGNFAEAEILLSQIIDLKRFGGIHVTFKCRSSARLELGNCSGALQDANEALRLAPRYHEAYICQGDAFLALKQFHSAEQSYLAALDIDPLIRRSKSFKDNTEGSF >OIV94310 pep chromosome:LupAngTanjil_v1.0:LG17:6793454:6795225:1 gene:TanjilG_19316 transcript:OIV94310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFNGDDMNGKQHANVSLMRQSSIYSLTFDELQNTMGGFGKDFGSMNMDELLKNIWTAEETQALASSAASGGGGGGDGHSPGNLQRQASITLPRTLSQKKVDEVWRDLIKDGSGNVGSSMPQRQPTLGEITLEEFLVRAGVVREDMPQPQQIGRPNNNSWFGDLPRSNDNNGELLLRFQQTNTRNENLSNNRILEGNNLVPKHPPPFSLNSNHSQQQGPQQHQHRPQLFPIPANVAFAAPPPMHMLNNSLLTSPGKRGGIIGVPEHSMNGTLVQSSALQGPGMVGLAAANVTAPGASQASTISPDVIANNNVDTSPLLPVPYLINRGRKCSPVEKVVERRQRRMIKNRESAARSRARKQAYTFELEAEVDKLKELNKELQRKQDSDYAYCWGISKRQCLRRTLTGPW >OIV94919 pep chromosome:LupAngTanjil_v1.0:LG17:711244:714585:1 gene:TanjilG_22116 transcript:OIV94919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDSDKVTWDESRNHTLITAHSRTSPLSSPKLMLCLILFVSLTYAIYILNLVSTSTTCNYASFSKQRISTSIAIDNSTIRETQTDLRHVVFGIAASSKLWEQRKNYIKLWYKAKEMRGIVWLDDRVKTDRKEGLPAVKISTDTSNFGYTNKQGHRSAIRISRIVSETLHLGLKDVRWFVMGDDDTVFVTENLVRVLRKYDHNQFYYIGSLSESHLQNIFFSYGMAYGGGGFAISYPLAKALSKMQDRCIHRYPGLYGSDDRMQACMAELGVPLTKEIGFHQYDVYGNLLGLLAAHPVTPLVSLHHLDVVEPIFPNATRLEALQRLTIPMKLDSASLIQQSICYDKNKTWTISVSWGFAVQIFRGIFPAREMEMPSRTFLNWYRRADYTAYAFNTRPVSRNPCQKPFVFYLSKAHLNSTTKQTLTEYQRHRIPHPECRWRMPDPSQIDKVEVYKKPDPHLWDRAPRRNCCRVMQSNKKGTMVIDVGICRDGEFTET >OIV94779 pep chromosome:LupAngTanjil_v1.0:LG17:1772926:1774548:1 gene:TanjilG_12992 transcript:OIV94779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVPVSSTPQANPKPTNAARTVALNASPNHNNNNNNQVLCYEPTSVLDLCRSPSPGTGQEKPTDHSIPVSNSQESLELDEHVLHNLDWDSIMKDLGLQDDSTAPVLKTMLHPNPCDLPEFPPPFENATEFTTLSDMYSNQNMGYNFITNTNTNTMDHLVHESYTQNNINNGFDFIEELIRAADCFDNNQAHVAHVILERLNQRLRSPVGKPLHRAAFYFKEALQSLLSGSNRTPRLSSLVEIVHSIKTFKAFSGISPIPMFSIFTTNQALLEALHPSSFMHVIDFDIGLGIQYASLMMEIAEKLDSSKLNSPPMLRITAVVPEEYAVESQLIRENLSQFAHELRIRLQVDFVLLRTFETLSFKAVKFVDGERTAVLLSPAIFRRLGSNNTAAFLADVRRVSPSVVLFVDGEGWTEAAAATSFRRDVVSSLEFYSMMLESLDASVAGGGGGGDWVRRIEMLLLRPKILAAVEGAGRRVPPWREAFYGAGMRPVQLSQFADFQAECLLAKVQIRGFHVAKRHAELVLCWQDRAMVATSAWRC >OIV94471 pep chromosome:LupAngTanjil_v1.0:LG17:4492927:4499666:1 gene:TanjilG_25533 transcript:OIV94471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVVIGNGIVTVTFANPEGYILGISYAGIDAFNPNHKRTDRGYFDVVWNEPGKPGIFQRIEGTKFSIIEQDENKVEVSFSRTWTTSLRGSNVPMSIDKRYILRKGDSGFYSYVIFDRPEGLPAVEVYQIRFVYKLHERFNYMAISDTRQRNMPSEREREMGTKLDYPEAVRITNASNPEFIGEVDDKYQYSCENQDNILQGWITHDSKQSVGIWMITPSNEFRNGGPVHQDLTSHVGPILLNMFVSTHYAGKSLGMTFQQGETHKKVYGPFFTYLNSAESNAKYKTLWSDAVQQKTKEVQSWPYDFTKSKDFFPAKQRGRVEGVLNIQDRFITEGRAQPAKNAYVGLAVPGNAGSWQQESKGYQFWTRTDGNGHFEIKNIVPGEYNLYAWVPGFIGDYKYEKTITITPGRDLNLDSLLYSPPRNGPTLWEIGTPDRSAAEFYVPDPYPNLLNNLYKNDTKDKFREYGLWARYSEIYPQNDLVFTVGVSDYKKDWFYAHVTRKVENTYQPTIWQIVFQLQDSVSNGDYTLHLALASANGAEVQVRLNDPNAGSPIFTTGLIGGDNAIARHGIHGLYWLFSIPIPSSHLVKGNNTIYLRQATGRSPFAGVMYDYIRLEGPATQGV >OIV94415 pep chromosome:LupAngTanjil_v1.0:LG17:3815813:3818266:1 gene:TanjilG_25477 transcript:OIV94415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSLSISSHSHTSTSLPSNSLIRTLFSKSHLSSFNPNPKTPSPKPRCYIATSSKNSTFLPQSPRPTITFHPSSSQAPGTAVRGGDTDAMGLLLRERIVFLGSSIDDFVADSIISQLLLLDAQDPTKDIRLFINSTGGSLSGTLAIYDAVQLVRADVSTIALGIAASTASIILGGGTKGKRLAMPNTRIMIHQPLGGASGQAIDVEIQAKEVMHNKNNITSIISGFTGRSFEQVQKDIDRDKYMSPIEAVEYGIIDGVIDRDSIIPLMPVPEKVKSTLNYEEISKDPLKFLTPEIPDDEIY >OIV95001 pep chromosome:LupAngTanjil_v1.0:LG17:139271:144609:-1 gene:TanjilG_22198 transcript:OIV95001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSEIIIKKNINGISPFKCNKPSLYARSSRSFLIFSIQGLREKHQLELENLSLTTLPFKTLKLFTLAVIQYIKKTTLYLLSKGGWLMLFSVAVGTLGIVLMTFDGPHEKHLEELREYFRFGLWWVALGVASSIGLGSGLHTFVLYLGPHIALFTIKAMQCGRVDLKSALYDTIQLKRAPSWLDKDCSQFGPPLFQSEYGSRIPLSSILPQVQLEAVLWGLGTALGELPPYFISRAARLSGREVDAMEELDNDDKGIMAAQLNQIKRWFLSHAQYLNFLTILVLASVPNPLFDLAGIMCGQFGIPFWKFFFATLIGKAIIKTHIQTVFIISVCNNQLLDWIENEFIWVLSHVPGLASALPSIIANLHAMKDKYLKAPHPVSSNVQGKKWNFSFASIWNTIVWLMLMNFFVKIVNATAHSYLKNQQEGELAALTKNSTSTDSDEQ >OIV94290 pep chromosome:LupAngTanjil_v1.0:LG17:7032946:7049457:1 gene:TanjilG_25659 transcript:OIV94290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDEVVQRVFHEGGRDYFQQQPSTSSSSSILQSLPLHVSFDHGYYLLVKSIQELREKKESLVTVGIGGPSGSGKTSLAEKVASVIGCTVISMENYRDGVDEGNDLVSIDFDTLIKNLEDLTEGNDTSIPVFDYPQKRRVGYKAIKSASSGVVIVDGTYALHAKLRSLLDIRVAVVGGVHFSLLSKVRYDIGDSCSLDYLIDSIFPLFRKHIEPDLHHAQIRINNSFVSSFREAIYKVKCRSEQSPDEHSSSALKGNESQTDNFIEMYLRPPSASEEAKINDWIKVRQSGIRYYLSLGDQRIVDKNFIIRPKAEFEVGRMTLGGLLALGYTVVVSYKRASTTVNNGKVSMSYETIDALGETFIVMRGTNRKTVGTEALRIGVPRLSTPPLVTSASVTGSQETAIISPKPIRVIPDFVTSLEDLSQPWTRSPTKSKMEPVVAMWQFISSDSSQPDNSVLATTDPSSFRDAIRLAPMPDSYDLDRGLLLAIQAIQALLENKGVPVIVGIGGPSGSGKTSLAHKMANIIGCEVVSLENYYIQVKDFKYDDFSSLDLSLLSKNIDDIRNGRRTKVPIFDVESGARSGFKELEVSEDCGVIIFEGVYALHPDIRISLDLWIAVVGGVHSHLISRVQRDKSRVGCFLAQNEITTTVFPMFQQHIEPHLVHAHLKIRNDFDPVLSPESSLFVLKSNEKVAYEDILAILDPAKFCSSVQKFIDIYVRLPGIPSNGQLTDSDCIRVRICEGRFALLIREPIREGNFIIQPKVDFDISISTVAGLLNLGYQAVAYIEASAFIYQDGKILIEVDHLQDISGPYIQIKGVDKDAVAAAGSMLKLDGSYTTKSYLEIVLERLPASEGTSGGIHSQQSSRLLEIVEFIQSQGYSTASESSSNRVVLPIEGIIEEMQSRIRRLEKWLAINTVLWTFLVSALVGYSLYQRRRL >OIV94571 pep chromosome:LupAngTanjil_v1.0:LG17:5683095:5698187:-1 gene:TanjilG_25633 transcript:OIV94571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTTMLESLPYCQSFKGYDLTKQKSHGYFRAISRTYRSSIFTLHSVNKQVSQLSHSGSRRIIHRTHVPENLFKSTTLIVPSFSYWKGFSFSKDKPLRWERLITNVAYDVAGAVEVINDLGSDTLTFLAVTVIIVPTFKLLKASPILGFFFAGVVLNQFGLIRNLEDVKVLSEWGILFLLFEMGLELSLARLKALAKYAFVLGLAQVVLSTLAFTAFELPPNGAIGTKVLEFLFHSRSDLVNIRSVDEAVVIGAALSLSSSAFVLQLLAEKGELPTRFGSATLGILLLQDLAVIPLLIILPILESQTMSEESIWPMLLQESLKALGGLGLLSFGTKYILRRVFEVVAETRSSEAFVALCLLTVAGTSLITQHLGFSDTLGAFLAGAILAETNFRTQIEADIRPFRGLLLGLFFLTTGTSIDMQVLLREWPNVLALLAGLIAIKTLIITAIGPRVGLTLQESVRIGLLLSQGGEFGFVVFSLANRLGVLPLELNKLLIIVVVLSMALTPFLNEAGRRASSLIDDKSDAENKASEAVNFDASEPVVILGFGQMGQVLANFLGNPLASRGDSGAMGWPYVAFDLDHKVVKAARKIGFPILYGDGSRPDVLQSAGINSPKAIMVMYTGKKKTIEAVQRLRLTFPAIPIYARAQDLKHLLDLKKSGATDAILANAETSLQLGSKLMKGLGVMSDDVTFLSQLIRDSMELQAQEAVNQSEYRELDIMKPLQVKVSNMIEARVPVATASPEYELSELNQKDLASLSRIPKEVDAEEQDYELNQAVNSEGNGVICSKQGTKENSTVESKDALGQKNQVDPSIQEEP >OIV94246 pep chromosome:LupAngTanjil_v1.0:LG17:9235423:9239659:1 gene:TanjilG_00097 transcript:OIV94246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISMEQKKATQQRTRKKGGLRTMPFIIANETFEKVANVGLHINMILYLLNEYHLEPSSAAIVIFLWNAFSNFMPIFGAFLSDSCLGRFRVIAWGTIIDLLGLILLWLTSIVRNARPPPCKMYEEPCASPTGPQMLFLFSSLALMALGAGGIRPCSLAFGADQINNPENPQNEKIMKSFFNWYYVSVGLSIMISGVFIVYIQIKLGWVVGFGIPVGLMSFSTIMFFFGSFMYVKVKPNKSLLIGIAQVIVAAWKNRHLDLPPKNSDSWYIHNGSNLIEPTDKARYLNKACIIKNRDIDLDTYGMPNDPWSLCTVRQVEELKVIIKVLPICSTGIILSTTLGQVSFSVVQASTMDKHIFNLELPPTSFTVFIMLTLSIWVAIYDRIIVPALSKCTKKGGLTLKQRMGIGLVISCFACLVAVFVERKRRNEAIREGFINNPKGVVNMSAMWLAPQYCLLGLAEGFNAIGQIEFYYSHFPKTMSSIAVGLFSLGSGMGNLLASLIVKIIKDTTKRGSGNFSWLSSNLNQGRYDYYYAILFILGVVNLVYFFICSWAYGGTQDIKTWDDEEVHTKLEPEKEMKQNEETKIP >OIV94934 pep chromosome:LupAngTanjil_v1.0:LG17:595285:596544:1 gene:TanjilG_22131 transcript:OIV94934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEQEEDLIRRMYGLVGDRWDLIAGRIPGRKAEEIERFWIMRHEYVFSVRRN >OIV93759 pep chromosome:LupAngTanjil_v1.0:LG17:21010641:21011072:1 gene:TanjilG_07662 transcript:OIV93759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCFFISSNSNPVHTVKLIIVPEGKLREFSYHVKVSSLLQKYPTTFICNSDDMDFNDVVKAIDRDQMLQLGHLYFAIPLSELERKLKAEEMVVLAVKASMVIRKSGRKKCGFRRKRVVEFSYDEGGKRSRSVASENSINVES >OIV94382 pep chromosome:LupAngTanjil_v1.0:LG17:3494343:3499063:-1 gene:TanjilG_25444 transcript:OIV94382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCNKSNPCVAPLPSSNGVENNASLRYNYIADESSPSSDPYGIGVEEGNNMLPLPINVSSDIPLLTRPKKRFKNNNNNNIVHKPFSSSGYGFSMVTNILEPHSREVAAATMEPDNTSNVNVAITVAPPPPVPENEEEVELEDLPLPFPYPEAEQGANGIPFETSTILVKRNKNIIRKLVKFAQKQGFFILGANGTVSLVELLNDEDAPVAKTTLQIVVAPLSAIDAESMARQQYLRRPELVHFPNYRHRDWLTKEVVNRIHKATLKELNNEITNTLA >OIV94446 pep chromosome:LupAngTanjil_v1.0:LG17:4138691:4140728:1 gene:TanjilG_25508 transcript:OIV94446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHTRESNVVQIIAGGENVSPSGSGDQSVWATEDDYRFFNNDVESTPKRSRTSQDATASSSNNRSKAIGKMFFKTKLCCKFRAGTCPYVTNCNFAHSIEELRRPPPNWQEIVAAHEDDRSVPPREEFQIPSLSGYSGDTQRSYKGRHCKKFYTEEGCPYGDSCTFLHDENSRNRESVAISLGPGGYGGGGGGGSGGGVTGSGGVTVAGGGGSSNLKPSNWKTRICNKWELTGHCPFGNKCHFAHGAAELSQHGGFVDGESRDTASAGTPADNVAASVILVAHSAGTPSQRPSIVIQRPGQRTHKKWKGPDKISRIYGDWIDDIE >OIV94850 pep chromosome:LupAngTanjil_v1.0:LG17:1201611:1205825:-1 gene:TanjilG_22047 transcript:OIV94850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCCHSRIEREETVSRCKARKRYMKQFVEARHAFSAAHAMYIHSLRSTASALLHFANVETTTTTATATATIFHYHHLPPEPPQPILPSPPPRTQMAQPPPPMSPSSDTWTSSMTASPLPPPPPPPMASSSWDFWDPFMQPHPHPPPPPPSSGSAIEEEWEATTTTGSEVVVMTAASKTAPPSSVSGFSKETKTSSELAVVVPRNSKDLVEVIKELNDYFLEAAKAGAHVSFLLEVPSSGFSDHKKGGKASGYGWSLGPSLWALGSSPKLNGFGKLAEGTPHFPVGNFGANGFRNGGHSSTVERLYAWEKKLYQEVKISKTVKMEHEKKVELLRKLEMKRADYMKTEKTKKEVEKLESQMMVASQAIDSTSTEIIKLREIELYPQLIELVKGLMCMWRSMYECHQVQKHIVQQLEYLNTIPSTNPTSEIHKQATLQLELQVQQWHQSFCNLFKAHRDYIQSLTCWLRLSLLQFSRNPLSRTAEESKIVSLCEEWNLAVDRIPDKVASEAIKSLLTVIHAIVVQQTEEHKQKKRSGSAFKELEKKVVQLRSLECKYGPYSMHESTGGMRTKDPVTEKRVKVETLKAKAEEEKNKYEKSVSITRVMTLNNLQMSCPHVFQGIVGFSSVCMEVFESVYNKAKLYEQEHDVKRILP >OIV94732 pep chromosome:LupAngTanjil_v1.0:LG17:2340500:2341012:-1 gene:TanjilG_06195 transcript:OIV94732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGESGGGGEREMGTKKRGGIESGVRRYKGIRMRKWGKWVAEIREPNKRSRIWLGSYSTPVAAARAYDTAVFYLRGPSARLNFPDLLTGDNGAVISNCDMSAAFIRKKATEVGARVDALQATCRHNHVAAVPELVVSGGGGDNRSVQFSERVDLNKIPEPEDSESEWDMN >OIV94035 pep chromosome:LupAngTanjil_v1.0:LG17:14321188:14324042:1 gene:TanjilG_14282 transcript:OIV94035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGNTSSNTSSITENSSLNFFPSSSISYFPSQILSFDNTTTQFYDFDCTLNPSKHISAKTTKGSSKNQNFGTKTTLGKRSAARTYDHIIAERKRREKLSQSLIALAALIPDLKKVDKASVLGNAIKYIKELKERVSILEEDSKRTRVVVLKDPELNGENYDSSSCEEGSIENSCGSEPLLQVKARMSGQEVLLRIHCQKQKDLLVKILAQIQSLNLFVVHSSVLPFGDYSLDITFIAQMGEGYNLTVEDLVKNIHAASMKCMS >OIV94793 pep chromosome:LupAngTanjil_v1.0:LG17:1669079:1675857:-1 gene:TanjilG_13006 transcript:OIV94793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHSAYDRVEVVSDSPSKIEAIESYGSKLLLACTDGSLRIYAPESSNSSSPYLLEKNIVGFTKKPLISMEVLESRELLLSLSESIAFHRLPTFETIAVITKAKGANVFSWDHRRGFLCFARQKRVCIFRHDGGRGFVEVKEFGVPDVVKSMCWCGENICLGIRREYVILNSSNGTLSEVFTSGRLAPPLVIPLPSGELLLGKIRSLRDPYPLIQTIVLRNVHHLCESNNSVILALDNSVHALIPVSLGAQIVQLTASGNFEEALSLCKLLPPEDSSLRVAKEESIHIRYAHYLFENGSYEDAMEHFLDSQVDITYVLSLYPSIILPKTTVVHESEKLDIYGDASNHSRGSLGMSDDMEPLSTSHTSEPDENASLISKKVSHNMLMALIKYLHKRRYSFIEKATAEGTEEVVLDAVGDNFTSYNRFKKTNKYQGRGPVSSGAREMASILDTALLQALLFTGQSSLVLELLRGLNYCDLKICEEILRKGNHYVVLLELFKYNSLHREALELLHKLVEESKSKQSEITHRFKPEDIVEYLKPLCGTDPILVLEFSMLVLESCPSQTIDLFLSGNIPADMVNSYLKQHSPNMQAKYLELMLAMNENAISGNLQNEMVNIYLSEVLDWYADLSAQQKWDEKAYSQTRKKLLSALESISGYNPEALLKRLPSDALYEERAILLGKMNQHELALSLYVHKLHVPELALSYCDRVYESMHQPSGKYGSNIYLTLMQIYLNPMKTTASFEKRITNLLSLQNTTTPKVGSLTSVKTKAVRGTKKIAAIEGAEETKISLSSTDSSRSDGDGDGDADEFSEGGSTIMLDEVLDLLSCRWDRINGAQALKLLPRETKLQDLHSFLGPLLRKSSEMYRNCSVVKSLRQSENLQVRDELYSQRKAVVKITGDSMCSLCRKKIGTSVFAVYPNGATLVHFVCFRDSQNMKAVAKGSQLRKRL >OIV95003 pep chromosome:LupAngTanjil_v1.0:LG17:127422:129828:-1 gene:TanjilG_22200 transcript:OIV95003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDGGDHFEAKVTPMVMMTCIMAATGGLMFGYDVGVSGGVTAMAPFLKKFFPEVYRRTVLEKGVDSNYCKYNNQGLQLFTSSLYLSGLTATFFASYTTRNLGRRPTMFIAGLFFIFGVVFNAAAQNLSMLIVGRLLLGCGVGFANQAVPVFLSEIAPSRIRGALNIRFQLNVTIGILFANLVNYGTNKINGGWGWRVSLGLAGIPAVLLIMGALLVVETPNSLIERGLLLEGKDVLRKIRGTDNIEPEFMELVEASRVAKQVKHPFRNLLKRSNRPQLLISIALQIFQQFTGINAIMFYAPVLFNTLGFKNDAALYSAVITGAVNVLATFVSIYSVDKVGRRKLLLEAGLQMLVSQIVISIVVGIKVTDHSDDLSKGYAVFVVVMVCTFVSSFAWSWGPLGWLIPSETFPLETRSAGQSVTVCVNLLFTFVIAQAFLSILCHFKYGIFLFFSGWVFIMSFFVYFLVPETKNIPIEEMSERVWKQHWLWKRYIEDDYVSHHKVTNGNNNDIDPAPQL >OIV94003 pep chromosome:LupAngTanjil_v1.0:LG17:15606925:15610339:-1 gene:TanjilG_07551 transcript:OIV94003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMASKVCKAARSLLAASKGSRFYSEGGRAIAAAAAVSLPSKVPLFASNYGRAGYGSGSRTWIAGALALPAAAYMLQDQEVHAAELERTFIAIKPDGVQRGLISEIISRFERKGYKLVGIKVLIPSKEFAARHYHDLKERPFFDGLCDFLSSGPVIAMVWEGQGVITYGRKLIGATDPQKSEPGTIRGDLAVVVGRNIIHGSDGPETAKDEINLWFKPEELVSFTSNAEKWVYGVN >OIV94835 pep chromosome:LupAngTanjil_v1.0:LG17:1326928:1330353:-1 gene:TanjilG_22032 transcript:OIV94835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRRGWLWKKKSSDKITNAKKPLGTSEYVDSMLSSVANLEDQQENLKNKNYVQITMESYTHMSGFEDQVKVLEEKLSAAYSELSSKDVLVKQHAKVAEEAVSGWEKADAEVVSLRRELEVVTLSKLSVEDKASHLDGALKECMKQIRTVKEESEQKLQEVILMKSQHWEKIKLELEAKIGKLDQGLLQEVEENAELLRSLQESSHKILKLKEEKSEAEAEVGLLKKSVQSYEKEINSLKYELHLISKELDIRNEDKDMIMRSAEVANKQHKEAVKNFAKLEGECQRLRGLLRKKLPGPAALAQMKLEVGSLGQVTSGPFIRKSYKTDTIQEYEFLTRQIETLEEEKKSLKEALATSNAELQASRNLYSKIVARLKIQVFQQERSSQKSILETNSGNSSSIASNNPPSITSISDDVHVDPESPVESSAASIPDISDINRVRRVGKFESQKSKTMSELMDDFLEVEKMACLTDKGIVSKANESGKRDAEDEQSEDTPNAVDIRELRSNPEVPKEASPAEHAAYMQDLKETKLILQEKEHLLTELKEQLASSHQSYGLAEIQLKCMTESYKSLQTHVEELEDENMFLKEKMEKLKNDLEEQKQRHHDALVRYKEIEDKMQSLFATQMDMCSMCTTNSAADDGINTGKDMELAAADKKLSECQETLHILGRQLQALCPQIDLTMSHHSKRLQNNKMLVNPSHGWSNPYGYGSCNSNDIDQTEEFSNSSDIQGVNSEFSSTNLGSTSCLSDTEGSLSLNSSIGSNQPCYILFESNSCSSDPAIGNHAHGLSHFFLSKGKNGN >OIV93982 pep chromosome:LupAngTanjil_v1.0:LG17:19534812:19540217:-1 gene:TanjilG_05685 transcript:OIV93982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCLSIDEVNTNGSTKPKPSGSSVGHESSSKSTTSMNIKDLRESAGYSNVDIFSYEELRLATKHFRPDLILGEGGFGVVYKGVIDDSVRSGYKSIQVAIKELNREGFQGDREWLTEVNYLGQFSHSNLVKLIGYCCEDDHRLLVYEYMANGSLEKHLFRRVGSTLTWSKRMKIALHAAKGLAFLHGAERPIIYRDFKTSNILLDADFNAKLSDFGLAKDGPMGDQTHVSTRVMGTYGYAAPEYVMTGHLTARSDVYGFGVVLLEMLIGRRALDKSRPSREHNLVEWARPLLNNKKVVRILDPKMDGQYSSKNAIRVAHLAYQCLSQNPKGRPLMSQVVEFLENYQPEGGNVDQMPRSGSSSVTIYEVPKGSDDTTTEVKKQN >OIV94463 pep chromosome:LupAngTanjil_v1.0:LG17:4389716:4393644:1 gene:TanjilG_25525 transcript:OIV94463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEQWSSFLFFFFFIHLFHFSKPTSLPQFPCKKPHFSSYPFCNTSLSIPTRTHSLISLLTLSEKIQQLSNTASSVPRLGIPSYEWWSESLHGIAPNGPGVNFNGTVSSATLFPQVILSASSFNRSLWFDIASAIAVEARAMYNVGQAGLTFWAPNINIFRDPRWGRGQETPGEDPMVVSAYSIEYVKGLQGNGNGNGDGDEIMVSACCKHFTAYDLESWGNFSRYSFNAVVSAQDLADTYQPPFRSCIQQGKASCLMCSYNAVNGVPACASEDLLGVARNNWGFDGYITSDCDAVATIYEYQMYTKSAEDAVADALKAGVDINCGTFMLRNTESAIRQGKVKEKDLDRALFNLFSVQMRLGLFDGEPRRGKFGKLGPKDVCTSEHRALALEAARQGIVLLKNDKLLPLSQSTGVSLAVIGPMAATNFLGGGYSGIPCSSKSLYDGLKEFAEEITYVSGCHDVPCLSDDGFAEAVDSAKGADFVVIVAGLDQTQETEDHDRYSLLLPGKQMDLVLSVAVASKNPVILVLTGGGPLDVYFAEKNPLIASILWVGYPGEAGGKALAEIIFGEVNPGGRLPMTWYPESFTKVPMNDMSMRADPTRGYPGRTYRFYTGSRIYGFGHGLSYSDFTYKFLSSPTKINLSRATKNNLRKSLLSQNGEEVYGVNYVQVDELQNCKSSSFEVHISVMNHGDLDGSHVVMLFSTWPKVIEGSPQKQLVGFNRLHTIPYKSTETIISVDPCEHLSFADEQGKKILPLGSHILSVGDVEHMVSIDIF >OIV94619 pep chromosome:LupAngTanjil_v1.0:LG17:3091292:3096808:1 gene:TanjilG_25843 transcript:OIV94619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGEFHHHVFGDADHAGGYGEIEDSRVRIRHKRSKSASDRNLKISRGGVFHAVEKDQDESLVEQLQSRLQQEKSMRMLLERAMGRASSALSPGHRHFAAQTKDLIAEIELLEEEVTSREQHVLSMYRSIFEQCISRPPSEQNSVVASPAHTRHESRKHPSIISSAFCSSKKFPLRALISNNDLRNRIFGSSHAPSSSGKCKIYFGKTCPDSTKVRDKFSTMEKTPALRTLKDHLYQCPSRLSEEMVKCMATVYCWLRSATSVNPANSRSPLLSRSSTNGIQPQHGVGEDRDWSCKSAVEISWISSRKRHSSHASYAINNYRVLVEQLERVNVSQMECDGQIAFWINVHNALVMHAYLAYGIPQSSLRRLALFHKAAYNVGGHIISANAIEQKIFCFQTPRIGRWLESIMSAALRKKSEERQRISSKLRISDSQPLVCFALCMGALSDPVLKVYSASNIRDELNVAMREFLQTNVVVKKSSKVFLPKLVERYSREASISLDVLLGWVMESVDKKLCDSIQKCLDRRSNKKPTQIIEWLPYSSKFRYIFSKDLTDKPWWV >OIV94600 pep chromosome:LupAngTanjil_v1.0:LG17:3253008:3261063:-1 gene:TanjilG_06626 transcript:OIV94600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHSCKMADITNEGVSCCSCGNSLSTHIMVEDIFKRRQPLPTMDSIYFIQPTREKAFVFFSSPISRDLVMDIKKDTWVLPRLGALREMNLEFFAIDSQGFITSKERALEELFGDEENNYKGVASLNAMAKQIATVFASLREFPSIRFRAAKSLDTTTVTTFRDLIPTKLAAGVWDFLMKYRKTISNFPQRETCELLILDRSIDQIAPVIHEWTYDAMCHDLLKMEGNKYVHEVPSKTGGPPEKKEVLLEDHDPIWLELRHAHIADASERLHEKMTSFISKNKAAQIQHSSRDSVEISTRDLQQMVRALPQYSEQIDKLSLHVEIAGKINGIIKESGLRELGQLEQDLVFGDAGMKNMIKFLTTKEDTSSENKLRLLLILAAIYPEKFDGKKGKDLMKAAQLTDEDALVVNNLRMLGGQPNTKKRSTGAFGLKFDIHKKKRAARLDRSDEETWQLFRFYPIIEELIEKLAKNELSKEDYPCLNDPSPSFYSTPSTPVSGHVNQNSPAHSIRSRLRPTWARPRAVEDGNTSDSSLRLASSDFMKMGRRIFVFIVGGATRSELRVCHKLTGKLKREIILGSSSLDDPAQFIKKLRTLTTHELTLDDLQI >OIV93926 pep chromosome:LupAngTanjil_v1.0:LG17:18023612:18031618:-1 gene:TanjilG_05629 transcript:OIV93926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPHKTPSLAPDKDIDQAIASLKKGSHLLKYGRRGKPKFCPFRLSNDESLIIWYSGKEEKQIKLSTVSKIIPGQRTATFQRYPRPEKEYQSFSLIYNSDRSLDLICKDKDEAEIWFVALKALVTRGNNRKWRFETRCESVYSDSPHSGTGRSTPSVTPFDPGDSNRVVFDNSSQNKWVKAFSEIISYSAASKSPCQTELIANSSFSSASVDTSNYRNSASEAIRISLSSAVSSSSQGSYHDDFDGLGDIFIWGEGVGDGILGGGASSSFEIDAFLPKALESKVVLDVHSIGCGYKHAVIVTKQGEIFSWGKESGGRLGHGVEVDVSHPKLIDTLSGMNIELVACGESHTCAVTYSGDLFTWGDGTHNSGLLGHGNEVSHWIPKKVSGNMEGVRISYVSCGPWHTAVVTSAGQLFTFGDGTFGALGHGDTSSTNIPREVETLKGLRTTRVSCGVWHTAAVVEMINESVESSTHSPSGKLFTWGDGDKSQLGHVDREPRLVPECVIALDNENICRVACGDTLTVALTTTGYVYTMGSAAYGQLGCPAADGKVPTRVEDIIGDSFVEDIACGSYHVAVLTSRTEIYTWGKGLSGQLGHGDNDHRSKPTLVEFLKDKQVKSVFCGSNFTAVVCLRKWIPSVDHSVCSGCRNPFGFRRKRHNCYNCGLVFCKACTSRKSMKAALAPNSNKLYRVCDDCYSKLKKSADSVSSRRSVSLRSVSLQDGKVSKLQGSLLRLSSFGSIGQAESSHSKLPESHDSHLFPSLNGKLQVGSFAPSKSSNSLSEDSRKLLSVSESAPIVSCQPSSPGSSKSSPRKSCEAVNDDSKQKNEILSQEVIALRAQVEDLSCKSKSLEAELERTSKQLKEVSAVAADEAAKCKSAKEVIKSLTSQLKEMVQRRPGLNADPRTDFNAETTKNNVNDESHITNNITPINGCSSNASSNRILPNGTKTQSGKAEWVVQDEAGVYISLSSLPSGGNELKRVRFSRKHFTEEEAENWWAENGVRNLITLLLSLVLQVSFKRGKGDAIIISLWDFFTQWENVC >OIV93989 pep chromosome:LupAngTanjil_v1.0:LG17:19699382:19701703:1 gene:TanjilG_05692 transcript:OIV93989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQQTIQNRSAASSGKHVHCHVIKRGESCLDLFAYNILLNTYVQSGFLDDASKLFDEMPIKNTISFVTLAQGYSRSGQFYRALDLTLGLFREGYELNQFVFTTVLKLLVSMDWADMCWSIHSCVYKLGHLSDAFVGTALIDAYSVCGNVEVARQVFDGICFKDMVSWTGMVACYAENCCYEDSLQLFCEMRTMGYRPNNFTISGALKSCLGLEAFDVGKSVQGIALKARYDHCLYVGVALLELYTKSGDFVDAQLVFEEMPKNDLIPWSLMISRYAQSDRSKEALELFIRMRQTPVVPNNFTFASILQACASLSLLNLGKQVHSYVTKVGFDSNVFVSNALMDVYAKSGEIENSVKLFSESPEKNDVTWNTIIVGYVQLGDGEKALNLFSYMLEYDMQPTEVTYSTVLRACASLAAIEPGLQIHSLTIKTKYNKEIVVANSLIDMYAKGGRIYDARLIFDKMNKRDEVSWNAMICGYSMHGLGIEALNLFDMMQQTNCRPNKLTFVGVLSACSNAGLLEIGQAHFKSMLQDYGIEPCIEHYTCMVWLLGRSGKFDGAVKLIGEIPFQPSVMVWRALLGACVIHKNVDLGRVCAQHVLDMEPHDDATHVLLSNIYAGARRWDNVASVRKNMQKKRVKKEPGLSWVENQGVVHYFTVGDTSHPDIKLICAMLEWLNKKTRDAGYVPDCNAILLDVEDDEKKRLLWVHSERLALAFGLIRTPPTCSIRIIKNLRICVDCHTVIKLISKVVQREIVIRDINRFHHFRHGVCSCGDYW >OIV94856 pep chromosome:LupAngTanjil_v1.0:LG17:1170797:1172107:1 gene:TanjilG_22053 transcript:OIV94856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCIQSGKKCHGQAVKKGADSVLPVQNSLIHMYGSCGAIELAKLAFDTMLVRDLVSWNSIVDGHVMVGDMSGAHALFDEMPERNVVTWNVMISGFLKGRNPGYALKLFREMGKFGLKGNARTMVCVITACGRSGRVKEGRSVHGRIIRMFTRSSLILDTALVDMYCKCRRVGVGCRVFGRMANKNLVSWNTMILGHCIHGSAKDGLNLFDVMIGKREVEPRENPSVDRNAVRLLPDEVTFIGVICACARAELLIEGRSYFKQMTDVYNVKPNFAHFWCMANLLANVGLVEEAEEFLLNIAKLDGIMSYNLLLSAGLLGLCRFKRDVYLGERIANLLIDMDPKNIACYQFLLVIYAVAAQWENVSRVQKLMKERKFEMTPGSSLLDLKYIVHSFKMTNKQQEGIEALNKMLDELANRLRLPSSDSGNLSMSLTEITS >OIV94256 pep chromosome:LupAngTanjil_v1.0:LG17:7357361:7369628:1 gene:TanjilG_00005 transcript:OIV94256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTNDQRSYAESLFNLSKQTHPDPLFLNLANLLRSLNPETRTISAILLRRHLTRHHEDSTSSSFIYPHLSLSTRASIKSLLLSSLHSEPIKSITKKLCDTVSELAASILADNDWPELLPLLFQYVTSDDNRLQENSLLILAQLAHYLSETLIPHLQTLHDVFLRCLTGSSSDVRIAALAASINFVQCLSSSTDRDRFQDLLPLMMQTLTEALNSGQEATAQEALELLIELAGTEPRFLRKQIVDVVGSMLQIAEAESLEEGTRHLAIEFVVTLAEARERAPGMMRKLPQFVKRLFGVSMNLLLDVEDDPAWHSAEMEDEDAGETSNYVFGEECLDRLSIALGGNTIVPVASEVLQTFLAAPEWQKHHAALIALAQIAEGSSKMMIKNLEQVLSMILSSFHNAHPRVRWAAINAIGQLSTDLGPDLQVKYHHLVLPALAGAVDDFQNPRVQAHAASAMLNFTENCTPDILTPYLDGLVSKLLVLLQNGKQMVQEAALTALASIADSSQEQFQKYYDAVIPFLKAILMNANDKSNRMLRAKAMECISLVGMAVGKEKFRDDAKQVMDVLMSLHQTQLESDDPTASYMLQAWARLCKCLGQDFLPYMGFVMPRLLQSAQLKPDVTITSADSDAEFDEDDDSIETITLGDKRIGIKTSVLEEKATACNMLCCYADELKEGFFPWIDQVAFTLVPLLKFYFHEEVRKAAVSAMPQLLSSAKSAIEKGKSQGRDESYIKQLSDYIIPNLVDALHKEPEVEICSSMLDTLNECIQVSGTHLDEKQVRSIVDEIKQVITASSSRKHERAERAKEEDFDAEERELLTEENEQEEELFDQIGDCLGTLVKTFRASFLPFFDEFSSYLTPLLSKGSTSEERRIAICVFDDVAEHCREAALKYYDSYLPFILEACNDEYPDVRQAAVYGVGVCAEFGGSVFKTLVGEALSRLDAVIRHPNALHSENVMAYDNAVSALGKICQFHHDSINATQVVPAWLGCLPLKGDLIEAKVVHDQLCSMVERSDRELIGPNNQYLPKIVAVFAEILCAGNNLATEQTAGRMINLLRQLQQTLPPSTLASTWSSLQPQQQLALQSILSS >OIV94913 pep chromosome:LupAngTanjil_v1.0:LG17:759114:761134:-1 gene:TanjilG_22110 transcript:OIV94913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCIIKQLSIGSKYFIWPDAAVPAIVALEKASHDALSSDLQKYNQKLRSLDFNLKSNALLAHRLLNGELEPSKFINMTPTELKMTNARCSRCNKSKVGVRDIICAGDSDRYQLECIHCGNSWYASPDEVSTLTIYGNIGAAGHAD >OIV94372 pep chromosome:LupAngTanjil_v1.0:LG17:3424560:3429126:-1 gene:TanjilG_25434 transcript:OIV94372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSEDTLTYPPIDPDHFDLIIVGTGLSESVIAAAASAVGKTVLHLDHNSFYGSHFASLSLEELASYLNSHSLPALPSTATTTASNDDPDHVVVDLIREPLYSDVEIVSRDSDDEDVKLLRENSRKFNVDLGGPRALFSAGKSIDLLLKSGAAQYLEFKGIDESLVYQANEGLVNVPDSRGAIFRDKSLSLKEKNQLMRFFKLVQQHLAVDDGQNESGEGHRISEEDLESPFVSFLDKMRLPPKIKSIILYAIAMVDYDQDNNEVCKDLLKTKDGIDRLAQYSSSVGRFPNAPGALLYPIYGEGELPQAFCRRAAVKGCIYETSSSFAVAKDFVMVAISWIFLSESQERSYGEAVFCVLVTVIVTMYLSGRKCSLHVNVLRMPVISVLMDKVTGFYKGVRLASGQNLYSDQLILDPSITISSPLSSFPRDFPTERLQLLSERDIKGMVARGICITRSSIKPDVSNLSMVYPPRSLYPEQVTSIRALQIGSNLAVCPGGVFVLYLSTLCTEVDEGKKLLKAAMDAHLSLPVTGNSESIPFDQSDGQNTKPIVIWSAFYIQNITIGKLESISSTPTPDGNLSYNDLLDAAEKLFSQMYPQEEFFPKTTSPEDTIDDDDNGITLES >OIV94099 pep chromosome:LupAngTanjil_v1.0:LG17:13785106:13787930:-1 gene:TanjilG_05479 transcript:OIV94099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALALRSSISFINKKEPNFLKTSDDASTTVTFAKNKPLFPLRAKSSLQEAHKSFNSEAMRIEKGEIVHVPSIVHHKHNDDSKKVPVFVMLPLDTVTFGGHLNKARAMNVSLMALKSAGVEGVMVDAWWGLVEKDGPLKYNWNGYVELVQMLQMHGLKLQVVMSFHQCGGNVGDSCSIPLPPWVMEEISKNPDLVYTDRSGRRNPEYISLGCDSMPVLKGRTPLQVYADYMRSFRDRFKDYMGSVIVEIQVGMGPCGELRYPSYPESNGTWKFPGIGEFQCYDKYMIASLASAAEAVGKKEWGRSGPHDSGQYNQFPEDTGFFKREGTWNSEYGEFFLKWYSNKLLVHGESLLASSKGIFHTSGVKLSGKVAGIHWHYRARSHAAELTAGYYNTLHNDGYLQIAKMLARHGVVLNFTCMEMKDREQPDHADCSPEGLVHQVKMATRIAGAELAGENALERYDADAYAQVLLTSQSDSGNGLAAFTFLRLNKKLFDGDNWRQLVEFVRSMSEGGRRQRLSDSDSQGSDLYVGHIKEIQKTEQEQDIILV >OIV93977 pep chromosome:LupAngTanjil_v1.0:LG17:19429254:19437243:1 gene:TanjilG_05680 transcript:OIV93977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEATMSMSVCKSLHSPSSPITGLFGGIKGSECRSFLVRTNKVNFPKQNVNKKGVSYKQGQHKTQRFGALAATCRGGGGDKILIANRGEIAVRVIRTAHELGIPCVAVYSTIDKDALHVKLADEAVCIGEAPSSQSYLLIPNVLSAAISHKCTMLHPGYGFLAENAVFVEMCREHGINFIGPNPDSIRVMGDKATARETMKKAGVPTVPGSDGLLQSTEEAIRLAHEIGFPVMIKATAGGGGRGMRLAKEPDEFVKLLQQAKSEAAAAFGNDGVYLEKYVQNPRHIEFQVLADKYGNVVHFGERDCSIQRRNQKLLEEAPSPALTPELRKAMGDAAVAAAASIGYIGVGTVEFLLDERGSFYFMEMNTRIQVEHPVTEMISSVDLIEEQILVAMGEKLRYKQEDIVLRGHSIECRINAEDAFKNFRPGPGRITAYLPSGGPFVRMDSHVYPDYVVPPSYDSLLGKLIVWAPTREKAIERMKRALDDTIITGVPTTIDYHKLILDIEDFRSGKVDTAFIPKHEEELSMPPQKIVPSKRA >OIV94924 pep chromosome:LupAngTanjil_v1.0:LG17:667026:668675:1 gene:TanjilG_22121 transcript:OIV94924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMFSRLKTLSRAVHEEREHEVEEKLSKYPRIRSEGNSSSISLTVWKKSLLMSCKGFTVIDSYGNLVYRVDNYIGADKDQLTLMDASGNSLITIHRRRKLGLVDNWFVYEGEVGKQRRKKRSNSCKSSEIESPICCVKKHMNILPGNPNNIQAYVYRSDKQCVAFTIEGSYAHRTCKVLDKYKRVVAEIKKKEANTKHVSFGMDIFQLVVQPGFDPAFAMALVLLLDQMFS >OIV94604 pep chromosome:LupAngTanjil_v1.0:LG17:3220650:3221356:-1 gene:TanjilG_06630 transcript:OIV94604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANKTTIIRSVIQTAMEIPLSPMAFESTMLIDGFHGTTYTIQDSKTGDVSKRTTSGPPAKVSSPRRPKKTVVIAVLPNQAKFITAIGSASLARTLTSGISMSLFSMSAYPCAGGGSVAGWLVLSFNLDVDDIFA >OIV93773 pep chromosome:LupAngTanjil_v1.0:LG17:21131972:21133771:1 gene:TanjilG_07676 transcript:OIV93773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLIEQQSQHSQQRSPSILDTLLCEEQHIFEEGEDFVTKNDDGSSLSVTKFQSLHLVLLENDLLWEHEELVSLISRERETHLTHLIFDGSLKEHRVEAVSWISKVCAHFGFYALTNVLAVNYFDRFITNLRFQNDKPWMTQLVAVTCLSLAAKMEETYVPLLLDLQVEESRFVFEAKTIQRMELIVLSTLKWRMSPVTPISFFEHIVRRLGLKSRLHWEFRWRCERILLNVIADSSVTSYLPSMLAAATMIHVIKEIEPFNATHYIDQLLGLLKISEEQVSQCHKLILKLLVCNERIHNLRQKRKRLSGPSSPGGVIDASFSCGSSNDSWTDTSPVSHSREPLFKKSRAQEQKMRLPSVNHVSIDVRNIPRK >OIV94340 pep chromosome:LupAngTanjil_v1.0:LG17:6091912:6096030:1 gene:TanjilG_21680 transcript:OIV94340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNIVVVKLTSLLYTLSLLLFLCFKPIASSRHRQWNGGRKLSFGDNGDLVTNLPSQPHVDFQHYAGYVMVNETNGRALFYWFYEAMTNPQEKPLVLWLNGGPGCSSVGYGATQEIGPFLVDSDGQGLKFNNFSWNREANMLFLESPVGVGFSYSNTTSDYEQLGDDLTANDAYSFLHNWFLKFPSYRTRTFYIAGESYAGKYVPELAELILDRNNDPSLHINLKGILLGNPETSDAEDWIGLVDYAWSHAVISDETHKIIRKSCDFNNSDPWTNEDCTKGVGEVFKQYKEIDIYSLYTSVCFASTASSNDQSMQRRLLRMMPSIMGGYDPCLDGYAKAYYNRPDVQKALHASDGYNLKNWTICNNKIFDDWADSKPSVIPIYKKLISAKLRIWVYSGDTDGRVPVLSTRYSLSTLSLPVTKQWSPWYHENQVSGWYEEYEGLTFATFRGAGHAVPCFKPSNSLAFFSYFLRGESPPSTK >OIV94079 pep chromosome:LupAngTanjil_v1.0:LG17:13277507:13277971:1 gene:TanjilG_05459 transcript:OIV94079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKKTEKPTCSSAPNHRETRVLRQKSCRRVNRRRRRKDGGSRNRHPHPAVGTTEAPPPWLSHIQAETCHLAVEWTQDRKVVTTTVRGVVSSVISIVPSPSSIPITKGSWRLNEEENLFTLFLPNNEPDYTKYEPLIFTQPNLLPLGPFLFRFKH >OIV93875 pep chromosome:LupAngTanjil_v1.0:LG17:16820333:16821862:1 gene:TanjilG_05578 transcript:OIV93875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQQMQFQMVSGNTTDQYNDTTFTKLFVGGLAWETQRDTMTRYFEQFGEIVEAVVITDKNTGRSKGYGFVTFKDPVVAMRACQNASPVIDGRRANCNLACLGANKNKPPPSDQHGVGRFRPPPGLVAPPAYHGSSSFFHQPNGQYTFPYSGYGYSGYSQDTLYPTGYYGIYGGQHFSPYYQSNAASGAVGLVHNMYPYYGQYAHTSQAHGFGVQYPQITQLPIMHQQHYGSTGILSFPSSIPLPTITSAVSAAATTTTATTTAISTSKVSEQNSSSQG >OIV94854 pep chromosome:LupAngTanjil_v1.0:LG17:1177966:1179322:-1 gene:TanjilG_22051 transcript:OIV94854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFIFQMLLSQLHLLILWCFVIAIIFQHVCAVSLPNNETVPAVIVFGDSIVDPGNNNNISTVIKCNFLPYGRDFAGGNRPTGRFSNGLVPSDIIAAKFGVKKLLPAYLDPNLQLQDLLTGVSFASGGAGYDPLTSKLAELYGVGARRIGVMGLPVIGCVPSQRTASGGIHRTCSDSENHAAIIFNIKLFSLIHALKLKFPEAKLVYLDIYTPLLNMIQNPSKYGNGTQ >OIV94464 pep chromosome:LupAngTanjil_v1.0:LG17:4398862:4401620:-1 gene:TanjilG_25526 transcript:OIV94464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDFFLNEKTKSKEWRKYLVFREEWNKYRDNFYIRCQTRANMENDPTIKEKFVSLGRKMKKIDDEMEGHGELLKEIQDSPTDINAIVTRRRKDFTGEFFRYLSVISDTYNSLEDRDAIARLGTRCLSAVSAYDNTLEIVDTLDTAQAKFDDILNSPSIDVACDKIKSLAKAKELDSSLILLISSAWAKAKESTTMKNEVKEIMYQLYKTTKSSLRSIIPKEIKLLKHLLNIIDPEERFSALATAFSPGDGNEAKDPDALYTTPEELHKWIKIMLDAYNLNKEETDLREARQLTQPVVMQRLLILKETIEEEYIAKNAAQKGETKDDDSKSEEFNL >OIV93927 pep chromosome:LupAngTanjil_v1.0:LG17:18036992:18041325:1 gene:TanjilG_05630 transcript:OIV93927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSFTLLLLILSFILYPLPSSSSSLFKHRLLRTEPSSTKQTETKISYKTKYLQQNLDHFTFNNNLPTFPQRYLLNTDHWDPSSVGPIFFYCGNEGDIVWFAQNSGFLWEIAPMFKAMLVFPEHRYYGESVPFGSKDEAYKNASTLSYLTSEQALADFAVLITYLKHNYSALLSPVVLFGGSYGGMLAAWMRLKYPHIAVGALAASAPILQFEDIIPPQTFYDIVSNDFRRESVTCFNYIKQSWDEIASRGQTNDGLVQLTRTFNFCQQLNSAEDLSGWLSSAYSYLAMVNYPYPSEFMMPLPGHPIKEVCRRIDGGPPGTSIPERIYEGVNVYYNYTGEAKCFELDDDPHGLSGWNWQACTEMVMPMSSSPDFSMFPPYEFNYSSFEEECLKEFGVKPRPKWITTEFGGHNIHATLKKFGSNIIFSNGLLDPWSGGSVLQNISESVVALVTEEGAHHIDLRASTKNDPTWLIEQRATEIKLIQGWISDHYQKNKVIFDM >OIV93744 pep chromosome:LupAngTanjil_v1.0:LG17:20871732:20875389:-1 gene:TanjilG_07647 transcript:OIV93744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSQSELLADQQEICIGADDQDNLSLEQAVGGLAVNGMHCSSHEQQSTSAGTPGSVSVDKICEENILLFMRCEEYRKRDIELKQTVEELEEELKEVQMKCTHLSSLLEAKRKHKNVKGQ >OIV94715 pep chromosome:LupAngTanjil_v1.0:LG17:2151449:2152660:1 gene:TanjilG_06178 transcript:OIV94715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRKGEERESQQHVLAEMDMAQVGVKTRARASLAMEEANTTTSTAQTSKRRKINHTSEEKKKSSTNESPRNGVTVVSPVLVTEEGFSSPTSDNEFPASCCSSNGSVDEERMKVLDLEVESAQVETSTCNYGEEIERREMSLGENFQEVEANSRRSESTAQNMPTQSELDEFFTTAEKDIQKTFQNKYNYDIVKDVPLEGRYEWVQLKP >OIV94449 pep chromosome:LupAngTanjil_v1.0:LG17:4210571:4211383:1 gene:TanjilG_25511 transcript:OIV94449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSKVLNIVFFVLLGLGVCSAARTLLTYGLDTGFGGGIHGGANVAVGGYGGGGGAGGGGGGGSAGGHGVGGYGGGAGGGEGAGGGYGAAGGGGGGGGQGGGGGSGGGGGGGAAGGGYGGGSGKGGGGGYGQGGAHGGGGGGGSGGGGGGGAGGAAGGGGYGAGEGGGAGGGYGGANGGGYGGGGGNGSGGGGGGGAGGAHGGGYGSGGGAGEGAGGGYGGGVAGGGGGGGGTGGGGGGGGGTGGGGAHGGGYGAGGGSGEGGGHGGYYP >OIV94288 pep chromosome:LupAngTanjil_v1.0:LG17:9010667:9015538:-1 gene:TanjilG_00037 transcript:OIV94288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHMHHSFEENLPNPVPVLSEPELRETAYEILVAACRSSELKPLTFKSNSKGNGRITRSPCLHRLVSCVGESKVKKELGMKSLKGEGGKKSIRTMTMGELMRVQMKVSEMNDTRIRRALLRVSKAKLGKRIELMVLPLELIQHLKCSDFHSQQDYESWIRRNLKVLEAGLILHPHLPLDHKSDSSAQTLKQIINESLKNPMDIANNNESMQNFRDFVKSLACRSYDESATQICHWADGFPLNLMIYQALLEACFDNNEETFMIEEVDEVLELIKKTWNVLGMNEMLHNICFSWVLFHQYVVTGQVENDLLSASSNLLREAEKDFKSMTDPFYSKSVSSILSLMLGWAEKRLLAYHDTFCRGNVESMQSIVSLAVSSAKILVEDMSLEFNNKMRIEANVSCSRVENYIRSSLHDVFTQQKLEKLDPRKHASRKQNKALLSLAVLAQDISELAFYEKETFSPILKRWHPHAAGVAVATLHLCYGNELKQYVRSIKEMTPDAVKMLLAADKLEKDLVHIAVEDSIDSEDGGKSIIREMHPYESEVVIANLVKSWIKTRVERLEEWVDRSLQQEVWNPRANDGIALSAVQVLRVIDDSVEAFFQLPIPMHAVLLPKLISGLDKSLHQYILKAKSGCGNPNSFIPTMPALTRCSTGSKLHGLFKRKEKIPVTQRRKSQVGATNIDSSFGLPQLCVRINTLQHIRMELKVLEKRTISHLGSSKSTNDNDIEGVLKFKLSEVASIEGIHQLCEAIAYKITFHDLCHVLWDGLYVGEVSPTRIVPFLEELEQCLKIISSIVHDDKAKTHVLTEVMKACVEGFMMVLLGGGPSRAFSLEDSVIIKEDFRFLTDLFRFNEDNGLPAEIREKHSTNAKGVLTLFGMDTETLIQQFNQLNLEMYGSSAKSKSPLPSTPTQWSPRKPDTLFHVLCHRNDEIAAKFLKKNYNLPTKL >OIV94638 pep chromosome:LupAngTanjil_v1.0:LG17:2958610:2960129:1 gene:TanjilG_25862 transcript:OIV94638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFCTKLDAYSSHEALMSTYTMNPYYFQYFDCILKNMFNSWTSLFGAAFPDCVPHSVAYVIELLTLRHCPGCQFYRAENRGQSWDSEGNHIENAAFGPPYALIQGILEAQGNPFKKLPIEDCPTLRKGSIAWIGSGPEFFISLANHAEWKNIYTVFGSVLPEDMHIAEKIATLPTVSDVWNNVNVTVLEKPVPLWLRRVKKNSED >OIV93821 pep chromosome:LupAngTanjil_v1.0:LG17:20655014:20660502:-1 gene:TanjilG_03784 transcript:OIV93821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVSKYKKLCFCVVSWFCYEVGLVMGSSNEKGSGSSRELDHTPTWAVAFVCTVFILISITMEKSLHKVGMWLQQRHKKSLLEALEKVKAELMILGFISLLLTFGQRYIVRICIPAKVANKLLPCPLKDKHRTKLVSYEHRYLSEDTTSLRCKGRYVPLLSVTGLHQLHLLLFFLAILHVLYSAVTMLLGRLKIRGWKAWEEEIASDRFEFDNDRSRFQFTHDTPFVRAHATFWTRYSVFFYIGCFFRQFYRSVRKADYVTLRNGFITVHLAPGSKFNFQKYIKRSLEDDFKLVVGISPVLWASFVVFLLLNFNGLQAMYWASLIPLIIILVVGTKLQATLANMAIEITDRHAVVQGMPLVQGSDKYFWFGRPQLILHLIHFALFQYSFGKETCVHAGDILAIVKVALGYITLPLYALVTQMGSTMKKSIFDEQTKKALKKWLMDAKKNKGSKAGKSTVRTADGSTNGSTIHSSGPTLHRFKTTGHSTRTRTISPDYNQDDHHSDIEMSPMLPTSSLIVRVDHGDQEAKENEHQV >OIV94655 pep chromosome:LupAngTanjil_v1.0:LG17:2837897:2838115:1 gene:TanjilG_25879 transcript:OIV94655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRITVINQSRILSSKTIIMLLRVSEKRERRRGNEVKGLTEWKKLDMSRSEAERGRGWGGGERVGKAGGLRLS >OIV94122 pep chromosome:LupAngTanjil_v1.0:LG17:12186456:12187661:-1 gene:TanjilG_29222 transcript:OIV94122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPKFCSDARGPWSQSFVLMHVVHGAKVWCTILTEIPQHQMHIPDRGSKAFLTEAPRHLGTRDFAKEQMTIRKKAFSIIEGIFERHGATALDTPVFELRETLTGKYGEDSKLIYDLADQGRELLSLRYDLTVPFARFMAMNGLTSLKRYQIAKVYRRDNPSKGRYREFYQCDFDIAGPSEKMGPDF >OIV94930 pep chromosome:LupAngTanjil_v1.0:LG17:615965:616912:-1 gene:TanjilG_22127 transcript:OIV94930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKYTRHVTHTKHVKEAEFLNNRSHPKVVRITVTDTDATDSSSDEEHECSTRHRPPTKFINEIIFKPFKKDTVFSRKRTSVKPKSSAVGKPIIPASVQPEKFAAGKKYRGVRQRPWGKWAAEIRDPVRRVRLWLGTYNTAEEAAMVYDNVAIKLRGPHALTNFITPSPEDKKPSTVTHSDDISVEESYTQNCFFSPTSVLQRCSLSEEAESVTRDEVCENSCVSQNLFETEKLNFMSSQIPNTTVFDFQGSFMAHDIFNIEETIFLNDDCKSDMFLTTCEDLQLDLDLKGWYEKDDEFFEEINDEMFDLDSLVAK >OIV94397 pep chromosome:LupAngTanjil_v1.0:LG17:3628773:3633146:1 gene:TanjilG_25459 transcript:OIV94397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDRLTRIAIVSNDRCKPKKCRQECKKSCPVVRTGRLCIEVSQASKIAFISEELCIGCGICVKKCPFEAIQIINLPKDLDKDTTHRYGPNTFKLHRLPVPRPGQVLGLVGTNGIGKSTALKVLAGKLKPNLGRFTNPPDWQEILTYFRGSELQNYFTRILEDDLKAIIKPQYVDHIPKAVQGNVGQVLDQKDERDAKAELCADLQLNQVIDRNVGDLSGGELQRFAIAVVAIQHAEIYMFDEPSSYLDVKQRLKAAQVIRSLLRPNSYVIVVEHDLSVLDYLSDFICCLYGKPGAYGVVTLPFSVREGINIFLSGFVPTENLRFREESLTFKVAETPQETAEEAQSYARYKYPTMTKTQGNFKLRVVEGEFTDSQIVVMLGENGTGKTTFIRMLAGLLKPDAVEGGSDLDMPEFNVSYKPQKISPKFQSTVRSLLHQKIREAYTHPQFISDVMKPLLIEQLMDQEVVNLSGGELQRVALCLCLGKPADIYLIDEPSAYLDSEQRIHAAKVIKRFILHAKKTAFVVEHDFIMATYLADRVIVYEGQPSIDCTANCPQSLLSGMNLFLSQLDITFRRDPTNFRPRINKLDSTKDREQKHAGSYYYLDD >OIV94292 pep chromosome:LupAngTanjil_v1.0:LG17:7055594:7061967:-1 gene:TanjilG_25661 transcript:OIV94292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPNNATSMHSHCVDITMEVHQVVPPPYKSTLHKFKARLKETFFPDDPLRQFQGQSLNRKLILGAQYVFPILQWGPNYTLKLFKSDLVSGLTIASLAIPQGISYAKLANLPPIVGLYSSFVPPLVYAVLGSSRDLAVGPVSIASLVMGSMLRQEVSPTLDPILFLQLAFTSTFFAGLFQASLGILRLGFIIDFLSKAILIGFMAGAAIIVSLQQLKSLLGITHFTNQMGLVPVMTGAPLVSVIISTLLVFAIKGQKHGISVIGKLQEGINPPSWNMLRFHGTYLGLVMKTGLITGILSLTEGIAVGRTFAALRNYKVDGNKEMIAIGFMNVIGSSTSCYVTTGAFSRSAVNNNAGAKTAVSNIVMSVTVMVTLLFVMPLFQYTPNVVLGAIIVTAVIGLIDIPAALQIWRIDKFDFIVMLVAFFGVIFISVQLGLAIAVGLSTFKILLQITRPKIVMLGKIPGTDIYRNLDQYKEAERIPGFLILSIEAPINFANITYLNERTLRWIEEEEDNIKEHSNLRFIILEMSAVSAIDTSGISLFRELKSTLEKKGVELVLVNPLAEVIEKLKKADKDNDFIRADNLFLTVGEAVASLSSAMKSQSSTMTEGAQTIVAHY >OIV94110 pep chromosome:LupAngTanjil_v1.0:LG17:12749915:12750271:-1 gene:TanjilG_29210 transcript:OIV94110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVESGACACILKMMVGENGGDRDGACTSVLEIMVVGNGAYVCVLKKVAGENGGDGGGACTYVLEIVVVRNSSCACVLKIVVGENGGSGGGACTSVLEIVVLGSGACSCVVEMVASGA >OIV94959 pep chromosome:LupAngTanjil_v1.0:LG17:415595:417530:1 gene:TanjilG_22156 transcript:OIV94959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIGVMVMMTQSLKTIMSSYHDLNKNSCLSPLPPGQPRSRQWRQKRTGTLAVAIVALLMTTTAWLSLVFSDATACCFHRLKDWESCSPFFIGKKCIPHHLPKVTPPHSVQYGTRGDHLHNSSSIVEQEDLSLKHVVFGIAGSSKLWKQRKEYIKLWWRPNDMCGHVWLDEQVLQEHGDDLLPPIMVSEGISHFRYTNPLGHPSGLRISRIVKESFRLGHSDTRWFVLCDDDTIFNVDNLVDVLSKYNSSEMIYIGSPSESHSANSYFSHSMAFGGGGIAVSYPLAKALSEILDECIERYPKLYGSDDRLHACITELGIPLTWEHGFHQWDIRGDAHGLLSSHPIAPFVSIHHVEAVNPFYPGLSSLDSLKLFTKAMRTDQRSFLQRSICYDHARHLTFSVSLGYAIEVLPNIVLPPELERSERTYSAWNGITKRDEFDFDAREPSRSFCKKPIIFFLKDAGRESNASWGSYIRTRDKDDLKRRIFCFPHLPPLRNVQEIQVVVQPLSKNWHLATLFGCPRYHVVSVVGKAMLAKKSSKSQLESVGRELLARSTDVYVSLV >OIV93844 pep chromosome:LupAngTanjil_v1.0:LG17:20172785:20189095:1 gene:TanjilG_13859 transcript:OIV93844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSVSHSIFHQTLIYQSKVVNSCGNRLGSKNKFLLSTNFRGNRFCVKKLKEKHQQHRFVNPCAVLTSNAASEDVCYLFNNAVLDSLVNILSGKFNLEGNLELQVVVNSSAPVAARQVDIQVSNSSGSLVLHWGVVHDRQGWVLPSRSPDGTKVYKNRALRTPFVKSGSGSFLKIEVDDPAAKAIEFLILDESQNKWFKNNGENFHIKLPVKDRLAQQVSVPEDLVQVQAYIRWERKGKQIYTPEQEKEEYEAARRELLEEVTRGTSVQDLRARLTNKTNATEVKEPTVYEPKTIPDELVQIQAFIRWEKAGKPNYSPEQQLMEFEEARKDLLSELEKGASVDEIRKKITKGEIQTKVAKQLNTKEYFNVQRIQRKKRDLTKLINRNVVENIVEQYIESSKTLTIIESYAKAREEYDGSLVLNKKIHKLADGDLLVLVTKDDDKTNIHLATDSKVPVTFHWALTRTPGGGEWLVPPANALPPGSVTMEGAAETPFKAGSSSHLSYEVQTLDIEVKDDTFKGIPFVILSGGKWIKNNGSNFIIEFGGKKQIQKDFGDAKGTAKGLLDKIAEMESEAQKSFMHRFNIASDLIDEAKNAGQLGLAGILVWMRFMATRQLIWNKNYNVKPREISKAQDRLTDLLQDVYTSYPQYRELVRMIMSTVGRGGEGDVGQRIRDEILVIQRNNDLGGMMEEWHQKLHNNTSPDDVVICQALIDYINSDFDIGVYWKTLNDNGITKERLLSYDRAIHSEPNFGRDQKEGLLRDLGNYMKTLKAVHSGADLESAITNCKGYKAEGLLEARQELQPSLKMSQSRLKDLLFLDVALDSTVRTAVERGYEQLNNAGPEKIMYFISMVLENLALSSDDNEDLIYCLKRNNDLGGMMEEWHQKLHNNTSPDDVVICQALIDYINSDFDIGVYWKTLNDNGITKERLLSYDRAIHSEPNFGRDQKEGLLRDLGNYMKTLKAVHSGADLESAITNCKGYKAEGQGFMVGVNINPVSGLPSGFPELLQFVMENIEDKNAEPLLEGLLEARQELQPSLKMSQSRLKDLLFLDVALDSTVRTAVERGYEQLNNAGPEKIMYFISMVLENLALSSDDNEDLIYCLKGWDIALSMCKSKDTHWALYAKSVLDRTRLALTNKAELYQQILQPSAEYLGSLLGVDRWAIEIFTEEMIRAGSAASLSTLLNRLDPVLRKTANLGSCFDSDNLLMQFWMAWNSWQVISPVETIGYVEVVDELLTVQNKSYERPTILVAKRVKGEEEIPDGAVAVLTPDMPDVLSHVSVRARNSKVCFATCFDPNILAELQANKGKLLRLKPTSADVVYSEVKESEFIDDKSTHLQEFGSGSPISLVKKQFSGRYAVSSEEFTVEMVGAKSRNISYLKGKVPSWVGIPTSVALPFGVFEHVLSDKSNQAIAEKVNVLKKKLTEGDFSALKEIRETVLQLNAPSQLVEELKVKMKSSGMPWPGDDGEQRWEQAWKAIKKVWGSKWNERAYFSTRKVKLDHDYLSMSVLVQEVINADYAFVIHTTNPSSGDSSEIYAEVVKGLGETLVGAYPGRALSFICKKHDLNSPRVLGYPSKPIGLFIRRSIIFRSDSNGEDLEGYAGAGLYDSVPMDEEDKVVLDYSSDQLIIDGNFRQSILSSIAGAGNAIEELYGSPQDIEGVIRDGKVYVVQTRPQM >OIV93761 pep chromosome:LupAngTanjil_v1.0:LG17:21017142:21019244:1 gene:TanjilG_07664 transcript:OIV93761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSMATLSSNPFFLHRFQPSLQFPLFVSFPFHHHYNSHKFKIFASPNNPIPQDTPTSTEKLVVEPSNTKESPPEIPSKDINRKVAIVSILSALGFFLFTRLDFGVSLKDLTAVALPYEQALSNGKPTVVEFYADWCEVCRELAPDVYKIEQQYKDQVNFVMLNVDNTKWEQELDEFGVEGIPHFAFLDKDGNEEGNVVGRLPRQLLLENVDALARGEKSVPHARVVGQYSSAEARKVHQVADPRSHG >OIV94883 pep chromosome:LupAngTanjil_v1.0:LG17:962990:967593:-1 gene:TanjilG_22080 transcript:OIV94883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGESPVEGNGGVNINIRCSNGLEADHTIHLVRGFAPGNAAGGTNTGTSNTTPNNTSGAGANVGGGLGGPGVGASPFPGLGINGLGGSGLFGEGFPDLEQMQQPFLSNPNIVREIMNTPAMQNLINNPEIVRNLIMNNPQMQELMDRNPELAHILNDPSTLRQTLEATRNPEIMREMMRNTDRAMSNIESSPEGFNMLRRMYENVQEPFLNATTMGGNTANDNASILGTQGGQVRNQSTNPSTTSSEATSPAPNTNPLPNPWSSTGTGGAQTNTRGPTTGGDARQQTPTGLGGLGLPDLEGMLGSMPDAAMMTQLMQNPAISQMMQSMLSNPQTMNQVLGANAGQRGMPDMNALREVMQNPEFLRLFSSPETLQQLMSFQQSFMSQLGQQQSTREPGQTGAGTGPFNNMGLEMLSSMFGGLGTGSLSVPNRSNEPPEQLYATQLSQLQEMGFFDTQENIRALIATSGNVHAAVERLLGNSGGQ >OIV94394 pep chromosome:LupAngTanjil_v1.0:LG17:3600150:3602789:1 gene:TanjilG_25456 transcript:OIV94394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQNCIAPKPQYSNSNHMEEPPELTQPHNPSVLATMYPITLKFKEVVYKVKLEHKGLCWGSTWTCKEKTILNGMSGVVCPGEILAMLGPSGSGKTTLLTALGGRLGGKISGEITYNNHPFSGSIKRRTGFVAQDDVLYPHLTVTETLVFTALLRLPNSLTRDEKVQHVERVIAELGLSRCRSSMIGGPMLRGISGGEKKRVSIGQEMLINPSLLLLDEPTSGLDSTTALRILSMIKRLASGGRTVITTIHQPSSRLYYMFDKVVLLSEGCPIYYGPASTALDYFSSIGFSTCVTVNPADLLLDLANGIAPDSKHATEQSESLEQERKVVRETLISAYDKNIATRLKAELCSLEVNNQTITKDACTKSHTKHEQWCTSWWHQFKVLLQRGVRERRHEAFNRLRIFQVVALIFFFSVFWGFYPLYNAVFTFPQERRMLIKERSSGMYRLSSYFLARTIGDLPLELALPTAFVFIIYWMGGLKPDPVTFILSLLVVLYSVVVSQSLGLAFGAILMEVKQATTLASVTTFVFLIAGGYYIQQIPPFIVWLKYMSYSYYCYKLLLGVQYNDDDYYECSKGVLCKVIDFPPIKSVGLNHMWVDLSIMALMLLGYRLVAYLALRRVK >OIV94946 pep chromosome:LupAngTanjil_v1.0:LG17:498564:500003:-1 gene:TanjilG_22143 transcript:OIV94946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFDLAPEGDAKVGDKIFRTKCAQCHTVDKGAGHKQGPNLNGLFGRQSGTTPGYSYSAANKNKAVTWEENTLYDYLLNPKKYIPGTKMVFPGLKKPQDRADLIAYLKESTAN >OIV94253 pep chromosome:LupAngTanjil_v1.0:LG17:7186024:7186203:1 gene:TanjilG_00002 transcript:OIV94253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALNMKVFLALMVAMLVMAATSVSAAEAPAPSPTSDATTLFIPTAFASLIALAFGLLF >OIV95004 pep chromosome:LupAngTanjil_v1.0:LG17:118744:126267:1 gene:TanjilG_22201 transcript:OIV95004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLANHLQNGIETARLVWSQIPNSEQSEFLDDAVGLSKKSDGCAVESLDYEVIENFAYREQQAQRGKLYVSYLLVVKWFFALVIGIGTGLAAVFINISVENFAGWKFSLTFDIIQKSYVAGFIVYVLINLVLVYSSVIIVTQFAPAAAGSGIPEIKGYLNGVDIHGILLFRTLVGKIFGSIGSVGGGLALGKEGPLVHTGACIASLLGQGGSTKYHLNSRWFQVFKSDRDRRDLVTCGCAAGVAAAFRAPVGGVLFALEEVTSWWRSQLMWRVFFTSAIVAVVVRTAMGWCKSGICGHFGSGGFIIWDISDGQEDYSFAELLPMAIIGVIGGLLGALFNQLALYITTWRRNHLHKKGSRVKIVEACLVSLLTSAISFGLPLLRSCSPCPESDPASGIECPRPPGMYGNYVNFYCSKDKEYNDLATIFFNTQDDAIRNLFSAKTKHEYSPQSLLTFLVMFYALAVVTFGTAVPAGQFVPGIMIGSTYGRLIGMFVVKFYKKPSIEEGTYALLGAASFLGGSMRMTVSLCVIMVEITNNLKLLPLIMLVLLISKAVGDAFNDGLYEEQARLRGIPLLESRPKYEMRNMTAKEACGSGRVVSFPRVAKVSDVISILRNNEHNGFPVIDHTRSGEPLVIGLVLRSHLLVILQSKIDFQHSPLPADPRGGARSIRHDSGEFAKPVSSKGISIDDIHLSSDDLEMYIDLAPFLNPSPYIVPEDISLTKVYTLFRQLGLRHLFVVPRPSRVLGLITRKDLLIEDTENMNTLELQSTGVRSQHQNRRLMTRNADVEHPLLNGLLVPNEISD >OIV94307 pep chromosome:LupAngTanjil_v1.0:LG17:6813161:6814125:1 gene:TanjilG_19313 transcript:OIV94307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSTFEWLSRLLELLLDCRDPENLFPLNLSARTRLGIGLFRLNNGSDYPELSTRFGVPVSAAKFCVKQLCRVLYYQVLQERYKDESCRFENDFVRGNALVTRSTLATMAKKNS >OIV93971 pep chromosome:LupAngTanjil_v1.0:LG17:19284328:19287616:-1 gene:TanjilG_05674 transcript:OIV93971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHDDENPLVLGGVIEDILYSFTSSVSLLVFINNREISNGCELRPSHLVKRPRVSVGGEDLRTFYTLVLVDADAPSPSNPFLKEYLHWMVTDIPATTSAVFGKEVMFYERPEPSAGIHRNVLILFKQLGRDTVITPQWRQNFKSRSFAESNNLVPVAAAYFNCQREHGCGGRRSE >OIV94777 pep chromosome:LupAngTanjil_v1.0:LG17:1793782:1795375:-1 gene:TanjilG_12990 transcript:OIV94777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHLNIEDHIMLTSQLYSAGPYTQIVPQQGEYKKPRRRRNKKCRGGESGALDAHKKRKLSDEQVNMLEQSFGNEHKLESERKDKLAMELGLDPRQVAVWFQNRRARWKNKKLEEEYSTLKKAHEATTLEKCLLETEVLKLKEQLSEAEKEIQILKHTDRVSSNSPSSSQQSQSMEAVVDPPFFGEFQVDGYDDDVFYVPETHYINGMEWINLYI >OIV94616 pep chromosome:LupAngTanjil_v1.0:LG17:3116864:3117706:1 gene:TanjilG_25840 transcript:OIV94616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERHKCKLCSRTFVNGRALGGHMKGHFATLPLPPKPEPVTTHCDDEYASLCSEEDHEEEREEKDMVYGLREKPKKSFRLADPKFSFQSDTVVQDRESETESKNPTRKRSKRNRKCMEPTNKGKLSLVELSPVSSVSETWPEEDVAMCLMMLSRDTWKKKNIMEEQQQKVKPKGLKRVNGKHNKCDKCGKTFRSSRALGSHKSICYSDDDDKSKIFECPFCYKVFGSGQALGGHKRSHLIPSSSSNANNHSTTSPKFKDTFIDLNFPPQPEEDDLSVVSDA >OIV94719 pep chromosome:LupAngTanjil_v1.0:LG17:2194092:2198499:-1 gene:TanjilG_06182 transcript:OIV94719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLYRGESKRFTKPKGRHNLTHQKKQEIKEAFELFDTDGSGTIDAKELNVAMRALGFEMTEESSLYRGESKRFTKPKGRHNLTHQKKQEIKEAFELFDTDGSGTIDAKELNVAMRALGFEMTEEQITQMIADVDKDGSGSIDYEEFEHMLTAKIGERDTKEELMKAFHIIDQDKNGKISVSDIKHIAKELGENFTDREIQEMVDEADRHNDGEVNADDFIKMMKRTNYRH >OIV94697 pep chromosome:LupAngTanjil_v1.0:LG17:2480763:2484340:-1 gene:TanjilG_25921 transcript:OIV94697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFMKLGSKPDAFQTDGDNIRYVAAELASDVVVIVGEVKFYLHKFPLLSKSACLQKLLADTNEENNDEVHIHDIPGGPVAFEMCAKFCYGMVVTLNPYNVLAARCAAEYLEMYETVEKGNLVYKIEVFLNSSIFRSWKDSIIVLQSTESLLPWSEELKVVSHCLDSISTKATMDTSMVEWSYTYNRKKLPSENGNESHWNGVRKQQMVPKDWWVEDLCDLQLDLYKRVISSIITKGNVSGPVIGEALSAYASRRLPGFNKGVIQGDDFVKNRLLLETIVHLLPADIGSVSCRFLLKLLKAAILLECEVFERSELMRRIGQCLEEATVVEAAMFNVDTVQRLVEEFVKHKQHAWTESLLEEEPQEIRSPKMVSDLSDKVKVAKLVDGYLAEIARDPNLPLFDFVNLAELVSSFPRPTHDGLYRAIDMYLKEHSGISKSERKSICRLMDCRKLSAEACMHAVQNERLPLRVVVQVLFFEQLKATSSGGNSTPDLPGSIRAMLPAGSHESSRSTTTNTEEEWDAVGTSEDIQTLKGELTTLKLTSGATQSSDKNSSNDGKGNTENVAATKSKGFQMSKKLFSKIWSSKERNGEITSSDTSESPASTIIEETKSTPSRSRRQSVS >OIV94358 pep chromosome:LupAngTanjil_v1.0:LG17:6325165:6327819:-1 gene:TanjilG_21698 transcript:OIV94358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEFWTPFSIPTTNPTTTIATTSASRISHSSQIMDHPQNVVFSDHTEPPHFNPITPSPPMLYVPPPYIAPYDQNLGEPFMRMNQPSLSSYPMYSAPVEPSNLVNPRHESQGLSQSREENMMMNDALTAKVARYRRKQARQRVRSSSTIGVPSIPEGTIRGQPQATHVFYAPNGKMLTQFLTKKLRNSDVGNVGRIVIPKRGAEEMLPTLCNKEGTNILLQDVYSDLKWSFKYKYWSNNKSHRMYVLENTVDFVNHYELRVGDSITLYKDEFTNLYVSANKEQNLEEPEDSSSIKGTQTHGTDNYNYMHTAINQAIDEEEQASFTLLLNELGHNRDEDVTNDILTIYNDGGSSS >OIV94504 pep chromosome:LupAngTanjil_v1.0:LG17:4886270:4886581:1 gene:TanjilG_25566 transcript:OIV94504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRGDDKGGASVGHVQIVVPDSGSYSRRWFPPIIAVALQKEVTMAFVLVSIIFLPYLLSSSFTDGCRFRRARGNSFLALTAPKVVRELAPFTALGPFDPGFH >OIV94136 pep chromosome:LupAngTanjil_v1.0:LG17:11643131:11646623:-1 gene:TanjilG_31561 transcript:OIV94136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLSLQHHTNYFLSRALPTRLKPRPTFSVRMSLQETGPSIAVVGITGAVGQEFLSVLSDRDFPYRSIKMLASKRSAGRRLTFEDNEYVVEELTPESFAGVDIALFSAGGSISKEFGPIAVQHGTIVVDNSSAFRMDETVPLVIPEVNPEAMENIKVGNGKGALIANPNCSTIICLMAATPLHRRAKVLRMVVSTYQAASGAGAAAMDELELQTREVLEGRPPTRKIFKQQYAFNIFSHNASILPNGYNEEEMKMVNETRKIWNDKDVKVTATCIRVPVMRAHAESVNLQFETPLDEDTARDILKNAPGVVVIDDRESNHFPTPLEVSNKDDVAVGRIRQDLSLDGNQGLDIFVCGDQIRKGAALNAIQIAEKLQ >OIV94967 pep chromosome:LupAngTanjil_v1.0:LG17:361787:363443:-1 gene:TanjilG_22164 transcript:OIV94967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSVAEIRKAQRAEGPATIMAIGTATPPNCVDQSTYPDYYFRITNSEDKVELKEKFQRMCDKSMIKKRYMYLTEEILKENPSLCAYMAPSLDARQDMVVVEVPRLGKEAATKAIKEWGQPKSKITHLIFCTTSGVDMPGADYQLTKLLGLRPYVKRYMMYQQGCFAGGTVLRLAKDLAENNKGARVLVVCSEVTAVTFRGPSDTHLDSLVGQALFGDGAAALIVGSDPVPEIEKPLFELVWTAQTIAPDSDGAIDGHLREVGLTFHLLKDVPGIVSKNIDKALVEAFNPLNINDYNSIFWIAHPGGPAILDQVEAKLALKPEKMRATRHVLSEYGNMSSACVLFILDEMRRKSKEDGLKTTGEGLEWGVLFGFGPGLTIETVVLHSVAT >OIV94112 pep chromosome:LupAngTanjil_v1.0:LG17:12581812:12592458:-1 gene:TanjilG_29212 transcript:OIV94112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAFNALRSSKFISWKPTGRLQKTLAGCVERRGKGLHSGEVSTVRLCPGFAGEGRSFYFRSNSIPASVDFAQVSPLCTTLSKSGFRIRTVEHLLSALEASGVDNCRIEVENLDDEGYDVEIPIFDGSAREWVASVEEVGLNEATDRDGKTCEKIAPHVNEPVYVWRNDSFVAAFPSEVVQITYGISFPQAPAIGSQWFSTNPLDNLVYSMQIALSRTFCIYEEGQKYHVKTRNLWVLRILCMIFPPDENPHAATNGALAVEMHTIAQPQNSITRTMKETGALGHSEWRNLQYIKTTQEFIKDRDIVTGSCIQCSLVARLVVHYVFGQAGQVAIALVSTQVLITITVGIKEDQWDSGGHLFIHIQLGCHPSNRVEQMRNAGLIKGGSLENAIVCSTSKGWLNPPLHFSDEPCRHKVLDLIGDLSLSAQFGNQGLPVAHIVAYKA >OIV93951 pep chromosome:LupAngTanjil_v1.0:LG17:18623287:18623463:-1 gene:TanjilG_05654 transcript:OIV93951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMKNIACVVLFAAASISAAMAVDDKRAHAPTPGHKSDATALGSFIGASLLSFIGYLV >OIV94442 pep chromosome:LupAngTanjil_v1.0:LG17:4106559:4107109:1 gene:TanjilG_25504 transcript:OIV94442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRQSLGSPSSKLHNHGVNKEEQEEPPKSHRLSSPPLTPHKFVHFIPIITLLCFFVLYLSSHPLSPSDLEQFPRLKHPYHHDPLVREIGDKIEEHYMDGKGGDVLALRTLRNLQQIRLHRKLADF >OIV94905 pep chromosome:LupAngTanjil_v1.0:LG17:791701:796913:-1 gene:TanjilG_22102 transcript:OIV94905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHKFSKFLACCWGAGQNDPATKDHIGCTEIEDKSGTNDLKRFRMYKFDELWKATSGFAEEKIVSVHGEKAPNVIYKGTLANEVEIAVKRFNKSAWPDSMQFFEEARVVGQLRNQRLANLLGCCCEADNRLLVAEYMPNDTLANHLFHWEYQPMNWTMRMRVALRVAQALEYCTSKGCAVYHDLNAYRVLFDNEANPRLSCFGLMKNSQVGKSYSTNLAFTPPEYVKTGRVSPECVTYSFGTLLLDLLSGKHIPPRYALDQFSDKNGLILTDSCLEGQLSNDERIDLLCLAAHCLQSEPQERPNPKSLVSALIPLQKDSEVPSHVLMPIPFDADALPLSPLGEACVKMDLTAIHEVMMKIGYKDDEEGTATELGFQIWVDQLQKALNSKKKGDAAFRHKDFKTAINSYTEFIEIGSMVSPTVYARSSLCYLMNDVPEEALNAALQAQEIYLHWSLASYLQAVALFALGREEEAKAALKKGSTLEWKKNRK >OIV94897 pep chromosome:LupAngTanjil_v1.0:LG17:865243:865932:-1 gene:TanjilG_22094 transcript:OIV94897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSASFVTIHAANFEIVNNCPYTVWAAASPGGGIRLERGQTWNLWVNAGTAMARIWGRTGCNFDGSGRGRCQTGDCTGGLNCQGWGVPPNTLAEFALNQYANQDFYDISLVDGFNIPMDFYPINGGCHKLSCTADINGQCPNELRAPGGCNNPCTVYKTNEYCCTNGQGSCGPTYFSRFFKDRCRDSYSYPQDDPTSTFTCPAGSNYKVVFCPLGQPHIQMHGNITNQY >OIV94508 pep chromosome:LupAngTanjil_v1.0:LG17:4962955:4968406:-1 gene:TanjilG_25570 transcript:OIV94508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKKIREYDSKRLLKEHYKRLSGNDLPIKSAQVTESTDFTELQEKEPWLSSSKLVVKPDMLFGKRGKSGLVALNLDLAQVASFVKERLGNEVEMSGCKGPITTFIVEPFIPHNEEYYLNIVSERLGNSISFSECGGIEIEENWDKVKTVFVPTGVSLTSDIIAPLVATLPLEIKGEIEEFLKVIFNLFQDLDFTFLEMNPFTLVDGKPYPLDMRGELDDTAAFKNFKKWGSIEFPLPFGRVMSPTESYIHGLDEKTSASLKFTVLNPEGRIWTMVAGGGASVIYADTVGDLGFANELGNYAEYSGAPKEDEVLQYARVVIDCATANPDGLKRALVIGGGIANFTDVAATFSGIIRALKEKESKLKAARMHIYVRRGGPNYQKGLAKMRALGEEIGIPIEVYGPEATMTGICKEAIQCITASA >OIV94753 pep chromosome:LupAngTanjil_v1.0:LG17:2000993:2002363:1 gene:TanjilG_12966 transcript:OIV94753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGSSRKGRIFAEESSTNGQRFGKLKKEKDDFDGYNSDNNPRKNSPLDPQMVVDNSPYDSDLSPVSKSPWSSHMNEESSIFNDEPLVGSLVREEGHIYSLATTKDLLYTGSDSKNIRVWKNQKEFAGFKSNSGLVKAIVIAGDKIITGHQDGRIRVWKVSTKNETLHKRVATLPTLKNFIKCSMKPSNYIEVKRHRQVLWIKHYDAISCLGLTEDHSLIYSASWDKTFKVWKASNFKCLESVTAHDDAVNALVVGFDGLVFTGSADGTVKIWRREVQGKRTKHLLSQTLLKQECAVTSLVINEEGTFLYCGSSDGFINFWIRETNLEHCGVLRGHKLAVLCLTTAGNLVFSGSADMGICVWKRSTLNNEHTCVSVLSGHTGPVKCLAVEKDCDAMCNERRWILYSGSLDKSVKVWKVSENALTGHNNHQAPRLSLDSYTRELPKVASLRKMGSRRY >OIV94617 pep chromosome:LupAngTanjil_v1.0:LG17:3104488:3107073:1 gene:TanjilG_25841 transcript:OIV94617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRVMEDVAIIGGLMGVQFIYAGNAVLMSYAMSLGLTSLTIVIFTSLATFFILFPLAFCFERTSWPEKCSFNFITQLLLLSFGGIVFQSFFLRGINLTSPAMGTAMPNIAPGLIFIISWAFGLEKVNLRYRNSKVKILGTLLCVLGALTMSIMQSISAPATENETTFESSSTASDFIFDKQKLMGCFYLIASVFILSSNIVLQAFVLGGFPAPMSLSAITSLFGAFISAGIQLIEDHNVETGWPLVSLGDLIGYSVLAGAVSGICLSFNGWALKKRGPVLVSMFSPIGTVCSVIFSVVTLGDTINFGSLAGMFLMFTGLYLVLWAKRKESYANGDGLESESDVEKPLLS >OIV94984 pep chromosome:LupAngTanjil_v1.0:LG17:224824:226118:1 gene:TanjilG_22181 transcript:OIV94984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHRRMGLVLVALAMLLKGGAGQSSCVTTLISLSPCLNYISGNSSTPSSGCCSQLASVVRSSPQCLCQVLNGGGSSLGININQTQALALPGACNVQTPPTSQCNAASPASTPTGTVVDTPAESPNSIPSGTGSKTVPTTQNGSSNGNSIKLSIPLFLILAATYASAFTTY >OIV94846 pep chromosome:LupAngTanjil_v1.0:LG17:1240230:1242224:-1 gene:TanjilG_22043 transcript:OIV94846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDTVKQLLIKPIQLADQVCKAAEETTSFKQECLELKSKTEKLATLLRQAARARSDIYERPARRIIQDTHHVLDKTLSLVLKCRANGLVKRVFTIIPTVSFRKSSSSLENSIGDVSWLLRISAPADDRADADTHFGLPPIASNLPILGLIWGQIAVLYTGSPEDRSDAAAIIVSTIRGNERYASLIIEEGGVGPLLKLIKEGKAEGQENGARAIGHLGRDPQSVEHMIDMGVCSVFAKVLKEGPMKVQCVVAWAVSELVTNHPNCQDPFAQQNIIRLLVSHLAYETVQEHSKYAVVSIKPTSIHAAYVMATSIKGNLSSSSLNKGSNEDEEEQPRNPISHPSGNAKARDQLHTVVASTVAVHNGAGKVQDTNHVNEVKEEFQRSESTKSSGSGKQDHPHHQDSYSHSGSSIKGRELEDPETKASMKEMAAKALWKLAKGNSQICHSITESRALLCFAVLLEGGTEAVQYNSAMALMEITAVAEKDAELRRSAFKPTSPACKAVVDQMIKIVEKADSDLLIPCMKSIGNLARTFKAKETRMIGPLVRLLEDSDIEVVKEATIALTKFACTENYLHVDHSKAIISEDGAKHLIQLVYFGEEVVKIPALVLLSYIALSVPDSEELAKVQVLGVLEWASKQASMIQDETLEALLHESRSKLELYQSRV >OIV94605 pep chromosome:LupAngTanjil_v1.0:LG17:3210257:3216851:-1 gene:TanjilG_06631 transcript:OIV94605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TKQNSVPILEILKQSNTLLPHVVIASTLLALIFPPSFTWFTSRYYAPALGFLMFAVGVNSREKDFLDAFHRPAEIVTGYFGQFVVKPLLGYLFCIISVTVFGLPTAIGAGIALVSCVSGAQLSNYATFLTDPQMAPLSIVMTSLSTASAVFITPLLSLLLIGKRLPVDVKGMVFSITQIVVAPIVAGLILNRFFPRICNAIRPFLPPLSVFVTALCVGAPLAINVESVKSPFGLSILLLVVAFHLSAFIAGYILSGFIFRDSPDVKPLQRTISYETGMQSSLLALALANRFFPDPVVAVPPAISVLVTITIPDSVSIFRTNTMTCYDEDDDEGGFRGDEVDHDNGDYVKLRWDPLESQPEATVAEFTPAKKGTALWLAELLHSSNPRCGNEVIIPIMKWVRNTFSIPELAILVVASISLFPTLLLPSSPSMWVAGMTFSYGFGFLLITSAVAGWLDQYPNRASILRAAGGGNWFHQFKAVILIRISPFPYILYNYCSVATNVKYGPYLLGSLVGMVPDIVVSLYTGILMRTLADTSHKNHNISATEIIVNVAGFCITVATIIFFTTFAKRQLELEKKDELLLQ >OIV93933 pep chromosome:LupAngTanjil_v1.0:LG17:18222159:18222440:-1 gene:TanjilG_05636 transcript:OIV93933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METESCETLHPRPLVKATLRLDAESYSVEANKGSLLSEQLVSLKEQSMAILKEFITKHNVPQDVPDELLEASSEEDDIIPEKPQVKSKKTKLT >OIV93940 pep chromosome:LupAngTanjil_v1.0:LG17:18386659:18388676:1 gene:TanjilG_05643 transcript:OIV93940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQLIDNTPSTPGKLKPEKSLYIHNRFKIHSSFSKLTLWFSFFLAFIIFFIIISLPATTPTPFSNRRSLGATWGGSDWEKSVTKSARRATNRAVLVTGAAGFVGTHVSLALKRRGDGVLGLDNFNRYYDPNLKRARQSLLERAGVFVVDGDINDEALLRKLFDVVPFTHVMHLAAQAGVRYAMQNPGSYVHSNIAGFVGLLEACKSANPQPAIVWASSSSVYGLNSKVPFSEKDRTDQPASLYAATKKAGEEIAHTYNHIYGLSITGLRFFTVYGPWGRPDMAYFFFAKNILKGKQISIFQDPDRGTVARDFTYIDDIVKGCLGALDTAKKSTGSGGKKKGPAQLRVFNLGNTSPVPVTELVTILEKLLKVEAKKKMVPMPRNGDVRFTHANISLAHQELGYWPTTDLETGLKKFVRWYLDFYSGSKKKSAW >OIV94991 pep chromosome:LupAngTanjil_v1.0:LG17:181254:181829:-1 gene:TanjilG_22188 transcript:OIV94991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENTDISSKPNTKEEKIDLTQISLRPLHLSDLDDLMIWTTDEKVPKFCTWEPYTCKEDGINFIENTASKFLWCKAICFKDQAIGCISLTSYSEHDRCRNKSVELGYVLGSKYWGKGIVTQAVRLAVKAAFTEFPYLERVEALVDVENVGSQRVLEKAGFQREGVLRKYLFIKEKTRDIVMFSVILTDPHV >OIV94861 pep chromosome:LupAngTanjil_v1.0:LG17:1140961:1144531:1 gene:TanjilG_22058 transcript:OIV94861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCISLLLLLLLVSLASQSCNGLNSFGFDIHHRFSDPVKGIMEIDMLPQKGTSEYYVAMAHRDRIFRGRRLAGDHQTPLTFAAGNSTYEIANFGFLHFANVSVGTPALSFLVALDTGSNLFWLPCNCTSCVKGLKASNGEVIPFNIYDLKGSSTGQNVLCGNSLCEEQSQCPSSSDTCPYQVNYLSNGTSTTGFLVEDVLHLITDDDQAKDSNTPITFGCGQNQTGAFLDGAAPNGLLGLGMRNVSVPSILANQGLTSNIFSMCFGSDGYGRITFGDNGSLDQGKTPFNLMASDPTYNISITQLIVGGNVADIEFHAIFDSGTSFTSLKDPPYIQITQSFDSLIKLKRNSSSDLPFEYCYDISPNQKIEVPTLNLTMKSGDNYFVIDPIVTVTGEDAGLLCLAIMNSDDVNIIGQNFMTGYRVTFDRENMFLGWKESNCYDDELSNLPINRSHSPAVSPALAVNPEATSNPSNDPPNHSFQIKPASVFMMASFLILAIL >OIV94565 pep chromosome:LupAngTanjil_v1.0:LG17:5630297:5632108:-1 gene:TanjilG_25627 transcript:OIV94565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVEEEVQKLKEEIKRLGKVQADGSYKVTFGTLFHDDRCANIFEALVGTLRAAKKRKVLHYDGELLLQGVHDNVEITLNPTPAAAGAAAAVN >OIV94961 pep chromosome:LupAngTanjil_v1.0:LG17:406544:408653:1 gene:TanjilG_22158 transcript:OIV94961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAGSYSCSSSTRSVKTRSRCPLQEQHFIQRKKSQENLDRFIPNRSAMDFDYAHYMLTEGAKGKENPALNSPSKDAYRKQLAESLNMNRTRILAFKNKPPTPVDLIPHENFSTHHQDKQAKPKRYIPQNSERTLDAPDLVDDYYLNLLDWGSANVLAIALGNTVYLWDASNGSTSELVTVDDECGPITSVSWAPDGRHIAVGLNNSEVELWDSTSNRKLRSLRGGHRQRVGALAWNNHILTTGGMDGRIVNNDVRIRSPIVETYRGHEQEVCGLKWSPSGQQLASGGNDNLLYVWDRSSASSNSATQWLHRLEDHTSAVKALAWCPFQGNLLASGGGSGDRCIKFWNTHTGACLNSVDTGSQVCSLLWNKNERELLSSHGFTHNQLTLWKYPSMVKMAELTGHTSRVLYMAQSPDGCTVASAAADETLRFWNVFGAPEAVTKAAPKARAEPFSHLNRIR >OIV94388 pep chromosome:LupAngTanjil_v1.0:LG17:3532078:3540107:-1 gene:TanjilG_25450 transcript:OIV94388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIDMPPTMAQSVRTNRSSFSSSNGNGDNTPLHSYASFSNGDDYDSDTLNFAPPTPSNLSMAIPEELAGAIPLIDRFQVEGFLKQMQKQIQSAGKRGFFSRRSVGPQVRERFTIKDMLCFQKDPIPTSLLKLNGDLASRATKLFQIVLKYMGVDSSDHVTPIGLDEQVELVGKLYKQSLKCSELRDELFVQISKQTRNNPERECLIKAWELLYLCASCMPPSKDIGAYLSEYVHNVAHAVTTDPEIRALALNALNALKRSVKAGHRHTIPGPKEIEALLTGRKLTTIVFFLDETFEEITYDMSTTVADAVEELAGIIKLTTYSSFSLFECHKVLSGSKSTDSGNEEYTGLDDNKYIGDLLSEFKAAKDRNKGEILHCKLIYKKKLFRESDEAVTEPMFVQLSYVQLQHDYILGNYPIGKDDASQLSALQILAEIGFVRRPESCTDWNSFLERFLPRQIAMTRGKREWELDILSCYHSLEHLTKDDARQQFLHILRTLPYGNSIFFNVRKIDDPIGLLPGRIILGINKRGVHFFRPVPKEYMHSAELRDIMQFGSSNTAVFFKMRVAGALHIFQFETKQGEEICVALQTHINDVMLRRYSKARPSAPDSLNEDISSNFKPSDLESYEKRVKDLSKVVEESQRNADQLLEQLHEKQKEEEKMLGELEDLKESLKADKHSLAEITNDRDKLRSLCDEKDMALQATILEKKNMEARMAKLTNMVIENTTKKDIVGANSQALQKLEDGLKFCKDELLVAEDTIKSLTNEKLILEQKLFGLEKKNGEEDIDRKNEQTASILKMQGAQLAEFEVLYKEEQVLRKRYFNMIEDMKGKIRVYCRVRPLSGKEIVEKEGNALTTVDEFTVEHLWKDNKPKQQMYDRVFDGDASQQDYLVQSAVDGYNVCIFAYGQTGSGKTYTIYGSENNPGLTPRATAELFKILRRDSNKFSFSLKAYMLELYQDTLVDLLLPKNAKRLKLDIKKDLKGMVTVENVTTVSVPTLEELNIIIQKGSERRHTSGTQMNDESSRSHLILSIVIESTNLQSQSAARGKLSFVDLAGSERVKKSGSEGSQLKEAQSINKSLSALGDVISALASGGQHIPYRNHKLTMLMSDSLGGNAKTLMFVNVSPAESNLDETHNSLMYASRVRSIVNDPSKNVSSKEIARLKKLVAYWKEQAGRRGEDDDLEEIQEERPTKDKNDGCNEISQVPRSQRL >OIV94308 pep chromosome:LupAngTanjil_v1.0:LG17:6807521:6809777:1 gene:TanjilG_19314 transcript:OIV94308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLSLSLTLSPSSSLYKSIVSSSSSHFNPRPSFPFHFHISHNHLKLQNPLLLPPIRSIPQEIRATPVTKDLWENSILNSETPVLVEFYASWCGPCRMVHRIIDEIATEYAGKLQCFLLNTETDMEIAEDYEIKAVPVVLLFKNGKKCDTVIGTMPKEFYVAAIERVLST >OIV93992 pep chromosome:LupAngTanjil_v1.0:LG17:19801512:19804203:1 gene:TanjilG_05695 transcript:OIV93992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMEVTHILLNAQAVDGAVRKQAEDSLKQFQDQNLPVFLFSLAAELASDEKPAESRKLAGLILKNALDAKEEHRKIEFVQRWLSLDPTVRAQIKAFLLRTLSTMSLDARSTASQVIAKVAGIELPHKQWPELIGSLLSNLPQLPAHAKQATLETLGYICEEVSPDVVDQDHVNKILTAVVQGMNSTEENDVRLAAIRALYNALGFAQANFSNDMERDYIMRIVCETTLSPDVKIRRAAFECLVAISSTYYEKLAPYIQDIFNITAKAVKEDEEPVALQAIEFWSSICDEEIDILEEYGGDFSGDSDVPCFYFIKQALSFLVPMLLETLLKQEEDQDQDEGAWNIAMAGGTCLGLVARTVGDDIVPLVMPFIEENITKPDWRHREAATYAFGSILEGPSPDKLVPLVNTALNFMLTALVKDPNNHVKDTTAWTLGRMFEFLHGSALDASIINQTNCQQIITVLLQSMKDVPNVAEKACGALYFLAQGFEDVGSVSSPLTPFFQEIVQSLLAVTHREDAVESRLRTAAYEALNEVVRCSNDETAPLVVQLAPVIMMELHQTLEDQGAADERHNELQGLLCGCLQVIIQKIGSSEQTKYHFMQYADQIMSLFLRVFASRSATAHEEAMLAIGALAYATGSDFAKYMTEFYKFLESGLQNFEDYQVCAITVGVVGDVCRALEDKILPYCDGIMTQLLKDLSSNQLHRSVKPPIFSCFGDIALAIGENFEKYLLYAMPMLQSAAELSANAAGADDDMLEYTNSLRNGILEAYSGIFQGFKGSPKTQLLMPYAPHVLQFLDSLYAEKDMDDYVTKTAIGVLGDLADTLGSNAGPFIQQSVSSKDFLKECLSSDDHLVKESAEWAKLAISRAISF >OIV94093 pep chromosome:LupAngTanjil_v1.0:LG17:13678390:13701293:-1 gene:TanjilG_05473 transcript:OIV94093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKPEPETVSMAPLVASLSKSFHQVPPSAVPAMLDCILLSTGSSPHSLFASFLHQFLPLLELHSLARDPFSMTHLQLPHVCDHTDLAGCEDISQEGDNLDSDKLLHLQSLWATFCHLLKKIGDDSDALQSFIWRCFLPLAKALQASQHALLNQITESFMDVVIETRTWEVLKATFVPIFLRSIGLSMEHRSCGVGFLLPIVLKAFPTKSSFEVSIRGQKHAFSWEHFFIKMWNCCRTLLSTGPLERREAFNVLSLYLPFSLSTEECRNDVEEFDIRAEKEFWDEIRMGLVDKESLVRKQSLHILKMTLNLQEGTNSMSSILKQDTEGKDSVTHGVTKREQWAYKEAKSLGVGKISTSDDLIFDNQQYWDAFVLLYEMLEEYGTHLVEAAWNHQVSLLLQFSGSYISEVHENQVEIYGETFSWLSILWERGLHHDNPQVRCLIMQSFLDINWDNYGNYINSVPETFVLGPFLQGLNDPIHHKEFGVKGVYMSKVIEGAARFLYHYVNFLDPRKHIAFLCNLASTAKHQSFGRAGLMGLAECIMSAANGIGRLILARPKSFKGTFPVEFVSGVANPMDKKELLDVFRYVVESSKQHFNPSYRLQVCGKILEAVVSVVCTFDIPLEILLLFISAFPREFTDYGGQLRVTVQRWLSGCGYKDSCANCCSNEMKLLNCLYDFPHSFVYNHLSNDASLNYDDDDLSAWEFEANRWARVLFLAIKEEHHLEPILMFVQKNGPNILEQNHDTRYITVKFLILAMSLVLELHRMKERVIESGNKARKSAFPGIVDDLSLIGGVSEKLVDKYLYLLDDLVQFANQSCSIFWSDVIAENTALPGAVKGKLGGPSQRRLSISATTAVLQAIMSVKAISSILTFCKQVKSDAPYDSALTFLWQFFWRTTRSLASCSEIGAEICLAAYEALVSVLRVLASTNFPQTLHLIEENEQLLSETDGMPRLDSMFASFIENINDLLGTGVLARTRRAVLLDIKWACLESLLSIPSYTLKSGFHLVENHTFFSDGTLRCIFNDLVQSLENAGESSVLPMLRSLRMLIELVGKVMSTEVISQSRVIDTQISSAHPLALFTDLMQGSQNRELTREIARSYDPQPPTRARSQSWMRLHGIGWDRRAEKKVQTDYTAVIGRVEKKANRVMCNPMMWNLVHSSWILHISCKKRRVASIAALLSSVLHPLVFNDEHMHQTDNGPGPLKWFIENLLQEGTKSPRTIRLAALHLTGLWLLNPRIIKFYMKELKLLSLYGSVAFDEDFEAELADNNDARLEVSILAMSPDSELTEAYINTELYARVSVAVLFHKLADLASMVGLPNEDANCIAALESGKLFLLELLDSAVNDKDLVKELYKKYSAIHRRKVRAWQIICVLSPFVDKDIVEKVVDYLYMSLNRNNLPAVRQYLETFAINMYLKFPSLVKEQLVPILRDYDTKQQALSSHVFIAANVILNSSKDVQSRHLDELFPPLVPLLTSHHHSLRGFTQLLVYQILLKLFPLLGSCSSEILPLEKRCFVDLKMYLEKNSDCARLRASMVGYLDAYNPNSSATPAGIFVNRVEEVDFECVPTSLMEQLLKFLNDAREELRSSMAKDVVTIRNETLKFNGDKSCMESSSGGIEGAMPKDMPSDFQKKVTLTKHDKGDAEAEIRFRNDETYRKMAEIERDDLLLDQMLQSRRSSLDRLKASRQNIILVASLLDRIPNLAGLARTCEVFRASGLAIADTSIISDKQFQLISVTAEKWVPITEVPVDSLKAYLQKKKREGFSILGLEQTANSVPLDQYNFPKNTVLVLGREKEGIPVDIIHILDDCIEIPQFGVVRSLNVHVSGAIALWEYTRQQRSQ >OIV94985 pep chromosome:LupAngTanjil_v1.0:LG17:220020:223319:1 gene:TanjilG_22182 transcript:OIV94985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRKLFNIKSKSEDSPHQPEDGVAYNGGGDVQYRRRNSFSEREPSTIKKSKTEKFSRNSERVRRARMNLDHPRVVDVQNYSIFVATWNVAGRSPSSNLNLDDWLHASAPADIYVLGFQEIVPLNAGNILGAEDNGPAKKWTALIRKTLNNLPGTSGGGGYYTPSPVPQPVAELNADFEGSARQKNSSFFHRRSFQTSSNSWRMDNDPSTMQPQLDRRFSVCDRVIFGHRPSDFDPSLRWGYRPSDYSRASDYSRASDYSRPSDYSRPSDYSRWGSPDDDNGHGDSPSTVSFSPMSYGGPTSNEDGYGMPGNSRYCLVASKQMVGIFLTVWVRSELKDHVRNMKVSCVGRGLMGYLGNKGSISVSMSLHETSFCFVCSHLTSGQKEGDELRRNSDVMEILKKTRFPRVHGAGNEKSPETILEHDRIIWLGDLNYRIALSYRSATALVEMQNWRALLENDQLRIEQKRGRAFVGWNEGKIYFPPTYKYSTNSDRYAGGDMHPKEKRRTPAWCDRILWYGEGLHQLSYVRGESRFSDHRPVYGIFWAEVESSHGRLKKSMSCSRSRIEVEELLPHSRGYTELSFF >OIV94318 pep chromosome:LupAngTanjil_v1.0:LG17:6650878:6651195:1 gene:TanjilG_19324 transcript:OIV94318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEPNQDKASMQLDRAKVKIDRVKLKIHRTTPQHDQGKINLDRGIMARDRRNERPMQNSASTSTHQPEALHNTLTISHMPWRIDSDRGANGLMHQVCLNSPGLGA >OIV93939 pep chromosome:LupAngTanjil_v1.0:LG17:18370184:18376500:1 gene:TanjilG_05642 transcript:OIV93939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKNVGILAIDIYFPPTCIQQESLEAHDGASKGKYTIGLGQDCMAFCSEVEDVISMSLTVVTSLLEKYGIDPKQIGRLEVGSETVIDKSKSIKTFLMQIFEKYGNTDIEGVDSTNACYGGTAALFNCVNWVESSSWDGRYGLVVCTDSAVYAEGPARPTGGAAAIAMLIGPDAPIAFESKLRGSHMAHAYDFYKPNLASEYPVVDGKLSQTCYLMAVDTCYKHLSDKYEKLEGKPFTLIDAEYFVFHSPYNKLVQKSFARLVFNDYLKDPSSADEIAKEKLGPFATLSSDESYQSRDLEKASQEVAKTLYDAKVQPTTLVPKQVGNMYTASLYAAFISLIHNKYSTLDGKRVILFSYGSGLTATMFSLQLHDGQGPFSLSNIAKFPPEKFVEIMKLMEHRYGAKEFVTSKDTSLLSPGTYYLTEVDSKYRRFYEKKISESNLTQTEKGVVANGH >OIV94926 pep chromosome:LupAngTanjil_v1.0:LG17:646930:652568:1 gene:TanjilG_22123 transcript:OIV94926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDARSTYTFGRQLGQGQFGVTHLVTHKLTKEQFACKSIATRKLIHADDIEDIRREVQIMHHLTGHRNVVELKAAYEDRYSVKLIMELCAGGELFGRIIKKGYYSERAAADLCRQIMTVVHDCHSMGVMHRDLKPENFLFLSNDESSPLKLTDFGLSVFFKPGDVFTDLVGSAYYVAPEVLMRSYGPEADIWSAGVILYILLSGFPPFWAENERGIFNAVLCGHIDLESEPWPSISTNAKDLVKKMLRSNPEERLSAVDVLNHPWMREDGASDEPLDIAVLSRMKQFTAMNKLKKVALKFIAENLSEEEIIGLKEMFKSLDTDNSGTVTFEELKAGLLKLSTKISESEIRQFMKAADVDGNGVIDYIEFITATMHMNRVEREDHLYKAFEYFDRDGCGYITMEDLESALKKYNMGDKKTMNEIIAEVDTNNDGRINYAEFVAMMRKGNPELVTKNRVRTY >OIV94956 pep chromosome:LupAngTanjil_v1.0:LG17:441669:445569:-1 gene:TanjilG_22153 transcript:OIV94956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSLEATNVVLARIKNLEPDNASKIMGFLLINLNDSDILRLASCPDHVLQSLVIRVKTHMGFSLSSPPPSLHINPITKRPIFSNNNNPFSKSIPSSNGGGGGFDFNANFNHSPLSPQKWKLSGYSSNPICPISPNSSPLLSYDNIRTASSFSPRVNVNGDCEFNNASDFVDEHQVNEYFPFLNESSKNDDDPPDPRIEMGGLGGEVNNWNSNGDTHSLHRRSFSANDAFFACEEGIGSGIRNKPCLYFARGFCKNGSNCKFVHSGLTDSLDATSSGGVIAGSPSNFDGLEQREEFMRLKAAQHQKFLAASQIMAGASSPSSYDNYIDFLMQQQNDPQRAAAVAAFMMGEESNNFRLGRLERNNDFLAMALADKPNSASRQIYLTFPAESTFRDEDVSEYFSKFGPVQDVRIPYQQKRMFGFVSFAYPETVRLILSKGNPHFICDSRVLVKPYKEKGKILDKRLQQLERGDFSPRLSPSGFESKEPYDFHLGNQTSNLAYTVKSCYAFGLSSEALKPQKSSFRIGARMLYTPRDILMRRKFEEQAELQQAIELQARRLVNLRLPDFKNNPIHHHQRSLSVGAPFPFPSQLHSHISNTGHSSDSINGDITGYSDSLTSTISLGTAPEHRQMQLPPQEEVDTACIGDTVDGKGKESANAEVTGPSNSVEQSLPDSLFASPTKAAGHNLSDFSTSAETIESDVFSTSSYHKLELQPTTSNDMASH >OIV94511 pep chromosome:LupAngTanjil_v1.0:LG17:4994736:4999209:1 gene:TanjilG_25573 transcript:OIV94511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGMSIRGFTCMLLIAYLIWCSNFESCIAVRSKHWMHSRSTSLSEFKKKGKSYSNSHNHHGIGGSKPKPPTHKNTPTLPKAPPHKNTPSSPPIHKPKVGTPSTPPPKAYNRGKSTIFNVLHFGAKGDGNTDDTKAFKATWASSCKVEASTMLVPTDYVFYVGPISFSGPYCKPNIVFQALRFYGSFNTTVTGITIQNSPQCHLKFDNCNGVLVHNVSVSSPGDSPNTDGIHLQNSKNVVIHSSKLACGHGISIGSLGKDNTRACVSNITVRDVKMHNTMNGVRIKTWQTYGELRTPTVPPIGCLQIGKPSSNRVQTDHDSC >OIV93839 pep chromosome:LupAngTanjil_v1.0:LG17:20826735:20827226:1 gene:TanjilG_03802 transcript:OIV93839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKTQKVNLDEDQIAELREIFRSFDRNNDGSLTQLELSSLLRSLGLKPSPEQLESFIQKADTNSNGLVEFSEFVALVAPELLPAKSPYSEEQLRKLFRMFDRDGNGFITAAELAHSMAKLGHALTADELTGMIKEADTDGDGSISFQEFSQAITSAAFDNCWA >OIV94820 pep chromosome:LupAngTanjil_v1.0:LG17:1472703:1478720:-1 gene:TanjilG_22017 transcript:OIV94820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANRNCRNTLHLLKRTNPFSHSSTNPTLLPSSSPLNSSPLSKFSIFGTRFDQNPIHLEPNVFRSDNKALSFFNPFGNLVKTKQWGVGVQNRCYGSTSGLVQRNPRFSKLNDEDVRYFEEILGAKNVIQDDEKLIAANTDWMHKYKGSSKLLLQPRTTEQVSQILKYCNSRCLAVVPQGGNTGLVGGSVPVFDEVIVSLSSMNNIISFDKVSGILVCEAGCILENIISFLDNEGFIMPLDLGAKGSCQIGGNVSTNAGGLRLVRYGSLHGSVLGIEAVLANGTVLDMLKTLRKDNTGYDLKHLFIGSEGSLGIVTKVSILTPPKLASVNVAFLACKDYSSCQKLLQEAKRKLGEILSAFEFLDSQSMNLVLNHLEGARNPLPSSQYNFYVLIETTGSDESSDKQKLEAFLLGSMENELISDGVLAQDINQASSFWLLREGIPEALMRAGAVYKYDLSIPVENLYNLVDEMRTRLGNAANVVGYGHLGDGNLHLNISTSKYDDKILSQIEPFVYEWTSKHHGSISAEHGLGLMKANKIFYSNSSDTVQVMVSIKNLLDPNHILNPYKVLPHSLIS >OIV93979 pep chromosome:LupAngTanjil_v1.0:LG17:19458831:19481582:-1 gene:TanjilG_05682 transcript:OIV93979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALIISVVNSNIRPCWIITFFLLLCLAVGSYRDTDSELLLKFKDKLQNNDALSSWDLSTTPCSDHGDNNWAGVICKEGKIRGLQLENMGLKGDIDVESLKELQFLRTISFMNNDFDGRIPEINKVVGLKSLYLSNNKFSGEIPAHFFEGMQWLKKIHLSNNQFSGVIPSSLIKLSRLLELRLDGNKFSGPIPLFQQKALRSFSVANNQLQGNIPANLSKIPVAAFSGNEGLCGAPLDACATTPAHHSKKPKKPSNTTIIVIAIVVFLAVICVIGAVIFFLRRKRKRKATTSIENPPSGPNNKKGVKEGDSELLLKFKDKLQNNDALSSWDLSTTPCSDHGDNNWAGVICKEGKIRGLQLENMGLKGDIDVESLKELQFLRTISFMNNDFDGRIPEINKVVGLKSLYLSNNKFSGEIPAHFFEGMQWLKKIHLSNNQFSGVIPSSLIKLSRLLELRLDGNKFSGPIPLFQQKALRSFSVANNQLQGNIPANLSKIPVAAFSGNEGLCGAPLDACATTPAHHSKKPKKPSNTTIIVIAIVVFLAVICVIGAVIFFLRRKRKRKATTSIENPPSGPNNKKGVKEGGDESQRLSSSNHSRRGDNNNNNNNNNNMKLCFISDDRDRFDLHELLRASAEILGSGCFSSSYRASLVSGAKVVVKRFKQMNNVGKEEFHEHMRRIGRLNHPNLLPLVAYYYRKEEKLLVSDYVQNGSLAVRLHGHQTLGEPSLDWPIRLKIVKGIAYGLEYLYKDMPSLIAPHGNLKSSNVLLTQSLEPLLSDYSLVPVTNQDLAQDIMVIYKSPEYLHHGRITKKSDVWCLGILILEIMVGKFSSNYLQKGKGSELSLVNWVLSVAPEEWSNEVIDKDMGGTRNSDGEMVKLLKVALGCCEVDVDKRMDLKEAVEKIQELKERDHDDDFYTSYASEADMRSSRGLSGEINFWPVNNYRTSTSNRVANALSRCHSEEPFIFSSFLLHFHTSLNT >OIV94520 pep chromosome:LupAngTanjil_v1.0:LG17:5085923:5088705:1 gene:TanjilG_25582 transcript:OIV94520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRSFANLLDLLGDLPDIPHTPRTLPRVMTAPGIISDLDVYGRNDVGSDVSSSGSGCRERKIIVANMLPLKAKRDIDTGKWCFSLDEDSILLQLKDGFSFDSEVIYVGSLKVEIDAGEQDEVAKKLLEDFNCVPTFLPHDLQKKFYLGFCKQQLWPLFHYMLPMCPDHGDRFDRSLWQAYVSANKIFADMVMEIINPDDDFVWVHDYHLMVLPTFLRKRFNRVKLGFFLHSPFPSSEIYRTLPVRDEILKGLLNSDLIGFHTFDYARHFLSCCSRMLGLDYESKRGHIGLDYFGRTVFIKILPVGIHMGRLESVLNLPSTSAKVKEIQEEFKGRKVILGVDDMDIFKGISLKLLAVEHLLQQNPNLQGKVVLIQIVNPARGSGKDVQEAKRETYLIAQRINDIYGTNHYRPVILIDRPVPRFEKSAYYAVAECCIVNAVRDGMNLVPYKYIVCRQGTAQMDKALGRTSDSPRTGMLVVSEFIGCSPSLSGAIRVNPWDVDAVADALYSAITMSDSEKQLRHEKHFRYVSSHDVAYWAHSFMQDLERACKDHYTKRCWGIGLGLGFRVVSLSPGFRKLSMDHIVSAYKRTNRRAIFLDYDGTVVPQSSINNIPSPEVISVLNALCNDTKNTVFLVSGRGRDSLSDWFSSCKRMGLVAEHGYFLRWSEDSEWETTQVSADLDWKNIVEPIMQLYTETTDGSNMEIKESALVWRHQDADPDFGKSQAKELLNHLESVLANEPAVAKKGQHIVEVNPQGISKGLVAEKVLSTMVNGGNLPDFVMCIGDDISDEDMFESILRTVSCPSLPIAPEIFACTVGQKPSKAKYYLDDPSDVLKLLQCLGASSNPKPRHLAQFQVSFESRV >OIV94680 pep chromosome:LupAngTanjil_v1.0:LG17:2597914:2599953:-1 gene:TanjilG_25904 transcript:OIV94680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKITTLFFTALFLCYALTNSTRHEPGFHKESSVVTPHQDVEAVDKSCVIDKGDKIECNMIKILLTTRCTVADSLSHACAMHCEGGVVIVMIIVFAIVDTNGGRMSVGWRRIL >OIV94756 pep chromosome:LupAngTanjil_v1.0:LG17:1957746:1959857:-1 gene:TanjilG_12969 transcript:OIV94756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATWEKQSSNSKGQAWFCTTGLPSDIVVEVDDMNFHLHKFPLMSKSQKLYQLIKEQETLSIPEEDQIVEQNYHLKFSDFPGGSETFELAAKFSYGVKIDLSPANVAFLRCAGEYLEMTEEYSEDNLISKTEKFLSQFVCKSIKHSIITLKSCENLMPLADSLGIMQRCIDSIVARASSLDSTLFGWPVSDTTGRTTKRNGALVTVTDTAECWLEFEEILLLGLPLFKQLLFAMRGAELNTELIENCLMHYANKHIHGLSRSNRKPLPSSFSSEAQQKELLESVISNLPLEKNSKPLTKFLFGLLRTSNILNASEECRDALEKKIGSQLHDATLDDLLIPSYSYLNETLYDVDCVERILGHFLRSFEEENVSVIGARSPALILVGKLVDGYLSEIASDANLKPGRFYNLAISLPDQARIFDDSLYRAVDIYFKAHPRVSEAEREKICGVLDLEKLTLEACTHAAQNERLPLRAVVQVLFFEQIQLRHAIAGTITAATTGEEGDTWQVTVRDNQVLRLDMDSMRTRVHQLERECSSMKRVIEKIDEPGPQGGGGGWWASMGLGRKFGCKFKTQVCNSHEPVVVDTRKGRPNHLQHHRSHPE >OIV94621 pep chromosome:LupAngTanjil_v1.0:LG17:3079436:3081095:1 gene:TanjilG_25845 transcript:OIV94621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAYKYVSELWRKKQSDVMRFLQRVRCWEYRQQPSIVRLTRPTRPDKARRLGYKAKQGYVVYRVRVRRGGRKRPVPKGIVYGKPTNQGVTQLKFQRSKRSVAEERAGRKLGGLRVLNSYWVNEDSTYKYFEIILVDVAHTAIRNDPRINWLVNPVHKHRELRGLTSAGKSNRGLRGKGHRYHKNRPSRRATWKRNNTLSLRRYR >OIV94324 pep chromosome:LupAngTanjil_v1.0:LG17:6515291:6516931:-1 gene:TanjilG_19330 transcript:OIV94324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALEAVVYQQTLQDPFGYGVKDLYHNNLLSGATTWGYEHLLSLENEQKEKQKGEGSISFVENKTALSYDHLNELHNISNEPSSEATTHHFSNTQNFDTSTCYRPKRRRARSRKNREEIENQRMTHIAVERNRRKQMNEYLSVIKTLMPESYVQRGDQASIIGGAINFVKELEHKLQYIGSQKETEGKSDVVDANIPFSEFFTFPQYSTSASSCDNSVDSSEQQVCKVQFGIADIEVTMVENHANLKIRSKKKPKQLLKIVSDLHNMRLTILHLNVTTTGEIILYSLSVKVEDDCELGSVDDIAAAVNQILDRIQQETILN >OIV94920 pep chromosome:LupAngTanjil_v1.0:LG17:694973:702255:1 gene:TanjilG_22117 transcript:OIV94920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYSFTISSISIPFTKSSSLLFPSSSFSSSSSSHFPFKHHHALPISLSVSASATSTTAIETDKPQFSSKLLPFRVGHGFDLHRLEPGYPLIIGGINIPHDRGCEAHSDGDVLLHCVVDAILGALGLPDIGQIFPDSDPKWKGCDSSVFIHESVRLMHEAGYDIGNLDATLILQRPKLSPHKDTIKANLATLLGVDPSIVNIKAKTHEKIISEKNRKEISKYLFQEGVCYAKKDFNLAKHPEIDVPNLQVIKLMQSFKSKEYVRLMHEAGYDIGNLDATLILQRPKLSPHKDTIKANLATLLGVDPSIVNIKAKTHEKIISEKNRKEISKYLFQEGVCYAKKDFNLAKHPEIDVPNLQVIKLMQSFKSKEYVRETFAWMHYYWFLTNDGIEFLRTYLNLPSEIVPATLKKQAKPAGRPFGGPPGDRPRGPPRFDGERRFGGDRDGYRGGPRGPGGDFGGEKGGAPADYRPSFGVTFLHLIFMF >OIV94405 pep chromosome:LupAngTanjil_v1.0:LG17:3723221:3726770:1 gene:TanjilG_25467 transcript:OIV94405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGQSRKWMILVAVIWIQAFTGTNFDFSAYSSTFKSVLKISQAQLNYLATANDLGKVFGWSSGLALMYLPLSLVMFISAFMGFIGYGLQWLLINNIITLPYFMVFLLCLLGGCSICWFNTVCFVVCIRNFPVNRPLALSLTVSYNGVSAALYTLAANSIDPSSDSVYLLLNALFPLLISFAALVPILRQPPLEPLHPDTSRKNSLIFLILNFLAIFTGLYLLIFGSSTSNESTARLYFGGAILLLISPLCIPGIIYARDWFHNAIHSNIRVESTGFILVHVDDLELHKELLTRQNSTVSNGEGHNLLTDNRSMLRGKSSGLCCEGLLGQDQLAMLGEDHSAAMLVTRLDFWLYYVAYFCGGTIGLVYSNNLGQIAQSLGLHSSISTLVTLYSSFSFFGRLLSAGPDYIRNKFYFARTGWLTIALIPTPIAFIVLATSESAVALYIGTALIGLSSGFIFAASVSVTSELFGPNSFSVNHNILITNIPIGSLLYGFLSAVVYDANAHSVPGTMMSNTLVCMGRQCYLWTFVLWACISVLGLASSVLLFLRTKHAYDCFERHRISAQPTLS >OIV93876 pep chromosome:LupAngTanjil_v1.0:LG17:16861057:16863307:1 gene:TanjilG_05579 transcript:OIV93876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKGSSSWLTAVKRAFRSPTKDSDKSSRRREDYDHEEDEEKKREKRRWIFRKNHETVNTQQTPTKLKHDVATTTNTSVTSRTDQDQNHALAMAVATAEAAMATAQAAVEVARLTKPISTTNHHARDHFAAIVIQTAFRGYLARRALHALKGLVKLQALVRGHNVRKQAKMTLRCMQALVRVQARVLDQRIRSSLDGSRKSTFSDTTSVWESRYLQEISDRKSVSREGSSVADDWDERPHTVEEVKAMLQQRKEAAMKREKSLSQAFSQQIWRNDRTSSIGNEGELEERPKWLDRWMSTKPWEGRGRASTDQRDPIKTVEIDTAQPYSYLGASYRRSHPNYQYNPHLQPQRHSIASPIHGTHQNGSIHQSTATPSPAKSRPIQVRSASPRCVREDRTIHTSQTPSLRSTYNYTGSLYQNGRVGTSNAAAAALPNYMAATESAKARIRSQSAPRQRPSTPERDRGAGSVKKRLSFPAPDPYNVGAGYGNYGQNLRSPSFKSVGASHFGTERQSNYSSCCTESNGGEISPSSTGDLRRWLR >OIV94978 pep chromosome:LupAngTanjil_v1.0:LG17:272744:277021:1 gene:TanjilG_22175 transcript:OIV94978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKRLFNTLLFSSFRSIHSHPNSSPFFFSTSSHHNTHNLQGLIQPHDTLFQDSSSPPTTCLIKEYSFLRNSLVNSSAHYEVDSSAESSSNQVVLMSNAIRNSSDGFGNETQKFFSQFRGRLSEKLVIQVMDHVQNPELCVKFFLWAGRQIGYAHTPRVYDALLDVMGCGGDDRVRDNLFREIRNEDKELLRGLLNFMIQKCCRNGLWNMALEELGRLKDLGYKASQTTYNALVRVFLEADKLDTAFLVHKEMSSFGFGKDGYTLSCFAYSLCKAGRCRDALTLIEKEEFVPDTVFFNRMISGLCEASHFEEAMGILDRMRSNSCIPNVVTYRTLLSGCLRKGQLGRCKRILSMMITEGCYPNREMFNSLVHAYCKSGDYSYAYKLSKKMLKCGRQPGYLFYNILIGSICGNEDLPSLDVLELAEKAYAEMLDSRVVLNKVNVSNFARCLCGAEKFDKAFRIICEMMSMGFIPDDSTYSKVIGFLCDASKVEKAFLLFEEMKRNDIVPSVYTYTILIDSFCKAGLIQQARKWFDEMLRDGCTPNVVTYTALIHAYLKARKVLDANKLFEMMLLEGCKPNVVTYTALIDGHCKAGQIEKACQIYARMRGDIETSEMDMYFKLDDNNLEGPNVITYGALVDGLCKANRVKQAGELLDTMSAHGCAPNQIVYDALIDGFCKAGKLEDAQEVFAKMSENGHSPTLYTYSSLIDCLFRDKRLDLVLKVLSKMLENSCAPNVVIYTEMIDGLCKVGRTDEAYKLMLKMEEKGCCPNVVTYTAMIDGFGKSGKIEQCLELLRDMCSKGCAPNFITYRVLINHCCSFGLLDEAHRLLDEMKHTYWPRHMSSHRKIIEGFSQEFIASIGLLDELSENESVPVDSLYRVLIDNFIRAGKLEVALNLLEEISSSPYPAVSNKYVYTSLIESLSLASRVDKAFELYAIMISKNVVPELSTFVHLIKGLVRVDKWQEALELSDSICQMFRDKWSRIIFFHYGVAWQLWNQLYSKFEEQLS >OIV94443 pep chromosome:LupAngTanjil_v1.0:LG17:4110629:4113390:-1 gene:TanjilG_25505 transcript:OIV94443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKVSNVSLGATERDIQEFFSFSGDIDYVELKSHDEKSQIAYVTFKDSQGAETAVLLSGATIVDLSVTITPDPDYQLPPAALASSERECKTPAGSETALQKAEDVVTSMLAKGFILGKDAVNKAKTFDEKLQFTSTASAKVASINQKIGLSDKLNAGASVVSDKVREVDQKFQVSEKTKSAFAAAEQKVSTAGSAIMSNRYVLTGASWVTGAFNKVSKAAVEVGQKTKVKVENEEEQQKRNAEEQRRCKAEDQYAHVLSESPKAGATSEKESSKPAPAQGLIL >OIV94503 pep chromosome:LupAngTanjil_v1.0:LG17:4874270:4876848:-1 gene:TanjilG_25565 transcript:OIV94503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRFWITYLQLVELFVNSLVHLLYGFYIFSSAVAGDLSQALNEYFQKPKLNNVEVKDGKLVKGVEEKETNANDLPPIVLVHGIFGFGKGRLGGLSYFGGAEKKDERVLVPDLGSLTSIYDRARELFYYLKGGQVDYGEEHSKVCGHSQFGRIYEQGHYTEWDEDHPIHFVGHSAGAQVVRVLQQMLADKAFKGYENTSENWVLSITALSGAFNGTTRAYLDGMQPEDGRTLKPICLLQLCRIGVIIYDWLDIPWLKNYYNFGFDHYNMSWKKMGLWGLVECLLGNAGPFASGDWILPDLTIQGSLRTNYHLHTFPNTYYFSYATKRTRKIMGITVPSSILKIHPLLFIRVLQMSQWHHPPDVSPPYKGYRDEDWLDNDGALNTISMTHPRLPIEHPSHLVEKDADCQPLQPGIWYYKIVEGDHILFIINRERAGLEFDLIYDSIFQRCRKHAFRKKLPTLPNEIHH >OIV94521 pep chromosome:LupAngTanjil_v1.0:LG17:5092399:5099580:1 gene:TanjilG_25583 transcript:OIV94521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNFKLYSVLGLILLLVLEGASAAPSTTSPAKIVTGFLSNSVPAITKWVWSLKASTRTAVSGKPMMKFESGYSVETVFDGSKLGIEPYAVEVLHNGELLILDSDNNNIYRISSSLSLYSRPKLVTGSAEGYSGHVDGKLREARMNHPKGITVDDRGNVYVADTMNMAIRKISDSGVTTIAGGKWSHGGGHVDGPSEEAKFSNDFDVLYVSSSCSLLVIDRGNQAIREIQLHFDDCAYQYGSGFPLGIAMLVSAGFFGYLLALLQHRLSTIVASQDAQGPSMSDISPSPYQKPSKSYRPTFIPSEDEPDKQDEGLFGSIGKLLANAGASVVEIMGGLFPGFRSKPQSYQFQSEPLFRQPQKQVKDWPVQESFVIPDEDELPSIDTRSPPPCKIYPFMSKDAEKMQELWRSQAFYTGWNGNLQQQQQQQKHHHRHQYHSSISHTYYEQSHEVTNEILFGAVQEQDRKQEYVVVKPVNYGHSLYDNHNIRSRISSTDSRPKLVTGSAEGYSGHVDGKLREARMNHPKGITVDDRGNVYVADTMNMAIRKISDSGVTTIAGGKWSHGGGHVDGPSEEAKFSNDFDVLYVSSSCSLLVIDRGNQAIREIQLHFDDCAYQYGSGFPLGIAMLVSAGFFGYLLALLQHRLSTIVASQDAQGPSMSDISPSPYQKPSKSYRPTFIPSEDEPDKQDEGLFGSIGKLLANAGASVVEIMGGLFPGFRSKPQSYQFQSEPLFRQPQKQVKDWPVQESFVIPDEDELPSIDTRSPPPCKIYPFMSKDAEKMQELWRSQAFYTGWNGNLQQQQQQQKHHHRHQYHSSISHTYYEQSHEVTNEILFGAVQEQDRKQEYVVVKPVNYGHSLYDNHNIRSRISSTGDIHKY >OIV94612 pep chromosome:LupAngTanjil_v1.0:LG17:3146108:3147866:1 gene:TanjilG_25836 transcript:OIV94612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAIGGGGGGASCIFCDIATNSTSTTLLHSDDKVLAFQDINPSAFRHYLVIPVEHIPTVKDLHAKAEHYSLVNHMLEVGRTLLHRDAPQSKHYRFGFHQPPLNSVNHLHLHCLALPYTPRWRCMKYMSFGPLGFIEAEKFLEKIKPLSSVHSKV >OIV94931 pep chromosome:LupAngTanjil_v1.0:LG17:607197:610026:-1 gene:TanjilG_22128 transcript:OIV94931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQFRHIGEVVGSLKALMVLRDEIQINQRQCGLILDIFSLAFETLGDEIRQNLKLDERNTKWKALEFPLRELCRVFKEGELYIRHCLDSKDWWGKAMTFSQNNDCVEFHIHNLLCYFPAVIEAIENAGEISGLDQDEKEKKKLMLARKYDMEWNDPKLFQWRFGKQYLVPRDICKQLENAWREDRWRLIEALKEKRTSNKNTLTKNEFHLADMLLKKLLHGSEKMNIQLCPISVLLGAKDYQVRRRLGRGREFKEIQWLGQSFAMRHFVGEMQTYEAEISTLMSLSHPNILQYLCGFYDDEKKEFSLVMELMNKDLWTYMKENCGPRRQILFSIPVVVDLMLQMARGIEYLHSKKIYHGDLNPCTILLRPRNYQEGYFQAKVAGFGLTCVKNNDTTQRSTRSPNNEEFNPSSWYAPEILTELEQTRNASTYSKYSEKADAYSFGMICFELLTGKVPFEDNHLQGDRTNQKIKAGERPLFPYRSPKYLVNLIKKCWQTDPSQRPTFSSICRILRYIKKLLSMNTEFHVINPELNQLEALSPPVDCCDIEAMFLKNFPIDRPSILSSISQIPYEMFAYKVVEKGKIISNSSNAKDNKYIEPTKDEATLSKEENDKQNIVCGDDNASIAEKLLQDPFPLITIPKPNCEDTKSVCFDAPSRKSVKVKKPALDKSKKDKGIPKLQATRSLPPSLPGRGLRVHKASSSVSSSPLSPGKRRPSNVSESSKINKPSVLTPSSSIRRKQTSEAQTLDSKPSLKLKRDQSPLSKHDNIPDSNVTTSKRKNPQLTPSPSMNSARLGRVSTTPNMKVQKGFMYSTFTSRKNSHLSDLDKTRGRLSPLALSPLSPYMTRGRKYGHLSDYNSSSKTKRQSLSPLVLSPLSPYAPRRRTSGHVSD >OIV94915 pep chromosome:LupAngTanjil_v1.0:LG17:746313:748987:1 gene:TanjilG_22112 transcript:OIV94915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSWLGKFTSKKAKKEKKVKIFDMFQRKLKCSSEEKFNDKSRGSKRYDADTISKKEYQPPVPSRSLSLSPSRLILCCKRFSENPNATSVVGGATGSKPLHVSNSEEPTSGDGDIGNASISSDGNYSDIIIEDPLALMVDPLNPLAPDFENGNNATMNSSRVQSGENTPLNPLVTLNSLLFPSASSSSVETSSPSSPASEILIDPLNFKKGKFLGRGSFGQVFLGFNRDSGMMCAMKEVTLCPDDEKSMESAKQLRQVDDKLYIFLEYAAGGSLNKLLREYDQLGEDAIRFYTAQILSGLSYLHGKGIVHRDIKGANILMDPNGTLKLADFGTAKYIKGESSPFSLNGSPHWMAPEVAVMYKLGNTDEVPKIPKYLSKQGRDFLRLCLQRDPKDRPSAAELLLHPFVKDIIVETNIMQNLSLIHIDLLLLHAEIR >OIV94371 pep chromosome:LupAngTanjil_v1.0:LG17:3388648:3405673:-1 gene:TanjilG_25433 transcript:OIV94371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKDLFQEQKLPQGLIKAIKFDVLTEADIVKYILHFISISAFQLFLGVSVHCQFVTIAMELDQMAHTYCDKMVALEINAAGQVSCSDLGLPNLSSECTTCGAKSSDKNSCEGHCGMIKFPFGILHPYFMSEIAQILNKICPGCKSIRRELQNKFTQLSMYSQVHSCHGFKFWKQLLHLRGSCIKQGSFMVRYPTMKFRVSSNDLYRRTAIIAEVNDKAPKKKTFGLGLPDDYWDIIPADAQQEDGCTKSNRMVLSPAQVYSLLADVDPNFITKFVPRLDLLGLNYFPVTPNCHRVTEFAHAFCNGNRLSFLNPDKIPSNNIFADIQKRRVGENACNSSGLRWMKDVVLGKRNSSSFRTVVVGDPDLELSEIGLPCQIAESLEVCEHVNRQNKKKLLDCCELRMLEKGHISVRRKGSPIKLYKKEALKIGDIIYRPLTDGDKVLINRPPSIHQHSMIALSVRVLPISNVVSINPICCSPLRGDFDGDCLHGYIPQSVSARVELSELVDLDRQLINGQSGRNLLSLSQDSLTAAYLLMEDEALLNVYEMQQLQMFCPYKLTLPAIVKAPSSNSSFWSGKQLFSMLLPSKFDYSFPPDDVFVRDGELISSSEASGWLRDSDCNVFQSLLYHFKEKTLDFLYAAQKALCEWLSMTGFSVSLSDLYLSSDSYSRKNMMEEISYGLQAAEEACNFKQLLVDDYCDFLSGNLQDITVKVDRLNHERQISASLSQVSVDAFRQVFRSIQSLADKYACKSNTFLAMFKAGSKGNLQKLVQHSMCLGLQHSLVRLSYRIPRQLSCAAWNRQKRLDSIKKYLGTPQSVQSYIPYAVVENSFMTGLNPLECFVHSVTNRDSSFSDNADLPGMLTRRLMFFMRDLYDAYDGTVRNLYGSQVIQFAYDADKDSSSDSCYQDYTTGGEPVGALSACAISEAAYSALGQPISLLEASPLLNLKNVLECGSKKKGGDQTVSLFLSKKLGKQRNGFEYAALEIKNYLERMMFSDIVSTVMIIFSQPSCSHEKYSPWVCHFHLDKEIVKRRKFTMHSIIDSLYQRCDTLRKESKVNLPNLIISSKKCSANKGKEGEDCVTVTIVENSEDLIQVDAVRDLMLPLLLGTAIKGFLDITKVNILWSNLSKVSNSSNRSFGGELYLKVTMSSDGGSGRFWGVLINHCHKIMHMIDWTRSHPDNIHHFCSAYGINAGWQYFLHSLASATSDTGKTILPKHLRLVANSLSASGEFVGLNAKGMTRQRQHASVASPFVQACFSNPGRCFIKAAKCGVKDNLRGSIDALAWGSCPSIGTSGQFDILYADKGQELAKSVDVYNLLEASFDQLNEKIDTPDARSYSSDKCGSGYRYKNGGYTMKQFKQAKSSIRNFVTVKDIQKLTYASSSILNNYLIDQQLSDRDLSTMLRVLHFHPHKDKKFGTGPQCIKVGQHPIYKDTRCFFIERTDGTVEDFSYRKCILGALEIIDPEKAKSQKKRWSGDNVENEKSQIKIWSGDNVEMAKSPKKRWWENNV >OIV94894 pep chromosome:LupAngTanjil_v1.0:LG17:884624:888326:-1 gene:TanjilG_22091 transcript:OIV94894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNASKWFCGLVCLTKHDASDSHNSSFPIKSPNQKWRWSFLKSYEDNPQQWAAIKIQAAFRACLARRALRALKGLVMLQALVRAEIDRKRTAEFLQRMHPFSGAQARARAARACAAQAQFSQASWTAKPSSTSHIHGPATPDKFESPIRFVSMKFDHPSLVLKRNGSKSDNGVDEQSLNQPRSCSMDDERSVKTCEIYPRKPHITSQHRNLFYSTSQPLVLDHYSQSLTTTKDSTSYQSCQSPSSCKVQSYSPQNISTSSKEGGSKRSPFTPTMSDGTRTYISGNSDNPSYMGYTQSSKAKVRSNSAPKQRPYYERSSSSNRHTLH >OIV93792 pep chromosome:LupAngTanjil_v1.0:LG17:20378476:20385383:-1 gene:TanjilG_03755 transcript:OIV93792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSTKKDQIFGIQSSTEDDENEESSPSEKIYHDNNNIDPCEDKGSLPNESRRVSHFRLKNNTNCCYMCRKKFPTIKSLCGHMRSHPEREWRGIQPPLDLNDDDDVVVASVSSNRSSSTVVDVAAIDLSGSLCSGWSTTAKRGRKSLLSSSCYNNNNHKSTRVGVVEVEQQHPMLEEEGVYELMLLSGVLRCEAGETSEKLVKKGRLVASVELLQKSLEEEGSDHGSIVGIDIENRNTNPRNKKKGTKKMKLDEIGTSMNKLDAIETTKRLYKCSICNESFSFNQSLCGHLSNHKMKSNNIRSIVEFESNEHDQTIIEDLEDYAIGDQSSEATSLVEKCPKILSFDLNLPPTMDEEEKGIQSELIIPDTNLVPSAYYASTTFQNSVTNWYALTRTLHRRSGSVGGATHKGIPPISFLAPYGFTCPLTRTHVRLLGPCFKTGRMGSPQADARSTQVPKHTKRRALPTTIAMMTSPRACQQPGLGPPSQFASVHAPSRLADRLSPFHIRPRHIAGPHPLPSRQFQALFDSLFKVLFIFPSRYLFAIGLSPVFSLGRNLPPDWGCIPKQPDSPTAPRGATGSGHDGALTLSGAPFQGTWARSAAEDASPDYNSDTEGDRFSWRPTDPHGSKSRKAGGGDTHDRSRALAQPPSITAPSTADSVFNQPRALGLMASGATCVQRLDGSRDSAIHTKYRISLRSSSMQEPRYPLPRVFRISVSQRRPHEHRLRADGGELNDFNFLGAFRAGVLLLGQEDTAEGSPTETLLRLLLPLNDKVQWTSHNVAGSEPPTSPQSEHFTGPFNRQIAPPTKNGHAPPPIESRKSSQSVNPYYVWTCGVLKATSADPWSASFMVETRTLFVFHKSKNFTSDYEIRMPPTVPVNHYSDPEGQHNRIRILCAGGTTRPVKARSASPAEGTSRPVHTNGGPIDPTQAVSQAPSPESNPNSPSPVTTMCCHRKRLSKTDTTAKCYSREPINRRDSTGQTHQPAFAACTASKGTLDTCDNASHHNSQLTLHTHHFRILQRPQEGAWMERTALTSQLPLTRPPVRQTRRYHTDHSPQCQWQASKWKHMVPPDPLRRARTEKVTAKTDRDKGSKFIRLPNPPTTHFRRTGSALRASPNTTSFHGEKGLTGNIFPKPTTHFRMIALEPLRTSPSTTSFRAGPVTVEASERPWDAATTDSAPWDQSSASTANTFPRDKPNRGTLLLASQRDAPRLQARTRAHDTLPDDWTCAPSCCGYAPEGFTKHHILPCRTGRTRSLGTSVGCRYYRLRTVGPIQRLNSQRISEGQAYRVTLLLASQTTAPRLQARERAHDTLPDDWTCAPSCCGYAPEGFTKHHILPCRTGQNQKPRNARHYPTRHRVTKPEPRQVRRTHQEFRVGPRGRSQALDASQTSPRCRICPTRCTHFSERPACTRPRTTGIRHGR >OIV94299 pep chromosome:LupAngTanjil_v1.0:LG17:6981009:6982460:-1 gene:TanjilG_19305 transcript:OIV94299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSTNAPIQIFFFPFVGGGHQIPMIDTARVFASHGAISTIITTPSNSINFQKLITRDQQNGLNIIIHTLTLPHNSADIIDMSSGPMTDTSNLLEPLKHLLVLQQPDCIVVDMFHRWAGEVIDELRIKRIIFTGNGCFPRCVHENIRNHVQLEDISSDYEPFTVPNLPDRIEMTKSQLPIFFRDPSVFPDRLKSIKQLEEKSFGTIINSFYDLEPAYADYVRKELGQKAWIVGPVSLCNRSKEDKTNRGKVSTIDEKSCLNWLNSKKANSVLYVSFGSLARLPHEQLKEIAYGLEASDHSFIWVVGKTLNSSKIEENGSANFLPDGFEERIKENEKGLIIRGWAPQLLILEHVAVGGFLTHCGWNSTLEGVSSGLPMITWPLSAEQFSNEKLITDVLKIGVQVGSKEWVFWNAEPEWKGTVGREKVELAVKKLMGKTEETEKMRTRVKEIAGKARRAVEEGGTSYAEVDALIEELKAQRVALEA >OIV93923 pep chromosome:LupAngTanjil_v1.0:LG17:17989636:17994643:1 gene:TanjilG_05626 transcript:OIV93923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKVLESLDVSKSKENKKKKKKNEVLEEEEEEEEKSVRCWLRFRFFGSCISSKSKVDSSVSGTSTNYAESKSTIDTSRDQPAVAVVSSTTTSNTESNASTLKLEDELKVASRLRKFSFNDLKLATRNFRPESLLGEGGFGCVFKGWIEENGTGPVKPGTGLTVAVKTLNHDGLQGHKEWLAEVNFLGDLVHPNLVKLIGYCIEDDQRLLVYDFMPRGSLENHLFRRSLPLPWSIRMKIALSAAQGLAFLHEEAERPVIYRDFKTSNILLDADYNAKLSDFGLAKDGPEGDKTHVSTRVMGTYGYAAPEYVMTGHLTSRSDVYSFGVVLLEMLTGRRSMDKNRPNGEHNLVEWARPHLGERRRFYRLIDPRLEGHFSIKGAQKAAHLAAHCLSRDPKARPLMSEVVEALKPLPNLKDMASSSYYFQTMQADRCGASPNTRNGQTQGGALLTRNGQQQRSLSLSNGTHASPYHHQYPQQSPKPNAKA >OIV94108 pep chromosome:LupAngTanjil_v1.0:LG17:13963329:13964453:-1 gene:TanjilG_05488 transcript:OIV94108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSSDDDRREQNSHRSTIITQQPQHSRFKKYKWWIRVFLYIIFLLAGQSAATLLGRLYYDKGGNSKWMATFVQSSGFPLLLPLLFYVKFTEMHNSDTSKTKPKVTTIVFLYVALGLLLAGDNLMYSYGLLYLPVSTYSLLCASQLAFNAVFAFLLNSQKFTALIFNSIVLLTVSASLLAVNVDSEDSMGIPREKYIIGFFCTLGASAAFSLYLSLVQFSFEKVIRRETFSAILDMQFYPSLVATCVCVVGLFASGEWKTLDNEMKGYQKGRVSYIMTLLWTAVTWQISSIGMLGLVFEVSSLFSNIIGTLALPIVPILAVVLFHDKINGVKVIALLLALWGFLSYIYQHHLDDKKAKVDKSVGLEVSRGELEIC >OIV94345 pep chromosome:LupAngTanjil_v1.0:LG17:6180189:6189295:-1 gene:TanjilG_21685 transcript:OIV94345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVRTYGRRNRPISKPFSSSLNDAVSHDPFTFSPQEEEEEEFSQNNNNLCTSSFAFSSQESSSHCSLFDSERGGRESKRPKKAAANGGFSILATSTLMEAQEFGEMMEHVDEVNFALDGLRKGQPVRIRRVSLVSLLGICGTTQQRRLLRTHGMAKTIIDAILGLSLDDSPSNLAAATLFYILTSDGQDDHLLESSGCVRFLIKLLRPIFSPDIEDKAPKFGSKLLSLRQNDDMLKTKTGRLDSSSIAVYSRVQEILVNCKELKTTCQNDNVVERPELCPKWLALLTMEKACLSAISLDDTSGSVRKAHGKFKEILREHGGLDAVFEVTMNCYSDLENLMEDNSLSTRDMRNAKRLKSLTLLLKCLKIMENATFLSYDNQTHLLGLKGKPSPRATPVSFTELIINVTKILSDLYLRRSASAASNDNEAFDPFSMASQDSEVDLLRDQKDDEILSFSSTRKYHGVEKAFSVKSSKSIVSQKSRLLTRSWLESSLSLSETPSTSTTDTYSLQMRVSSSTSGSCSGASKSSYGKTSLIHNTSKKNVHFTERNPVVILDDSEDPFAFDEYDVVPSKWDTLSGKQKKLRSKKYEADNREYEDGSRSKKCEVANREYEDGCQSQTNVCQQEFNDGEINFSSSNVGDEEGSSLLTDCLLSSVKVLMNLTNENPIGCQQIAAYEGLETMSLLIAGHFSSFSSSLSIAEIKEDTSRAAQCDRHLTDHELDFLVAILGLLVNLVEKDGHNRSRLAAMSVLLPSSEGLDQEVRRDVIQLLCYIFLANYDGSGGAGEAEHLQLDEAALLQGEQEAEKMIVEAYSALLLAFLSTESKSIREAIADNLPDHKLSILVPVLDRFVEFHLSLNMISPETHKAVSEVIESCRIR >OIV94942 pep chromosome:LupAngTanjil_v1.0:LG17:526894:528232:-1 gene:TanjilG_22139 transcript:OIV94942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAINVQSVEASQDNKIAPPKTVDSQSVLRRLQSELMALMMSGDPGVSAFPVEDNMFCWKGTIAGSKDTVFEGTEYKLSLSFPNDYPFKPPKVRFETTCFHPNVDMQGNICLDILQDKWSSAYDVRTILLSIQSLLGEPNISSPLNPQAAQLWSNQDGRWWRSTNVQVLRFGTAVPYSGPIRSFLRLL >OIV94582 pep chromosome:LupAngTanjil_v1.0:LG17:5792991:5793287:1 gene:TanjilG_25644 transcript:OIV94582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLESILSSPRTLRSSSLRRQFTKNELGSWSALYQRHRYLLFALTLLVILCTVYLYFAITLGEASDPCFGLNGPEKASCHVEHLKAEAKSMLKNVKHL >OIV95020 pep chromosome:LupAngTanjil_v1.0:LG17:18645:18961:1 gene:TanjilG_22217 transcript:OIV95020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKAVRAYAEVLRLVRHLPKDTRGYYSKYARENFVNYRHVDSSTLHELFQRTYHHSLWVLKKYSIDQSSANRLKDICSL >OIV94690 pep chromosome:LupAngTanjil_v1.0:LG17:2534292:2535797:1 gene:TanjilG_25914 transcript:OIV94690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTLFRLVSFQQQHQQQLQADNQSLNSTTSRTTTSSSSRSSRQQQNYHFQQDQDEECFNFFMDDEDLSSSSSKHYYPYQPHHPPSTTTTTTPTIFTSTTTPDNFSFSPSRTDFNFEFSGKWAHDILLETARAIAEKNSARVQQLTWMLNELSSPYGDTDQKLASYFLQALFSRMNEAGDRTYRSLTSASEKTCSFESTRKTVLKFQEVSPWTTFGHVASNGAILEALEGEPKLHIVDISNTYCTQWPTLFEALATRNDDTPFLRLTTVVTTRPGGSIVAVQKVMKEIGTRMEKFARLMGVPFKFNVIHHAGDLSTLDFSDLDIKDDEALAINCVNALHSMTGVGNARELLISSLRRLQPKIITVVEEEADLDVGFDGFEFVKGFQECLRWFRVYFEALDESFPRTSNERLMLERAAGRAVVDLVACSPADSVERRETAARWSQRLHGGGLNTVPFSDEVSDDVRALLRRYKEGWSMTPCSDAGIFLSWKEQAVVWASAWRP >OIV94744 pep chromosome:LupAngTanjil_v1.0:LG17:2061308:2062282:1 gene:TanjilG_12957 transcript:OIV94744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTEAEAQTQPLTTPSYSSGGSNIVKDVVLWREKKLSASVLIVATATWVLMEVYQFNFLTIISWLAMFVVTSIFLYANMFRLLGKETLNLSRLELTQETAERIGNTVRIWIEEAIRLLFRLSAEEDWPVFVGVVAVLWALSYVGSCMHFLTFLYIGIVGCMTVPITYVKNEDKFKRFVEWLKMKSKMVYEIIDEKAINKIKSRVVTQMNGKKKE >OIV94491 pep chromosome:LupAngTanjil_v1.0:LG17:4769438:4769869:-1 gene:TanjilG_25553 transcript:OIV94491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKVPAKAVPTITALLMENADEGVSDGGGSGAEPEGPGEGAVPGLDAGGGVEVGASDGVEAGVGDGGETVVGARAGAGASVGGVGVGGVAVVGAGAGADFGGGVAGGGVAGGVAVVVGGWVGAATGPCAMHEVAKSPKIINT >OIV94165 pep chromosome:LupAngTanjil_v1.0:LG17:10478711:10484400:-1 gene:TanjilG_13782 transcript:OIV94165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTTASTLEFLSKKPYTPPSWASHLNPLPSHVFSLAHLPTPIHKWNLPNLPPNTHLYLKRDDLSGMQLSGNKVRKLEFLMADAVAQGADCIITIGGIQSNHCRATAVAAKYLNLDCYLILRTSKALVDQDPGLTGNLLVERLVGAHVQLISKEEYAKIGSVAKGLGYAMNTSEELNFVREIAATTGVVLDPVYSGKAAYGLVKDISESPKKWEGRKILFIHTGGLLGLFDKVDQIAPLVGKWHRMDVNESVPRQDGIGKMF >OIV94209 pep chromosome:LupAngTanjil_v1.0:LG17:10386792:10387426:1 gene:TanjilG_28148 transcript:OIV94209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIIAFSDKSAIPFSVIPTVLKDLKYADSHEWVKVDGNSATVGITDHAQDHLGDVVYVELPEVGATVTQGEGFGAVESVKATSDINSPVSGKVVEVNEELSSSPALVNSSPYKDGWIIKVELSDNGELNNLMDSDKYSKFCEEEDSNH >OIV94614 pep chromosome:LupAngTanjil_v1.0:LG17:3132033:3139538:1 gene:TanjilG_25838 transcript:OIV94614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNPPFHVEDNTDEDFFDKLVEGDDDMGPAKSGHDEGNDSDEANEFANLGITDADATLENSDVGESGIELKGEKRSVELDDNLVSGHKQEANLVLSSSSVGCYNKTDPPGNDVMGSEFTSASAVSDVDKIPSSGVKEVGWNSFYADSNEGTGFGSYSDLFGELADQSGDFSGTAFDNLNSEVTSGNIVQNDALNTSVNYVQYEDGQGYDASLENYTSTDRDGLNTSVNHVPYQEGQPGDASLEKQTNGQDLSASQNWEDLYPGWKFDYSTGQWYQIDEYNEAAKTRRTSEANTAVDWAGSSDGKTELSYMQQTAQAVTGTSAEAGTTESVSSWSQVSQGNNGYPEHMYFDPQYPGWYYDTIAQEWRSLEAYNSSTQSAVQAVENGNVFASNFSDNGNSLYREYSQDGNYESQGIGSSQAVDNSWNGSYGVNHQQGFDVYTNGTPAQSGDNITSAGNQQYTHSYGSRVSGGNQQNTSSSFGSVALYNKVNHDHGLVNGTVEPQSFVPSRDVVQQFNHSNTNFDKERKFSNDFAESQKSFSYSQQSVQDGHHYSYSPRAGRSSAGRPPHSLVTFGFGGKLVIIKDHSLSSSSYGSQGAVHGSVSVLNLMEVVMGSVDSSSIGNITGDYFRALSQQSYPGPLVGGSVGNKELYKWIDERIARCESPDTDYKKGERLRLLLSLLKIACQHYGKLRSPFGTDTILKENDTPESEVAKLFASAKSSATHYGMLSHCLQNYPSEVQMRAMASEVQNLLVSGRKKEALQCAQEGKLWGPALVLASQLGDQFYVDTVKQMALRQLVAGSPLRTLCLLIAGQPAEVFSTGASVSEHPGASNMRQHSAQIGPNGMLDDWEENLAVITANRTKGDELVIVHLGDCLWKERSQITAAHICYLIADANFESYSDSARLCLIGADHWKFPRTYASPEAIQRTELYEYSKMLGNSQFILLPFQPYKLIYAYMLAEVGKVSDSLKYCQAVLKSLKTGRAPEVETWKQLVSSLEDRIRTHQQGGYAAMAPAKLVGKLLNFFDSTAHRVVGGLPPPAPTSSQGAVHGNEQHYHHMSNSQSTMAMSSLVPSASMEPISDWNADNNRVIKHNRSVSEPDFGRSPRQGTTSLDSQGKAQVSGGTSRFSRFGFGSQLLQKTVGLVLRPLSGKQAKLGETNKFYYDEKLKRWVEEGADPPAEEAALPPPPTTAAFQNGSTEYNLKSALKTEESPSNEGSNIRTLTPDHSPSMPLIPPTSNQFSARGRLGVRSRYVDTFNQGGANSANLFQTPSVPSVKPVPAANAKFFIPAPAPSNEQTMEAIAESNQENSAANEDPSTSAMNDWSYQSPKHAFPTAMQRFPSMNNISKQGAVTHVRNFHSAQSRRTASWSGSFSDSFRSPRMEQIKTSGEASGMPSSTFIPDESSLMHTPTKRSSFGEELHEVEL >OIV94023 pep chromosome:LupAngTanjil_v1.0:LG17:14973489:14977136:1 gene:TanjilG_19384 transcript:OIV94023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLRLGSKSDDEFRQEGQTLHCTTGLPSDVTVEVGESSFFLHKFPLLCRSGLLKKLIADSTHEDGSSSVLELHDVPGGDKIFNLVTRFCYGVKIEVTASNVASLRCASEYLQMNENYGEGNLVSQTESFLNEVLSNWSDTIKALQTCEEVKNISEEIHIVSRCIDSLAMKVCSDPNMFNRPKAEGDCSNNQGQDPTTWNGISSETKLLGDDWWYEDLSLLSISLYKRFILAIEAKGMKPESIVGSLIFYIRRFVPLMNRQSSFNDKNNVKQQGTSTTSNNSTISEADQKVVLEEIVGLLPNKKGVTPSESLFRLLRAAMILRASQSCIDNLEKRIGSQLDQVELVDLLIPNMSYSVETLYDIDCIHRIIDHFMSMHQPATAATSPSITEEVIVGANTLKPMTMVAILVDAYLAEMALDVNLKLPKFHALASAIPDYARPLDDALYHAIDVYLEAHPWLADSEREQFCRLMNVQKLSLEASTHAAQNERLPIRVIVQVLFFEQLRLRTSISTWLFVDNIENSQNPNGNLGFLRSNGNNGQQQGDDNLRDRVKELENECLDIRKELKKLAKTKKSWNFFSRMLFFTKSYSKC >OIV94233 pep chromosome:LupAngTanjil_v1.0:LG17:9477861:9478370:1 gene:TanjilG_08531 transcript:OIV94233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAPNSEPPQTQPHATDAEAAESPPPVQSGSEPPEAEPPLPVQSGSESPKPEAEKGDQEEEEEEEEEEGECGFCLYMKSGGCKDEFIDWEKCIEEAEKNKEDLVEKCAKATAVLKQCMDSHSDYYEPILRAEKDAEKKAIDELEKEKEAESQSLQNASKDGDGASDSKV >OIV94348 pep chromosome:LupAngTanjil_v1.0:LG17:6216886:6220661:-1 gene:TanjilG_21688 transcript:OIV94348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCGCFGTSTPKKKRSTSRTTNEIDGYPLDNVRRFSDKELRLATDNYHMSNKIGRGGFGTVFRGTLKNQRQVAVKTLLAGSRQGVREFLAEINTLSHVKHPNLVELIGCCVEGPSCVLVYEYVENNSLDRALLGTRTSNIRLDWRRRAGICMGTARGLAFLHEELVPHIVHRDIKASNILLDGDFNPKIGDFGLAKLFPDDITHISTRVAGTTGYLAPEYAMGGKLTMKADVYSFGVLILEIISAKSSVRANWGGSQKFLLEWAWQLHEEGRLLELVDPNMVEYPEEEVIRYMKVAFFCTQAAASRRPLMSQVVDMLSKNIRLNEKQLTAPGFFLDSGESARNKSSYGGSTSYQFSSTPVSITQVTPR >OIV93917 pep chromosome:LupAngTanjil_v1.0:LG17:17894979:17901074:-1 gene:TanjilG_05620 transcript:OIV93917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEKSTMVFLYLLLMATFQKAVSDDHQPLSKVAIHKTVFAIDEHAYIKATPNVLGLEGHYTEWVTLQYSNNKPTIDDWIGVFSPANFSASTCPGENKMTSPPFLCSAPIKFQYANFSSHSYKDTGKGSLKLQLINQRSDFSFALFTGGLTNPKLIAVSNKVSFVNPNAPVYPRLAQGKTWNEITVTWTSGYDISDAEPFVEWGPKEGNLVETPAGTLTFDRNTMCGAPARTVGWRDPGYIHTSFLKELWPNREYTYKLGHRLFNGTTIWSKKYHFKASPYPGQSSVQRVVIFGDMGKAEADGSNEYNNFQPGSLNTTKQIIQDLEDIDLVFHIGDLCYANGYISQWDQFTAQIEPIASTVPYMTASGNHERDWPGTGSFYGNLDSGGECGVPAQTMFFVPAENREKFWYSTDYGMFRFCIAHTELDWRKGTEQYEFIEKCLASVDRQKQPWLIFLAHRVLGYSSAEFYVQEGSFEEPMGREDLQYLWQKYKVDIAMYGHVHNYERTCPIYQNVCTNKEKHNYKGNLNGTIHVVVGGGGASLAEFAPINTTWSIFKDHDFGFVKLTAFDHSNLLLEYKKSSDGQVYDSFSISRDYRDILACSVDTCPSTTLAS >OIV94067 pep chromosome:LupAngTanjil_v1.0:LG17:13124958:13129182:-1 gene:TanjilG_05447 transcript:OIV94067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANIDDLDQLLDSALDDFQTFNLNHINNNKNNSSLQSDRETGTGNIHEGLPSLSSGVQGLGMGLPDLRVKKKGKQKAVSKDTHVSEALDKLREQTREAVKGLEYIAAPKLGADDLGKDAMEDWVKQFEDLAGTQDMESIVETMMQQLLSKEILHEPMKEIGERYPKWLEEHKITLSKEEYDRYSKQYELIRNLNEVYEKDPGNFNKVVELMHKMQECGQPPNDIVKELAPDFDLASLGQLSPEMLESQANCCIM >OIV94900 pep chromosome:LupAngTanjil_v1.0:LG17:835444:839539:-1 gene:TanjilG_22097 transcript:OIV94900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTLSLLASATVPPNEDPCDWFGVSCTMAGDHVLKLNISGSSLRGFIAQELGHITYLQELILHGNNLIGTIPKELGMLKSLKVLDLGKNQLSGPIPPEIGNLTLVVNINLQSNGLTGKLPAELGNLRYLQELRLDRNRLQGSVPASSSSNFDSNMHEMYASKANLTGFCRSSQLKVADFSYNFLVGNIPKCLKYLTRSSFQGNCLQSKDLEQRPSLQCVNYYFIAGASPAKSQPVANPNHQRAEYELKHHGTSKPVWLLILEIVSGAIVCSLFLVAVLTACLKCNKKASIIIPWKKSSSQKEHTSVYIDPEMLKDVRSYSRQELEVACEDFSNIIGSSADSVVYKGNMKGGPEVAVISVSIKEEHWTGYLELYFQREVADLARLNHENTGKLLGYCRESTPFTRMLVFEYASNGTLYDHLHCYEGCQFSWTRRMKIVIGIARGLRYLHTEAEPPFTISELNSSAVYLTEEFSPKLVDFESWKSILERSEKNSGSIGSQGAVCVLTNSLEARHLDTKGNIYAFGVLLLEIISGRPPYCNEKGYLVDWAKGYIEIPEVMSHVVDPELKHFRQEDLEVICEVVSLCINPDTTACPSTRELCSMLESRIDTSIRVELKSSSLAWAELALSS >OIV94315 pep chromosome:LupAngTanjil_v1.0:LG17:6690950:6694297:1 gene:TanjilG_19321 transcript:OIV94315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTSQSREGLEVTDSDSDYEEEQEHYEDAQTNTVSKPHLDDIDAKLKALKLKYTNSQTTPLPVNAVKLYLHIGGNAPNAKWILSDKHTSYSFVKNDDDEDQNDDETDSFYVLKVGSTVRARVSTEIQLKMFGDQRRVDFVSNGVWALKFPTDEAYRKFITEFQDCIFENVYNLEATEENKVKVYGKEFIGWVKPEAADDSMWEDADDFSSEKAKTPIRDRENLMEEFEEAANGGVHSLSLGALDNSFLVNDTGVHVYRNFERGIHGKGVAVKFNSGGGKFSNLGEHTPNKALLMRAETNMMLMSPMSEGKPHGSKLHQLDIETGKIVSEWKFEKDGVDVTMRDITNDTKGSQLDPSESTFLGLDDNRLCQWDMRERKGMVQSLAMSNSPVLHWTQGHQFSRGTNFQCFATTGDGSIVVGSLDGKIRLYSKTSMRQAKTAFPGLGSPITHVDVTYDGKWVLGTTDTYLVLICTLFTDKDGKTKTGFSGRMGNRIPAPRLLKLTPLDSHLAGANNKFHGGHFSWVTESGKQERHLVATVGKFSVIWDFQQVKNSAHECYRNQQGLKSCYCYKIVLKDESIVESRFMHDKFAASDSPEAPLVVATPMKVSSFSLSGKKHG >OIV94767 pep chromosome:LupAngTanjil_v1.0:LG17:1857977:1859950:-1 gene:TanjilG_12980 transcript:OIV94767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMNNKALSCNFNLLKRLTQQVQLQTRFYARDPFPTKVSHYLHRAKLIDSIRLTLRSNNPNTSLPTLLNHRLLDSFVVTHSLRSAPSADSALYLTQALDGIPRFSHTQYTLHALATVLAKSGRIAELKSLIDDIRAKKFGNVKITFMNLMQWYAAAKDIDSVIRVLNEYRLDSKHPCTESYNIVMSLYAQMGKDSEVVKVFHRMIGEGSLPNCRSYTIMIEHLVKSGKLFEAMEVFNALPLMRVKRTLKQYSVLIESFIASKRFEEVKMLLHEMQVDGILPSRAMSLSLQQMKEEGFIRESDEFFRETTLPDERIKNIRYAIDSSDDEEEDEDENVSHCDRVDRVQLKPWLDPRALANALQSWSPDEVAELEGAKFVWTTRFVCKMLRNFNSPETAWNFFCWVANQPGFTHDIYTVQRIMTLLARQGRTELVDRLISKIRMEGMRVPFSTIRLIIDFYGISKNADAALKVFNHDRMLCGPISKSNLMLLYSSLLRTLTKCGRNSDALDMLDEMILNGICPDIQTFSGLMHYFSQLGDIKTVQKLFAMLRQSGLEPDPYLYKVLIQGYCKSKRAALAWRLFEDMKNSGLVPDSATKELLVKSLWKEGRRREAAAVEESYDDLNVVLPLELPGHVWTVSSADLTRVCNIYSNCLASNDG >OIV94562 pep chromosome:LupAngTanjil_v1.0:LG17:5591926:5593538:-1 gene:TanjilG_25624 transcript:OIV94562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGGNRAEAERWLFTANKLLSARDLHGARSFAIRARESDPTFDASELLVTVIDTVLAGETRINDHHRDWYGILQVLRYTTNIDHIAAQYRRLALLLDPHRNPFALSSHAFSLVHDAWSVLSDSVKKAMYDNDLQLLTSQTPPPQQPQPTPPPPQQRQPPPPPLQPQPQQKKNPRSKNVLAGPGRNTRSESLTQPKELGWTESEGASFWTSCPYCYVMYEYPKVYEDCTLRCQNCRRGFHAVVIRSPPLNGLDESFCTWGFLPLGFSGDSKDINGVGSNWNPFSPLFPCSLKGNTKKKKDWVYYDDEVATAFINISDPSEDDSDGDWRGGKSRASKRARSSRKNFSDVKRKPVRRPRRSSHGGGARTENAGGGVGNENAGVVVGNENVGVVVGNENVGVVVGNENVGVVVGNSVTVNGTSKRAQPGKRGAVGGPRRRGAGNLGKLDLNVEFSNDAEERQEPSHAGMREGNPTGQADDNIEGIGFFEGLDEFLSSLPILNVVGDDKVKDH >OIV94193 pep chromosome:LupAngTanjil_v1.0:LG17:11111427:11114370:-1 gene:TanjilG_13810 transcript:OIV94193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTSQSNSLKVFGEGEGVSSTLRLENGKRVRRPPAKYQSFLSVDIISNDHEQKPRRPSKAHLKPIVVPSAARSNDGGVPPPVDALELAQLPSNSIPIGSPKPRGRPKKNVVASPSIAGCSAAYGGGKKPVVARKPMKKSIGKPMGHPKGSKVAVKNKQEDIEADLREKIRYIQSKVRRSVVVLRTYFHMKSPVAARAAIHRLQNLGSMDINLPLREDSPLVVPIRNAINKR >OIV94569 pep chromosome:LupAngTanjil_v1.0:LG17:5672814:5673650:-1 gene:TanjilG_25631 transcript:OIV94569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIVSSFNFLTEFGCGYVLLDCFSTTLNCLGLFLMFLFCLKVLHLGWYYKNLIRFQTDFGGIPRIRLCLENGVWQVSGSKIAPLANVCKDALKVKSSAMNVNSGSDMSSSVAREKENVNSEEGFEGKDETHECEREVVDEDKVFDVMTLRKLVKTERQKADTAFAELDKERTAAASSADEAMAMILRLQREKSSAEIQANQFQRMAEQKLDYDQEVIESFEWTITQHESQRSYLEEQIGIYREELKIHLSDDEINQLEFDISRDGSVVSSSETESQTL >OIV93990 pep chromosome:LupAngTanjil_v1.0:LG17:19723083:19726512:-1 gene:TanjilG_05693 transcript:OIV93990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHSWARGSLIVLAIISFGCLFAISIAKEEATKLGTVIGIDLGTTYSCVGVYKNGHVEIIANDQGNRITPSWVGFTDGERLIGEAAKNQAAVNPERTIFDVKRLIGRKFADKEVQKDMKLVPYKIVNKDGKPYIQVKIKDGETKVFSPEEISAMILIKMKETAEAFLGKKINDAVVTVPAYFNDAQRQATKDAGVIAGLNVARIINEPTAAAIAYGLDKKGGEKNILVFDLGGGTFDVSILTIDNGVFEVLSTNGDTHLGGEDFDHRIMDYFIKLIKKKHGKDISKDNRALGKLRRESERAKRALSSQHQVRVEIESLFDGVDFSEPLTRARFEELNNDLFRKTMGPVKKAMEDAGLQKSQIDEIVLVGGSTRIPKVQQLLKDYFEGKEPNKGVNPDEAVAYGAAVQGGILSGEGGDETKDILLLDVAPLTLGIETVGGVMTKLIPRNTVIPTKKSQVFTTYQDQQTTVSIQVFEGERSLTKDCRLLGKFDLTGIPPAPRGTPQIEVTFEVDANGILNVKAEDKGTGKAEKITITNEKGRLSQEEIERMVREAEEFAEEDKKVKERIDARNALETYIYNLKNQIGDKDKLADKLESDEKEKIETAVKEALEWLDDNQSAEKEEYDEKLKEVEAVCNPIITAVYQRSGGAPGADASAEDDDDSHDEL >OIV94641 pep chromosome:LupAngTanjil_v1.0:LG17:2938024:2942755:-1 gene:TanjilG_25865 transcript:OIV94641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFTEKDLFGEDSDNDKDATHSSSRSSSPSSSSSSSSSSSSSSSNASDHGGADSSSTSGSVGGGGDDDEEDNTSKAYSSHQDHDEEDYNEDRDLFGSDNEDYCKTLAKSPHPIPVLPAIRNVNNQGRGGFGRGRWQPGHQNDRGAGLLPRPGPYPHRQNFGYGNRFQNGHRDERFVSDMKLTKSEETLSRKCIAFQEPCEIGCYSRVEGGQVYFDDRSLRLFKRLITEDVGADLNEADLGSEGFGDLLACIRDKNIPLQNIHFVTYRNNLNKILATAYVRHEPWEMGVHKRNGVVYLDVHSLPERPQRDIDRRRCYWGYCFESLATEDPTRADGEGIHHVDANVEFCSVIKTKLGAHRILMGAEMDCCDSTDDGKRFYVELKTSRELEYHTEERFEREKLLKYWIQSFLAGVPYIVLGYRDDAGRLVRTERLRTKDITQRVKTKNYWQGGVCLAFADEVLCWLYGTVKENEDYILQFAPPFNRLELLQAQSCPDVITSHLDLL >OIV94539 pep chromosome:LupAngTanjil_v1.0:LG17:5305161:5309728:-1 gene:TanjilG_25601 transcript:OIV94539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSRIASSSSSSSSILKPISAALTLRRPLSSDTTLTIETSIPFTAHNCDAPSRAVETSPSELLTFFRNMAVMRRMEIAADSLYKSKLIRGFCHLYDGQEAVAIGMEAATNKKDCVITAYRDHCTFLGRGGTLFQVFSELMGRKGGCSKGKGGSMHFYKKDSGFYGGHGIVGAQVPLGCGLAFAQKYLKDENVTFALYGDGAANQGQLFEALNIAALWDLPAILVCENNHYGMGTAEWRAAKSPAYYKRGDYVPGLKVDGMDVLAVKQACKFAKEHALKNGPLILEMDTYRYHGHSMSDPGSTYRTRDEISGVRQERDSIERIKKLLLSHEIATEKELKDTEKEVRKEVDEAIAKAKESQMPDPSDLFTNIYVKGYGVEAFGADRKEIRATLP >OIV94203 pep chromosome:LupAngTanjil_v1.0:LG17:10228743:10232295:-1 gene:TanjilG_28142 transcript:OIV94203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHNNENQKSLIYSFVSRGAVILSEYTEFSGNFNSIAFQCLQKLPATNNKFTYNCDAHTFNYLVDNGFTYCVVADESVGRQVPMAFLERVKDDFVAKYGGGKAATAPPNSLNKEFGPKLKEHMQYCVDHPEEISKLAKVKAQVSEVKGVMMENIEKVLDRGEKIELLVDKTESLHHQAQDFRNSGTQIRRKMWLKNMKTKLIVLSIVILLILIIVLSVCHGFQCGK >OIV94968 pep chromosome:LupAngTanjil_v1.0:LG17:350791:361130:1 gene:TanjilG_22165 transcript:OIV94968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGFSDTPTAPKILLAKPSLVAGTPVAGKFGRGGAAEDDSAHFRSRLPSVASLNLLSDSCDFHIDRFLPVIYNNSSLHLFALNIYDNSNLDYDYMFLQFLTENTDFTVIGVIGTPGVGKSTIMNELYGFDSTSPGMLPPFSVLSEETRAMARHCSTGIEPRISSERIILLDTQPVFSASVLSEMMRPDGSSTISVINGESMSAELAHEIMGIQLAVLLASICHILLVVSDGAHDDSMWHLMLTVDLLKHGIPDPSLLASSLSQSSSSGLEKDNEVPEREEYIATPVFVHTKLQDQDFAPQNVMQLKKGLMQYFKPSSFVREHDGNKLGEHVSSCTVYNNPMDSKMLNLYAIPLKKKDGNPRAQHESYISALWKLRDQSKMVRLKTYAGLSLLATLAITYHAFNSRGQFYPAMVYLSTSKISLLLLLNMGLVIMCSLWQLTKKIFLGSLREAEVERLNEQLWREVMEILFAITVFRQDFSVTFLAMVTALLAVKALHWLAQKRVEYIETTPSVPTLSHIRIVSFMGFLLLLDSLLLYHFMKHLIETWQPSVSIFFSFEYMILATTTVSIFVKYIFYVSDMLMEGQWENKPVFTFYLELIRDLIHLSMYLCFFLVIFVCSTRNYGVPLHLMRELYETFRNFRIRVADYIRYRKITSNMNDRFPDATPEELNVSDATCIICREEMTTAKKLICGHLFHVHCLRSWLERQHTCPTCRALVVPAENGTTVAGGQQGSQSDAHRQGTGSTAQTEVGNGVATDNFSRQARLQAAAADAASIYGKSYVYPSAASLACSPGNTLYPRVQTSLAEPTNTEFNGEGASSKQVQNQFVIPGGSSNVSFPPMGHFQFLPSQSQAAPVNYGEGFGNDPNMPSSLLEAQRKLIQYQIEILQNQLQLLQRTNVEKSVDDGASSSVSDLDYHGEIGNGKA >OIV94225 pep chromosome:LupAngTanjil_v1.0:LG17:9729116:9732017:-1 gene:TanjilG_09380 transcript:OIV94225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHGTVLALMLCLMASAVMGEDPYLYFTWNVTYGTISPLGLDQQGILINGQFPGPEINSTSNNNVVINVFNNLDEPLLFTWHGVQQRKNSWQDGTLGTQCPIAPGTNYTYKFQVKDQIGSFFYYPTVGLQRAVGGFGGLRINSRLLIPVPYADPEDEYWVLIVMGEDPYLYFTWNVTYGTISPLGLDQQGILINGQFPGPEINSTSNNNVVINVFNNLDEPLLFTWHGVQQRKNSWQDGTLGTQCPIAPGTNYTYKFQVKDQIGSFFYYPTVGLQRAVGGFGGLRINSRLLIPVPYADPEDEYWVLIGDWYGKTHKTLQSILDSGRTLGRPAGVHINGQNNVDGTGKALYSMKPGKTYKYRICNVGIKDSLNFRIQGHPLKLVESEGSHTVQNIYDSLDVHVGQCFSVLVTADKEPKDYYMVASTRFTKKVLTGKGIISYTNGKGPASPVIPNAPVGWAWSLNQFRSFRWNLTASAARPNPQGSYHYGQINITRTIKFVNSVNNVNGKLRYAINGVSHVNTETPLKLAEYYGITDKVFNYNIIADEPPASLDNIKLAPNVLNATFRTFIEIIFENPTKTIQSYNLNGYSFFGVAVEPGTWTPEKRKNYNLLDAISRHTIQVYPKSWAAIMLTFDNAGMWNLRSEIAENRYLGQQLYISVLSPEHSLRDEYNLPETQLVCGLVKDLPKPPPYSS >OIV94210 pep chromosome:LupAngTanjil_v1.0:LG17:10389855:10393316:-1 gene:TanjilG_28149 transcript:OIV94210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGVSYARMPRVKIRELKDDYAKFELRDTDASIANALRRVMIAEVPTIAIDLVEIETNSSVLNDEFLAHRLGLIPLTSERAMSMRFSRDCDACDGDGQCEFCSVEFHLRVKCMTDQTLDVTSKDLISSDHTVTPVDFNDSSLIQSSDAITNRGIIIVKLRRGQELKLRAIARKGIGKDHAKWSPAATVTFMYEPEIHINEDLMESLTLEEKKEWVESSPTHVFDIDVVTQQVTVVDPEAYTYDDEVIKKAEAMGKPGLVEINAKQDSFIFTVESTGAVKASQLLLNAIEILKTKLDAVRLSEDTVEADDQFGELGAHLRGG >OIV94810 pep chromosome:LupAngTanjil_v1.0:LG17:1567055:1569230:-1 gene:TanjilG_22007 transcript:OIV94810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHFAFVLLLAILFHHECFNVSVEAVDGFVRTRGIHFLLNGNPYYANGFNAYWLMYRASDPSQRFKVSAAFHEASRHGLSVARTWAFSDGGYSPLQYSPGFYNDQMFMGLDFVIAEARKYGIKLILSLVNNYDNFGGKKQYVNWARNHGQYLTSDDDFFRSSVVKGFYTNHVKTVLNRYNSYTGIHYRDDPTIMAWELMNEPRCTSDPSGRTIQAWIKEMASFVKSIDSNHLLEVGLEGFYGQSTPERKRLNPGFDIGTDFIANNLILGIDFATIHCYPDQWVSGSNDNYQLAFLNNWLSAHFLDAQYAIRKPILVAEFGKSFKDTGYNTYQRDQLFNTVYYKIYSSAKRGGPAGGGLFWQLLTEGMESFDDGYGVMLGQSSSTANVIAQQSHKLYEIRKNFGRLAYVSRWKRARANRGKHIGN >OIV94977 pep chromosome:LupAngTanjil_v1.0:LG17:279277:282970:1 gene:TanjilG_22174 transcript:OIV94977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKSRSSLSETSNKTPPATPNKTPPATPNKASPATPRVSKLTKGVSKSESESSSPLQNLRLSVEKSPRSLNSKPTASPVSSNSNPTASPVSSNSKAAERSPWSSTSKLPVERKSPRPMSALPDKQLPRAAKGSELQTQLSLAQEDLKKAKEQLIQAEEEKAKATDELKEAQKVADEANEKLGEALMAQKRVEEDIEIEKFRSVELEQARIEAAQKKEEERKKELESVRSQHALDVSALLSATQELQRIKQELAMTCDAKNKARSHADYATKASGIHAAKAEILSSEVTRLKALLDSKLETEASEKKYVLELQKEIEALKQELEKAKGFEKKVTEKEAYVKQLNVELEAAKVAESHAHSVLQDWKKKVEELEVRVEEANELERSASAYLESVMKQLKGSNALLHDAESEISSLKEKVGLLEMTIGTQRRDFEDSERRLLVTKEESLEMSKKAESLQSELETVKEEKARALSNEKLAASSVQTLLEEKNKLIHELEASRDEEDKSKKAMKILASALHEVSTEARDAKEMVLADHAERESYKAQIEDLKLVLKATNEKYESMLDEARHEIDLLTSDIDNSKDIIENSKEKWEQRELHLVSCLKQTEEKNSSIGKEVNRLISLLRVTEEEVIAKMVEESQLKENLKKVNAEMIHLQEAVKEAKAECMNLKENLLDKENEFQNIIQENEELRSRELTSNKKVEELSKLLAEATIRNQTKSENCNQISKAKLSVSAEEERPKQSSEEESVVLNDDKSEKDDKVEAEFKMCEKKELSSKEEVESKNEDGVSVTEKENIGEIVLSSSSKKQLKKKKKRLFSRIGSLLFKKKSSSSNHK >OIV94124 pep chromosome:LupAngTanjil_v1.0:LG17:12045750:12047453:-1 gene:TanjilG_29224 transcript:OIV94124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANKVAHGSWSTGLCHCCSDCHSCCLTLWCPCISFGRIAEITDKGTSSCCVQGTLFFILAGFAHVASCYACIYRTKLRRLYGIEGNQCKDCLASCLCIHLSICQSYRELQARGFDLSAGWNGNVEMRSRGVMEAPAVAGAMSR >OIV94754 pep chromosome:LupAngTanjil_v1.0:LG17:1992605:1996737:-1 gene:TanjilG_12967 transcript:OIV94754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLHHQINLAISLTILMVYTIRAQKCEHHCGKQTLQYPIGFSKGCGVQLNCTNNQIHLGDFLIQNVTSSSIFINLPSKCNRSMQSIVPLFSDNFAPTWDNSFLVQDCSTPLGGCVIPTSSFLGNQTEVENCDSKSGNISCFTRSEKQHEELDVVSYEELNQSKCKFLFSSVAIGQRIEFQVVELRWWLYGSCGQCSPNATCATVNLQEGMFGFRCHCYDGFIGDGFTDGTGCKKVSSSSCSSSALVSGACGKATQIGVIVGVIVAGAMVVALLALVCYCVKHRSTRLRKHTRAKRLIHDAAGNSSVPLYPYKEIERATNSFSEKQMLGTGAFGTVYAGKLHNDEWVAIKKIRYRDTNSVDQVMNEIKLLSSVSHPNLVQLLGCCIEGGEQILVYEYMPNGTLSEHLQRERSKGLPWTIRLTIATETANAIAYLHSAIHPPIYHRDIKSTNILLDYSYKSKVADFGLSRLGLTETSHISTAPQGTPGYVDPQYHQNFHLSDKSDVYSFGVVLVEIITAKKVVDFARPQSEVNLAALAVERIRSGSIDEIIDPFLEPNRDAWTLYSIHKVAELAFRCLAFHSDMRPTMTEVAEELEHIRRSGWATMEENICTASSIGSANSSPPRNGSERSLSGINLKRAGKGSERLIVPQRPDSTLQIVEEDNSPISVHDPWSSGHSSPSTNSLLENVVQ >OIV93958 pep chromosome:LupAngTanjil_v1.0:LG17:18969152:18985294:-1 gene:TanjilG_05661 transcript:OIV93958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSKWLFLTIAPCDAAEPWQLGFQDAATPMMQGIIDLHHDIFFFLILILVFVSRILVRALWHFHYKKNPIPQRIVHGTTIEILRTIFPSIIPMFIAIPSFALLYSMDEVVVDPAITIKAIGHQWYRTYEYSDYNSSDEQSLTFDSYTIPEDDLELGQSRLLEVDNRLLVPAKSHIRIIVTPADVPHSWAVPSLGVKCDAVPGRLNQISISVQREGVYYGQCSEICGTNHAFTPIVVEAVPSKDYGSRVSNQLIPQTTGEASAEMKESPHLRHILILLLILFPILWWLIQRAGAPTVPAPASTLAPFGLEDHQGSVKRFGFSVGSRSPAPPHSPLVGRVVNLEGARLLFRRVFDNLAVVRSPLLEAGVLGRWAFLFLNQLGCVSPEIGWSNIYELAGQRWIEVPCCSPLPEAKDGVEPSFQDLQSDTFPLCYPAKQITPHVPKSNGCSSFHAPSFSTYAVAGGALYMTGGGLPEKRG >OIV94127 pep chromosome:LupAngTanjil_v1.0:LG17:11957874:11963043:-1 gene:TanjilG_29227 transcript:OIV94127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKLACFFSFLFILFTNSSSSNSTSSSITCPTYHCTNGPNITYPFWLSQGTPPDQYCGYPEFGLICSDHGYPIFSPPPGLYYYLKDIDYISHNIKLVDADTANQTCARAVHSVPIGNLPLSHSHLNLNLSFYFNCSDYPYGEPVVKCLSSGVNESFVFVLGDEPPGFDWSKSCEENVVVPVLRDEVTRDNNLVNEFSGAMNEGFVLNWHTSTSCVDCEASDGLCGYNNNRTELLCFCKDGSVRSNKCEGGSSTSLSRLSIGLISGGIGALLIICITIFSFRHKLSPIVPEILKPKKVDQDIEAFIRNNGPLAIKRYSYSDIKKMTNSFESKLGQGGYGQVYKGNLNKNVPVAVKVLNASKGKGEEFINEVMSISRTSHVNVVNLLGFCVDSHKNALIYEFMPNGSLEKFINNKDFETNPPLSWERLHQIAEGIAKGLEYLHRGCNTRILHFDIKPSNILLDKNFNPKISDFGLAKLCSKTQSIISMLDARGTIGYIAPEVWNKNFGGVSHKSDVYSYGMLILEMVGGKQNKSTETTHSSETYFPHWIYKHIDVGSNAAWYDYDLTIEENEIVKKMIMVGLWCIQTNPYERPPMSRVIEMLEGRIEQLQIPPKPFIYSPTRPSCTTSNSYD >OIV94814 pep chromosome:LupAngTanjil_v1.0:LG17:1533996:1535371:-1 gene:TanjilG_22011 transcript:OIV94814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSINFILLFSLLAFAPFCQIEGSLYPQFYEGSCPRVHDIVNSIVAKAFAKDPRMAASLLRLHFHDCFVKGCDASILLDSSGTIISEKRSNPNRDSARGFEVIDEIKSAIEKECPGTVSCADILALSARDSTVLTGGPNWEVPLGRRDSLGASIQGSNNNIPAPNNTFETILTKFKLKGLDIVDLVALSGSHTIGDSRCTSFRQRLYNQSGNGKADFTLDQNYAAKLRTQCPRSGGDQNLFVLDFVTPTKFDNNYFKNLLANKGLLSSDEILLTKNKVSADLVKKYAERNDIFFEQFAKSMIKMGNITPLTDSKGEIRKHCRKINK >OIV94422 pep chromosome:LupAngTanjil_v1.0:LG17:3893532:3897293:-1 gene:TanjilG_25484 transcript:OIV94422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTNVNCEMPHNKTIVPHPHWYSPKTGIYNSKYAPLDLPIDPFVDVVSYIFSHQHNGVSALVDSSSGYSIPYSKLLPLVKSIASGLKKIGVKQGDTVLLLLPNSIYYPIVLLGVLYLGAVVTPLNPLSSVSEIHKQINDCGVSLVFTVIENVKKLELLGIPIITVPENEKGLNDDCFSGFCNLIYGGDFDFHSRPVIKQEDTAAILYSSGTTGASKGVVLTHKNLVSMVELFVRFEASQYEYSCLNNVFLAVLPMFHVYGLSLFAIGLLSLGSTIIVMRKFDIDEVIAAIDKYKVTHFHVVPPILTALTKRAKGIHGSKLQSLIQVSSGAAPLSGKVIDDFVQTFPNVDFIQGYGMTESTAVATRGLNTGKFHNYSSIGLLAPNMEAKVVDWNSGEFLPPGSSGELWLRGPSIMKGYLNNEEATMSTIDKDGWLHTGDVVYFDEHGYIHISDRLKDIIKYKGFQIAPADLEAVLILHPEVADVAITAAEDEENGEIPVAFVVRKVGSVLTAKHLMDYVAKQVAPYKKVRKVVFTDKIPRSATGKILRKQLRNFQTSKL >OIV94369 pep chromosome:LupAngTanjil_v1.0:LG17:3369118:3373935:1 gene:TanjilG_25431 transcript:OIV94369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHLVYNETPSFGASHHGQAQQIIPFPTTTSTSLRIILLHGNLEIWVNHAKNLPNLDKFHRTLGDIFSLPKKLGSTIETSDPYVTVSVAGAVIARTFVIENDENPVWMQHFNVPVAHHASEVHFLVKDSDVVGSQLIGAVGIPVQDLYNGTKVEGFYPILSSSGKPCKDGAVLSLSIQYTPIDKVTLYNHGVGAGPDYEGVPGTYFPLRKGGNVTLYQDAHFHEGCLPNFKVKGGVNYEHRSCWHDIFDAISQARRLVYIVGWSVYYNVSLIRDNRGGKGSTLGDLLKAKSQEGVRVLLLVWDDPTSGSFLGQRTVGLMDTHDEDTRRFFKHSSVQVLLCPRGGGKGHSWLKTQEAGTIYTHHQKTVIIDADAGQNKRKIVAFIGGLDLCLGRYDTPTHSLYRTLQTTHKDDFHNPNFEAKLGPVTGCPREPWHDLHSKVDGPAAYDILTNFEERWLKATKKSRLHRIKSSHDDSLLKIDRIPDIMGIDEVSCLNKHNPETWHVQVFRSIDSNSVKGFPKEPKDAIQRNLVCGKNVVIDMSIHSAYVKAIRAAQKFIYIENQYFLGSSFNWDSHKDLGANNLIPMEIALKIANKIKHHERFSVYVVIPMWPEGVPTSVSTQRILFWQFKTMQMMYETIYKALQEAGLDNVYEPQDYLNFFCLGNREISDNNENISNAAKRNGQNTPQVLAQKNRRFMIYVHSKGMIVDDEYVILGSANINQRSMEGTRDTEIAMGAYQPKHTWASKRSKPHGQARFINLEVHGYRMSLWSEHIGGIEKCFEEPESLECVRRLRSLGELNWKQYAAEEVTEMKSHILKYPVEVDSKGKVKPLPGSETFPDVGGNIKGTFVVVQENLTI >OIV94458 pep chromosome:LupAngTanjil_v1.0:LG17:4310896:4315158:-1 gene:TanjilG_25520 transcript:OIV94458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGYDREEPEDYGDYDDYEDEGGEEYEEEEEYGEEEPRKPTKEELEYLELRRKLKESIRRQMKKESSSSLAGSSGRKKKLPYDNYGSFFGPSQPVIAQRVIQESKSFLENEHLAPRPSNSTHTNKNSNRVSTGGLKSSSHSQVPPKVSEKIVKAQKLKDTRDYSFLLSDDAPAPKKEPPPRNISVRNSEGRPTQVAGKSKQPMMNGGKLVRGSGEDRKLISGPGHLPPKPGSHYKLSSASKASNTLADSRKTFGSSSGNGPAVSRNQLGSNSVSRPADSRRQLGSNNGNRPADSRRQLSSNSGNRPADSRRQLGSNSGNGPGRPVGPKGLPSRMPVSLMGNKSSTTGMKNPVNGVNRPLPSKVHSSVQKQNVEQRKDLRDQNKPKLVPRQPVGPTKVQIKKPPLKPNPKHSQSQDHRPKNKAVKRHADDGEDEMDFRSMIRSMFNYNPNKFADDDNDDNMEAGFDEILREERRSAKIAKEEDEEQLRLIEEEEERERRRRMAKKRRLG >OIV94354 pep chromosome:LupAngTanjil_v1.0:LG17:6304313:6306820:1 gene:TanjilG_21694 transcript:OIV94354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGATSMVRGGRVTRFLPGFRRELGCDRKHHDTSFGDIEFEFLDDISEMSSLANSSSSGEYHPNEIMELDNDDDGERVDFNDVSIGDNRSFWDNQNQLLQASLCRTSSLESRIRNASKEAIEEIKSTETLCSCSRQMAATITSCRNCFMREVSRRLQNAGFDSAICKTKWRTSLNIPSGEHTFLDVIDTTSSKKGNVIRVIIELNFRAEFEMAKASEDYNRLVRRLPEVFVGKVERLSNLIKILCMAAKRYMKENKMHMGPWRKYRYMQAKWLGPCERTTSTTTSLSMGFSEKIPKPKPQSSMLTVDLLDKLPNMHYNGVEVVQYVN >OIV93756 pep chromosome:LupAngTanjil_v1.0:LG17:20991565:20993936:-1 gene:TanjilG_07659 transcript:OIV93756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKLFKVKEKQRELAENANGGPPVKKQSAGELRLHKDISELNLPESCTIQFPNGKDDLMNFEVSIQPDDGYYEGGTFLFSFQVSPIYPHEAPKVKCKTKVYHPNIDLDGNVCLNILREDWKPVLSINTVIYGLFHLFTEPNYEDPLNHDAAAVLRDNPRLFESNVRRAMAGGYVGETFFTRSM >OIV95018 pep chromosome:LupAngTanjil_v1.0:LG17:35611:42294:1 gene:TanjilG_22215 transcript:OIV95018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSESSNDESLLARIQQIEQERDDLRKDIEQLCMQQAGSGYLAVVTRMHLQRTAGLEQEIESLKTKLASCTRENENLQEELSEAYRIKSQLADLHSTEVSKNMEFEKQVKFFQGCVAAAFAERDHAIIEAEKAKEKEETMSQQTNGIHKRIEELNSVCLKLKEFNDALQIDLEKCIEQNENFKEVIDKFFQIRQYSQSEFEETSWDDKCACLLHDPEELWSFNDASTFKYTSALEEQLETVRNSVDYLQSKLMVGLEIENHLKKRVNVLEKKQIYMNKLIENGITDLKRCHSQYRDHIVNLLNDGDSSIKSIVNAIDESIRRFDQSTVSNLIPKRDAEVEDHECWDAHISSQAQPVMKSKGNSPSLLEVEAGGEGDVSDALALALQEKVAALLLLSQQEERHLLERNVNSALQRKIEELQKNLLQVTNEKVKALMELAQLKQDHQLLLEKLGHETKQGKAVVDTGERDGGLRNLLKKTYLKRWISPLDVGGNENDSSLKTEGKFYNQRSSICILFIRIQIENATLKEGMESLEHLTSSVHRLRLSLLKAKESVTYDGTVCGAAQGLDDVINEAKLVKTALGSSLPISWSVEADVSCIEDNVGSETKHKEWGDEKVDSVSGAGLEMVELLIFAAQLLRDGTKEDGS >OIV94327 pep chromosome:LupAngTanjil_v1.0:LG17:6487200:6492841:-1 gene:TanjilG_19333 transcript:OIV94327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRQGKEKGTKSNGLVPSSLRFISYCIKTASSGVRSAGASVAASISGDGNEHKDQVLWAGFDRLELGQSCFKHVLLLGYSNGFQVLDVEDASNVEELVSKRDDPVSFLQMQPIPAKLEGCEGFRASHPLLLVVACDKSKIPGTMQNVKDGHNEAQAENIFSSATAVRFYSLKSHTYVHALRFRSTVFMVRCSPQIVAVGLATQIYCFDALTLENKFSVLTYPVPQLGGHGLVGINIGYGPMSVGPRWLAYASNNPFLSNTGRLSPQSLTPPAVSPSTSPSSGNLVARYAMESSKHLAAGLINLSDMGYQKLSKYYQDLIPDGSSSPVSPNSSWKVGRVTSNSTETDMAGVVVVKDFISKAVLAQFRAHTSPISALCFDPSGTLLVTASIHGNNINIFRIMPSCSRNGSVSQSNNWSCSHVHLYKLHRGMTSAVIQDICFSNYSQWVAIISSKGTCHIFVLAPFGDETVLKIHNQDTEGPALLPILPQPWWFTTHFTVNQQHICPAPPPPVSLSVVSRIKNNSAGWLNTVSNVASSAAGKVSIPSGAVSAVFHKSIPRDSHNAYSLEHLLVYTPSGHLIQYKLVPSLVAESSETMPRAAPVFSENLQEEDVRVKAEPLQWWDACRGNDWPEREAHILGDTHVGQEAAKVILESSGYEDNDAGNNNSIKLHQQCHFSNAEVHISSGRVPIWQKSEVSFLVMSPLEARELSLYELSTSGEIEIESIPVNEVEIRQKDSLPLFNNFHRIQSTWPDRGIVMGRCSSSSSDSHGGEEKLSDDAAKLMVPALTEKADVGASRFADVITTKVKSSKQGKGSDSFNTSFSAPDLNMNLTHDHEEPIHDSPDSEQFFQEDYCKASVDCHESAAEVATDVDCSSPCDREKSDDEDGDNDDMLGDVFDFSEEGTSLKHLTF >OIV94740 pep chromosome:LupAngTanjil_v1.0:LG17:2385785:2387494:-1 gene:TanjilG_06203 transcript:OIV94740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTNLIYYTLILIFLITWKLLFRKRSKNLPPSPPSLPLIGNLHQLKQPIHRTFHSLSQKYGPIFSLRLGSQQVVVVSSASGAEECFTKNDIILANRFQSIKGKYIAFDNTTMITSSYGDHWRNLRRISSLEILSSHRLNSFSGFRKDETIRLLKKLSRESSEGFTKVELKSMFLELTFNTIMRMVCGKRYYGEDSDGTNPMEAKKFRDVMDEIAKFNLGTNVSHFIPILRLFDFSSGHKMLIKVGEKVDEVFQGLIDEHRNNKKEGSNTMIDHLLSLQESQPEYYTDQIIKGLIMVLIVAGTETSAVTLEWAMSSLLNNPKVLEKARIELDDEIGQDNLIEEADITKLKYLQNIISETLRLYPAAPMLIPHLSSEDCTIGGYDVPRDTMVMVNAWTIHRDPELWTDPTSFKPERFNNGATDTHSLIPFGLGRRACPGSGLAQKTIGLTLGSMIQCFEWKRITEEEIDMTEKSGTVMPKAIPLEAQCKARPIIRKIFCES >OIV94908 pep chromosome:LupAngTanjil_v1.0:LG17:782288:786367:1 gene:TanjilG_22105 transcript:OIV94908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELIYQKWISRSMVLEKVESTLKEDSTFNLSSISYDHYLHKGRCRRGHRVIVASGPATEAPVFATKPLKKDDLIHYFVSGCKPKQKWRIGTEHEKFGFEFETLHPINYKQITSLLNGIALRFDWDKIMEGDNIIGLKKGKQSISLEPGGQLELSGMPLKTLHQTYDEINSHLYQAKTVAEEMGIGFLGLGFQPKWRVEDIPRVPKVRYNIMQNYFHNFGLPGIEPLLMTCSVQVNLDFSSEADMIKKMRASIALQPLAAALFANSPFTEGISNGYRSIRSHKVDQLDKCRTGMIPFVFYDTFGFEQYVDYALDVPMMFVYRNNKYIDCGGMSFRDFMAGKLPAIPGQVPTLSDWENHLTTIFPEVRLKRYMEMRGADGGPLNMLCALPAFWVGLLYDEVSLHNVLDMIADWTPEDMQNMRNKVPITGLRTPFHGRMLLHVAEDVLKWAKDGLDRRCLNESIFLDPLKEVVTTGSTPADKLLKMYNKKWGNNIDHVFRECCY >OIV94057 pep chromosome:LupAngTanjil_v1.0:LG17:14006059:14007373:-1 gene:TanjilG_06357 transcript:OIV94057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYFYWRKLHHGLDSVQFGHENKSNDQPQIKYHDVDLTFPTSLIDKTFLKGKELKCCYKATIDGFSATNFHECCDFKGPCVIIGYTNKSFKFGAFNPEGYRSTDDYYDTFDAFLFYWTDNETDNKPIILPKVGGSGAALFDYARGGPQFGADGLLIGPPLAPVMGGFAGPDTNSGIGDLRQAKSRLGLSYAKREDMKESLFGDESKATLQEVEVFCSPKIASLY >OIV94456 pep chromosome:LupAngTanjil_v1.0:LG17:4290276:4291392:-1 gene:TanjilG_25518 transcript:OIV94456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYLERKQRCGSNVSADKQPLDLAKKENYYKGTLLDRVVCLEHRLYQLFLEVDSSSSSLPLSRASTETSGESSSSQGSKGETFYSFPTFDNLPNYGDKGMSQNHNQTLELQVKKKNH >OIV94625 pep chromosome:LupAngTanjil_v1.0:LG17:3034353:3042151:1 gene:TanjilG_25849 transcript:OIV94625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCRQNSHRSGDEEIEADESLLIYCKPVELYNILYRRALHNPSFLSRCLRYKIRARRKRRLRAGVVVFNYRDCYNMLRKTEVTEDFSCPFCLVQCASFKGLRFHLCSSHDLFNFEFWVTEDYQAVNVSVKIDISRSENVTDGENPKSETFFFCSRPRKRKREDSTQNEKRASVKFLELDSPEGTHTGFVEKNDDILSYKGENMSGTSSIQKNLQNGGKFDPDHPGTMDYLEHVSSSFNIPGVPIAMPLSSGDPECSKSVHRSDPAVPVKTKKLNMDRSDSKNRMLLQKRQFFHSHRVQPMALEQVLSDRDSEDEVDDDIADLEDRRMLDDFVDVYKDEKQVMHLWNSFMRKQRVLADGHVPWACEAFSKLHRKELISSPALFWCWRLFMIKLWNHGLLDACTMNNCSIILDSQKNEGSDTGKN >OIV94423 pep chromosome:LupAngTanjil_v1.0:LG17:3910400:3911516:-1 gene:TanjilG_25485 transcript:OIV94423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQHQIRTDSALKFSWFFLFYLLHIAFCIFAAIAPPIVFHGNSLTGILAAIGLFSDHVLVGGCN >OIV94576 pep chromosome:LupAngTanjil_v1.0:LG17:5758977:5760841:1 gene:TanjilG_25638 transcript:OIV94576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISSIRGLADMEMMEDPTFLQWHLSTIDDPAAVLKHHPFYYQDFNSETSMDQTSEAQFVSYPNILSFVDSTYINQSGLVKAKDEMVCPKIDRTNPPNMIHQRTLEIGNQNYVFMACEDAKKVVARPKLSQPQDHIIAERKRREKLSQRFIALSALVPALQKMDKASILGGAIKYLKQLQEKVMALEEEKNKKKNMQSVVIVKKCQLLNDAEHSSSESGDPFDEALPEIEARFCERNVLIRVHCEKTKGVVEKTINEVEKIHLKVTNSSAMAFGSCAIDITILAQMDMEFCITVKDLVRNLRSAFASFM >OIV94126 pep chromosome:LupAngTanjil_v1.0:LG17:11981038:11990287:1 gene:TanjilG_29226 transcript:OIV94126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLFNVSRKDTTPFDGQKPGTSGLRKKVKVFIQPNYLENFVQASFNALTAEKVRGATLVVSGDGRYYSKEAIQIITKMSAANGVRRVWIGQNGLLSTPAVSGVIRERLGPDGSKATGGFILTASHNPGGPNEASTATFTFSSPQSISAILIEGEFGTIVRLLLIDHEITGSNPENSLSACRDFGIKYNMENGGPAPEGITNKIYEYTTTIKEYFIAEDLPDVDITTTGVTNFTGPEGPFDVEVFDSARDYVKLMKSIFDFESLRKLLSSPKFTFCYDALHGVGGAYAKRIFVDELGAQESSLINCIPKEDFGGGHPDPNLTYAKELVARMGLGKSESQGEPPEFGAAADGDADRNMILGKGFFVTPSDSVAIIAANAVKAIPYFSAGLKGVARSMPTSAALDVVAKSLNLKFFEVPTGWKFFGNLMDAGLCSVCGEESFGTGSDHIREKDGIWAVLAWLSILAYKNKDKLEDKLVTVEDLVRQHWSTYGRHYYTRYDYENVDAGAAKELMAYLVKLQSSLSEVNQSIFDFESLRKLLSSPKFTFCYDALHGVGGAYAKRIFVDELGAQESSLINCIPKEDFGGGHPDPNLTYAKELVARMGLGKSESQGEPPEFGAAADGDADRNMILGKGFFVTPSDSVAIIAANAVKAIPYFSAGLKGVARSMPTSAALDVVAKSLNLKFFEVPTGWKFFGNLMDAGLCSVCGEESFGTGSDHIREKDGIWAVLAWLSILAYKNKDKLEDKLVTVEDLVRQHWSTYGRHYYTRYDYENVDAGAAKELMAYLVKLQSSLSEVNQLIKEVRSDVSNVVHADEFEYKDSVDGSISSHQGIRYLFEDGSRLIFRLSGTGSEGATIRLYIEQYEKDPSKIGRLSQDALGPLVEVALKLSKMQEFTGRSAPTVIT >OIV93872 pep chromosome:LupAngTanjil_v1.0:LG17:16664645:16665385:-1 gene:TanjilG_05575 transcript:OIV93872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVQVMHQEDPRQHQPQQYQPQRQHQPQNQHHYRPKVPHQYQMEKGMVSRYRPNMNAPKRGHCICITISLLLLGIIALITWLAYRPAKPQFTVAGAAIYGINATTPPLISMSMQFNIIIKNPNKRVSIYVDRFSAVVSYRNQPITPHVALPPLYLEAHSTVSLSPVIGGSPVPVSVDVANGLEVDENYGVVGVKLVFLGRLKWKAGEIRSAHYGIYVKCNLLLALKKGSMGQVPVLGAPICEVDT >OIV94963 pep chromosome:LupAngTanjil_v1.0:LG17:390050:396256:-1 gene:TanjilG_22160 transcript:OIV94963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFFSLSRKELQFLSKKNKIPANQTNLAMAEALSSLSQVEGLDEILNPVEAYPNQLQEEKAIETPNIHHSRTSTRRKDVNVSVSISTRRKKKDELVESDEKPIDHMLKTPAAPATATRQYNTRRSTRFLEKNLSKMSLIDSGDLVGSVKFDEVSHQTQDSVEPEQHDSDLKLVAISKTCNDSIEVKEVQQDIETDFVEPEDSTAPMEVTNQDIDAFNSPVVVPEVASMDVTDNQGHNEVASMEVTADHDVADLTVEVPVDASIETINALVDADVTVPEEIDITKQESSISFETMKGNLDEVSFSTVTAAPIFEQKTNDIPIQPFVADELKGESYSPAELEPKDIEDEHIEEEDKNQFDSMKENSLQEMSIGQLKKMLKNLKLDGKPNSKDNNVAKEMDIKRTALQPLLENKMIGQGQGFASGVINLGEIEVCKVTTFEFVWGSNVMVDNKKAVAFFKPVEIPDGFHVLGHYCQPSYQPFRSFLLVAREVDTCSSERTSICNKLPALRNPVDYTLLWCSDAGSKEISTDSGYFWLPQPHEGYKALGYLVTNDPNKPELDEISCVRADLTDKCEPYQLILDVGSVIPEFPIRVWNLRPLDRGMLGKGVSVGTFFCSTHWNMGEELLVTCLKNFNSALPAMPKLDQINALIEHYGPTVFFHPKEDYLPSSVNWFFTNGAQLYRKGVSTAEAIDATGSNLPGGGTNDGEFWIDLPNNDRRDFVKHGDLTSAKLYVHVKPTLGGTFTDIAMWVFCPFNGPSTLKLGVFSIPFRKVGEHVGDWEHFTLRICNFTGELWSIYFSQHSKGKWVDAYDLEYIDGNKAIVYSSKSGHASYPHPGTYIQGSSKLGIGIRNDCSRSSLRVDSSIQYEVVAAEYLGDVVKEPQWLQFMREWGPKIVYGSKTEVDKLINALPHRVRSTFLKLFKKLPVELYGEEGPTGPKEKNNWIGDERW >OIV93869 pep chromosome:LupAngTanjil_v1.0:LG17:16513592:16514794:1 gene:TanjilG_05572 transcript:OIV93869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNKTWLWRKKSSEKTIIATYNANFVSKGNEEIQKLLADKEELEKDMKRVNDKLALALHDCNAKDELVRKQTKIAQEAMAGWEKADAEVLSMKKDHGEALQQRLVYEERVAHLERALNDCMQQLSFVREEQGQRIHDAVMKTSNEFEKECRVLEEQLSKTDKKLEKANMKNLYLNKSIFEKDKLIEDLKRQLTQAEANHSALVFRLESIENDNGSSLKYEVRVLEKELEIQNKEREFNRRTADVSHEHHLESVKKLPS >OIV93823 pep chromosome:LupAngTanjil_v1.0:LG17:20674574:20677206:1 gene:TanjilG_03786 transcript:OIV93823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSCSSSSGSEEDGEGFDSYRKGGYHAVRIGDQFAAGRYIAQRKLGWGHFSTVWLAYDTTTSAYVALKIQKSASQFVDAALHEIEILSSIADCASSSNASSNVKFVIQLIDHFKHTGPNGQHLCMVLEFLGDSLLRLIKFSRYKGLPLDRVREICKCILIGLDYLHREHGIIHTDLKPENVLLVSTIDPAKDPVRSETAPILERPEGNINGGVTSLIEKRLKRRARRAVAKISGRKDSMVETGEAPKPDRNIDGVDVRCKIVDFGNACFADKPIAQEIQTRQYRAPEVILEAGYSFSVDMWSFACIAFELATGDMLFTPKVGQGFSEDEDHLALMMELLGKIPRKIATAGAKSKDFFDRHGDLKRIRRLKFWPLNKLLIDRYKFPENDAHEFSEFLLPLLDFAPEKRPTAQQCLQHPWLNCKDSTPT >OIV94608 pep chromosome:LupAngTanjil_v1.0:LG17:3175852:3176431:-1 gene:TanjilG_25832 transcript:OIV94608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVGAVEPGERALVVDDLIATGGTLCAAMDLLVKKCGSIIEGMECACIIELPELKVCIVWISK >OIV93757 pep chromosome:LupAngTanjil_v1.0:LG17:20998152:20999102:1 gene:TanjilG_07660 transcript:OIV93757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTKEDTVKDKEEEEEEKEKPRFNFNLYMVEKARSVNQALNDAVLLREPQKIHEAMRYSLLAGGKRVRPVLCIAACELVGGNESTAMAAACSIEMIHTMSLIHDDLPCMDNDDLRRGKPTNHKVFGEDIAVLAGDALLAFAFEHIAVSTSGVSPERIVAAIGELAKSVGTEGLVAGQVVDINSEGLSDVGLERLEFIHLHKTAALLEGAVVIGAILGGGSNEHVENLRMFARYVGLMFQVVDDVLDVTKSSKELGKTAGKDLVADKVTYPKLLGIEKSNEFAQKLNRDAQQQLSGFDPVKAAPLIALANYIAYRQN >OIV94566 pep chromosome:LupAngTanjil_v1.0:LG17:5635678:5644720:1 gene:TanjilG_25628 transcript:OIV94566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESVNSKQSCEKLMMVPMQVDGSYHAISKGSSGSGSDEEARVNSFSRTSELTISFEGEVYVFPAVTPEKVQAVLLLLGGQEMPNSVPSSYCSLQQNYRDIGGINDPSQGSKRSRRFASLARFREKRKDRCFEKKIRYTCRKEVAERMHRKNGQFTSLKENYKPPAENCGSSNGTPCPESTERRCQHCGIGEKSTPAMRRGPAGPRSLCNACGLMWANKGTLRDLSKAGKIAFEHNEQDTSADIKPSTTEPENCCTDQDKEYIIETAETVTNNLSIQVEHHDLSVHEQDTLEDLADASGTEFEIPAGFDEQLLHTYVGRSARPAPRAAPSRPAPQPVNRAPPPATVQSGGGSLLGGIGSTIAQGMAFGTGSAVAHRAVDAVMGPRTVQHEVTGAADTAPAPTANTFSGDACSIHSKAFQDCITNYGNEISKCQFYMDMLAECRRNSGSSLNA >OIV93862 pep chromosome:LupAngTanjil_v1.0:LG17:15989115:15989673:1 gene:TanjilG_05565 transcript:OIV93862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVELMMIGYNNFTSKSEEHDVQEAASSLESLEKLISRTRIGHAWFRRAPLVQTKIEIEVSGSQPSRIFYATPLQQIQLAIKDISSQTVTLSSSYNNDNSFISSLTNEAIDIKQQPSPGDFSDLFGGEDSNFFVEVVVL >OIV94032 pep chromosome:LupAngTanjil_v1.0:LG17:14210767:14215927:-1 gene:TanjilG_14279 transcript:OIV94032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVSASAIYFLNLRGDVLINRLYRDDVGGNMVDAFRTHIMQTKELGVCPVRQIGGCSFFYMKISNVYIVIVVSTNANVACAFKFVVEAVALFKSYFGGAFDEDAIRNNFVLIYELLDEIMDFGYPQNLSPEILKLYITQEGVRSPFSSKAADKAVPNATLQVTGAVGWRREGLVYKKNEVFLDIVESVNLLMSSKGSVLRCDVTGKVLMKCFLSGMPDLKLGLNDKIGLEKESQLKSRPTKSGKTIELDDVTFHQCVNLTRFNTEKTVSFVPPDGEFELMKYRITEGVNLPFKVLPTIKELGRTRMEVNVKVKSVFSAKMFALGVVVKIPVPKQTAKTNFTVTSGKAKYNASIDCLVWKIRKFPGQTESTLSAEVELISTMTEKKAATKPPIEMEFQVPMFTASGLRVRFLKVWEKSGYNTVEWVRYITKAGSYEIRC >OIV93810 pep chromosome:LupAngTanjil_v1.0:LG17:20574548:20574922:-1 gene:TanjilG_03773 transcript:OIV93810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTALEQRCSCLIMCQLSLDSMVRLPLSYQEITYSNPGNNLCNCEVRPFTSTLPRQPDPTRCEPRALDHATIVDYVANNSSMFPTVQARFQEDC >OIV95013 pep chromosome:LupAngTanjil_v1.0:LG17:66322:68453:1 gene:TanjilG_22210 transcript:OIV95013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSKPLSKILWLILSIAIVLSSLLLISSYLIKPIPLFNPSSSLNICEYAIDTKSCLAHVSEVTQVPNLATTTQSYKLKLLQSILKRTTSYILKGMDTANAIKLKGSNSPKDEAALHDCEELMDLSNSRVKDSMVALSKRTIDSMQDAHSWLSSVLTNHATCLDGLEGSARTLMEAEVKDLISRSRTSLALLVAILPPKPKGKGMIDEVVNGRFPSWVRRKDRRVLECSVGEIEANVVVAKDGSGEYETVAEAVASVPDKSKTRYVIYVKNGTYNENVEISSKKKNVMLIGDGMNATIITGSLNNVDGTTTFRSATLAAVGDGFIAQDIWFQNTAGPEKHQAVALRVGADQSIINRCRIDAYQDTLYAHSNRQFYRDSFITGTVDFIFGNAGVVFQNCNLQARKPMDNQNNMVTAQGRIDPNQNTGTSIQQCQLTPSPDLEPVVGSIKSYLGRPWKNFSRTVVMQSSIDNHIDPAGWAEWDAQHKDYLETLYYGEYMNNGPGAGLGRRVNWSGFHILGAEDANNFTVKELIQGDVWLKNTGVTFIEGL >OIV94567 pep chromosome:LupAngTanjil_v1.0:LG17:5652488:5655629:-1 gene:TanjilG_25629 transcript:OIV94567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGKRFGVLLCAEDSEYVKKKYGGYFGVFMRMLAEEGETWDMYKVASGEFPKDDELGLYDGFVITGSCTDAYGNDKWVHDLLTLLNKLYIMNKKTLGICFGHQILARALGGKVSRSPTGWDIGVRTITMSPSLPVALSSLQLPSKLSIIQCHRDEVQELPPKAEVIGWSDKTGIEMFRYGNHIMGIQGHPEYSTDILLQIIDRLIQRDFIMEAIALEAREKAELWKLDMEAWKILCISFLKGHYDRNEINGK >OIV93942 pep chromosome:LupAngTanjil_v1.0:LG17:18412331:18413043:1 gene:TanjilG_05645 transcript:OIV93942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSEAQNLLDLNTQPHPGIVVSIQNEDPGVSSCLTNAVMPQQPTPKKPTRQWAAWTRQEEESFFTALRHVGKNFEKITCRVQSKNKDQVRHYYYRLVRRMNKLLGPGLCLDAKNSKDTNAAMLRW >OIV93993 pep chromosome:LupAngTanjil_v1.0:LG17:19821594:19825043:1 gene:TanjilG_05696 transcript:OIV93993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQSTVMMTSQSTTTFSPSFISTRTNTTDSTHFTRFPFRTRPQTRSSTHRLVLSSRLNSSKSSDAGGSVNNGDFAYELHHDFYRHRKKGSPVFVTLPVNSVGLEGRVSRPKAMMLSLRALAAAGVEGVVVEIWWGLVERNEPRVYDWRGYRELIAMASMCGLKVRAVLAFHQYGTVTDDPNRIPLPLWVLDEIQKDPELAYSDRFGRRNIEYISLGCDIFPVLCGRSPIQAYSDFIREFRDTFRPLLGCIITGVLIGMGPGGELRYPSFSSHKPNLHELGEFHCYDKYMLASLNACARDIDKREWGNGGPFSTGSLMQNPEHAEFFRNKDGSWNTPYGEFFLKWYSDMLLLHGERICREAETIFRGTEVRISAKLAAIHWHYATQSHPSELTAGYYNTSNRDGYLPIARMFSKYGFSICCSCFEMQDAVMKKINPYGSPEGFLRQLLLAARLCDVSLEGQNYSTNLDDGAFSQVLKMSKFYSDGIEKRPFSFNFVRMDKKMFEPRNWDRFTRFVRQMSGRNIFRARLNSFGDIRLKTTSKATEVGLLYQHS >OIV94580 pep chromosome:LupAngTanjil_v1.0:LG17:5778312:5781029:-1 gene:TanjilG_25642 transcript:OIV94580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWAGNEMWAGNEMWAGNEMWAGNEMWTRNEMSLRTTERSHFTTMAPPSILGNNGDGSNSAADENYADINWDELGFSLVPTDYMYVMKCANGEKFSQGTLIPFGNIELSPSAGILNYGQGLFEGLKAYRTENGHILLFRPEENSKRMKTGADRMCMPSPSIEQFVNAVKEIVIANKRWVPPHGKGSLYIRPLLMGTGALLGLAPAPEYTFIIYCSPVGSYHKDKGPLNFKVEDKLYRAISGTGGTGGIKSVTNYAPVYSATTEAKANGFSDVLFLDAATGKHIEEASSSNVFVVKGNAICTPAIDGTILPGITRKTIIDIATDLGYEVMERAVSVEEMLDADEVFCTGTAVVVNSVASITFQKTRTQYKTGPETLSQKLRKTLLGIQTGCIDDTKGWTVLVD >OIV93809 pep chromosome:LupAngTanjil_v1.0:LG17:20569433:20572882:1 gene:TanjilG_03772 transcript:OIV93809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSIRMGHCLSLIICVLLLLKAEGISVSISFVENAVTKGAVCLDGSPPAYHFDKGFGAGINNWIVHVEGGGWCNNVTTCLDRRDTRLGSSKKMDGVVSFSGFFSNKQKFNPDFYDWNRIKVRYCDGASFTGDVEAVDPATNLHFRGSRVFVAVIEELLAKGMKNAQNVIFSGCSAGGLTTILLCDRFRGLLPTGAKVKCLSDAGYFINVKDVSGASHIEEFYSQVVKTHGSAKNLPLSCTSRLSPGLCFFPQNVASQINTPIFFINAAYDSWQIKNILAPGVADPHGQWHDCKLDIKKCSSSQLSIMQGFRNDFMKALTAVGNSPSKGTFIDACYAHCQTGMQETWLRNDSPLLANTTIAKAVGDWFYDRKPFHQTDCTYPCNPTCHNRVFDDNNSRV >OIV94997 pep chromosome:LupAngTanjil_v1.0:LG17:154531:160525:1 gene:TanjilG_22194 transcript:OIV94997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMGTRSGGPSGVLVKKKNASGCLIVRKKGNDGVDGAATTTAAVAIGSSSTSRKFHERPRSMPFNDSGSSGELLMPHGNKRVGSETVRVCNGLTPFERGMAPGSEFGRKRGNGEALIAENGLDMREMKRSKLDVCNFSEYDATDSGKVRRRHVDDNRVGFGGGKFMGSMHVARDFGTGSSRDFLDKIRNSYYDRPSDLYLGDSIDHNRSKMNRDGTPLPPTLSKEKFNSREFIRIQGKNGVLKVMVNKRKMGGPSEHHDHHKPVESMQKLRSEGFANKNVLNHPSSYIETKLTQEPGLHIRPERKQIASRKSLSSKDSKGDEWDSDDGDTSLNPVIKNTEVCKSEKKIISENKKTITGDCKSKKIISEDEQTPMHEKLQTSGIKEGQIKRGSGTEKQKLRERIREMLLNAGWTIDYRPRRNRDYLDAVYINQAGTAYWSIIKAYEAFQKQLNDNVVEAKPKGESSSFAPIADDVLSQLTRKTRKKMEIELKKNNKKKRHDNEIDSRKEPCIKRCSSNKHDKNGMDGDSNEDKLSSFMKQGSKQLKNKMIANTTANASCKSQNTTDHSNDEKENSYLGRDPHLIHGRKSGKYGRCTLLVRNSNKGLHSESDGFVPHTGKQTVLSWLIDCGTVVLSQKVQYRRRKKVVLEGWITRDGIHCGCCSKILTVSKFELHAGSKLSHPYQNIYLESGVSLLQCQIDAWNRQETSEKIGFHSVDMNGDDPHDDTCGICGDGGDLICCDRCPSTFHQSCLNIQILPPGEWHCPNCTCKFCGVASGTSKNDDATLYALHHDSCTKELDVLRNDSNTSDPSFCGKECKELFEHLKKYTGFKHELEAGFSWSLIHRTDEDSEAANMGIAHRVECNSKLAIALTVMDECFSPVVDRRSGINMIRNVLYNSGSNISRLSYCGFYTAILERGDDIISVASLRFHGTKLVEMPFIGTRYIYRRQGMCRRLFSAIESALCSLKVENLVIPAIAELMHTWTTNFGFTHLEESLRHEMRSLKMLVFPGIDMLQKLLVEQGKHEGNTTAGGSSAMQDLNGSDDASSGSANEMNDELSGASEEPNDQGSVDRTSSSKSRSAERLSDDSVSDRCATPSTSHSAPGLKTGIPMAPPVVDELNPSPKCQGVSLKDNSVNSHPLDVPDCNKIPTPVQEGACPDPCSAEKLNRKCHPFAAMNPDSSELDINPVLDSEVVDNTLSSKEVHLSDENITK >OIV93817 pep chromosome:LupAngTanjil_v1.0:LG17:20622942:20626168:-1 gene:TanjilG_03780 transcript:OIV93817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVRRRLFTTVRTPAGEHFKTQKLNHNHRDTPRDSFLPLYLTNAVFFGLFFSLSYFLLHRWRNKIRTSTPLHVVTASETAAILSLLASIIYLLRFFGIAFIHTIGTRAATLNDDKVHSDEIEMNEEESALALPKKIVIEDEEIVNSVVSGSIPSYSLESRLLDCRKAAAIRREAVQRLTGRSLEGLPVEGFDYESILGQCCEMPIGFVQIPVGVAGPLLLDGKEYTVPMATTEGCLVASTNRGCKAIQASGGASSVLLRDGMTRAPVVRFATATRAAQLKFYLEDPLNFDTIAVTFNKSSRFARLQSIQPAIAGKNLYIRFRCSTGDAMGMNMVSKGVQNVLDFLHSDFPDMDVIGISGNFCSDKKAAAVNWVEGRGKSVVCEAIIKEEVVKKVLKTTVEALVELNMLKNLTGSAIAGSLGGFNAHASNIVSAIYIATGQDPAQNVESSHCITMMEAVNNGKDLHISVTMPSIEVGTVGGGTQLASQSACLNLLGVKGANKESPSANSRLLATIVAGSVLAGELSLMSAIAAGQLVNSHMKYNRSSKDASKVVS >OIV94890 pep chromosome:LupAngTanjil_v1.0:LG17:912873:914459:-1 gene:TanjilG_22087 transcript:OIV94890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKLSSSSSTSNTCITKTTKNPSSDMFTPLIPKSPTSEQQQQGPKPHHKSHFIVALQEAKCIANISLPMILTGLLLYSRSIISMFFLGHLGQLSLAGGALAIGFANITGYSLLSGLAMGMEPICGQAFGAKRFKLLGLAMQRTTLLLLLVSIFISFLWLNMKKILLLCGQQEDIAVEAQSYILYSLPDLIAQSFLHPLRIYLRSQSITLPLTYSAALSILFHVPINYLLVSVLQLGIKGIALGAVWTNFNLVASLIIYIWISGVYKKTWNGISSSCFKGWKTLLNLAIPSCISVCLEWWWYEIMIVLCGLLINPQATVASMGVLIQATALIYIFPSSLSFGVSTRVGNELGAENPQRAKLAAFVGLCFSFMLGFCALVLAFSVRNIWAAMFTHDTEIIALTSMVLPIVGLCELGNCPQTTVCGVLRGTARPKLGANINLGCFYLVGMPIAIWLSFFAGFDFEGLWLGLLAAQGSCMITMLFVLACTNWEGEAQRAKELTSCEEDNVLVVEEEEQDQKCSFKQCSHSLV >OIV94818 pep chromosome:LupAngTanjil_v1.0:LG17:1492813:1498417:1 gene:TanjilG_22015 transcript:OIV94818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METSSTSSVCKFMFSFFFLALCLVPHLVHSTITYDRKAILINGQRRILFSGSIHYPRSTPDMWEDLIYKAKEGGLDVIETYVFWNVHEPSPGNYNFEGRNDLVRFVKTIQKAGLYAHLRIGPYVCAEWNFGGFPVWLKYVPGISFRTDNEPFKRAMQGFTEKIVGMMKSEHLYESQGGPIILSQIENEYGPQSKMLGAAGQNYVNWAAKMAVEMGTGVPWVMCKEDDAPDPVINTCNGFYCDQFTPNKPYKPTMWTEAWSGWFSEFGGPNHKRPVQDLAFAVGRFIQKGGSFVNYYMYHGGTNFGRTAGGPFITTSYDYDAPLDEYGLIRQPKYGHLKELHKAIKMCERALVSADPVVTSLGNFQQAHVYSTESGDCAAFLSNFDTKSSARVLFNNMHYNLPPWSISILPDCRNVVFNTAKVGVQTSQMQMLPTNTQMFSWESFDEDVSSMDDNSAITASGLLEQINVTRDTSDYLWYITSVDVGSSESFLRGGELPSLIVQSTGHAVHVFVNGQLSGSGYGTREDRRFRYIGNVNLRAGTNRIALLSVAVGLPNVGGHFETWNTGILGPIALHGLDQGKLDLSWKKWTYQVGLKGEAMDLASPNGISSVEWMQTALVVQKKQPLTWHKTYFNAPEGDEPLALDMEGMGKGQIWINGQNIGRYWTASAIGNCSDCNYAGSFRPPKCQLDCGQPTQRWYHVPRSWLKPDHNLLVVFEELAGDPSTISLVKRSVSSVCADVSEYHPNIKNWHIESYGKSEDFHPPKVHLHCSAGQTISSIKFASFGTPLGTCGNYVQGACHSAASYDILEKKCIGKVRCIVTVSNTNFGKDPCPNVLKRLSVEAVCSPTATRG >OIV94412 pep chromosome:LupAngTanjil_v1.0:LG17:3769759:3778066:-1 gene:TanjilG_25474 transcript:OIV94412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLLSRFFYKRPPDGLLEFIDRVYVFDSCFCTEALPEEMYQLYLHEIVSELHEEFPESSFLAFNFHEGEKRSHFAEILVEYDVTVMDYPKQYEGVPLLPLSLVQHFLRVHSSERKTLNMVHREAPKGLLQMLSPFNPLPSQLRYIQYVARRNIVPEWPPPERALSLDCVILRAIPSFDSQNGCRPMVRIFGRNLLSNGGLKTQMIYSTHKRKKTLRHYRQADCDVIKIDIQCLVEGDVVLECVHMDLDPEREVMMFRVMFNTAFIRSNILMLNAENLDILWDSKERFPKGFRAEASVLFGDVESTSPPRAPTAMLNGEEKGGLPIEAFSKVQELFNGAEWFQSGDAAAAVWLLQQLSVLNDVKGFSNLQGKGSWYSSPADSEDENNESSIADSSDDTFDVISKTSSVDPSKLSTFDTSDSSHLAFESDGGNHASLTSRAPDQSLTDNVPSPHHSPPSPSPVPATHPKLPSPVQAPPLPPLPPQLSNKEPCLPLSKKVPPPPPPPPPPQPMSKGISLVSPPPPPNKKNAPPLPPPLPPSPLGRNTNNATIPTPPQPPPTPMQLGSAPPPPPPPPPPPGPVSTGSAPPPPPPPPPGSRQTGSAPPPPPGSRQTGSAPPPPPPPPPGSRQTGSAQPPPPPSGSRQTGSAPPPPPPPRPRQIGSAPPPPPPPGSRQAGSAPPPPPPPGSRQTGSAPPTPPGLQTGSAPPPPPPTPRPLSAPPPPPGRGAMAVPPPPPAGRAPGVPPPPSKASLAPTNVGKGRGTAVAPKKTLLKPLHWVKVARAVKGSLWADSPKQDNESRAPEIDISELESLFSAASVSDGSSIKGGGRRGTNIKKPEKVQLVDLRRANNCEIMLSKIKIPLPDMLNAVLALDSSVLDIDQVENLIKFCPTKEEMEMLKNYAGNKEMLGKCEQFFMELMKVPRVEAKLRVFAFKITFSSQVIDLRVNLKTINDATIEVKESVKLRQIMQTILTLGNALNQGTARGSAVGFKLDSLLKLSDTRARNNKMTLMHYLCKLLAEKMPKLLDFDKDLAHLEAASKIQLKVLAEEMQAVNKGLDKVEQELTTSENDGGIATGFRKVLKNFLNIAEADVRSLTSLYAEVGRNADSLSQYFGEDPARCPFEQVTQILVVFVKTFKKSREENEKLADAEKKKLEKEAMKEKTAKNTLSKKDNIP >OIV94594 pep chromosome:LupAngTanjil_v1.0:LG17:5930558:5931249:-1 gene:TanjilG_25656 transcript:OIV94594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNDEEDELGGGTVGNYSRTVWPTCAGSQEKPVWSGQHGSAPSGLDLRLSHLRLQPLPLNLLLSRPEADRLKSIQIRRRN >OIV94311 pep chromosome:LupAngTanjil_v1.0:LG17:6743120:6746575:-1 gene:TanjilG_19317 transcript:OIV94311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHDLRGDRVDSFLSSDLQPQLLDACATVLTRTTSDSSSSITVENNSFHDFEHRSPFPDQLTRWTNQQHTLYLNSLEASFVNELHRSIRLRGSLQNNIDKAPTSRTLQNLPKMPRQKSLVIQDGFRKISHEKIEHMLESTADSHVLAESQLGVTSVERGSSLTDPNAYEDGLLCDEGIHGKGISTFSKMSRRTLEKQTNRQSFHFQLVGSTTEVKDQSFKNEEARSSCKPMAKRLKTAAAAAASSNDQVVPFGNFHTMDVSTSTNSNSENKGDKLLSELPESFHFRKSDLPCFLRGRC >OIV94904 pep chromosome:LupAngTanjil_v1.0:LG17:799287:817719:1 gene:TanjilG_22101 transcript:OIV94904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIANNKARTRAVEKNGVLGQNLTVTSCSSSTIPLAPVYYPTDDEFKDPLEFIYKIRPEAEKFGICKIVPPNNWKPPFALDLNTFTFPTKTQAIHKLQARTAASDSKTFDLEYSRFLEGHCGKKSRKRVVFEGEDLDLCKLFNAVKRFGGYDKVVDEKKWGDVARFVRPSGKVSECAKHVLCQLYREHLYDYENFNNKMNQGTVRSCKKGVQEDLKTDHGVGRIADRSRKRWFGSGPVSRVQIEKKFWEIVEGLVGEVEVMYGNDLDTSVYGSGFPRATDQKSESIDEKLWQEYSNNPWNLNNLPKLKGSMLRAVHHNITGVMVPWLYIGMLFSSFCWHFEDHCFYSMNYLHWGEPKCWYSVPGSEASAFEKVMRSSLPDLFDAQPDLLFQLVTMLNPSVLQENKVPVYSILQEPGNFVITFPKSYHGGFNLGLNCAEAVNFAPADWLPYGAFGADLYQRYHKTAVLSHEELLCVVAQYGDVDSRGYSYLKKELLRILDKEKSWREKLWKSGITKSSRMAPRSCPQYVGTEEDPACIICQQYLYLSAVVCKCRPSSFVCLEHWEHLCECKNVKRRLLYRHSLAELYDLTFFMDKYTSEDKAEYRSVRRQSSCLGPLTKKVKGSSITFTQLATEWILRSSTILQSPFSSDAFATAIRKAEQFVWAGSEMDSVRDMVKILIEAQKWAEGIRDCVTKIEFWLSHQDSGVKKVHLEFVDELLRFNPSPCNEPCHHNLKEYAEEARSLAQAIDTALSICSKMSELELLYSRACGLPIYVKEIKKLKGKISSTKTSQAWLHSVRKCISARHPVELEVDVLYKLKSEIVDLQVQLPEIDVLHNLLSQAESCSTQCREMLEGSMNIKNVGLLLKEWDKFTVGVPELKLLRQYHTEAVSWVSHFNDVLRRVHMQEDQHNAVDELEYIFYEGLSLKIQVEELPLVEIELKKANCREKALKACDSKMSLEFIQQLLNEATILQIEGEKQFVKLSCVLAVAFPWEDRARQILSHEAPISDFEDMMRASENIFAILPSLEKVKDVLSEANSWLRNTKPYLVSSTCASNSSRKVEDLQMLVSQSKLLKISLEESRMLELVLKNCKAWEYKACSLLEDAQCLFELDNIVHGISSGLMSKVEDLIAGIQSAITSSILLGFDFNEIAKLQACCSTLQWCRRALSFCNHSPSLEEVLEVAEGLSHSRVSGALLKVLVDGVEWLRRALEGIYGPQNSRKCKLTDVEDILTDYKTINMTFAAVNCQLEEAIRKHKLWQEQVHQVFGLSPRERSWSSLLELKEHGDTIAFSCSELDLILSEVEKVENWKKNCMDSIGTSVHNENSLLDGLQKIEQTLDRSLFIHGTLQGQKAQNLCICCFIDSKDQEFLTCSICMYCYHCRCIGLTARDIGLANYKCPYCEILMGEFRCKNGDGLLRFGKKHVELKVLTELLSEAEHFCLWIDERDVLNQLVEKALACKCCLREIVNLALANACEVAGVCDKHDNSDLELALAKNLWKIQAKRLLNDVRKPTIQQIQKHLKEGLAMEISPEDHYMLKLTNVNHVSLQWAELAKKVANDSGELALHKVFELVEEGENLLVDVDEELRIVRARCMLYCICRKPFDPIRMIACVHCSEWYHFDCMKLPSTRDVYICPACNPCPEVLPTNHERLSNGKFEEPKTPSPRHTNPRKKRSYKMYERGDEDRDNSNYRVQIELSGILFHDVAKNSHSKLLGLDVGDKYVGLALSDFHNHIASPFSVLLRKKSNIALMASDFQCLITQYSLKGFVIGIPFDRHRVSADAVQVKAFINDLSNTKKLHGIPYTYWNERFTSKNVELFLRSLDLYHPYHSKTMLDKFAAVGILQGYLDYANRKVKLETE >OIV94709 pep chromosome:LupAngTanjil_v1.0:LG17:2083119:2086639:-1 gene:TanjilG_06172 transcript:OIV94709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGNANTSRGMEDRRGKRALILLSSEEDEEEEEEDDEGGDVDNEDEDYNNSDNQASESDDNDNDDDDDESLSDKVVALLREGNDIESLKLNECKAYLRKHGLRIGGTRAVCVDRIREHWRLKDGNGYSLYPESSFIINCTGDVCKGDFVLFRQKVYERFDKMTRHGRILGNRTIAGRVVKESYGAAKQQHTFTGDVVYIYPSKPGWSLVQYCLKEVEVLWSSGVKKLPPLFPLLVKGRNLYRQKTYRQRWKNEADRAIVLSEKHRKGAAARLVRAIRQKRKSHHANESKGSKRQHEIPNTKRPKIGRSCEPGKVRDLSVCRRANNFQPQELISSASSSKTFRSREWQKSAEYDHYQVPIYSSHSSYQLRPYQFHHSHVNHQSMNEPSQLFYHERGPISNMIGFSPFRPDVTEFTSGSRPLVSMDYHHSQTELRYNHEMNYLNGENVGRPLQFPPRTYHGYRRNSGMPYVLAFSLGRSVRNDLVNNFEHNNFVK >OIV93849 pep chromosome:LupAngTanjil_v1.0:LG17:20256808:20257920:1 gene:TanjilG_13864 transcript:OIV93849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGETKEKLQIQVLNEEEGNEGENGKNVIGERKNEKCNECDNGKIMWSEKERRKKIGSLFATLHDLLPHLPSKANKSTIVDETISYIESLKQTLEKLEKEKKERLQSLFTFGVHPSIINSSQWYPNDSTNANANGNSNTSTSIIPSTPTKLVAFETWSSPNLVLNICGNEAQFCILATRKQNILTTIALVLEKHQIDVISASILSNGHGNQYTILAHVSFELYPLNHFIL >OIV94717 pep chromosome:LupAngTanjil_v1.0:LG17:2176838:2184843:-1 gene:TanjilG_06180 transcript:OIV94717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPTAATKFASVNLNKSYGQHSSSYGSNRNRANVNGGGGGGGGGGGMVVLSRPRRSAAGTAGAAGSGSGLRPPSSSMGWTKPATIALQEKQHLVADLPAVDGFSRGSSVYIPPSAPPQPIVEKAIVLRGEDFPSLKAATLSSSSLTPHKPKEAFNNKHKQFGSDSLSTEQKEVSGSSSLVDVGNSLSVNGYQRPSSLGGSRASQQGRKQEEYFPAPLPLVQLKPRSDWADDERDSSHGFTDRSRDHGFSRNDAYGERDFDFPRTASREGRKGSFWRNSSFQKDGFVVQDAANVRNDTGTQHSTMNIEVGHDDKYVPSHFRDNAAHDYPGKMVMGYGQGGKHPWNNSTELYSGRRSERNAWDRAAGNEQQSRNRVDSFQISMLSSSFSLGGKGLPVNDPLLSFGREKRPFPKNEKSFLVDPFTNDFGASGFDGGDILSAGIVGVVKKKKDALKQIDFHDRVRESFEAELERVQRIQEQERQKIIEEQERPLEFARREEEERLKQAREQERKRRLEEEAREAACQAEQERIGSLRKAEELRLSKEEEKRRTNLEEERRKQATKQKNLELEQRIARRQTEAVKGDTHSPVPVDERIRGFVKEKDSSWATDISDWDESERIVERIITSVSSDSSSVNRLLDTGSRLHFSRDTSSNFVDRGKTVNLWRNAYDNGSSHAPYMQEHDNVNNSYGPHRDSSLVGKPSTRKGYYGGAGFMSSRNHNKGGLSDSRLDEYAHLHGQRCNKSGDGDRFGRNTEIDSDFHENFVDRFGDGWSQSRSHDNPFSPYPECSDPNIESDGPYTYLGRSPYSPPSSRTHLSHDDLDGSGDSPVKLISEESINDALSALDNESIVINVRAQNVNAVVSSSAVSTADDEEWTNENNEQFQNRKNMMRMKIIRKMKCMIILTSTKFEDMQLEEKGSPHMMENLVLGFDEGVQVGMPNVEFERTSKDGKTTFMAPQDSINSLDVHVSFDNACNDGKAPRAVFYSSQLNLNSSCRVFEDSEKPTQDSVIQPINSHPSIASASAGNVCPTVSTSGAIAPHSSVGPNVVPNVVAPSQAELPIKLQFGLFAGPSLIPSPVPSIQIGSIQMPLPLHPLVGAPFSHMHPSLGIMPLGPQAMSFAQPNIASELSFNHSHGGQMSVHDGPDDRFVKNETRPYSLDSQPGTSRHLSQASWPSENAENIDGPKQGTNSTRIATGFRVDKQRSQNSAGNTSSTAYESNGQQLARDASIHSIPKEKEFMYSKAHHPVSGGRGKRHAFSVKTPGSRSSGLAVINRSDSRGFLRRPRMNVQHTEFRVRETAKKSQSSSLVLSDQFGSDNNSNINGRKNSHQIDSGCRAVKVDGNESTKTQSTSHFGHSNLKRTMCSEEDVAPLQSGVIRVFEQPGIEAPSDEDDFIEVRSKRQMLNDRREQMEREMKAKSQSAKMPQRSRSTAQNAAALANYSRGSISTGEMANGVHAHLVAEEGRKMAKINVSSVFDSSLSSQPLAPIGTPPLRIGAHSDLRSQTSRPLKTSLLVVLGGGKGSGPVVDDGQASLGSRGNVMALTQTQLDEAMKAQQFDSHASVGELKSAVSNSCLPTSSILSKEKASPSASSPINSLLVGEKIEFEKYKNESHGQLEGCEAEAAASAVAVAAISNDEIVGNGLGAASEQQSITGSKSGEPLSVSLPADLSVDTPTISFWSPLQNQQNLSSHMISHVPVGPPHFPFYERNHMMSGRPVFAFGPNDEFAAAAQSQPQNSNTSVSGPTGSWHQCLSSVDSFYGPPTRFTGPFITPPGGIPGVQGPPHMIVYNHFAPVGQFGQVGLSFLGTTYIPTGKQPDWKHTPTSSAIESEGDNNVNMVTSQGNPYNMPSPIHHLAPGSPLLPTYSPQTLFDVPPFQSPDMTVQVRWPHVPNSNVPSIPPQSVPSLQERVNASHYSHVPSLDQPLNSNRFSSSQTSTSDVGINYPTASDANVNQLPNELGLVDHSYSTACKVTAPSVVNKNQSANAHAHVHNDNGGNSNNQNANSAVKNQPS >OIV94205 pep chromosome:LupAngTanjil_v1.0:LG17:10276341:10284115:-1 gene:TanjilG_28144 transcript:OIV94205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECINKNVEQIVDVGSVVEAVSTDVGDAPLYTVESLCMRCGENGITRFLFTSIPNFRKILLSAFECIHCSERNNEVQFAGEIQPRGCNYSLKVPSGDPKMLNRQVVKSESATIKIPELDFEIPPEAQRGSLSTVEGILMRAADELQALQEERKVQFVTVLYYLSPETAEAIDQFLVKLRACATGESPFTFFLDDPAGNSFIENPFTPSSDPSLTIKFYERTPEQQALLGYLVDSTQIAHDGALAGGEAGVSGQVRREPHGSIGAAAGHRAIAQSNSAEIADALFWYTAPEECDTAACSGQVMTFPSTCGACATKCETRMFVTNIPYFQEVIVMASTCDACGYRNSELKPGGRIPEKGKRITLQVKNVNDLSRDVIKSDTASVEVPELDLELTSGTLGGVVTTVEGLITKISESLERVHGFTFGDSLEENRKIKWLDFRARLTKLLSLEEPWTLILDDALANSFVAPATDDLKDDKQLMFEEYERSWEQNEELGLNDIDTSSADAAYESANTTKIE >OIV93998 pep chromosome:LupAngTanjil_v1.0:LG17:20039786:20042109:-1 gene:TanjilG_05701 transcript:OIV93998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENDNETKGRIEETVRKILEESNMDEVTESKIRKQASKELAIDLSQPHFKAFVKKVVEAFLEEKHEQQQQLEEEEEERRERGSKDKEYDDDGDLIICKLSDKRRVTIQDFRGKTLVSIREYYRKDGKDLPSSKGISLTEEQWSTFKKNVPAIENAIKKLESRDI >OIV93775 pep chromosome:LupAngTanjil_v1.0:LG17:21142577:21148517:-1 gene:TanjilG_07678 transcript:OIV93775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNSSKHDSTTSGDASAADSKLYSSGDKILAYHGPRIYEAKVQKAEIRKNGWRYFVHYLGWNKRRVSYLFPLFHFIISWDEWVGGDRLMKYTEENVMKRRALNKKQNVDKNVKSGRSGQPKAKTSVVSKVKKQKHDSGVESGVNYRKGGVTVEKLVKIQIPTTLKKQLMDDWTFVTQQDKLVKLPRSPTIDDILTKYLDYKTKKDNMVADSIGESLKGIRRYFDRALPIMLLYKKERKQYSEAIVDGVSPSSIYGAEHLLRLFVKLPELLAYVNIEEETLNSLQQIFLDFLK >OIV94228 pep chromosome:LupAngTanjil_v1.0:LG17:9756538:9759547:-1 gene:TanjilG_09383 transcript:OIV94228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNGNGDMVTVLEKRPKTKIVCTLGPASRSVPMIEKLLRAGMNVARFNFSHGSHEYHQETLDNVRTAMENTGILCAVMLDTKGPEIRTGFLKDGKPIQLKQGNEITISTDYSIKGDEKMICMSYKKLAEDVKPGMVILCSDGTISFKVLECDTKLGLVRCRCENSCTLGERKNVNLPGVIVDLPTLTEKDKEDILGWGVPNKIDMIALSFVRKGSDLVEVRKLLGKHAKNILLMSKVENQEGVANFDEILANTDAFMVARGDLGMEIPIEKIFLAQKVMIYKCNIQGKPVVTATQMLESMIKSPRPTRAEATDVANAVLDGTDCVMLSGETAAGAYPELAVRTMAKICLEAESTLDYGLVFKRIMEHSPVPMSPLESLASSAVRTANSAKAALILVLTRGGSTAKLVAKYRPAMPILSVVVPEISTDTFDWTCSDEAPARHSLIFRGLVPILSAASARASHAETTEEEIEFAIQHAKTKGLCKDGDSVVALHRVGAASVIKILTVK >OIV93892 pep chromosome:LupAngTanjil_v1.0:LG17:17572448:17576651:1 gene:TanjilG_05595 transcript:OIV93892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGWHFYNDVVPFVAIVAIECIVVGVNVLYKAATLKGLSYYVFIVYSFSVSSIVLLFPLSFVLIRSRGLPPFNASIFLKIFLLAVLGFVVQLCGAKGIKYTSPTLSSALSILVPAFTFILAVFFRMENLALRSKRTQAKIFGTILSILGALIVVLYKGRTILSDSNPLQSPATHSLVSSSSQTNWILGGSLLVAQYLLVPIMYIFQSSIMNQCPSEVIVVFTFCLCVTFISAPICFLLETNRSAWKITPDIRMVAILCWGILITCFSSLVYAWGLRLKGPVYISIFKPASIVIAATLSVIFLGEALYLGTVVGAVILSFGFYAVIWGKAKEEELSEEIGEGRTEYLPDSKTPLLEDSNVTDNSEIMYTNCP >OIV93986 pep chromosome:LupAngTanjil_v1.0:LG17:19645751:19649180:1 gene:TanjilG_05689 transcript:OIV93986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMEEGDYQFYDTREELCSVSDVDSDCSEANESSFSNGYATSYHVWTNNLQSVHLRRLNFKRWMGLESDSDANLMMGDGELADSSCGVGRITVGSGALRRSLGVVYGSSQIVSNSLSNETCIIENRGVEDENLARTIKNLDDGTQYVVDKLGPDGMLSTLRVVGSGQSISLEEFQRTIMPTPLLWRHLQRDAENTRVLGVEKKKMKKDWLKKLGSVACVVPDQELDDMDGKDIDSVDRSRIQRVRVHSFRKRMKELTSLHTEQKFKAHKGVILTMKFSLDGKYLATGGEDGIVCVWKVVEGERSSKFEILDNDPSSRYFKMNSFSCLSAMDVDKENSVKTDKLKRSSGSTCVIIPPKTFRILEKPLHVFHGHKGDILDLAWSKRGFVLSSSVDKTVRLWQVGIDRCLRVFSHNNYVTCVNFNPVNDKFFISGSIDGKVRIWEVLRCRVVDYINIRETVTAVCFCPEGKGTIVGTMTGNCRFYDIKDNHLQLDAQLVLGGKKKTPGKRITSLQFSPTDPSKLLAASADSHVCILSGVDVIYKFKGLRSAGQMHASFTSDGKHIVSVSEDSNVCIWNYTGQDRSTSKAKKIWSSESFLSDKAAIAIPWFGIESKPGTLWSPSLKHDISQRLTPSSHDRSFLNLGFLSDLVPKVSPTWPVETLEDSSRSFVTPTMCKSEYKFLKSACKGMSNSNLWGQVIVTAGWDGHIRVYQNYGLPVRD >OIV94795 pep chromosome:LupAngTanjil_v1.0:LG17:1653461:1655995:-1 gene:TanjilG_13008 transcript:OIV94795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNLVAAQKPLLHGLMKLAGVRPYKVEIEPGTVMTFWVPSETISKPKKKNGLNPKLVAKPSKPVVVLVHGFSSEGIITWQFQVGALTKNYAVYVPDLLFFGGSITDKPDRSPAFQAQCLAVGLKKLGVEKCIVVGFSYGGMVAFKMAELYPGFVEAIVITGSILAMMDSIIETTLQELGFSSSSELLLPTSVKGLKALLSVAAHKKLWFPDRLHKDFLEVMFTNRKERAELLEGLVISNKDITIPKFQQRIHLLWGENDQIFKLKLAQNMKEQLGYKATFEGITKAGHLVHLERPCVYNRCLKQFLSSIHPESKNK >OIV94459 pep chromosome:LupAngTanjil_v1.0:LG17:4318144:4322903:-1 gene:TanjilG_25521 transcript:OIV94459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNGNGSVALNGKGGRNGLAKIETHKKHINGICHDDSGPTVKAQTIDELHFLQKKRSAPTTPIERTQTPFATLSEEQRQRQQLNSISASLASLTRESGPKVVKGDPDKKSETQRVVHHDHHIAPTIVVSDSALKFTHVLYNLSPAELYEQAIKYEKGSFITSTGALATLSGAKTGRSPRDKRVVKDHHTENELWWGKGSPNIEMDEHTFMVNRERAVDYLNSLDKVFVNDQFLNWDPENKIKVRIVSARAYHSLFMHNMCIRPNTEELENFGTPDFTIYNAGQFPCNRYTHYMTSSTSIDINLGRREMVILGTQYAGEMKKGLFSVMHYLMPMRHILSLHSGCNIGKDGDVALFFGLSGTGKTTLSTDHNRYLIGDDEHCWSETGVSNIEGGCYAKCIDLSQEKEPDIFNAIRFGTVLENVVFDEHTRQVDYTDKSVTENTRAAYPIEYIPNAMLPCVGPHPKNVILLACDAFGVLPPVSKLNLAQTMYHFISGYTALVAGTEDGIKEPQATFSACFGAAFIMLHPTKYAAMLAEKMQKHGATGWLVNTGWSGGSYGYGSRIKLPYTRKIIDAIHSGSLLNANYKKTEIFGLEIPTEVEGVPSKILEPVNMWSDKKAYKETLLKLAGLFKKNFETFTNYKIGKDSKLTEEIVAAGPTF >OIV94781 pep chromosome:LupAngTanjil_v1.0:LG17:1757571:1760331:1 gene:TanjilG_12994 transcript:OIV94781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSGIVSKLNATIYCGIPETVTRQQRVPTSLYRHSVLSLSSLHSTHSSSHHTKSMAASASNAVLGDVYVDDLASSCGNVLDLTKPAGVYFKDGTRKGCLRASVILRRSQPLYCTLFAGSTFDAANWRNRNSSFFRGPWSRKFSTSSSAGTTHDVSSEGSTPDQTIAARKVLKMVSGSSYLPHPAKEETGGEDAHFICSDEQAIGVADGVGGWADVGVNAGLFAQELISNSVRAVQEEPKGSFNPARVLEKAHSKTKAKGSSTACIIALTDEGLHAINLGDSGFIVVRDGNTIFRSPVQLHGFNFTFQLESGDGGDLPSSGEVFTLPVAPGDVVIAGTDGLFDNLYNNEISAVVLNAVKAGLEPQATAQKIAAMARHRALDRNKLTPFSIAAQEAGFHYIGGKLDDITVVVSYITSFTSE >OIV93994 pep chromosome:LupAngTanjil_v1.0:LG17:19899408:19903484:1 gene:TanjilG_05697 transcript:OIV93994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGIVKSPTDAPPPRITIPNTPTQRSESTTTIIKTPSPIPKKPPSPSPSRSKKKNPETPTSNPVHSESSLDNPDLGPFLLKVARDTIASGEGPTKALDFAIRASKSFERCAVDGEPSLDLAMSLHVLAAIYCSLGRFEEAVPVLERAIQVPDVDRGADHALAAFSGYMQLGDTFSMLGQVDKSISCYDHGLQIQIQALGETDPRVGETCRYLAEANVQAMQFDKAEELCKKTLEIHRAHSEPASLEEAADRRLMALICEAKGDYESALEHLVLASMAMIANGQDNEVASIDVSIGNIYMSLCRFDEAIFSYQKALTVFKSSKGENHPSVASVFVRLADLYHRTGKLRESKSYCENALRIYSKPVPGTTSEEIAGGLTEVSAIFESVDEPEEALKLLQKAMKLLEDKPGQQSTIAGIEARMGVMYYMTGRYEEARNSFESAVTKLRASGERKSAFFGVVLNQMGLACVQLFKIDEAAELFEEARGILEQECGPCHQDTLGVYSNLAATYDAMGRVGDAIEILEYVLKLREEKLGIANPDFEDEKRRLAELLKEAGKTRDRKAKSLENLIDPNSRRTKKEGGKKWPGLGFRI >OIV94769 pep chromosome:LupAngTanjil_v1.0:LG17:1850793:1852760:1 gene:TanjilG_12982 transcript:OIV94769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHFPLIPSFIFTLLFCTFSLIEGQQPYIGLGTTACYRKGNSKSIRGYICNGANKSCQAYLTFRSQPLYSSVSKISALLSSDPSQLAEINSVSLNGTFETNKLVIVPVKCSCASEYYQANTSYVVQNADTPFLIASNTFEGLSTCQALQNENHNPWNMFQGRELLVPLRCACPTKNQTKKGVKYLLSYLVKGGDFVSLVSEKFGVKTETTLEANNLTMTNATIQPFTTLLVPLHDKPSNSQTVSSAPPPSLPSSSTTDKSSNKKWVYAVSIAAGVGVIVLVLILCAISFYIHHRKMKNKKDHAAVSESFDAIESSQGRQREEESKQLSEIISGIAQSFKVYAFEELQHATDNFNPSYWIKGSVFHGVINGDLAAIKKVEGNVLKEIELLNKVNHANVIRLSGVSLNEGHWYLVYEYATNGALSEWIYFNNNMNGKFLSWTKRIQIAFDVATGLDYLHSFTSPPHIHKDLKSSNILLDHDLRAKVSNLRLARSVEVQEGQQLPLTRHIIGTRGYMAPEYLENGLVSTKLDVYAFGVLLLELLTGKEVAAILAEDNKNLSHILCDMVGEKNEQERVKEFMDPSLKGNYPLELAMFVVGMIDDCIEKDPESRPHMYEIVLSLSRILNSSLSWEMSLNISASQDTIALSRALEVTAAET >OIV94686 pep chromosome:LupAngTanjil_v1.0:LG17:2556819:2559244:1 gene:TanjilG_25910 transcript:OIV94686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANIMQRAASHGVAVRAAVLRGARAFSSDALVEAKPGEIGKVSGIPEEHLHRRVVIYSPARSAGQQGSGKLGRWKINFVSTQKWENPLMGWTSTGDPYAHVGDSALNFDSEEAAKSFAERYGWDYVVKKHHTPLLKVKSYADNFKWKGPAKLDEA >OIV94560 pep chromosome:LupAngTanjil_v1.0:LG17:5584445:5586156:-1 gene:TanjilG_25622 transcript:OIV94560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRGSWLTLRFRSVLDAVRASRLHSSYGGLQRQSPIMSPAKVGSDYWVHPGLQFFSTNSNTNTNPNGELKNIKVEPHAPASTNFSFPYWLRWVFGSLLSLLIPFWKHNWENLRRIEGEAVIVVEEVETVANVVEKVATVAERVSENIAEKLPGDGKLKEAALVVEHASKQVLHGAQLTEEFIHKVEELKNDLDDLESFMEPVIDKIVKKEPGKS >OIV93966 pep chromosome:LupAngTanjil_v1.0:LG17:19129469:19131782:-1 gene:TanjilG_05669 transcript:OIV93966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKISKPSILPNPVILQSEELLKYILETSVYSREPETLKELRDATASHPMGFMGAAPDAGQLIAMLLKLLNAKKTIEVGVFTGYSLLLTALTIPHDGKIIAIDPDIKSYEIGLPFIKKAGVEHKIDFIESPALPVLAKILEDPTNEGTFDFAFIDADKNNYWNYHERLIKLVKIGGIIAYDNTLWGGMVALPEKLVPEPKREWRLSTLQFNKAISKDSRVEIAFVSIGDGVIFCRRVC >OIV94727 pep chromosome:LupAngTanjil_v1.0:LG17:2271214:2279103:-1 gene:TanjilG_06190 transcript:OIV94727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMKKNKHSGRVIAGPTNPMVTPLLTDLYQFTMAYAYWKAGKHQQRAVFDLYFRKNPFGGEYTIFAGLEECVRFISNYKLSDEEIDFIKKSLSISCEDGFFDYLRGIDCSDVEVYAIPEGSVVFPKIPLMRIEGPVAVVQLLETPFANLINYASLVSTNAARHRFVAGKSKTLLEFGLRRAQGPDGGVGASKYCYIGGFDATSNVAAGRLFGIPLRGTHSHAFVSSYMSLDEIVDKSLCREDGSSTCEDFVSLVQTWLSKIQWSKSLSGVFSETNQSELAAFISYALAFPDNFLALVDTYDVMRSGIPNFCAVALALNDLGYKAVGIRLDSGDLAYLSCEIRKIFRSIENEFGLPNFGKTSITASNDLNEETLDALNKQGHEIDAYGIGTYLVTCYAQAALGVVFKLVEINNQPRIKLSEDVSKVSIPCKKRIYRLYGKEGYPLVDIMTEEHEPSPKEGDRILCRHPFQESKRAYVVPQHVEELLRCYCAGTSDKKEETLPSLRDIRQLCIKQLEQMRPDHMRRLNPTPYKVSIPCKKRIYRLYGKEGYPLVDIMTEEHEPSPKEGDRILCRHPFQESKRAYVVPQHVEELLRCYCAGTSDKKEETLPSLRDIRQLCIKQLEQMRPDHMRRLNPTPYKVSVSAQLYDFIHYLWLNEAPVGELQ >OIV93973 pep chromosome:LupAngTanjil_v1.0:LG17:19340393:19344424:-1 gene:TanjilG_05676 transcript:OIV93973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYENNSWIWEGVYYYPHVFGGLMVTAALLGLSTSFFGGVGVHLPLPYTWSNLGIFRKKKSGKRRVRVYMDGCFDLMHYGHANALRQAKALGDELVVGLVSDEEILANKGPPVLSMEERLALVSGLKWVDEVITDAPYAITETFLNRLFHEYKIDYVIHGDDPCLLPDGTDAYAAAKKAGRYKQIKRTEGVSSTDIVGRIMTSLRDAKVSEDLNGADENPQAENQSKSSHLSQFLPTSRRIVQFSDGKAPGPNARIVYIDGAFDLFHAGHVEILKRARELGDFLLVGIHSDETVSEHRGNHYPIMHLHERSLSVLACRYVDEVIIGSPWEITKDMITTFNISAVVHGTVAENSLDSESDPYEVPKSMGIFHLLESPKDITTTSVAQRIMANHEAYMKRNAKKALSEQRYYEEKKYVSGE >OIV94889 pep chromosome:LupAngTanjil_v1.0:LG17:922402:924260:1 gene:TanjilG_22086 transcript:OIV94889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGSIANGVKGKDYHGKLTFRVFITCVVAAFGGLIFGYDLGISGGVTSMDPFLKKFFPDVYEKENNIRPCTHQYCKFDSEVLTLFTSSLYLAALVSSLCSSTITRVFGRRLTMISGGIFFLAGALLNAFAENIWMLIVGRMLLGFGIGCANQSVPIYVSEVAPYKYRGALNMMFQFAITIGIFVANILNYFFSQMKNGEGWRYSLGFAGVPAIMIIIGALFLPDSPSSLIERGQEDKAMQELIKIRGTPEVGEEFKDLVAASEASRAVKHPWRAIFNKQYRPQLTFAIAIPFFQQLTGMNVITFYAPVLFKTIGFGATASLMSAMITGGCNALATLVSIALVDKVGRRKLFLEGGIQMFICQIVITIAIAIKFGVNGNPSALSMQYAGFLVGFICLYVMGFAWSWGPLGWLVPSEIFPLEIRSAAQSINVSVNMIFTFAIAQIFTTMLCHMKFGLFIFFACFVVIMSTFIYKFLPETKGVPIEEMSIVWQSHSYWKNFVKSVEKDDPEK >OIV94016 pep chromosome:LupAngTanjil_v1.0:LG17:15466156:15467663:1 gene:TanjilG_25012 transcript:OIV94016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASFRWVLQLHKDVPKAARFYSEGLGFTTNVCTLRWAELQSGPLKLALMHSPNEQVMQKGYSSLLSFTVTDINSTVTKLMALGAELDGPIKYEIHGKVAAMRCSDGHVLGLYEPV >OIV94663 pep chromosome:LupAngTanjil_v1.0:LG17:2772647:2775786:1 gene:TanjilG_25887 transcript:OIV94663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCTVREKHIQANRKSRSQKPNCNANSRSKSMMNHVDVTPKQSSSNSNPNPNPNDASSGWGLCTEDQLEHILLKKMEIIYNDAVSKLVALGYEEDVALRAILQNGHYHGPMDVLTNILHNTLGYLSNDESDSESESESETVFTDLKQLVRYSLAGMVSLLQQLRPNLCKGDAMWCLLITDFHIEKATNIQIPVSDDNAVETGSGDNFKNVFDVMPPPLCRFHGGWGFGNGGTSQMTLQLQRDIEFPKRFNLSPQMKSMLKRNVAMFAAGFRANSNQLHPKAVPRSSFASSSFESPSEKSGDSHNLNNLDAVNSVLLSKFRDLNLDEKLDFVAEDKKGEVIVNLFRQIKDLEKQVKDRKEWAHQKAMQAARKLSGDLTELKILRMEREETQRLKKGKQTLEGTTMKRLSEMENNLKKASGQVDHANASVRKLEIENAEIKAEMEASKLSASESVMACLQIAKREKNYLKKLLACEKQKAKLQQEISDGKQKILEIQEELAQIKKCKKEAKVKLGEELKAKEEALALIEEERHAKEVAEANHKRNLKALQLNIEIDFQRRKDDILRLEQELARLKASAHSDKLHHKSNTSRAGESEGAKLPRETIAMLLQDLDNLEDFSEKEVSSDRQCIICNKDEVSIVFLPCAHQVMCASCSEEYGRKGRAACPCCWVPIEQRIRVFGASS >OIV93851 pep chromosome:LupAngTanjil_v1.0:LG17:20269524:20270318:-1 gene:TanjilG_13866 transcript:OIV93851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQNGSKLDPQTLIRSCASFLACRDFNACRELAHSIPRLDTNIIVQVDQILTIVHVLCAAERRISDTNLDWYSILRLRREDSANRDLVRQQFKDLMRLLNPNTNKFPFADDALMRVREGWFVISDSARRASFDREIDEEMKKGASFWTMCPYCWYLHEYERKYEDCTFRCGNCGKTFHGVEVKPPTKDMMVEGKEQYYCYQVSLPCRYPVDENIRDSNGGIGSQSNGKRRMRIKTLARRVKMKGFIEANSDDTDPDVEQEGVL >OIV94201 pep chromosome:LupAngTanjil_v1.0:LG17:10214087:10217020:1 gene:TanjilG_28140 transcript:OIV94201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENQAILGVVGRDEEEDEFCSCCEDEEGAWKETQVEELEDELDEFSVKLFFKGLSIGGIEDSISGFSGVGVFMERQSSLPVIRVQKKLDFYAEEPLVDYLALMDGLLEATQNKMRRVYAFTDSELLHNQITGMENLEVPLLMALRERILELANNFEVFLLNLVPSIDLEQALQLAKVAIGLVTFPVNGKILLESCSICCEDKPLPIMITMKCSHKFCSHCLRTYADGKVQSCQVPIRCPQPGCKYCISVSECRYFLPFTSFESLEKALTEANLLHSDRIYCPFPNCSVLLDPRECLSARASSSSQSDNSCVECPVCQRFICLDCKVPWHSSMRCEEFQNLPEEERDATDITLHHLAQNKRWKRCQQCRRMIELTHGCYHMTCWCGHEFCYSCGAEYRDGQQTCHCAFWDEENSEDSLSYSLQESEQWAWETFNSVPMLMDAYSDQERSQLALIQRFLDGGFSLSDHHPYESPPRYTDSYMDAMKDLHQLPWLERFASVISDDYEDYIQ >OIV93959 pep chromosome:LupAngTanjil_v1.0:LG17:18989268:18991113:-1 gene:TanjilG_05662 transcript:OIV93959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIAVPLKEVPFRIEPLKAEAPISSRVKRVYNVSKDSLLRSPERGLRKITPARGPKPGIPLRKMKTFSIPTSSPQPQSLVDIMGLLIPCLWMGTCLLLTSPPELIQPISQSAFPFTLPLFPFYFSGLGLAIDSPSGSDMTFAIYDGSGVIEASSSHSAGEQESSNPNVAVFLGSIVLVVLLAGMLASRI >OIV94851 pep chromosome:LupAngTanjil_v1.0:LG17:1196193:1199511:-1 gene:TanjilG_22048 transcript:OIV94851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPSLSETHSAVPTTVNVDLGDRSYPIYIGSGLLDQPHLLQRHVHGRRVLVVTNTTVAPLYLDKVVHALTTENSNVSVESVILPDGEQYKDMDTLMKVFDKAIESRLDRRSTFVALGGGVIGDMCGFAAASFLRGINFIQIPTTVMAQVDSSVGGKTGINHRLGKNLIGAFYQPQCVLIDTDTLNTLPERELASGFAEVIKYGLIRDAEFFEWQEKNMHALMARDPNALAYAIKRSCENKAEVVSLDEKESGLRATLNLGHTFGHAIETGVGYGQWLHGEAVAAGTVMAVDMSYRLGWIDDSIVKRVGDILKQTKLPTSPPETVTMDMFKSVMAVDKKVADGLLRLILLKGPLGNCVFTGDYDRKALDDTLRAFCKS >OIV94514 pep chromosome:LupAngTanjil_v1.0:LG17:5025602:5031264:-1 gene:TanjilG_25576 transcript:OIV94514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNNSSNGSPPSNSGNDSPPPTPPSPPSDDSEPPQSSPSKSPPPPSPPNSPPPSPPPNSPPPPSQSAPPPPSKSPPPSSSPPPPSPPPPSQSPPPAPKLSPPPPSNISPPPKSPPPQNTSPTPPAQPPPTPPPAAPPPSTPTPPPSNGDSPPPSPSQQSSPPQPQTPPPPPPNKTFSPPTPPAPGSNVTPAKTPPPGPVPKSPPFSPPTPRPKPGVTPSNSPSPPSSHDAGANVQYYVEEKGVGNASHDGFYSTQLSSPVTNHSEPASQPMGQMIFSYDKVLEITDGFSSQNVIGEGGFGYVYKASMPDGRIGAVKLLKAGGGQGEREFRAEVDSISHIHHRHLVSLLGYCICEQKRVLIYEFVPNGNLSHHMHGSGVPVLSWEKRMKIAFGASRGLAYLHEGCNPKIIHRDIKSANILLDDAFEAQVADFGLAKLTDDADTHVSTRVMGTFGYMAPEYATSGKLTDRSDVFSFGVVLLELITGRKPVDATQPVGEESLVEWARPLLLRAIETGDFSELVDPRLEGQYVDGEMFRMIEAAVACVRHSAPKRPRMVQVARALDTGDQYDLSNGVKYGQSTVYDSDQYNKDLMRFKRMANGSFGESDSDSYSSDYRSKEMSAPQYQWRQSTSSIDSEAKTFYSLKNSIAENRS >OIV94482 pep chromosome:LupAngTanjil_v1.0:LG17:4645492:4650071:1 gene:TanjilG_25544 transcript:OIV94482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSMEFPFSPPSHKHSRIPDDTVFYALYTDSLTATTTADLQSLHLQILQTLTPFTADYIWQHQPFTLSPSAPPNPSCPCSSPSLPHLHGHLRFGDNLDDEWFSVFLLFHISRHFPSLSVRLWDNDGEFLLIEAALHLPRWLNPDTSTHRVFLRNGDLHIVPKTRLPNPTLLDSLKFLIDSSSESKASEPVQRAVKNRISEYPERARINMQRVRVRVPVSVAMVLKHEPCLISLAVEGFYDRDVDTMKFAANMERFLRKGSEGGDELVVVTVTMSRAMYAQLVQQAFRAPKGYPVMPMRSEVEANKEAELGMKITCGLEMMYQQRKRDGAEGKGSTWEKFKQSLEGSGYFQGLLPGSNEYQKLIHNAEEYYRNTSLHSRASDLMSAPVRRIDEILALPHSVDDFKCQELPPSDDDSWLYNGDEELNSALMERQKEMELYDLKHKKKGKAKEDQDTGLSSGSNAGEFDPGDIAKTMQAFVQKLSSFEGAEAPEDRNKEVELDVDQFIRDVESIMKLPGDLVDNGDVEEGSSSDLDFVTLFDSYIFIDDYVDNSDESDMAESDKDNEGGEDTFMQTYSDAMNEELKASTLEKSFVRAKEQIAKKDEGTSNATEADIDEDFSPVDVDVNLVKSFLDSFSNQQGLPGPASNLLGLMGVQFPQDARKGK >OIV94957 pep chromosome:LupAngTanjil_v1.0:LG17:433715:438549:-1 gene:TanjilG_22154 transcript:OIV94957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRRNIVSWTALISGYAQCGLINECFSLFSGLFARLRPNEFAFASLLSACEERDIKCGMQVHAVALKISLDASVYVANALITMYSKCSGAGGGYDHILGDAWTVFKLMEFRNLISWNSMIAGFQFRGLADRAIHLFTHMYCNGIGFDRATLLSILCSLNEYYTSEDINIHLRSCFQLHCLIIKSGLISEVEVITALVKSYANLGGHVSDCYKLFLDTSGKRDIVSWTAIITVFAERDPEQAFLLFCQLHRENFVPDWHTFSIALKACAYFVTEQHALAVHSQIIKKGFREDKILSNALIHAYARCGSLALSEQVFDEMGCRDLVSWNSMMKSYALHGRAKDALDLFQQMNVRPDSTTFVALLSACSHAGLVEQGKMNCSTLFLCSSPLPLFKPKHSLINSSSSSSSLKLKSTQKCRAVLIDDAPFTAAIGACMLTSLIFPVVEDDDDDDSTTDTRLAVMAILTFVPYFNWLSWVFAWFDTGNRRYAVYSIVYLLPYLRTNLSLSPEESWLPIASILLCIVHIQLEASIRNGDIQGFQLFKNQSSSITGKKGNLNQQQEIFNEGSKKEKKNLLSAQDQSRNVSDWDDSQKPLRQRLNEDLDDDGGEERSEH >OIV94721 pep chromosome:LupAngTanjil_v1.0:LG17:2208741:2211143:1 gene:TanjilG_06184 transcript:OIV94721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMFDSDMVFNVSASNFIMESRRTFAKGRKSKDEAGFSMIEIAPDVVPNIKANAVSLMEAAIGALSAELSKLQTGRASQGLLDHITVEISGMKMPLNRVAVVSVIDLKTLSVNPYDPQAMTKVVTKSCEDSRAMGTIKKLYSNLPKDDTKRFEKEVDDLTKKFIKNAEVCKAKQKEINQG >OIV94647 pep chromosome:LupAngTanjil_v1.0:LG17:2908736:2910962:1 gene:TanjilG_25871 transcript:OIV94647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTLRSSISFINQNQTKVLKASDDVLSKVSFPKMNSSCCLRAKSSMQEAHVTQDNNNNINSNEKVHAPSIAHNQGDSMRVPVFVMLPLDTVTMGGSLNKPRAMNASLMALKSAGVEGVMVDAWWGLVEKDGPLKYNWEAYAELVQMVQKHGLKLQIVMSFHQCGGNVGDSCSIPLPPWVVEEINKNPDLVYTDRSGKRNPEYISLGCDSLPVLRGRSPLQVYSDYMRSFHDRFRDYLGNVITEIQVGLGPCGELRYPSYPESNGWRFPGIGEFQCYDKYMRASLEASAEAIGKKEWGRGGPHDSGHYNQFPEDTGFFKREGTWNTEYGHFFLNWYSSKLVAHGDKILVSAKTIFQTTGVKLSAKIAGIHWHYKARSHATELTAGYYNTRFHDGYEPIAQMLAKHGVVFNFTCMEMKDKEQPGHANCSPEGLVQQVKMATKKAGVELAGENALERYDASAYSQVLSTSRCDSGHGLSAFTYLRMNKRLFEGENWRHLVEFVKSMSEGGRRQRLSDSDSCGTDLYVGHIKGTKKQDLQEVTLV >OIV94182 pep chromosome:LupAngTanjil_v1.0:LG17:10866475:10866750:-1 gene:TanjilG_13799 transcript:OIV94182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVAWLSHPSFEDQIRCSWSPLLNWNDNISNLQMKLRIWNKEVLGNIIARKKVLLRRLNGITRKLAHGSNDFLEQWVEYDTDLREEELFWF >OIV94561 pep chromosome:LupAngTanjil_v1.0:LG17:5588310:5589476:1 gene:TanjilG_25623 transcript:OIV94561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYLHGFNSDIVLVIRIADARVFSIVSRFLFLAMVFLMLFFSGSILKGFSSNSHSVSFSGFGDSAFESINAEALNSVLHNLKEEGLVKNEDKALIMSSPNGFDGASVLNNEVDVVKDSDLEITSSLPKESYDFVFTPSFEDAKFDDGILKVNGIVAFPLSIKPSNANFRKPSHYKVVYLKPQHGSIIVALRKLSMANKLVDSSPKRKLLATEAKAMALKGLEDALLEPPGDALDKSMEKSKIRYLPDLLSEHPLHGYKRRVFLSVGLSTENKAAIEWFHRNYPKKDTKFEIHSHIVAPEDSVVLHTDLSAWLSKHVKEEEYVVMKAEADMVEDMIRKKTTHLVDELFLECNNEWWQTGEKKSNRAYWECLALYGKLKDQGVAVHQWWG >OIV93836 pep chromosome:LupAngTanjil_v1.0:LG17:20790987:20794433:-1 gene:TanjilG_03799 transcript:OIV93836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQDTWNGAQGLRPSKSAPCSPAKPVGVFRTRSQSLNVTHKVPLGHTPYVRAKNVQLVDKDPERAIPLFWAAINAGDRVDSALKDMAIVMKQQNRSEEAIQAIKSLRSRCSDQAQESLDNILLDLYKRCGKLDEQIALLKHKLFLIKKGLAFNGKRTKTARSQGKKFQVSVEQEATRLLGNLGWALMQQNNYIEAEDAYLHALSIAADNNKMCNLGICLMKQGRISEAKETLYRVKKPSINDGPKGSDSHLKAYERAQQMLKDLESEMMNKKYDRIEQSRIFEAFLGSSSIWQPQPCKDNTNSTSSSSTKAANSFKTRDGFADENVNSNTTSNRAAPQHNNNNNKVAAMLSNSLNVAAPPFYYASTNSLKEPNANRFSEKLKRTRRVSTKEDSEKNKLIDLLPDNKDFEDAILGAILCTPNSNIFKTKTNKRLKVFQDITLSMSPQ >OIV94041 pep chromosome:LupAngTanjil_v1.0:LG17:14485630:14485869:1 gene:TanjilG_14288 transcript:OIV94041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPQTRINRTEEDAGPFHNRRVVLPVSYYRGLGFIEDVWVKTTPFSLQRGSLTGIEDPQPPSFVAATVPPPLPPLYQLP >OIV94938 pep chromosome:LupAngTanjil_v1.0:LG17:556309:560313:-1 gene:TanjilG_22135 transcript:OIV94938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISKTVLVTGGAGYIGTHTVLQLLLAGFKTVVVDNFDNSSDVAIRRVKELAGEFGNNLSFHKADLRDRDALEQIFVSTKFDAVIHFAGLKAVGESVQKPLLYYNNNLNGTITLLEVMAAHGCKKLVFSSSATVYGWPKEVPCTEEFPLSAANPYGRTKLIIEEICRDVYNSEQDWKIILLRYFNPVGAHPSGYIGEDPRGIPNNLMPFVQQVAVGRRPTLTVFGNDYNTIDGTGVRDFIHVVDLAYGHIAALLKLDESNIGCEVYNLGTGKGTSVLEMVRAFEQASGKKIPLLMAGRRPGDAEIVYASTEKAERELNWKAKYGIDEMCRDQWNWASKNPYGYGSEEDSTD >OIV94658 pep chromosome:LupAngTanjil_v1.0:LG17:2811685:2813300:-1 gene:TanjilG_25882 transcript:OIV94658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQHKRLLLILITILFNTSTLRGDELVQLVHDYYKEKCPLAEDIVRHNVEVALYKDPGLAASLLRLHFHDCFVMGCDASVLLDSVEGMQSEKEAGPNLNSLRGFEVIDKIKYLLEEECPVTVSCADILAMAARDAVELRGGPGWDVWLGRKDSLMSSFSGANQFIPAPNSSLEVLIDNFKQHGLEIEDMVALSGSHTIGRARCLSFRQRIYEPKQEYHYGYDRYKRYTTFRRILQSICPVSGRDNKFAPLDFETPKRFDNHYFINILEGKGLLGSDNVLTSQDFDGTITKQVWAYASNQKVFFASFAKSMIKMGNINVLTGNEGEIRRNCRFVNA >OIV94980 pep chromosome:LupAngTanjil_v1.0:LG17:250030:258902:1 gene:TanjilG_22177 transcript:OIV94980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKNNAVRNQRAALGAILFLFAFLYAFWRIGIHFPMPSPDKGFFTMPQLVSRIGVIGVTVMAVLSGFGAVNLPFSYLSLFIREIEESDIKSLERQLMQSIETCISKKKKIILSQIEMDQSQGSEEKLNARSFFKRIVGTVVRSVQEDQKVQDIKDLEAEVLALEELSKQLFLEIYELRQAKEAAAYSRTWRGHMQNLLGYACSVYCVYKMIKSLQSVVFKQAGSVDPVTMTISVFLQFFDIGINAALLSQYISLLFIGMLVVISVRGFLTNLMKFFFAVSRVGSGSSSNVVLFLSEIMGMYFLSSILLIRKSLATEYRIIITEVLGGDIQFDFYHRWFDAIFVASAFLSLLLLSAHYTSRQTDKHPID >OIV94585 pep chromosome:LupAngTanjil_v1.0:LG17:5801074:5804603:1 gene:TanjilG_25647 transcript:OIV94585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKKKVSGSTMTLKDFHGGSIPSDIPLPSAPGVTVRTSDRPGYDRSSRGAPMARPDHWSRPHTSPATRRYDDKIPFFPHTAPIGRNFDEDERKPLGGGSAPRRTISDDSIHVMPSRVEVKPEYGVGGSSLGRQVAPVSHVGTVNSYSARLPETAYVGVNSQSLGGSNKEHGTASGGGFTNVWAMRKEAASVVEPEQSWARPNAVSKLAYASALEKVSSGRWQSKAVHYQTDPDVVRSSEVESEPHASVNVYNAYNRVDAVGEENYDAILAAHAERGLGMDSSMRGGRNELLDYERSGVPKYSEVRPRSVSHHVNGALLAQNDGKHSGPELQQPVHSEPTVRPKLNSLPKVKPLERTEPYVTENAQGYRQVNDSGHVGTVYQAHGHANFVKPVSTGNESGKEVGHRPKLNLKPRSEPLEQLEGNAERERKALFGGARPRELVLKERGIDDVTINSYDVLDHSSRVEHNISRTEKLSDRSVQTCYGEKTDDAFHDQRTGRKPERKDQRVDTERVHTQKNWRGDNRRNVNETDRQQPPERPKSPETWRKPVDQPKPSPGGVGVRYGRAASAVELAQAFSRSVSDPKVNDRFSSGQRDLNTSRTQVPFSRLVGPTSRPKINGY >OIV94281 pep chromosome:LupAngTanjil_v1.0:LG17:8925629:8930356:-1 gene:TanjilG_00030 transcript:OIV94281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESVLKGVRGGECVLDLSPRSTVGGGVEDIYGEDCATEDQLITPWTFSVASGCSLLRDPQYNKGLAFTEKERDAHYLRGLLPPTVSSQELQEKKLMNSIRQYEVPLQKYVAMMDLQERNERLFYKLLIDNVEELLPVVYTPTVGEACQKYGSIFRRPQGLYISLKEKGKILEVLKNWPERSVQVIVVTDGERILGLGDLGTQGMGIPVGKLALYTALGGVRPSSCLPVTIDVGTNNEKLLNDEFYIGLKQKRATGKEYYDLLHEFMSAVKQNYGEKVLVQFEDFANHNAFELLAKYGTTHLVFNDDIQGTASVVLAGVVAALKLIGGTLPDHKFLFLGAGEAGTGIAELIALEMSKQTKAPLEESRKRIYLVDSKGLIVSSRKNSLQHFKKPWAHDHEPVGTLLEAVKIIKPTVLVGTSGVGKTFTKEVIEAMALNNKIPLILALSNPTSQSECTAEEAYTWSEGRAIFASGSPFDPVEYNGKVYYSGQSNNAYIFPGFGLGIIISGAIRVHDDMLLAASESLAKQVTDEHYAKGLTYPSLTDIRKISANIAANVAAKAYELGLATRLPRPENLVKYAESCMYSPLYRNYR >OIV94775 pep chromosome:LupAngTanjil_v1.0:LG17:1814557:1815605:-1 gene:TanjilG_12988 transcript:OIV94775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGGGSSGRLPTWKERENNKRRERRRRAIAAKIYAGLRTQGNFKLPKHCDNNEVLKALCAEAGWIVEEDGTTYRKGCKRSASEFGGTPGGCSSIQPSPTGPSPQSSSFPSPVPSYHASPTSSSFPSPSRIDPNPSSFLLPFIHNMNLPPLRISNSAPVTPPLSSPTSRTSSKRKAHFDSLPNPNASSLNPFIHPLFAASAPSSPSRRHHHVGTYTIPEHDESDASTLDSDRWVSFQNSPAPPSPTFNLMNPAIMHHHQQMIIPKDSSSDMQWSGAGERGRGSDFDFENGSVKPWEGERIHEVGMDDLDLTLGFGKA >OIV93878 pep chromosome:LupAngTanjil_v1.0:LG17:16880444:16881817:1 gene:TanjilG_05581 transcript:OIV93878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNCLSNIEAGITEILPVLKSWKTEKSEDINRKIIGIDVYGTCLCEGKYLDENKKLILAILDNQNLGKLAECAQYQAQLQKNLMYLAAIADAQPQTPTMPPQMAPHPAMQQGFYMQHPQAAAMAQQPGLFPQKMPLQFGNPHQMMEQQQLHQQHQQAIQGQMGLRPGGPNNGMHPMHNDAALGGGSSGGPPSTTCPTDACSGRKQDASEAGTAGGDGQGNSAAGHNGGDGESSYLKGSEEAK >OIV93842 pep chromosome:LupAngTanjil_v1.0:LG17:20856834:20858877:-1 gene:TanjilG_03805 transcript:OIV93842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTHSFSYISSSNGSSSNNAFFEADEVDDEASIFLSLGPPGQHNPKPMSSLNHSTTTLCQAQNPTICDDHSGITVALHIGLPSTTQNINPANTKPDYLTSTPLQGQYWIPSPAQILIGPTQFSCSVCNKMFNRFNNMQMHMWGHGSQYRKGAESLRGVKPASSMLRLPCYCCAEGCKNNIDHPRSRPLKDFRTLQTHYKRKHGAKPFGCRKCGKPFAVRGDWRTHEKNCGKLWFCICGSDFKHKRSLKDHVRAFGDGHAPHTVEETAPLSVLSDGERESESKGRCEKMQTNREMKAKVLAFNA >OIV93868 pep chromosome:LupAngTanjil_v1.0:LG17:16449271:16456684:-1 gene:TanjilG_05571 transcript:OIV93868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKTKQQKKQSHKKQQQPQNKKPGKQDDASQFWTQLDALGLRTVHVTADGNCFFRALADQLEGNEEEHRKYRSMVVKHILNNREMFEPFIEDEVPFDEYCQSMKNDSTWAGHMELQAASLVTHSNICIHRSMTPRWYIRNFDDRGARMIHLSYHDGEHYNSVRLKDDDCDGPAKSIVIKADADLSATSHQAKVTANKSREQTDKGNFLPGSIKLVMAGSGCENTEKVEQILEQVNGNVDAAIEFLITEQGTEECCATSDFIPSQADADGHDENENREHKEHKIEDSVNDESNDRSMMTNDNRTLQPTDKIPRNKVCPCGSKKKYKACCGSVLGKQYAKLVTNQAVESRRGKKERKQGKKGISSKVEAPYEYDSATLDVGALCI >OIV93831 pep chromosome:LupAngTanjil_v1.0:LG17:20752144:20756070:1 gene:TanjilG_03794 transcript:OIV93831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNFFPIIIIFIFFFSISHSFNDDARVFTFTMHHRFSEPVKKWSQTTTGIPSPANHWPEKGTFEYYAQLADRDRFLRGRKLSEFEPGLAFSDGNSTFRISSLGFLHYTTVQLGTPGVKFMVALDTGSDLFWVPCDCTRCAATEGTAFASALASDFDLSIYNPNGSSTSRNVTCNNSLCMHRNQCLGTFSNCPYMVSYVSAETSTSGILVEDVLHLTKEDNHHHDLVEANVIFGCGQVQSGSFLDVAAPNGLFGLGMEKISVPSMLSREGFTADSFSMCFGRDGMGRISFGDKGSVDQEETPFNLNPTHPTYNITVTQVRVGTTLIDAKFTALFDSGTSFTYLVDPTYTRLSKSFHSQVQDRRHPSDSRIPFEYCYDMSPDANTSLIPSMSLTMGSGSHFAVYDPIIIISTQVSELIYCLAVVKSAELNIIGQNFMTGYRVVFDREKLILGWKKFDCYEIEDHNAIPTRPHSDTVPPAVAAGLGNAGSTRKTKSNSLSGTSC >OIV94427 pep chromosome:LupAngTanjil_v1.0:LG17:3939658:3944890:1 gene:TanjilG_25489 transcript:OIV94427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALQYLDSLRNSHPELSDWYNSLADLYQKKLWHQLTLKLEQFVALAVFQAGDALVQLYHNFITDFETKINLLKLAHFAVIVSRQYSEKEAAIGYLEGIIEKLQATREQRIEEPILYSKMQIAIFKLDQGDQKECKKLLEDGKSTLDSMTDIDPSVYASYYWVSSQYHKTRQEFAEFYKSALLYLAYTSVESLSDSFKLDLAFDLSLSALLGDNIYNFGELLAHPIIKSLLGTKVEWLYYILQAFNSGDLVRYQELCQVHNAALRAQPALVQNEQRLLEKINILCLMEIIFSRPSEDRTIPLSVIADRTKLSIEDVEHLLMKSLSVHLIEGIIDQVESTVHVSWVQPRVLGIQQVKSLRDRLDGWTEKVHTALLSIEAETPDLIGS >OIV94526 pep chromosome:LupAngTanjil_v1.0:LG17:5153173:5154933:1 gene:TanjilG_25588 transcript:OIV94526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQYLITHFSDFQLACLGSFFLHEGVFFLSGLPFMFLERVGWLSKYKIQAKSNTRAAQEKCLARLLLYHFGVNLPMLLLSYPVFRFMGMRSNLPLPSWKVTLTQIIAYFILEDFIFYWGHRILHTKWLYKHVHSVHHEYATPFGLTSEYAHPAEILFLGFATIFGPAITGPHLITLWLWMSLRVLETVEAHSGFHFPWSLSNFIPLYGGADFHDYHHRLLYTKSGNYSSTFTYMDKIFGTDIGYRKLKALKNTKVEDSSEQKKQ >OIV94001 pep chromosome:LupAngTanjil_v1.0:LG17:15560013:15560639:1 gene:TanjilG_07549 transcript:OIV94001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISTLKKKVYRGVRQRQWGKWVSEIRLTQNRKRVWLGTFDSPESAAYAYDCAAYKLRGEYARLNFPNLNDSKILCNLLGFDDSMRLIALKSSVDAKIKAICHKVKREKAKIKSDAKKLSSFDTNMNNNGYGDTEKPQKIESMSLSCSSSSLMLSASSFCDGLRNEFISPSASNECATMVTEESGFEDCCSLARMPSFDPELIWEVLGN >OIV94466 pep chromosome:LupAngTanjil_v1.0:LG17:4421586:4421994:-1 gene:TanjilG_25528 transcript:OIV94466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARAGGITNAVNVGIAVQADWENREFISHISLNVRRLFDFLVQFEATTKSKLASLNEKLDVLERRLEQLEVQVANASANPSLFAT >OIV94107 pep chromosome:LupAngTanjil_v1.0:LG17:13935468:13947779:-1 gene:TanjilG_05487 transcript:OIV94107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTLIALINRIQRACTALGDHGGDAFPTLWEALPSVAVVGGQSSGKSSVLESIVGRDFLPRGSALVRKEIEDETDRVTGKSNKISNTPIHLSIYSPYVVNLTLVDLPGLTKVAVEGQPESIVQDIESMIFSYVEKPNCIILAITPANQDVATSDAVKVARQVDPSGERTFGVLTKLDLMDKGTNALDVLEGRSYRLQNPWVGIVNRSQADINRKVDMISARQRESEFFATSPDYAHIASRMGSEYLAKLLSKHLESVIRARIPGIASLINRTIDELEAEMRHLGRPVAIDAGAQLYTILGLCRNFERVFKEHLDGGRPGGDQIYLVFDHQLPAAFRKLPMDRHLSLQNVRKAISESDGYQPHLIAPEQGYRRLVESSLNYFKGPAQASVEAVHFVLKELVRKSIAQTQELKQFPTLQAEIAAAANEALERFREDSKKTTLRLVEMESAYLTVDFFRKLIYEADKGGKNSPEDASSEGHFQRIGSNVLSYVGMVSKTLRNTIPKAVVHCQVREAKQSLLDRFFTQLGAKEARELARLLDEDSSVMERRQQCARRLELYKSARDEIDAVRWSA >OIV93980 pep chromosome:LupAngTanjil_v1.0:LG17:19524224:19525312:1 gene:TanjilG_05683 transcript:OIV93980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSMDAFPAIQDILLEFRAGKMILEGKTVVPDPRKGLVRVATGEEGLVHFQWLDRTQNVVEDVSFVRSS >OIV94821 pep chromosome:LupAngTanjil_v1.0:LG17:1465116:1467575:-1 gene:TanjilG_22018 transcript:OIV94821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSSASSRKNLSKIACNRLQKELVEWQVNPPTGFNHKVSDNLQRWVIEVTGAPGTLYTNETYQLQVDFPENYPMEAPQVIFLNPAPMHPHIYSNGHICLDILYDSWSPAMTVSSICISILSMLSSSTVKQRPEDNDRYVRNCRNGRSPKETRWWFHDDKV >OIV93837 pep chromosome:LupAngTanjil_v1.0:LG17:20811045:20811512:1 gene:TanjilG_03800 transcript:OIV93837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKTSSSLALFLTLNVLFFAFVTSCGTCTSPSPKPKHKSHYGSGGSGGSGGSGGSGGSGGSGGSGGSSASCPRDALKLGVCANVLNGLLNVTLGQPPVTPCCTLIQGLADVEAAVCLCTALKANILGINLNIPISLSLLLNVCSKDAPKSFQCA >OIV93946 pep chromosome:LupAngTanjil_v1.0:LG17:18466883:18475343:-1 gene:TanjilG_05649 transcript:OIV93946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPSTTTTSFLSPTQRYAAAALFSLAVHEAQFHQTQLIALPASDGILNEERTSSSSSSSDSVSEDPDLWVHHNSGLLRPVFMFLDIDSSAWPGLEETAGAISGTHHVGPFMRLLSEEYDDGSSQRLDQELALLKAVDGILQGMEEDSESAKSKREKFHEFKDQYREKFAAPDVKPIAGTQPEGQTDNPFLECEDLHHGPINSKIDEEPIEEVMMLSDQRKVTVLYELLSACLSDIGEDNKKYTRRRKGYDARIRVALRLLATWLDIKWMKMEAIETMVACSAMAFIKEQESNNEEIRPKESKWAKWKRGGIIGAAAITGGTLLAVTGGLAAPAIAAGLGALAPTLGTLIPVIGASGFAAAAGAAGTIAGSVAVAASFGAAGAGLTGSKMARRVGGVDEFEFKPIGENHNQGRLAVEILISGFVFEKEDFIRPWEGKDDNLERYALQWESKNLIAVSTAIQDWLTSRLAMELMKRGAMMTVLSTLLTALAWPAVLLAATDFIDSKWTIAIKRTDKAGRLLADVLLRGLQGNRPVTLVGFSLGARVIFKCLKCLAETENSAELVERVVLLGAPIPIKDENWEAARKMVAGRFVNAYSRNDWMLGVAFRARNLRSSSLILWPRVSDCSSSPLICTFIDPTYDVPGSSLPPPLVPQGVHSGVDAYGPPESSSQYRALNLLTKGLAGIQPIDIPGIQNIDVTDRIEGHSSYLWATQQILDQLQLDEYYPVYNNILCIQ >OIV94941 pep chromosome:LupAngTanjil_v1.0:LG17:529544:538522:-1 gene:TanjilG_22138 transcript:OIV94941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISMGFTFTVPSSNLVLNHHSIFPIFTSIRKQRCSSRGSVTIVNAVASQSENGAVAVTTSEKPNKDIQKIDYGRQYFPLAAVIGQDAIKTALLLGATDPRIGGIAIQGKRGTAKTVMARGLHAVLPPIEVVAGSIANADPTCPEEWEDDLTERLEYDSSGNIKTRIVKSPFVQIPLGVTEDRLIGSVDVEESVKTGTTVFQPGLLAEAHRGVLYVDEINLLDEGISNLLLNVLTEGVNVVEREGISFRHPCRPLLIATYNPDEGAVREHLLDRIAINLSADLPMSFENRVAAVGIATEFQENSSQIFKMVEEETDLAKTQIILAREYLKDVTISTEQLKYLVLEALRGGCQGHRAELYAARVAKCLAALEGREKVYADDLKKAVELVILPRSIVSENPPEQQNQQPPPPPPPPQNQESSEEQNEEEEKEEEQDQLPEEFIFDAEGGLVDEKLLFFAQQAQRRRGKAGRAKNVIFSEDRGRYIKPMLPKGPVKRLAVDATLRAAAPYQKLRRAKDSEGRRKVYVEKTDMRAKRMARKAGALVIFVVDASGSMALNRMQNAKGAALKLLAESYTSRDQVSIIPFRGDAAEVLLPPSRSISMARKRLERLPCGGGSPLAHGLTTAVRVGLNAEKSGDVGRIMIVAITDGRANISLKRSNDPEAAAASDAPKSSQQELKDEILEVAGKIYKAGMSLLVIDTENKFVSTGFAKEIARVAQGKYYYLPNASDAVISSATKEALSALKNS >OIV94999 pep chromosome:LupAngTanjil_v1.0:LG17:147199:150235:-1 gene:TanjilG_22196 transcript:OIV94999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQPMLLPCIIALLLCSCLNALNAHNSSLAIHLNSTIIAGSNSTWKSPSGHFEFGFYPLSSTLFLVGIWFGKIRERTLVWYQSPALETNSLIQLTLEGHLVVTYPNGTVAHNIHNGDSATSAYMQDNANFVIKDSNLRLVWESFSSPTNTILPGQTLKPGQILYSKGNRPSNYSIGNFLLEPQNDGNLVLRAYQWSSPAYWYVSTIASQDVSLVYNATSALLYLVSGTTNIYPLTNSTPTPVEDYYHRATIDENGNFQQFTYHKRNGTKWTRVWRAIDDPCRVEAVCGVFGLCTSPDNNQVKCDCIPGYIPFDQQDVSKGCHPETVINYCAGPSVMNFKLQVIDDTDLQFYPDFSRINNVDLEGCKKSVMDDCNIIAATFNASTSTCAKKRLPLLNARKSSSSKGQKALVKVPNSVESRSRTLEDSKKKHFNVRLFLKVMVAVSATLACLCGALAIYYHPFGQRLIRRKRTLNASGIGINFRQFTFQELHEATNGFSKILGKGSSGKVYHGTLVIDNAELGIAVKKLEKKIEKSEREFMTELKIIGRTHHKNLVRLLGFCHENNHRLLVYEFMPNGALSSLLFAQGQRPPWSQRIDMALGIARGLLYLHEECENQIIHCDIKPQNVLLDANHIAKIADFGLSKLLNKDETKTSTNFRGTIGYIAPEWLRSAPITSKVDIFSFGVMLLEITCCRRHIETSHDDEKGSEDEDLVLSNWVLRCMVARKLELVVEHDSEALNDFKRFEEMVLVGLWCVHPDQALRPSMKHVMQMLEGTVEVGIPPLLYNQMIENQSL >OIV94951 pep chromosome:LupAngTanjil_v1.0:LG17:474113:477910:-1 gene:TanjilG_22148 transcript:OIV94951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCICSKESSSDKDRVDEYEKKENELKKPSVQLVAPNVSNAELNSNDDDGSVPHMAKSYSQIIKSCVPALSLLEDKNNNNNNNPLDVVVKPSVKGQQHRHLTCITLGACERKPMMSRILSVPHGPGGHVDDAWPSWLSSVAGEAIKGWIPRRADSFEKLDQIGQGAYSSVHRARDLETGKIVAMKKVRFSSSDPESVRFMAREIYILRQLDHPNVMKLEGLVTSTTSTSLYLVFEYMEHDLAGLAALPGVKFTEPQIKCYMQQLLCGLEHCHLRGVLHRDIKGSNLLIDNNGNLKIADFGLATVYDPDKKHALTSRVVTLWYRAPELLLGATDYGAGIDLWSVGCILAELLVGKPIMPGRTEVEQMHKIFKLCGSPSEDYWQRTKLPHSTSFKPQHCYRRNIFETFKSFPSPALALVDKLLSIEPEKRGSTTSALKSEFFTTNPMPCDPSSLPKFPPTKEFDARHRNKDEMRKNNDAVKGRGPVSVLRGTGDSKGLRSHEGDLTVLGKSRSRICRVKNRSREEGGSLHHKREATKVPLQNGYTHSNSMMHGTATGTSSTHKITDMSMKTSELRTQSENHVTDFSASSIKKEQGGSGRVMNKTTFST >OIV94298 pep chromosome:LupAngTanjil_v1.0:LG17:7115179:7115607:-1 gene:TanjilG_25667 transcript:OIV94298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRVRTKTVKKSSRQVIERYYSRMTLDFHTNKKVLEEVALIPSKRLRNKIAGFSTHLMKRIQKGPVRGISLKLQEEERERRMDFVPDVSAIITDHIEVDKETLDMLHSLGLNDVPGIVQVDPTPVQPQFAFGRGAGAPPRRY >OIV94130 pep chromosome:LupAngTanjil_v1.0:LG17:11510137:11510439:-1 gene:TanjilG_31555 transcript:OIV94130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENYQNQYGAVPETSSKDPIQMEMEATGDMTGIGGSTQVIAEEYDHKTTTGDSDNSDSDNSGVVGETNNVVVEGNDQKHHKKKGIIEKIKEKLPGTHHHN >OIV94694 pep chromosome:LupAngTanjil_v1.0:LG17:2508115:2510557:-1 gene:TanjilG_25918 transcript:OIV94694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENNCCKSLSKGKNFTPTPPPLPPSPVFCFPKLVMKKNSASLGRSCVSSATKDMWERLFNEGYEADICINTENGGTIYAHSNILGMASPVIRGMLKQANRHDCWRSISISGVPHDAVRAFIRFLYGKEEMEEFVLPLLVLSHVFVVPHLKCECEQKLELGLLTIDNVADVFQLALLCDAPRLNLICHRMILKNFKAVSKSEGWKVMKQSHPALKKELVMSMMEEQNAKSERHRKINDRKTYLQLYEAMEALVHICRDGCRTIGPRDKDFKANQPCKYTACKGLELLVRHFAGCKARVPGGCVHCKRMWQLLELHSRLCADPDFCRVPLCRNFKKRISSQSKKEEIRWKILVEKILRTKGIGIAPCFQPQ >OIV95011 pep chromosome:LupAngTanjil_v1.0:LG17:84847:85119:1 gene:TanjilG_22208 transcript:OIV95011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPACVPGLTPTIVLSNFTKSLYSANLNDSKYTGIGIGKEDNWIVVVLTTNTSQGSFSPATNDHNAANFLSQFPWIYSSLFFLLPNIYYLF >OIV94736 pep chromosome:LupAngTanjil_v1.0:LG17:2359681:2361435:-1 gene:TanjilG_06199 transcript:OIV94736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSRVANDDVSYSSEAAISRWKFAVSRQYQHLLDKSTPHVLHRWIGCFAVACIYVLRVYTVQGFYIVSYGLGIYILNLLIGFLSPQVDPEIHDGPGLPTRGSDEFRPFVRRLPEFKFWYSITKAFCIAFVMTFFSAFDVPVFWPVLLFYWVVLFTLTMRRQISHMIKYRYVPFSFGKQRYNGKRASAESTSLPVD >OIV94174 pep chromosome:LupAngTanjil_v1.0:LG17:10689894:10690136:-1 gene:TanjilG_13791 transcript:OIV94174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENMKIEYGKDKENVKGENFEQKGVPIHSQVQKIKQESSEKIVDRSPWQPEIRPVFRDLNRQVSRSRLGISGRPISVGDS >OIV94698 pep chromosome:LupAngTanjil_v1.0:LG17:2476377:2479181:1 gene:TanjilG_25922 transcript:OIV94698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDRGQETIIRAGSDVSLKKKELLSSAMKRTSEWISSQEIPSDINVQVGEATFSLHKFPLVSKCGYIRKLVSESNDADVSFIGLSDVPGGAEAFELAAKFCYGINFEINVENIAMLRCVAEYLEMTEDHSVGNLVGRADSYLNEVALKTIAGAVSILHISENLVPIAERTKVVSRCIDAIAYISWKESQFCTSARSDNGSEEVMSSIASHQRPVVEWWAEDLTVLRIDIFQRVLIAMMARGFKQYAIGPILMLYAQKSLRGLDIFGNGRKKVELRQEHEKRVVLETIVSLLPRESNAMSVSFLSMLLRASIYVETTIACRLDLEKRMAMQLGQAVLDDLLIPSYSFTGDTLFDVDTVQRIMTNYLESELGNHSVYSADDEYFSPPQSDMDRVGKLIENYLAEIATDRNLPVPKFISVSELIPEQSRPTDDGMYRAIDIYLKAHPALSDMERKKVCSIMDCQKLSREACAHAAQNDRLPVQIVVQVLYYEQQRLRNAMNGNDGESPSSHGKLNVYSTDHNPVSNELSNLRRENQDLKLELVKMKMKLKEIENATLKAGVNNPVVNASPSANKPPLPRKSFISSVSKKLGRLSPFQRADGVTSAKGRTKPNKNRRHSIS >OIV93855 pep chromosome:LupAngTanjil_v1.0:LG17:20297719:20312138:1 gene:TanjilG_13870 transcript:OIV93855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKIIVENEKSDEDDDGIEIVSIGSLYKGPWDKKFWTTSRGKDRYPYPIGYRVIRAHNGNTYKLEIHQGLNGPTFSISSDDGISISGKTPDFAWGEFQKKGCPRTKIWHGKRLSSKMDGLEFFGFKNPFIQRLLRELVADINGIAERSLVSSNFGDGMSRAEHDNCAIDLGTHPDLLLCLERPHITGKRSRHEIKSKSSYREVRPHSQELTCRRASNVKNDKNLGQGSSTTHSGSDEEIGLRNHIGVSSSLQVISPASESNTGISSKNGLLLNPMPLSDDKKVGSIPSKLPSGFINSKNCKTTEINAKLSTEEEPIHGSHDAELKMSSSLKTSDDKILIQSCFEESKGRIGIDLCAPDTLDFEQENTDEVAPSNLDENAYSGTACGITSGDFLNPEHEVFNSNSNPSSDKGDFDSSGQDVAKSMISLLLPQAVPLLRNVSMNKKSIISPADMSPSRVNSKKVQNEVGYKLDVPLFDVVVVAKDEYEEQGEKIHGPNTELSSDTHNSELMKSIVFDSFEYSPCEEDFKTEQAILSSDISEAGRTSFNKGQVPICDLPKGSSMCASGLEFKDSPQDGDASIPDSILPDMSPVDKIISERSNDACSDLKENPVHASFNLMQKELLTAHDFTEGISNAVSDVTPHRMETLAEAQDEGVIKTSKVERKIMSSSKLPKYVYTRRKFRKAAPLQGNCSLEESTKHGKFELGTPPVMHTAEATLHPTETIQMDTSNGKPCEPYDSAHLHVETPQTHSVFLGGQNNLVELNPTSSQNPNPMACENKCSGSKEANYISEPTPDRKQELKNDLGSNVKFVGSYLHPKPVSSLLLNMREGEIHICVLCGLPMDQYRTLFTYKVAVKEPGLGCPSVMAHTSILLPDPKYNFMRDIMMERSGVQLTPDGQCIVLLGSIKTPSCRLNISTACTSACCSEKNALKIVRVEHGYVSVVATLTTVDVVHCILVCEPNRLVSVGESGRLQVWVMNSTWSEKVENSIIPADDSIFPGIVELKRVPKCAHLVVGRNSFGEFSLWDIAKLNCVSNFSASKNPIIEFYPISLFHWQTKGPDFCYLSIEDQANKLLEATNLWHSQQRETCSFSPPDKDVAMWLLASTASEFDSSHSHVSTSSHCNTHRARSWRLALLVNNSISFGSPLDPRATGIGVSGGHGIMSTSDGLVHMWELSRGSKIGTLHRFRDGSVTCVATDDWRGGALGVAGDGAKVRHYKFDVEYMIKKPDCLEHVVMGINGHFPGPTIRAEVGDTLHIVLTNKLHTEGTVIHWHGIRQLGTPWADGTAAISQCAINPGETFHYRFIVDKAGTYFYHGHYGMQRVAGLYGSLIVDLPKGQNESFHYDAQFNLLLSDFYHTTSQQQQLALSSKPLRWIGEPQTLLINGRGQFNCSIAAKFTNTTLPQCHLKSGEECAPQILHVEPNKTYRIRIASTTSLASLNLAISNHKLIVVEADGNYVQPFEVENIDIYSGETYSVLLTTNQNPNKNYWLSIGVRAREPKTPQALTILNYKTISPSIFPSSPPPITPLWNDFDLSKSFTKKIIAKIGTPKPPKKSNHRVLLLNTQNNYNGFTKWSINNVSLTLPTTPYLGSIRFNLNNAFNTKPPPEKFPIDYDIMNPPLNPNTTIGNGVYMFQLGEVVDVILQNANRLNGKGSEIHPWHLHGHDFWVLGYGEGKFKQGDEKKFNLTHAPLRNTAVIFPYGWTALRFRADNPGVWAFHCHIEPHLHMGMGVIFAEGVHKLKKIPTEALTCGLTAKMFLKNGQH >OIV93769 pep chromosome:LupAngTanjil_v1.0:LG17:21086163:21091202:-1 gene:TanjilG_07672 transcript:OIV93769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFINLVNLNNGIVMLIFNTITGEVILPDPKSEKYLSCVGHLDARVNLDAVKRDDIRYYVALSMMASKASYENEAFIKTTVQDKWKMEFVGFFTCRNEYQGKSETQVMIFLDKNEEHDTYVVAFRGTEPFDADDWCSDIDLSWYEIPQVGRIHGGFMKALGLQKNLGWPKEIKQDKSLSPFAYYVVRDILRKSLSENNNAKFIVTGHSLGGALAILFGTILSLHEEKLLLNRLEGIFTFGQPRIGDEDYANYMNNKFKEHSIMYRRFVYCNDIVPRLPYDNKEMMFKHFGICLFFNRRYELEILEEEWNKNYFSLWCVIPMAFNAILELIRSFTIGYQNGPHFKEGWFLFAFRIIGLIFPGLPAHGPQDYLNSTLLGSIEKHLKIE >OIV94811 pep chromosome:LupAngTanjil_v1.0:LG17:1557218:1562736:-1 gene:TanjilG_22008 transcript:OIV94811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSLTNMHMHMPPPTSIFSAYASMTATIMLLRTMTNEFIPQPIRGYLFKAFRYFIKPHSTDLTLIVEESNGIARNQVYDAAQTYLSTKVSPDNERLKVSKSSKEKKLTIRLEKGEKLVDSFNGVFFKWRFICTESDKNSPNDNNNNSNSTRSEKKYFELSFHKKHKEMVLEYYLPFILEKAKEMKDEERVLKMHTLNTSYHHNGKWDSINLEHPSTFETLAMESELKKEVIDDLDRFVKRKEFYKRVGRAWKRGYLLYGPPGTGKSSLIAAMANYLKFDIYDLQLVNIVRDSDLRNLLLATANRSILVIEDIDCTVDLPNRRHGDGRKQSDVQLTLSGLLNFIDGLWSSCGDERIIIFTTNHKERLDPALIRPGRMDKHINMSYCSYEGFKVLASNYLEISSDHHYFGEIKGLIENTQITPAQVAEELMKDDDIETTLEGFMKLLKRKKMEGDVCENDTEDNVNANGNKSKRHKLTLSGLLNFIDGLWSSCGDERIIIFTTNHKERLDPALIRPGRMDKHINMSYCSYEGFKVLASNYLEISSDHHYFGEIKGLIENTQITPAQVAEELMKDDDIETTLEGFMKLLKRKKMEGDVCENDTEDNVNANGNKSKRHKVSSCKLKRGVGIGNRIGAATQRRTRSFGR >OIV94659 pep chromosome:LupAngTanjil_v1.0:LG17:2808323:2809546:1 gene:TanjilG_25883 transcript:OIV94659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLSLKFNHGDEDSTQIMSAKVPISIFNYPFISAITSTTTTTNSPSDFSFSLSTNFPSAPSFKLSYSPTSTSSLPFSLSLKSGLGLFGSPRQSPLLFSVNFSLSPSSYNPVPTFSLHFKPQFGHFSLNKTVLSNPDTLPDPKSFPIDNNNNNGEIGNGFVADGSSSVWRELKLEPFVGRDRNSNTHEVNSDDGGNGSIPERSLVGINKEKCGLSPGVAVMARTHMPVTKGFMLNLRWGLNFPGNSGLKMPYLTVNKIGLERVSEEVKQNVDKQRLDASGTDLQLLKGMCSWMKRDLEIVEKENREMKRVLEDMKMGVSTRNHSHEGRKLSQHSGESSSKFEHWRSNKSGREENEHRQHKGECSSEFDSWRSKKSGREENGQKQPNKSQILASDVESELQKAIMAASS >OIV94218 pep chromosome:LupAngTanjil_v1.0:LG17:9889342:9891942:-1 gene:TanjilG_10646 transcript:OIV94218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEDQEMERFGMDNDFEGGEWIGGEYYYRKRKEKHTQTREDVLYGVFADSEDDDDDYSSRKRRKDRGLSKKQDLTKPVNFVSTGTFMPNQDPDKNSKEQDEKDNYISEDRPGLGLGMGSGSTSGSGLGFNSSYTANGSDKNNEFNENDDDNFLPTVPTAFGKKIKEGAMRREKEREREKLQKKRGQHQNQGQDVDVGKFEKHTKGIGMKLLEKMGYKGGGLGKNEQGIVAPIEARLRAKNTGIGFNDSKETMPLPALQVEKNIPSGTTQSIAGPTKKRSWSKQASSKKKEHESYITAQELLASKQEQDLEVVQKVYDMRGPQVRVITNMSDLNAEEKAKENDIPMPELQHNVGLIVRLAEADIQEIDRKLRRERETALSLKQDKEKLETEAAFKKKQVDSMEEIEGVLDRVEKENSLGTLTLDSLAQSFNELYKRYADNYKLCNLSWIAGSYALPFFIRVFQQWDPLRNPYHGMELVSSWKTLLQEDCLDIWDVSSPYTQLVSEVVLPAVRISGINTWQPRDPEPMLRFLESWEKLLPSSVSTTILDEIVMPKLSSAVDAWEPHRETIPIHTWVHPWLPLLGHKLEGVYQGIRFKLSTVLGAWHPSDGSAYAILSPWKTVFDSASWEQLMLRFIVPKLQLVLQEFQVNPASQNLDQFYWVMNWASAIPIHLMVDMMDKFFFAKWLQVLYHWLCSSPNFEEVTKWYLGWKELIPKELLANESIRYQLNRGLDMMNQAVEGMQVVQPGLKENISYLRVLEQRQFEAQQKAAAYAQHQVAASLGSADGMQSHELTLKEVIEAHAQQHGLLFKLKPGRMHNGHQIYGFGNISIVIDSLNQKVYAQNEDTWSLESLEGLLELHNKSLSKRR >OIV94111 pep chromosome:LupAngTanjil_v1.0:LG17:12617445:12628712:1 gene:TanjilG_29211 transcript:OIV94111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKRCIFWLPNKNRFCANSPLNGSSFCGNHNSRSYEQWIPCPIDPSHSVLEQNLKGHVKRCPLLKQAQSLSLQPFYQKGINAGSDGEEQEEEERLGVDGSRLPIMTVSSEMKRNAVNKMSVTEFCNLIDKIESLHELLCKDIQDSFHMPDVCSLWIKTRVEERKLPFQEKHITQQASIVGNLENIGVFKKSLGRNPSECEEAGEGEEDSVPAVIEFGAGRGYLTQMLADCYGIKRVFLVERKSYKLKADRSLRQNESLMLERLRIDNLTLRCCFPPENRKDNSEPRTAKSNFGGLAIATCCHHLCQWKHYTNKRYFSDLGMTKKEFHAITWFTSWAVDANHGLESDLPDTTDCRSHLQSM >OIV94501 pep chromosome:LupAngTanjil_v1.0:LG17:4852477:4856622:1 gene:TanjilG_25563 transcript:OIV94501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAASALFLLDIKGRILIWRDYRGDVSAVEAERFFTKLIEKEADAQSQDPVVYDNGVTYMFIQHSNVYLMIAARQNCNAASLLFFLHRIVDVFKHYFEELEEESLRDNFVVVYELLDEIMDFGYPQYTEAKILSEFIKTDAYRMEVTQRPPMAVTNAVSWRSEGINYKKNEVFLDVVESVNILVNSNGQIIRSDVVGALKMRTYLSGMPECKLGLNDRVLLEAQGRTTKGKAIDLEDIKFHQCVRLARFENDRTISFIPPDGAFDLMTYRLSTQVKPLIWVEAQVEKHSKSRIEIMVKARSQFKERSTATSVEIELPVPVDATNPNVRTSMGSASYAPEKDALVWKIRSFPGGKEYMLRAEFRLPSITDEEATPERKAPIRVKFEIPYFTVSGIQVRYLKIIEKSGYQALPWVRYITMAGEYELRLI >OIV94128 pep chromosome:LupAngTanjil_v1.0:LG17:11461472:11465977:-1 gene:TanjilG_31553 transcript:OIV94128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVITETTTKVVAENMKWRKPRNQINITETDPNLQTPSLTQSTTCKSTISSLLLSTFFNNNNNNENTTTNTTKNNRNHHHSKKKTNFSAASTFRGLGCTAGAPQEVSVPAMIRSSADWEVNKKKKGRKKKHKSTKNSNIVIIPEGSNSNNNNNNLGYVDFQDVWCGPGIGFSTDAASVDHVVTRKNVSSRGKIDVEKITHREGSSYLGRRPVTPETISFLDTDPDIFAASDSFGPAAPYYRHIQDPSSDDSSDGFAEILLQGGLLMGGRLSRHDRFRGLRLNIDNMTYEQLLDLSERIGYVNAGLKEDEMGCNIRKTKFKFSHDASKNLIDKKCTICQEEYEADDELGKLNCKHSYHVRCIKQWVAQKNFCPVCKQQVVARH >OIV94755 pep chromosome:LupAngTanjil_v1.0:LG17:1988287:1991185:-1 gene:TanjilG_12968 transcript:OIV94755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEAPASETSVTVPQLAEAVTVDGTLTESGISVETNLDSVSNKNNAAEASAPASLELAEELMVNGDKAMKIYDYGDAADNFSRALEIRVARYGELAPECVNTYYKYGCALLYKAQEEADPLAGVPKKEGGSQHGSNEDESVKSSLNADSSTTSVSANAGQDLTSDDQGAASDDLATGKDREEDDEDSDADDLAEADEDDSDLDLAWKMLDVARAIVEKHSGNTIEHVDILSTLADVSLEREDFDTSLSDYQKALSILERLVEPDDRKITDLNFRICLCLEVGSKPEEAITYCQKATSACKAQLQRLKNEAKSFSDSTSAAAELDQDVQTYNISESGKSIADKQGEIETLTGLASELEKKLEDLQQQVLNPKSILAELLGIASAKAGSGKESSVGKAGSSQLATVNCSGGFDSPTISTAASNGSAGVTHLGVIGRGVKRASNASGAEASTLKKPALESADDKGDGKTS >OIV93898 pep chromosome:LupAngTanjil_v1.0:LG17:17648178:17651783:-1 gene:TanjilG_05601 transcript:OIV93898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRHKLSLAYDNAVYSLIGSLVKLVYFTKDDDHIVDSEEGISREKALGKSKGSRNYPVLNGRLNFKKFETSKINDCLQFIKTMKLHLGGNQQQENTGSQTTEIKATGGGSYKFADLFKERLGISLDKEDEMDCLVAGANFLLEVVHQEAFTYMGDQKQFVKIDQNDLYPYLLVNIGSGVGMIKVEGDGKFERVSGTSIGGGTFWGLGKLLTKCKSFDELLELSYRGNNRAVDMLVGDIYGGMDYSKIGLSSTAIASSFGRAISENKELEDYKPEDIARSLLRLISNNIGQISYLNALRFGLKRIFFGGFFIRRHPFTMDTLSVAVNFWSKGEAKAMFLRHEGFLGAVGAFMSSDKHGLKELLSNEVVQETPNKLSFAVDKIQVPIDVELNGDESIECSVYAA >OIV93997 pep chromosome:LupAngTanjil_v1.0:LG17:19996376:20000504:1 gene:TanjilG_05700 transcript:OIV93997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIPQTPNPSPSHLYPHEIQLKLYQAFIFSIPILFTIILVLLFYLFYLKRRASSLSSSPFHILPRRSNPQTTYHYSSSNYRLDYLTVQFLDKLPRVLFDDDLRARDSLCSIIPTTTTKFLSPTDSAQQGGVISGSPSHIILLPPQLEDEASASSNNTHILGE >OIV94990 pep chromosome:LupAngTanjil_v1.0:LG17:182480:187992:-1 gene:TanjilG_22187 transcript:OIV94990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLYSWWWDSHFSPKNSKWLQENLSDMVANVKAMIELIEEDADSFARRAEMYYKKRPELIKLVEELYRAYRALAERYDHATVELRHAHKTMAKAFPSHAHHYMPFDDSPSDAAESHIQGMPSLICESLEPAFEFSPINAEEFEGGGSRKGLKQNLKSRSYSEYEHAGRAESEVQTLRKALAKMQSDKDGIFLQYQKSLEKLSEMERDLIKPQNNGGGLDEQASNSEIEIKILKEALAELKAKKDEFARMEAEKDVGLLQYNKSLEKISVLEAKITLSEENSRMLNEQIERVEVEVKALRVSLAQLSEEKGSEAVHYHQCLEKISKMDTEILCVQENSKRLNREVEKGAEKLKNAEKQCDMLEESNQSLQLEAENLVQKLAMKDRELLEKYAEIDRLQTQKREEHSYFLETESTLQNLQKLHSQSQQEQRTLALELKYGLHLLKDLELSKQGFKEEMQEIVEENMTLHELNISSTRSLKKLQMEISKLKAIKGILEREPALNAEESNALKHETHQINDDIQDLNHKYQAMLEQLKTLGLNPECFAAYVKDLQNENTKLKEVSKMERDEKKSLCEKLKDIDQLLVEIPFMEFSLHNLNDELDGLKATVKKFKESRQVLQEEKSIVVDEKSTLLPQLQIITEIMPGLLEKNTLLEKSLSDAVNELESWKAKSRSFEESCKLLNDEKCNILNERSILVSQLGSVEERLGDLEKMFIKLEEKYSDVEKGKDNTDNKVEELHAATLVQEEHANHKHSTEARLTNLENLVNAMQEEQWFGKTEFEEELDKAVNAQLERFILQSFVEDLEQRNLALLIECEEHAEASEFSDKVISELEGENLMQQLEVELLLHEIRKFKKGIHQMCGVLKIGRDGEHDKGTKQEEIPILHILHNIEDLKISLVKSQEENQQLLLENSVLLNSLSQHQSQGEKLESEKRALVQDFENTSEKNEKLQKDKVKLVGINMQLRSSVTNGEEKENILKSELSAIHVELTHLRTTNQVFQEEKSKLLEEKKSLLKSVLDLKDALSTAEDYNSAILHEVLALSYVNLVYEGIITEKVVEQKALSEHLSLINSDLKQELLVLSNKLEMKETQNANLNESIERMDKELKEAKNANSYLNHQIENSENLVMKKETELFEMEKWQKTADMLNAELCRSSEKLKMEDQESRLVREKLEKRIFELSEKCMNHKNEIEQHRIREEALNTQLLGRTLWESKVNELTGVCKRLDDESAAKSSVIEEMTERVKLLESEIEAYIPVITSLKEEFASLEHTSRLWTNKTCDVGNGEQKDVITETCIEEKGEHKSKLIPDVISYLLSIQVRIRAVKNVMMHKIKGGVKDENRSAIIETGTLTEVAEDSNLEVTAYDNRKKVMELTEVDACRKSSENWLLKKDIPAHHNSDDPESKYCKREHTEIDNLILELCENSHSGSAQTELNNSERWKNSSSELEVEKELGVDKLKLWKTKTKTSGDGETRILERIASGGQKLAILNMTVEDLNDKKPMKKKRRHGDKSNDIEHETFMKQIESVAEAVKQLAYINDQLMKDIEAITPPSSMVEQARQGSEQIGRLQFEVQNIQYILLKWADEKNSKGKNRLWRPSSVFLRDSIHIMKKKNSIRHGKNCLYGGCSRPSTNED >OIV94123 pep chromosome:LupAngTanjil_v1.0:LG17:12182882:12183274:-1 gene:TanjilG_29223 transcript:OIV94123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALEWVVLGYAAAAEAIMVILLTIPGLDALRKGLVAVTRNLLKPFLSVVPFCLFLLMDIYWKYETRPSCEGDSCTPTEHLRHQKSIMKSQRNALLIASALLFYWLLYSVTNLVVKIEQLNQRVERLKNRD >OIV94825 pep chromosome:LupAngTanjil_v1.0:LG17:1428819:1431024:-1 gene:TanjilG_22022 transcript:OIV94825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLLKTQTIYNVELEPLHMAVLLFIVPLILLLVLGLLSRIRRRLPYPPGPKGLPIIGNMLMMDQLTHRGLANIAKKYGGIFHLRMGFLHMVTISDAEAARQVLQVQDNIFSNRPATIAISYLTYDRADMAFAHYGPFWRQMRKLCVMKLFSRKRAESWQSVRDEVDTVVRTVVANTGNPVNIGELVFNLTKNIIYRSAFGSSSQEGQDEFIGILQEFSKLFGAFNIADFIPYLGGIDPQGLSTRLVKARGSLDSFIDKIVDEHIVKKKNKSENVCGGDEETDMVDELLAFYSEEAGVNQFDGPPHTSINLTKDNIKAIIMDVMFGGTETVASAIEWTMAELMRSPHDLKIVQQELTDVVGLARRVEEPDFDKLTYLKCVIKETLRLHPPIPLLLHETAEDTAVNGYFVPKKSRVMINAWAIGRDKNCWDEPEVFKPARFLKLGVADFKGSNFEFIPFGSGRRSCPGMQLGLYALESAVAHLLHCFTWELPDGMKAIEMDMSDVFGLTAPLASRLIAVPTKRVVCPLF >OIV93830 pep chromosome:LupAngTanjil_v1.0:LG17:20745150:20747558:1 gene:TanjilG_03793 transcript:OIV93830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENPLPPPPSSGNEGGDPFATWYGNIDYLLNISAIGALCCLLIFLFVKLRSDHRRMPGPAAVFTKLLAVWHATGREIARHCGADAAQFLLIEGGSCAILLSIAALALLVLLPVNLYAGNAILDDQFSNTTINHIEKGSALLWIHFLFAVVVVVLVHFGISAAEERLRITRFRDGYGNLSDPTVNSTAIFTIMVQGLPKIIGADRALLQEYFQYRYHGKVYKVIVPMDLCALDDLATELLRVRDEILWLVARIDSRLLPDDGEDDGNVQGTSRGLWGWVVYCWRWCKDIFSDIMARFGYTDEEKLRKLQELRAELETELAAYKEGRAPGAGVAFVIFKDVYTANRAVQDFQNEKRRRIGQFFSLMELRLRRNQWKVERAPLASDIYWKNMGTPKLSLKLRRVFVNTCLLLLLLFFSSPLAVISAVKNAGRIFNAEAMDNAQLWLAWVQSSSWLASLIFQFLPNVIIFVSMYIIIPSALSYFSKFERHLTVSGEQRAALLKLVCFFLVNLILLRGLVESSLESTILKMGQCYLDGQDCKRIEQYMSASFLSKSCLSSLAFLITSTFLGISYDLLAPIPWIKRKIQKFRKNDMLQLVPEQSEEYPLEHQDIDSLQRPLMHDSAYDTSNGDNLEGQDLFVYPITGSSPAPKQTFDFAQYYAFNLTIFALTLIYCSFAPLVVPVGAVYFGYRYVVDKYNFLFIYRVRGFPSGNDGRLVDTVICIMRFCVDLFLLAMLLFFSVHGDSTKLQAIFTLVLLVVYKLLPSSNDSFRSTLLEGIQTVDNVVDGPIDYEVFSQPRFDWDTSQG >OIV94270 pep chromosome:LupAngTanjil_v1.0:LG17:8099264:8108578:1 gene:TanjilG_00019 transcript:OIV94270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVSVGSQAQEGAWSSLRFLTRRKQVDSSRRKTQGLLAKELSILHLIAVGVGSTIGAGVYVLVGTVALEHTGPALPISFFIAGIAAGLSAFCYAELASRCPSAGSAYHYSYICLGEGVAWLIGWSLLLEYTIGSAAVARGVSPNMAALFGGPNSLPFFLSRQHIPGIDVVVDPCAAILVFIVAGLLCWGIKESTVVQGVVTLVNVCALLFVVAAGGYMGFKSGWNGYGLPSGYLPYGVNGVVAGAATVFFAYIGFDAIASTAEEVKNPQRDLPLGIGGALFLCCGLYMIISVVVVGLVPYYAINPDTPISSAFAEHGMQWAAYIINVGAFTALCSALMGGILPQPRILMAMARDGLLPQFFSDINKHTRVPVKSTIATGLAAAVLAFFMEVSELAGMVSVGTLLAFTMVAVSVLILRYIPPDKVPLPPSLEDSIATVSMRYSLSSAEANVGTSEDTKPLLAKEGFSITYPLIAKHLAIDLCEGNRRRVVGWIIALTCLGAVFLTYAASGLNLFSSVRFALYGVGSILLLSGLVFLTCIDQDDARHNFGHSGGFLCPFVPLLPIACILINSYLLINLGVSTWLRVSVWLAAGLIVYVFYGRTHSSLKDAIYVPATHVEEIYQIKS >OIV94928 pep chromosome:LupAngTanjil_v1.0:LG17:634851:638363:-1 gene:TanjilG_22125 transcript:OIV94928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSGEGKAKKEENLGEKLRVLVGKSKGTCTPLPYWKTLWEINKDQHHHHHHQQQHQLLPSTVSARNLAAALWEFHQLFQMHHHRGGGGGGGGDPRLHRHHYNLHKDKAIVAPEISNFLDDASPSSPDHHDHPASANSLRRHVAASLMQHNRVIARNNHALQPVSPASYGSSMEMTPYNPAATPTSSMEFKGRFGESRYGLKTSTELLKVLNRIWSLEEQHASNISLIKALKNELDHARIRVKELLQDRQADRHEMDDLMKQIGEDKLVRKCKEQDRIHAAVQSVRDELEDERKLRKRSESIHRKLARELSEVKSSFTIALNELEQERTRRKLMEDLCDEFARGINEYEQEVHVLKQKSDKDWDQGADHDRLILHISESWLDERMQMQLDATHNGILDKKSIVDKLSLEIETFLKAKQNSKNIENLVLTNCRNSLESVPLNDAVSAPRDVGDDDDDDSVGSDSNCFELNKPSHRESKLLEEAPLDNNFDEKLKSNHEKKKPVAQEGLRHRSPSNLQVKFEKHMAWAMSSKLNKKSQLIDAEEGKTTDTKPIEETVFEKSESCEIRESNTSELQSSNKNHIADNLIRGKFLTSDSVDVHAENNYGEASCSNAGWRNQASPLKHRITRLTTQDLDMSEASKVPPGSKDNTLKSKLLEARSKGQRSRLKALKGSY >OIV94328 pep chromosome:LupAngTanjil_v1.0:LG17:6367946:6371289:-1 gene:TanjilG_18293 transcript:OIV94328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKGSWFAAIKRVFTHHSKEKVVNDSDNRSTKEKKKGKLRHGETNSFIPLFREPSSIEKIFGEFEREQQILTIKPPTPPERPKTPPFVPPRAASPRAPSPRAASPRAPSMRPTSPKAASSRIVHRPKEVVYRPEPTLKNQHASATKLQAAFRGYAARRSFRALKGLVRLQGVVRGQNVKRQTVNAMKHMQLLVRVQSQIQSRRIQMLENQARYQAEFKNDNASTIGKLSEAHENWDDSLLTKEEIEARLQRKVEAILKRERAMAFAYSHQLWKGTPKSNQTPVTDMRPGGFPWWWNWLERQLPAAANPQERQILKNFHLTPPRPYSEQKTSPRPTSSTPMQHHFVFDNMDTPTPKSTKSTIVTSSKPARTPPYRTPQANSSKYSRPRAIAAHSPFDVPLKDDESLTSCPPFSVPNFMAPTASATAKVRANSNPRERFGGTPTSESSKRRSSFPLSQGIGSFKWTKGSLFSNKDSSSKRVPDKYNSLESIGNMSVDSTVSMPAAMGRKPFTRFV >OIV93841 pep chromosome:LupAngTanjil_v1.0:LG17:20845390:20846373:-1 gene:TanjilG_03804 transcript:OIV93841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTGTQPLPCKNPVGDHLLLRSFKNKVDYCRLQGCDVFYSNVYLHPKMDSYWAKLPLIRSAMMAHPEVEWIWWMDADAVVTDMEFKLPLESYKDHNLVVHGWDNMVYGDNENKSWTGLNTGSYLVRNCQWSMDLLQEWSKMGPLTSEYEKWGKILTTMFKDKPFPLPDDQSSLIYLLYKERTKWGKKTYLEGGYELECYWVAMLGRFEEIIFGYNDLEEDVSSLRRRHAEKLSKYYGELREPHLKKRGWVPLSKGKRPFVTHFTGCQPCSGNHNPSYKGETCWKEMEKALNFGDDQVLRKYGFIRKNLSTSYVHEVPFDYPWSNFLR >OIV94054 pep chromosome:LupAngTanjil_v1.0:LG17:14759646:14760308:1 gene:TanjilG_14301 transcript:OIV94054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQSPTVKPLAPYISSSPYNTPEHEIETIFDKETNRLRRFTLCCGCITALLLICVVIVIVLAFTVYNANDPEIKLNRMTLLNGSFTKDSPYDITLVADISMKNTNYFTFKSRESTTTIYYDGIDIGEGITPPGKAKARRTVRFNVTLKILGNKLMNIPTIYSDIRDQVLNFSSYTRIDGNVKILNMVKKRIVVELNCTTEYNITAGITSDNNCFTNVDT >OIV94087 pep chromosome:LupAngTanjil_v1.0:LG17:13549366:13554008:1 gene:TanjilG_05467 transcript:OIV94087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKEGPCYHCGVTSTPLWRNGPPEKPVLCNACGSRWRTKGTLANYTPLHARAETDSDDQRVSRVKSLSLNKNKEVKVLKRKAGQNDYAVSGKFDPDYNQGSRKIVDEDTSNRSSSGSAVSNSESCVQFGGTYASDLTGPAQSVVWEATVPSKKRTCGGRPKPSSVEKLTKDLYAILHEQQSYFSASSEEDLIFESETPMVSVEIGHGSILIRHPSTIAHEEESEASSLSVDNKQCQMSEAYSCSGSIPVDKSPLERLQFLGNHDSPLGLINLDDIANYEQYMNNLTNDEQQQLLKYLPEVDSAELPNSLKIMFDSSQFKENLTYFQQLLGEGVFDIFMFRAKPEDCKTLKRFALSNLLKSKWVEQYNFLKRCKDRAGKSVAMGSPGMASSNVVNVKRLRDGQNKKLPELKKTMKSPKSMIIKAGCEGKEVAEDGSCFGAKSLFALPHDHSSHMMDSLNFDYENSDQDLLLDVPSNSSFPQAELLHPAPSFGAQAGCSSSSIYSHVAHP >OIV94845 pep chromosome:LupAngTanjil_v1.0:LG17:1253120:1253629:-1 gene:TanjilG_22042 transcript:OIV94845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSLYFPSSLSSVNVSGNAMAPPPCRVRSSPMVAFATATATATATSAADARSTWTDQPRSSSYVNPSCMSSASLYDILGIPASASNQEIKAAYRRLARVCHPDVAEIGQKTSSADEFMQIHAAYSTLSDPEKRASYDRSLFRSRQRPLSAASVFSGYTGRNWETDQCW >OIV94247 pep chromosome:LupAngTanjil_v1.0:LG17:9270899:9276381:1 gene:TanjilG_00098 transcript:OIV94247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDVKSSTVMANRDKDRELLIPVAGSADDDGAASSKPSSSSSSSMHHAGRETFSKVIRSWASKKFMTGCVILFPIAITFYITWWFIHFVDGFFSPIYAQLGINIFGLGFITSMTFIFVVGVFMSSWLGTSVLGLGEWFIKRMPLVRHIYSASKQISAAISPDQNSQAFKEVAIIRHPRVGEYAFGFITSSVVLQNYSGDEELCCVYVPTNHLYIGDIFLVNTVDVIRPNLSVREGIEIVVSGGMSMPQILSTLDQHGALDISRPQRR >OIV93894 pep chromosome:LupAngTanjil_v1.0:LG17:17612573:17617386:1 gene:TanjilG_05597 transcript:OIV93894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKFFFVSLLGLLLAAHGVLGSMMCDNIAMLQELESLDIEEENEVELSEIPSWKSERGGKVLVNVDSFGAAGNGESDDTEAFRKAWNVSCSTPKSVFLVPQGRIYLINATKFIGPCAGNIIIQIDGKVVAPDEPKNWDPKLPRVWLVFSKLDKAVFQGSGVIDGSGTKCIGSLGKDNSTGIVSKVILDTAVFKDTTNGVRIKTWQTSAVEISEIMYQNISGTTKSAKAIKFDCSDTFPCSNLVLSNVNLEKLDGTVETYCHSAQGFGYGVVHPSAECLNSNDQISQIKASITQDIIYHTEL >OIV94776 pep chromosome:LupAngTanjil_v1.0:LG17:1807877:1811401:1 gene:TanjilG_12989 transcript:OIV94776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGAGCVPSKKKTQFVTGDSPRATTGPFRNAPIPLDDSHHTSNAIQETASSNSVAAKLKIFIVFYSMYGHVEGLAKKLKKGVDGVEGVEGVLYRVPETLPIEVLEQMKAPPKDDAIPEITAAKLAEADGLLFGFPTRYGSMAAQMKGFFDSTGQLWKEQKLAGKPAGFFVSTGTQGGGQETTAQTTKLSLNVAAKLKIFIVFYSMYGHVEGLAKKLKKGVDGVEGVEGVLYRVPETLPIEVLEQMKAPPKDDAIPEITAAKLAEADGLLFGFPTRYGSMAAQMKGFFDSTGQLWKEQKLAGKPAGFFVSTGTQGGGQETTAWTAITQLAHHGMLYVPVGYTFGPGMFKMDSIRGGSPYGAGVFAGDGTREPSETELALAEHQGKYMALVVQKLGA >OIV94017 pep chromosome:LupAngTanjil_v1.0:LG17:15468980:15469497:1 gene:TanjilG_25013 transcript:OIV94017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVLVFDGEGDTYWLLICIEKHFDAQAILEAKQLLKAMKVLRDHPRKWRTWWGVEYEDDGLKNRNQARGEDGVLTELGLHTKKGIRVDMQEVNNLVEEAKEGDDVAMLTISRKQF >OIV93777 pep chromosome:LupAngTanjil_v1.0:LG17:21161786:21163072:-1 gene:TanjilG_07680 transcript:OIV93777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKEIIVEVLMVTLPFQGHLKPMLNLGKHLVSKGVHVTLATTEEGRHRMKQNIQNSKVQFEFFSDGLSLDFDRSNGDTYIKSLNVQGSKNLSTLLTSLTKVHNYFCVIVNPFVLFAIDVITHHGIPCAMLWIQASALYSIYYHYYKNIDSFPNLEDSKEKVHLPSFPAFDVRDLPSFMLPSGPPHYNVYMNDLFQAFDKLKWVLGTSFYEIEEEILKSMDSVKSIYPIGPLVSPFLLGEKETYDFRADMWNAEDSCIEWLDSKPPSSVIYVSFGSITVLSQQHMNNLATALKNSNKSFLWVIRPHDSNNASELPREILEDTKGRGLVVKWSSQDKVLMHPVVACFISHCGWNSTMEAMVTGVPIICYPDWTDQRTNAMLIENVFQNGVNLKRGEDMVASTEEIERCIREVMEGSSALKIKKRAMEIKE >OIV93975 pep chromosome:LupAngTanjil_v1.0:LG17:19392184:19401901:-1 gene:TanjilG_05678 transcript:OIV93975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERENGDACKRFPCHGGKSLLTVAAIRKKHLQKEMNVNGTSSSCSGRNSKRNGFQEQLLTEDYASNNVFRDFDFLPSGPKNYVPYCPENQGPTKRKKVSKSAIVSHPDCNMEVPVKKHGRGKGLMTVWRAANPDAGDLPIGYSLSDLEVRLTSNSILQKPLSDNKRSRKTVTTNGESNQYVSQIQPPKEKCDLALDSAISTEVVNRISMLIDDEELELRELQAGNDLLMCSDHLATSGMFGCSLCKDVLVKFPPDTVKMKKPICLQPWDSSPEIVKKLFKDSMLLGKIHVALLTVLLSDIEVELTNGFSPHLNKSCNFLALLHSVESQECSLNFWRRSLNPLSWIEILRQVLVASGFGSKQRALHRETLSKELNLLVNYGLRPATLKGELFKILLERGNDGCKVSELAKSMQYTFFYITNETQLCFDIVKIAELDLVSTTEELESLIYSTLSSDVTLFEKISSSAYRLRMSTVTKDSDECESDMDDFGSVDDELNDSDSCSSGDDFASDSRISNKRKLKVHTEIDESHPGEVWLLGLMDSEYSDLNIEEKLNALVALTDLLSSGSSIRMKDPMNVTADCNSSIPLCGSGAKIKRSVVKKPGPFCNQTAQMQHVKDAHCIFHPSPVDSSSLNSNVNNHEGSSEQGIDSSGSHPIQSLFLGSDRRYNRYWLFLGPCNADDPGHWRVYFESSEDGHWEVIDTAEALCALVSVLDDRGKREAFLIESLERRQAPLCRSMSRVKVNCTGIRCMSSSDQSEQDMVTEDSYSPISDVDNLNLTETAQDSLSSAGAVVIAAGKKGEEQVQKWLRIQEYDSWIWSSFYLDLNVVKYGRRSYLDSLARCKSCHDLYWRDERHCKICHMTFELDFDLEERYAVHIATCKEKEDNNIFPNQKVLSSQIQSLKAAIYAIESVMPEDALVGAWRKSAHKLWVKRLRRTSTLVELMQVLADFVGAINKDWLFKCQFPDGVVEEIIASFASMPHTSSALALWLVKLDVIIAPYLDRVHPQKKQGISKS >OIV94711 pep chromosome:LupAngTanjil_v1.0:LG17:2097442:2112318:1 gene:TanjilG_06174 transcript:OIV94711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWTTLQHLDLRHVGRSGKPLQPHAASFHPHQALVAVAIGTYIVEFDALTGSKISALDIGAPVVRMSYSPTSGHTVIAILQDCTLRSCDFDSEQTCVLHSPEKKSDQVSSDAEVHLALTPLQTVAFFGFHKRMSVTVVGTVEGGRAPTKIKTDLKKPIVNLACHPRLPVLYVAYADGLIRAYNIHTYAVHYTLQLDNTIKLNGAGAFAFHPTLEWIFVGDRRGTLLAWDVSTERPNMIGITQVGSQPIASVAWLPILRLLVTLSRDGNLQVWKTRVIANPNRPVLANFFEPAAIGSIDIPRILSQQGGEAVYPLPRIKALEFHPKSNLAALVFANVTSGETSKNKTTHNRERRKQLFAVLQSARGSSASVLKEKLAALGSSGVLADHQLQAQIQEHHMKGKGQCLRCHSEARPPNCWLKLPYGPHFMEGQAKSAPISRLPLITVLDSKHHLKDIPVIQPFHLELNFFSKENRVLHYPVRAFYVEGPNLMAFNIASGLESIYKKLYNSIPGNVEYQAKYLIYSKKQHLFLVVFEFSGATNEVVLYRENTVAEIANSKSSTVKGRDAAFIGPNENQFAILDDDKTGLAVYILPGGASQDPKDNEKLLFEENQPTETNAGSIRGPTPFMFETEVDHSTLIFACHGNQIGLVKLIEGYRLSTSISTSDGHYISTKGEGKKSMKLKRDEIVLQVHWQETPRGYVAGILTTHRVLIVSAALDILACTSTTFDKGLPSISLEFVLYKIKEPFIHIRVSLLGWDGKVRTILSISMPCAVLVGALNDRLLVACPTEINPKQKKGVDVKSCLVGLLEPLLIGLATMQETFKQKLDLSEILYQITSRTDTVSAYLNEGLTACAQHQGLWTSLLEVMRGVYAVQALRFPTALSVLKDEFLRSRDYPRCPSTSHLFHQFRQLGYACIRFGQFDRAKETFEVIADYESMLDLFICHLNPSAMRRLAQKLEEEDLDSELRRHCERILRVRSTGWTQGIFANFAAESMVPKGPEWGGGNWEIKTPTTAKDIPQWELDAEVTPYMKTDDGVIPSIILDHIGVYLGSIKGRGNTVEVREDSLVKSFIPTGSDIKANDLEVSSVKPISNQLKGADNPKGDSLMGLESLSKQPASSSANEQAKMAEEFKKSMYGSADGSSSDEEGVTKTKKIQVRIRDKPISSSTVDVNKIKEAASKFKLEGLALSRTRSSATSASQDLGKSLSPLSAPTGMAAPAVSTSGDLFGIDAFTQPQPVLHPSSGIVSGGVKAGPIPEDFFQNTVPSLQVAASLPPAGTYLSRFTAGAESSNVSPSQVSASEANVGLRGDVLPQAAQQHVAPNESIGFPDGGVPPQTSTQVAGMPQSQPHAPISSQPLDLSVLGVPNSADSGKVPQAGSPPSSLRPGQVPRGATAAVCFKTGLVHLELNHLADALSCFDESFLSLAKEQSRGNDIKAQATICAQYKIAVTLLQEIGRLQRVHGPSAISAKDEMARLSRHLGSLPLLAKHRINCIRTAIKRNMEVQNYAYSKQMLELLLSKAPQSKQEEFRSLVDLCIQRGLNNKSIDPLEDPSQFCAATLSRLSTIGYDVCDLCGSKFSAVNAAGCIICGMGSIKRSDALTGPVPSPFG >OIV94923 pep chromosome:LupAngTanjil_v1.0:LG17:670564:677845:1 gene:TanjilG_22120 transcript:OIV94923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVPSAHVVSAFDGAGNPVSPSLVNTYRINAVAERLASHIQQRGNRCEPYEIYHLCLSLSRGIDYALANGEIPDRAQELPALMQQICQRKNDEQVHAAAMVLMISVKNACEIGWFGSKEAQELLKCADEMGKIYCSLGNVNTGVTSCHSALQTIMESSNKIPICGFPSRFYPRMKLGRILASMEAKPGYGACPVDFHITKNTVRKEKIWLLVAQTDNIETSACLISPQQVNFLLNGKGVDRRTTVLMDPGPQMPTNVTGMLKFGTNLLQAVGQFNGNYVILIAYMSVTSSVEHPVLQHYVQPAVTSVDSDSDIIEGHSQISLNCPISFTRIKTPVKGRSCKHFQCFDFDNFINISSRKPSWRCPHCNQSVNYADIRLDRNMVDVLKDVGDSVHEVIVHADGSWKAVLENDHNKDKMQNKAHNCEKEQTEPQESMCSPNIVSNVLDLTEDDDQMEMMMNTVGTADRKPFQTSVHGQVVTPNSTSLGMNSTGVNQNVFPQIEDDFWSGLVSVSEHPTLPDTVSPALNLEGDGHDNNLAVNSVMHNQLSSPNNLQLHLNYNSVANEYGRSIPSHISRTPIAVQALPVQQPQALRPQQNSRTYSNPLISSSSTASPHVPLSSPPTADSFNAILSDTERQQRFSRPPMNPPQVSGVNSSALQHHSATQNRVPHFNTPSPTQLQNPYRAGMFGEFGNQHLQQALNPQSLNPTRPSNMQRFQSQQGVSRPGIVQASGATANSHQARVIAAAQAARQSPPISVPIDSFRGLTGDQRGNVGGPPRSVSRTDDLINLQSEQNWRPTAPMRGSLAGKQISDDVRQRIITPTQPSQSSRPQGPQPFRQTQPVQGSRPQGTQPIRQTQPVQGSRPQGPQPIRPTLPVQSSRPQGIQPVRPTLPVQSSRPQSTQPVRPTLPAQSSRPQGPQPVRPTEVSPQLDVLVANNRNANNQSSNK >OIV94379 pep chromosome:LupAngTanjil_v1.0:LG17:3465992:3470931:1 gene:TanjilG_25441 transcript:OIV94379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIWFGNSGMAASEGSNDSRDLDQTPTWAVAAVSTVFILISITLEKSLHKIGTWLGHKQKMALLEALEKVKAELMILGFISLLLTFGQSYIVRICIHANVAAKMLPCPYRDQNTDNEFGNEEEHRRKVLSYQRRYLAADTTSYKCTNEGHVPLLSVNGLHQLHILIFFLAIIHVLYSAVTMLLGRLKIRGWKAWEEETLTHGYECSTDTSRFRLTHETSFVKAHASFWTRISMFFYIGCFFRQFYRSVGKVDFQTLRNGFIAVHLAPGSKFNFQKYIKRSLEDDFKVVVGVSPVHWASVVVFLLLNVNGWQTRLWATLIPVAIILAVGTKLQAILTKMALEITERHAVVQGIPLVQASDRYFWFGQPQLVLHFIHFALFQYSFGLRNCFRVDYKLAVLEVALGIIMVCLCSYITLPLYALVTQMGSSLKKSIFDEQTSKALKKWHMTVKKKHGKVKLGKSTVRSMDMDGSTIGSTTLHSSGPTLHRFKTIGHSTRTSTYEDEDEDEYQSDIEFSPISTSMNLIVKVDHGHEQQGEENREEANIEGEKRTMT >OIV93774 pep chromosome:LupAngTanjil_v1.0:LG17:21139876:21140204:-1 gene:TanjilG_07677 transcript:OIV93774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEQAKENDTMNAQDTMERKVETMDYRSSAGKQGQEERNVKVIHQSHSKSDGGGVGLLASAIATVSSTIQASKDAISKK >OIV94034 pep chromosome:LupAngTanjil_v1.0:LG17:14246152:14247009:-1 gene:TanjilG_14281 transcript:OIV94034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLDLGTVSRYAQNLHRENLHHHQHQHQDSEEQDHHNHHHRGAGADAFSTEEDDKSHGLELGSASGSGDVIGRRPRGRPPGSKNKAKPPVIITRESANTLRAHILEVSSGADVFDCVATYARRRQRGICILSGNGTVTNVSLRQPSAAGAVVTLHGRFEILSLSGSFLPPPAPPGATSLTIYLAGGQGQVVGGSVVGELMAAGPVIVIAASFTNVAYERLPLEEDEQQLQMLPPISQGSDGGVGNNPFPDPSSGLPFFNLPLNMQNVQMPVNGWAGNSAPRPPF >OIV94424 pep chromosome:LupAngTanjil_v1.0:LG17:3917416:3918852:-1 gene:TanjilG_25486 transcript:OIV94424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHHHDPNPFEEEEVNPFSNGATAPGSKSRNQPLASEVQLGFGQRHDTTVDIPLDTTNDSKKKGKELAAWETDLKRREKEIKRREETVTRADVPVDDKNWPPFFPIIHHDIANEIPVHAQRLQYSAFASWLGIVA >OIV94735 pep chromosome:LupAngTanjil_v1.0:LG17:2356758:2358356:1 gene:TanjilG_06198 transcript:OIV94735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAVFNKELLSWYLITLKLKETLELGIPSSPNSGIQLTEFPEKQLQKLPQEPSLKITINENGEILQDEGTSTESEWVISIKEKLEQARGDDVTSSWDKLSIFKIPHYLRDSSSDDNAYVPQVVSLGPYHHGKRFLRQMDRHKWRSLTHVLKRTNHHIGLYLDSMKEVEEKARSCYEGTISLSCNEFVEMLVLDGCFVLELFRGATEGFAQLGYSRNDPVFAMRGLMHSIQRDMIMLENQLPLFVLDRLLGIQLGNPHRKGLVANLALRFFDPLMPTDEPLTKSDRYKLESSLRNKTITTTFDPLSDQGGLHCLDVFRRSLLRRGPQPEPRVWIKRWSHTHRVADKRRQQLIHCVTELKEAGIKFKKRKTDRFWNITFKDGVLKMPRLLIHDGTKSLFLNLIAFEQCHLDCSNDITSYVIFMDNLINSPADVGYLHYHGIIEHWLGSDAEVADLFNKLCQEVVFDINDSYLSPLSEAVNRYYNHRWNTWCASLRHNYFHNPWAIISFVAAVVLLLLTSAQTYYNVYGYYRPAQ >OIV93896 pep chromosome:LupAngTanjil_v1.0:LG17:17637641:17640001:1 gene:TanjilG_05599 transcript:OIV93896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLTFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIANKA >OIV94645 pep chromosome:LupAngTanjil_v1.0:LG17:2918098:2920584:-1 gene:TanjilG_25869 transcript:OIV94645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPLSMINEDLAEIDGQITDIFRALSNGFQKLEKIKDPSRQNRQLEDLTDKLRDCKRLIKEFDKEVKASEGRLDKETNKMLNEKKHSQIKELNSYVALKKRYATNIENKRIELFEGPDQGYGEDNVLLASGMTSEQLMDRGNHMMNETDQAIERGKKVVQETINVGTETAATLKAQTEQMSRVVNELDSIHFSMKKASQLVKELGRQIATDKCFMALLSLIVIGIIAIIIIKIVNPNNTDIRDIPGLAPPVMTRKLLWNQVEELERKL >OIV94139 pep chromosome:LupAngTanjil_v1.0:LG17:11686205:11690181:1 gene:TanjilG_31564 transcript:OIV94139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTEHITSIEPEKESLLNKHTENHFTAGDVVRDIIIGVSDGLTVPFALAAGLSGANATSTIVLTAGIAEVAAGAISMGLGGHLAAKSEADHYARELKREQDEIVAVPDTEAAEVAEILAQYGIEEHEYRPVVNSLRKNPEAWLDFMMKFELGLEKPDPRRALYSAMTIAIAYILGGIVPLLPYMFIPSAAEAVVFSVVVTLIALLIFGFAKGYFTGNKPFRSAIETALIGAIASAAAFGLAKAFHS >OIV94153 pep chromosome:LupAngTanjil_v1.0:LG17:11895403:11899188:-1 gene:TanjilG_31578 transcript:OIV94153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSQNSPTEPPHFHAPLLRSRLDATSRAPILALLLGGRRRGPSMMVRETAARELEERRADWGYSRPVVALDMTWNMAFVVVSAVMLGCTFGEKPNAPIRFWICGYAVQCLVHVVLVWLEYRRRNGSGSRRLRDEEIGSEDDVNDSEDDDDIGGGSFRNFSRSGFTKRCESLNTMVSFLWWIVGFYWVVSSGDILMQDAPRLYWLAVVFLAFDVFFAIFCVVLACLIGIALCCCLPCIIAILYAFAGQDGASESDLSVLPKYKFQISSNEEKFSEGGGSMVPVETGSGYLANERTLLPEDAECCICLCNYEDGAELHALPCNHHFHSTCIVKWLKMNATCPLCKYNILKGNEQV >OIV93920 pep chromosome:LupAngTanjil_v1.0:LG17:17950681:17953059:1 gene:TanjilG_05623 transcript:OIV93920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFFHRAKAVRLRSHHDKYLHADEDEESVILDRNGSSKNAKWIVESVPEYDNIIRLKSCYGSYLTASNMPFLLGATGRKVLQTLPSRLDSSLEWEPVRDGAQVRLKTRYGNFLRGNGGLPPWRNSVTHDVPHRTSQDWVLWDVDVVEIHVDVSADVKKPAALPHSDSLDSEPYTPSAVSINSNTFSRQESTNSSVCTPPKMEGRTIYYHVAEDNGEVDDENVQGYSLIFKGNGVEELTRKFEEETGIKDVIVCTRSPLNGKLYPLRLQLPPNNVMMQVVLVLPSSKGEFSHFLKTLIAGAEFSNLLASHADSTQYVEVNC >OIV93811 pep chromosome:LupAngTanjil_v1.0:LG17:20577990:20579689:-1 gene:TanjilG_03774 transcript:OIV93811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RVGDAVTLFMKMEEVGVSPNVVTYNNVIDGLCKSGRLGEAFQFKEKMVKNKVNPTLITYSVLINGLMKSEKFDEGSMSEALKIRDNMLIKGIQPNSVTFNTLLQGFCRSNQMEQALQVLGYLLSSGLSVNQDACSYVINWLCNKSRFDSALNIVTKLLSRSIKTSDSLLTPLVCGLCKCDKHSDAIKLWFALADKGLASNTVTSNALLHGLCERGNVEEVFAVLKEMLERGLVLDRISYNTLIFGCCKWGEIEKAFKLKEEMVEREFQPDMYTYNFLMKWFAERGKIDNINQLLHEVKELGLVPNVYTYALMLEGYCKADRIEDAVNIFNKLVYEKVELTPVIYNILIAAYCRVGNVKEALKIRDSMKSWGVLPTCATYSSLIHGMCYIGRVDEAKEFFEEMRNEGLLPNVFSYTALIGGYSKLGQMDEVRSILLEMSSNSIQPNKITYTIMIDSYCKLGNVKEATKLLNEMIANGIEPDTITYNAFQNLHHKEKKQQQASQCDHMSNTGMPLDEITYTTLVQRLHPHTDLSNQE >OIV93968 pep chromosome:LupAngTanjil_v1.0:LG17:19169920:19171922:-1 gene:TanjilG_05671 transcript:OIV93968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLEIEQLCVQLSKIAISGTHGEDSPYFAGWKAYDENPYDELTNPSGVIQMGLAENQVSFDLLEKYLEEHSEAATWGKGGPGFRENALFQDYHGLKSFRTAMASFMEQIRGGRAKFDSERVVLTAGATAANELLTFIIANPGDALLVPTPSYPGFDRDLRWRTGVNIVPIHCDSSNNFQITPQALEAAFKEAEAMNTKVRGVLITNPSNPIGATIQRKVLEEILDFVNSKNIHLVSDEIYSGSVFSSSEFLSVAEILEARGYKNAERVHIVYSLSKDLGLPGFRVGTIYSYNDKVVTTARRMSSFTLISSQTQQLLASMLSDKKFTEKYIKTNRERLRKRYQMIIEGLRSVGIECLKGNAGLFCWMNLSPFLLKNNKATMEGELELWNAIVHELKLNISPGSSCHCSEPGWFRVCFANMSEHTLEVALERIRTFSLAWKEKGTKYIENKN >OIV94051 pep chromosome:LupAngTanjil_v1.0:LG17:14722461:14728782:1 gene:TanjilG_14298 transcript:OIV94051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSITVPSSLYSSSPFTLFNSHKLSSSINFSKQTQAFLLSSHRNLSLPYNLTSTSKRGLPSVCFFNARDKSDTKFQDKEWPILRRWEVPWPWQTVSLTSLACGLGFVLAGLVEATIIPYLGIRPDQLSLDEKAELLFVDQGITTAVVLGVIFATANTFQPLPEDLFKYDLREPFNLQKGWLLWAGIGLVGALIAISLTGVVLSFFNGEAPQRETDALVSLLPLIGSSSVSTACLVGITGVLAPLLEETVFRGFFMTSLTKWVPTPVAVIISAAVFALAHFTPGEFPQLFVLGAALGISYAQTHNLLTPITIHALWNSGVILFLTFLQLQGYDIKEILQTV >OIV94806 pep chromosome:LupAngTanjil_v1.0:LG17:1593029:1593928:1 gene:TanjilG_22003 transcript:OIV94806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDLMTSSFLSYVELKKQAQKDIEKDYFDIEAGQLNPTQDPNLSQFFTEVDGIKVEMEEITNLLFDLRQLNEETKSTHSAKVLRGLRDRMESDMVAVLRKAKIVKARLEALDKSNIANQSISESYKEGTPIYRTRMSVTNGLRVKLRDMMNDFHSLRDKILSEHKEDLKRRYYTATGEVPSEEAMEKMISGSLRVEFLAGKTEADMGTQVRHEAVMDIQRSLNKLHQVFLDMAILVETQGEKVDNIEDNVVKAGNFIHGGTNSLYFANQMKNRSKKWVLWVCAVVLIILIVCIIAMLSS >OIV94613 pep chromosome:LupAngTanjil_v1.0:LG17:3140514:3142710:1 gene:TanjilG_25837 transcript:OIV94613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEQNGGEDNRELYALLNLSPEASDEEIRKAYRQWAQVYHPDKYQDFHMKDIVTENFQRICEAYEILSDSNKRQIYDIYGMEGLNSYSGFELGLRLDKAEEIKAELERSKKMKKRQKLLTHFQSSGTILANMSVPHFLDGDGLFRGNLSSYSAATMGIAMSLRDGSLNLSNLWTRQLSETASGHIQIALRPQSSIAVGWQKKDEKRLLLEK >OIV94620 pep chromosome:LupAngTanjil_v1.0:LG17:3084350:3088004:1 gene:TanjilG_25844 transcript:OIV94620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEGGKSFARRDRLREIESKVQTWWEEKDIFRSEPGDKPPEPGEKFFGNFPFPYMNGYLHLGHAFSLSKLEFAAAFHRLRGANVLLPFAFHCTGMPIKASADKLAREIQKFGNPPVFPGESEEQPEEKKDDNSSNESAPDKFKGKKSKAAAKSGGQVYQWEIMRSVGISDSDIAKFQDPYEWLKFFPPLAVEDLKAFGLGCDWRRSFITTDLNPYFDSFVGWQMRKLKSLGKVVKDVRYTIFSPLDGQPCADHDRASGEGVLPQEYTIIKMELLKPFPEKFKVLEGKKVFFAAATLRPETMYGQTNAWVLPDGKYGAFEINETEVFVMAHRAALNLTYQNHSRVSEKPSCLLEVTGHDLIGLPLKSPLSLNEVIYALPMLSILMDKGTGVVTSVPSDAPDDYMALHDLKSKPAFRAKFGVKDEWVLPFEILPIIDVPPFGNKCAERVCLDMKIKSQNEKEKLAEAKRQTYLKGFTDGTMIVGEYAGRKVQEAKPLVRSKLLETGQAIVYSEPEKRVMSRSGDECVVALTDQWYITYGESEWRKLAEECLSSMSLYSDETRHGFEHTLSWLNQWACSRSFGLGTRIPWDEQFLVESLSDSTIYMAYYTIAHHLQNGDMYGRQESAIKPQQLTDDVWDYIFCDGPYPTSTDISSSILDKMKQEFEYWYPFDLRVSGKDLIQNHLTFSIYNHTAIMPKHHWPRGFRCNGHIMLNSEKMSKSTGNFRTLRQAIEEFSADATRFSLADAGDGVDDANFVFETANAAILRLTKEIAWYEEILAAESSMRTGPPSTYADRVFANEINIAVKTTEQNYSNYLFREALKTGFYDLQAARDEYRFSCGVGGYNHDLVWRFMDAQTRLLAPICPHYAEFIWRELLKKDGFVVNAGWPTADSPDLSLKSANKYLQESIVLMRKLLQKQLSGSKKGNKKGAPVPSVKVTKGLIYVNEQFDGWKAECLNILRNKFDKETRTFAPDSEIVEAIEKNSSIGKNPYRPFLKYRKDEAIKLGAEALDLKLPFGEIDVLAENLDLIKRQLGLDDVEILSAADSDSLARAGPLASLLNQNPPSPGHPTAIFLTLAAE >OIV94155 pep chromosome:LupAngTanjil_v1.0:LG17:11239117:11239545:1 gene:TanjilG_03605 transcript:OIV94155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLMKYGNGQCCNVTNHLKWNKTPQHVQNYAASFSSHLPNAYLYNFKRRNATYNGSNIMIRNNNPMGVSGSCSMPMIANEPKEQKTLKLFPEKHAFFPSGESNKGDTATTYGYGFQMLTNGKNTSMASIGNEEVDLELRLGY >OIV94800 pep chromosome:LupAngTanjil_v1.0:LG17:1624333:1624771:1 gene:TanjilG_21997 transcript:OIV94800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSWTPRQNKIFEEALAIYDRETPDRWQNIARVVGKPVEEIKRHYEILKEDIKRIERGQVPFPYTDSS >OIV94061 pep chromosome:LupAngTanjil_v1.0:LG17:13019124:13021609:1 gene:TanjilG_05441 transcript:OIV94061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSEKAVMVLAIDEHEHSSYALGWTLDRFFTPFGSDAPFKLVLVNAKSSPPVAVSMAGPGALGTEFFPTVEVQLKQLADQITEKARQICASKLVHEVAVEVIEGDARNVLCDAVERHQASVLVLGSHGYGAIKRAVIGSVSDHCAHHARCSVMIVKKPKIKR >OIV94015 pep chromosome:LupAngTanjil_v1.0:LG17:15411010:15414692:-1 gene:TanjilG_25011 transcript:OIV94015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAQCEILPYFFSPDAFMNDLAFTFCGTSYVSMFNIDIHGGILLGPSVLGRNKSYLNAVFPSKSITVLDTLANIGLLFFLFLVGLELDLKALRRTGKTALGIALAGISLPFVIGVGTSFVLRATISQGVSEAPFLVFMGVALSITAFPVLARILAELKLLTTDVGRMAMSAAAVNDMAAWILLALAIALSSSGRSPLVSLWVLLCGSGFVLGCIVILPYIFNWMAQRCPEGEPVNELYVTATLAIVLAAGFVTDTIGIHALFGAFVVGVLVPKEGPFASALVEKVEDLVSGLFLPLYFVSSGLKTNVATISGLKSWGLLVLVIFNACFGKIAGTIVVSLLCKIPLQESLALAFLMNTKGLVELIVLNIGKDRKVLNDQTFAIMVLMAIFTTFITTPLVIAVYKPAKRMTKSDYKYKTIQRKDMNTQLQLLVCFHSTRNVPSLLNLIEASRGIGKREGLSLYALHLMELTERPSAILMVQKTKMNGLPFWNKGGHYSNANQIVIAFEAYEQLSRVSIRPMTTISPFSNIHEDICTSAEDKQSAMIILPFHKHPRVDGTWENTRVEFRSINRRVLDHAPCSVGILVDRGLGGSTHVAASNVASAITMLFFGGRDDQEALAYALRMAEHPGINLTVVRFYLASSEDVGEIVSVPINDNPSEPKNVSEDEIFLSEVKQKIANNSSIKLEEKGVNNYNEIVDVVRGYGGCNLFLVGRISEGQVAVTLNSVTCECRELGPVCNMLTFPEFSTSASVLVVQQYHSQRNLNRASSTRVDVYSEEDLENNSY >OIV94370 pep chromosome:LupAngTanjil_v1.0:LG17:3385175:3387087:1 gene:TanjilG_25432 transcript:OIV94370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLPTNGSTGENQLKFLIYGRTGWIGGLLGKLCEAQGITYVYGTGRLENRSSLEADIAEVKPSHVFNAAGVTGRPNVDWCESHKVETITTNVVGTLTLADVCRSKGLILINYATGCIFEYDSLHTLGSGVGFKEEDTPNFIGSFYSKTKAMVEDLLHNYENVCTLRVRMPISSDLSNPRNFITKITRYDKVVDIPNSMTILDELLPISLEMAKRNLTGIWNFTNPGVVSHNEILQLYKDYVDPNFAWKNFTLEEQAKVIVAPRSNNELDASKLKNEFPELLSIKESLIKYVFEPNQIEVKA >OIV94214 pep chromosome:LupAngTanjil_v1.0:LG17:9844336:9848568:1 gene:TanjilG_10642 transcript:OIV94214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGTATFPLSSSPSPSSATPSKLNTFIILQRFSFPSSSFLNHPHLIHSKPIKKTTLSPCSSRNSLRSSAFALDEASLTPKTDNNNSNNSFAPLPKIDKSGRFCSPRAARELALSIIYASCLEGLDPVRLFEKRMNARREPGYKFDEGTLLEYNPMNFGGPPITVESVEEENELLQKIEAESAIEAEVLAAPPKLVYNKLILRFARKLLVAVKDRWDSHVTVINEIVPEKWKNEPAGRILELSILHLAMSELTVLETRHQIVINEAVDLAKRFCDGAAPRVINGCLRTFVRGLELGASDNGSS >OIV94169 pep chromosome:LupAngTanjil_v1.0:LG17:10597478:10600923:-1 gene:TanjilG_13786 transcript:OIV94169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTVAATVFRSAAATPHKPPILTGRMPPYGSSFARFPSNFRFTVKQQLHSSVFLPRQGHRRRSLVVRAAASSPESFESSSNKIAPLQLESPIGQFLSQILKDHPHLVPAAVDQQLEQLQTDRDADQQKQDPSASSTDLVLYRRIAEVKANERRKAVEEILYALVVQKFMDANISLIPFISPDPSGRIDSWPTEDRKLEQIHSHEAYEMIQNHLNLILGNRIGDSTSVAQISKLRVGQLYAASVMYGYFLKRVDQRFQLEKTMKVLPSAAEDESSARQNAMDDTRPSGAGGVSHVMSHPEVSTWPGGDVSPGGFGYGIKPTRLRNYVMSFDSETLQRYATIRSKEAVGIIEKHTEALFGRPEIVITPEGTVDPSKDENIKISFGGLKRLVLEAVTFGSFLWDVESYVESRYHFVLN >OIV93915 pep chromosome:LupAngTanjil_v1.0:LG17:17864714:17866366:1 gene:TanjilG_05618 transcript:OIV93915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKLRWGELEEDDGEDLDFLLPPKQVIGPDDNGIKKIIEYKFDDEGNKVKVTTITRTRKLANARLSKRAIQRRSWPKFGDAVHEDVGSRLTMVSTEEILLERPKPLGAKTEEPQAAGDPFQRGAVLMVCRTCGKKGDHWTSRCPYKDLAQPSEGFVDKPPASDAATGAAGATKGSYVPPSMRAGAERTTGSDMRRRNDENSVRVTNLSEDTREPDLLELFRPFGAVSRVYVAIDQKTGMSRGFGFVNFVSREDAQRAINKLNGYGYDNLILRVEWATPRTN >OIV94517 pep chromosome:LupAngTanjil_v1.0:LG17:5049962:5050455:1 gene:TanjilG_25579 transcript:OIV94517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTANCVRKTLQAASSSTRTLFSRQSSPSASYASKFNSLPSSPKVSTQKRSLSFSWLPVQLASVQVSLTPLHSVTASALFTSLLSLHNSNWGCLSEGSFLISS >OIV94135 pep chromosome:LupAngTanjil_v1.0:LG17:11640403:11641356:1 gene:TanjilG_31560 transcript:OIV94135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDVVAKNEEEEFNTGPLSVLMMSVKNNTQVLINCRNNKKLLGRVRAFDRHCNMVLENVREMWTEVPKTGKGKKKAQPVNKDRFISKMFLRGDSVIIVLRNPK >OIV94599 pep chromosome:LupAngTanjil_v1.0:LG17:3271732:3272418:1 gene:TanjilG_06625 transcript:OIV94599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRMHSSGKGMSASALPYKRTQPSWLKTSSQDVEENICKFAKKGLTPSQIGVILRDSHGIAQVRSVTGNKILRILKAYGLAPEIPEDLYHLIKKAVSIRKHLERNRKDKDSKFRLILVESRIHRLARYYKKTKKLPPVWK >OIV94987 pep chromosome:LupAngTanjil_v1.0:LG17:205781:212348:-1 gene:TanjilG_22184 transcript:OIV94987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSILIASNLNYLLILILLLSNKEHFCHYFRSNIECLGGASNKVHPYSSYKGATTNDFTDLTCPHTWYPLARRKHRKVILHVGPTNSGKTHHAMKKLESSASGLYCGPLRLLAWEIANRMNKAKVPCDLITGQERDEIDGAKHKAVTVEMADMLGCNKRGYSFTRALLGIAADELHLCGDPAAVPLIQEILKIQFYERLSPLVPLNVPLGSFSNKKIEIHGKHLCSVVYGSLPPETRTRQASMFNDESSEFDVLVASDAIGMGLNLNISRIIFSTMKKFDGFETRDLTVPEIKQIAGRAGRYGSIFPVGEVTCIDAEDLPLLHSSLNAPSPILEHAGLLPSYDLLYMYSRLHPNNGFYQVLEHFIDNAKLSANYFIVNCDQLLKVAAVIDDFPLRLQEKYLFCISPVDMDDEISSQGLIQFAENYAKKGLVQLREIFTPGTLTVPKTPAALKELESIHKVLDLYVWLSYRLEESFPDRELAASQKAICNMLIEEFLERLGWQKPIASRLPSRKMSRLSQHMRRYL >OIV94970 pep chromosome:LupAngTanjil_v1.0:LG17:327478:342087:1 gene:TanjilG_22167 transcript:OIV94970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDAYEGQEPPVPPTVRFARRTSSGRYVSYSRDDLDSEHESGDFMNYTVHIPPTPDNQPMDPSISQKVEEIYVSNSLFTGGFNSVTRAHLMDKIIESEANHPQIAGAKGSSCAVLGCDSKVMSDERGVDILPCECDFKICRDCYLDAIKMGGGACLGCKEPYKNAELDVDDDHSLSLPLPSGISKMERRMSKMKSTNKSALMRSQTGELDHNRNLFETKGTYGYGNALWPKEGGFGNGKQGEVAEPNEFMDKPWRPLTRKLKIPAAILSPYRLVIFIRLIVLGLFLAWRVMHKNTDALWLWGMSVVCEIWFAFSWILDQLPKLCPVNRATDLNILKEKFETPTLSNPTGKSDLPGIDIFVSTADPDKEPPLVTANTILSILAADYPVEKLSCYVSDDGGALLTFEAMAEAASFANQWVPFCRKHDIEPRNPESYFNLKKDPYKNKVKLDFVKDRRRLKREYDEFKVRINSLPDSIRRRSDAYHSREEINAMKLQRQTREDEPIETAKIPKATWMADGTHWPGTWFSPTTDHSKGDHAGIIQVMLKPPSHQPLLGSADDAKLIDLTDIDIRLPLLVYVSREKRPGYDHNKKAGAMNALVRASAVMSNGPFILNLDCDHYIYNSKAMREGMCFMMDRGGDRLCYVQFPQRFEGIDPSDRYANHNTVFFDVNMRALDGLQGPFYVGTGCLFRRVALYGFDPPRSKEHHSGFCSCCFGRKKKHESLASTPEENRALRMGDDEEEEEEMNLSSFPKKFGNSTCLIDSIPVAEFQGRPLADHPIVKNGRPPGALTLPRDLLDASTVAEAISVISCWYEDKTEWGQRVGWIYGSVTEDVVTGYRMHNRGWKSVYCVTKRDAFRGSAPINLTDRLHQVLRWATGSVEIFFSRNNAILASPRMKLLQRVAYLNVGLYPFTSFFLIVYCFLPALSLFSGKFIVQTLNITFLSYLLGITVTLCILAVLEIKWSGIELEEWWRNEQFWLIGGTSAHLAAVLQGLLKIIAGIEISFTLTSKSGADDIDDEFADLYVVKWSSLMIPPITIILVNLIAIAVGVGRTIYSAIPQWSRLLGGVFFSFWVLTHLYPFAKGLMGRRARIPTIVYVWSGLIAITISLLWVAINPPPGTIWFIRGEIEFQETSSTPFKEHIVVSTMLSELLGKCLYDDYNQKQENKIWCIIHYFQRISHDMPKGIVSFERKVLPFEGDPVHISYPNANFWSTSVIPLCKFEVHCSGLMEDQSSEAVEVDFANKYLGGGALHRGCVQEEIRFMVSPELIAGMLFLPSMADNEAIEIVGVERYASSFRFSGDYVDKKDIDIHGRRKTRIVAIDALCSPGTRQYRESFILRFFSAAASTSMETSEENISNHIMEQGNNIGIATGNWGCGAFGGDPEMKTIIQWLAASQALRPFMAYYTFGLGALHNLHQVSDWILSEKWTVGELWNMLIEYSKLRSKGETNVGFFKWLLPSVYNHGHVGMDLS >OIV94119 pep chromosome:LupAngTanjil_v1.0:LG17:12243591:12245172:-1 gene:TanjilG_29219 transcript:OIV94119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICLVSRSGRELQRYNNMGGRQVVGCIPYRYKEDMDGNMSNEIELLVVSSQKGQALMFPKGGWEIDESLEEAACRESLEEAGVIGIVECTLGQWNFISKRYGIYYEGHMFPLFVKEQLDQWPEKNLRRRIWMTVAQAREACQHWWMKEALDILVKRLMSPQQWKDISIS >OIV93931 pep chromosome:LupAngTanjil_v1.0:LG17:18206854:18210705:-1 gene:TanjilG_05634 transcript:OIV93931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSVSYDVPWVEKYRPSKVVDIVGNEDAVSRLQVIARDGNMPNLILSGPPGTGKTTSILALAHELLGPNCKEAVLELNASDDRGIDVVRNKIKMFAQKKVTLPPGRHKVVILDEADSMTSGAQQALRRTMEIYSNSTRFALACNTSSKIIEPIQSRCAIVRFSRLSDQEILGRLMVVVQAEKVPYVPEGLEAIIFTADGDMRQALNNLQATFSGFQFVNQANVFKVCDQPHPLHVKNMVRNVIDGNFDGACLGLKQLYDLGYSPTDIITTLFRIIKNYDMAEYLKLEFMKEAGFAHMRILDGVGSYLQMCGLLAKLSLVRETAKAA >OIV94830 pep chromosome:LupAngTanjil_v1.0:LG17:1372771:1380068:-1 gene:TanjilG_22027 transcript:OIV94830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVEGEEAMQQGHVERIFVSVRLRPLNDRELAKNDVSEWECINDTTIICRNNLTASDRSLYPIAYSFDRVFRGDCSTRQVYEEAAKEVAFSVVSGINSSIFAYGQTSSGKTYAMSGITEYAVADIYNYIEKHREREFVMKFSAIEIYNESVRDLLSEDSTPLRLLDDPERGTVIEKLIEETLRDWNHFTELIALCETQRQIGDTPLNNASSRSHQILRLTIQSSALEFQGSDKSSSLLASVGSHINRSLLTLGTVIRKLSRGRNGHIPFRDSKLTRILQSSLGGNARTAIICTMSPARSHVEQSRNTLLFASCAKEVVTNAQVNVVVSDKALVKQLEKEVSRLENELRNSSISRPISDSTALLREKDLQIEMEWGHWNFATFEHAFLVAAKMNSVVPPLKCMQLKKEVQEVTRKLDWAQSQMKGTTQVVEDDLPHIRESMDLHYPKLSARKTWDFENQSENLNVLSDGEDSIGSFHASQHSDGDSFSSDENLFQLPDLEKNLSTRSASMGLSIANMDALSNDLDQKNVDDQHEEEEHCKEVKCNESKGLITKSHTHSNQTDLSPKDRWTDSNTPPPAATTAYSGLTVVDNGVKKDLNLSYSELKEDKVYTLNYNTNPERKSLDRYTNFVDHSQNVESSTRKETERNGPKGKAQENKSLNLMADHEHMYLPKACRGRNGHIPFRDSKLTRILQSSLGGNARTAIICTMSPARSHVEQSRNTLLFASCAKEVVTNAQVNVVVSDKALVKQLEKEVSRLENELRNSSISRPISDSTALLREKDLQIEMEWGHWNFATFEHAFLVAAKMNSVVPPLKCMQLKKEVQEVTRKLDWAQSQMKGTTQVVEDDLPHIRESMDLHYPKLSARKTWDFENQSENLNVLSDGEDSIGSFHASQHSDGDSFSSDENLFQLPDLEKNLSTRSASMGLSIANMDALSNDLDQKNVDDQHEEEEHCKEVKCNESKGLITKSHTHSNQTDLSPKDRWTDSNTPPPAATTAYSGLTVVDNGVKKDLNLSYSELKEDKVYTLNYNTNPERKSLDRYTNFVDHSQNVESSTRKETERNGPKGKAQENKSLNLMADHEITEKGTNPIIATKKFKDVGLDPMQVEGENHSNWPSEFKRLQREIVELWDANNISLIHRTYFFLLFKGDPSDYIYMEVELRRLSYIKQTFSQGNKIAESGRTLTPGSSIKKLKRERRMLRKQIRRRLSKSERENMYLKWGLRSSSKHKRSQLVQRLWSDTKDMHQVGESAAIVAKLVGPVEPNQALKEMFGLNFAPRPTSKKSTGCTASMKHIC >OIV93766 pep chromosome:LupAngTanjil_v1.0:LG17:21054494:21054754:-1 gene:TanjilG_07669 transcript:OIV93766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANFTQIFFIFVLMFLSHELLHIEARNLRQSFESQNASSIMDETKSAFATSPSQTNHGTRRMMGEVSAFRPTTPGNSPGVGHSINN >OIV93969 pep chromosome:LupAngTanjil_v1.0:LG17:19210933:19213869:1 gene:TanjilG_05672 transcript:OIV93969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGESVTVIFDFDQTIIDDDSDLWVISELGLTHLFNQFRSTLPWTSLMDRMLKEVHSQGIAINHIADCLKRAYFHPSIISAIKSAHALRCDLKIISDANTFYIKTILEHHGIWDCFSEVNTNPAFVDEEERLRITPFHDSTLSPHSCHLCPPNMCKGLVIDRIRGSLPENKRRFIYIGDGQGDYCPTLKLEGSDFVMPRKDYPLSNRIFSDPKLVNAEVHEWSSGEEFESILLKLINKLAIQIKM >OIV93988 pep chromosome:LupAngTanjil_v1.0:LG17:19697438:19697746:-1 gene:TanjilG_05691 transcript:OIV93988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENNNAATVEENNNVNGGIISKDTIDQVATWVGSTVSSAFFSSLERFSCVNVSTSDPDNDDDDDDGDYSTTTSITPTTTTTTSPPVVQVNGHNTSDISNLPV >OIV94671 pep chromosome:LupAngTanjil_v1.0:LG17:2702986:2706650:-1 gene:TanjilG_25895 transcript:OIV94671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLLLFLLLLHFYHTFSHSKPISQYRALLSLKSSFIDSTPSVLSSWNTSTDHCSFSGVTCDYRRHVISLNLAGLSLSGTLSGDVAYLPFLSNLSLADNKFYGSVPPQLSALSGLRFLNLSNNFFNGTFPSELSVLKNLEVLDLYNNNMTGELPLAVTEMVNLRHLHLGGNFFSGQIPPEYGRWERIIYLAVSGNELDGNIPPEIGNLTSLQELYIGYYNTYAGGIPPEIGNLSELVRLDAAYCGLSGEIPAEIGKLQKLDTLFLQVNALSGTLTPELGNLKSLKSMDLSNNMLYGEIPESFKELKNITLMNLFRNKLHGAIPEFIGELPSLEVVQLWENNFTGRIPEGLGQNGKLTLVDLSSNKLTGTLPPDMCNGNRLQTLIALANFLFGPIPESLGRCESLNRIRMGDNFLNGSIPKGLFGLPKLTQVELQGNYLTGNFPEEDPVSVNLGQITLSNNNLSGPLPPTIGNFSSVQKLLLDGNRFYGPIPPQIGKLQQLSKIDVSNNMLSGPIAPEISRCKLLTFIDLSRNELSGEIPKEITGMRILNYLNISRNHLVGTIPVSISSMQSLTSVDFSYNNLSGLVPGTGQFSYFNYTSFLGNPDLCGPYLVPCKGGGANGAQPHVKGPLSSSFKLLLVIGLLVCSIAFAVAAIFKARSLKKASDARAWKLTAFQRLDFTVDDVLDCLKEDNIIGKGGAGIVYKGAMPNGDHVAVKRLPAMSRGSSHDHGFNAEIQTLGRIRHRHIVRLLGFCSNHETNLLVYEYMPNGSLGEILHGKKGGHLRWDTRYNVAVEAAKGLCYLHHDCSPLILHRDVKSNNILLDSNYEAHVADFGLAKFLQDSGTSECMSAIAGSYGYIAPEYAYTLKVDEKSDVYSFGVVLLELITGRKPVGEFGDGVDIVQWVRRMTDSNKEGVLKVLDARLPSVPLDEVMHVFYVAMLCVEEQAVERPTMREVVQILTEFPKPPGSKQGDLTITESSLSSSNALESPTAASKENQQPSQSPPPDLLSI >OIV93758 pep chromosome:LupAngTanjil_v1.0:LG17:21002497:21008700:-1 gene:TanjilG_07661 transcript:OIV93758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTKLISGTSLQLFRRYDHRPESVRAQLLDDDGPAYIQVFIHVLRDIHKEDTVEYVLALIDELLAANPKRARLFHHSTLADEDTYEPFLRLLWKGNWFIQEKSCKILALILSARPKNQNGIVSNGEASNSKKPFSTIDDALIGLVKWLCEQLKKPSHPNRGVPTAINCLSTILKEPVVRSSFVQADGVKLLVPLISPASNQQSIQLLYETCLCIWLLSYYEPAIEYLATSRALPRLIDVVKSSTKEKVVRVVVLTLKNLLSKGTLGAQMVDFQLPQVVQSLKAQAWSDEDLLEALNSLEEGLKDNIKKLSSFDKYKQEVLLGHLDWSPMHKDRLFWRENINNFEENDFQILRVLITILDTSSDPRTLSVACFDLSQFIQHHPSGKLIVADLKAKERVMKLMNHENAEVTKNALLCIQRLLLGSKYASFLQA >OIV94040 pep chromosome:LupAngTanjil_v1.0:LG17:14411948:14413184:1 gene:TanjilG_14287 transcript:OIV94040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVVYFLFALLALASSIAFCYDPSPLQDFCVAINDTRSGVFVNGKFCKDPKLATAEDFFFPGLGPGNTLNPLGSKVTPVTVNEILGLNTLGISLARIDFAPKGLNPPHTHPRGTEILVVVEGTLYVGFVTSNQDNNRLFTKVLNKGDVFVFPIGLIHFQQNVGYGNAVAIAALSSQNPGVITIANAVFGSYPPISDEVLAKAFQVDKNLIDYLQKQFWSNNS >OIV94724 pep chromosome:LupAngTanjil_v1.0:LG17:2248440:2249096:-1 gene:TanjilG_06187 transcript:OIV94724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLDILKTSASDCNSMDMMAMMMQTDKFPPHELLSDPFYNTTTLTYPENDYFSGAINSNVAPPPTSSNFGNNPLMTTPSFIPNHSFPSEKKNSMAAMREMIFRMAVMQPIQIDPESVKPPKRRNVKISKDPQSVAARHRRERISEKIRILQRIVPGGTKMDTASMLDEAIHYVKFLKKQVKTLEQAGASRAVNVVGLPMCNTDLNLNYSALVKGCKPC >OIV93944 pep chromosome:LupAngTanjil_v1.0:LG17:18445259:18447912:1 gene:TanjilG_05647 transcript:OIV93944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEGREGDWECSSCNNRNYAFRSFCNRCKQPRLLVDTKTPSDSKWLPRIGDWICTGCTNNNYASREKCKKCGQPKEVAAMPAMAMTAASFPNYLNYFARASGGPEHKMNIGLIGNGAPSHSFHLNSNWPVTGTDKYGVHPVSVWLPGGNYSSGVPYENSPNQNPSVPNGWRSGDWICNCGFHNYSSRSKCKKCNAFPPALGTKRLASEDLVYDLDKKRLNVGPTNDQQQTFTSLEQVVGTSTDPKPGVFPSYASINSSSAPCLPLPTMFPPQLPIPALLGKGAKQWRSGDWMCANCNNHNYASRLQCNRCQTQRMVPAQPVNVA >OIV94389 pep chromosome:LupAngTanjil_v1.0:LG17:3546656:3547939:1 gene:TanjilG_25451 transcript:OIV94389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELIVAPPPTPPTRHRFFDDTFDLKNTVTTTSITSSPNHHHLHLQLPLRNPIHNNPSILPKFPYNPSPIPPKITPRNNSIKKEIKEATTLDILHLLDALSFPIPIDTYISLIKECTVSKDPRTAIELLNHITYSGCKPTLPLLNRILIMFVSCGLLDNARHLFDKMTVRDFNTWATLFVAYFDHVDYEEATSVFISMIEDLGVTDFPPWIWDCLLKACACSVNFALGLQVHGWLLKLGNCDDTVISTSLIKFYGRFRCIEDANLVFNQVSRHNTMTWTEKIVSGCKEKQFSEVLWDFKEMGREGIKKDTFTFSSVLKACGKMQDHGRCGEQVHADAIKLGLVEDNYVHCSLIAMYGRSGLLNDAEKVFEMAQDEGNDDCWNAMLMGYIYNGLHIEAVKFLYQMKEAGIQPQESLLNKLRIACGSITF >OIV94587 pep chromosome:LupAngTanjil_v1.0:LG17:5815229:5815642:-1 gene:TanjilG_25649 transcript:OIV94587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLNYVALILGLATCLYNHVADARVQPIDNIKAVPTVQVSIQVGLPRGESSANIICDILTPNRYVGFDLPPGGTKTLTVAIFAIYKCDATWKGKKATFVAYDKTRDTSQNDVYWILDPLGFYLSYDQHDWKRVGGW >OIV93825 pep chromosome:LupAngTanjil_v1.0:LG17:20683100:20703514:-1 gene:TanjilG_03788 transcript:OIV93825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEKADSVKKLYTEMRLWEFPDQYVIQPTDGSSCSSLSISRKDGSMKHIDEIPEYRTIRVPKIFTIFGVVGMLRLLAGSYLMVITGRKCVGSYSGHPIFKISSMKVFPCDHSLKHTPAEQIILNNASGFHYFQSAIGKDIIDITLIARRCTRRNGTRMWRRGADPDGYVANFVETEQIIQINGYTASFVQVRGSIPLLWEQIVDLTYKPKFELLKLEEAPRVLERHFLDLRKKYGAVVAVDLVNKHGGEGRLCEKFGSTMQQVASDDVRYVHFDFHHICGHVHFENLSILYDQISDFLETNGYLLLNEKGEKMKEQLGVVRTNCIDCLDRTNVTQLRRLGVFGAEETISSHPNLDDSFKILWANHGDDISVQYSGTPALKGDFVRYGHRTIEGILADGWNALMRYYLNNFCDGTKQDTIDLLQGHYIVSVGRDMAPSSQNGGLEAIASFPLALGLVLTGFLFATMSLRQVRSDFRHFFFSLMWASISVGIAAFVRVNGRIFCNRPRLHKPRLKRKGGGSGNKQSKKAAKDPNKPKRPPTPFFVFMYEKATYVARAEKKKEEYGRNILAYNKKLEGKNPSEEDESDKSRSEVHDNDEDEGEDDDE >OIV94038 pep chromosome:LupAngTanjil_v1.0:LG17:14385128:14387037:1 gene:TanjilG_14285 transcript:OIV94038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSMVRSALLRARGGAPGSTVPKRNFASSSGHHDDAYETAKWEKITYLGIASCTALAFYILSKGHPHHEEPPAYPYLHIRNKEFPWGISLSI >OIV94657 pep chromosome:LupAngTanjil_v1.0:LG17:2815299:2818974:-1 gene:TanjilG_25881 transcript:OIV94657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKARRGKRSEGFWPSLVMKKWLNVKPKVNDFSEDEVDTETESEDDASSPKYSRMRECEDNPLKTQGTQSIFSSQTSDASSKGYKISHRRGKSETLRAQYINTKEVRVAIGTWNVAGKHPHEDLEIDEWICAEDQADIYILGFQEVVPLSAGNVLGAEDNTPIHKWEAIIRRVLNKSSESESDSKHKSYSAPPSPVLRTSSAADVLADNLDANAIDMINEEYVETDDNDLLEQPELKNINCIGKNLQLNRIYNLDIQPRLDWPERPLDAISQIVDSHPKLRRALSGSDRIGFSWKENALIYGSGLKRSHHSSGNLGLLCKEQQVMPKEVVDSLVAEVSDMLFVENDVTFNELPNDQDDYGLGAMKLSPRYVRIVSKQMVGIYVSVWVQRRLRRHINNLKVSPVGIGLMGYMGNKGSVSISLSLFQSRLCFVCSHLSSGQKDRQRRNSDVHEILRRTCFSSVFDPDQPQTISSHDQIFWFGDLNYRINMLDVEVRKLVALKKWDELMNSDQLCKEMRPGHVFDGWKEGLINFPPTYKYEFNSDRYIGENPKEGEKKRSPAWCDRILWQGKGIKQLEYGRAEIKLSDHRPVSSIFSVEVEVFDQRKLKRALNFTSAAVHPEIFLDEHDEL >OIV94703 pep chromosome:LupAngTanjil_v1.0:LG17:2434249:2438347:-1 gene:TanjilG_25927 transcript:OIV94703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLWSVFGEYELASYGIGRETMVMTLCYGYGTALFAAPVLGVLSDLIFETWMVTQHEKHGHRLDSLNDTFWLMTFFESACFIASQIFANWLVGNNTEKNTAPSSAIIFLATICFTFVTKGWAETPGTSSLKEYILSFYEYIFGDKRIWLLAWAQTCLHFSIGIFWILWAPTVVADGREVQLGLIYPCFLGSRMLGSTAFPCLTSGPSSFRTEDCLVYAYIILALLLSIVAYDYQEIGVLVTLFCLFHACVGFIIPSLARLRTMYVPNELRGGMMGLSLAPANAAVLLSVGGYYRNVSNATLMAFAVLGLLLAAGCMHSLKKWGKQPYHNWHKQ >OIV94642 pep chromosome:LupAngTanjil_v1.0:LG17:2935071:2936703:-1 gene:TanjilG_25866 transcript:OIV94642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNREGGRDVGVDRRKRINEDLDKHLHKSSTSVSTSKPIKFIFPNNTHFSPTNNSNASLSHEESETDSEESEVSGSDGDDTPWISWFCNLRGNEFFCEVDDDYIQDNFNLCGLSSQVPHYDFALDLILDVDSTHDEMLTKEENEEIESAAGMLYGLIHVRYILTSKGMAAMLEKYKNYDFGRCPRAYCSGQPCLPVGLSDIPGSSTVKLYCPRCEDVYNPQSKYHSIL >OIV94632 pep chromosome:LupAngTanjil_v1.0:LG17:2996740:2997861:1 gene:TanjilG_25856 transcript:OIV94632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAEQKVKCRTSVGKAFLYHSRGMTASLNIALSEERFGKRSIENGDCTSLTRSMYKRRKLSANRDFPEGCGPLASNVDPVSKVDVTDFVSANGTNVEDKNEQHSGDATVRISKCEDDSQHSVLKKDSILTESLRQTTDCSLKNKNPEVASHYADGLPLANDVSTKVASVGAEAMEDTGLTLGQITDCNLKNENPVRQTADHSLKNEDPVVASHHVNGLPLANDDPAKVLVGVDALEDTGLTGVANSVKCMSSMPKSPSPVDGVALSGGSKSCCNGNVSGSSDCIKKAMTTRYAPLRKLSAVRDFPPLCGRNAPRLGKDEHLNKISLDSKIPDQQNLAVDDNPLKKITATDVQEKDNNIQEENACKRKLVDVGV >OIV94301 pep chromosome:LupAngTanjil_v1.0:LG17:6876677:6881553:-1 gene:TanjilG_19307 transcript:OIV94301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQVQPQNAIQGGPNGAGGAGNHFVTTSLYVGDLDPSVTDSQLYDLFNQLGQVVSVRVCRDLTTGRSLGYGYVNYSNPQDAARSLDVLNFTPLNNKPIRIMYSHRDPSIRKSGAGNIFIKNLDRTIDHKALHDTFSSFGSILSCKVATDSSGNSKGYGFVQFDNEESAQKAIEKLNGMLLNDKQVYVGPFLRKQEREGSADKAKFNNVFVKNLSESTTEDELEKVFGEFGPITSAVVMRDGDGKSRCFGFVNFENTDDAAQSVEALNGKKFDDKEWYVGKAQKKSEREHELKIKFEQSMKEAADKYQGANLYIKNLDDSIGDEKLKELFSSFGTITSCKVMRDPNGISRGSGFVAFSTPEEASRALLDMNGKIVVSKPLYVTLAQRKEDRRARLQAQFSQMRPVTVAPSVAPRVPMYPPGGPGIGQQIFYGQGPPAMIPSQPGFGYQQQLVPGMRPGGAPMPNFFMPMVQQGQQGQRPGGRRAGGVQQSQQPVPLMPQQMLPRGRVYRYPPPGRGIPEVPIPGVAGGMFSVPYDVGGIPIHDAGLSQQVPIGALASALANASPEQQRTMLGENLYPLVEQLEPDNAAKVTGMLLEMDQTEVLHLLESPEALKAKVAEAMDVLRNVAQQQAGGAADQLSSLSLNDNLVS >OIV93751 pep chromosome:LupAngTanjil_v1.0:LG17:20943837:20954768:-1 gene:TanjilG_07654 transcript:OIV93751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKAVLVMNTQGKPRLTKFYEFRTVEKQQEAIRNVFAVLCSRPEHVSNFVNAESIFGPDARLVYKHFATLYFVFIFDSSENELAILDLIQVLVETLDKCFRNVCELDVVFNYSKIILGSSSKARKQILSEMGYEFTILTADIDEQSIRREKPEDLVVALAEAKADAIIQRLLAEGPLEEDAPTTLLITADTVVVYQGIIREKPTSEKEAREFVKGYSGSHAAVVGSVLVTNLATGKRYGGWESAEVYFLEIPDEVIDNLIDEGVTFNVAGGLMLEHPLTLPFVDAVVGSTDTVMGLSKELTEKLILEAL >OIV94950 pep chromosome:LupAngTanjil_v1.0:LG17:480403:482406:1 gene:TanjilG_22147 transcript:OIV94950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRAGYERQALDTYHTMMLEGVKPSHITFATVFSACGSLLDAECGRRYHGVVIKLGLDSNIYVVNALLCVYAKCGLTRDALRVFSDIAEPNEVTFTTMMGGLTQTNQGRDALEMFRLMFRKGIRVDSVSLSSVLNVCAKGGFGERGDGFYDQNQSFSCYAQGEHIHALSVKLGFESDLHLSNSLLDMYSKTGDMDSSEKIFVNLSKHSVVSWNIMIAGYGNKYNSEKAAEYLQRMQCCGYEPDDVTYINMLAACVRSGDVGTGRQIFDCMPCPSLTSWNAILSVYNQNADHKEAVKLFRKMQFQCQHPDRTSLAIILSSCAELVLLEAGKQVHAASMKFGLHNDVYVASGLINVYSKCGKIELSKHVFNKVPELDVVCWNSMIAGFSINSLDNDAFSFFKLMRQFGFVPSEFSFATIVSSCAKLSSLFQGEQIHAQIIKDGYVDDIFVGSSLIEMYCKCGDVGRARCYFDTMPRKNTITWNEMIHGYAQNGYGDEAVCLYKDMISSGDKPDDITFIAVLTACSHSALVDEGVQIFNSMVQNFAVVPKLDHYTCIIDCLSRAGRFHEVEAILDAMPCKDDPIVWEVVLSSCRIHANLSLAKRAADELFRLDPQNSASYVLLANMYSSMGRWDEARVVRDLMSDNQIRKDPGYSWGERKNDMQINHSGK >OIV94637 pep chromosome:LupAngTanjil_v1.0:LG17:2960595:2961608:-1 gene:TanjilG_25861 transcript:OIV94637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLSLTPLNLFSQQVSDLPNMGNSSVIKSDPRSAQMTIFYGGEVIVFDDCSAEKAKEIVSFVNKGKSQIQNNNNFPYTFTQTHPSFPAATSTSQFPFDMNVIPDNSNNLVQEHHTQAPSRPVSCGLPLTRKASLYRFLEKRKDRIAARTPYQTSNPTIAPYKPAESMSWLALSPHSPQDRSESCSNFV >OIV94347 pep chromosome:LupAngTanjil_v1.0:LG17:6210308:6215182:1 gene:TanjilG_21687 transcript:OIV94347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSFDTFSVDDLNTNSDHLQAVDDDNYSGYGSYSGFSGVDHTSASAPTEIFGFTDPDLSYSQSPFEPVHISENGNGNGNSYADVDGVFVSDGPVLPPPGDMEPEDGFALREWRRQNAIQLEEKEKREKELRAKIIEEAEEYKVAFYEKRKLNVDTNKVQNREREKLYLANQEKFHKEADKNYWKAISEIIPREVPNIEKKRGKKDQDKQPSVKVIQGPKPGKPTDLSRLRHILLKLKHTPPAHMIPPPPAPAKDSKDGKETAPKANGSAPDGALESQPKDAANNNSTGDAPEKDAPEKDAPAAEEKSAV >OIV93829 pep chromosome:LupAngTanjil_v1.0:LG17:20730408:20737870:-1 gene:TanjilG_03792 transcript:OIV93829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLSLINFLTACLRRQQQQQQQQCSASSSDRKGSDVSGRKEGLLWYKDVGQHLFGEYSMAVVQANNLLEDQSQIESGPLTSLHDGPHATFVGVYDGHGGPETSRYICDNLFQHLKRFASEHKSMSVEVIRKAYQATEEGFLSVVTKHWPVNPQIAAVGSCCLVGVICSGTLYVANLGDSRAVLGRVVRATGEVLAIQLSSEHNVAIESVRQEMHSLHPDDSKIVVLKHNVWRVKGLIQVSRSIGDAYLKKAEFNKEPLYTKFRLRETFKTPILSSDPSISVHELQEHDQFLVFASDGLWEHLSNQDAVDIVQNNPHNGIARRLIKAALQEAAKKREMRYSDLKKIDRGVRRHFHDDITVVVVFLDSNLVSRASSVKGPPLSVRGGGIPVPSRTLSPFADPTGT >OIV93881 pep chromosome:LupAngTanjil_v1.0:LG17:17200287:17202277:1 gene:TanjilG_05584 transcript:OIV93881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTSHIDNLWVLAIASKCTQQNIAWLVLIMATLWLTMTLFHWSHPGGPAWGKYYSTLRKSKSKSKSSSSGNMIIPGPKGHPLIGSMSLMCSLAHRRIQAAAKACNATRIMAFSLGETRVIVTCNPDVAKEILNSSVFADRPVKESAYSLMFNRAIGFAPYGVYWRTLRRIAATHLFCPKQIKASETQRNEIATQMINIFRSHYNDGDSFTVRKVLKRASLNNMMWSVFGQRYEANSGIEEELSLLVEQGYDLLGTLNWGDHLPWLKDFDLQKIRFTCSKLVPKVNRFVGSIIAEHRTHTTQSNHDFLHVLLSLHGPDKLSDSDMIAVLWEMIFRGTDTVAVLIEWVLARMVLHPELQHKVQEELDSVTACGSRALTEEDTAAMVYLPAVVKEVLRLHPPGPLLSWARLAITDTTIDGYHVPVGTTAMVNMWAISRDPDIWRDPLEFMPERFVADKGEYEFSVLGSDLRLAPFGSGRRTCPGKSLGLATVSFWVARLLHEFEFLPCDDENSGVDLTEVLRLSCEMANPLSVKVQPRLGLS >OIV94836 pep chromosome:LupAngTanjil_v1.0:LG17:1322025:1322345:1 gene:TanjilG_22033 transcript:OIV94836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIKKSTKLPQGVVIRQILKRCSSFGKKQSYNNNEEGLPDDVPKGHFAVYVGENRSRYIIPIAWLGHPHFQSLLKRAEEEFGFNHHMGLTLPCDQVVFESLISMII >OIV94236 pep chromosome:LupAngTanjil_v1.0:LG17:9504947:9510182:-1 gene:TanjilG_08534 transcript:OIV94236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSGGESSTSDKQKEKARVSRTSLILWHAHQNDAASVRKLLQEDPSLVNARDYDNRTPLHVASLHGWLDVANCLIEFGADVNAQDRWKNTPLADAEGAKRNSMIQLLKTHGGSSYGQNGSHFEPNTVPPPLPNKCDWEVDPTELDFSNSARIGKGSFGEILKAHWRGTPVAVKRILPSLSEDRLVILHGEDSSPPPVATFHATSPPSVTFHVATPLQASSPSLNLPLPSMKLTECHCCHLTLVQIWLRVRTPFHGSVKTKVAFALGQHFNKKLFFAGGILSKFQDFRHEVNLLVKLRHPNIVQFLGAVTDRKPLMLITEYLRGGDLHQYLKEKGSLNAATAINFSMDIARGMAYLHNEPNVIIHRDLKPRNVLLVNSSADHLKVGDFGLSKLIKVQSSHDVYRMTGETGSYRYMAPEVFKHRRYDKKVDVFSFAMILYEMLEGEPPFANLEPYEGAKRAAEGHRPTIREKGYTPELIELTEQCWAADMNQRPSFIDILKRLEKIKGNLPSDHHWHLFSS >OIV94678 pep chromosome:LupAngTanjil_v1.0:LG17:2625254:2626072:1 gene:TanjilG_25902 transcript:OIV94678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDVGILLILVSLLRIATIPSCLSDPSDESCLTHLSKSLQDPSKKLEDWTQNNFATPCKDSTTNLKGVTCNNGRIFRLSLNNLSLKGTISPFLSNCTNLQTLDLSSNSLTGPIPPDLQSLLNLAVLNLSSNQLEGEIPPQLTSCAYLNIIDLHNNQLTGTIPQQLGLLYRLSAFDVSNNRLSGPIPASLVNRTGTLIRFNSTSFLGNKDLYGYPLPPLKSKGLSVLAIVGIGLGSGLASLVISFSGVCIWLKVSERKMALEDGKVSHHMPDY >OIV93772 pep chromosome:LupAngTanjil_v1.0:LG17:21114449:21118570:-1 gene:TanjilG_07675 transcript:OIV93772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPLFPLLFLFLTLSSSFSFSSSSTTESTLPSDAVSLLSFKSKADLNNKLLYSLNEPYDYCNWRGVKCSQNNVISFILQNIKLNGTFSPNSLTRLTHLRVLILRNNSLFGSIPDLSSLNKLKILLLDHNHFSGSIPVQLNLLDRVVSIRLDSNSLSGTVPVIEIRGLKIFNVSENKLTGPVPFTATLSRFDATSFSGNPGLCGEIVHRTCDSHSRFFNGDGDGWNGSGSGSSSSSVAPLGESQQSQGIVVVNSAPAKKKRGTKRNGLVLGCSVVIVILIASVIVAVVLVNKKKHSFSRKEQREKAVAAVVEEGVSETVDGNDVVEVEAVTKMRSGRLVFCCGEVQEYTLEQLMRASAEVLGRGSLGTTYKAVVESKLILTVKRFDGGKTAATSGEDFEKRMEMVGRLRHPNLVPVRAYFQAKGEKLVIFDYQPNGSLFNLVHGSRSARAKPLHWTSCLKIAEDVAHGLAYIHQASSLIHGNLKSSNVLLGVDFEACITDYGLSLFADPSLTEEDPSSTAYKAPETRKSSGRASAKSDVYSFGVLVLELLTGKHPSKHPFLMPTDLQDWVRAMRDDDGSEDKWLEMLTEVASICSATSPEQRPTMWQVLKMIQGIKESVAMEDNTQNRL >OIV95006 pep chromosome:LupAngTanjil_v1.0:LG17:104128:106822:1 gene:TanjilG_22203 transcript:OIV95006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFRGNLASEGRNLHGGYWSTFDRNNLNNGSRKRCRNSYDTGNYNVPNNDWAEHGVNFNNYNLDHANYVNHDSVSSSFKRRKYSAPTWGDNHLTNTVNVPYTTRSTADTSISPNCKLDCSIFEDEKPIFMSRDQIDRHSPSRKDGIDLLHETHLRYSYCAFLQNLGMRLQLPQTTIGTAMVLCHRFFVRQSHAYHDRFLIATAALFLTGKSEETPRPLNDVLRSSSEILHKQDFTLLSYLLPVGWFEKFHDRVLEAEQTMLTTLNFELNVQHPYAPLTSILNKLGPSKTVLVNLALHLISEGEYVSSIFPLASQDSYNTSLHP >OIV94964 pep chromosome:LupAngTanjil_v1.0:LG17:376565:388837:1 gene:TanjilG_22161 transcript:OIV94964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEKLRDLTQPIDVPLLDATVAAFYGTGSKEQRSAADQILRELQNNPDMWLQVMHILQNTQNLNTKFFALQVLEGVIKYKWNALPTEQRDGMKNFISDVIVQLSSNEASFRTERLYVNKLNIILVQILKHEWPARWRSFIPDLVSAAKASESICENCMAILKLLSEEVFDFSRGEMTQQKIKELKQSLNSEFQLIHELCLYVLSASQRTELIRATLSTLHAFLTWIPLGYIFESPLLETLLKFFSLPAYRNLTLQCLTESILPPTTNIPEAYAHGSNEEQAFIQNLALFFTSFYKVHIRILEFTQENISALLLGLEYLINISYVDDTEVFKVCLDYWNVLVSELFDPHRSLESPAAAVGMSGLQVPVVLPDMVDDLGSQLLQRRQLYAGPMSKLRTLMISRMAKPEEVLIVEDENGNIVRETMKDNDVLVQYKIMRETLIYLSHLDHDDTEKQMLRKLSKQLSGEDWTWNNLNTLCWAIGSISGSMMEEQENRFLVMVIRDLLNLCEITRGKDNKAVIASNIMYVVGQYPRFLRAHWKFLKTVVNKLFEFMHETHPGVQDMACDTFLKIVQKCRRKFVITQVGENEPFVSELLTGLPTTIADLEPHQIHAFYESVGNMVQAESDTQKRDEYIQRLMELPNQKWLEIIGQARQNVDFLKEQDVIRIVLNILQTNTSVASSLGTYFLPQISLIFLDMLNVYRMYSELISKSIADGGPFASRTSFVKLLRSVKRETLKLIETFLDKAEDQPQIGKQFVPPMFDPVLGDYARNVPDARESEVLSLFATIVNKYKATMVEDVPRIFEAVFQCTLEQLKLVMDSIIWAFRHTERNIAETGLILLLEMLKKFEVSEFCNQFYQSYFLTIEREIFAVLTDTFHKPGFKLHVLVLQHLFCLAETGAITQPLWDVATNPYPYPNNAAFVREFTIKLLSTSFPNMTAAEVANFVNGLFQSTNDLSAFKTHIRDFLVQSKEFSAQDNKDLYAEEAAAQKERERRRMLSIPGLIAPNELQDEMVDS >OIV94593 pep chromosome:LupAngTanjil_v1.0:LG17:5925680:5927086:-1 gene:TanjilG_25655 transcript:OIV94593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLEIIQKKRKAVQKFMKSDIAELLKNGHDYNAYTKVAELLFEQKLLSCYELIAKFVECISDHVEELSKNGDCPDECKEAIPSLIYAAARFADLPELRDFRTLFTQKFGNSLEPYINKEFVEKLSRDPPTREMKIKLLYDIAQEFSIEWDDKALEKTLYAQSLHGERTKVDKHRDESDYNKSKGKERNTTSQGKKDFNGETWIQQSSSEDEASTDMSSMDGTKSSSISLGSTSDDEVQIKSPSSYWLIPPPYRKQNTIDRGKNNLMKCNRKGKRSYVRGTSLPTEPTNAVETSTWHARKMSLDQEFKVGRGRVHPNLPEDYDDLAARVAALRGR >OIV94602 pep chromosome:LupAngTanjil_v1.0:LG17:3241960:3244200:1 gene:TanjilG_06628 transcript:OIV94602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPNTATSVDSASAEELSAKALQKRYEGLVMVRNKAIKGKGTWYWSHLEPMLLHNTETGLPKAVKLRCSLCEAVFSASNPSRTASEHFKRGTCPNFSSLPKPISSIAPINIAATSSPSLANNRKRSSAPPSSYHVPTRFCGEVAYTSPPATATTPHLMLSGGKEDLGALAILVSRDEAHIALMELMKWRTEGLDPVYARAVQMKERDSVTGKMRIANPQSSRLVWETYLTGFKSLGRVAVRLIFLHATSCNFKCNWKLWTSLRGHGHSATSLDKAQKLIFIAAHSKLKRRDFSSDEDKEAELFTLANSEDDLFNEVLVDTSPV >OIV94583 pep chromosome:LupAngTanjil_v1.0:LG17:5793600:5796217:1 gene:TanjilG_25645 transcript:OIV94583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVHQMQQYYRLGVLDNCTDKFKAFFDCLMLKTKNSSELQEILEAREKEKKHIWTFRTPEEASYYWKELYGHLDNPDE >OIV94855 pep chromosome:LupAngTanjil_v1.0:LG17:1173879:1175156:-1 gene:TanjilG_22052 transcript:OIV94855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPPKKITLKSSDGEAFEVEEAVAVESQTIKHMIEDDCADNGIPLPNVTSKILAKVIEYCKKHVEATSSEEKPNDEDLKAWDADFVKVDQATLFDLILAANYLNIKSLLDLTCQTVADMIKGKTPEEIRKTFNIKNDFTPEEEEEVRRENQWAFE >OIV93891 pep chromosome:LupAngTanjil_v1.0:LG17:17482588:17483037:-1 gene:TanjilG_05594 transcript:OIV93891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLTILIALVAALVLVVHTSAFRSSEQSCKRQLQQVNLRHCENHIDQRIQQQQEEEEDRARKLRGIKHVILRHKSSQESEELDQCCEQLNELNSQRCQCRALQQIYESQSEQCEGRQQEQQLEGELEKLPRICGFGPLRRCNINPDEE >OIV94556 pep chromosome:LupAngTanjil_v1.0:LG17:5529909:5535615:-1 gene:TanjilG_25618 transcript:OIV94556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENDDVDMVGEDNNEVELDEMKKRLKEMEDETSALREMQAKVEKEMGSVQDPANAAGSQINKEEVDSRSIFVGNVDYACTPEDVQQHFQSCGTVNRVTIRTDKFGQPKGYAYVEFLEVEAVQEALSLNESELHGRQLKVTAKRTNVPGMKQFRPRRANPYMGFRGRAPYAPPPFAYAPYGYGKVPRFRMGMRYSPYY >OIV94132 pep chromosome:LupAngTanjil_v1.0:LG17:11543960:11547337:1 gene:TanjilG_31557 transcript:OIV94132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQARGQDYEVMILLKRMIINAPSTLQWTDPDVCKWNHIQCDKGNRVTAIQIGNQTLQGVLPASLSGSSIETLLVNGQNSKTKLNGTLEVLKNMTSLKQIWAHGNSFTGPIPRLSHHDQLFDVSLRDNQLTGVIPPSLMALPRLKVNMGLSGTISPSFARLTSVTKLLLANNYITGTIPNELTSMPFLQELDVSNNNLYGRVPSFRKGVVLELAGNPDIGKDKPTSSVGGVDNKNDAGIVIGVLLGLVILLGTGILIFMKYRRKLNPKEKVQNPTEIVVHPRHSADSNDAMKISVVGVGGGGTGALSPTSNVYPMEAGSMVISIQVLIDVTNNFSEENILGKGGFGTVYKGELHDGTKIAVKRMQSGSMGEKGLNEFMAEIAVLTRVRHKHLVALLGYCLDGDERLLVYEYMPQGALSRLLFHWKKEGLKPLEWKTRLTIALDVARGVEYLHDLTQQTFIHRDLKPSNILLGDDLRAKVSDFGLVRLAPEGKASFQTRLAGTFGYLAPEYAATGRLTTKVDVYSFGVILMEMITGRRALDESRSEENFHLVTWFCRMLLNKDSFRMIIDPTIDIDDEETLASISTVADLAGHCCSREPYQRPDMSHAVSVLSPLVEIWKPTEADVDDIFGVNFDMTLPEALKRWQDFEGNSILDTTYPFSSVNTNTNGYNTKSSIPL >OIV94524 pep chromosome:LupAngTanjil_v1.0:LG17:5135720:5137733:-1 gene:TanjilG_25586 transcript:OIV94524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAATTSSTTTITLSLSFPLDLQIQNPRFVFKPKSHQQFFNNPLLNLHTHSTPTFKISSSSHSHPSTTTPSPPSNSPYPDDPFRTGQFLTNPDLENLKLLDNFVYSEELKSGSMWVRVMKEDEMDITVALLAESFAESMLLPAGYVGVLRFLVKQYLIERRTLMPHTATLIGFYRKRVDGEEEGEEENEEVELAGTVEVCFDKRGANASLPSPIPPKDKPYICNMAVQKSLRRRGIGWHLLKASEELISQMSSSREVYLHCRMIDTAPFNMYTKADYKIVKTDSILVLLLLQRRKHLMCKKLHLLSMPSEIDLSDSDL >OIV93928 pep chromosome:LupAngTanjil_v1.0:LG17:18057978:18062813:1 gene:TanjilG_05631 transcript:OIV93928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMTSPSSSKALLSITPGCRVLSDQHIWKRLEDAGFDEESIKLKDKAALVSYISSLEAQIYDHQHHMGLLILEKRELTSKYEQVKALAESSELMQKHDSAMNKSSLTEAKKREESLKKTVGVKEACIASLERALNEMRSECAETKFAAESKLAEAHQLIDEAHKKIKEAEARVHAAESLQAEANRYNSIAERKLHDVEAREDNLRRQIMSFKSDCEEKDKENILEWQSLSERQKGLQQEQERLLQSQALLNQREDLLFSRSQELNRLQKELEDTKVKIEKELDAHHDEKTNLKVTEATLTQKQEALTKREAELNKKEKELLEFQVKLACRESDEIHKVISDQEAALRTRQYDLEVELQMQRKLAENEIETKRRAWELKEIDLRQREDQILEREHELDVLSRSLSEKEKDLVDISSALEEKEQRLRASEEELLLNKSLLQKEKDEIEQTKQDLQKSMISLENKLQIVDNAKEKLETMKTETGDLSILEVKLKEEIDLVRSQKLELLAEADKLETEKAKFEAEWELLDEKKEELQKEAELIAEERNAISIFMKNERDRLREEKENVRKQYNQDMELLASEREQFMNKMAHEHSEWFGKMQQERADFLRDIEIQKRELNNLVDKRREEVESYLKESEKAFEEEKNNQLQYINALKEKAEKELEQVSSEMKRLQIERSEINLDREQRNKEWAEITKCIEELEVQRDKLQKQRELLHADRIKIHAQTEELKKLEDLKVVSDDIAMTRMLKSDMESNQQKISAWRNLKHQSFTHGGRLNPCEEIDAGNMGNGFGTPFVQKSSSLSPPSPVRFSWIKRCTELIFRNSPEKLLMEKDDLHLVSGTGNISNGQKHLESDKLSLEEPKVIVEVPARNEDVSRTSEFESETKNANGKSALSYTYEHQVGIRKRGSENISNEVDDPLIDLGQNKKRRAEGQTTKKPLDTAETQHLLMASNQTQGSIEVTHEVIVDKVIHLSEVTSEKFDALTSTMPNQELRDNLQNATRVDKLHGETNDQSNSKTIQDNILPCGSIAAGKKEEICKENNGLVPEQC >OIV94873 pep chromosome:LupAngTanjil_v1.0:LG17:1047720:1048583:-1 gene:TanjilG_22070 transcript:OIV94873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKYHVRSNSFPSASHPSTVSVENELNNLKVWEATSTSTSDSIFTALSFLQDLYICLDDHLNMASTQKLMSHHRGEKCVEEILDGSLRLLDICGITRDTMLETKENDQDLHSCLRRRKGDSSIERSVAEYKFFTKKVKKNAMKLITSLKQMDTKFGVSPLLNQDQDLTALVRVLREVITMNMSIFQSLLSFLAFPSSKSKVTKWLRVTKLMQKGAQSCEENSNELHFADAALSNLLSDGTNVEKMQSARDKLEALEGATESLENGLESIFRHLIKTRASLLNIMTQ >OIV94847 pep chromosome:LupAngTanjil_v1.0:LG17:1235816:1236883:-1 gene:TanjilG_22044 transcript:OIV94847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSTQSYITFLILFFLQFIKGSYSTTFNIVNKCSYTVWPGILTGAGTPPLSTTGFTLAPGESNTIAIPAAWSGRIWGRTLCSQDTATGKFSCITGDCDSSTEECAGGGAAPPATLAEFTLNGAGGLDFYDVSFVDGYNLPIKVEPQGGTGAGNCTATGCVVDLNAGCPTELRVVNSGNGEESVACKSACEAFGDPQYCCSGAYATPETCKPSSYSQFFKSACPLAYSYAYDDGTSTFTCASADYIVTFCPEPSAR >OIV94892 pep chromosome:LupAngTanjil_v1.0:LG17:897171:899033:1 gene:TanjilG_22089 transcript:OIV94892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIRFSSLTPSSAPNLGLSELPENCIANILGYMDPPQICQLATLNRNFRDASYADFVWESKLPTNYDVIVRKFVDNSPRNLSKRAIFTILCRLNTFDDGNKKFWVDKSTGKLCLCISSKGLSITGIDDRRQWNRIPTEESRFHAVAYLQQTWWFEVDGKVEFPFPAGTYSVFFRIHLGRASKRFGRRVCETEHVHGWEKKPARFQLWTSDGQYVASQCFLKEPGKWSLYHAGDFTVENGNASTKVKFSMTQIDCTHTKGGLSLDSVLIYPSEFRKVNAFLNCS >OIV94815 pep chromosome:LupAngTanjil_v1.0:LG17:1530286:1533540:1 gene:TanjilG_22012 transcript:OIV94815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFNSRKRSTSPSYVSTSTTLIFIALCVFGVWMISSNYGFSPQTLTDEATSTSMAIDTSSKINNDLSSTQDIPQITTKNIQEPVETTTKSTIEDSAETNTNSEMQKDTSTTTTVFEDNPGHLPDDAIKSDDKNNNNEANKQKSGTSDSQMFEESSVTQKEQASSVSESGNNDQNSESEKVQQSSVEASEGNAKGQDSMESQNANEAENKEQNLGQKQDVQSFDTRGSKNNEDEANKEQLIEDKGDITKKDGKVTTQDENVDSKKEDTSQVSQSEPEKNENQGESAKSQDSKAEKKGQKSKKAWATQADQSHQEKKRQQDNTWYLCNVTAGADYIPCLDNEKAIKMLRSTKHFQHRERHCPEDPPTCLVPLPENYKTPIQWPSSRDKIWYHNVPHTLLAEVKGHQNWVKVTGEYLTFPGGGTQFIHGALHYIDFLQQAEGGIGWGKHTRVILDVGCGVGSFGGYLFERDVIAMSFAPKDEHEAQVQFALERGIPAISAVMGSQRLPFPSNVFDLIHCARCRVPWHEEGGMLLLELNRVLRPGGYFAWSATPVYQKLEEDVEIWNAMSSLTKAMCWDLVTINKDKLNHVGAAIYRKPISNECYERREKSEPPMYVPLQACIHKVPVNKAERGAKWPEEWPHRLHKAPYWLNNSQLGIYKKPAATDFSEDSERWKNVVDELSNIGITWSNVRNVMDMKAVYGGFAAALRDLPAVWVFNVVNIDSPDTLPIIYERGLFGIYHDWCESFSTYPRTYDLLHADNLFSKLKERCKLAAIMAEVDRIARPGGKLVVRDESSTISEVAALLKSLQWEIISSNEEKGILSAKKGNWRPDSLASS >OIV94829 pep chromosome:LupAngTanjil_v1.0:LG17:1388075:1389305:1 gene:TanjilG_22026 transcript:OIV94829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTLCDACESAAAIVFCAADEAALCRACDEKVHMCNKLASRHVRVGLASPSAVPRCDICENAPAFFFCETDGSSLCLQCDMLVHVGGKRTHGRYLLFRQRVEFPGDKPNHAENPPLQPVELGETKRGQNSLPRLKMGEKQQNHRMPMIPSPEPGADGQAKMETEMFDLNMNPNRIHEHTSNNQVRVHGK >OIV94796 pep chromosome:LupAngTanjil_v1.0:LG17:1643257:1644970:-1 gene:TanjilG_21993 transcript:OIV94796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNIVKSYWSLLHWLMKVVGVTPCTVEIEPGTVMRFWVPSETVSKKPKVVSKPVVVLLHGFCGDGIMNWQFQINALTKKYAVYVPDLLFFGGSITDKPDRSPGFQAECLAVGLRKLGVEKCSVVGFSYGGMVAFKMAELYPELVESVAVSGSVVAMMESIIMNIVKGLGFSSCSEMLMPTTVKGVKELLSLGTHKKIQFPHRFHKDFLERIHLLWGENDKIFKLKLAENMKEKIGDKATIEGIKNAGHLVHLERPCVYNRCLKHFLSSIHPDTNK >OIV94960 pep chromosome:LupAngTanjil_v1.0:LG17:410384:412688:-1 gene:TanjilG_22157 transcript:OIV94960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEAPKVIAHQIGGLQNDAFRFGLQGVKSDIVGSHPLESAYKSVSRTNELMKRQCMVNLYGTAFPLKMDLDRQILSRFQRPPGAIPSSMLGLEAVTGDLDNFGFEDYLNDPRESETLRPSDMHHGMEVRLGLSKGPDALLWSAL >OIV94940 pep chromosome:LupAngTanjil_v1.0:LG17:540651:542092:-1 gene:TanjilG_22137 transcript:OIV94940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSSMFQEEGSSSVTSSPLQFFSMMSVSPSIGSPYTWLREMKSEERGLCLIHLLLTCAKHVAAGSLENANITLEQISQLASPDGDTMQRIATYFTEALADRMLKSWPGIHRALNSTKMVMVSEEMLVKKLFLELFPFLKVAYILTNQAIVEAMEGEKMVHIIDLNASEPMQWIALLQVLNVRPEGPPHLRITGVHQQKEILDQMAHKLSEEAEKLDIPFQFNPVVSKLENLDFDRLRVKTGEALAISSFLQLHSLLALDDEALRRKSPLMSKNSLQKTVLMNQSMLDELSEKVMVNGYSPSHDSTSSSPVSLTASINSESFLNALWALSPKVMVVAEQDFNHNGSTLMERLLEALYSYAALFDCLESTVSRASLERFKVENMLFGKEIKNIIACEGFERKERHEKLDKWFQRLDFTGFGNVPLSYFGPVLVFDIGMEIKKVRLNSSQNG >OIV93957 pep chromosome:LupAngTanjil_v1.0:LG17:18938876:18939121:-1 gene:TanjilG_05660 transcript:OIV93957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVVAPAPIDFAPSNPPTNAIPTRTCLVKAPFIRLTQIMCLVLNSVVLGDGARPATAFTRSTTSKTSTEGRTKTDYLFAKV >OIV93807 pep chromosome:LupAngTanjil_v1.0:LG17:20548947:20550215:1 gene:TanjilG_03770 transcript:OIV93807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGKDKHDESDKGVFSHLAHGVANASHGYPPGAYPPQHGAYPPQHGGYPPQQGYPPQQGYPPQQGYPPAGYPPGAYPPSGYPPAGYPGSSAPHAAGSHGHGGIGGMLVGGAAAAAAAYGAHQVSHGGHGAYGAHGGYGHVAHMPHGKFKNHGKFKHGKHGKFGGKHGKFGKHGGFKKWK >OIV94893 pep chromosome:LupAngTanjil_v1.0:LG17:891625:896025:1 gene:TanjilG_22090 transcript:OIV94893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLNRDTIETFMTITALSEPIAIQKLQEHGGNLNEAVNAHFSEGDRNLSASVNNTAVAVPEDDFMDIDDELHAEIHRPPSLLSSDRNNPFSLLESTIGRGIFDSHSHLSNQPPFVTHPREVREIPIEVKDDSQSTPQASHHAPTIEDVTGTIHADGPDIHGTIIINEDDDNIPPVQTAYQNEQNHETFADTSLARSARPSAPDFENLPDYSNDIEEEMIRAAIEASKREAEENYPNHNPGRQIDLSESGPQPRQSYLEDPELAHAVSLSLKTAEQEKAVHIQGDVGAPAVGPSKSSEVELGEVAPNRRVQTGSSSFQDEGEDLEEQPLVRSRPRLASLDSTESDNNVEVIETSILPSTGPQDDSNPPQHDQNSFPDEWGGISSEEHDEAVMLEAAMFGGIPEGSGHNYSYLPHEFVQSRGIYPRTTPRPPSPSLTAQRLIREQQDDEYLAALQADREKELKAIEEAEAAREEERQREEESRRKLQEEQELETQLAAKEAFLPPEPSSDGENVVNLLVRMPDGSRRGRRFLRSDKLQSLFDFIDIGKVVKPGSYRLVRPYPRRAFSYEESKSTLEELGLTNKQEALFLELI >OIV94493 pep chromosome:LupAngTanjil_v1.0:LG17:4790065:4791132:1 gene:TanjilG_25555 transcript:OIV94493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPIPQPYQQDTVTITDHRRSKKSITANKRALQEHGSCAAASSGGAIKYRGVRRRPWGRYAAEIRDPQSKERRWLGTFDTAEEAACAYDCAARAMRGLKARTNFVYPPSNATEFCPFNFPKHPNLERHLNTISPCSDLTTYCKRNPSSSSLNMSQFSEFLNTSSDHHFHNHNNDTSSSTPFVNSYFSSVGAEAHNNTCADVENFYGNKTVEADDENVILEFPHSGLLEDIVHKFLPKSKSKKCETPQKISEANFYNTVCSDNVFLNSAPCYDEMIGLLPKNDGFGGESSFDYYQDFPMQQFGTFNNGFNIDAGQIVPPNGNEQLMMNDEDYSSIMEDIFQYPDFVNDFALRTHNV >OIV94807 pep chromosome:LupAngTanjil_v1.0:LG17:1580159:1586413:-1 gene:TanjilG_22004 transcript:OIV94807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHSRQTNKVPVTLSNKTLYDDVYGGPPPKFAVSNLSPRFEDYAEIFGSYHTHRASSIPLLDLPVVDETDAFFDPRSSVFNYTEVFGSLDFANSYEDLVDRHWTPAETDSFSGESDHPVNNQSISNRDLFQSMNGSTEFNISYHKVNGSGNEHMLKGRTTHMDQLHDVSGFTQVYDEPARLNRNGPSFQVTDDIDLDTEFTADKVKGNQTRKKLPNLHNFTSGEQTFSSDLNPSLHNGCGRNDSNSSEMFITVSDISLRSIPSQVPPPSRSPPVLDAKKGDNHGFLSNSGRVASEETPGDCSPPFFDVEVDTNSSAAPYIYAMQEAMHRAEAKIRGAKEIKERKKGANGSRVKSRFDADNNDAKMTKNLTRLNSLNNELVQETYDRNNSKMEISVTDERQNFRQGSTETLHTLEGKMSLNTLEVKNTKESMSFQESDRSTGVATWKEETELFELVGTEDFDKVIHPTKHTKILVQDTRTVEHAWKERESSITQDKYRKAKAIEENHHVEESGGKSIEVREEVNIRRSKASNEEHRQIKQEENVKNRTTVQHGKVEKTVSEAESGSLEDVSEAQHKEHKQVGNEKSKERQTPSEVLLGVRLKETEDKLQGAEKQRQSVKSQQQFVKMKESGKRQLETFPIGQAGDEENLKDSLEPEGIDERSNETFKQDNAGEKGVCRREEIEKAPKGFSKNKESDKGRKHGLRWEEIEKLLKEDFELEGNQIRMEEAFRRRENNERGKLEFERDQNQKKVKALFDGYGEGNRLKEAGDKEGNQKVLNQASEKEWNCGILNEDQRKEEIKSSSNQIFDREESVGVSNGDSSLELSETMIKDVGRKEKDKGINKALDEIVRNEDGENAKFAEATDETLEIESDEEIRAPEMTPGDTQHSVYQSGKVGECVKKVDDRNNIEAAESATVQESANVQKTAQGLHVGQSTERKENSLNESSATVVKDAERMRREIESEKDRLTQIEEDMEREREREKDRRAVDKATLEAEREKEREKDRMAVDRATFEARDRAYAETCERAERAAFERPTAEARQRALTEARERLQKACDEARSYADKATTEVRLKAERAAIERATGEAQEFAMEKLTAERAAFESRERLERSVSDKFGVCSSDMLDQQFQNNSSSMGSKYPHLLYGASSFSERSEREGESAQRCRARLERHRRTAERAAKALAEKNMWDLLVQKEQAERNRLAETLDAEVRRWSSGKQGNLRALLSTLQYILGPDCAWQPIPLTEVITSAAVKKAYRKATLCVHPDKLQQRGASIQHKYICEKVFDLLKEAWNKFNSEER >OIV93788 pep chromosome:LupAngTanjil_v1.0:LG17:21292034:21293486:1 gene:TanjilG_07691 transcript:OIV93788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEEFSTKVSVVVREFNPNKDREKVEGVERICEVGPSGKISLFTDLHGDPICRVRNSPTFNMLVAEIDEEIVGMIRGCIKTVTCGKKQLHRKNNNDSEPTKHVPIYTKVAYILGLRVSPSHRRMRIGLKLVQTMEQWFSDNGAEYCYIATENDNVASVNLFTQKCGYSKFRTPSILVNPVFAHKAKTLTNKFTIIKLNQNDAESLYRNRFSTTEFFPRDIDSVLKNNLSLGTFVAVPRGSYDCNSWPGTNRFLLNPPESWAVVSVWNCKNVFTLEVRGVSRVKRMLAKMTRVLDRTMPWFRLPSVPNFFRQFGLHFMYGLGGDGPDSIQMVRALCGFTHNLAKENGCSVVATEVSSLEPLRLGVPHWKMLSCEEDLWCIKRLGEDYSDGSVGDWTKSPPSLSIFVDPREF >OIV95008 pep chromosome:LupAngTanjil_v1.0:LG17:98082:99050:-1 gene:TanjilG_22205 transcript:OIV95008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSTKEIEKELLPLIRVYKDGTFERLVGSPIVPPSLLHPLTNVSSKDILISNNPSLSARIYLPKLHQNQKVPILVYFHGGAFCVESAFSFFYHRYLNILASQSNVIVVSVDYRLLPLNPLPAAYEDGWTTLQWIASHTTDSPSNTEPWLIEHADFDKLYVGGDTNGANLAHNITMRAGNEALPNNVKILGAMLCTPFFWGSKPIGNENVEEHEDSLAIKVWNFAYPDAVDGVDNPLVNPCAAGAPSLATLGCSKVLVTLTGKDEFRDRDILYYETLKKSGWKGELEELFDAADEPHAFQLFTPETDEAKAMIKRLASFLV >OIV94748 pep chromosome:LupAngTanjil_v1.0:LG17:2037368:2037955:1 gene:TanjilG_12961 transcript:OIV94748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSQNNGAPPPGQENLPCPRCESINTKFCYFNNYNLSQPRHFCKACRRYWTHGGTLRDIPVGGGTRKNAKRSHTLSSNTTVTSSVRDHTTLIPIPSTTQGTTMQANPVSVCGPGGFTSLLNNGLGLEDVGFGMGRVSWAFTEVVNSTNNGGGVAVAGSGVGNMWQFETGVESGFVGGECFSWPGLAISTLGYGLK >OIV94426 pep chromosome:LupAngTanjil_v1.0:LG17:3927493:3934876:-1 gene:TanjilG_25488 transcript:OIV94426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNKNGAIFFDELDDAVLAQLDLDLSTITQKPSSCNPTQPSRSVTVSAMAFSPPRELSQIHRTTEFDSLPHSPPSPLFPVKPNSFSDFVPALPPQDSDKDLEIERLKVNSQFGIREFEEKECQKLKKERDKKEDQPKFVPSKNEGEKTRTNCSKSIDKDSGVIAQDYLKNSSKFQNGVSSKDMTVETTFKDKGVETDMFKDNLSQKLLEIWGSQTDKKLGTNAISKLLVGCQRNFHILFGCMSMRLPSEITKELLTASSGVGLHYVEDCFHTPEAAKVSDFYVALTKINDGTDVLETLIQPLLDLCDIKNVVIVHSSLCILHNLLKLLVEMVPNFGRRDNIFIDGVCIGKDDVDCCGLEGAKDRKLFNEETIGRKECWSHQSTMRPNVNWLCLFEIMHQIGMKITEESVRVEAVSIMNLVLVRSDAYNERALISQKIVFETISELLKKEAGLLVRKHALKLLYLVLNCPEHLATFCCGCKEGDGATDDNACTSEFQNFKIILQGLADCVIASHGSGLLELNVSRNAILVLAFLASSGKPGFEILVGHKLPRGVNYLMLILQLLVSEMDLEGRAYNVLPEIFKERTFLIREIMILLNRLVSSPAYSATVLRVLTATRDMATLTIDVTSRLSRKIKKNEQQDSMVKHIRETEIVDLARLFKKRVFTYLGDDIK >OIV93785 pep chromosome:LupAngTanjil_v1.0:LG17:21228794:21233030:-1 gene:TanjilG_07688 transcript:OIV93785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHFNLIFMLSLSLICLCIYPYHISAQLSTKHYANICPNLENIVKQTVQKKFQQTFVTVPATIRLFFHDCFVQGCDASVLVASTGNNKAEKDSSDNLSLAGDGFDTVIKAKAAVDAVPQCKNKVSCADILALATRDVIALAGGPSYSVELGRFDGLVSRASDVNGKLPQPGFNLNQLNSLFAANGLTQTDMIALSGAHTLGFSHCNRFSNRIYNTQVDPSLNKQYATQLQQMCPKNVDPRIAINMDPNTPRTFDNVYYKNLQQGKGLFTSDQILFSDQRSRATVNSFASNSNVFNQNFVAAITKLGRVGVKNAKNGKIRVDCSVL >OIV94863 pep chromosome:LupAngTanjil_v1.0:LG17:1120534:1127611:-1 gene:TanjilG_22060 transcript:OIV94863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASENPFNSILKSLEKPSGDGEFGKYYSLPALNDPRIDRLPYSIRILLESAIRNCDEFQVKNNDVEKIIDWENTSPKQVEIPFKPARVLLQDFTGVPAAVDLACMRDAMNKLGGDSNKINPLVPVDLVIDHSVQVDVARSENAVQANMELEFQRNKERFGFLKWGSNAFNNMLVVPPGSGIVHQVNLEYLGRVVFNTNGVLYPDSVVGTDSHTTMIDGLGVAGWGVGGIEAEATMLGQPMSMVLPGVVGFKLLGKLRDGVTATDLVLTVTQILRKHGVVGKFVEFYGEGMSELTLADRATIANMSPEYGATMGFFPVDHVTLQYLKLTGRSDETVSMIESYLRANKLFVDYSEPQMERAYSSYLELNLEDVDPCISGPKRPHDRVPLKEMKVDWHACLNNKVGFKGFAVPKESQNKVAEFTFNGTPAHLKHGDVVIAAITSCTNTSNPSVMLGAALVAKKACELGLQVKPWIKTSLAPGSGVVTKYLQRSGLQKYLNELGFNIVGYGCTTCIGNSGDINEAVASAITENDIVAAAVLSGNRNFEGRVHPLTRANYLASPPLVVAYALAGTVDIDFDTESIGIGKDGTEIFFRDIWPSSEEIAHVVQSSVLPDMFKETYNAITEGNPMWNNLSVPSGSLYAWEHESTYIHEPPYFKDMSMSPPGPHGVKNAYCLLNFGDSITTDHISPAGSIHKDSPAARYLTDRGVDRRDFNSYGSRRGNDEVMARGTFANIRIVNKFLNGEVGPKTLHIPSGEKLSVFDAATKYKSEGHDTIILAGAEYGSGSSRDWAAKGPMLLGVKAVIAKSFERIHRSNLVGMGIIPMCFKNGEDAETLGLTGHERYTIDLPSNVSEIRPGQDVTVVTDNGKTFVATLRFDTEVELAYFNHGGILQYVIRNLINAKH >OIV93873 pep chromosome:LupAngTanjil_v1.0:LG17:16687141:16690483:1 gene:TanjilG_05576 transcript:OIV93873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMVTASCNLVSRSSQLHHAPTISYESKAASMGLRSLKQTNTHNGLTILNSVDKLLNRILKTVNAAQARKKGLQNKNARPTGIITCGMNLIFVGTEVGPWSKTGGLGDVLGGLPPALAGNGHRVMTISPRYDQYKDAWDTSVIVEVKVGNRTEKVGFFHCYKRGVDRVFVDHPIFLEKVWGKSGTKLYGPSAGDDYEDNQLRFSLFCQAALLAPRVLNLKGSKYFSGPYGEDVIFIANDWHTALLPCYLKNMYQPLGIFKNARVVFCIHNIAYQGRFPFADFSLLNLPDQFKSSFDFIDGHVKPVIGRKINWMKAGIVESHLVLTVSPYYAQELVSGPDKGVELDNILRKTGIIGIVNGMDVQEWNPSTDKYITVKYTAATVLEGKALLKEALQAEVGLPVDKKIPLIGFIGRLEEQKGSDILVEAIPQFIKENVQIVSLGTGKKQMEKQLQQLEVSYPDKARGVAKFNVPLAHMIIAGADFILIPSRFEPCGLIQLQAMRYGTVPLVASTGGLVDTVKEGFTGFQMGAFNVECETVDPADVDALAKTVKRALAVHGTPAFTEIIKNCMAQDLSWKGPAKKWEEVLLRLGVPGSEAGIDGEEIAPQAKENVATP >OIV94881 pep chromosome:LupAngTanjil_v1.0:LG17:978032:982581:1 gene:TanjilG_22078 transcript:OIV94881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGESPVEGNGGVNINIRCSNGLEADHTIHLVRGFAPGNAAGGTNTGTANTTPNNTSGAGANVGGGLGGPGVGASPFPGLGINGLGGSGLFGEGFPDLEQMQQPFLSNPNIVREIMNTPAMQNLINNPEIVRNLIMNNPQMQELMDRNPELAHILNDPSTLRQTLEATRNPEIMREMMRNTDRAMSNIESSPEGFNMLRRMYENVQEPFLNATTMGGNTANDNASILGTQGGQVRNQSTNPSTTSSEATSPAPNTNPLPNPWSSTGTGGAQTNTRGPTTGGDARQQTPTGLGGLGLPDLEGMLGSMPDAAMMTQLMQNPAISQMMQSMLSNPQTMNQVLGANAGQRGMPDMNALREVMQNPEFLRLFSSPETLQQLMSFQQSFMSQLGQQQSTREPGQTGAGTGPFNNMGLEMLSSMFGGLGTGSLSVPNRSNEPPEQLYATQLSQLQEMGFFDTQENIRALIATSGNVHAAVERLLGNSGGQ >OIV94395 pep chromosome:LupAngTanjil_v1.0:LG17:3607045:3608483:1 gene:TanjilG_25457 transcript:OIV94395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQVLTRFRASASVVGAERRSMSTVSKAVVEIVGGGKSKNRKASSELCKFIGIPQQSRSEIALIISKFLKLYNFKSPGIKKDKIWEQNLQTLLRGKNSIGFPEVAKILSPEFSQGAINIKESNTDSFADNVKGKNSQKKGKSSKK >OIV94186 pep chromosome:LupAngTanjil_v1.0:LG17:10945543:10946638:-1 gene:TanjilG_13803 transcript:OIV94186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGDKDSPKTPGEHSKSLMEDILGLLRIHIRRGVNLAVRDVSTSDPYVVVRMGKQKLKTRVIKKDVNPEWNEDLTLSVSNPVCPFVLTVYDHDTFSKDDKMGDAECDITPFIEALKMNTEGLPNGTIIRRIHPSRKNCLADDSCIVFNDGKVVQDIVLRLRNVECGEVEIQMEWIDLPGSKVL >OIV94070 pep chromosome:LupAngTanjil_v1.0:LG17:13156505:13157309:-1 gene:TanjilG_05450 transcript:OIV94070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAACNRIAQRTSFSSLKSAIKSNFPTSSFSKPPTTSTPLRRSFISRIAPELGCVQSLLPLHSTVAVARLTSCLSTTSRSCRALSQGILCCTSPGL >OIV93853 pep chromosome:LupAngTanjil_v1.0:LG17:20287631:20290363:1 gene:TanjilG_13868 transcript:OIV93853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKVQSVLKLLGEDGDSFAKRANMYFKSRPELINFVEESFRAYRSLADRYDHISKELQQANNTIASVCPDQVPYLDDDDDDDVSTRPPRKMPDGFKPNVPNVPKPPLKDLKSVITTATKKLNPKKAAAKVASANAKVPKSGLSKNAVRGEIDKIQKQILALQTVKEFVKSSYDSATARYWETEDQIKELQEKVSGLQDEVGEGIVIDDEEARRLMAEAALKSCHETLSQLEEKQALSLDETRIESKRIKDVKAKLSSLMDEYDYDQTDPEEPRAKRDVKEVAETKDLDENVEKMTQQRQELQLLQDKIKEHFEAGSYSALTVTEMAEKIDELVTKVINLETAVSSQTALVKRLRIETDELNSLVRTLEGDKESLINDKAKLNNNLKEMEEKLYEVQDLNQIVEGQNSNLQTHFTEAHCNLDHLSEKVQDVKPDEEVEVRDVSQTETTSSSAKLKYDIEGQSALDKDDVLLNDVESSEDQLSYNKPNLTGSLEQATEVENKSPKEMKAPENSDALSSTAGNHEVSQHQESEKVSSSSKINDSERNSSEAETSFKGDSEEQAMRHEDEPDWRQLFTKGMQDREKALLTEYTSTLRNYKDMKSQMAELEKQKQDTLFDSSLQLKELKTANALKDEEIRLLRQKLDFLQESMDLGELTSVKPPENYDIDASLKIEDPETTSVIEEKFRTDIDELLEENLAFWYKFSTSFTGIQKFETTIKDLLTEVSSLDEKLKRSTEGSSSTKYSLKSDARPLYKHLAEIQSELTLWLESTVLLKEELQHRFSSLCEIQEEITTALKASAGDDDFKFTSYQAAKFQGEVLNMKQENNRVADELQAGLDLVTTLQLDVEKALAKLNEQFGLSNSKRSQTTHSDSRNQVPLRSFIFGVKPKKQKQSIFSCMTPAMHRKYRASRG >OIV93970 pep chromosome:LupAngTanjil_v1.0:LG17:19240470:19244642:1 gene:TanjilG_05673 transcript:OIV93970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKCSHCGNIGHNSRTCTSFKDLGFRLFGVQLHMSSSSFGVTIKKSFTMESLPSISYSQYFSFPSSRITIDENSDGASIGYLSDGLIGRPQERKKGVAWTEKEHLTFLIGLEKLGKGDWRGISRNFVTTRTPTQVASHAQKYFLRMTTLNKKKQRSSLFDMGCRSNINKGHAITNSDCNLGDSVSTHQQYKPKSEFENDATMSLLTNFQHKNEKNSDEYSKVQPVAEHEGSEVNKGYWTCDVKYCSHYDGSVPFEDSVEVVLKYLDLLTNENPDFMTLNFEDPDHQGDQVGPDDPEITPAVARIDSMIGSSVLAIRPPSGFAASDVVAKINEGLD >OIV94393 pep chromosome:LupAngTanjil_v1.0:LG17:3566728:3574617:-1 gene:TanjilG_25455 transcript:OIV94393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTTVAGLAPGLSRKLKKVLESRIDTPDLLSSLNTLSSFYDENTPQSRRNLRSNIEKRALSINREFVDASLAAQLALDSVENEVNALAECCDSIAKALNSCSASTGDIISTTERLKQELETTTQRQEIVACFLRDYQLSPEEINALRDEELNENFFKALSHVQEIHANCKVLLRTHHQRAGLELMDMMAVYQEGAYERLCRWVQAECRKLGDTDNPEVGELLKTAVRYLRERSVLFKYCAEEVANMRHNALFRRFISALTRGGPGGLPRPIEVHAHDPLRYVGDMLGSNETCYCLVSLEFQSLMDPGIFRIIAKALNSCSASTGDIISTTERLKQELETTTQRQEIVACFLRDYQLSPEEINALRDEELNENFFKALSHVQEIHANCKVLLRTHHQRAGLELMDMMAVYQEGAYERLCRWVQAECRKLGDTDNPEVGELLKTAVRYLRERSVLFKYCAEEVANMRHNALFRRFISALTRGGPGGLPRPIEVHAHDPLRYVGDMLGWLHQALASEHELVQVLLDPDAVVDTEQTTNKLSNDFENGSGKAEPDLMFVLDRIFEGVCRPFKLRVEQVLQSQPSLIVSYKLSNTLEFYSYTISDLLGRNTALCNTLWVLKDAAQKTFFDILKGRGEKLLRYPPLVAVDLSPPPAVREGVSLLLEIIDTHNSMMFPASGQKPAFDPVISAILDPIIQMCEQAAEAHKSKGAGHSSRRSRMSSDSGQLTRSSVDAILSNNSSASSSQTSETPSKIFLINCLCSIQQPLSGQDVAAEYVKRLGAMIDNHLHVLVEKEVDGILRRCNLLEKMPQFHNEVGTPLAEMEDTSPIILSECLKALFGLILGSESSLPEFEMMQVPRLRSEASVGVARSLAETYELIYNAIMDTKNGYPDPRSLARHPPNQIRTILGI >OIV94598 pep chromosome:LupAngTanjil_v1.0:LG17:3276693:3278364:-1 gene:TanjilG_06624 transcript:OIV94598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRQPCCDKLGVKKGPWTTEEDKKLINFILTNGQCCWRAVPKLAGLRRCGKSCRLRWTNYLRPDLKRGLLTEAEEQLVIDLHARLGNRWSKIASRLPGRTDNEIKNHWNTHIKKKLLKIGIDPVTHEPLNKQVSSQGSSSAAENLPPKASNDDVLNSDENSSTSPAENSSSYEDSILMDSICSDESIINSLWLDETLLNDALWDIAPNNADNINNKDMGLPTWEDNCAWLLECQDFGIHDFGINCFSEIELNALQQTIGVDKKGH >OIV94837 pep chromosome:LupAngTanjil_v1.0:LG17:1316356:1316700:-1 gene:TanjilG_22034 transcript:OIV94837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKGQCMPRFKSNSCSTKNKSPTPMTLLERFREAVLRLMMYSALSKATTTTTRHGGAGDVVGSERQRRYSPYEPHHSEAVADCIEFIKKKAATDGDGNRHSSASSSADDTAESS >OIV94418 pep chromosome:LupAngTanjil_v1.0:LG17:3841914:3845724:1 gene:TanjilG_25480 transcript:OIV94418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERYNLIKEVGDGTFGSVWRAINKQTGEVVAIKKMKKKYYSWDECVNLREVKSLRKMNHPNIVKLKEVIRESDILYFVFEYLERNLYQLMKDRQKLFSEAEVRNWCFQVFQGLAYMHQRGYFHRDMKPENLLVTKDIIKIADFGLVREISSQPPYTEYVSTRWYRAPEVLLQSYLYSSKVDMWAMGAIMAELFSLRPLFPGVSEADEIYKICSVIGSPTTESWADGLKLARDINYQFPQLAGVQLSVLVPSAGADAISLITSLCSWDPCKRPTASEALQHPFFQSCFYIPPSLRTRAVARAPPSAGTRGALDPQGVRRYSGALPNSKLTNNFTSPKLHPPLASGVQRKLDMVNQDGIKNDKSMKTTRQPKYRPPGKDSPTSMNKGRSARGVPETAEKLGNMSVGTRRQSMGQRPPPMKTGVNWIPESRNFMLRPTQQIPTGRTFTRKVAG >OIV94300 pep chromosome:LupAngTanjil_v1.0:LG17:6922160:6923602:-1 gene:TanjilG_19306 transcript:OIV94300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAETIEHSPIHIMFFPFMGHGHMIPLVDMAKLFASKGVKTTILTTPLNAPFIFKTIEKSKSHSNINIQTIKFPCVEAGLPDGCENVDSIPSPELVPVFFKSTELLQEGFEQQLSLQHPDCVVSDMFFTWTSDSSAKFNIPRIVFHGISLFSMCVSICMAIYKPYENVSSDSESFVVPNLPGEIKMTRIQVMDYFKIAEWIERMKKIGESEEKSYGILVNSFYELERDYIDYFRKVLGKRAWQIGPLSLCNIDEEQKKYRGKEVSIDEHEWQKWLDTKETNSVVYVSFGSVVKFSDSQLREIAIGLEASGQQFIWVVKKSKNDGEEWLPEGFEKKIEGKGLIIRGWAPQVLILEHEAIGAFMTHCGWNSTLEGVAAGVPFITWPVSAEQFYNEKLVVDILKIGVPVGVKKWCLFSEIDQSIQWEAIEKTVRKILAKDEEAEEKRNKAKELSRLARKAVEEGGSSDLDLDSFIAELGSLRG >OIV94965 pep chromosome:LupAngTanjil_v1.0:LG17:372789:374366:1 gene:TanjilG_22162 transcript:OIV94965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFRLLSSKYKFLPSFFNSLIQHKPHYCHRFQSFQTPQQVSAPLLPDLVNEISRVLSDHRQPHHDLEHSLNPFSTQISTDLVEQVLKRCSNLGISAHRFFLWAKSISGFQHTVQSFHILVEILGSCKQFAILWDFLIEMRESRSCEVTSEIFWLIFKAYSKARLPEGAIRSFNRMDEFGIKPTTHDFDKLLYFLCKLKHVEQAQQFFDLAKSRFVLTAKTFSILISGWGEIGDSGKASELFHAMIEQGCPVDLLAYNSLLQALCNGGQVDEASKKFYDMVPKGVTPDAFTYSIFIRTYCDANDMHSAFRVLNRMSRYDLLPNVFTYNCIIKRLCKNEKVEEAYELLDEMISRGVKPDTWSYNAIQAFHCDHSEVNRALRLISRMEKDNCPPDRHTYNMVLKLLVRIGRFDRATEVWEKMWSNKFYPSVSTYSVMIHGLCKKKGKLEEACNYFATMIDEGIPPYLTTVKLLRDRLLGLGLLDHIEILTDKMRQSSSCYIQEMAGIMIGHRAAHKSLSHDEMDIESD >OIV94535 pep chromosome:LupAngTanjil_v1.0:LG17:5257618:5258469:-1 gene:TanjilG_25597 transcript:OIV94535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNSSYPLSDILDTETISAINTYQKFFNLDVTGMLDNKTLNQMTLPRCVVPDLNVTYDLNSETNVSWPQGIHWFPNGTSTNHLTYGFLPESKIPREFQKVFIDAFDRWSEAIAELKLAKLNFTKMNYKTSDIKIGFYFLDNSVENVVGGTIMRYQKGSCSGNGSKIVGDIRLDASKYWVLPGDNGTWSWKDGDFDLGTVAMHQIGHILGLGHSSNVKSIMYPSILKTKEIKVEIIGNDKNNIKNVYREISSATVSGYRGHFFTLFGLCVLVINFSLDFILLFN >OIV94409 pep chromosome:LupAngTanjil_v1.0:LG17:3745631:3747401:-1 gene:TanjilG_25471 transcript:OIV94409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSGGGDDGLAARLGIVPPSLLNHTTPSLISPEISTSLASREERGPSQPQWSQQETMEFIAIRAELERDFTASKRTKTLWEVVSSKMRERGFRRSPEQCKCKWKNLVNRYKKKEYLKMGSVYFLYSVKLYGNIMQGKETSDPEHGRHCPFFEELHAIFTQRAHNMQRLLLESETRSTQPKKVVKRVSGDRSSEEFSEDDDEVAENSDDEKPARSNTRKRKVDKVAMEKYSKANNPSNSLNNTTTSVQEMLKEFFQHQLRMEMQWREMMERRAHERELFEQEWRQSMEKLERERLMIEHAWREREEQRRIREESRAERRDALLTTLLNKLIHESN >OIV93838 pep chromosome:LupAngTanjil_v1.0:LG17:20820085:20820846:-1 gene:TanjilG_03801 transcript:OIV93838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRLTNAASRILGGNGVVSRSVASSLRLRSGMGLPVGKHIVPDKPLPASDELMWDNGTPYPEPCIDRIADTVGKINLLTFILPRDDNVIEPVSTHPSPTESDGKTALFEFGIGTGDFAQLEFTPTCL >OIV94080 pep chromosome:LupAngTanjil_v1.0:LG17:13296398:13298294:-1 gene:TanjilG_05460 transcript:OIV94080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGANATHNTKHQKQPTSEKNHAEKNHEEDPIKFHNHFLYKAAIVAIFLVILPLFPSQAPEFINQTLHTRGWELLHLLLVGIAISYGLFSSRNNETDKEKENNMSKFDNAQILVSRVLQVSSFFEDGEADENSSSDSDHGINKVQTWNNQHYRNEPVVVVAPHEDQGGGGIASASSRSRIGEKPLLLPVRSLKSRLSDDDAKYVNESQLPQLRPQFSDAKVDNDESSTISSLSLNRSNSKTSSKRFSRNSSKGRNDELEGVGDAKVENKMENVVLPSPIPWRSRSGRLEPKQQVEAPKQHASRPTMEESEFDKMEPRLGKSQTSLSSRTSTLPSSPKFTPSPSFSPESVKGPLAKNSEDLMRKKVFYNKSCPPPPPPPPPPMFQKSISMKPRYASFNERASYSSFDKELKRSFSSETNDMKMKRIESSIEVKPKGYAENISNIGKSVRKIRPNQRQDLFGKEGTMKKGELEVEEHFKEEPTRKVMGYDSMEFGREEEKESFLNKVVMESGDEDTETEDEDVGGRVTKKESVEGSKTDETNCIDEGPDVDKKADEFIAKFREQIRLQRIESIKRSATKITTNSTR >OIV94143 pep chromosome:LupAngTanjil_v1.0:LG17:11748795:11753078:1 gene:TanjilG_31568 transcript:OIV94143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTIFRTSKVHSFPLYPTSLLKITNGVLFFTTTQSQNPQKQTKKVSASATSTQSKKLDKIPKNYEPIIGIETHVQLSTFTKAFCSCPYNYGSYPNTTICPICMGLPGALPVLNSKVIDFAVKLGLALNCKLAFNSKFDRKQYFYPDLPKGYQISQFDVPIASDGYLDVDIPVEFGGGHKRFGITRVHMEEDAGKLLHTDNGNYSQVDLNRAGVPLLEIVSEPDMRNGIEAAEYAAELQRLVRYLGVSNGNMQEGSLRCDVNVSVRPIGQSKFGTKVEVKNLNSFASMGRAIDFEISRQVQLHSQGQDDQIVQETRLWDDGTQRTITMRKKEGLADYRYFPEPDLPAVIISQEYVDNIQNSLPELPEIKRRRYEKMGLSMQDVLFLANDKNVAEFFDATIAKGANAKLVANWIMSDIAAFMKNEKLSINEIKLAPEELSQLITSIKGGIISGKIGKEILFELLSKGGTVKGLIEEKDLVQIVDPLEVEKFVDKVIAENPKQLEQYRGGKTKLQGYFAGQVMKLSKGKANPGLLNKILLEKLNSKS >OIV94091 pep chromosome:LupAngTanjil_v1.0:LG17:13600467:13601159:-1 gene:TanjilG_05471 transcript:OIV94091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPSSSSSSSSQFTYSSTPSYFPMPFHLQQQPTYAPAPPPPAVVTYPYPQPPPSQFQRDAHQLFQRDAQTITPEALENVKAAIANSEIEHKTESKKKSVPRRAAGLTWEDPSLSEWPENDHRVFCGDLGNEVNDDVLSKAFAKFPSFNMARVVRDKRSGKTKGYGFVSFADPADLAVAMKEMNGKYVGNRPIKLCKSKWRERTDVDAVEKQKKQSKKKSKSARVGVLHY >OIV93790 pep chromosome:LupAngTanjil_v1.0:LG17:20352949:20355038:-1 gene:TanjilG_03753 transcript:OIV93790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSQYPFDSSLKKSISCSRTYSTIAEAIESEDDILLEDEILNEQNGKQNHQNQNHEHNNNLQNISYKYKVLKRRQIKMETEAWEEAAREYEEMLQEMREQKLAPSLPYVKSLFLGWFEPLRNAIAAEQELCKEPKHRLTHAPFFNELPPDKMAVITMHKLMGLLMTSSNGVGSTRVIQAACQIGEAIEHEARIYRFMEETKKKKDKRSITANPDEESDIGLTERGKLTEEKEKMVKDQQRLRKKVASLIKKQKKQQAMGIVRGVDDRKPWGQEGQVKVGSRLVQLLIETAYIQPPANQSADSPPDIYPAFKHSLKTVSTDSQNGSRRYGVIECDPLVLKGIEKTARHMVIPYMPMLVPPINWTGYFIYIYKLFYFSLTVKPLRIFSMLA >OIV93803 pep chromosome:LupAngTanjil_v1.0:LG17:20524940:20527245:-1 gene:TanjilG_03766 transcript:OIV93803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYEENGNSFDPNPMMVQGTTITENHCYSQINSVSEATMSQTCATKTNNNNTIAENVGLSMEIITSYPSYSCEVQQDHAIIATTTTTTSATNAMELDFDMENNNFTTTHLVEEDLTNNNNHGSSQNLSFDQCHNQDINFPSYYPKPTPDLLNFLHLSPTNSSLGNSSISFENQPHNNNNNGTWVGNNCNYNPIGVSYDPFLHLNLPTQPRNEPLFQSLVSHGYNVPRNDFVFGDDIEGSGIGAFQGFENGVMELSTHDVGKRRRGKSTKQFTSTTERQRRVDLGGKFDALKELIPNPSKSDRASVVGDAIDYIKELLRTVKELKILVEKKRYEKQRMMKRHKVEEGDVSNEGDLMMNATNNHSYNESLRSSWIQRKSKDTEIDVRIIDNEVTIKLVQRKKINCLVYASQVLDELNLDLQHVAGGHIGDFSSFMFNSKICEGSSVYASAIANKLIDVMDRNLAAA >OIV93867 pep chromosome:LupAngTanjil_v1.0:LG17:16283742:16284187:1 gene:TanjilG_05570 transcript:OIV93867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNSEVQDVVVNNMKDDAAKELLNVRHDHNVYINLLKDLMKDCCSTWLSVSDAVV >OIV94679 pep chromosome:LupAngTanjil_v1.0:LG17:2618342:2618869:1 gene:TanjilG_25903 transcript:OIV94679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSALSPNHSFLSNPFSTVFHGGFTPWNCHDLLLTKPTGPKPVTSSIGSDDTDQTHAKEKPGSDDSNRGVTIVEERKRRRMISNRESARRSRMRKQRHIENLRNQLNLFRVENRDLNTRLQFLLNHYNCVQTENNWLRSHRTLLCQKLSNISQFMVFQQPQPLISAWPCNNLTAE >OIV94086 pep chromosome:LupAngTanjil_v1.0:LG17:13503671:13511091:-1 gene:TanjilG_05466 transcript:OIV94086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSSQCCSNKMNRTTPPGILLIRTLRGKDWNLQTYRYIVLLITFIAYTCYHATRKPTGIVKSVLCPYPNTKKISNSKLITKGWRPFDGPEGTSKLGEIDVAFLACYAIGMYIAGHLGDTLDLRLFLTIGMMGSGFFVGLFGMGYFWNVHEFWFYLLMQMVAGMFQATGWPSVVAVIGNWFGKRKRGLIMGIWNAHTSVGNISGSLLAASVLEHGWGWSFIVPGVLIVFGGVIVYLFLAAYPEDVGFDGNIDGAASDLMPNTTVDDGADEEAQVVTKDVGSRKGSVRRRSIGLVDACMIPGVIPFALCLFFAKLVAYTFLYWLPFYLTQTEIGGEYLSVKSAGNLSTLFDVGGIVGGILAGYISDKLSARAITAASFMYAAIPCMFLYRSYGGVSMSANISLMMVTGLFVNGPYALITTAVSADLGTHRSLRGDSRALATVTAIIDGTGSVGAALGPLLTGFISTRGWDGVFIMLTLGAFIAGLLLSRLVMAEIAEKASKPLSSEAQNSGAYTCYHATRKPTGIVKSVLCPYPNTKKISNSKLITKGWRPFDGPEGTSKLGEIDVAFLACYAIGMYIAGHLGDTLDLRLFLTIGMMGSGFFVGLFGMGYFWNVHEFWFYLLMQMVAGMFQATGWPSVVAVIGNWFGKRKRGLIMGIWNAHTSVGNISGSLLAASVLEHGWGWSFIVPGVLIVFGGVIVYLFLAAYPEDVGFDGNIDGAASDLMPNTTVDDGADEEAQVVTKDVGSRKGSVRRRSIGLVDACMIPGVIPFALCLFFAKLVAYTFLYWLPFYLTQTEIGGEYLSVKSAGNLSTLFDVGGIVGGILAGYISDKLSARAITAASFMYAAIPCMFLYRSYGGVSMSANISLMMVTGLFVNGPYALITTAVSADLGTHRSLRGDSRALATVTAIIDGTGSVGAALGPLLTGFISTRGWDGVFIMLTLGAFIAGLLLSRLVMAEIAEKASKPLSSEAQNSGGNIFKVYYEFLRIRNVKTNTYNS >OIV94450 pep chromosome:LupAngTanjil_v1.0:LG17:4213692:4218306:-1 gene:TanjilG_25512 transcript:OIV94450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCNAILASTESKEGEHQPNPPSSAPYLVSKTMNLSQLFDCGEETSTFDNTPIPLSVAPPSGEGDLFSPRQSTSKCSSPSRTSWPVFDEKHQVRDIEPDHMDDQNWLYISDHIEPSKNEDCSWVGAGLSNLGNTCFMNAILQCFTHTVPLIDGFRSSSHSFPCDRYNIEFCAFCALRAQIEHSLASTGGIVSPWKLVKNLSYFSSSFQRYQQEDAHEFMQYALDKLDRCFLYLKENSKSFEDANLVEKIFGGRLISKLRCSNCGHSSNTYEPLIDMSLEIENVDTLPRALESFTKLEYIESNFKCDGCKEEVSLEKQFLLDQAPLVAAFHLKRFKTDGTFVEKLDKHVDFPLELDLQLCSVSDQNSNEPMKYDLYAVVVHVGISATSGHYFCYVRSAPDIWHKLDDSQVTRVSAGSVLSQEAYILFYAQQGVPWFSSIMESPMLCLDPSIWNTSPKSVLGWVDSSDKSSPTITANIVSDEASESKESPEKQLDYSCKARLELPKVNDTTDASHGYKQFLSKPKQESVGLNSVKDTVQAQVPLEKGAMLIVSALVDGDSHVESGGPDKNKCAQENVDFGKWRSHVSPGNCYTVTEFPPIISMSIIPLFYNSLELDLSDRSYHIGRDHLKKNSGGGKKTRSKCVRDVGNSERKAALSYASKMHGSRRDAILALVGCNGKPLNKKRKVVDSSLCKEDSHHSVPKKTNHASVMPPVAAGSSQ >OIV94261 pep chromosome:LupAngTanjil_v1.0:LG17:7727907:7732665:-1 gene:TanjilG_00010 transcript:OIV94261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHIVGYPRVGPKRELKFALESFWDGKSSAEDLKKVSADLRASIWKQQAGVGIKYIPSNTFSYYDQVLDATATLGAVPPRYGWTGGEIEFDTYFSMARGNASVPAMEMTKWFDTNYHFIVPELGPDVNFTYSSHKAVEEYKEAKALGIDTIPVLIGPVSYLLLSKPAKGVDKSFSLLSLLPKVLAIYKEVVADLKAAGASWIQFDEPTLVLDLESHKLQAFTDAYTELASTLSGLNVLIETYFADIPAEAYKTITSLSSVTAYGFDLVRGTKTLELIKGGFPSGKYLFAGVVDGRNIWANDLAASIRTLQALEDVVGKDKLVVSTSNSLLHTAVDLVNETKLDDEIKSWLAFAAQKVVEVNALANALSGNKDEAFFSANAAALATRKSSPRVTNEAVQKAAAALKGSDHRRATNVSARLDAQQKKLNLPILPTTTIGSFPQTVELRRVRREYKAKKISEEEYVKAITEEIRKVVELQEELDIDVLVHGEPERNDMVEYFGEQLSGFAFTVNGWVQSYGSRCVKPPIIYGDVSRPKAMTVFWSSKAQSLTKRPMKGMLTGPVTILNWSFVRDDQPRSETCYQIALAIKNEVEDLEKAGINVIQIDEAALREGLPLRKSEHAHYLDWSVHSFRITNVGVQDTTQIHTHMCYSHFNDIIHSIIDMDADVITIENSRSDEKLLSVFREGVKYGAGIGPGVYDIHSPRIPPTEEIYDRITKMLAVLEKNILWVNPDCGLKTRKYAEVRPALTNLVTAAKRIRNELAK >OIV94887 pep chromosome:LupAngTanjil_v1.0:LG17:942358:948508:1 gene:TanjilG_22084 transcript:OIV94887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLCFGSLYAPSTRSLSYEGKSRYSEIESESVSGQILETGDMRVFSFVELKAATKNFRSDALLGEGGFGKVYKGWLHHNTLTPAKPGSGFIVAIKKMNTESDQGFQEWKSEVNFLGSISHPNLVKLLGYCMEDEELLLVYEFMQKGSLESHLFRRHASTEPLCWNTRLKIAIDAARGLAFLHTSEKQVIYRDFKASNILLDGNYNAKISDFGIVKLGPSGGDSHVTTRVIGTIGYVAPEYMATGHLYVKSDVYGFGVVLLEIMTGLRAIDTMRPKTQQNLVEWIRPFLYEKRDIKSIMDAKLEGQYSIKAAIQVAQLTLKCIENDPKNRPSMQDVLHTLECVEAINVETKKSKKLRPRSEAFQHIQQPLYHHSAPIILVFAIISFKGTSGSHHHHHHHLKSLHFSLYQHETINKTGYIIVNGVEGGAGVTQTTTPFGTLFVFQDPLTATANRSSKLVGTSEGTSITSSFDGLQSISIAKLTLHLKNHKGSVSIVGGTNNIKPSDHPVVGGTGDFLFVQGYVTSSPVDLKGLTVTYKIEFHLYWPPYATQAS >OIV93906 pep chromosome:LupAngTanjil_v1.0:LG17:17753952:17760436:1 gene:TanjilG_05609 transcript:OIV93906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLDKIEEEISSSSSRVRLLGRKSSNSSSNESRWVDGSEVDWDDESKQHENEGREGYGSVRKRRLIKKPKSLDSLDVEAMEIAGIHAQHSKDLTFWPTAALAFKTLGVVYGDMGTSPLYVFADVFSKVPIGSDVDILGALSLVMYTIALIPLAKYVFIVLKANDNGEGGTFALYSLICRYANVNLLPNRQQADENISSYRLRLPTPELERALKIKDTLERTPSLKKVLLVLVLLGTSMIIGDGILTPAISVMSAISGLQGEIKGFGTGELVGISIVVLVALFSIQRFGTNKVGFMFSPVLALWFFSLGSIGIYNIIKYDITVLRAFNPAYIYYFFTRNGKGAWSALGGCVLCITGAEAMFADLGHFTVPAIQIAFTSVVFPCLLLAYMGQAAYLLKNPTSYSRVFYDSVPDSLFWPVFVIATLAAMIASQAMISATFSCVKQSMALGCFPRLKIIHTSRKFMGQIYIPVINWFLMIMCIVVVSIFQSTTDIANAYGIAEVGVMMVSTTLVTLVMLLIWQTNLFLAFCFLLVFGSVELIYMSSVLSKIFEGGWLPLVFATFFLSVMYTWNYGSVLKYRSEVKEKVSSHLMLELGSDLGTVRVPGLGLLYNELVQGIPSIFVQFLLGLPALHSTIVFVCIKYVPIPMVPQEERFLFRRVCPKDYHMFRCIARYGYKDVRKEDHHAFEQLLIESLEKFLRREAIETALESEGRLTDDMDSASVKSVDPDELRIPLMHDQYFDETGNSSSHETAAALPSSYTSLAEDPSLEYELAALREATESGCTYLLGHGYVRANKNSFFFKKLVINYFYAFLRKNCRGGTANMRVPHTNIIQVGRTYMV >OIV93983 pep chromosome:LupAngTanjil_v1.0:LG17:19600182:19602772:1 gene:TanjilG_05686 transcript:OIV93983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDGGEKFHGMIDLNKHNNYYDISQGFYHKLGEGTNMSIDSVGSLQTSNAGESVAMSVDNSSVGSNGSHTRMLDHQGLKRRANDNYSVAQSVNHRGRVTHALSNDALAQALMDDSFPTEGLDNFHEWTIDLKKLNMGEAFAQGASGKLYRGTYNNEDVAIKILERPENDPSKAQLMEQQFQAEVMMLATLKHPNIVRFIGGCHKPMVWCIVTEYAKGGSVRQFLMKRQNRAVPLKLAVKQALDVARGMAYVHGLGLIHRDLKSDNLLIFGDKSIKIADFGVARIEVQTEGMTPETGTYRWMAPEMIQHRPYTQKVDIYSFGIVLWELITGMLPFQNMTAVQAAFAVVNRNVRPIIPDDCLPILREIMTKCWDPNPDVRPPFPEIVGMLESAETEIMTTVRKARFRCCMTQPMTAD >OIV93981 pep chromosome:LupAngTanjil_v1.0:LG17:19527220:19531847:1 gene:TanjilG_05684 transcript:OIV93981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVNQASGRVYILKFKSDDRKFFFWMQEPNSESDSQLCSSVNDYLNRQIEVLDDEEPDGSLPLQVSEDMAEDDISSRAANLIVPNLGVEATSDVSSSSGLVKLEDLQRILSNIGGPADSIVDPDGGFGLGDILKPDLIMPLMESLPLEQHLAPYLPEGKWSPEDILELLQSPPFRQQVDSFTYVLKTGQIDLTQFGIDPSKYKFTVLSFLEALEDSLPKSEESRQDDQDLRSQPFNRNDAMDES >OIV94857 pep chromosome:LupAngTanjil_v1.0:LG17:1167947:1169232:-1 gene:TanjilG_22054 transcript:OIV94857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGEVLHKAALFARSLSHVLVCPLSKQPLRYCELSNSLISDAIGVSFPIKNGIPCLVPRDGKILEEDASKPDSDANSSTVNEDNQGRRF >OIV93925 pep chromosome:LupAngTanjil_v1.0:LG17:18008816:18010802:-1 gene:TanjilG_05628 transcript:OIV93925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANRLIVGKGVRRNLGGRNKGPCMATNPIDRCWRCDPNWANNRQKLADCVQGFGRNTRGGKGGPFYIVTDPSDNDMVNPKPGTLRHAVTRNGPLWIIFSHNMMIFLKQELIMSSNKTIDGRGADVHIANGAGITIQYIKNVIIHGVKIYNIQVHGGGMIRDSEDHYGFRTQSDGDGISIFGASNVWIDHVSMKNCYDGLIDAIMGSTAITISNNHFTDHNEVMLFGASDGYSGDKIMQITVAFNHFGKRLVQRMPRCRFGFIHVVNNDYTHWEMYAIGGSQNPTIISEGNRFIGPDNINAKQVTKREYVTESVWKNWQWRSINDELMNGAYFTQSGPELKDRPFSRMDMIKAKPGTYVGRLTRYSGKLACRVGSPC >OIV94741 pep chromosome:LupAngTanjil_v1.0:LG17:2388949:2391120:-1 gene:TanjilG_06204 transcript:OIV94741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGSLPILPLPAPPLDGNLGPLPESQVTDEEMIENSSNEDQNKSDSAPAVVATHTRTIGIIHPPPDIRTIVDKTSQFVAKNGPEFEKRIVANNTGNAKFNFLNASDPYHAYYQHRLAEFRAQNQSSGVQPTSQSADSPVPESDPSAPAPDSNGTAAAAAEKPDISAQFKPVRKVLEPPEAEQYTVRLPEGVTGEELDIIKLTAQFVARNGKSFLTGLTSREVNNPQFHFLKPTHSMFTFFTSLADAYSKVLMPPKGLTEKLKKSVPDMTTVLERCVNRLEWERSQEQARQKAEDEIEQERVQMAMIDWHDFVVVETIDFADDEDDELPPPMTIEEVIRRSKVTAMEEDNVEPGKEVEMEMDEEEAQLVEEGMRAATLEDNDDRVKNEVRVTEDPEPPMRIVKNWKRPEDRILAERDPTKFVVSPITGELVPISEMSEHMRISLIDPKYKEQKERMFAKIRETTLAQDDEISRNIVGLARTRPDIFGTTEEEVSNAVMAEIEKNDEQPKQVIWDGHSGSIGRTANQALSQNFGENQNDASNNEANNLPGPAALPPRPGMPSIRPLPPPPGLALNLPRGPLNVGQYSAPNSGGFQIPQPRPLVMPMMPSVRPPPMQMSSGQHMGGQPPPMPPSYPMNNQGIPIPPPPGSQFTPMPVPRPYAPLSGPPSGMPMMHLPPLPQGVPPPPPPDEAPPPLPEEPEPKRQKHDDSTLIPEDQFLSQHPVWT >OIV94434 pep chromosome:LupAngTanjil_v1.0:LG17:3992926:4015543:-1 gene:TanjilG_25496 transcript:OIV94434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCMVSQLASKFAFFPPSPPTYHLKKRDDGKLTVVSTASPAVSIPTAADDNSLDVLVVDTKHGNKIVAFYLKNPYARLTLLYSHGNAADLGQLYDLFVQLKVNLRVNLMGYDYSGYGASTGKPSESSTYADIEAIYECLETEYGVSQEDVILYGQSVGSGPTLHLAAKLPRLRGVVLHSGILSGLRVLCHVNFTFCFDIYKNINKIKKVKCPVLVIHGTDDDVVNWLHGNRLWKMAKESYDPLWIKGGGHCNLELYPDYIRHLCKYIQEMENLTTEKRLKKIRKNVNLQPWSNTGSCCTCCGESSEMEKTAKDKESKTPPPTSQEQSSTTSAGTNNPDWSTFQAYSPMPPHGFLASSPQAHPYMWGVQHIMPPYGTPPPPYVAMYPHGGIYAHPSMPPGSYPFSPFAMPSNGIVEASGNTPGSMEADVVKPPEVKEKLPIKRSKGSLGSLNMITGKNNEHSKTPGTSANGIHSKSGESGSEGTSEGSDANSQNDSELKSGGRQDSFEEEPSQNGTLAHASQNGGLTASHTVVNQTMSMVPISAGGAPGTVPGPTTNLNIGMDYWGAPTSANIPAMHGKVPTPAVAGGMVTNGSRDSVQSQLWLQDERELKRQRRKQSNRESARRSRLRKQAECDELAQRTEALKEENDSLRSEVSRIRSEYEQLLSENAALKERLGEPGNDDPRSGRNDQHVDNDTQQSGQTH >OIV94097 pep chromosome:LupAngTanjil_v1.0:LG17:13774464:13777437:1 gene:TanjilG_05477 transcript:OIV94097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCFFFKDKSKSAPELQNQSNKKKNPGLNRATNSTGSISSSPKSVKELYKEKEHNFRVFTLKELRDATNGFNRMLKIGEGGFGSVYKGSIKPQDGEGDPIVVAIKRLNTRGFQGHKEWLAEVQFLSIVNHPNLVKLLGYCSVDGERGIQRLLVYEFMPNRSMEDHLFNKAFPPLPWKTRLEIMLGTAQGLAYLHEGLEIPVIYRDFKSSNILLDTDFHPKLSDFGLAREGPQGDQTHVSTAVVGTHGYAAPEYIETGHLKVQSDMYSFGVVLYEILTGRRSLERNRPAAEQKLLDWVKQYPADTSRFSMIMDPRLRNQYSPGAARKIAKLADNCLKKIPEDRPSMSQIVETLKEALQYSDASSSSQNPAESSRSKAVQKSKYKQ >OIV94906 pep chromosome:LupAngTanjil_v1.0:LG17:790526:790759:1 gene:TanjilG_22103 transcript:OIV94906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNSTSIQEMFRRVSEQFTVMFKRKAFLHWYTGEGMDEMEFTEAESNMNDLVAEYQQYQDAAAVEEEDFDEEEEESA >OIV94802 pep chromosome:LupAngTanjil_v1.0:LG17:1604824:1606814:1 gene:TanjilG_21999 transcript:OIV94802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLKSIVRELKEMRDGIGSISRRRVESRHCHSCTKSHIVPDVTPLEPIRQGPWANLPPELLMDIIRRVEESETSWPARAVIVSCASVCKSWRVATKEIVKTPEQCGRLTFPISLKQPGPRESPIQCFIRRNRETSTFLLYLGLVPLENEADKLLLAAKKIRRARGTDFVISLVANEFSRSSNTYVGKLRSNFLGTKFTIYDSQPPYEAGVIPNSRSSRKFHSNQVSPRVPACNYVVSTVAYELNVLRTRGPRRMHCTMNSIPVLAIQEGGNAPTPTSFPQVIDEHFSPSSAPKGKGPVTDLNSASLTELPVQNQGSVDPLVLKNKAPRWHEQLQCWCLNFKGRVTVASVKNFQLVAAVDPSHNVSAAEQEKVILQFGKIGKDIFTMDYRYPLSASQAFAICLSSFDTKPACE >OIV94361 pep chromosome:LupAngTanjil_v1.0:LG17:3315103:3317562:-1 gene:TanjilG_25423 transcript:OIV94361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVIVPLQGVVQGKGGLFWGSVIPCALFYFLQLYFKNRSRPPSNPPQSPQPDDSTNLPHLSILSRSLSRILISLRSPTGSASLSARANSITRDTLYDLGLQKVVDNPYHEVHNPDGVIQLGLAQNMLCVDLIHDWIRENGSAAILGKREGNCYSGLNMAGIAPYQPYHGFMDLKLAVAGFMSQVTGKSVFFNPSQMVLTAGAASAVEILTFCLADNGNAFLVPTPHSPSFDGDIKHRTGVEIVPVPCHSTDNFNLSITALDRAFNQAKKRGQKVQGIIITNPSNPVGNLLKRETLFDLLDFAREKNIHIISNEIFAGSACGNKEFVSMAEIMEAEDLDRDRVHIVFGLSNELCVPGFNVGVIHSYNENVLAASSQLARFSTVSAPTQRLLISMLSDTSFVKNFIDINRLRLQKMHNAFVTGLKQLGIECTRSSAGFYCWADMSRFLRSYSEKGELELWDRLLNVAKINVTPGSSCHCIEPGWFRFCFTTLSEKDISVVMERIHRISEATQSHS >OIV94713 pep chromosome:LupAngTanjil_v1.0:LG17:2127435:2127686:-1 gene:TanjilG_06176 transcript:OIV94713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHFTRICLLFALLFLSHELICTQGMNLGEHIESPKTATSPTKSVVATTSQLKNSVKSEEGYVEAFRPTTPGNSPGVGHSNKN >OIV94380 pep chromosome:LupAngTanjil_v1.0:LG17:3473957:3477476:-1 gene:TanjilG_25442 transcript:OIV94380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEVVIVATAATLGNFLIGWDSSTIAGGMTYIKQEFNLGSNATLEGLIVSMSFLTGTVVTIFSGSVADMIGRRPLLITSSIMFIISGLVILWAHSVTIVILSRILNGVAISLASALTPLYISEIAPPDIRGQLTTLTQFSCSGGMFSAYILVFSMSLVESSWRVTLAVISIPSVAYFFLTLFYLPESPRWLVSKGRIAEAEKILKRLRGTEDVIGELTLLAEGLSPGGEDISVEEYIVAPASEILVNQEAGKDYIKLYGPNEGVSMVAQQATGQGSMVSRSMLSQQGSFASQAATSLKDPIVNLFESLHESSVHDNGGSHSMLIHNASSIFSVGDPDSNTPFGTSDNLQAPLLSFQGAASERDRAYGSKDMLGFRSNSGLISHSSLVHGNIEETPKNSNIGGGWQLVYKTAEGGGKKGGIQRVYLHADPAAVSQAQQASFLSTSGYDMPVDGGEAYQASALVSHSVLGTHDMFSMPKAVTKGPKWRGLLEPGVKRALIVGIGLQILQQAAGINGFLYYAPQILEQAGVGALLSNLGFSSTSASLLISVITTFCMLPCIVVSMRLMDVSGRRSIMLYSIPVLIVCLVVLVVRELFHMSSVLNAAISAISVVVFESCFCMGFGIIPNIICAEIFPTNVRGICISMTSLTYWICTLFITSTFPYLLQLLGLSGVFGLFVVGNIISWIFVYLKVPETKGMPLEVIIEFFAIGAKPGTDPATLGIK >OIV94352 pep chromosome:LupAngTanjil_v1.0:LG17:6279400:6290867:-1 gene:TanjilG_21692 transcript:OIV94352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAMNKYTGHETIRSGHIALNSIQRRWVKVSSAESISVTRFLPPENFNLALLTLELDFIKRGSRSEQVDAVQLANQLRKRFINQVMTVGQKVLFEYHGNNYNFTVSQAAVEGQENSKSLERGMVSDDTYIVFETARDSGIKVVNQREAATSNIFKQKEFNLESLGIGGLSAEFADIFRRAFASRVFPPHVTSKLGIKHVKGMLLYGPPGTGKTLMARQIGKILNGKEPKIVNGPEVLSKFVGETEKNVRDLFADAEQEQRSRGDESDLHVIIFDEIDAICKSRGSTRDGTGVHDSIVNQLLTKIDGVESLNNVLLIGMTNRKDMLDEALLRPGRLEVQVEISLPDENGRLQILQIHTNKMKENSFLAPDINLQELAARTKNYSGAELEGVVKSAVSYALNRQLSLEDLTKPVEEENIKVTMDDFLNALHEVIPAFGASTDDLERCRLHGMVDCGDRHKHIYQRAMLLVEQVKVSKGSPLVTCLLEGSRGSGKTALAATVGIDSDFPYVKIVSAETMIGLHESTKCAQIIKVFEDAYRSPLSVIVLDDIERLLEYVSIGPRFSNLISQTLLVLLKRLPPKGKKLMVIGTTSEVDFLESIGFCDIFSVTYHVPTLNTNDAKKVLEQLNVFADEDIDAAAEVLGDMPIRKLYMLIEMAAQGEQGGSAEAIFSGKEKIKISHFFDCLQDVVRI >OIV94962 pep chromosome:LupAngTanjil_v1.0:LG17:397628:401686:-1 gene:TanjilG_22159 transcript:OIV94962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSSSALDCGVGSIVWVRRRNGSWWPGQILASDEFSASRSGTPVKLLGREDASVDWYNFEKSKRVKPFRCGEFDGCIERVESTQGIPLKKREKYARREDAILHALELERQMLKKQEKLGAPQTDVAFRAKKSRSAYLPSESSDSLDYKDTLAHVEMSSSLLGGEYPYRNSLAEESESAFMDNVDSDSSETVSNDSKADSSETELDKDEEMTIFSETGQDEEEEDEEEESTSSDEHDELAISGDMPHLYPREPITCNGAVSKWQLKGKRNNRSLKSSVGASDEKGVLYGAVVEGQRSHLSHKIPGPNLHYYRNDFSDAFEDTDQMFGLEDEYLTSRAVSKSQIKIQHGVDWDDWPWEDQPPLRGYWDIKAFPPVYGDRYHFDGRMRSMLVDVDLKVQASYRKEPVPIVSHMSKLDGKAIIGHPIQIEALKDGSSDILFSAVNDFGNDGIGFEGSSVLPPAWRTARRTANFRVPRPRVSSSNGAEDDADFSLDEERKIGYKKLYSGSSSHKASLSRKTSLNSHTLSIDKKSLKKVPKKASMSSSQKTRTLSSLSVEQNLSKKPDSSFYDTDRLIKPEVSGLTTVACIPVELVFSRLLEKINRPPSNAALSNIGVERNT >OIV94390 pep chromosome:LupAngTanjil_v1.0:LG17:3550420:3553252:-1 gene:TanjilG_25452 transcript:OIV94390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFGKIARAISNNNLRVSSSLQDLSSYRNLDLEHAHDVTIDPVAVPTYLKQPLYAPAPKGSIFSSRRNWVRLIKHALCLTLFIFLVYVIFMLVSSFWNQGSGKYFVVLDCGSTGTRVYVYHAFIEHKKYSTLPIVVKSLNDGVKKKSSSLRGRAYNRIETEPGLDKLVHNVSGLKGALKPLIRWAERQIPTHAHRSTAAFLYATAGLRRLPGSDSKWLLDNAWSVLKDSPFVCQKDWVRIISGTEEAYFGWISLNYYSGILGVRPRKATYGALDLGGSSLQVTFESDQQLNNETSLYVRIGSVNHHLTAYLLSGYGLNEAFDKSVVHLFKKEFRSTNISNKKVVLKHPCLQSGYKNQYMCSRCSSNNKEGGSRVINGKMLGNKGESGTPVVLFGAPNWQECSALAKVAVNLSEWSDFSRGLDCQVQPCALRDNLPRPYGHFFVISGFYVVYRFFSLTSEATLDDVLEKGRGFCEKRWNVAKKSVPPQPFIEQYCFRAPYIASLLREGLHISDNQITIGSGSITWTLGVALLEAGKAYSTPFGLHSFELFRMKINPLILIPILLLSIILLLCALSCVGNWMPSFFRRQYLPIFRHKNVSSASGLNIPSPFEFQRCSPINSGDGRTKMPHSLTDACSRGSPLGLGHGVSDNGSGIQLMESSLYPSASSVSYSYSSNNLGQMQFDNSNLGSFWSPHRSQMRLQSRRSQSPEDLNSLEAEAHMVKL >OIV94547 pep chromosome:LupAngTanjil_v1.0:LG17:5409217:5412052:-1 gene:TanjilG_25609 transcript:OIV94547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPLPIINDNTTPFHLSQIWHFPTPSITEEEFAKAASTSNGGAANAMSDGGNGKRVKTMGNNRNESGKGETEISSGKHAEQQQTTPPEPPLKQDYIHVRARRGQATDSHSLAERARREKISERMKNLQDLVPGCNKVIGKALVLDEIINYIQSLQRQVEFLSMKLEAVNSRVTPGIEVFPPKDFDQQTFDTAGMPFASQATREYSRSSSPEWLHMQVGGFERTT >OIV94084 pep chromosome:LupAngTanjil_v1.0:LG17:13475602:13485451:-1 gene:TanjilG_05464 transcript:OIV94084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLQNSRVHHSGEEEIEAEDSLLIYCKPVEFYNILYHRSLHNPSFLQRCLSFKICARRERRLRSGVVIFNYRDCYNILRKSEVTEEFSCPFCLMRCASFKGLQFHLCSSHDLFNFEFWVTEDLQAVNVAVKVDISRKENVADGVNPQSQTFFFCSRPRKRLQKDSVQNRNRAGVKFLKLDSPTEGIKNGFLENDILSCKGENMSRISPSQKDFQNGRHQQPNLFPLGVVGQNGSHGGEKFSTNHPGSMDFLEHVASSFNIPGVPLATPQSSVDPECSKSVYRSDPAVPAKTKKFNMDRSDSRNRMLLQKRQFFHSHRVQPMTLEEVLSDCDSEDDIDHDIADIEDQRMLDDFVDVSKEEKQLMHLWNSFVRKQRVLADTHIPWAFEAFSKLHVEKLISSGDLFWSWRLFMVKLWKTGLLDARTMDKCSILVDKCRNQGLELAKVKDHKEIATS >OIV94042 pep chromosome:LupAngTanjil_v1.0:LG17:14531699:14532712:-1 gene:TanjilG_14289 transcript:OIV94042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKKNIEDAIGVCEAKKLNIRRERRVNETVVMGRNSLTELERRTRSNSNTLSMYSSSSSSESSSIGGFSSSESESFYGVQKPKPIRTSVSCEKTNFDKTQNPKFHENNGFGKTKSKAFRILYGDLKKSKQHQQPISPGARLASFLNSLFTSNGNSKKSKVSSTKVSNTSMHEGSTSEKQKQDPSSASTCSSVSSFSRSCLSKTPSSRSGAKRSVRFCPVSVIVDEDCRPIGHKNLHENEETMNNKSEELRFHVMQESHRVEELAKELLKNYQKKNENKFDIMQCEDDDDDKASCASSDLFELDNLSDIGIERYREELPVYETTHFNTNRAIANGFIV >OIV93964 pep chromosome:LupAngTanjil_v1.0:LG17:19083578:19095196:-1 gene:TanjilG_05667 transcript:OIV93964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLLPPPVEAPIPISKKKIGFRSLKLLNADMDQLLPHQTDGVSYGTLDNGLRYYVRCNSKPRMRAALALAVRVGSVLEEEDERGVAHIVEHLAFSATKRYTNHDIVKFLESIGAEFGACQNAVTSVDDTVYELFVPVDKPELLSKAISILAEFSSEIRVSKDDLEKERGAVMQEYRGSRNATGRLQDAHWVLMIEGSKYAKRLPIGLERVIRTVSPDTVKSFYKKWYHLCNMAVIAVGDFSDAQDVVELIKIHFSEKIPAPDPPLIPTFHVPSHDVPRFSCFVESEAAGSAVMISYKMAAEELKTVKDYRNLLAESMFLYALNQRFFKISRRKDPPYFSCSASADVLVRPLKANMMTAGCKGKGTIQALESMLTEVARVRIHGFSDREISVVRALLMSEIESAYLERDQIQSTSLRDEYLQHFLHNEPVIGIEYEAQLQKTLLPHISALEVSKCSEKLRTSCSCVIKTIEPQAFAVVDDLKKVVNIVNLLEEEGRISTWDDEYVPEEIVTTKPNMGHVVNDLEYSNIGANELTLSNGMRVCYKCTDFLDDQVIFTGYAYGGLSELPENEYFSCSMGLTIAGEIGVFGYRPSVLMDMLAGKRAEVVTKVGAYMRTFSGDCSPSDLETALQLVYQLFTTNLTPGEENVKIVMQMTEEAVCAQDRDPYTAFANRVKELNYGNSYFFRPITKSDLQKVDPLKACEYFSKCFKDPSTFTIVIVGNIDPTIALPLILQYLGGIPKPHEPIMHLNRDELKGLPFTFPTTIFREVVRSPMVEAQCLVQLCFPVELKNGTMVEEIHFVGFLSKLLETKIMQVLRFKHGQVYSVGVSVFLGGNKPSRTCDVRGDISISFSCDPEISSKLASVDLALDEMLRLQEEGPTDHDVSTILEIEQRAHENGLQENYYWLDRILHSYQSRAYSGDVGISFEIQDKGRSKVRSSLNSSTAQLALQRILPFPCKKQYTVVILMPKSSPFKLLKSVFQSTRISYARDAKILAGIAGLAVLALSLWRYSRSANLHSRAIN >OIV93781 pep chromosome:LupAngTanjil_v1.0:LG17:21189658:21191853:-1 gene:TanjilG_07684 transcript:OIV93781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRLHFFAFASSTSNLSSAKFNVSVPNFWLLRNFDARNYTNMPLVKEFLLHVPNGTFKITFTPLLQSFAFVNAIEFFMLPLYLVPDKVAIFGYGTNRGLTSYPRSMQAQLLETIYRINVGGENVTRGIDNLWRNWFSDDAYVLNPENAKNSTPYNSLIKYHVGDDSEGPNSNKFTAPNVVYQTAKQIISNSSNLNITWSFAVDKDTDHFLRLHFCDIWNSEFGLAYFNLYIYDNFVMQINNNSDGNLIEPLPSPYYYDYVVHSDDSGVMKISVGPDKTENRISAFLNGLEIMKLSNSSDSVPLEVESKHKYKHLAVVIGAVLGGLVLVGVVVVGFVWRLKTRKQKAAENSDWLPIHVTAVGSSHSRLTEGTIQGSPLPNINLGLKISLIDIQSATSNFDANEIIGKGGFGSVYKGVLKNGLKVAVKRSESGSGQGLPEFQTEIMVLSKIRHRHLVSLIGYCDERNEMILVYEYMEKGTVRDHLYNTNSPTLSWMQRLEICIGAARGLHYLHKGASGGIIHRDVKSSNILLDENYVAKVADFGLSKTGPLDENQSYISTGVKGTFGYLDPEYFRSEQLTEKSDVYSFGVVLVEVLCARSAIDPLLPRDQANLAEWGILCKNKGILEDIIDPSIKGQINPNSLCKFSETFEKCLQEDGCDRPTMRDVLWDLEYALQLQRGAVQREPHEDSSSSTSASLQLHNLRLLPSLSSLSEVEDMSIVKGDESDSAAGSV >OIV94488 pep chromosome:LupAngTanjil_v1.0:LG17:4696339:4697349:1 gene:TanjilG_25550 transcript:OIV94488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTPNGPGSENLIVACTTMLSYRRFSTCRDFATRIPRPDPTTSLSLDKIIAIADILSAVDRRLPNNLPDHYSILQLRRDDAARDRDLLTRQFKNLALLLDPTAANKFPFSDEALTCVREAWHVLSDPKSRDLYHSQIGYQPPNPTFWTACPYCWNLFEYESKYEDYPLLCQSCEKAFHGVPVTAPVKDDDQKKEYYWCQASVPLRYRDKEENNNYMFLKSTHFDETNFVYISDDDDVVGGNEGFGKNVGKEVWGDVRNQGFQFQGNAANSQVELEGNSGKKKMRMKTVARRGAENMGRGRQRGFRVDNDLDMDAGEDDLEFTEGDGDVFIGVRFDE >OIV94728 pep chromosome:LupAngTanjil_v1.0:LG17:2282115:2285118:-1 gene:TanjilG_06191 transcript:OIV94728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSDDDMNIYNYDKNLSTSHDEISIFLRQILLRSSSSSSSSHVNNSNFMLPEPFSSNHDGNKIFTVGAGGTTNSMPTHVSIKGQQGVSENDTDEYDCESEEGVEAFPEEVPTKSVPSRGSSKRSRAAEVHNLSEKRRRSRINEKMKALQNLIPNSNKTDKASMLDEAIDYLKQLQLQVQMLSMRNGLSLHPMCFPEGLQPLQLSRMSMELGEENRSIPLNMAATLPMHQRNTLNYASSNIPNQHTFPNQPSVPYPSYVNNSEASFGLESPILSHIKPFQPTRPSEICREDILQHQQSNAGHSDTNLLVGSQAIKELKSGTTDVSPSFDMQTSEAKDDSSFQTCIAGREQSGVIMTNSEPNNILASQLTSTQANKGAEFIFIFRVDK >OIV94231 pep chromosome:LupAngTanjil_v1.0:LG17:9411109:9412686:-1 gene:TanjilG_08529 transcript:OIV94231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEELTEQMQNLRSKATELFIREEWKDSIEAYSHFITLSTQNQTPSDPDQLQKLHKSLCIAFCNRAEARFKLGYPYALECFRTALVDSQAGGNSEMLNGYVEKCKKFEFLSRTGSIDLSDWVASGFQGKAPELAEHIGPVQIRKSEISGRGMFATKNIDAGSLILVTKAIAMERSILGGKDLSEDAQLVMWKNFIDKVVDFIRKCPKTRDLIGKLSSGEDEEGLEVPDIDIFRPESLENMESIGEIDMGKLFAILDVNSLTEDAVSANVLRKNNDCYGVGLWLLPSFINHSCCANVRRLHVGDYLIVHASKDLKAGEEITLSYFDPLCPLHKRREMSMTWGIHCKCKRCVFEEEMFLKQEIKEIEIGLERGMECGGVVYKLEEHMKRWNVRGKGKAYLRASFWSAYSEAYSSDRCMKRWGRRIPAFDALIDSIIDVVGGDHRLLKILMEELKKNGGGFVEMEKALKLAREVYGKVVKKKAMRTLLELCIGA >OIV94858 pep chromosome:LupAngTanjil_v1.0:LG17:1161264:1161740:1 gene:TanjilG_22055 transcript:OIV94858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMEEVWKDINLASLNEHNTRSSMSTRGSTFGGAMFQDFLSIVPSSNTCSNISSSNSCSLYTTAPPTPVTALSLSCSRPKVSCYSTTTTTTTPPFESLNCTASLPSFETKGFTEPPSRNRGERKNKRMIKNRESAARSRARKQANIIAPLTNSPFIRI >OIV94194 pep chromosome:LupAngTanjil_v1.0:LG17:11166451:11167561:-1 gene:TanjilG_13811 transcript:OIV94194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSYSSSILPSSPPHRPIDAYPNLNIINSFLNHPTSPATLAPPLPCNDDHTSYSKMICSAITALKDENGSSKTSIGNYIAQEYKDLLPPNHDTFLTQNLKYLKKKNILITVKSSYNFPTARSDKPMSLSLSSSSFVSRGHDCLPKPNPDSKSTPQLEQNVEPKSTKLGSQGAHLKPIVVPSAARSNDGGVPPPVDAHELAQLPSNFVPIGSPKPRGRPKKNVVASPSIAGCSAAYGGSKKPAVARKPMKKSIGKPMGRPKVILSYFF >OIV94101 pep chromosome:LupAngTanjil_v1.0:LG17:13797938:13798495:1 gene:TanjilG_05481 transcript:OIV94101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGALVGGAVLSAFLQVAFDRLASPAVLDYFRDKKLDDKLLKKMKVLLLSINAVVDDAEDKHYTNQHVSEWLDMVKDAVLDAEDLLDEIHTEASKSKLEAEFKTANTSSKVWRSYLPVSVSSFDKQLHSRMEEILDNLETLAREKDVLRLEKCQYLGFGIRLQVTMAKNIKNDVVTPQTIGNSYL >OIV93856 pep chromosome:LupAngTanjil_v1.0:LG17:20326751:20327942:-1 gene:TanjilG_13871 transcript:OIV93856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKRLNIGYALALKKQNSFIRDSLLTLSKSRGVDLIRVDTDVNLSDQGPFDCILHKLYGHDWNQQLHRFKSQNPNAIILDAPESIERLHNRISMLQVVSELEIEDTNETFGIPKQIVIYDKETLLDEQAWETLKFPVIAKPLVADGSAKSHKMALVYNRDAMNKLKPPIVLQEFVNHGGVIFKVYVVGEHVQCVKRKSLPDVSEEKKSVEDLLSFSQVSNLANDEMIDDKYYKLMHLDDTEMPPQSFIVNIARGLRRAMKLNLFNFDVIRDSRYGNRYLIIDINYFPGYAKMPGYEMVLTDFFCDIMYKKQQQQEVTVESPNDVKIKSSTCCSDEEVKEKEESVQV >OIV94481 pep chromosome:LupAngTanjil_v1.0:LG17:4626561:4631142:-1 gene:TanjilG_25543 transcript:OIV94481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARATNSNWLSFSLSPMEMLRSSEPQFIQYDAASAPSQYFLDNYYANGWGNSKSQMLYGVQMDQNDSNKEQAPPQAVPKLEDFFGDSSSMMRYSDSQTETPDSSLTHSYEHGGSSTYFSGGDHQDLKAIAGFQAFATNSGSEVDDSGSIGKSQPTCNEFGTHSIESPASALAFSGRCGNATDTFSLGIPESSEKAVAVVTSVTPKKIADTFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQARKGRQGGYDKEEKAARAYDLAALKYWGPAATTNFPVSTYSKEVEEMKHVTKQEFIASLRRKSSGFSRGASMYRGVTRHHQQGRWQARIGRVAGNKDLYLGTFATEEEAAEAYDIAAIKFRGSNAVTNFEMNRYDVEAIMNSSLPVGGAAKRLKLSLESEQKQQSLGNSQQQQQHPQCGNMNSSINFSAIHQPTLSIPRGIPYDSSTAYYHHNLFHQYQHPTNGGAPESGVTSINTSGLNTVPATAAPEFFTWTHQSY >OIV95017 pep chromosome:LupAngTanjil_v1.0:LG17:43865:48535:1 gene:TanjilG_22214 transcript:OIV95017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCKIGYLVPLNGNLEEEGSVSKLSIFDGSNVIGRNDIPVIDNRISRKHLSLTPSPHSTSVHVFVEGTNPIVVHSGNKRRKLNSQEKATINDGDIIELIPGHHFFKYQLSTKTTSSSQPIRHFHLHNHQIPSTFRLLTVPPLPLSANTSSLSIPDVIQGDIQIAVLSNYMLDIHWLLSASPALSKVPHVLLIHGESDGRVEHIKRNKPANWILHKPPLPISFGTHHSKAMFLVYPRGVRVVVHTANLIHVDWNNKTQGLWMQDFPWKDQNSPSKGSGFENDLVEYLSALKWPEFSVKLPSLGNFSISPYFFKKFNYSDATVRLIASVPGYHSGAGLKKWGHMKLRTLLQECTFDKEFKKSPLVYQFSSLGSLDEKWMAEFASSLSAGSCEDKTPLGMGEAQIIWPTVEDVRCSLEGYAAGSCIPSPLKNVEKAFLKNRAMPHIKTFTRYNGQNLAWFLLTSANLSKAAWGALQKNNTQLMIRSYELGVLFLPSLIKRGGCGFSCTSNVTLSEDKCTGEESSEMKKTKLVTLCGVGRESRDRLPEEMIPFPVPYELPPQPYSPQDVPWSWDRQYNTKDVYGQVWPMM >OIV94782 pep chromosome:LupAngTanjil_v1.0:LG17:1754248:1755408:-1 gene:TanjilG_12995 transcript:OIV94782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALLGQVHKHASSSWIDRLVSLSRSKPCVAPWLTTLRHFSITGEQTGSTKQPIGESDDFFIQHSNPSFNHSHNGNNRSQEEYNVQQRSPEPIRSGPSRGRKPISQPPPHFRENNRESHSFRPRFDDNHDRHGEVDKTNKSSKGDLGFQGRNMSESNRDANQLGDNFLDKFKLGFDNKTVSPSEVEEATRSDPNQPAPESMPQDADEIFKRMKETGLIPNAVAMLDGLCKDGLVQEALKLFGLMREKGTIPEIVVYTAVVEGYTKAHKSDDAKRIFRKMQSTGISPNAFSYAVLIQGLYKCNRLEDAFELCVEMLEAGHSPNVTTFVGLVDGFCKEKGVEEAKGAIKTLIEKGFAVNEKAVREFLDKKAPFSPAVWEAIFGKKVPR >OIV94643 pep chromosome:LupAngTanjil_v1.0:LG17:2929755:2932070:-1 gene:TanjilG_25867 transcript:OIV94643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSHRERERERGTVPSKSELDRKRISDVLDRSSPSTSKVINGGKDRSSVKSSSYTNTDSRSASNPISKNSNASDEESETDSEGSDISGSDGDDTSWITWFCNLRGNEFFCEVDDDYIQDDFNLCGLSSQVPYYDYALDLILDVESSHGDMFTEEQNELIESAAEMLYGLIHARFILTSKGMAAMLDKYKNYDFGRCPRVYCSGQTCLPVGQSDIARSSTVKIYCPRCEDIYYPRSKYQGNIDGAYFGTTFPHLFLMTYGQLRPQKPSQNYVQRVFGFKLHKPQS >OIV94573 pep chromosome:LupAngTanjil_v1.0:LG17:5713037:5716202:-1 gene:TanjilG_25635 transcript:OIV94573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVCNLVTLIRCRMKHESQTQHRHSENANFSVCDAMSSKLTSPLFPLLILLTFASLYFFSSLLSLSPISKSQSFSISNNNCNLFNGHWVFDPDRKTNPFYDNTCPFHRNAWNCIRNQRQNLTFINSWKWVPHGCDLNRIDPVRFLGMMRNRNIGFVGDSLNENFVVSFLCILRFGDEGAKKWKKKGAWRGAYFPKFNVTVAYHRAVLLSKYKWQPKQSEAGIEDGSEGIYRVDVDVPADDWAKISGFYDVLVFNTGHWWNHDKFPKDKPLVFYKEGQPIIPSLGMSDGLKVVLGNMVAYIQKEFPGNMLKFWRLQSPRHFYGGDWNQNGSCLFNKPLEENENEILIPGQLDLWFEPRNNGVNKEARQMNRVIEEALKGTDIQLLALTHLSEFRADAHPAIWLGRQDAVAIWGQDCMHWCLPGVPDTWVDILSQLIHDSFHRTDGL >OIV94351 pep chromosome:LupAngTanjil_v1.0:LG17:6260033:6265268:1 gene:TanjilG_21691 transcript:OIV94351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLSSNLLSIKPSPPPPSPKPSLFKPIFCFPNSAESNSLQFETLQWSSICNQLSSFTSTSMASSTAQNATLPIGRTLHESQKLLDQTSAARLIDHPMDFSGINDLSEILALAASGQLLTIRELCTVRRTLRATRELFQKLNELASNDSHPQRYSPLLEILQNCDFQVGLERKIEFCIDCNLSVILDRASEDLEIIRSERKRNIEILDSLLKEVSSQIFQAGGIDRPLITKRRSRMCVGIRASHRYLLPDGIILNVSSSGATYFMEPKDAIDLNNMEVRLSNSEKAEERAILSLLASEIANSEREINYLLDKILEVDLAFARAAYAQWMNAVCPIFSLGNFEGCDYVEEDDDLAVNIDGMQHPLLLESCLEKIPKSVALRSRNAIESGNGNGAVAPKNISQGIPDFPVPVDFKIRHGTRVVVISGPNTGGKTASMKTLGLASLMSKAGMHLPAKNHPKLPWFDLILADIGDHQSLEQNLSTFSGHISRICQILEVASKQSLVLIDEIGSGTDPSEGVALSASILQYLKDHVNLAIVTTHYADLSRMKEKDTRFDNAAMEFSLETLQPTYRVLWGCTGDSNALSIAQSIGFDRNIIHCAQEWVEKLKPEQQQERRGMLYQSLQGERNRLKAQTERAASIHVEIMNIYYEIQAEAEDLDRREVDLMAKETQQVQQELEDAKSQIETVIEKFEKLLMVSGRDKLNSLIRESESAIASIVKAHTPAVKFPIKEADQASYTPQFGEQVLVKGLGGRLATVLESPADDETILVQYGKVKARVKKSGIRAIPSSAKNVIPSSSTHRQGQNLQNREYQGNLETELSYGPVVQTSKNTVDLRGMRVEEASIHLEMAINASRPYSVLFIIHGMGTGAIKELALEILRNHARVTNYEPENPMNYGCTIAYVK >OIV93963 pep chromosome:LupAngTanjil_v1.0:LG17:19073359:19075049:-1 gene:TanjilG_05666 transcript:OIV93963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDTKGRKEEVVTREYTINLHKRLHGCTFKKKAPKAIKEIRKFAQKAMGTTDVRVDVKLNKYVWSQGIRSVPRRIRVRIARKRNDDEDAKEELYSLVTVVEIPKEELKGLGTKVIDDEE >OIV94170 pep chromosome:LupAngTanjil_v1.0:LG17:10613025:10614335:1 gene:TanjilG_13787 transcript:OIV94170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLWGRIRKNNKHKVSKVVEELVTPNHFWCPISLDMMKDPVTLSTGITYDRESIEKWFENGNYTCPVTNQIVTNFDLIPNHTLRIMIQEWCVQNQKHGVERIPTPRIPISPFDVAEVLIHVKALTLSLDPYGCLELVQRIEKWGAESERNRRCIVENGAANVLASAFDAFANDSNERNVSVLDQILSCLSWMFPLQLEAQKSLGSLASLHCMVWFLKQQDISNKEKSIVVLKELLSFGDEQNMKALVEIEGVNEILLQFLNKRVFSTPTITKASLSVVWYLVSSSKSEKIKLAFVELGLVSSMLDILIDSERNLCEKALAIFDSLCSCDEGRKEAYDNALTIPLLVKKLLRVSALGTDYSVSSIWKLCKFEERDEGKVLVEALQVGAFQKLLLVLQVGCDDKTKVKATELLKLMNPYRAGVECIDSDFKNLKRSN >OIV94404 pep chromosome:LupAngTanjil_v1.0:LG17:3704495:3707625:-1 gene:TanjilG_25466 transcript:OIV94404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTRIGQRLNLIVVCVLLLLKTEASSYVPITYVRNAVAKGAVCLDGSPPAYHFDKGFGAGINSWLVQFEGGGWCNNVTTCLSRKYNRLGSSKQMAKQIAFSGILGNKHQFNPDFYNWNRIKVRYCDGASFTGDVEAVNPVTKLHFRGARVFTAVVEDLLAKGMKNARNAIISGCSAGGLTSILHCDRFRALLPTRAQVKCISDAGYFINARDVAGTRHIEQFFSQIVATHGSARNLPPSCISRLSPGLCFFPQYFVSQIATPIFLINAAYDSWQIKNILAPGVADPLGHWHSCKLDINNCSSNQLGTMQGFRTEFLRALTVLGNSQSKGMFIDSCYAHCQTEMQETWFTSDSPLLAKTTIAKAVADWFYDRRLFHQIDCPYPCNPSCHNRIFDPRHDNPGI >OIV94500 pep chromosome:LupAngTanjil_v1.0:LG17:4845390:4848642:1 gene:TanjilG_25562 transcript:OIV94500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKDNSTHNNNTSSSLVSVSAATSASQFHTKNAKKVVLFYCTETKSLAEKIAAETDAIELRTISWGKFPDGFPNIFIPNAQGIRGQHVAFLASFSSPAVIFEQIPVIYALPKLFVASFSLVLPFFPTGTSERMEDEGDVATAFTLARLLSNIPISRGGPTSLVIFDIHALQERFYFGDNILPCFESGIPLLKRRLQDLPDSDNISIAFPDDGAWKRFHMQLQHFPTIVCAKVREGDQRIVRIKEGEPKGRHVVIVDDLVQSGGTLIECQKVLASHGAAKISAYVTHGIFPNKSWTRFGHDNGGHPETAFTYFWITDSCPLTVKEVMNKPPFEVLSLASSISTSLQI >OIV94420 pep chromosome:LupAngTanjil_v1.0:LG17:3859125:3860548:-1 gene:TanjilG_25482 transcript:OIV94420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKFSGAYKQCQPCTGSSSTYKKGQRPYPDFDTISEGVPYPYIGGASSSSTPAWDFTSSNHPGGRYIGGFGGDRGRDSTASLSVCDVVLEDEAEAKEWMAQVEPGVHITFVSLPNGGNDLKRIRFSREMFNKWEAQKWWGENFDRIMELYNVQRFNRQALNTPSRSEDEPRDSSYSRLTSARESPMTSSLNKDWTPRSHYKPSANRGYNPTEPCNQSRGVEFQAGPSMEASRTTTSSRDEPSISNASEIEAEWIEEDEPGVYITIRQLADGTRELRRVRFR >OIV93899 pep chromosome:LupAngTanjil_v1.0:LG17:17664395:17664841:1 gene:TanjilG_05602 transcript:OIV93899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLQAQTQQQPQQPVQVYPTSVTNQPNSHSHHSKGSFGTVFIVLAIILVISIIACFLSRLCSRRFNKNHNHNHNHNSDRPVKQQRPPQIHNFHPRDQGDIEFGIDKRFPSTSRSNGHGGNGSSIEVTQPPSNGNMKGFEMKHVHEEEL >OIV93954 pep chromosome:LupAngTanjil_v1.0:LG17:18834778:18868648:1 gene:TanjilG_05657 transcript:OIV93954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRKSYLEASYGCAKKIKETGTLLVQSVTSEKKSGLEEEAPANSVPAAAVRRGGQVFFGMTGRKGHVGGAAWLSSARVVRCLVKSYNERNPRFVLLRHAPKDKVFATEVSRGAEANFATAEFGCRIAGIGHSSLRRFGFDSAVFPLTSRITNFYTNLKVDEIGRVVSVGDGIARVYGLNEIQAGEMVEFASGVKGIALNLENENVGIVVFGSDTAIKEGDLVKRTGSIVDVPAGKSLLGRVVDALGVPIDGRGALSDHERRRVEVKAPGIIERKSVHEPMQTGLKAVDSLVPIGRGQRELIIGDRQTGKTAIAIDTILNQKQMNSRATSESETLYCVYVAIGQKRSTVAQLVQILSEANALEYSILVAATASDPAPLQFLAPYSGCAMGEYFRDNGMHALIIYDDLSKQAVAYRQMSLLLRRPPGREAFPGDVFYLHSRLLERAAKRSDQTGAGSLTALPVIETQAGDVSAYIPTNVISITDGQICLETELFYRGIRPAINVGLSVSRVGSAAQLKAMKQVCGSLKLELAQYREVAAFAQFGSDLDAATQALLNRGARLKDLPLSGYVEVRYDDPEKRVVSEPIPKLDKIRPMLQLRNLLTFITLISANFFGRFLGSEGTAIMTTPSSLVLYGILNFSLLLLFRIYLDHINNRFGYRVVFIINLSLLSLTSLFLFSIRLFLSGLILTALLSAAVGELSMMMAPPGGEGGSGAGSSQRPVLDLNLPPGGRDDETSVNHPSPNPSHPEPDVYHPLLDDKTRRAELENRAVFHLVGLDEYNTSDIIDCQVTIERAIEKALLSDGFSRDELGDPRKRDEIRGFLFYAKGELLSYRKSLEMQEELEYGTHRSKAYRDIIDAISSSKLFLRRVKGIKSTSGASVAYNVNPVADQFQRAFQTSTFSNRLYSFFNKRWFFDQVLNDFLVRSFLRFGYEVSFEALDKGAIEILGPYGISYTFRRLAERISQLQSGFVVRRVRYDPWPPAWSGGGGSSVVGKRETRLYEPEERLQSSSRGVQTGAFCSASRNATRAAGRTTIVSPVTTRVELSQKDIRGSSEWRFPCREPDEGRPSRPVRRAGISRPYYHYAFAMLLGSTLFVTFYPFYSNSRYRCPYWPVSIPSPSPGFDPSLSTELSKRAPFLPHVEEVYTQGGLQPRPQYEKHLVIADDKVAALYTKYLDQLGVEVSRSKSLVSPLGAVEFAKRFRIRNMTRDVSPLSIRKLVAAASPIGWYYFCLTHDRPLRLSTELRLAGLGFRAASRRLMSPKHGKRAKRLLIMRLYGKLPCDLWLQTIVGNVVTSEIRGRVIAFLREQYVPKDLVLPPSYFFLHSDHEELMEYTLYQGWIKMYLKYLKWYAEVALSPGVTIRYFMDAPLYIRTWHIPKVDLDTYKYGVIFRLYDVVCMLLKEDLRCLPVVDVTTRHDESCCQLNISSRVDVTSNHSDVIYVLPGSPCRDLPVRGSYPLAIKQTRWLNYVLWYNIVAVDPGVLLQYFLDAPVVARHLKVSRTDPQLVSFFWTNVPLHHGPEGRALAVNQPQTSNTAVGQTKLYPLNGT >OIV94048 pep chromosome:LupAngTanjil_v1.0:LG17:14695916:14696170:-1 gene:TanjilG_14295 transcript:OIV94048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNQRKRNFQIEAFKHRVVMDPKYADKTWKILEHAIHEIYNHNASGLSFEELYR >OIV94763 pep chromosome:LupAngTanjil_v1.0:LG17:1924261:1924809:-1 gene:TanjilG_12976 transcript:OIV94763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMQHYPMLSLAALATFLVIMTQGARPATAPEPVTTAALPAAAKEFLEAHNKARVAVGVEPLEWSEKLANSTSLLVRYQRNKMGCQFANLTTSKYGGNQLWAGTGAAVTASVAVEEWVKEKEFYIHSNNTCVEKHECGVYTQVVWKKSTLLGCAQATCVKEQASLIICFYDPPGNVIGESPY >OIV94722 pep chromosome:LupAngTanjil_v1.0:LG17:2220355:2221521:1 gene:TanjilG_06185 transcript:OIV94722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDVDRRMTGLNPAHAAGLRRLSARAASVSSSSLPPRNGVVSFSSLVDKVITHLRNKGIQVQQGLTDAEFARAEAEFAFVFPPDLRAVLAAGLPVGPGFPDWRAAAARLQLRSSLDFPIAAISFQIARNAFWSKSWGLRPIEPEKALRVARNALKRAPLLIPIFNHCYIPCNPSLAGNPVFYVDENRIFCCGFDLSDYFERESLFRSSGPDPKMMKQRSVSEKSAGSSAVFTRRSLDAGGRTPRWVEFWTDAATDRRKRNSISSSPERFFDMPRPDTPGWVEEYIGKIGSVLKAGGWSEPDVTEIVSVSASGFFEGEMMVLDNQALLDALLLKTDRYSDSLRKAGWSSEEVSEALGFDFRPEKERKLPKKLSPELVDKIEKLAQSVSR >OIV94739 pep chromosome:LupAngTanjil_v1.0:LG17:2383399:2384310:1 gene:TanjilG_06202 transcript:OIV94739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRIKGPWSPEEDDSLQKLVEKHGPRNWSLISKSIPGRSGKSCRLRWCNQLSPQVEHRAFTPEEDETIIRAHARFGNKWATIARLLSGRTDNAIKNHWNSTLKRKCTSFIIDEPDLNPHPLKRSVSAGAAIPVSTVLFMNPSTPSSPSGSDVSESSVPVASSSHVYRPVPRTGGVVMETTSSSNDPPTSLSLSLPGVDSSSEVSNRATEPARAVTLPAQLNVLPMLTPRMAAPVTTVAPVAVQEENHDVMSVAAFNFSGELLTVMQEMIRKEVRSFMEEKNGMCENGFRNALVKRIGISRIDS >OIV94198 pep chromosome:LupAngTanjil_v1.0:LG17:10144908:10150485:1 gene:TanjilG_28137 transcript:OIV94198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSGYLNNNSNSRGRGGNNNRGGRGGRGGRGGGFNPPNQSPNVGGGGGGRGRGYPQQSQQWSQKPSSSYGGGIPPTRGTEPPNPPTRGTESPIPPARGTESPIPRTRGTGSSNPLARGIGSSNPPTLIHDLPRPHPHTNIERLNISESDNKWEKISPVHRPDNGGTIAIRRTRLHVNHFAVTFNPQTIINHYNVDVKPKLPPRQGRPPKKISKSDLSLIRNKLFADHPETLALEMTGYDGEKNIVSAVPLPEETFTVDISHGEDEKPVSYVVTLTLVNKLEFRKLRDYLSGNILSIPRNVLYGMDLVVKENPAKSTISLGRCFYPTNPPLVDNDLGHGIIAIGGFQHSLKPTSQGLAMCLDYSVLSFRKKLSVLDFLAAHIDGFNLAGFWKYKRQVEEALIGLKVNVTHRRTKQKYTIAKLTDREIQHITFPLVDLEGQNPPTDVSLVAYFKDKHGVVIKHKDIPALQFGGNKMNYVPMELCVLVEGQRFPKEYLDRNASRTLKDMSLARPWDREALIQRMIKSSDGPCGGGILQNFGMNVNTTMTNVTGRVIGPPKLKLGDPTGKIISMTVDPEKCQWNLLGRSMVEGKSVDCWGILDFTSQGPNWNKLKGDQFIWKLIEKYRKLGINMADPVHIEHSTMRKLGDYGSLCKLLEKINDGVYKKCRRRLQFLLCVMANKDPGYKWLKWISETKVGIVTQCCLSGNANEGKDQYLTNIALKINAKLGGSNVELNSRLPHFEGEGHVMFIGADVNHPGSRDVDSPSIAAVVATVNWPAANRYAARVCAQEHRKEKIVNFGEICYELVQCYDGLNKVRPEKIVIFRDGVSESQFHMVLTEELLDLKKVFERSNYFPTITLIVAQKRHQTRLFPAGRNEGTSGGNVFPGTVVDTKIIHPFEFDFYLCSHYGSLGTSKPTHYHVLWDEHNFTSDGLQELIYNMCFTFARCTKPVSLVPPVYYADLAAYRGRLYHEANIGVQSPYSAASSSPMVASSSLSSTTSISKDLDCYKLHADVQNGMFFI >OIV94841 pep chromosome:LupAngTanjil_v1.0:LG17:1293376:1294379:1 gene:TanjilG_22038 transcript:OIV94841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKEEGGFKIMERIEIDTSAPFKSVKEAVMLFGERVLVGEIYANKLNQMRVIASETGNAQSRVGALESELEETKQSLQKAIEESNFMAQYVKSLKKELEQTKKELEDTKVREIILLQRRDHNPEIENLKFTANSTNVEMKTLQSDDEAIEFQKRRYVKFASPHALAQVIPNKEEMLERPPSVNKGKKKQLMPLIARLFSKKRGSHEVDSPTS >OIV94801 pep chromosome:LupAngTanjil_v1.0:LG17:1608289:1609017:-1 gene:TanjilG_21998 transcript:OIV94801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTSFLDSEVIGEALAIMEELSGYWSSKAKIAASSTLTSVLNVLDSDDKDFQQRAIRIMYNLSFNGEVCRHFLSLKCIPKLLPFFKDMSLLRYCISILKNLCDTNEGRNSVAETKGCISSVTEILETGSDEEQEHALAVLVSLCSQRVDYCKLVMDSDIILPLAYISKNGNDKGKAIAMELLRLLRDIDYVENEDCLEPNLNTPQDSNNHPPQEKKTSKGASFMKKLSLFSKSSSHASKSKR >OIV94831 pep chromosome:LupAngTanjil_v1.0:LG17:1350376:1352832:-1 gene:TanjilG_22028 transcript:OIV94831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMESMESCVPPGFRFHPTDEELVGYYLRKKVASQKIDLDVIREIDLYRIEPWDLIERCRIGYEEQNEWYFFSHKDKKYPTGTRTNRATMAGFWKATGRDKSVYDKTKLIGMRKTLVFYKGRAPNGQKTDWIMHEYRLESDENGPPQEEGWVVCRAFKKKITNGQSKNIQGWVSSSFYNEESVVISMVDPVDLMSIQPQSFLAQSLMCKQEIEAAENLSCMHPNNAEQFVQLPQLESPSLPFAKRPSTVSTEEDGQNKTKKVTTDWRDLDKFVASQLSQENHRHETDHGVLLSSFENHTNSDMALLLLQNGRDDEGNKLNPFLNASSDCDIGICVFEK >OIV94716 pep chromosome:LupAngTanjil_v1.0:LG17:2162166:2170841:-1 gene:TanjilG_06179 transcript:OIV94716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPRISFSNDFVESKQAMRQERSSSSSETPPQVSSDFEFSSVRNYSMMSSADELLFKGRLLPYKDNNNHMHRATTTLREELLVDDDGSQRFSLKPQKGSSSNRWKGFLGLRKSHIGSKNKGNRSEGSSDSGVEPRRSPLVNDGSTLSQEHEEYDEDEDYQEEDEVHDNIALNQEFEDMYLEEKQSPHMMDNLVLGFNEGVELERTSKDEETTFIAPQDSMNTLDEHVSFDKACNDGEAPQLVYDSSLVNLNTTSSVFEDSEKPTKVHPCLESGSAGNVGPTISTSGTIAPHSSGSPNVISNVAAPSQAELPIKLQFGLFSGPSLILSPVPSIQIGSIQMPLPLHPPVGAPYSHMHPSQSPLFQFGQLRYAFPISQGIMPLGPQVMSFVQPNIPSELSYSHNHGSQMSFHDGPDTSDRVVKNETSSSSLDNQPGISRHLAQGSMPCENAENIDGLKQSTNSTRTATGSRSSGLAVINRSDSTGFLRRPHRNIQHTEFRVRETTKRQSSSLVLCDQYGSDNNSNINGRDTSISGRTGPRKTSTKNLRKQTVRSLTENSQHIDSESRADKVDGKELAKTQSTSHFGHSNLKRTLCSEEDVDAPLQSGIIRVFEQPGIEASSDEDDFIEFRSKRQMLNDRREQRERENKAKSHSAKKKTSLLVVLGGGMGSGPGVDAQTSLGSWGNVQISHSQPVMTLTQTQLDEAMKPQQFHSRASLGDLKRAVTSPTVLTSSRLSVSRGGFGPQSSFGSDLQFSHNLDGSENDSNLFFDKEKHNNKSHGQLEDCEAEVAASTVVVAAISNDETVGNRLSTCSSSVSDARCKQPDWKHNPTSSTMGSDVDSIVNMVTSPGNPTNMPSPIHYLLLVHFCQCILLGPCLMFLLSRLVDHSYSTTGKVTTQSVANKIQSANAHVQNDNGGNSNNLNTNSALKNHPSQKNSMSAQQCDHSSGYINQRRDDGWCIKNVMRQNNVLDSKMLEG >OIV94943 pep chromosome:LupAngTanjil_v1.0:LG17:520395:524265:1 gene:TanjilG_22140 transcript:OIV94943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGESGTGGEGKRRRKINDAGGGKKRRRYLPHNKSVKKKGSYPLHPGVEGFFITCDGGREYQASSEALNILDSFFEELVHGGNSSIKQLSNKPLNKKITFEDSDSSDSDDDDDVEEENKVEQNAVEEENKVEQNAVEEENKVKQNAGEEENKVEQNAGEEENEVEENEGEKEDEEKGDKKQKLDVCSADNGNHDETGMGEKSDAHKIDDKNAHELPKADDNKGEIDEDNTIVKTTDKLPDMKADAPTCGLKDKVEEKSIDKLIEEELKELGDKTKRRFIKLDSGCNGVVFVQMRKKDGEKGPKDIAQHIVTSAAATRKHMSRFILRILPIEATCYASKEEISKAMQPLVERYFPSETENPQKFAVLYEARANTGIDKMEIIDAVAKSIPGPHKVDLSNPDKTIVVEIARTVCMLGVIDKYKELSKYNLRQLTSQKV >OIV94704 pep chromosome:LupAngTanjil_v1.0:LG17:2425962:2431529:-1 gene:TanjilG_25928 transcript:OIV94704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRLNVYCSLIPSANQARPLSSYDSLIIHKRRASRFSHGISIKAKAIKDEMDGETSGSSGRSWDPGLEIEVPFEQRPVNEYSSLKDGILYSWGELGPGSFFLRLGGLWLSVFIVLGVPIAAASFNPSREPLRFALAAGTGTLFIVSLFVLRIYLGWSYVGDRLLSAVIPYEESGWYDGQMWVKPPEILARDRLLGSYKVKPVVKLLKQTLVGTGALLVTGVMLFIFATPVENFFRTTFTTEENKSTTQTPKINTKFNLRKEELLQLPADVISDDDLAAAAAEAADGRPVYCRDRFHRVLAGGQYCKWEDLLELTWFDSFFDIHMERNKRIWLLAWAQTCLHFSIGIFWILWAPTVVADGREVQLGLIYPCFLGSRMLGSTAFPCLTSGPSSFRTEDCLVYAYIILALLLSIVAYDYQEIGVLVTLFCLFHACVGFIIPSLARLRTMYVPNELRGGMMGLSLAPANAAVLLSVVQGGYYRNVSNATLMAFAVLGLLLAAGCMHSLKKWGKQPYHNWHKQPEEINFRKCNTELLLAYAAAWVLMEVQPAAQTSKAATTILFGDKRQFLQKCT >OIV94805 pep chromosome:LupAngTanjil_v1.0:LG17:1594545:1596122:1 gene:TanjilG_22002 transcript:OIV94805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTMMQLQPNVEYTHALLERCSNIKELKQIHGLILKKGNITHHLIVSRLLASYVSIEKGKLEYARTVFDRISSPNTVMWNTMIRAYSNSNEPEAALLMYHQMLHHSVPHNSYTFPFILKACCAISAFEETSQIHGHLIKRGFGSELYATNSLLHVFATSGRMESAHALFNRLPTRDVVSWNSMIDGYMKFGNIDMAYKTFQAMPVKNVITWTTMIVGFVRKGIHKEALSLLQQMMAAGIKPDRITLSCSLSACASLGALEQGEWIHTYIDKKGIGKDSILGCVLIDMYVKCGEMEKALQVFSSLEKKCVCAWTAIIGGFAVHGKGKEALDWFFRMRKARINPTPITFTAILTACSHAGLTKEGKSLFVKMSTIYKINPSMEHYGCMVDLLGRAGFLKEAKEFIESMPLKPSAAIWGSLLNACYMHKHLELGKEIGRILIELDPDHSGRYIHLASIHAAGGEWNQAVQVRNKIKDRGLLNLPGLSSITLNGVVHEFFAGAGSNPHIQDIYDMPNLVGNRNDMFGVN >OIV93999 pep chromosome:LupAngTanjil_v1.0:LG17:15494031:15494720:1 gene:TanjilG_07547 transcript:OIV93999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCNYKENKLEVSNTSYIAMASAQCPKPTTNIEICQPKTQHGSFGQKISEMTSKAFKGHHGARNGSSQNQVQCYNQQNLVESQDQNMSKTEAHSYAQTQTKQDNKHGVTKTQIKVTVVEAEITQSYENVDSYPYGTTTTCFGSHAVKNGEVNKDRNLFQRIKNRISRHNNEGNNSSDSESDSDDEKRQKGKASDRKCPKSKPCDEKCPQSKPSDEKCPKYKASALRCTEF >OIV94918 pep chromosome:LupAngTanjil_v1.0:LG17:717826:721512:-1 gene:TanjilG_22115 transcript:OIV94918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSSSAGFSYSDHVPPTHHLVNQIQGFVSDPDMFNLTSNMEMIGFHKNQLDHHQQQEECDRTMWNKSNNFFSKPGPSTSNKTMNESCTSFYEYNNNNNNHKPDFTTGISETTSNENLIIGSTTHADSAPWQENRLMVDDSSLRCVFPCEGNERPSQGLSLSLSSTNPSSIGLQSFELRQTTQHHHQPQPGLGFFGKSHNVQQHQQQQILQDAYLGAKAASVYEGHFFIKDSKYLVPAQELLNEFCSLGTKQNEMVIKQKFNKNKQWEDDSNNGIGSSKKPTLTSLEFIELQKRKTKLLSMLEEVDRRYKHYRNQMKSVVSSFEAVAGNGAATVYSALALKAMSRHFRCLKDGILGQIEATRKSMGEKDPIAPGTTRGETPRLKVIDQALRQQRAFQQMTMMETHPWRPQRGLPERSVSVLRAWLFEHFLHPYPSDVDKHILARQTGLSRSQVSNWFINARVRLWKPMVEEMYIEEVKEHENNMLNSSGGNDDPDMEDNINPNNVQNQLPSSSLLEDQKPILQMDSVCASSIINNHNTDNNRNNPTKNNTKTLHHNHNMNPHDHVRLIEPNSTTDTFGSMELDFSSYNHHHSSSGMVPYTTSNEQNANHGFNGGIRASVVSLTLGLQQHGESGVSLGFPSVTHQSSLLYTTRDQIEDCQTIQYSLLDGEGQNLQYRNLMGAQLLHDLAG >OIV94152 pep chromosome:LupAngTanjil_v1.0:LG17:11892366:11893924:-1 gene:TanjilG_31577 transcript:OIV94152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERGGGDRGGFGRGFGDRGRGGRGDRGRGGRRRGPRREEEEKWVPVTKLGRLVKDGKIRSLEQIYLHSLPIKEHQIIDTLVGPSLKDEVMKIMPVQKQTRAGQRTRFKAFVVVGDNNGHVGLGVKCSKEVATAIRGAIILAKLSVIPVRRGYWGNKIGKPHTVPCKVTGKCGSVTVRMVPAPRGSGIVAARVPKKVLQFAGIDDVFTSSRGSTKTLGNFVKATFDCLLKTYGFLTPEFWKETRFSKSPFQEYTDLLARPTTKALILESEEKVAEA >OIV94131 pep chromosome:LupAngTanjil_v1.0:LG17:11529241:11535468:-1 gene:TanjilG_31556 transcript:OIV94131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTTVSSAGGMLAMLNEPHLSLKLHALSNLNNLVDTFWPEISTSVPLIESLYEDEEFDQHQRQLAALLVSKVFYYLGELNDSLSYALGAGPLFDVSEDSDYVHTILAKAIDEYASLKSKVAESNDESVKVDPRLEAIVERMLNKCIIDGKYRQAMGIAIECRRLDKLEEAITRSDNVEGTLSYCINVSHSFVNLREYRQEVLRLLVKIFQKLHSPDYLSICQCLMFLDEPEGVASILEKLLRSENKDDALLAFQIAFDLVENEHQAFLLHVRDRLTSPTSQPSESAPPKPSDADSTQNASVSGADDVQMTDDDSSSVVKVPEDPSETTYAERLNKIKGILSGETSIQLTLQFLYSHNKSDLLILKTIKQSVEMRNSVCHSATIYANAIMHAGTTVDTFLRENLDWLSRATNWAKFSATAGLGVIHRGHLQQGRSLMAPYLPQGGAGGGSPYSEGGALYALGLIHANHGEGIKQFLRDSLRSTTVEVIQHGACLGLGLASLGTADEDVYEEIKNVLYTDSAVAGEAAGISMGLLMVGTGSDKANEMLTYAHETQHEKIIRGLALGIALTVYGREEEADTLIEQMTRDQDPILRYGGMYALALAYSGTANNKAIRQLLHFAVSDVSDDVRRTAVLALGFVLYSDPEQTPRIVSLLSESYNPHVRYGAALAVGISCAGTGLSEAISLLEPLTSDVVDFVRQGALIAMAMVMVQTSEASDSRVGTFRRQLEKIILDKHEDTMSKMGAILASGILDAGGRNVTIRLLSKTKHDKITAVVGLAVFSQFWYWYPLIYFISLSFSPTALIGLNYDLKSPKFEFLSHAKPSLFEYPKPTTVPTTTSVVKLPTAVLSTSAKAKARAKKAEEQKANAETSSGSDSTSAATSGGKGKSSSEKDGDSMQIDSSTEKKSEPEPSFEILTNPARVIPAQEKFIKYIQDSRYVPIKLAPSGFVLLKDLRPTEPEVLSISDTPSTAASAAAGESAVGSQSSASAMAVDDEPQPPQPFKYTS >OIV94917 pep chromosome:LupAngTanjil_v1.0:LG17:728924:731221:-1 gene:TanjilG_22114 transcript:OIV94917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTTTTTTTTTFLKLVEEQEQEQQTQPTTKPKRRSNNHSHHRSSNIIDSPLCPSSPSSSTFTKFNSALTAGLLNPMSPPPPSIDKARSSPTLFQMMSTEPEPNPKAQTHDVQTLAVHRIYDILNSRSPGNQFNDPSSSDIKLTLSSEDGISVSMNVHRHILVANSRFFSVKLSDRWAKQQCSSSLPYIVEIADCDDVEVYIQALRLMYCKDLRKMLMREDVSTVLGILKVSSAIGFDAGVLSCLEYLEAAPWAEDEEEKVASLLSELRLEAVGAGDVLKRVSIEVRNANEEVNDNEEVLVKLIHVVLEGKDEKARREMKGLVSKMLHENSSHNDLRKESLYSACDDCLQLLRHHFLRAAASDLQDVNQIARQADNLHWILDILIDRQVGEDFLKTWASQSELSEAHSKVLAIHRFEVSRVTARLFVGIGKGQLLASKDVRCLLLKTWLVPFYDDFGWMKRATKGLDRHLIEDGLSNTILTLPLSWQQEILLGWFNRFLNSGEDCPNIQRGFEVWWRRAFWKRNKEQERAQQLRITTATIENP >OIV94227 pep chromosome:LupAngTanjil_v1.0:LG17:9749406:9755484:-1 gene:TanjilG_09382 transcript:OIV94227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANADSSSSSSPPPPPGDASSEPLAVAAVATASSSSSSLPSIADIRSAARSAQQTSSTHLRTFQNYLPEAVSQYRTYEDAFLSKVKDGMVVARENPALGAGLAISAALIAMRAPRRFLYRHTFGRFQSEEARYASAEKSVKDLNQSLELMRNESKKLLERTTLAEKDMKYGYNELMSTGTKCKKLAKSLYKVEARAADLMDRLREVPTRESLALRADVASMVSTLKRQRSALDKRILKISELGLAV >OIV94843 pep chromosome:LupAngTanjil_v1.0:LG17:1264482:1265767:1 gene:TanjilG_22040 transcript:OIV94843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METPSSTRIVTRSQASSNISSNIFPVSRMIEDSEKNHSISNGCALIDITNDSPIVGLANGGNLVTPLSSMAKQRGSRMKKTPGSGEALLRGQVNNLLQKVEEESVFSKISLESSSFLQLINSTQISNLSGGDFVSVTPSTNVQEQLIPQVLNPMYDGKKNVNDEDDDASVWSMQVNASTHDEDYDVDNVEEIAENEDEEYYYDDVEEEEEEEEGDVEDGGLLLDELCQGLNNISVNERVGPKFEGRHTRFVYNSDDEIVKEEEVENSGATSSNGKHLSFSEEE >OIV94833 pep chromosome:LupAngTanjil_v1.0:LG17:1333768:1335175:1 gene:TanjilG_22030 transcript:OIV94833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRVIRAQRKGAGSVFKSHTHHRKGPARFRSLDFGERNGYLKGVVTDIIHDPGRGAPLAKVTFRHPFRYKKQQELFVAAEGLYTGQFLYCGKKATLVVGNVLPLRSIPEGAVICNVEHHVGDRGVFARCSGDYAIVISHNPDNDTSRIKLPSGSKKIVPSACRAMIGQVAGGGRTEKPLLKAGNAYHKFRVKRNCWPKVRGVAMNPVEHPHGGGNHQHIGHASTVRRDAPPGQKVGLIAAKRTGRLRGQAASAASKADKTT >OIV94255 pep chromosome:LupAngTanjil_v1.0:LG17:7250093:7251432:-1 gene:TanjilG_00004 transcript:OIV94255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLVDGNFIEGVLSCRKRAGYVSILFYASSCPFSSKTLPEFESLSSMFPHVEHFALEKSLTFPSLFSKLGIRSLPAILLVNQTSRMRYLGPKNLKSLVEFYERNTGFEANGNTVGQLSCRVMNMQLRNG >OIV94477 pep chromosome:LupAngTanjil_v1.0:LG17:4597180:4601232:1 gene:TanjilG_25539 transcript:OIV94477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSTTFINPNMQSLLPYHKTISPNHAYIKQFCVRASASNSEDGERTIIKKEKDGWNINFSSQKPATPLLDTINYPLHMKNLSIQDLEQLAAEVRADIVHTVSKIGGHLSSNLGVVELTVALHHVFNTPEDKIIWDVGHQAYPHKILTGRRSRMHTIRKTSGLAGFPKRDESAHDAFGAGHSSTSISAGLGMAIARDLLGKNNSVISVIGDGAMTAGQAYEAMNNAGFLDANMIIILNDNKQVSLPTATLDGPATPVGALSRNLSKIQASTKFRKLREVAKTITKQIGGQTHQVAAKVDEYARGMISGSGSTFFEELGLYYIGPVDGHNIEDLVHIFENVKAMPAPGPILIHVVTEKGKGYPPAEAASDKMHGVVKFDPPSGHQFKQKSTRLTYTQYFAESLIKEAEMDNKIVAIHAAMGGGTGLNYFQKRFPDRCFDTGIAEQHAVTFAAGLAAEGLKPFCAIYSSFLQRGYDQVVHDVDLQKLPVRFAMDRAGLVGADGPTHCGAFDITYMACLPNMVVMAPSDETELMHMVATAATIDDRPSCFRFPRGNGIGSILPLNNKGTPLEIGKGRIMIEGSRVAILGYGSVVQQCLQAAQMLNTLDISVTVADARFCKPLDTDLIKLLAKEHEFLITVEEGSIGGFGSHVSQYLSITGILDGPLKWRAMMLPDRYIEHGAPQDQAEEAGLSSKHIAATILSLLEKPKEALLFK >OIV94103 pep chromosome:LupAngTanjil_v1.0:LG17:13803583:13806995:1 gene:TanjilG_05483 transcript:OIV94103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGALVGGAVLSAFLQVAFDRLASPAVLDYFRDKKLDDKLLKKMKVLLLSINAVVDDAEDKHYTNQHVSEWLDMVKDAVLDAEDLLDEIHTEASKSKLEAEFKTANTSSKVWRSYLPVSVSSFDKQLHSRMEEILDNLETLAREKDVLRLEKGSGVGVGVGLGESVSERQTTSLVDEAAIYGRDNDKSVITDLLLSDSGKNLSVISIVGMGGMGKTTVAQLVYNDSRVKDEFQFKVWVCVGGKLDTVRVTRKILEALSLPTNDIEDLNSLQVKLKEKLTGNKFLLVLDDVWDENYLNWERLKAPFVCGAQGSKILVTSRSQKVASAMRCAHKCNGLPLALKAIGSLLYTKLSYEDWNEILISEAWDISDDGCDIIPALRLSYQYLPSPLKRCFAYCSLIPKDYEFDKDCLVELWMAENFLQVHRKNKSMKGLGDQFFNDLLLRSFFQQSSREGKLFVMHDLVNDLAAHVSREFCLRLEKEEARNASEITRHISYFGNFCEGPNKFESIYKANKLRTFLPFLLCELPDSIGNLKYLRYLDLSYSGIKKLPDSTCLLYNLQTLKLSHCLHLEELPSDMHKLVNLRRLDFRGTKVRRLPNGLGKLKKLEVLSSFYVGKCDESNINQLAELKLQGTLSIKELQNVSDPIDALAANLKNKIHLERLILGWSMNDNGSENQRNVLENLQPPANLKGLLIVHYFGTRFPNWFGHNYLPNIVSLNLINCKFCFSLPPLGILPSLKTLWIVELEGIETIGPEFYGNNSSTAPFGSLQELHFQRMNAWEEWDCQNELGAFPCLKELHMIECPQLKTQLPGHLPSLSTLIIRKCIRLVASLPCAPAIHELHLCDCGTLHWESLAPTLKILWIGGRCIERSLLEETMHTMSNTCLETLDFWDCPNVEFRICHPLNFLRRFDLRKSCKTLEAFPLDLFPKLQRLFLEDCSNRERLSFSDKLDRRVTSLVELIIEKCPKLVSFPEGMHTLFPSLRLISLSHCPQLEFPDDGFPSSLGTLEISNCPKLIASQMRWDLHSCTSLSQLHIKDENCNKVDYKGLLPPILKKIDGNGPQLFSSLNYGYCPVLRSERDYF >OIV94803 pep chromosome:LupAngTanjil_v1.0:LG17:1599649:1602154:-1 gene:TanjilG_22000 transcript:OIV94803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCLGFHCHKSLLMIIFLAFLGLISFPAEAAIKKYQFDVQVKNVSRLCHAKPIVTVNGRFPGPTIYVREGDRVQVNVTNHVKYNLSIHWHGLKQYRNGWADGPAYITQCPIQSGNSYTYDFNVTGQRGTLWWHAHILWLRATVYGAIVILPKPGTPFPFPQPAREFEILLGEWWNNDVEEVEKQGFKMGLPPNMSDAHTINGKPGPLFPCSEKHTYAIEVEQGKSYLLRIINAALNDELFFAIAGHNLTVVEVDAVYTKPFTTQSILIGPGQTTNVLVQANKVPGRYFMATRTFMDAPIPVDNKTATAILQYKGIPNTVLPSLPQLPAGNNSDFALNYNKKLKSLNSAKYPANVPLKVDRNLFYTIGLGKNSCPTCVNGTRLLASLNNVSFVMPQTALLQAHYFNIKGVFRTDFPERPSTPFNYTGVPLTANLGTSTGTRVGKIAFNSTVELVLQDTNLLTVESHPFHLHGYNFFVVGTGVGNFDPAKDPTKYNLVDPVERNTVGVPTGGWTAIRFRADNPGVWFMHCHLELHTGWGLKTAFVVEDGEGEDQSILPPPKDLPTC >OIV94497 pep chromosome:LupAngTanjil_v1.0:LG17:4809567:4812057:-1 gene:TanjilG_25559 transcript:OIV94497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKHVTWPHISSPSPFSSLPFSNFTASQFSAAKHARSSRPPPSTSASTAPDFSSLPYDILIKIAASFDLPNLRAVSLVCRSWSEAVRPLREAMMLLQWGKRFKHGRGGIRQNAEKALESFLKAAARGSALAMVDAGLMYWEMGEKDMAVELYLKAAELGNHAAQCNLGLFYLQAEPPNTELALKWLYKASIGGNVRSQYQLALCLHRRGGVRSNMKEAAKWYLKAAEGGYVRAMYNTSLCYSFGEGLAHSRQQARKWMKRAADRGHRKAQFEHGLALFSEGDMMKAVVYLELATRAGETGAAHIKSLVLNRLSAASHDHAMCLADSWRALPSS >OIV94204 pep chromosome:LupAngTanjil_v1.0:LG17:10273882:10275243:-1 gene:TanjilG_28143 transcript:OIV94204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTITKCFGSATATCVSAPHPYTKDNCKYPCNIFATSSTISSTTTNVTRRPLSLSLISTAFSSLIFSPPPSYSSPSPSSKFPISNFFEVPNSSGLKVLDLLVGSGEIPSDGDQVAIHYYGRLAAKQGWRFDSTYDHKDENGDPNPFVFVLGAGKVIAGIDLAVRSMKVGGIRRVIIPPSLGYKDTSREPIPPNVCNSSAPHLYHNML >OIV93818 pep chromosome:LupAngTanjil_v1.0:LG17:20630139:20631600:1 gene:TanjilG_03781 transcript:OIV93818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRPFVGEVIAAKLLASNADGLRLSVGFFNDIYVPAHLMPIPNHFEADPINRNENESKKGTWFWDYEGEHYAIENSEDEIRFRVQSVSYSPFPLEQPKESKRFAPMLVTASLLKHEGLGPIYWWV >OIV94972 pep chromosome:LupAngTanjil_v1.0:LG17:314691:317490:1 gene:TanjilG_22169 transcript:OIV94972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDNNDLIEKVSAFGERLKIEGAEVGRKMSAGMSSMSFKVKEFFNGPNQVDKLAEDATSEALDEPDWALNLDICDLINTEKVNSIELIRGIKKRIMIKSPRVQYLALMLLETIVKNCEKAFSEVAAERVLDEMVRLIDDPQTVVNNRNKALIMIEAWGESTGELRYLPVYEETYKSLKSRGIRFPGRDNESLAPIFTPPRSVSAPEADVIRQQQIYVHDDVQRDVPVLSFTPEQTKEAFDVARNSIELLSTVLSSSPKQDVLQDDLTTTLVQQCRRSQATVLRIIETAGDNEALLFEALNANDEIQKVLSKYEELKTPTVVPVPPEPAMIPVTVEPDESPRHTKEDALIRKPVEPRVGAHGGSNDHMMDDLDEMIFGKKDGDSAAAAAHGGHDTKKPQSSKDDLISF >OIV94207 pep chromosome:LupAngTanjil_v1.0:LG17:10327311:10330667:-1 gene:TanjilG_28146 transcript:OIV94207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLKVLSALDTARTQYYHFKAIIIAGMGLFTDAYDLFSITLIIKIIGRIYYGDRHGDNKYQTPPVVASALVAIALLGTAIGQLVFGRLGDLKGRRYVYGLALMLMVIGSLASGFSICTSRTCVLLSLGFFRFVVGLGIGGDYPLSSTIMSEFANKRTRGSFIAAVFSMQGFGILASAIVTTVVCSIFHRASNTTPQKPTPQGADVAWRLILMIGAIPAAMTYYWRMMMPETARYTALVEQNVMQATKDMEKVLDISISEQAEENPLPPTQHKYPLLSMEFLRLHGRDLFACSSTWFLVDIVFYSSNLFQYQIYKHFLKNKNNVDVYQEAIHLARIQAIIAICSTIPGYFFSVYFIDRVGRIKIQMMGFFFMGLAFFAIGIPYYSYWTTEKEHNKGFIVLYGLAFFFANFGPNTTTFIVPAELFPARFRSTCHGISGAVGKVGAIIGSVGFLWASHKEEEEGYPKGIGMQVSLIILGGVCIVGMLVTFFFTRETMGRSLEENEIEPLQIEVEEFNYG >OIV95005 pep chromosome:LupAngTanjil_v1.0:LG17:111567:116714:1 gene:TanjilG_22202 transcript:OIV95005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVINNHLTTADHHHSPSHLLRSIPTAAQFFSQEGFSAVLPEKLVTGNWNVYRSIRSPLNLVSRFRDHPEITTLHDNFVRSVETFRDYKYLGTRIRDDGTVGDYKWITFGEAGTARSAIGSAFISHGIPKDSCIGLYFINRPEWILVDHACSAYSYISVPLYDTLGPDAVKYIVNHAAVQVIFSVSETLNSLLSILSEIPTVRLIVVVGGIEDQIPSLPSSTGVQVITYSKLLNQGRSNLQPFRPPKPDDIATICYTSGTTGTPKGAVLTHGSFIANVAGTTIDENFSPADVYISYLPLAHIYERVNQIMLAHFGVAVGFYQGDHLKLLDDLAALRPTIFCSVPRVYNRIYAGILNAVKTSGGLRERLFNVAYNAKRQAMINGKNPSPFWDKLVFNKIKAKLGGRVRLMVSGASPLSPDILEFLRICFGGRVAEGYGMTETTCTITVMNEGDELTGHVGSPNPACEIKLVDVPEMNYTSDDKPYPRGEICVRGPIIFQGYYKDEAQTREVIDEERWLHTGDIGTWLPGGRLKIIDRKKNIFKLSQGEYIAPEKIENAYAKCKFIAQCFVYGDSLNSSLVAVVSVEHDVLKEWAASQGIKYNNLAQLCNDPKAKAAVLADMDACGREAQASYSF >OIV93863 pep chromosome:LupAngTanjil_v1.0:LG17:16071499:16072428:-1 gene:TanjilG_05566 transcript:OIV93863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNTNNNTKLILLHPYIQKQGSSNRLWLLAFISLFTIAFLVTLIYTRESTSITTATSSIIASTTPVSGLGSAPLPATVINTLLHYAAKSNETYHMQYSDLKPVSDVLRKCSSPCNFLIFGLTQETLLWKALNHNGKTVFIDENRYYAAYIEEKHPEIDAYDVQYTTKRSEMKELIASAKEQVGNECKPVQNLLFSDCKLGLNDLPNHVYEVDWDVILVDGPRGDWPDAPGRMSAIFTAGVLARSKKGGNSKTHVFVHDFFGEVEKVCGNEFLCKENLVEATYTLGHYVLEKMDESSVQYCKSSGASSST >OIV94196 pep chromosome:LupAngTanjil_v1.0:LG17:9933880:9935928:-1 gene:TanjilG_28135 transcript:OIV94196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQVCKWCHLQRALCQQYYFHNIDGELVAPSYHKGNGKAEDWLLFESVNNVFITKVCLMAKALLCGIASILAKEIAQSELRIGSLGWNKKEPDVQHVTIRSVIFIGTQNGVRIKSWGRPSSGFVKEVIFQNATMVDVQNPILIDQNYCPSKKNCPSQVSGIKISDITYEDIHGTSATQVAMKFDCSSTNPCKGIRLEDIKLTYRNQVAQASCKHVGISDMKSVQPERCS >OIV93789 pep chromosome:LupAngTanjil_v1.0:LG17:21295703:21298400:-1 gene:TanjilG_07692 transcript:OIV93789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNLYKKTPNLHCNAGSKCSMFTVLWCLLGCLLLFHLHSNIDRKDREVEQFQLRVSHHPQLRELQEVEVESIRIPSSKGKRSPRAAKRRPKRTTTLVDEFMDENSPLRHVFFPGRKSTIQPMKASGNDTYYYYPGRIWLDTDGNPIQAHGGGILYDEKSRTYYWYGEYKDGPTYHAHKKGAARVDIIGVGCYSSKDLWTWKHEGIVLAAEETNETHDLYKSNVLERPKVIYNDKTGKYVMWMHVDDANYTKASVGVAISDTPYGPFDYLGSQRPHGYESRDMTVFQDDDSMAYLIYSSEDNSELHLGPLTENYLNVTSVMRRILVGQRREAPALFKHQGTYYMITSGCTGWAPNAALAHAAESIFGPWETMGNPCIGGNKVFRQTTFFAQSTFVLPIPRFPGSFIFMADRWNPSDLRDSRYVWLPLIVAGPLDQPLEYSFQFPLWSRVSIYWHRKWKLPQG >OIV94536 pep chromosome:LupAngTanjil_v1.0:LG17:5278801:5279904:1 gene:TanjilG_25598 transcript:OIV94536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTDHGVSFDLSPMEGSEDVHVLAVDDSLIDRKFIERLLKISSCKVTAVDSGTRALQFLGLDENRRTHDSDDGFFGLKVDLIITDYCMPGMSGYELLKKIKESSTFREIPVVIMSSENILPRIDRCLEEGAEDFIVKPVKLSDVKRLKGYMTTRDVKVGSQGHGGDDDRGGVGINKRKVSATLDVTSSPSSILSPILSLSSLSPEHSSSVSSSPTIIDSPIRRLKMTSIE >OIV94725 pep chromosome:LupAngTanjil_v1.0:LG17:2253828:2254253:1 gene:TanjilG_06188 transcript:OIV94725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASITLFNLTFTLTLLLSSLLLSLTLTPNALVRAHIDETSLNELNLMSDTLEWPTTMSLYDDNIDEDNNDEEQEDMENGYGRRSLFWRRMKYYISYGALSANRVPCPPRSGRSYYTHNCYNARGPVHPYSRGCSAITRCRR >OIV95021 pep chromosome:LupAngTanjil_v1.0:LG17:9479:18127:-1 gene:TanjilG_22218 transcript:OIV95021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDKNRKLHNQFHTEAAAAAASTSSSFPSIFSGVSIFVDGFTVPSTQELRSYMLKYGGRFENYFSRHHVTHIICTNLPHTKLKNLRAFSAGLPVVKPTWILDSVAANRLLSWVPYQLDQLVNNQPKLSSFFTLKSHKMSEDAFTNALCQAVPDVEDSSMRDGQSKDTDSSGAGEVVELSRQLRFSSESDDILTENNNAITMEEPTSVRVRCGEEGIAEGSNADIGDERNVQGELDLNHQEYCMSVSASRSESPPDQNVKELSSSETSRPSKHFRSTLADPNFVENYFKSSRLHFIGTWRNRYRKHFPVSSTGFNNENSNIHASQNPLIIHVDMDCFFVSVVIRNHPELLDKPVAVCHSNNSKGTSEISSANYPARGYGIRAGMFVRDAKALCPHLVISPYNFEAYEEVADQFYSILHRHCSKVQAVSCDEAFLDVTDSKVEDPKLFASSIRKEIYETTGCTASAGIAGNMLMARIATRTAKPNGQYHITPDKVEYELTQLSINALPGIGHVLQQKLKKQKVHTCGQLQMISKASLQKDYGVKTGEMLWNYSRGIDNRMVGAIQESKSIGADVNWGVRFKDMKDCDHFLINLSKEVSLRLQGCGVQGRTFTLKIKKRRKDAEEPAKFMGCGDCENLSHSITIPLATDNVEVIQRIVKQLFGFFYIDVKDIRGIGLQVTRLESVNTSKQRAEKCTLKSWLTSESASMGKQKYPMGNKQNIDGMSGHGCRDLQGSSVLIDSNILNNQASADTMLTAPSLCQLDVEVVKNLPPEVFSELNEMYGGKLVDFVAKGEGTNETINKDAELSYSDRIPQNKFVFSYIYHMIKSEVVQKEEEGVEVGTGSRDGSYVKVTHISSFEKDDLLPSSLSQVDASVLRQLPEDLKAAIVEQLPTHRRQESCSNAVVAPLSEIHQAPVGIKSSENHSGSSDHVSSDSLWAGNPPHWIDKFEVSNCFILKRLAEIYYRSGLTSTLSSVLHKIISEFHELDLAHQISDETVNIMCELLKQYTKEKIETDIEEIYICFRLLKRAVLTRMD >OIV94275 pep chromosome:LupAngTanjil_v1.0:LG17:8575249:8576430:-1 gene:TanjilG_00024 transcript:OIV94275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRTSSYWCYRCSRSVRVLMQDTVVCPYCDGGFLEEIEHPARAIHVEEGRQRFPAAEMNMGGQPPSFDQNTRPHFRRSGRISSDRSPFNPVIILRGSHGGSTEGDAAAAAVQDDRDGRGFELLYDDGAGSGLRTLPPSMSEFLLGSGFDRILEQLSPIEINGIGRSEHPPASKAAIDSLPIIEIGKSHLELESHCAVCMDPFELCTPVREMPCKHIYHEECIIPWLVLHNSCPVCRRELPAANIPNQITPLMGNSTNSVAINEDGNVGLTIWRLPGGGFAVGRLSGGRRGAERELPVVYTEMDGGFNNGGEPRRISWSSRGNRGGRESGGLRRFFQNMFGCLRGGGVGTRQPISSTASPSITRASSSHSNANPSVRSRRTWSMDVNSGLRSW >OIV94628 pep chromosome:LupAngTanjil_v1.0:LG17:3016329:3018374:-1 gene:TanjilG_25852 transcript:OIV94628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMASSQLLLQQFAIPQYSNLCSPPIGKLSFVSSCSTSRNIPFTSISWSHNVKKHRPHFVVRAESQPQETTENVEEEEEEKKEEQVSDPKPARQPRVKLGDIMGILHKRAIEASEKERATPDIRTGDIVEIKLEVPENKRRLSIYKGIVISRQNSGIHTTIRIRRIIAGVGVEIVFPVYSPNIKEIKVVNHRKVRRARLYYLRDKLPRLSTFK >OIV94623 pep chromosome:LupAngTanjil_v1.0:LG17:3052502:3054064:-1 gene:TanjilG_25847 transcript:OIV94623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTVENEDLGLSLSLSFPHNTPNHIQLNLMSSPICNPQKPSWINDPFTSSDRDSETCKGERESFLQGIDVNRLPSVVDCEDEAGISSPNSTISSVSGKRSEREPNGEENDMDRFFSRGISDEEDAETARKKLRLSKDQSAILEESFKEHNTLNPKQKLALAKKLGLRARQVEVWFQNRRARTKLKQTEVDCEFLKRCCENLTEENRRLQKEVQELRVLKLSSHFYNHMTPPTTLTMCPSCERVAVPPSAVDFATRHHPMAPTRARPISVVGPWASGAAPIPFDVLRPRS >OIV93816 pep chromosome:LupAngTanjil_v1.0:LG17:20610647:20616699:-1 gene:TanjilG_03779 transcript:OIV93816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSNYASINTLFFLFLAVLVAQVSFCFSSKVYVVYMGSKIEEQHHDDIMKQNYKMIASVHSGSFEKAQASHVYSYMHGFRGFAAKLTDEQASKISKMEGVVSVFPNCKRKLHTTHSWDFMGLLDDQTMETLGYSIRNQENIIIGFIDTGIWPESPSFSDTDMPPVPPRWKGQCQSGEAFNASSCNRKVIGARYYMSGYEAEEDSDTKVSFKSARDSNGHGSHTASIATGRYVANMNYKGLGAGGARGGAPMARIAVYKTCWDSGCYDVDLLAAFDDAIRDGVHILSLSLGAQSPQGDYFNDAISVGSFHAAKRGVMVVASAGNEGIPGSATNLAPWILTVAASSTDRDFTSDIVLGNGAKIMGESLTLLGMNASTRIISASEAYAGYFTPYQSSYCLDSSMNKTKTKGKVLVCRHVQSSTESKVAKSKVVKEVGGVGMILIDETDQDVAIPFVIPSAIIGRKTGERILSYLKNTSKPRSRILGAKTVLGAKPAPRIAAFSSKGPNALNPEILKPDVTAPGLNILAAWSPAAGNMFNIMSGTSMACPHVTGIATLVKAVHPLWSPSAIKSAIMTTATILDKHHRPISADPGRRRANAFDYGSGFVNPARVLEPGLIYDSEPSDFVAFLCSLGYDQRSLRLVTRDNSTCERAFRTASDLNYPSITMPNLKDNSTVTRIVTNVGKARSIYKAVVSSPPGINVTVIPSRLIFTRIGQKIKFTVNFKITAPSKGYAFGFFSWTNGISQVTSPLVVRVASTNHGLGR >OIV94832 pep chromosome:LupAngTanjil_v1.0:LG17:1338075:1340139:-1 gene:TanjilG_22029 transcript:OIV94832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAVKLFLSDDCPSKVGQKSQENLQAKTLTMLPSSTNEYNDLPPGFEGNYFLIQSKAKFSHIPQIKWECAPQFTPDPEWRLAAGEHSREKVDQKHREMRVLEAVYPRLSAIPPSPSVSSEVEQEDYDDNLTPLIPITEPVEEEDSVDITPEMALTQPFDTTTNLQSQNFQQSTSATSPIIQQCHASSTVPSSACGGSLPGISPGLEQDLTAAVIAAISRSNDQGNLIDMDLLAKIFNDPILIEKLIKERGRTAAATPVNASSNSVSIPTSGLEPATSVPVSKPTTPPSTMALPSMSNQATKSASFLTPAPGKPADPSVSIFTSALHNPVGKSASPSLTLPRPLPPSPPAPAPLNHKHVNTNNHHMTDGVLHNLNTHPPQQDLLVSYGPRRSESLGTLLPFPSTTMNAHAGANQVGSTASSAAYQPSISSAFAVKKDANYYKNLIKQHGADKKDKQDSQIGTHHNNFEDSKTVQNFKMGEMISKIQKPCIYFKSPRGCRNGFNCPYQHDMSVQLRVSNVLESPNQKRFKLGPEINWRV >OIV94238 pep chromosome:LupAngTanjil_v1.0:LG17:9538588:9538969:1 gene:TanjilG_08536 transcript:OIV94238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGTSEANFNQEICREDFRLEEYDCANNGDDRNNEIVRPKGEVEECDVGIIDLKLMDNNVSLSYSSPFEIAEKMVRPMEEVKYDLDESPLLKRNSKDEV >OIV93903 pep chromosome:LupAngTanjil_v1.0:LG17:17689184:17692160:-1 gene:TanjilG_05606 transcript:OIV93903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHHSSGTDLQYKAQQQQSVHGNNYGNGSMKHIGGGSLETAQPKSAQIAFTYEMVMEMTNAFSSENVIGEGGFGCVYKGWLHDGRTVAVKQLKAGSGQGEREFQAEVEIISRVHHKHLVSLVGYCISEQQRMLIYEFLPNGTLHHHLHGNGMPVLDWSKRLKIAIGAAKGLAYLHEDCSQKIIHRDIKSANILLDDAFEAQVADFGLARLTDTGNTHVSTRVMGTFGYMAPEYATSGKLTDRSDVFSFGVVLLELVTGRKPVDQTQPLGDESLVEWARPLLIRAIETHDISELTDPRLEKHYVESEMFRMIEAAAACVRHSTPKRPRMVQVVRALDSADDISDLTNGVKYGHSTVYDSGQYDKDIMLFRRMANDSFVDANSDTYSKEYGYSRDMSGIQPAWLRSGSSGESESKAFNRHQSSS >OIV93805 pep chromosome:LupAngTanjil_v1.0:LG17:20533315:20534647:-1 gene:TanjilG_03768 transcript:OIV93805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTTSFFATNESTDWSLTQSETIAVIYAGVIASALNYGLITWSNKILGPAMVALYNPLQPGASALLSRIFLGSPIYMGRERQAASVAIPVKNSYQRGHIFSGHTILPTKPSD >OIV94277 pep chromosome:LupAngTanjil_v1.0:LG17:8757797:8763701:-1 gene:TanjilG_00026 transcript:OIV94277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLFPTLNSSIICKCNASSFIDFQNHNGPHALDASYIRRAADIADKSAGLTSPHPNFGCVIAAPSGAIAGEGFLYAQGTTPAEVQAVEAAGERCRGATAYLNMEPGDCHGDHTAVSALVQGGIQRVVIGMRHPLQHLRGNALRAFRTQGLHVDLLGEDLTSKDIEDAQKACLLVNAPLICRAASRVPFSVLKYAMTLDGKIAASSGHASWISSKQSRNLVFELRGRSDAVIVGGNTVRRDNPRLTARHGGGHMPIRIVMTQSLNLPEEANLWDMSEVSTIVVTQRGARRSFQKLLASKGVEVVEFDMLNPRDVMEYFHDRGYLSILWECGGTLAASAISSGIIHKVFAFVAPKIIGGKNAPSPVGELGMVEMSQALNLTDVCYEQVGPDMLISGFLQPMPDMVPNIPSLDETVTVDPTISPYEPSIIFFYKAWDPYGAFSNFSPHPIQMPDENGDYVTWLSVEHYYQANKFVGVDDTFAQNCVETIKSVKSPEEAARIGRSMQRQRPDLIRSDWDNIKIEVMYKALKCKFSIYPHLNSMLLSTAGSVLVEASPHDLFWGGGRDGEGLNHLGRLLMKLRSEFLGETSSSDTPTVGL >OIV94495 pep chromosome:LupAngTanjil_v1.0:LG17:4802779:4803219:1 gene:TanjilG_25557 transcript:OIV94495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTRFKKNRKKRGHVSAGHGRIGKHRKHPSGRGNAGGMHHHRILFDKYHPGYFGKVGMRYFHKLRNKFYSPIVNIDKIWSLVPQEVKDKATKDKVPLIDVTQFGFFKVLGKGVLPENQPVVVKAKLISKIAEKKIKEAGGAVILTA >OIV94631 pep chromosome:LupAngTanjil_v1.0:LG17:2999002:3001017:-1 gene:TanjilG_25855 transcript:OIV94631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFLIVSEDLDYKHCTPLWRNGPLEKPVLCNACGSRWRTKGTLANYTPLHARAEGDDYEDQRISRVKSMQSNKNKEVKALKRKLNIDNVVYGGLSPDYNLGFRKALDEDTSNRSSSGSAISNSESCAQFGGVDANDLTGHAQPVVWDTTVPSKKRTCVGRAKPSSVEKLTKDLCTILHEQQSYFSASSEEDLLFESETPMISVEIGHGSILIRHPSHIAREEESEASSLSLDNKQCTMNEAAHSYSAAILMHNDFSGMNFSSMGVEKVRNTAGQGMQQEHLTRHAF >OIV94384 pep chromosome:LupAngTanjil_v1.0:LG17:3511529:3512958:-1 gene:TanjilG_25446 transcript:OIV94384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDLQILKTKLCIIGSGPAAHTAAVYAARAQLKPILFEGWMANDIAPGGQLTTTTDVENFPGFPDGILGGELMDRCRKQSERFGTEIYTETVSKVDFSNRPFRVFTDSKAVEADSVIIATGAVAKRLPFVGSGDGPDGFWNRGISACAVCDGAAPIFRNKPLAVIGGGDSAMEEATFLTKYGSEVHIIHRRDSFRASKIMQARALNNKKIKVIWNSVVVKAYGDENNKRVLGGLRLKNLETGVESDLKVSGLFFAIGHEPATKFLDGQLDLDSDGYVATKPGTTKTSIEGVFAAGDVQDKKYRQAITAAGSGCMAALDAEHYLQEIGSQQDKSD >OIV94055 pep chromosome:LupAngTanjil_v1.0:LG17:14782591:14784660:1 gene:TanjilG_14302 transcript:OIV94055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADHHEHEEVKGESLLEKISGKIHELDSSSSIKSKVFRIFGREKPVHHVLGGGKPADVLLWRNKKISATVLGVGTAIWVLFELLEYHFLTLVCHLLIFALAVLFLWSNASAFINKSTPKIPQVHIPEEPVLQFASALRLEINRAFAVLRDIASGRDLKKFLSVIAGLWVFSILGSYANFLTLFYIAFILLHTLPVLYEKYEDQVDSFAEKATAEIKKQYAVFDAKVLSKIPRGPLKDKKKD >OIV95014 pep chromosome:LupAngTanjil_v1.0:LG17:54842:55075:-1 gene:TanjilG_22211 transcript:OIV95014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAEFEMSDLGNLSYFLGIEFTRSKDGILMHQRKYSNDVLKRFNMEHCNLASTPMETSSMTAASEEGTKGIDKILYR >OIV93813 pep chromosome:LupAngTanjil_v1.0:LG17:20588745:20592612:-1 gene:TanjilG_03776 transcript:OIV93813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSNENERVTVREFCANGTFLVTTEIEAKLDEGNIQEAEAALREGLSLNHEEARSLLGKLEYQRGNVEGALRVFDGIDLQAAIQRLQPSLSEKSPVKKGSIRTGSPSSVSQHAASLVLEAIYLKSKSLQKLGKFTEAANECKRVLDAVENIFYQGVPDIQVDNKLQEIVSHAVELLPELWKYAGCYNEAISAYRHALLSQWNLDNDFCARIQKAFAVFLLYSGVDASPPSLAVQVEGSYVPKNNLEEAILLLMILLQKFSLGKIKWDPSIMEHLTFALSACGQTSLVAKQLEELLPGVYHRIDRWNSLALCHSGAGQNISALNLLRKSLHKHERPDDLISLLLAARLCSEDPPLAAEGAGYAQRAINNAHGQHEHLKGVALRMLGLCLGKQAMVASSDFERSHLQSKALQSLEEAARMEQNNSDLIFELAVQYAKHRNLTAALRYAKQFFDETGGSVLKGWRLLALVLSSQKRYAEAEVVTDAALDETVKWEQGPLLRLKAKLKISQSRPMDAIETYRYLLALVQAQRKSFGHLRICSQIEDDKVNEFDVWHDLANLYASLSHWKDAEICLQKARELKEYSAAVIHTEGKNRTIMLLGIFYSSMPHLCLFSLQFYSPRLSYVREYPWIQSLACKTPVLISNGSPLSDVGARIIFDGRGQNQEAFAATTNAVLLEPNYVPSKISMGSLMLKMGSKALPAARSLVSDALRIEPTNRMAWYYLGLIHRHDGRIGDAADCFQAASMLEESDPIENFSTIL >OIV93857 pep chromosome:LupAngTanjil_v1.0:LG17:20332778:20336993:1 gene:TanjilG_13872 transcript:OIV93857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSEANDKNTVFRKLKAKPQNKMCFDCNAKNPNWASVTYGIFLCIDCSAFHRSLGVHISFVRQVLSTNLDSWSPEQLKMMSFGGNNRAQVFFKQHGWTDGGKTEAKYTSRAAELYRQLLSKEVAKSMAEEAIVASSPFTSQSAQGANGLPEVKTNEAPKQNTLEKPEKPESTSSPRASYTAVSSTIKKPIGAKKPGKSGGLGARKLSRKPSESLYEQKPEEVPSPIVTSSTNNNLSDGPSLTSRFEYVENVQSSEFNSEGSHATGHVSAPKSTSFFSDFGMDSSFPKKSGPSSSKVQVSHVLQPFSLAVLVSRLSYRIQESDVARKKFSNAKSISSSQFFGDQDKADVEAKATLSKFSGSTAISSADLFGDSRDNSSVDITASDLLNRLSFQAQQDISSLKGIAGETGKKLSSLASSFMTDLQDRIL >OIV94502 pep chromosome:LupAngTanjil_v1.0:LG17:4859789:4863481:-1 gene:TanjilG_25564 transcript:OIV94502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVVKANSSPTVPTNKNSFPCSNGTTLPLTNSIINLDQGDPVVLGTYWKKMSEECTVVIKGSDLMSYISDTSNVCWFMLPEMSDAIKRLHSVIGNAVTEDKHIVVGNGSTQLFQAALFALSHSHSSQPINVVASAPYYSEYQEEVEVVRSRMYQWGGDAAVYDKNEPYIEVVCSPNNPDGTLQIPVVRSEAEGKLIHDLAYYWPQYTPITHQPDHDVMLFTFSKCTGHAGSRIGWAIVKDIEVARKMTRFVQMSSIGVAKESQTRVAKIIKVICDSYQNYGSVESELFFEYSKSKMRERWEKLRAAIGQSKIFTVAKFPKAYCNFTNESSETYPGFAWLKCEEGIDDAEGYLRKLKILSRGGERFGVGPNYVRLSMLASDKVFNEFLVRLSNAKRDI >OIV94640 pep chromosome:LupAngTanjil_v1.0:LG17:2943838:2946576:-1 gene:TanjilG_25864 transcript:OIV94640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFNSKVAGQYFPGQEDPAILASQTVFTFSEVEALFELFKSISGSVIDDGLISKEEFQLAIFKSRKEENLFANRIFDLFDVKSKGVIDFGDFVRALSVFHPNAQQQVKIDFSFRLYDLDGTGFIERHEVKQMIIALLCESEIKLTDEMIETIINKTFLAADPNQDGKIDKSEWQDFVSENPSLLKIMTLPYLRDITTSFPSFVFNSKVDDAVNA >OIV94239 pep chromosome:LupAngTanjil_v1.0:LG17:9539795:9540895:-1 gene:TanjilG_08537 transcript:OIV94239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISIESCSPRNISDPFRKEGSEEEIPLQKQGLELKLSDHGPSHYSDNIIQSMNALEILRETVRILRFNSWGFMAIIALLICPVSAVVLSNVLVDESIVKSLSIRLMLVAKASGLPLRPLIKQSCQHFAEMVISSATSFPFYVTLLLLSKAAVVYSVDCTYSRKKFDASKFCVIIRKFWRKIASTYMWACVVIVGCITMLCVFLIAFCSVLSVLGFSPDISVYAAVMVGLVFSVIFANAIIICNIAIVISVLEDVSGTQAMLRSSILIKGQTHVGLLIFLGSTIGLAFVEGLFEHRVKTLSYGDGSSRMWEGPLLVIMYSFVVLIDSMMSAVFYFSCRSFSTETSDGEGNSILETMAISTETTPIQ >OIV94558 pep chromosome:LupAngTanjil_v1.0:LG17:5551865:5555206:-1 gene:TanjilG_25620 transcript:OIV94558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLLQPHANDCEPNNSSKILPTISEYHTTFDVEAMKSIKTGEADQEEGVFLTWEDLWVTVSNGKNGRKPILEGLKGYAKPGQLLAIMGPSGCGKSTFLDALAGRLSSKIKQTGKILINGHKQALAYGTSAYVTEDDTILTTLTVGEAVYYSAQLQLPDSMSKSEKKERADFTIREMGLQDAIDTRIGGCGSKGISGGQKRRVSICIEILTRPKLLFLDEPTSGLDSAASYYVMSRISSLNEKDGIQRTIIASIHQPSNEVFQLFHNLCLLSSGKTVYFGPVSAANKFFSSNGFPCPSLQNPSDHFVKTVNKDFVHDPEKGLAGGLSTEESIHILAKLYDSSEINHQVEKEIAQIIERDSVSMENGSHANFYTQCLILTRRSFLNMYREVGYYWLRLLIYGALALSLGTMFFDIGSSSESIQARGSLLVFVVTFLTFITVGGFPSFVEDMKVFERERLNGHYGVTAFVIGNTLSAIPFLLLISLIPGALVYYLVGLHQGHEQFLYFTSVLFISVLLVEGLMMIVTSMVPNFLMGIIFGSGILGVMMLDGGFYRLPSDIPKPFWRYPLHYISFHKYAYQGLFKNEFQGLKFTSNQLGGPANFSGEEILRHLWQVDINYSKWLDLAILAGMAIVYRILFLVIIKSFEKMKPIVSAINCPQPNFRFTKVTRSNEIE >OIV93746 pep chromosome:LupAngTanjil_v1.0:LG17:20895723:20908042:-1 gene:TanjilG_07649 transcript:OIV93746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGPLSPKTAPEKTDRVGSLRKKAMSFRNSITRRSRRSSSKVMSIEIEDIHDAEELKAVDEFRQALVLDELLPEKHDDYHMMLRFLKARKFDIEKSKQMWSDMLQWRKEFGADTIIEDFEFKELDEVLQYYPQGNHGVDKDGRPVYIERLGQVDVTKLMQVTTMDRYVKYHVREFERTFDLKFAACSIAAKKHIDQSTTILDVQGVGLKNFNKHARELVTRLQNIDGNNYPETLNRMFIINAGSGFRMLWNSIKSFLDPKTTSKIHVLSNKYQSKLLEIIDASELPEFLGGTCTCADQGGCMRSDKGPWKDPEIIRMVQNGEHKCSRKWELPVVEEKTTTCEAPATKAYNYDDFVPAADKTARKKVDDNDTFALSKVGVESYAMADSCKIHEKVNSQIFSGVMAFVMGIVTMVRMTRNMPKKLTDADFYSNSAYKGSQKVSSDQMTTNPAISAQEFMTVMKRMAELEEKVINMNQNACMPPEKEEMLNAAITRADALEQELMATKKALEDSLATQQELSAYIEKKKKKKKLVLSNKYQSKLLEIIDASELPEFLGGTCTCADQGGCMRSDKGPWKDPEIIRMVQNGEHKCSRKWELPVVEEKTTTCEAPATKAYNYDDFVPAADKTARKKVDDNDTFALSKVGVESYAMADSCKIHEKVNSQIFSGVMAFVMGIVTMVRMTRNMPKKLTDADFYSNSAYKGSQKVSSDQMTTNPAISAQEFMTVMKRMAELEEKVINMNQNACMPPEKEEMLNAAITRADALEQELMATKKALEDSLATQQELSAYIEKKKKKKKLDSKLSSIVDDLSGLTLLEVMDLVEVMREKKGITELPLMMLMTPGMGLRVSPKGGASVGGGGGKVEEKKAEKTAFDVKLDAFDAASKIKVIKEVRTFTSLGLKEAKDLVEKVPSVLKKGVTKEEAESIIAKMKEVGAKVSME >OIV94909 pep chromosome:LupAngTanjil_v1.0:LG17:779707:781149:-1 gene:TanjilG_22106 transcript:OIV94909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKGGPRNFPREEGIQTRPSTNVKSMMRFNHLKQLALWATTEAPIPSLGAFYCHQVANMGEAMGLPNDPSFLTCQRCETALHPGLNSTVRIEKDRSKVKRKHNNYGNNNTQNNVVFKCHFCLHQNLMRGTPIGYLKGLYPSKPKSKRKSFSNSKLSTKPIKHMAFIHGSPVTPSSTGTPTLLGGKKRKRNNSASNKKTSETASMSAKVDGEKTLGISSKRQKILE >OIV94189 pep chromosome:LupAngTanjil_v1.0:LG17:11018151:11018543:1 gene:TanjilG_13806 transcript:OIV94189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGALLVYDITRRSSYENVSKWLLELREFGGQDMVVILVGNKSDLVQTREVEKEEGKGYAETEGLCFMETSALKNLNVEEVFLEMITKIYDITRQRGLEAKMDEKPINILSGKEIHIVADEVTTTKNATCC >OIV94188 pep chromosome:LupAngTanjil_v1.0:LG17:11009778:11009999:1 gene:TanjilG_13805 transcript:OIV94188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDTYDEDCDYLFKVVLIGDSGVGKSNLLSRFAKDEFRLDSKPTIGVEFAYRNIKVGDKLIKAQIWDTAGQER >OIV94693 pep chromosome:LupAngTanjil_v1.0:LG17:2514692:2520380:1 gene:TanjilG_25917 transcript:OIV94693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEKKRLHSSLHSSPQEFLNSALKLSLKSSKPYFKTLIHSIKPSSDLSSSLPLSLSNSISTSVQSFQNLLEPNPSNIISPSNSPLTKTLRRSSRHSGSEPKAEAQHRVPNVGSEKLKLLERLQILAHIASLCLSHPKKAFSHENLLPGVQLLHDNLILFESDSVLSSLIECLCEDWWKENLPGRESLISQALPFLLSRSLTLKKKVDVHRVYMLREAFSLFDFDDESIEDLKLLLVRCVISPLYLKTEDGRKFLSFLFGLSDQLGKELLAVIRSQIPFGRKSMLEAYGDVLFRAWKTAEGDCRVEIENGFLQDMIEAAIHASSGAFASYIRRVIGAFVNQRTIDGVEKLLYRLSEPVIFRSLQVANSNVRQNALHLFLDIFPLEDPDATKEEKDTLLDKQFFLLEKLLMDDCPEVRTIAVEGSCRVLHLFWEIIPSPTITKIIKKIFDHMSHDVCSEVRLSVLNGIIYLLGNPHSHEILKVLLPRLQHLMLDNVLTVRVAAVDLLINLKDIRNFQFNKVVELDVLLSVLASDQPPVAQRITKLLMPSYFPSKVPIKEACNRCISLVKRSPVAGAKFCKFVILEGASKTHLMELAKVLMSFVLSPDKLDSKQIEGFLVAASYLCDNLASEVCYRNALKELFTEEKLKGLLTVVSTGQAQSSLFNIVSAVCPDGVDDLLEQCMDVVTNSIGLPEDVDNQSKTRSAHKMLMSLGCFDDMFESLTALLHKAAYRCHIKFGTEMPSQGFSSAKKKKSKSSGKFSTKSKVINRKQSFKDDYLVAVGIAWQVRELLLHEDTRKAILRSQVLEMSFSSLKMISEVSIVHCGQQEYMDIYPVLAYMTLALQMANDNDSTIGMHNSDKKRNKNKIDSSTSLSEARSVYDEPRQVACKVKMLAAVLKFTADTTAVSFAPHNHGLFLNYTSKCVQHIISSLDRLYHNQIQFKDEDLKNTFYCVKSSFTYATKMLNMILSDSSVSATSPPEPFALVNNLLDLMILVESYMGSGYASRLLSAAEPWLPDLALALGYASILKHSESGREHSIVSDQLKLHFPKWPLIVAKSELSKVNEAGEDNGSSRQEKFSEFNRLFTLLIILLKKNPSIMDAVGDIFLVSSLVGLERKDFELALGLLRFVYFKFKLDDKDWGDMMLSSLQEIYPKIKREITEESHEDELEKLTSAKELIEPLWMYHLYETGRVSMTDD >OIV94609 pep chromosome:LupAngTanjil_v1.0:LG17:3170567:3171472:1 gene:TanjilG_25833 transcript:OIV94609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGWMKSLQCKSRAFEDVYHPNAKLLKPTASCRNTLQNIKDVVDKTTTTATTSATKYNSKKPKPKPGPPLQKHSSSRYPETSTKSEFEATINRSRSTTVATNRAPAAAEPRFPSLSELTEGHPSRNVLEIIFHTSWGPKPFSGRVEMIFKVNNAPRTVSRFEEYREAVKCRSGSGGSSWEENARCVADGNEVMRFHCLGPTSSGGPYGGACAWSFPGGKGSAVCTFSGSGAAHESSGGGRGTRAMLVCRVIAGRVSKQEGFMNSLMEGRVGFDSVSGDNSELFVFDSRAVLACFLIIYKL >OIV94047 pep chromosome:LupAngTanjil_v1.0:LG17:14690871:14692895:-1 gene:TanjilG_14294 transcript:OIV94047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLHKFGEKLYSGLVATMTGHLKDIAKSVEAAHGASFLEELNRKWNDHNKALQMIRDILMYMDRTYIPSNQKTPVHELGLNLWRENVIYSSQIRTRLLNTLLELVQSERTSEVVDRGIMRNITKMLMDLGPSVYGQEFENHFLQVSAEFYRVESQKFIECCDCGEYLKKAERRLNEEMDRVSHYLDPRTEKKITDVVEKEMIENHMLILIHMENSGLVHMLSDDKYEDLGRMYNLFRRVTDGLLKIREVMTSHIRESGKQLVTDPERLKDPVEFVQRLLDEKDKYDKIINMPFNTDKSFQNALNSSFEYFINLNPRSPEFISLFVDDKLRKGLKGVSEDDVEVTLDKVMMLFRYLQEKDVFEKYYKQHLAKRLLSGKTVSDDAERSLIIKLKTECGYQFTSKLEGMFTDMKTSQDTMQGFYTSHPELGDGPTLTVQVLTTGSWPTQSSITCNLPAEMSVLCEKFRSYYLGTHTGRRLTWQTNMGTADLKATFGKGQKHELNVSTYQMCVLMLFNNADRLGYKEIEQATEIPAPDLKRCLQSLALVKGRNVLRKEPMSKDVGEGDGFFVNDKFSSKLYKVKIGTVVAQKESEPEKQETRQRVEEDRKPQIEAAIVRIMKSRKQLDHNNLIAEVTKQLQSRFLANPTEVKKRIESLIERDFLERDDGDRKLYRYLA >OIV94018 pep chromosome:LupAngTanjil_v1.0:LG17:15469623:15469790:1 gene:TanjilG_25014 transcript:OIV94018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVDDICASAIRAWRVVTLQPQINSIATKDTEAMSDEGQVFLPPGKPQDQNHHLE >OIV94483 pep chromosome:LupAngTanjil_v1.0:LG17:4652281:4652751:-1 gene:TanjilG_25545 transcript:OIV94483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSFTRLSWWLWGSKEKKPIVSNSSPPNSSSSERGRETVKFPLVKGTKITSPSHRKVKRKWQSREERRIDRELDVVLVPSDGGDCLSGSESDDSDWSIGWLEPQGSDFQSDDESDNSFAVLVPCYRPGCKEVEGSNNELLSAIKNLPNALSPGKI >OIV94898 pep chromosome:LupAngTanjil_v1.0:LG17:856458:863718:1 gene:TanjilG_22095 transcript:OIV94898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKTQLEKSEATSRHTRSNTKCNSTQTVVKANEQLGWLPDGWNVDFRTRKGGQGMGYRYKCYIDPNGNKFYSKPEVLRYLETINGSSCTSKMEERCNSMNSPNKDAVEKSAVEDLAPRCLTEVKNRKSSNHIAKDMDAVEKSTVKDIPPGWITEVTIRKGSTGNKKDVLYTDPVSGYGFHSKKDVLRYLESGDISTCKIKPSKRQIEDEDRLTPSSAGKKQKLEQSSIKGQLFVGKEISDESILELPDANSSQNGQDVKVNSGMMVAHVPTSGSVVNTQSLQNSGSYPPEINKTSDPDDMQEKNHVVHMTEIASKKTRSNCNVSKSKEVNVGQRFSPRLAGVESDQLANSLTNELTLQAPKRNLRKSRVALNTDLVNESSQQLNGVPKFDHSHEMQEEVVLNKGSMLSGCHALFKAQQYQLETDKIKYSESEQNHYSLSRDSSLPSLKAAVKTIRTELPSPDNPPRSQGLDPEASLSKENRLYARQTRKSGLQEIQSNSNKSSNKKEHQIPRRASKRLAGSEPELMSDCFSHNKSLEYKSKRPKGEVNADLQQSEGQPAMVLADHSSIYGKPSNNGGKSSKVPPLINDQLGKLEDEEIDDDKSELQQSFTFHYSCYDPCVEFAIKTLTSVLPVEDLVSNGCTQIPETGIHQQPSLCQIDSGVLPTEIALEYDSVENEPSQIPETVMLPKKKLFENVTGSSGDINLMSALPVEGSVGNRPTQIPESDMLPKNKLFENVTGTSGDKNLMNALPVEDSVGNRPTQIPETDMLAKNKMFENVTGSSNDKSPHVSSKKSKNKKEINIHRRLSKRLAGNEPEVMPTERALEYATRKSCKYKPTTTAILTNGVSGHLHAEEESKLIVHASDMSETRVCGESSNKSDKSCAHIAPNEQLQRLGAENIYDGKSEPQFQLPFADSWSDSCLKFAIEALSGALPDDAAAADILPFMIPDINEPANKEFPESVVQKITTVMIPDINDPPNEEILQKSIYEEAHDHSNQLQIKPVMILDINDSPNMELPGSVLQKSINEAADDNTNKPQIKTAMIPDINDLPNKELPESAMQKSINEEARDNSKQPQTKKELNVVSQLSKQPLDQPELRSSCTCCGNYPQFATGESYSDGVNITKKLDGGVSLYCKAEAGNVTGIDINTVILEEPLKDNEPVFQGESVAGPQQPETETINHGHSVGEYCLPFMDSWSDPCLDFAFKTLTGATPVDDDIAIQRCFQEFTDPHDQRDGISMFPEFGFSSITQSDVSFHTDIGDKSMPGQQSSIKGRPSSHQ >OIV94224 pep chromosome:LupAngTanjil_v1.0:LG17:9718273:9720306:-1 gene:TanjilG_09379 transcript:OIV94224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRVIYLLLLCLMATMPLINGEDPYFFFTWNVTYGTLSPLGTPQQVILINNEFPGPNINSTSNNNLVVNVFNNLDEPLLFTWHGVQQRKNCWQDGVLGTNCPIPPGTNYTYHFQVKDQIGSYFYYPFTGLHRAAGGFGGLRINSRLLIPVPYADPEDDYTVLIGDWYTKGHSALRKLLDSGRSLGRPQGVLINGKSAKGDGSDQPLFTMKPGKTYKYRICNVGIKESLNFVIQNHPMKLVEVEGSHVVQINYDSLDVHVGQCFGVLVTADKEPKDYYMAATSRFTNTILKGIGIIRYTNGNGRASPEIPKAPIGWAWSLNQFRSFRWNLTASAARPNPQGSYHYGQINITRTVKLINSVSRANNKLRYALNGVSHVDTDTPVKLAEYFGISDKVFKYDIISDNPPQNVETSILVQPNVIKFKFRTFIEIIFENHEKTIQVYNLAGYAFFGVAMEPGTWSPEKRKNYNVLDAISRHTIQVFPKCWAAIMLSFDNAGMWNLRSENAENRYLGQQLYISVVSPERSLNDEYNIPKNCITCGAAKGLPKPTPST >OIV93794 pep chromosome:LupAngTanjil_v1.0:LG17:20403634:20404848:1 gene:TanjilG_03757 transcript:OIV93794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNILGKTRQFETMVAVLEEMGEKGFLTMDTFSIAIKAFAAAKERKKAVGVFDLMKRYKYRVDVDAVNFLLGSLGESKLGKEAQVVFDKLRDRFTHNLQTYTILLNGWCRVRNLLEAGRVWNEMVDEGFKPDIVAHNVMLEGLLRCRKKSDAIKLFEVMKAKGPLPNVRSYTIMIQDLCKQRMMREAVDYFNEMVGLGCQPDTALYTCLITGFGRQRRMDMVYDLLKEMREKGCPPDGRTYNAVIKMMTSQHMPDDAVRIYKKMIQSGFEPTIHTYNMIMKSYFVIRNYEMGRAVWDEMHDKGCCPDDNSYTVFIGGLIRQSRSDEACKYLQEMIEKGMKAPQLDYNKFAADFSKAGNPGILEELAQRMEVAGKFEVSNVLARWADMMKKSTKGRDPTMSGRQFT >OIV94707 pep chromosome:LupAngTanjil_v1.0:LG17:2412251:2415591:1 gene:TanjilG_25931 transcript:OIV94707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYLAGFTTVVGIDHRRPIPLLSIIPNSNVSTPYTVVFMYRNCRKHGFSTASESVWTDFPADNAYAVLGVSESSSFAEIKASFRKLAKETHPDLAESRNDSTASRRFVQILAAYEILSDTRKRAHYDMYLLAQKKLMQKQKHSGQGSKLHIYESHTTFKEMEVVEWLEWYRLAINDILSEKRVVVGTGYFDVLERDFYSAIHAAYYGPDIDSLPLEFLPDCFEAEERSSYETPEVLHLVSGRNLFGMVCLVNDIPVISSATNEKLTFSGSFHSAPCQSVSNNHRDAERPDDFGTHQAHTSKLSSNVSDAYRDLELHVSGRVVATASRVLPRCYPDGLEKEDAEDHIRVFLNSDEDPKHFGSGFSKSYYANGAVGSSIHLGTISGLGSSPDEGCCYVHNSSGVKTHAIMKHRTLMVKHMHWYQVGDEVSVCECRCTRARLPPSKFWLFEPRCGFHDIGGWYVETYGKDKKGRTIPSQRFWDGLDYREQADRRLHPAMYMFALAYRTLDLEYAKVSKKTFRNTVGAQMFKILHWCRKLV >OIV93815 pep chromosome:LupAngTanjil_v1.0:LG17:20604164:20608907:1 gene:TanjilG_03778 transcript:OIV93815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRMEWAARSEHLRGIPRKIVIATVGAFSKTVSSFLNTTTVHNSDTLLRLLRSRPPTVPLITVSNHMSTLDDPFLWGFKGFPIFDAKLARWVLAAEDICFRNDFYSYIFRVGKCIPITRGGGIYQEHMNEALERLNNGEWLHTFPEGKVYQDDTPIRRLKWGTASLIVRAPVTPIVLPIVHHGFQEVMPEKFMFGRRPLLPLCNKKIDIIIGDPIEFDLPKMKQMAISNSHNGPTFPTMGWPSTCDGLDEAAQRCLYTEISDQIRSALERLRCFGKQILKS >OIV94758 pep chromosome:LupAngTanjil_v1.0:LG17:1950703:1951161:-1 gene:TanjilG_12971 transcript:OIV94758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALIPSFFGGRRNNSFDPFSLEIWDPFKDFRFPEISRENSAFVNTYVDWKETPEAHVLKADIPGLKKEEVKVEIEDDRVLQISGERNVEKEEKNNKWHRVERSSGKFLRRFRLPENAKMDQVKASMENGVLTVTVPKEEIKKHDVKAIEISG >OIV94787 pep chromosome:LupAngTanjil_v1.0:LG17:1731207:1731587:-1 gene:TanjilG_13000 transcript:OIV94787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKENSNTSNSLIEKAAIPSGLISTPVIAWSLYTLKTTGCGLPPGPGGSIGALEGISYLVVLGIVGWSLYTKTKTGSGLPNGPFGLLGAVEGLSYLTLLAILVVFGLQYIEQGYIPGPLPADQCFG >OIV94774 pep chromosome:LupAngTanjil_v1.0:LG17:1821697:1822899:-1 gene:TanjilG_12987 transcript:OIV94774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKDRADVFVGFSSLSTLKLKLMGGQSSKTASTDVPAPIKMGNHSLYAADLSSYEAACVEDSSLQSFDATIQERTGRVISSLAHGVEVHSLSIDSLKEVTGSLLETNQQVVKVILECKQDIWNKKDKELFSLVNNFFDNSLHTLDFCNALEKCLKRARGKQVIVKSAITYFEEESQNGVEGSVYLKTLQELKSFKDAEDPFTEEFYSLLHTVYKQQTSMLKKLQIKKQKLDKKLKSLKTLRRMSNAIFVAAFVSVLIISVVVAAVSAPPVVEALAGALAGPIDSVGKWCNSLFTKYETALKGQREVISSMQDRAYITLTELDNIRVSIDKLEIEIESLLQNAEFALRNEDAVRLVINEIKKKIDTFAEIIESLSELADKCSRQIRRARTVVLQKIIKYPS >OIV94425 pep chromosome:LupAngTanjil_v1.0:LG17:3921496:3926427:1 gene:TanjilG_25487 transcript:OIV94425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKKDAVLIAEETPNPQLNSEKKKKKNKNKGKHQNDTSSPKRTLHEQNDTESTKTKKIKHHISNDIVDGETEVVNGTSDETVTERVVVVTGKNADDSKYTAFKTFAEAGLPEKVLECCKGFEKPSPIQSRAWPFLLDGRDLIGIAATGSGKTLAFGIPAIMHIMKKGKNKADRGRNPLCLMLSPTRELAQQISDVISDAGRSCVVESVCLYGGTSKGPQISSLKSGVDIVIGTPGRIQDLIEMGFLSLKEASFVVLDEADRMLDMGFEQIVRSILGQTSSVRQMVMFSATWPSAVHQLAQEFMDPDPVKVVVGSEDLAANHDVMQIVEVLDERARDKRLVALLEKYHKSQRGWKAVSIHGDKAQHARTKALSLFKEGICPLMVATDVAARGLDIPDVEVVINYSFPLTTEDYVHRIGRTGRAGKKGVAHTFFTQQNKGLAGELVNVLREAGQIVPEALMKFGTHVKKKESKLYGAHFKEIAVNAPKSKKITFNSDDED >OIV94675 pep chromosome:LupAngTanjil_v1.0:LG17:2678825:2680294:-1 gene:TanjilG_25899 transcript:OIV94675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGPCCDKANVKKGPWSSEEDTILKAYIEQQGTVGNWISLPQKIGLKRCGKSCRLRWLNYLRPNIKHGGFSEEENNVICSLYVSIGSRWSIIAAQLPGRTDNDIKNYWNTRLKRKLIGKQRKHMQAQACRVTNLKQEIKREPQNFVVAPGVVTQTSYCPSEHNFMYMQMANNTDQHYDLNNQTSLRNLLLNNNTFTATFSSQFACDLSFQQEQQVYPITMNMINPFNSGSGTCLPSNISQGFENFPNDLSEFVCVNPQPIDGPMQGFYGMESMDLTNNNGSTNTTSTESTSWEDMNSLVYSPFVSDYEGCPQGITQDITFEESKYFGIHMQ >OIV94349 pep chromosome:LupAngTanjil_v1.0:LG17:6231949:6250241:1 gene:TanjilG_21689 transcript:OIV94349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQISSSTGTKDPEQEQTLDQDKELVLPNPKGSEPVSGEEQEVVASEENKEKPLVDQPVPMEEESVNPATVFCIRLKQPNSNLLHKMSVPEICRNFSAVSWCGKMNAIGCAAETCARIPSSTTNPAFWIPIHIVIPERPTECSVFNVIAGFQPLKPASLVAFVKSLCPYGTIAQTQFLVVILISSADSPRDSVQFIEWSPTSCSRALLIANFHGRVTIWTQPSQGPANLVHDTTCWQREHEWRQDIAVVTKWLSGVSPYRWLSSKSSAPSNSKSTFEEKFLSQQSQTSARWPNFLCACSVFSSGSVQLHWSQWPPSQNGKTPKWFYTSKGLLGCGPSGIMAGDAIITESGAMHVAGVPIVNPSTVVVWEVTPGPGNGFQVTPKTSTTCGIPPLSPPNWAGFAPLAAYLFSWQDYLLSEAKQGRKQTDKNLGDAVPLYCSPVSNFSAYVTPEAAAQSAATTTWGSGVTAVAFDPTCGGSVIAVVIVEGQYMSPYDPDEGPSITGWRVQRWESSLQQVVLHPIFGNPTSSMGGQPPMQTVWQSKVDLSIPPTNDFKNHPAPGIITDVQRVSVSGSDKSERVNFDPFDLPSDVRTLARVVYSAHGGEIAIAFLRGGVHIFSGPNFSPVDNYQINVGSAIAVPAFSSTSCCSASVWHDSSKDHTILKIIRVLPPAIPTSQVKANSSAWERAIAERFWWSLLVGVDWWDAVGCTQSAAEDGIVSLNSVIAVLDADFHSLPSSQHRLQYGPSLDRIKCRLLEGSNAQEVRAMVLDMQARLLLDMLGKGIESALINPSALVPEPWQASAEALNNIDSESMAIEPALAYVDSVLDLASHFITRLRRYASFCRTLATHAVNSGTGSNNRNVVTSPTQSSATPATSQGGQNGSATSMGSTQIAWIQEAIAKISNTTDGASNPTPNPISGPPTFVPISINTGTFPGTPAVRLIGDCHFLHRLCQLLLFCYFFRRTQIPRYSGVANRSADTNMQKPQPNASAPGKVEDITKPASAVVKSDDGQTGRAGSIVPGAKVVEEASSARSRLGAGGQNGSATSMGSTQIAWIQEAIAKISNTTDGASNPTPNPISGPPTFVPISINTGTFPGTPAVRLIGDCHFLHRLCQLLLFCYFFRRTQIPRYSGVANRSADTNMQKPQPNASAPGKVEDITKPASAVVKSDDGQTGRAGSIVPGAKVVEEASSARSRLGAGNAGQGYTFDEVKVLFMLLIDLCRRTAALQHPLPISQVGSSNIQVRLHYIDGNYTVLPEVVEASLGPHMQNMPRPRGVDAAGLLLRELELYPPAEEWHRRNMFGGPWTDPEDVDFANDAPRLVSSDPHDFSSLEHCDVYNGAQRLWPRKRRMSERDAAFGLNTSVGLGGYLGIMGSRRDVVTAMWKTGLEGVWYKCIRCMRQTSAFTSPDATSSPSQNDNEIRWISRWAYGCPMCGGTWIRVV >OIV94045 pep chromosome:LupAngTanjil_v1.0:LG17:14606983:14609210:-1 gene:TanjilG_14292 transcript:OIV94045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHDHDASISGLHLVLGLALTSTTQKETFTPSPQSNKVVDDHFSLIRTTSKKPYYEDEPSLTLGLSGESYQFNQVPLDLSTQTSPLSVVSSFSSGRVKRERELSSEEVEATEIEIERVSSRISDEDEDGTNVRKKLRLNKEQSALLEESFKQHSTLNPKQKQALAKHLNLLPRQVEVWFQNRRARTKLKQTEVDYEFLKKCCETLKDENMRLQKELQEMKALKLAQSVYMPMPTATLTMCPSCERLNHGVSGGSSNKSTPFSMSMAPKPHFYNPYTNPSAAC >OIV94654 pep chromosome:LupAngTanjil_v1.0:LG17:2841656:2841970:-1 gene:TanjilG_25878 transcript:OIV94654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGILSWWKGNKSQPEALKPSSSSTQNSNATTTKPVEVEGMNGAVEVPRPPNSERVSIFEFGSVAATNDKVTLAGYCPVSEDLEPCRWEILPAVDSNSPQFRVVF >OIV94574 pep chromosome:LupAngTanjil_v1.0:LG17:5723345:5737789:1 gene:TanjilG_25636 transcript:OIV94574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSKIVVGLSSSDSKPNENHDQDQPSILDVFTASAHGHFPKLRSFVEQDAASVSVPDVNGYYALQWASLNNFHEIVNYIIQHGGDVNATDYMQQTALHWAAVHGSTAAADALLENGARVEAADINGYRAVHVAAQYGQTAFLNHIVVKYHADFDVPDNDGRSPLHWAAYKGYADTVRLLLFRDASQERQDKDGCTPLHWAALRGHSEACAVLVHSGTKKELTVKDKAGFTPVQLANDNGHRHVAPFLSNQQRAHSNHWRRKVCNLMFTDTGYAPILFCIIIFLTILFINSVVAAPNLKKITAVVGLWACTTFSLAVGSLIMFYKCSSKDPGYIKRPGDLGTQSDTEDPLLHIDLNSSSVWVGNWSQLCPTCKIIRPVRSKHCPTCNRCVEQFDHHCPWISNCVGKRNKRDFFIFICFATLTSSSSAVIAVHRIWTSTTTLSTGETWFHHVLVIHPSVIVFLVMDAVVFIAATTLTITQASMIARNLTTNEVANSSRYEYLRGPDGRFRNPYNNGCWKNCTDFVLLGYSDDDHIAWPPLQQVVI >OIV93889 pep chromosome:LupAngTanjil_v1.0:LG17:17444454:17445257:-1 gene:TanjilG_05592 transcript:OIV93889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLTILIALFAALVLVVHTSAFRSEQSYVRNSEESEELEQCCDQLNELNNQRCQYRKLQQIFENQSEQLERRQQEQQFEQELQKLPRTCGFGPLGNLY >OIV94043 pep chromosome:LupAngTanjil_v1.0:LG17:14583332:14583893:-1 gene:TanjilG_14290 transcript:OIV94043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLDHHHHVICGQRLGFISISLLFLIISTWIQQGLVTEGRKTHTQSGFHQNVGEKKTMLRAQIGSRPPRCERRCRTCGHCEAIQVPTNPQTQNGKINSSKVSTIAYAKGNDNSNYKPMSWKCKCGNYIFNP >OIV94014 pep chromosome:LupAngTanjil_v1.0:LG17:15356761:15357216:-1 gene:TanjilG_25010 transcript:OIV94014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLYFHDVIYNGENAANATSALVAAPQGANLTKLADQFHFGDIAVFDDPITLDNNFHSKPVGRAQGFYIYDTKNTFTAWLGFTFVLNSTDHQGTITFAGADPILKKTRGISVTGGTGDFFMHRGIATISTDAYEGEVYYRLRVEINFYECW >OIV94089 pep chromosome:LupAngTanjil_v1.0:LG17:13576266:13578888:-1 gene:TanjilG_05469 transcript:OIV94089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLSFKHQIGLVCVTLLLHALCINSEDYFTESRATYYGSPDCYGNPRGACGFGEYGKTINDGSVAGVSRLWRNGSGCGACYQVRCKIPEYCDENGAYVVVTDYGEGDRTDFIMSPRGYSRLGHNAVASEVLFKYGIVDIEYKRVPCKYNGYNIMYKVHEHSNNPSYLAILILYVDGTYDVTSAEIWQEECKEWRPLRRAYGAVFDTANPPSGEIKLRFQVSGNEGVYWVQSKNAIPSDWKAGSAYDTEIQLT >OIV94817 pep chromosome:LupAngTanjil_v1.0:LG17:1505036:1507022:-1 gene:TanjilG_22014 transcript:OIV94817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVPERAKLHIALTFLQFCHAGNHIFLRIALNTGISKFVLPVYRNITALVLLGPLAYFSEKKDRPSITSYCIIQFFLLGLVGITLKEGFYLVGLENTSPTFASAMQNSVPALTFLMAALLRYESVYLNRIDGVAKVLGVIATVGGATVITLYKGPTIYTPNLSLHEKQFLPVLGDAEEKNWNLGCICLFGHCLSWSGWIVMQAFVLKKYPASLTVTAFTCFFGVVQFMTIAAFFVKDSKAWLLNSTSEIYSILYSGVVISALAAAIQIWTISKGGPVFASIYLPLQTLIVALMAPIFLGEKFFLGGVIGAFLIVSGLYLVVWGKSEETKFAKEVIVLIDPKNHAEEKCSSSSLIYPLIPTQKS >OIV94257 pep chromosome:LupAngTanjil_v1.0:LG17:7425357:7426231:-1 gene:TanjilG_00006 transcript:OIV94257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDRKDMRKLLHGVGHSSSSRGSGSSRLAEAQGIPRPRSFRCNYRVIDGFGSPYSLVREQVSELYGKLEFTKREASAIIITYMRLKKVLLQTKEALCLYKSWASKAEETMMGELDIVSNQLEAMEVEKLPYVRLAKKVALAT >OIV94749 pep chromosome:LupAngTanjil_v1.0:LG17:2031704:2033669:1 gene:TanjilG_12962 transcript:OIV94749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKCYNTLTLLFFLTNLSFYGNYYVAKGEELGVPSYAVPYLAPNATGKVILNGVNYASGGGGILNATGSLFVNRLGMDIQVDYFNITRKQIDKLLGEANAREYIMNKSMFSITVGSNDFLNNYLVPVASIGTRVSQDPNAFVDNMITHLRAQLTRLYQMDARRFVVANVGPIGCIPYQKSINQLKEGQCVDLAENLAIQYNSRLKDLLAELNDNLHGSTFVLANVYDLVRELITNYGKYGFRTASVACCGDGGQYAGIIPCGPTSTLCSDRYKHVFWDPYHPSEAANILLAKQLVDGDKRYVSPINLRQLRDL >OIV94230 pep chromosome:LupAngTanjil_v1.0:LG17:9407670:9408960:1 gene:TanjilG_08528 transcript:OIV94230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAIVVHDGSEVSKGATSRSGVKRGVSIMDFILRIVAALFTLGGALAMGTTRETLPFATPFVKFRAEFNNIPTFVFFVISNSIACVYLVLSLALSFFHILRSTAVKSRILLVFFDTVIFGLLTTGASAAAAIVYVAHYGNSSANWFPFCQQYNNFCQRISGSLIGSFIAIVLFMILILLSAISINMEMG >OIV94083 pep chromosome:LupAngTanjil_v1.0:LG17:13470132:13473029:1 gene:TanjilG_05463 transcript:OIV94083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYTLQHQDPYANNPHPSDSEMESYSSDLDQSEFRSARRTANRNTTLCTPRSLGTEIVHSSVGLAARRAHGRERGGWGRRGGRLVGGDIGRGITWGRRGRRGRHDRQGGTLVQQDSGDGIWGIRWGRQGTRGTLGGRLVEGDNVGGIWGIRWGRRGTRSRPSQMSEERSCKKTILSWLIHCKCINEDELVGYMDGTKKTATMTGRITRGAILCSCCKRERSVWNFEKHVGSDLGRPYEHIYLFSKDKCLQYYQIAACLNARELERQCMFSFVPKETDADPNDDVCLICGDGGDLICCDTCPSTYHPSCMNMELVCYSESEWYCPYCTCKYCGLVEEIHRQCFKESGKEFSNNFSRFYCSSGCKEIHETLEGSLGIRNDLFASYSWRVIRQSDIIPEVVSATRNQQLENNSKVAVAWMLMNEAFQTITDRYTGIDVVQSVVYSRGSDITRINFSRFYTFVLEKDDEIIAAACIRFQGRRIAEMPFVATDEAYRRQGICKVLMSAVESFLCNLKIENLIIPSVPDISEMWMHKYGFGELNEELQSEITSCNILMFPGCLRLYKNLSTCMTDGDNDREAQMDQTERPLLDLNEEPPPED >OIV93955 pep chromosome:LupAngTanjil_v1.0:LG17:18924670:18927025:-1 gene:TanjilG_05658 transcript:OIV93955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIKPVQRNEQHFGRKPCSYWLAGRCNRNPCRFLHSVTPSSSSYYTPQYNKPKNAYRYTRNPHSHYSDEKTTTNPKYNSKAVTVRETNKTGDEKSVTKKPSQTLCRYWVNDNCVKGDNCQNLHSWFTGDGFSTLAKLKEHKKVVTGITIPVGSDKLYSGSTDGIVRTWDCHTGQCTNVRNCGSEVNSLISEGPWIFVGLNNVVKAWNIQTSMEFTLDGPRGKVLAMVVGNDILFAAAQDGIISAWRGSSDANSPFELAASLSGHTKAIVCLTVGGKMLFSGSMDHSIKVWDLDTLQCKMTLNGHTDMVTSLICWDSFLLSSSSDCTIKIWVATEEGTIKVAYTHTEENGILALNGMSDAEGKPILFSSSADNSVRLYELPSFLERGRLFAKQVVRSIEIGPEGLFFTGDGTGLLMVWRWLEVPKVASS >OIV94498 pep chromosome:LupAngTanjil_v1.0:LG17:4821349:4821678:-1 gene:TanjilG_25560 transcript:OIV94498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFSEKPQVEQSDNKKWVIHGIALRASLKPIYTIPMEKEEREEGDTEEEFSTTTPIREEARLPTTLTCPPAPKKRKPSLKCNYLGGGAREFFSPPDLETVFIRQTERAK >OIV94826 pep chromosome:LupAngTanjil_v1.0:LG17:1418500:1421561:1 gene:TanjilG_22023 transcript:OIV94826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQPLVTQGAAMDFKEKSQFVALARDADVADKEGGGSSSSSVLNKKRGSKTTSRSRPKKKKEEDEDVCFICFDGGSLVLCDRRGCPKAYHPACIKRDEAFFRSKAKWNCGWHICSVCQKASHHMCYTCTYSLCKGCSKTADFVSVRGNKGFCGICMKTIMLIENNALGNNAKCEVDFDDTSSWEYLFKVYWTSLKGNLSLTFDELLQATNSWRHASLSCKVGTPRELYLFKDDKGSGSEKSCIDIESDNLNNKKPKRGDDHIHVGDCVLNDVGCRALIGGVCTHKKPIDPNDASIGDTTNIGVVRSEAPGVTLDMSSLLLSRGIEKPLTDCVNDKLWHYQDPAGKVQGPFSMVQLYKWKASGHFPSDLRIWRIDEKQDYSILLTDALDGKCSKSVLLPHNSQLLSLGPNVTFDNKDNTQGNATRKEIDADSRDIKQSGEQKVDDTCTQSNGKDESVRSNGWNSYSSTPTEGINNEGQSGNFERRDESSKYEISCHNQPHVYPSLPSIAFFENLNENPLDKLREGHGIEANPEDNGNHDLNRTSGGQSNSGQSYQKQSDGEENSGQSSGQNWKCPQAINPVCNASTWLTIFGGPTDCDDSVSDLLAEVEAMESRGGGIESSTSIMKGGEELTDSSKTDFLSFVDGFSTVFDARKGDALSSTDDLHLPFQPTAAAEPFRQTEVSSKNIWGDFLKKF >OIV93921 pep chromosome:LupAngTanjil_v1.0:LG17:17969306:17971528:1 gene:TanjilG_05624 transcript:OIV93921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVVTLTSWLPKLHPPPCTPEQLALGQCIEANNSQIGVLFLGLGFLTIGSAGVRPCSIPFGVDQFDTTTEEGKKGINSFFNWYYTTFTLVLLITQTLVVYIQDSLSWKLGLAIPTLCMFCSIILFFVGKGVYVHVKAEGSIFSGIAQVLVAACKKRKVKLPSEERVDDVFYDPPLTGTSVLSKLPVTKQFRSLDKAAIIMEGELNPDGSRVNQWTLASIQQVEEVKCLARIFPIWAASILSLISMAQQQTYTVLQAMKMDRHLGHKFQIPPGSLTVISLITIALWIPFYDRFLVPILRKKTKNEAGISLLLRIGIGMVFSILSMVVAGFVEKFRRDSANSNPNPNPLGIAPMSVLWLAPQLILMGLCEAFTMVGQIEFFNRQFPEHMRSIGNSLFSCSFAGASYASSIIVSTVHHTTKTHSHQDWLTNDINAGRVDYFYYLIGGIAALNFIYFIYVSRRYQYRGVVEFQVQDKQPHNVELG >OIV93843 pep chromosome:LupAngTanjil_v1.0:LG17:20158901:20163626:-1 gene:TanjilG_13858 transcript:OIV93843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSLLLLFLCSLATIAVSDPRATKAALLCTNRTAPMLHRDVFISSFLASMDALTPLISSQRYGAVVNGTGNNTVYAFSECFKDLSQSDCDLCLAQSKTQILSCLPFQKGTRGGRLFFDGCYLRYDDYNFFNESLSDQDTTVCNTSDFKGNRNVYGDNALELVRNLSVLAPRNDGFFVGSVNIRNVSVYGLAQCWKIVNGSACMNCLEDAVTKIGSCVPRDEGRALNAGCYLRYSNQKFYNNSTNDSPAGGNRGHQKLAIILAAASTAVALLVVVATVVFFVKKNVLKKRREKRQFGVLLDRVSKSKLNMPYEILEKASNYFNEANKLGQGGSGSVYKGVLPDGNTVAIKRLSFITTQWADHFFNEVNLINGIHHKNLVKLLGCSITGPESLLVYEYVPNQSLHDHLSVRRISQPLTWEVRHKIILGVAEGMAYLHEDSPVRIIHRDIKLSNIMLDEDFTPKIADFGLARLFPEDKSHISTAIAGTLGYMAPEYIVRGQLSEKADVYSFGVLVVEIVSGKRNSTFVLNSSSILQMAWSLYGSNRLSDIVDPALEGNFRREEACGLLQIGLLCAQASAELRPSMSVVVKMINGNHEIPQPTQPPFLHSVSSDLSKSRYNNFQPGSNTPSSGDNMTESLIEPR >OIV94859 pep chromosome:LupAngTanjil_v1.0:LG17:1150991:1155271:1 gene:TanjilG_22056 transcript:OIV94859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLTGNHSSDHHQSRRHVSPPWSQVVRAESDTAAASPSSPSAVDAIVEPSPSVVSPVDESSCSVESSDNNGGNDVGSVKRPAWNKSSSDDASSSVVKPPVMDAVSWPALSDSARSSAKQESSSKGFLDGSSNVLQFQGTGSMPSSSQRQVSDSASTSNMAQTRQKSSKHNSSNTSTNGGHPQQSAPHAPIVSHSSSPRNHTQRSGLASNDSASTSNMAQTRQKSFKHNSSNVSTSGGHPQQSAPHAAIGSNNSSPRDHIQRSGFASNDHPQQRNSFRNRNSGPHQRDDGAHYHSYGNRRHQDWNTHRNFNGRDTLMLPTAVPRIIRQPPPPNSAPFIHPPPVRPFGSPFRFNELAPLSTVVFVPGPPGPPDSLRVPFLSPMPPLFFTDPDLQLHAKIVNQIDYYFSNENLVKDTYLRQNMDSQGWVPIKLIAGFNQVMHLTDNIQLIRDSVRTSSVVEVQGDKIRTRNDWRKWILPPSVQLPNVTGSHTPGKLNHDMLAEQVSTNKGTYQVGIPGLDHSTSTVSSMTLVITSFSGISCSNTYSGMFANIGEFGFCLS >OIV94378 pep chromosome:LupAngTanjil_v1.0:LG17:3461708:3463151:-1 gene:TanjilG_25440 transcript:OIV94378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKCFLKINSSQHFTGSSVRAKTARNLPLVVRASGDGGRPSGGSIFVGGFVLGGIIVGALGCVYAPQISRALAGADSKDFMKKLPKFMYDEEKALEKTRKVLTEKIAQLSSAIDGVSAQLRTDDGQNESAANSEEAEAPIYE >OIV94433 pep chromosome:LupAngTanjil_v1.0:LG17:3987625:3990911:-1 gene:TanjilG_25495 transcript:OIV94433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALRFSPTNPLFRFNNAPPNAARLNPFIAASVSLPAEIAPISHSPLIPPRPIVKSNSLQYPPGYVGAVPDHSPSSGDDSAMRYLTNILSSKVYDVAIESPLQLAPKLSERLGVRIWLKREDLQPVFSFKLRGAYNMMAKLSKEQLEKGVICSSAGNHAQGVALSAKRLNCSAVIAMPVTTPDIKWKSVENLGATVVLIGDSYDEAQAYAKKRAIEDGRTFVPPFDHPDVIIGQGTVGMEIVRQMQGPLHAIFVPVGGGGLIAGIAAYVKRVSPQVKIFGVEPTDANAMALSFHHGERVILDQVGGFADGVAVKEVGEETFRICKELVDGVVLVSRDSICASIKDMFEEKRSILEPAGALALAGAEAYCKYYGLKGGNVVAITSGANMNFDKLRIVTELANVGRKQEALLATILPEEPGSFKHFCQLVGQMNITEFKYRYNSKDKAVVLYSVGVHTVSELKAMQEKMESCQLETVNLTESDLVKDHLRYLMGGRSNLQNEVLCHFTFPERPGALVKFLDSFSPRWNISLFHYRGQGATGANVLVGIQVPQSEMDEFRDRATKLGYDYTVVNNDNVFQLLMHR >OIV93930 pep chromosome:LupAngTanjil_v1.0:LG17:18155257:18156383:-1 gene:TanjilG_05633 transcript:OIV93930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKVYPQESSSSSCLNSKRETYTLWMKSLVFHSNGCTVYDSNGNIVYRVDNYDTKGIREVNLMDLRGRVLCAIKKKSLSFGSWDGYRSNCSNSGSMEEPWFQVKRCNKMMRRKVECEIEIECQKYFILRSSAKTAFMIVNIDGHIVAEAKQKYSPSGVALDNDVLTLDVAEDTDHALVMALVTVYALICGRM >OIV94865 pep chromosome:LupAngTanjil_v1.0:LG17:1106833:1113990:-1 gene:TanjilG_22062 transcript:OIV94865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIRFPFSPAEVAKVRMVQFGILSPDEIRQMSVVQIEHGETTERGKPKVGGLSDPRLGTIDRKMKCETCTANMAECPGHFGHLELAKPMFHIGFLKTVLAIMRCVCFNCSKILADENDHKFKQALRIRNPKNRLRKILDACKNKSKCEGGDDIDIAAQDYEESVKKSRGGCGAQQPKITIDGMKMIAEYKAQRKKADDQEQLPEPVERKQTLSAERVLGVLKRISDEDCQLLGLNPKYARPDWMILQVLPIPPPPVRPSVMMDTSSRSEASFFLTLFHDDLTHQLAMIIRHNENLKRQERNGSPAHIISEFAQLLQFHIATYFDNELPGLPRATQRSGRPIKSICSRLKAKEGRIRGNLMGKRVDFSARTVITPDPTINIDQLGVPWSIALNLTYPETVTPYNIERLKELVEYGPHPPPGKTGAKYIIRDDGQRLDLRYLKKSSDHHLELGYKVERHLNDGDFVLFNRQPSLHKMSIMGHRIKIMPYSTFRLNLSVTSPYNADFDGDEMNMHVPQSFETRAEVLELMMVPKCIVSPQANRPVMGIVQDSLLGCRKITKRDTFITKDVFMNILMWWEDFDGKVPTPAILKPEPLWTGKQVFNLIIPKAINLIRYSSWHNESERGPITPGDTMVRIEKGELLTGTLCKKTLGASAGSLIHVIWEEVGPDAARKFLGHTQWLVNYWLLQQAFSIGIGDTIADASTMEVINQTISQAKEKVKQLIREAQEKKLEAEPGRTMMDSFENRVNQTLNRARDDAGNSAQKSLSESNNLKAMVTAGSKGSFINISQMTACVGQQNVEGKRIPYGFIDRTLPHFTKDDYGPESRGFVENSYLRGLTPQEFFFHAMGGREGLIDTAVKTSETGYIQRRLVKAMEDIMCKYDGTVRNSLGDVIQFLYGEDGMDAVWIETQKLDSLKMKKVEFDRVFKYEFDDENWKPSYMLEEPVEDLKTIREFRSVFEAEFQKLEADRFQLATEIATTGESSLPLPVNLKRLIWNAQKTFKVDFRRPSDMHPMEIVEAIDKLQERLKVVPGDDALSQEAQKNATLLFNILLRSTFASKRVLEEYRLSREAFQWVVGEIESRFLQSQVASGEMIGCVAAQSIGEPATQMTLNTFHYAGVSAKNVTLGVPRLREIINVAKRIKTPSLSVFLRPEVGKTKERAKIVQCALEYTTLRSVTQATEVWYDPDPMSTIIEEDVDFVNSYYEMPDEEVALEKISPWLLRIELNREMMVDKKLSMADIAEKINLEFDDDLTCIFNDDNAEKLILRIRIMNDEAPKGEVQDESAEDDVFLKKIESNMLTEMTLRGIPDINKVFIKNTKVQKFDKNEGFKPHEEWMLDTEGVNLLAVMCHEDVDATRSTSNHLIEVIEVLGIEAVRRALLDELRVVISFDGSYVNYRHLAILCDTMTYRGHLMAITRHGINRNDTGPMMRCSFEETVDILLDAAVYAETDYLRGVTENIMLGQLAPIGTGECALYLNDDMLKNAIELQLPSYMDGLDFGMTPARSPISGTPYHEGLMSPSYLLSPNLRLSPTTDAQFSPYVGGMAFSPASSPGYSPSSPGYSPSSPGYSPTSPGYSPTSPGYSPTSPGYSPTSPTYSPSSPGYSPTSPAYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPAYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPAYSPTSPGYSPTSPSYSPTSPSYNQQSAKYSPSLAYSPGSPRLSPSSPYSPTSPNYSPTSPSYSPTSPSYSPSSPTYSPSSPYNSGVSPDYSPSSPNFSPSTGYSPSQPGYSPSSTSQYTPQTSDKDVKGAQ >OIV94020 pep chromosome:LupAngTanjil_v1.0:LG17:14878385:14881909:-1 gene:TanjilG_19381 transcript:OIV94020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKHCLRESLKSLFGSHMDPDKDEQLQGAKIEIEHKVKRILKLIKDDNLEEDGTPVELSVKEPLAELIEDFYNQYQSLHARYDHLTGLLRKKMNDKQENESSLSSSDSDLDNSSRDNGSKNGQLENESHKINDGLKQELEIAHKEVAELNLQLTTTNEEKEDLNSRYLETLSKIQEADKIIMDLKTDDEALGIQQSKLLATNDELKQQLDISGKIEAELSQKLEDLKTERDSLTVEKETALQQIDEEKKITDGLRTMVNLLKDEKLALWDGLKAVTGELSILKQKLEHAEQQVTNISYNLKVTEEENESLKLKLSQASDEVQLDHNRIQELEAESSQLKEMHEDRDKEVSTLRQIHEGYQNESSSKIKEIEAQVENLELELESLQTQKRDVEEQIKSSKTEAMELGEQNLGLQNQISEFETKSKEREEELSALMKKLEDNENDSSSKVADFTSQINKLLTDIGTLHAEKNELEEQIICKSNEASDQVKSIADEVKRLQQEVESLQHQKSDLEVQLVEKMQENLEYGIQMHTLKEELDRKALEQERLTEDRENLTIQTRNLELEMIAIENHKSENEEQIKASSGEISHLRQEKLELQHKIAELEKISAERESEFSVLQDQLEKIEGEGSVQLATFTEKINSNSGELSHLRQEKLDLQDKIAELEFFLAERDSEFSVLQDQLEKVEQEGSAQIETFTEKIKDNSDEVSHLRQEKLELQHKVAELEKTLAEKEVEFSNLLKKLHEKENEASKKIVAFTAQINNLQQELLSLQKTKEEMERHCEQDKERHAESLVAVENEKTELASRSVEHQRALEEREDAYQKLNEEYKELDCWFMECKANLEVAEERIEEMEDEFHKGSESKDKIVDNLEHTVEELKRDVEEKGYELNTLLEKVRMLEVKLRLSNQKLRVTEQLLTEKEESFTRAEEKFQQEQRALEDKIATLSAIIAANNEAFQEIISNVKESVNSVMTGIETISFKFSDDCKNYETTISNISYELWAAKACVSEINKRKEELKKDRQHLSEQLKEKREQELALREVIVKQEAKSSMEELEKTNLTTTVFQLKKTVGELDRMVKEKHDGMLDLGEEKREAIRQLCLWIEYHRGRYDYLKDILSKTRIGQRAV >OIV94853 pep chromosome:LupAngTanjil_v1.0:LG17:1181118:1190645:1 gene:TanjilG_22050 transcript:OIV94853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDGTEDEEKFLASGIAGLQQNSFYMHRALDSNNLKDALKYSAQMLSELRTSKLSPHKYYELYMRAFDQLRKLEMFFEEETRRGCSIIDLYELVQHAGNTLPRFFGRLAVLKLSLISDEEDLKYSRYLLCTIGSVYIKSKEAPAKDVLKDLVEMCRGIQNPVRGLFLRSYLSQVSRDKLPDIGSEYEGDADTVVDAVEFVLQNFTEMNKLWVRMQHQGPARGMEKREKERNELRDLVGKNLHVLSQIEGVDLDMYKDVVLPRVLEQVVNCKDMLAQFYLMDCIIQVFPDEYHLQTLDVLLGAYPQLQPSVDIKTVLSQLMDRLSNYAASSAEVLPEFLQVEAFSKLSNAIGKVIEAQPDMPTFGVVTLYSSLLKFTLHVHPDRLDYADQVLGACVEKLSGKGKIADNKATKQIVALLSAPLEKYNDVMTALKLSNYPRVLEYLDVPTNKVMATVIIQSIMKNGTQISTSDEVEALFELVKGLIKDSDGILNDEADEDDFKEEQNSVARLIQMLYNDDPEEFLKIIDTVRKHILTGGPKRLPFTVPPLVFSSLKLVRQLQGGQNENPFGDDVLITPKKIFQLLNQTIETLAGVQAPELALQLYLQCAEAANDSDLEPVAYEFFTQAYILYEEEISDSRAQITALHLIIGTLQRMHIFSVENRDTLTHKATGYSAKLLKKPDQCRAVYACSHLFWIDDRDNMKNGERFNGKVILVKLNYLILHLPTIQHQKEFKFCSLCLNTLPPAPMTAVCVCAHRPQFLLATVHSPPLLLAVQSCQLCSSKCPLLCGGHATTMVTSPPESMDEIERALRIANAAQQMSNAARGSTGSVVLFIEILNKYLYFFEKGTPEVTVASIQSLIELIMNEMQSECATADPAADAFLASTMRYIHFQKQKGGTVGEKYESIKV >OIV94639 pep chromosome:LupAngTanjil_v1.0:LG17:2950185:2952653:1 gene:TanjilG_25863 transcript:OIV94639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEERYEIIREIGYGNFAVTKLAKDNNTGHLVAIKFILRGEKIDKNVQREIINHRSLRHQNIIRFKDVFLTTTHLALVLEYAGGGELFDRICNNGPFTEDLARFFFQQLISGVGYCHSMQICHRDLKMENILLDGNPVPLLKICDFGFSKSNLLHSRPKSTVGTPTYVAPEVFFRQEYDGKAVDVWSCGVILYTMLVGAYPFEDPENPKDIRKTVQRIMNVQFSIPKDVHLSTECKNLLSLIFVADPVKRIKISGIKQHPWFVKKWHKQIIDINNKASEATKKYQLCRSVGEIMRIIQEAKTPSKAFTESYDAQDRRGN >OIV94933 pep chromosome:LupAngTanjil_v1.0:LG17:597507:601329:-1 gene:TanjilG_22130 transcript:OIV94933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSWKDADPSLGYLTRKDTEVKLPRATRVKNKTPAPVQITAEQILREARERQESEIRPPKQKITDPTELGEYRLRKRKEFEDLIRRVRWNIGVWIKYAQWEESQKDFKRARSIWERALEVDYKNHTLWLKYAEVEMKNKFINHARNVWDRAVTLLPRVDQLWYKYIHMEEMLGNVAGARQVFERWMKWMPDQQGWLSYIKFELRYNEVERARGIFERFVQCHPRVGAWIRFAKFEMKNGEVVKARNVYEKALEKLADDEEAELLFVAFAEFEERCKETERARAIYKFALDHIPKGRAEDLYRKFVAFEKQYGDREGIEDAIVGKRRFQYEDEVGKSPTNYDSWFDYIRLEESVGNKERVREVYERAIANVPPAQEKRLWQRYIYLWINYALYEELDAGDMERTRDVYRECLNLIPHQKFSFAKIWLLAAQFEIRQLNLKAARQILGNAIGQAPKDKIFKKYIEIELQLGNIDRCRKLYEKYLEWSPENCYAWSKYAELERSLAETDRARAIFEVAIAQPALDMPELLWKAYIDFETGEGEFDNARALYKRLLDRTKHLKVWMSYAEFEATAINKDELDETEEALEQKKQCIQRARRVFEEALNYFRSSASDLKEERAMLLEKWLNMETTAFGELGDVSLVQSKLPKKLKKRRHVTTEDGSTRIEEYVDYLFPDETQTTNLKILEAAYKWKKQKLSSGDD >OIV94305 pep chromosome:LupAngTanjil_v1.0:LG17:6819334:6822429:-1 gene:TanjilG_19311 transcript:OIV94305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNQQSSLNHMQNSVDFRLSDYRGSSGETACLCATGPNVPRFSGWNAGESSSRLNLVNQVNDGGLKSEHVMSSSCNAATEDFLRSEERQFEPNNVIFPASSNTSLLGNQSRIRSSFLQGSSSNHITQNASQDMENNANTADRGKAKEAGSSVNNSYPSGLEREQTSFGNAPFDHMGTSSESSGYMAWGDSASSSSSLVNWGSSCKRKSLEGNSRQLCIGGSSSSFVQSEGGCWSTDPADLNCSSSLCVSAPLQDISVISPSLPQSTRNEVRQEASHPFPSISFPENVERPLRNFDRRITQVQHQESVLNLSSPGSARHLSHSSPHQLPGSHTFNDSLELRLTAGVNAANSGALQNQSPDVDVRPFTFNRASSSRGPRSSIYSSGERAVRENFNLRVFARDSTEHPMNVSASSGHEPTGWHTSSSNVHNSEGIPPPSWIGSGSNVQSLPNPSWIVNHEAPTENLQRLSEFNPWSLFPSLNTTSGVHNGHSTPSPSGPLSFTQGSSSNQSYPRTALLTERRGSVLPAPHSLRGLTVDNEGRHRLLSEIRQVLVAMRRGENLRAEELLALEERIGDVNTGLSEDVIINLMKQRIYMSFMTESSTDLEPCCICQEEYADGENIGSLDCGHEFHINCIKQWLMQKNLCPICKTTALAT >OIV94260 pep chromosome:LupAngTanjil_v1.0:LG17:7670112:7672794:-1 gene:TanjilG_00009 transcript:OIV94260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTRTVQVKQLSDLASEREIHDFFSFSGEIDHIEILSEHGKSKTAFVTFKDSKALEIALLLSGATIIDQIVNITPVENYVPNRDIQDDKASPINGRVYISKAQDVVTNMLAKGSAIRQDAVNKAKAFDEKHQLTANASAKVVSFDKLSEKLTVGISVVKEKVKSVDERLRVSDKTKAAIFAAERKLNNTGSAVKTSRYVAAGTSWLNDAFDKVARAGHVAGTKTREKFHLAFPNFTAKVSYALAVSL >OIV93798 pep chromosome:LupAngTanjil_v1.0:LG17:20448487:20465151:1 gene:TanjilG_03761 transcript:OIV93798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFSITRKKTPFQKHREEEEAKKKRAEDETARLYAEFVESFQGDNAPGSKTFVRGGTINPNDKLKDDLDGNKEEEKPKEKEKGKSRNIDHFMEELKHEQEMRERRNQERDHYRDGRIVEHSISSRFDELPDDFDPSGKLPGSFDDVDPQTTNLYVGNLSPQVDENFLLRTFGRFGPIASVKIMWPRTEEERRRQRNCGFVAFMNRPDGQAAKDEMQGVVVYEYELKIGWGKSVALPSQALPAPPPGHMAIRSKEGNTVILSGPSGPAVTSVPNQNSELVLTPNVPDIMVTPPEDDHLRHVIDTMALYVLDGGCAFEQAIMERGRGNPLFNFLFVLGSKEHTYYVWRLYSFAQGDALQRWRTEPFIMIAGSGRWIPPSLPTAKSPEHEKESGPTHAGGRSRRVEPERTLTDPQRDEFEDMLRALTLERSQIKVAMGFSLDNADAAGEIVDVLTESLTLKETPIPTKIARLMLVSDILHNSSAPVRNASAYRTKFEATLPDIMESFNDLYRSIMGRITAEALKERVLKVLQVWADWFLFSDAYVNGLRATFLRSGNSGVILFHSICGDAPEVEQVTSEDMVIGGKTNPDAALAMGRGAATKELMSLPLAELERRCRHNGLSLVGGREMMVARLLSLEEAEKQRGYELDDELKYAQNQANSGKYPSSRRETSTEPETVGLSAWNRYGDDSQSQGKGPVPLVPTLSIPQPEIKAFTKKEKSEPVLPASKWAREDDESDDEQRSGKNLGLSYSSSGSENADDGLTKADESESAVDTRLSAHADSGMNEEQRQKLRRMEVALIEYRESLEERGIKNLEEIENKVATHRKRLQVECGLSDSGEDGQGNKRTSSERRDRHDVPRKRHRSHSPSNSPQQKLSGKVRDREHDLERDRDRHRDRSRDFDSDKGRDRHREKSGSREREDHDKERGRDRDRRRRVR >OIV93828 pep chromosome:LupAngTanjil_v1.0:LG17:20722159:20726831:-1 gene:TanjilG_03791 transcript:OIV93828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRTVSILNHLFTRSNFPLSSSISPLISSRSVTYMHRPGDASPRPVTLIPGDGIGPLVTGAVEQVMEAMHAPVYFEKFDVHGDMKSVPQEVLESIRKNKVCLKGGLATPMGGGVSSLNVQLRKELDLYASLVNCFNLPGLPTRHDNVDIVVIRENTEGEYAGLEHEVVPGVVESLKVITKFCSERIAKYAFEYAYLNNRKKVTAVHKANIMKLADGLFLESCREVATKYPGIKYNEIIVDNCCMQLVSKPEQFDVMVTPNLYGNLVANTAAGIAGGTGVMPGGNVGAEHAVFEQGASAGNVGTDKIIEQQKANPVALLLSSAMMLRHLQFPAFADRLETAVEGVILEGKYRTKDLGGTSTTQEVVDAVIRALD >OIV93852 pep chromosome:LupAngTanjil_v1.0:LG17:20278361:20283290:1 gene:TanjilG_13867 transcript:OIV93852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFFGSTKRFSTKLSLLGDAFIRTYSAATGPNQLNLIKQLRQRTSAPIKDVKAALLLSNWDIEEAQKELRKKGKVLASKKSSRTAAEGLLALAQNHNKTALIELNCETDFVARNEIFQHLALSLAKQALSVENYSQQVSGVFHVGPESLEETTLNLEHPKISGETTVHNAIIEVAAMMGENVKLRRGYVMPASSQGLISTYLHTSPQQGLGRIAGILSLEVDDGKAQVDAVQRVGSELAMHVVAAKPLFLTKDLVSSDALENEREILKSQAESSGKSQMAIDKMVEGRLRKYFEEVVLTEQKFIMNDTLNVKAVLDNLSKEVGSSVRVVNFLRMEVGEGIASQEADASEPVAQSA >OIV94759 pep chromosome:LupAngTanjil_v1.0:LG17:1947962:1949864:-1 gene:TanjilG_12972 transcript:OIV94759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEELPGAFGTSASFALRFGQTVFSSASLLFMCFHLDFYSYTAFCFLVTVMGLVIPWSMTLLVIDAYSVFIEYIPVQRRHVLITLLGDMVWSHLTLAAACSTASVTNVLLEAQPRCPAKSCSRYQLSASMAFLSWFLSAASCLFNFWLFPSLL >OIV94375 pep chromosome:LupAngTanjil_v1.0:LG17:3443214:3443405:-1 gene:TanjilG_25437 transcript:OIV94375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCSSSSGSDEDDEGFDSYRKGGYHAVRVADHFAAGRYIAQRKLGWGQFSTVWLAYDTHTSVC >OIV94252 pep chromosome:LupAngTanjil_v1.0:LG17:7145610:7147530:-1 gene:TanjilG_00001 transcript:OIV94252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METEERNQRGLKGSEPELFLQWGNRKRLRCVRLKDPRISSRLNGGIRKKLTVAPSGVTVLEKEGSHLHHQQQPNRFTRNSDGSVHRSAAVDNRKSTSPEKEDRYYTTRGSSVVADESHSKLTGDREERALVWPKLYITLSSKEKEEDFLAMKGCKLPHRPKKRAKIIQRSLLLVSPGAWLTDMCQERYEVREKKSNKKRPRGLKAMGSMESDSE >OIV94286 pep chromosome:LupAngTanjil_v1.0:LG17:8998252:8998883:1 gene:TanjilG_00035 transcript:OIV94286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKERVDLNAETEEDPSSSTLLDLTSYQLHDLDSVELPLTLTELDLTTNRLSSLDPRISHLSFLKKLSLRQNLITDDAVSPLSSWTTLSTLEELVLRDNQLKKIPDVSIFKQLLIFDVSFNEVTSLNGLANVSNTLKELYVSKNEVAKIEEIEHFDQLSILELGSNKLRVSYLLPSVVMYCA >OIV94461 pep chromosome:LupAngTanjil_v1.0:LG17:4351228:4355658:-1 gene:TanjilG_25523 transcript:OIV94461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPLLVTEEEGQSKVSSSIVASASSQSLDCFSQNGIELKERNYMGLSDCSSVDSSSPSVPSFSHEKKENLNLKATELRLGLPGSQSPERNPDLYSLSSAKLDEKPLFPLLPMKDGISLASQKAVVSGNKRGFADAMDGFPQGKLIGNTGMGVMLSPTRTGAQPTAVREIQSKVLQEQPCAANGASISGGAPASKAQVVGWPPIRSFRKNSMATTSKNNDEVDGKPGPAALFVKVSMDGAPYLRKVDLRNYTKYQELSSALEKMFSCFTLGQCGSHRVPGKEMLSESKLRDLLHGSEYVVTYEDKDGDWMLVGDVPWEMFIDTCKRLKIMKGSDAIGLAPRAMERSKSRS >OIV94408 pep chromosome:LupAngTanjil_v1.0:LG17:3742433:3743980:-1 gene:TanjilG_25470 transcript:OIV94408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLWFYVVASICVYIFLQAIANIIHNKRLPPSPPTIPMLGNILWLLKSSKNFADLEPTLRSLRSKYGNIVTLHIGSKPSIFITSHEAAHRALVKNGTTFASRPMSLKTTLVFFPNQHTVSTSPYGPIWRMLRQNFMHVIQPSRLSSYSHCRKLALTIFKKSVLVEIGLGNKAISVNEHFNYTMYVLLSYMCFGEKFDEVTVKNIQRVQHNLVNNFIRFNVLNFLPFLTKIVFRKLWRELLEIRQNQVNVLLPIIKSRQEKMMRRKVDDHRNEVDGDEHVFEAYVDTIVDMKLPDSGKKLEDEELVSLCSEFMLGGTDTTATTWLWAMANLVKHQHIQEKLFDEIKEVVKHGEDIEEEHLKRMPYLKAVVLETFRRHPPGHFILPRAVIQDTIMDGYEIPKNAMVNFLVAEMGWDPKVWEDPMEFRPERFLSEKNDAKFDIKGFNEIKMMPFGAGRRVCPAISMALLHLEYFIANLVRDFKWTLKDGCEVDLTEKQAFTIVMKNPLKPCVTPRTFT >OIV94074 pep chromosome:LupAngTanjil_v1.0:LG17:13205619:13212125:-1 gene:TanjilG_05454 transcript:OIV94074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVYYKFKSARDYDSISMDGPFISVGTLKEKIFETKQLGRGTDFDLVVINAQTNEEYLDEAMLIPKNTSVLVGRVPGRARLPIVTEIQQKPENKTSETEPDNNSFLVANASAMKYIEDMDWDEFGNDLYSNLDALPVQSSNFIPEAPLTNNADEDLDSKIKAVVDTPALDWQRQGSDFGGGRSFGRGMGGRMGAGRGFGLEQKTPPQGYVCHRCKVPGHFIQHCPTNGDSNFDIKKVRQPTGIPRSMLMVNPQGSYALPNGSVAVLKPNEAAFEKEMEGMPTSTRSMGDLPPEFHCPLCSDVMKDAVLTSKCCFKSFCDKCIRDYIISRSMCVCGATNILADDLLPNKTLRDTINRILESGNSSAENAGSTFQVQDMESTRCPQPKIPSPTSSAASKGELKLLPVNEGTANIQEIADNMKAVSAPQQTSGQVRISRAADVSEATHESMSVKEQTSQGNARRVEEEVQQNLVPTEAGKKKKKKKIRLPTNDLQWKNQHDLAVENYMMPMGPPAGYNSYWNGMQPCMDGFMAPYAGPMQMMHYGLSPLDMPFASGFPPDPFGMQGYMMPPVPPHRDLAEFSMGMNSQPPAMSREQFEARKADLRRKRENERRGGRDLSRAREFVDREVFIVGNGSSMKSKTKSSIPPPSSSSNYHPQRHRSERLSPDRSRSPPTQTEPPPPPPPKRKSDRERERSRERDFDHDHRDRDRDIHGHERHHRRHHRSESSSRKSTEPLTKTTTSSIAAAAAAERKHKTSVFSRISFSSEEEVSKKRKVSTAPSSTTVTPSAVATATASASTASLKAPISNSYYGSSRKSNSVDYESSDDERHFKRRPSRYEASPPHPPPADYRGTRERKHR >OIV93768 pep chromosome:LupAngTanjil_v1.0:LG17:21080006:21083526:1 gene:TanjilG_07671 transcript:OIV93768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSFPSPDAPNYREKRVLGNNPKGSCSERIPKPKGNGCIGTRRHHTMGGLTPFNSRRTRPSKWDEAERWICSPSSVSSYGVLVPVVSVHHYDQSHDDVDDDAVFGYDIDNNGLQYSSSAVLSQNFGVGLAQSSISNAHTCSELQSDSLSSNPQDEKHEGTKNKDRDTSFLSRCDKGTQMSSSETENDAHSSPIIDVEVDSETTLIKWAKRHATKLTKKDSLHSKHIREESIESKASSWDVVESTLDSSKLQREEAKINAWENLQKAKAEAAIRKLEMKLEKKKSSSIDKIMNKVKRAEMKAENMRSSLPAPQGNQVSKICKVFSLPKHVHMWSPSICFGSHAE >OIV94376 pep chromosome:LupAngTanjil_v1.0:LG17:3444755:3448296:1 gene:TanjilG_25438 transcript:OIV94376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRDCLEVVHILLKGLDVHLGRVAEVMDNIRLFLKKDWMAPGTGCTSETSTMSGGESLEEGSSSGEFSMEDQNGDTLGSLNHNIGKPPRDHSSMRHCTSSSWLLEAEPDIMIIGLKSTSEEKSEFSPILRSGCCSEKGPKQFMEDEFICVDILGEHVGGGADLPSPAAFYGVFDGHGGIDAASFVKKNILKFIMEDSQFPSGTKKAVKNAFMKVDHAFKDASALDSSSGTTALTALVLGRVMLIANAGDSRAVLGKRGRAIELSKDHKPNCTSERLRIEKLGGVIYDGYLNGQLSVARALGDWHIKGSKGSKSPLSSEPDLEEIVLTEEDEFLIMGCDGLWDVMSSQCAVTMVRKELMQHNDPTACAKGLVNEALQRNTCDNLTVLIVCFSKDPPSKIEIPKSHRRRSISAEGLDLLKGVLNGR >OIV94603 pep chromosome:LupAngTanjil_v1.0:LG17:3230165:3234699:1 gene:TanjilG_06629 transcript:OIV94603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGREGGTNSGITVVGSDAPAEYHVAQRTENDAPAAGSAVLTAVPAAQGGVPSMQPVKKKRGRPRKYAPDGSVSMALSPRPISSSAPPSLPPVIDFSAERRGKVRPVSSVSKTRFEVENIGEWVPCSVGANFTPHIITVNSGEDVTMKIISFSQQGPQAICILSANGVISSVTLRQPDSSGGTLTYEGRFEILSLSGSFMPNDSGGTRSRSGGMSVSLASPDGRVVGGGVAGLLVAAGPVQVVVGSFLAGNQHEKPKKHKLETLSAATPTAAVPVSIADPVTVLSSSSSFRADNWSVIPVPTDTRNNPTDINVSLPGG >OIV94839 pep chromosome:LupAngTanjil_v1.0:LG17:1301948:1304010:1 gene:TanjilG_22036 transcript:OIV94839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANDDFDMSNLKSQLNQTHETKKQETERSYSQVCVSETKLLEVNNCVQGSEDSAKKELEGLWRRVKTTATLLTYLKSKARLMAVPHLAHTSCGIKQLEGVGLVDKNGIPISGWSRSVDLSTFDDVDEESWIGIYHRHGSLDEQDAAYNSEMLKSVQMVADVMEALVKRVLLAESETAIEKEKVTLSLEELIRKSAQLESMSKKLEGMEHFASSTSSVLNEMRQRVEDLVEEAIRQRERASENEEELCRVKQEFQSLKSYVSSLTTVRETLLSSEKQFQNIERLCEQLLEKTTQLEGEKMQKETEVQKLMEENVRLSAQLDKKEAQLLALNEQCKLMALSASNM >OIV94279 pep chromosome:LupAngTanjil_v1.0:LG17:8824898:8825113:-1 gene:TanjilG_00028 transcript:OIV94279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWHSHETQLVCGLDRGMVSGLDRESELGLVRGSNSDRGVYGLDPDRGFGLVHISGLDRGIMPGLLHGLGLD >OIV94876 pep chromosome:LupAngTanjil_v1.0:LG17:1030577:1036011:1 gene:TanjilG_22073 transcript:OIV94876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRKTKQLSAEDDTVSLNQSQQKKAKSKADSSDSCSADIANLVPSKRKTAKTTTVNPDSCFVGKPIPATEALAKWPHRFPSKGKKDSGESSKKVTSENGEFKVKNHFRQAKVEGVLYNLFDNAYVKAEDDKPDYIARIVEMFETEDHEQYFTAQWFYRAEDTVIKNHGDLIDKKRVFISEVKDENPLDCIVSKVKIARITPNMDLAKKEKTIGSCDLYYDMKYTIPYLTFSNLDDETTPKVESDTSTISSESGSNGFVDDINMVNGEACKSDKTVLAEWSLLDLYSGCGAMSTGLCFGASISGIKLVTRWAVDINEYACKSLKLNHPETQVRNEPAENFLSLLKAWHKLCGDFKLLGTIRSYPDPDMDDDESNDEVEDLKKEASENQSDSEEFEVEKLLAVCYGDPNNVKKSGLHFKVRWKGYGSSEDTWEPVDGLSDCKEVLKDFVERGYKKKLLPLPGDADFICGGPPCQGVSGFNRFRNTEAPLDDIKNKQLLVYMDIIKFLKPKYVLMENVVDILKFAGGFLGRYAIGRLVAMDYQARMGMMAAGSYGLPQFRMRVFLWGALRTQKLPPYPLPTHEVVSRGFVPSEFEEIAVAYETKDTCQLGDALFLEDAVSDLPPVGNDESQDERKYGTAPRTEFQRYIRLKRNEMVGGMHPSQSKAGRILYDHRPLKLNQDDYDRVCQIPKNKGANFRDLPGVLVKDNKVEWDPKIERVLLASGKPLVPDYAMKFVRGTSSKPFGRLWWDEIVSTVVTRAEPHNQVILHPAQDRVLTIRENARLQGFPDCYKLCGTIKERYIQVGNAVAVPVALALGYTFGLACQGLSDDKPLTTIPFKYPSCLALSSSAPIHNNDD >OIV94646 pep chromosome:LupAngTanjil_v1.0:LG17:2913034:2915186:-1 gene:TanjilG_25870 transcript:OIV94646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCFFFKHKSEINPESQSPGKKKTRTLNGATKSTSSISSPRSVIELYKEKEQNFRVFTMRELVEATKGFNRMLKIGEGGFGSVYRGTIIPQDGEGDPSVVAIKKLNTRGLQGHKEWLAEVQFLSIVDHPNLVKLLGYCSVDRGREIQRLLVYEFMPNRTLEDHIFNPSFPHLSLKRRLKIMLGAAQGLHYLHEGLEVQVIYRDFKSSNVLLDANFHPKLSDFGLAREGPQGDNTHVSTAVVGTQGYAAPEYVETGHLKVQCDIWSFGVVLYEILTGRRVLERKRPKGEQRLLEWVKNYPADSSRFSIIMDPRLRNQYSSGVARKIAKLADSCLQKNPEDRPSMSQIVESLKQAL >OIV94751 pep chromosome:LupAngTanjil_v1.0:LG17:2011814:2014636:-1 gene:TanjilG_12964 transcript:OIV94751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLSLWKPISHCASLIMDKKNRRKDESTIDDKRNPSVLRKLQENKLREALEEASEDGSLLKSQDMEPDPVGNQDESLGRSRSLARLNAQREFLRATALAAERTFESEDAIPSLEEAFSKFLTMYPKYQSSDKVDQLRSDEYSHLLSPKVCLDYCGFGLFSFVQTIHYWESCTFSLSEITANLSNHALYGAAEAGTVEHDVKCRIMDYLNIPENEYGLVFTVSRGSAFKLLAESYPFHTNKKLLTMFDHESQSVAWMAQRAREKGAKAYSAWFKWPTLKLCSTDLRKQISSKKRRKKDSAVGLFVFPVQSRVTGAKYSYQWMALAQQNNWHVLLDAGSLGPKDMDSLGLSLFRPDFIITSFYRVFGYDPTGFGCLLIKKSVMASLQNQSGSTGTGMVKLTPEFPLYLSDSGDGLDKLVGIEDDEDTGDGDKTSETRQPSQLPAFSGAYTSAQVRDVFETEMDQDSSERDGTSTIFEETESVSVGEVMKSPVFSEDESSDNSFWIDLGQSPLGSDNASQSNKQKIASPLPSFWFNGRKNQRRHSPKPTSKIYGSPMYNERQVKTSPHDDHHVLSFDAAVLSVSQELDHVKEVPEEEHDAEVDQYSRNGNGLGHVYLNEIQEEPGASEAVNAGSVAWKGTRLNNSTFIDQHPCLDNGSTSEICSEVKESAIRRETEGDFRLLGRREGNRGGDGRFFGLEENETISKGRRVSFSMEDNHKEYLRHTMEAGDVSVTSLDEDEVTSDGEYDDGQNWSRREPEITCRHLDHVNMLGLNKTSLRLRYLINWLVTSLLQLKLSVSNGDNKVNLVYIYGPKIKYERGAAVAFNVRDRNRGLINPEIVQKLAEKEGISLGIGFLSHIRILDNSKQHRGAINLEDTTLCRPMENGQHDGKGGFVRLEVVTASLSFLTNFEDVYKLWAFVAKFLNPAFIREDALPTVQEGSET >OIV94072 pep chromosome:LupAngTanjil_v1.0:LG17:13166698:13176087:-1 gene:TanjilG_05452 transcript:OIV94072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYDDNDFQNQNLHLAGEGSTKFPPLLRPYALPKFDFDESLQGHLRFDSLVETEVFLGIDSNEDNQWIDAYSRGSSAIEFSSTAAESCSISRHNNVWSEATSSESVEMLLKSVGQEEFIPRQAVMLESDACDELACLTKQMEPNQKSDDKNEFKDIAVDSQPPGCVDEKLSGSKDDVEMEQSLAGLTQGNERSIVGSSSNLQPQDVHRNTDLRVPGDGLFTDGKNCDTNKRQFETLADSSLDKETQNGSSCHLHPQNIDLPVPGGSLFTDGKSNDTSKRQVDTLADGFLDKETQDGSSTHLRPQYIHRNIDLPLPGDSHCTDVKNNDTNNSEVETLADGSLDKETQDVSSTSGVKTNITAAFPENVSSTSDVSNIQNGQNQVVGRSDEGDSSSQIETDKQDVGSSVINNESDVDTQTLDGNAVGCGAHHTFNPLCSVPVNEALESEKVPEGLETGMSSFVDSFRMVSDGISDLQKDETCCEDTCIRDLPQGNASEGAVMEGQSALRICDSLKVTAINDDSSSKGLEVKVHNSCHGTSPSFQLNVDTIEKKYGESSVCNENMLLNIGQHMDTEILLSKSEASKFSVVDNNIAIVGGSNSDKEGGDFSSFSVVASTKPCILGEAAQLCENNEPDRQSDHGQICKDVSINNQESKKAPLDSSEMHCDVYQSHLVKGSGSSSLRAGSLENELTTSTASVVDVMPVNSSASNLTSETISSTSCKIDVPPIRVVSTHEVIDHKEVQRTVLVEPVCFDVKEKSEAKIAEVAGLLSLVLSPKHEISPCPATGTETHNLSDTSGQPLCETISNHLQNPGTTATEKIEPEGTQNYNVNQESTKEVGVAPDQCKSIEKPSDEDTVPFINDDKEKIEENHHKSPSKFSGPVSSSHVPDSHVELHETGGSPAYLTNSTCTPSITFGSTPETEKNGNQVKASCSLNSPVSDINTDATNTPTAAHDPKGNDASKDERSLTSEVSQRANADLTTKVKASCSLNSPVSDLHTDATNTLTPAHDPKGNDASKDERSLTSEVSPVSNSSQKVNADLTIEGKDVGERLPLPLTAANKAPMVLDESPLASGLGTAKTKIAGNISHGSPPISDGEVTHSVSKGTPERKTRRVSNKTPGKESSRKRRQAKEKPLARQSEKEGGSTSVSLSPPPGFQRMHSNEVQQYGHGDSNSTKPFAVLNSSTSGLLDLNTSASLPVLFQQPFMDIQQIQLRAQIFVYGALIRDVRVLKNKCFLIHSPPDPDTVTPTCQGIVPDEVHMIAAFGGQDGGRSMWESVWCSFIERQHGQKSHPGNPETPLQSRSGPRTTDLTVKGKAMSSPLGRASNKATPPIVNPSISLSSPVWSLLTPSHDSLQSSALARGSVVDYAQTLASLHPYQTPPRSFLGHTTSWISQAPPRVPWIASPYPAPDNSSHLSASPVTETIKLSSVKGSSLPPSSAIKNVPPGLPASSACLQSISVATTPLLDTGNVMVSHAQHSSDPKPKKRKKVMISEDLGQKALQSHTPLQATPDFSSHISTAVAIATPVGNVPVTTVEKPVVSVSPMSLVGHSKSDWNVENRILSDETLKKVKEARINAEEASALSAAAVNHSLEIWKQLDNQRNSGLVSDIETKLASAAVAVAAAAAVAKAAAAAANVASNAALQAKLMVDEALVLSDYENSRQFSSPQQGMSDIGKATPASILKGSNGTSSSSSIIVAAKEAARRRVDSASAARIQAENLDAIVKAAELAAEAVSQAGKIVTMGDPVPLSDLVEAGPEGCWNAAQESSQQAGILEGMTRGLVNIDNVGDRPESSRTCNIDVSSDETRKQIVASVKSPLHMVQDERSQDPMRSIDGISTSININRKSSRGSKRCKVSDLVNPIDVLPESGTEIQASLAVDNRSDNMEENNMKEGSLVEVFKDGGELKAAWFTGNILSLKDDKAYVGYSVLLADEGAGPLKEWVSLKSEGDKPPKIRIARPLTGLHNEGTRKRRRTIMVDYTWSVGDNVDAWIQESWQEGVITDKNKKDETTLTVHFPSSGETSVVRTWHLRPSLIWKDGKWIEPSRIGAKSSSTHEGDTPNEKRPKRGSPALEVKGKDKISKGIDAVEPVNAGVSSLLNLAENEKVFNIGSKNENKPNAQRMARSGPQKEGSRVISGIPKLGKKRKYMDVSKHYVADGTGKINDGNDSVKLANFMLPRGSGSRKTDAKEKVGADTKLKTFKSGKPLTAFGRVIPPKENSLSKSRSNDMISRTGIKDSPKIASVSSSHSGTSGAVGGSILYSSHATSADSHPTKKTPASRASKGKLAPAGRTLGKVEAERALVKSTSDVVEPRRSNRRIQPTSRLLEGLQSSLIISKIPSGPHHDKGHNTHNRNASRGENLYL >OIV94995 pep chromosome:LupAngTanjil_v1.0:LG17:164095:172285:1 gene:TanjilG_22192 transcript:OIV94995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLSRWYALQMAIKNQWGGSDSLQKSHHLASHIFSLFSTSNAPVPVEDLENLLHESMLLTFNTEIEDGSIEEVAEQLMGIHEEYLLHKLSHSLNQHHHLQEGIMLLLSRWYALQMAIKNQWGGSDSLQKSHHLASHIFSLFSTSNAPVPVEDLENLLHESMLLTFNTEIEDGSIEEVAEQLMGIHEEYLLHKLSHSLWQKENEYLENDAIIEGNSYGDVKEESTFDLHPVKPFTGGEGLPYAPEGWPNPDDIWGWKVGRRTNKSGYYCDRYLLLPKSLFQEKQDRFQSKTDVERYVKTNFPNMELDAFFALFSWKVPSTKISPAKASHCLPTRPSVQSTENGNAVTEEIATDRKLKRKAQLSNQPTRKSFRHVRSNFPPVFRDANTSNDVIDLSYLNESEPLNASIAENFDDYLNNLEDILVLPKPETETSLSDSVVSATTLENEITECRKKLSSLLSMDFPSLVSSNNVVEAAKLASQLRKDPSLSVDQLIKLKLVEEMLLLSEAFVEATGDMEEADKFFAELEAKKLKVPCLKNEYNELKDKVARIEAEIETSSKAIEEVDVQISQLESKRSEMCSVLETMQKKKAELTSNQTMLAKSIPSIVSEIQHGHSEKRKWAVKKANRAQRIAEIQERFSSLRGLTF >OIV94823 pep chromosome:LupAngTanjil_v1.0:LG17:1454177:1455454:-1 gene:TanjilG_22020 transcript:OIV94823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQMGSFKLFSFSIIAFIAATGVLLGAESKTHGGDIEALKDLKNAIEPVSVKPGSCLNSWDFTFDPCDNLFSIKFTCGFRCDIVVSGFSRVTELSLDSAGYTGSLSSTLNNLPYLQTLDVSNNYFSGNIPESLSNLTRLSRLGLSMNYFSGEIPSSIGTLSNLEELFLDNNNLERTIPTSFNGLVSLKRLELQHNKLNGYIPDLSSLTNLLYLDFSDNALVGVFPATVPVSLVQISMRNNNLNGTLTSESFKNLMYLQVVDLSSNQISGSVPSLLFELPSLQQLTLSVNQFSSIEVPSYGYQSGLIAVDLSNNQLKGFLPSFIAFIPKLSSLSLENNEFSGLIPTQLALKTVFPEIGVSPFARLLLGGNYLLGGVPRPLLGLKPDSANVNLGDNCLYRCPLRFFFCQGGQQKSWEECKRFNPLIH >OIV93791 pep chromosome:LupAngTanjil_v1.0:LG17:20360417:20372421:-1 gene:TanjilG_03754 transcript:OIV93791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEAQAASAAAVTGSRWCPGHLAFGPYVSQGEGGGTSSENKSQKLCVVVRKSLVTRLTRDIVETYRNCNPQFKFSEDLNPKRFLTSPSTGVLNDGYDNVNSDLILSVNFVLIHVEKNRRYIVKDVLGHGTFGQVAKCLDSDTNSFVAVKIIKNQPAYYQQALVEVTILTTLNKKYDPGDKHHIVRIYDYFVYQQHLCICFELLDTNLYELIKMNHFRGLSLSIVQLFSKQILCGLDLLKEAGIIHCDLKPENILLCTSTVKPAEIKIIDFGSACMENRTVYSYIQSRYYRSPEVLLGYQYTTDIDMWSFGCIVAELFLGLPLFPGASEFDLLRRMIKILGGQPPDYVLREAKNTSKFFKCIGSLQNIGNSESSENGRSVYQALTEEEFEVRDLKKPAIGKEYFNHMNLEAIVTNYPYRKNLPKEDIVKESQIRLALIDFLRGLVELDPAKRWSPFQASKHPFVTGEPFTQPYRPPPKTPRMPVVQNIKVDNHPGGGHWFAAGLSPNVPGINRVSQYSSPHFQMVQYPPANSYGSVGSHGSYNDGFAHGSSYGSYGESSNMFAYYSPVGPSGMNMHGQGGMSMLGNTPDARRRLKYQPANGLGISPSAGNFSSLPLGASPSQFTPPSSFGQMPVGSPGHFGPTSPARGASHGSPLGKAAAASQFNRRKNRGYSGSPQTKETTFSSHFQGQSHDGSNHAEGTSQAFGSSPSYLQSNINSGNWKQRGSGGIITNHNMTAMPTSNVNLQSTELARDNADAGLSSPDPADWDPNYSDDLLLQEDGSDESSLTTEFGRGMNLGSTEPWVRSGRFNQVSSTSSPLIIQRQNAIGQTFPNVEMSSPPMHDLQGTYNIPSMSKPYHHMPHISQNSPSRFGHQPVQRYSHGRPPHGGDWNQIKVQPPSGFSCPGQRSPRNNSFTNSMPWGRRMNPSMSSIPPTSRARNDYASIN >OIV93877 pep chromosome:LupAngTanjil_v1.0:LG17:16873374:16876026:1 gene:TanjilG_05580 transcript:OIV93877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSERVETDERVDYDEENYMEETDEDVEEQIDDDGVDGHSDENVEGIEEHEHEDSAAEAGEEDQLPEADRSDIAAESVEPSFIDEEEKKKHDELLALPPHGSEVFIGGLPRDVLEDDLRELCELIGDIHEVRLMKDRDTREGKGYAFVAFKTKEVAEKAIEELHNKEFKHKTLRCSLSDTKQRLFIGNVPKNWTEDEFRKVIEGVGPGVENIELKKDPQNSSKNRGFAFVLYYNNACADYSRQKMSSASFKFDGNTPTVTWADPKISPDQSASAQVKALYVKNIPENTSTEELKELFSHNGEVTKVVMPPSKAGGKRDFGFIHYAERSSALKATKDGEKYEINGQVLEVVLAKPQAERKSDGGYAYNPGFQPNHFPYPSYGGGFAGNLYGSSGGGYGAAGGFQQPMIYGRGPMPSGMQMVPMVLPDGQIGYVLQQPGVQMPAPRPRRNDRNSGPSVQSGRAGGSGSDEGNRGRRYRPY >OIV94789 pep chromosome:LupAngTanjil_v1.0:LG17:1719167:1724560:-1 gene:TanjilG_13002 transcript:OIV94789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAMKNEEPVDSPTSVLGDEDACGAEVEIKAEEEVLLDIIDDGSLLLPKSIAEEEEKLIKERAKEEEEQCEEAPDLNETQFNKLDELLTQTKLYSEFLLEKMDDITLNGGEKENGDEQESEPATKKKGRKRKAASQCNTGKAKRAVAAMLTRSKESVKTEDMNMSEEDRIAKEQKELMPLLTGGKLKSYQLKGVKWLISLWQNGLNGILADQMGLGKTIQTIGFLAHLKGKGLDGPYMIIAPLSTLSNWVNEISRFAPSLPAVIYHGDKKQRDEIRRKLMPRKVGPKFPIVITSYEVALSDARKCFMSYNWKYLVVDEGHRLKNSKCKLVKALKCLNVENKLLLSGTPLQNNLAELWSLLNFLLPDIFSSLEEFESWFNLSGKCNNGATKEELEEKRRAQVVAKLHAILRPFLLRRMKTDVELMLPRKKEIILYATMTEHQKKLQDHLINKTMEKYLEEKSSTGRATKSIKNLCLQLRKVCNHPDLLESAFDGSYLYPPINEIVDQCGKFHLLKRLLEQLFARKHKVLIFSQWTKVLDLMDYYFSEKGFEVCRIDGSVKLDDRKRQIENFDDPNSKYRIFLLSTRAGGLGINLTAADTCILYDSDWNPQMDLQAMDRCHRIGQTKPVHVYRLSTAQSIEVRMLKRAFNKLKLEHVVIGKGQFHQERAKPVSADELEEEDVLALLRDEETAEDKMIQTGIRDEDLIKVLDRSDLVVPNSKDDKDFKAPVSSFPLNGPGWEVVIPTASGGMLSTLNS >OIV94374 pep chromosome:LupAngTanjil_v1.0:LG17:3439944:3442332:-1 gene:TanjilG_25436 transcript:OIV94374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSHDFPQPVSYVALKIQKSAPEFVQAALHEISVLSSIADGDPSNSKCVAQLIDHFKHTGPNGQHLCMVLEFLGDSLLRLIKYNHYKGLPLNKVREICKCILIGLDYLHRELGIIHSDLKPENILLFSTIDHSKDPCRSGLSPILERPEGNINVGVTSLIEKRLKRRARRAVAKISLKRDSIGGAGEPPKSARNIDEIDVRCKIVDFGNACWADKPLAEEIQTRQYRAPEVILKAGYSFSVDMWSFACIAFELATGDMMFTPKGGGQGFSEDEDHLALMMELLGKMPRKIATAGAQSKDFFDRHGDLKRIRRLKFWPLDKLLIDRYKFSEKDASEFSEFLLPLLDFAAENRPTAQQCLQHPWLNCKESTPNEMRNESSVEKVNIGVSNLKIKVGK >OIV94293 pep chromosome:LupAngTanjil_v1.0:LG17:7078508:7079549:1 gene:TanjilG_25662 transcript:OIV94293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRLIPSLNRVLIEKIVPPSKTTAGILLPEKSSQLNSGKVVAVGPGALDKSGNLIPVSVKEGDTVLLPEYGGTQIKLNDKEFHLYRDEDILGTLHD >OIV94419 pep chromosome:LupAngTanjil_v1.0:LG17:3848020:3850441:1 gene:TanjilG_25481 transcript:OIV94419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFLVLAGVQLSVLVPSAGADAISLITDGIKNDKSMKTTRQPKYRPPGKDSPTSMNKGRSARGVPETAEKLGNMSVGTRRQSMGQRPPPMKTGVNWIPESRNFMLRPTQQIPTGRTFTRKVAG >OIV95012 pep chromosome:LupAngTanjil_v1.0:LG17:72602:77029:1 gene:TanjilG_22209 transcript:OIV95012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDLTSSHKISSENDYETSLMDYGEADPEGSEDQDPNPRPKKKGFHRHTQLQIQEMEAFFKQCPHPDDKQRKELSHELGLEPLQVKFWFQNKRTQIKAQHERHENAILKAENEKLRAENIRYKEALSNATCPNCGGPATIGEMSFDEQHLRIENARLREEIDRLSGIVGKYIGKPVTSYSNLSSHNNNNNNNHFLDLGVGNYGAQSSTGMVGEIYGGSSSDLFRSLPVPADADKPMIVELAVAAMEELTRLAQATHPLWNQWSNVFCGIVSRALTHEVLSTGVAGNYNGALQVMSAEFQVPSPLVPTRENYFVRYCKKHQDGTWVIVDVSLDNLRPSIVSRSRRRPSGCVIQELPNGYSKVIWIEHVEVDDRVVHSIYKPLVDSGIAFGAKRWVATLDRQCERLASSMASNISAGDLSVITSPEGRKSMLNLAERMVMSFCTGVGASTSHAWTTLSATGCDDVRVMTRKSMDDPGRPPGIVLSAATSFWLSVPPKTVFDFLRDENSRREWDILSNGGQVQEMAHIANGHDPGNCVSLLRVNSVNSNQSNMLILQECCTDSSGSYVVYAPVDIVAMNVVLSGGDPDYVALLPSGFAILPDGPGLNNGGGIVDVGSGGSLLTVAFQILVDSAPTAKLSLASVATVNSLIKCTVERIKAALISHNT >OIV94470 pep chromosome:LupAngTanjil_v1.0:LG17:4457734:4476637:-1 gene:TanjilG_25532 transcript:OIV94470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMKSVVERYNTCKEEHQQLINPESEVKGNLIHQENVELYKKVNLIQQDNMQLCKKVYGITDMAVSKNIFVPLPFDVHAGQDPQALIQLQLCQPDQETCETSGSGSATK >OIV94181 pep chromosome:LupAngTanjil_v1.0:LG17:10780012:10788532:-1 gene:TanjilG_13798 transcript:OIV94181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIVTGDRYLDKLVKFVDEQAGLLIDGALVLKLNPAGLHYMQSRLEALYELESLLTGAPVDYLRAYVSDLGDHRALEQLRRILRLLTSLKVVSVLPPQIRDPTPLSFLPFGRLKVLELRGCDLSTSAARGLLELRHTLEKIVCHNSTDALRHVFASRITEIKDSPQWNRLSFVSCACNGLVLMDESLQLLPAVETLDLSRNKFAKVDNLNKCMKLKHLDLGFNHLRTLAPFSQVSYHIVKLVLRNNALSTLRGIENLKSLEGLDVSYNIISNFSELEFLASLTNLQTLWLEGNPLCCARWYRAQVFSFFSYPEKLKLDAKEISTIDFWKRQIIIASMKKQPTSFGIYVPAKDEAIMEGSNDRRRPRLACIESEEEITSICSDEKPVSCANEIQNRGEHDLSNEEAEVENLINKVEQMKKERSILWLREFKEWMDITSDKSVETNEEDGAALYHLKENYIRNKINQEQHGEVSRYASDSVLASGDETSMNILESDSSFVDMSASFHRQQNFDHRVLFGNAGGPSHSDSGRADVEQLKPLSLEGITCSLSQFNSYHADTNAIHGEHRMAENLDTSPLTAIRVISGSQSSSACPTSPPHFQEDLFHRRQHLVEEVLQLSADSFSMASSDSNTSCSKVDYTEFESSVPEVDNFPCKNYADGSVNGHISQNHLKDKFNNPSQGVLHAIENSFCLFSSTTDQTSRHGSFDVAAGADNGERALFVNQDADLSKKRKIKRKAKKRVISILEENPNCNSYDHEHEQISQGEISVNSKRELDVDEFSGGNRSTQENDDFIVTYFNMYIADFEASEICSHCIRCNCVLQREMIYREREVAVLLSSNKKLYVLLISVASDGSGNLLSLLNCHKLEEVSEVLVGMGLQVLRVNFESGEAYLFVTKSLEKSRELLCTILMFDSCGGDGRLSIRSLEQVQVELFDNQICGGSNVSIYQYAMVLVCYNDGSDQPWFSRSLFVIGGHVLLCIEDVKQLYSFSKDASVSPYLRVDSCCSIADITKMVTEVGDNSFCVTLTIRNLMAEFHPSTGTNLEPVNHGNTTPGSIKLKIQWYSKDNLLKFVSLMKAIHAEKPVSPLVIRCIS >OIV94028 pep chromosome:LupAngTanjil_v1.0:LG17:15204162:15204392:1 gene:TanjilG_19389 transcript:OIV94028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHIVLGIVNHSIKVSPIEVLLDFLTQGLVKVSNVIVQALVMLIVLAVSLLIVKQIVILDNWKTDYRIPGFWPSGWN >OIV94737 pep chromosome:LupAngTanjil_v1.0:LG17:2362987:2367331:-1 gene:TanjilG_06200 transcript:OIV94737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEMIDTTKKKVLIDIVRLVQKRGLKGKLGDWKEFLDNQDRKFGSNLSDPSKRSHEVLASFLKTFHEEDHLKFLFNVMQQHSNREVLEQLKDKSYDSPEQGWEVINLEDINKAIRYTSMVSIDCEMVSCEDGTEALVEVCIVDRNLEVKLHERVKPNKAIADYRTDITGVSSKDLDGVTCTLADIQAVLGYDVREKGATHSCVDDARAAMKLVLAKIEHGVDRAIHLIEEPIPESEMAKLLLHGIPTSVNSEELHNVLPGDFTIELKISKKGRGEKYSAFAIFKNPQEALQAYENVQGNPEKDTANRPQKLVKFKLRADKTASLYVRKMAPDDNHDQIPCKRALQVDDDAFDVSKKAKVEPESNECDIDALKNEIEALRQQLKEKDMLIETLQKQKDFGIYKVHNKRVPQKKRKRMTR >OIV94935 pep chromosome:LupAngTanjil_v1.0:LG17:587780:588814:1 gene:TanjilG_22132 transcript:OIV94935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEQNTQYELQDGADIGYSLSKLILSSRTNTLDSIFSHCTPTNSNSTTTTSSTSTTSTNSILDCFEPLTSSTFDPLTSSTFEPLGSSVYLRQRDILQKFYHENRVKNGSFVPSFSMANPTLNSAAYTSSLSSLVNHSCKKKQYRGVRQRHWGKWVAEIRLPQNRMRVWLGTYETAEAAAYAYDRAAYKLRGEYARLNFPNLKDPTTLGFGDSTRLNALKNSVDAKIQAICLKVKKEKAKKNAAKKLKSGNDSNREKSSAKNVNSCSISSSLPQFNFYDNWANGLLLPSVSEDGVWKGENSASPASASASTEFPMMVTDEPEFEGCSLARMPSFDPELIWEVLAN >OIV94899 pep chromosome:LupAngTanjil_v1.0:LG17:843112:847774:-1 gene:TanjilG_22096 transcript:OIV94899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRSSRWRSDKKIIKALFKLHFHATHVFQSSGVDAFVLSIVPSNIGKTTMRLEKTRIQDGFCKWENPIHECVKFVQDPKTGKISDKIYHFLVSTGLSKASSIGEVSINFADYVDATIPSFLSLPMKNSQCDAVLHISIQRLPENSGQREEDECEDAKIKSQDRSIMNHLRSGDIDESTRSYSSEDVSVKAMINRPELSSNSRTSSGGSDITLSCSDDSSGLDTPCDLGLRKMNIHPDTNGFLSGTSHTSEPQKPAVNSPTTVHDVHHRSHWDWSSGSERRLSVDDSTNGSHDVLLQERSQHASPMEIDRLRAELADLARQVNVSDMELQTLRKQIVKESKRGQDLTKEIIELKEENGALKTECDNLRLFHKRMDEAKLRNRSHLEGGDLRAFVEEIRQELNYEKDLNANLRLQLNKMQESNAELVLAVQDLDEMLEQKNREMCNLSNNSEQVKNSKQFGINLSKCESDDDEEQKALDKLVKEHTSGKDTNLLEKKIIDLYGEIEMYRRDKDELEMQMEQLALDYEILKQENHGLAYKLEQSQLQEQLKMQYECSSPPPEINDTETRIKNLEDQLKEQSEDFSNSLATIKALETHIRKLEEELEKQSEGFEADLEAVTHCKVEQEQRAIQAEEALRKTRLKNANTAERLQEEFRRLSTQMTSTFDANEKAAMKALTEASELRAQKSILEGMLHKVKEENQSLKAEYEVKLKDLSNQIDTMTVHKQQMFLEIEDKSKRLEIQNKNCEQVSRDFSEEIEMLKAENEKLKEEISRLSEQVAGSEIFRSDLELMKKSVEESEALLQRRTVERNELVSKIASLKNEAEQSLDEFNKIRHHTDENEREARVLRSELESVRAQYSDLKHFLDEDEAEKEKLRKQVLQLKAELKKKDDGAKTSPKNKKTASVPQNSKEMASLREKIRMLEGLIKSKESAMETSTTTFLEKEKELQTKIKELENKVEEFNQSIALPKIVQDRSTNTSNDICGEVRSTVEPHRDNGCASEENMGAFLFKSIENLAQKETATTLVIDSAESNVNGILSELKERNKSMENELKEMQERYSEMSLKFAEVEGERQQLVMTVRNLKSIHKG >OIV94688 pep chromosome:LupAngTanjil_v1.0:LG17:2544141:2545733:1 gene:TanjilG_25912 transcript:OIV94688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSLIGLQNAGKTSLVNVVATGGYSEDMIPTVGFNMRKVTKGNVTIKLWDLGGQPRFRSMWERYCRAVSAIVINYCVYNVIHMFDMIPSVVIELSYVVDAADPDNLSISRSELHDLLSKPSLSGIPLLVLGNKIDKPGAMSKQALTDQMDLKLIIDREVCCFMISCKNSTNIDSVIDWLVKHSKSKN >OIV93938 pep chromosome:LupAngTanjil_v1.0:LG17:18340244:18346035:1 gene:TanjilG_05641 transcript:OIV93938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSYDYIIIGGGTAGCPLAATLSQNYSVLVLERGGSPYGNPNISNLAAFGAALSDTSVTSPAQRFISEDGVINSRARVLGGGSCLNAGFYTRASPNYVRDAGWDGKAVNESYKWVEKVVAFEPQMKQWQTAVRDGLLEIGVLPNNGFTYDHIYGTKVGGTIFDKDGRRHTAADLLQYANPNGITVLLHSTVYRIMFRIKDGSKPRAHGVIFKDESGRKHKAYLNHGSKNEIIVSAGALGSPQLLMLSGIGPAQHLKDHNISLVFDQPLVGQGMSDNPMNAIFVPSPLPVEVSLIQVVGITANGTYIEAASGENFAGSSSRDYGMFSPKIGQLSTVPPKQRTPEAMAKAIDLMNSLDQAAFRGGFILEKIMGPISTGHLQLQNRDPNENPSVTFNYFKHPRDLERCIEGIGTIAKIIESKAFSSFKYENMPVSLLMNLTASSPVNLLPRHTNSSVSAEQFCRDTVMTIWHYHGGCQVGRVVDRDYKVLGVDSLRVVDGSTFNFCPGTNPQATVMMLGRYVGVKILKERLGAAPDETM >OIV94030 pep chromosome:LupAngTanjil_v1.0:LG17:15269684:15275200:1 gene:TanjilG_19391 transcript:OIV94030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAIYNTNGHKDDTTTTTTFCLSNATNGVVNVGDPLNWGVAAESLKGSHLDEVKRMVEEYRKPVVRLGGETLTISQVAAIAAHDQGVKVELSESARAGVKASSDWVMESMNIGTDSYGVTTGFGATSHRRTKQGGALQKELIRFLNAGIFGNGTEFNCTLPHTATRAAMLVRINTLLQGYSGIRFEILEAITKLLNNNITPCLPLRGTITASGDLVPLSYIAGLLTGRHNSKAVGPTGKILNAKEAFELANIGHEFFELQPKEGLALVNGTAVGSGLASIVLFEANVLAVLAEIISAIFAEVMQGKPEFTDHLTHKLKHHPGQIEAAAIMEHILDGSSYVKEAKTLHDTDPLQKPKQDRYALRTSPQWLGPLIEVIRFSTKSIEREINSVNDNPLIDVSRNKALHGGNFQGTPIGVSMDNTRLAIASIGKLLFAQFSELVNDFYNNGLPSNLSASRNPSLDYGFKGAEIAMASYCSELQYLANPVTTHVQSAEQHNQDVNSLGLISSRKTNESIEILKLMSSTFLVALCQAIDLRHLEENLKNTVKNTVSQVAKRTLTTGVNGELHPSRFCEKDLLKVVDREYLFAYIDDPCSGTYPLMQKLRQVLVDHALANAETEKNFNTSIFQKIATFEDELKALLPKEVESTRAAYESGQYAISNKIKECRSYPLYKFVREELGTKLLTGEKLVSPGEECDKVFTAMCQGKIIDPILECLKEWNGAPLPIC >OIV94342 pep chromosome:LupAngTanjil_v1.0:LG17:6111343:6114764:-1 gene:TanjilG_21682 transcript:OIV94342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSFVGADQLILMVEIHKKIFAFRDIMDLDPCNSSASLREVVLKTLEDLQRLYPGIIRKNEVSKMKDKSIDQAMAYFCEALKSLGESWMMNNDWMEKLNILLPSCKDKSNMRNLGETMLVTLDSLMKLANERFDTDSSYSYCSSPNTPKSVLPELKKSSARAGESPRSSSCGSPLLYSLRLQAVGKLNPIDVKRLSFHMSPTHIGLQNSKIEEKQIREMEVDDDNKVRNSHASDTSEDLVFDLDTMEESDSTSTHDVVKKTPKLQGIGEMEILPLSPRTTLQSQSPKLAQENSPLQEVPLPVPPPMIQTSTVPPPPPPPPTPSTMIMPKTVPQPPPPTMPKTIPLPPPLPTKPFLQPNLAVTPPPPPPPPPSALNLKQVEIAVRPPLPPPPMPMVPGSVVAAPPPPPLPMGSGAAVAAPPPPPPPGALKGDSIPPTPLATLRGIVGAVLPPPPPGVGRILRPKATTKLKRSTQLGNLYRTLRGKVEGSSLNGKSSAGRKCAIGGASSGGGKQGMADALAEITKRSSYFQQIEEDVQKYTKEILDLRSTITDFKTKDMTELSNFHKDVETMLENLTDESQVLSRFEGFPTKKLEAVRMAAALYNKLDSIFTELHNWKVVPPVGQLLDKVEHYFNKIKTELDALERTKDEEAKKFKGHNIEFDFQILIKIKEAMVDVSSGCMELAIKEKRDDTDKDGGPKKEYAKLLWRVFQFAFRVYTFAGGHDDRADNLTRELAQEIQSDPNHP >OIV94589 pep chromosome:LupAngTanjil_v1.0:LG17:5843925:5844562:-1 gene:TanjilG_25651 transcript:OIV94589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLTPRFHVPIFLPLIIILLLPLITIEASDSTPLHQVLRNHGLPAGLFPEGVKSYKLDQNGALEVHLGHPCLVHYETAVFFDSVVKANLSFGQLKVFKGMSREELFLWLPVKDIIVTDPSNGLIVIDIGFAFKHLAFSRFDDPPTCINPSGQGLALKIGGRKGGFSDQ >OIV94291 pep chromosome:LupAngTanjil_v1.0:LG17:7053360:7054346:1 gene:TanjilG_25660 transcript:OIV94291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVSSSHNGSNMMWFFKDRGFDDNSIQGMFRKCKRLENTHQERASENWEYFKGIGIQERKLPSIVSKCPKILVLGLNEKIVPMVECLKTLGTKPNEVTSAITKFPHILSHSVEEKLCPLLAFFQALGIPEKQLGKIILLNPRLISYSIETKLTEIVDFLSSLGLNKDGMIGKVIVKDPYIMGYSVDKRLRPTSQFLKSIGLSEPDLQAVTLNFPELLSRDVDKVLQPNYAYLKKCGFQDQQIVSLVIGFPPILIKSIKNSLEPRIKFLVEVMGRQVEEVIDYPCFFRHGLKRRLVSRHKLLKQRNLNCSLSEMLDCNQKKFLIKFSLL >OIV94549 pep chromosome:LupAngTanjil_v1.0:LG17:5444235:5448147:1 gene:TanjilG_25611 transcript:OIV94549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIAKVEQSSFMLPNRLTLLQFFMGMMLLYLLFISFEIPLVFKASVSTEKGTTFGFTLPMPLLLEEEPEEQPFRVFNGSKLQKVSTLSFNESFTIDKNGEFSELHKAAKHAWVVGNKLWEEVEAGEVKVSNFASNFENENQKKKKNISDSCPNSVSLSGFEFRENFKGLMVLPCGLTLWSHVTIVGTPRWAHAERDPKIAVLREGDDAVMVSQFMMELQGLKAVENEEPPRILHFNPRLKGDWSGKPVIEQNTCYRMQWGTALRCEGWKSRADEETVDGQVKCEKWIRDDDSRSEEWKTTWWLNRLIGRKKQVSIDWPYPFVEEKLFVMTLSAGLEGYHVSVDGRHVTSFPYRTGFALEDATGLSINGDVDVHSIFAASLPASHPSFAPQVHLELLPRWKAPPLRNVNVELFIGILSAGNHFAERMAVRKSWMQHKLIKSSRVVVRFFLALHARKDINVDIKKEAEYFGDIIIVPYMDHYDLVVLKTIAICEYGIRTVAAKYIMKCDDDTFVRVDAIISEARKVRSDRSLYIGNMNYHHTPLRHGKWAVTYEEWVEEEYPTYANGPGYIVSSDIAHFIVSDFEKHRLKLFKMEDVSMGMWVEQFNSTRPVEYVHSLKFCQFGCIDDYYTAHYQSPRQMTCMWDKLQVQGKPLCCNMR >OIV94712 pep chromosome:LupAngTanjil_v1.0:LG17:2113970:2116587:-1 gene:TanjilG_06175 transcript:OIV94712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENRGSIAKGTHKNGCGSNRQKKMALIQDVDNLKRTLRHEENVHRALERALTRPLGALPRLPPYLPPYTLELVAEVAVLEEEVVRLEEQVVNFRQGLYQEAVYISSKRNAENLNNPIDHNSIRSSKHRKSKSLSQSELTSTSTSTMARPQLSLARSASGRKLLSIPKEGHGKENTSFCNSPKDKQSPEKKTAKVITPTKKSPIKQESPDKCVDHFKLQLERRLVDREGLQTSLILSDDKALDNDYTPNKVSEDIVKCLCSIFMRLGTFKDKCSKEKDQMCDPYGICSESKTRDVGAYNNLCEIKTSTIDLNRTTNTVFLIPRLEFLLGKLSTVNLKGLSHKEKLAFWINTYNSCMLNAYLEHGIPKSPEMVVALMQKATILVGGQLLNAITIEHFILRLPYHLKFTCLKAAKNDEMKARSIFGLEWCEPLVTFALSCGSWSSPAVYLYLNLDH >OIV94731 pep chromosome:LupAngTanjil_v1.0:LG17:2333289:2337141:1 gene:TanjilG_06194 transcript:OIV94731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLVFVSHVGPLRLLPAAIPSATYRCLHRSAAPLRRLRLSPLLASNTLTANSAPKSGVYTVGDFMTKKESLHVVKPTTSVDEALELLVEHRITGFPVIDDNWKLVGVVSDYDLLALDSISGNGRKDSSMFPEVDSNWKSFNEIQQLLSKTNGKLIGELMTTTPMVVRETTNLEDAARLLLETKFRRLPVVDADSRLVGILTRGNVVRAALQIKRATQKK >OIV94555 pep chromosome:LupAngTanjil_v1.0:LG17:5507277:5511398:1 gene:TanjilG_25617 transcript:OIV94555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRILKFYYEAYDLDAVCILKPGYEAYDLVRYRIYLRKKFWWCSEWTQDFSKFYFVVGGRSSFNSGKGKSHQSPAKYGFTLVKGKASHPMEDYHVAKFVQSKGHELGLFAIYDGHLGDNVPAYLQKHLFSNILNEVDFWDDPFLSIYKAYESTDQAILSHSPDLGRGGSTAVTAILINNQKLWVANVGDSRAVLSRGGVAVPMTTDHEPNTERDSIENRGGFVSNMPGDVARVNGQLAVSRAFGDKNLKIHLRSDPDIQFENITPDIELLIIASDGLWKVMANQEAVDIAIRTKDPQKAAKQLAVEALNRESKDDISCIVVRFKV >OIV94320 pep chromosome:LupAngTanjil_v1.0:LG17:6633618:6644732:1 gene:TanjilG_19326 transcript:OIV94320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPWNIAKSAEALFSRWALKRVCKFFLKKKLGQFILGDIDLDQLDVQLSQGTFQLSDLALNVDFINAKVFGKAASLTVKEGSIGYLLVKMPWSGQGCEVEVNELELVVSPCTDIISTSGDETCSSDDVDSSHLKYSSTRTEREIADDALKSASIDVHEGVKTIAKMVKWLLTSFHVKITNIIVAFDPSLVNGKKTTECHSALVLRISEIECGTSLSEDGDLNADVLGISQLTNFVKFNGAVLELLKIDNEDDQLSFPHVSGEGCGEPVLESNKATCSVMTGKQGGFGGNVKLTIPWKNGSLDICKVDADIYVDPIVLRFQPSTIKWLLHSWETFKNLDKDGKGCMDHNLKESAQLNSTYLFHSATSVPVTNATGEIVTGHGCLPACCSSLTQPEQLTEALLPASHLISDWVPFSTHINIKDGIQELDFGARQREKETVQFLLHSNFTTSCMPNCVTGETLRLVDQFFECIDGMRNSQSALGSSGVWNWTHSVLSAVTAASNLASGSMHIPSEPQHVETILRATFAGISVVLSFCDEEQNHLCNPKIDNPLGLQMDYLGAECSGIVLVLQVRPQGMTLNGTVKLIEVANFLNIGIDAKTQSALVQHLQTKVLGALPSSTSYDLDSDSLIGPVATDFPFGNKDHILKVTLFRTAGVTDCKYIVQASSSDGCLNGLTSFSLNLPPFIFWVIFSAIYMLTDLLKEVGKSLEVHNNAKEILSESSDKCGSSLTDVKGRSSPCVTSFSTTECLRGDISISSARVILCFPFEKGGDHADFFSSQEFIALDFTPSSPLSKVCTPNGSQTSHASSKNRLPSVAAQSVQLNFCDLDVYLIASTRNSTGRINSYNMPNEKISASCFLSVAHRKGSCSVVSVVWQGGQVTGPWIAKKARLFANSEQSNGKDGIAGRGYEFASASTVKDQENWKSQTQQEMILSSSFFMHVHLSELVINVNDSQYKSINRLLLQILNALTHVTSQESNVEKESPVSQSSVFLESDSVEVLVSRDTYETESIRSSMQSELPGQWHKFKLKVQKFELLSVTNTGGVKAASFFRLTHGEGKLWGLITGAPDHEFLLVTCSNSSVKRGDGGGSNALSSKCASSDIIFLSDPAILHKITSITVSCATVIAVGGRLDWFDAISSFFSFPASDTEDAGDTSIAKRELGLSYRSSFVLSLIDIALSYEPYMKNLVVQSQVLNSESGLSCVKDMGEQYVACLLATSSLTLSNSTSVDSVEGVYQIRVQDLGLLLHLVSELNYLSGTYSVENLQKTGYVKVAQEAFLEASLKTNCTSGLLWELQLSKSHLYVETCHDTTSALIRLAAQLQQLFAPDVEESIVHLQNRWDNIQQAQQMNEFIHESKNFSYESMASTSEQSSPKTFSKDVSNIAGLMDEICEDAFQLNRNNTQQSYSFESEFCMPFDGNLIKVGQISMDEPEVLSHELSLTESVPLIGQESSQTSFLQEGCFPEIIESYCLSDLRPLSELSAGMHSDQLSRHKLRNVEHRGIERGSGGWYGHNSLKVLENHISEESEQTGLIKAEDHHMLPSNDSSSYSETRGRVILKKIDIKWRLYGGSDWLDSGKTGQHYGRDTSVCLELALSGMKFQYDIFPVGGLRVSKMSVSVQDFYLYDRSQDAPWKLVLGYYQSKGHPRESYSKAFKLDLEAVRPDPLTPLEEYRLNVAFLPMLLHLHQRQLDFLVNFFGRKNSLNDQFPDMEDSKSLPEKGKDLGSHSISQEALLPYFQASDIWPILVRVDYSPSHVDLAALRHGKYVELVNLVPWKGVELNLKHVHAAGIYGWGSVCETTVGEWLEDISQNQIHKILWGLPTVRSLIAVGAGAAKLVSSPIENYKKERRVLKGMQRGTIAFLRSISLEAVGLGVHLAAGAHDILLQAEYILASVPSTTPLPIKDKSMTDIRSNQPKDAQQGIQQAYESLSDGLGKSAAVLVQNPLKKYQRGSGAGPALAAAVRAVPAAAIAPASACASAVHYALLGFRNSLDPERKKESMEKYCPTQPWEED >OIV94161 pep chromosome:LupAngTanjil_v1.0:LG17:11376152:11379160:1 gene:TanjilG_03611 transcript:OIV94161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSQISLSHAFSLSSFHCCFSNPYPFISFKPLNVTPLRGTNFNFVKISTERTRIRATLDDIESDQLSSNPLLVEDDNSKKEIEESVKVLKIAAKTRKVAAEEVLSALSIIEKAKIDPSGFLETLGGKESPGRTWMLIFTAQKQYKGGRYFPLTAVQRFDAAAKRIENGVYLGPIGQLTFEGKLSWKKRILAFIFENLRIKVGPLQSLQISLGEKEEKEPSTKDPFFIWFYVDEEIAVARGRSGGTAFWCRCQRVNT >OIV94989 pep chromosome:LupAngTanjil_v1.0:LG17:190544:194295:-1 gene:TanjilG_22186 transcript:OIV94989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDSPLFNFINNLSPIKPSKHLPFTHTFNSLTFSSPPSLFTSPHVNESRFLKRHISLHTPKTNASAKHVDKKYANEEALPNSTELRQNTKQGIPIADDAIELPQSLKYNCGSPGYDSTFCIHAANGLLELPKEPALDVTCVQEEGCKTDSVQSESPLRGTCPIDPKSEFPECGWDGLIPDHTDLLIFNSPDEARAFNSLFQKPLDSSTDLMSLLPQSTVVNIGHNVQFIDSIAPRSELEIENQHSHPIAATETDHVVDNAAMNSNPNEKTDDELVSVMHRGLRRRCLDFEMASVRRKNSDYNSNTSSSTLHSDGRNVADDNQLVHTKHHSDSRKCILPGIGLHLNALATLKDHNGIKTERLSSGRQLSLICSTSSLKISTSQEHHHLALGDVSSEREIDLSNNGVQPDEDCREDLNQNSPKKKRQVQLLLTMLMRKSDSAGETEGCKRCNCKKSKCLKLYCECFAAGVYCIEPCSCQDCFNQAIHEDTVLQTRKQIESRNPLAFAPKVIRSSESVPEVGDDPNKTPASARHKRGCNCKKSSCLKKYCECFQGGVGCSISCRCEGCKNAFGRKDGSALIEAEQEEETEAGERGVAEKDLQKTEIQNIEDHSDSALVSSPSLRSRSLLPLPFSSKGKPPRSFVTTISGSALFASSSQKLEKPNSLWSQSKHFETVLDDELLDILSIDSSPVVCVKTSSPNGKRISSPKCGLGPSSSRRGGRKLILQSIPSFPSLTNQQ >OIV94438 pep chromosome:LupAngTanjil_v1.0:LG17:4042353:4046073:-1 gene:TanjilG_25500 transcript:OIV94438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTTSWAGLWFLTRNTTVSTTTSTTSLEFSVLKPNVGPFRLFSPYSLSHNGSTSLTQRSCKCSYTAPVSTRTYEFSDTSSEVELRLNLKGLDIQSSRDISVDTSDSSLAIRALRPGLPVTLIETNHLFDRIKPSETIWYIDDDELVVNFKKHDPDLKWPDIMESWESLTAGSTQLLKGASIYLVGNSTEINYKVAQEIATGLGYTPLSTKELLETYSNQTVDSSWLLAEGSDSVAEAESAILESISSHVRAAVATLGGQHGASGRTDKWRHLYAGFTVWLSQTEATDEDSAKEETRKNVKDGRLAYTNADVVVKLQGWDSAYAKNVAQACLSALKQLILSDKKLPGKKSLYIRLGCRGDWPNIKPPGWDPLSEGDRSLGTQ >OIV93871 pep chromosome:LupAngTanjil_v1.0:LG17:16623519:16626973:-1 gene:TanjilG_05574 transcript:OIV93871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANNEQNKLGHKDLAHKSLLQSDELFQYILETSVFPREHKSLKELREMTEKHPRNLMATPADEGQFLSLLLKLINAKKTIEIGVYTGYSLLSTALAIPHDGKILAMDVSREYYELGLPIIQKAGVEHKIDFREGPALPLLDELLKDEKNKGSFDFVFVDADKNNYLNYHHRVIELVKVGGLIGYDNTLWGGSVVAPPDAPLMDYVKHYRGYILELNKYLADDSRIEICQLPVGDGITLCRRLV >OIV93996 pep chromosome:LupAngTanjil_v1.0:LG17:19969105:19972158:1 gene:TanjilG_05699 transcript:OIV93996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMASSSTYLSSSSFFTPHYSSTLLSSPIPSFHCTPRSQFPVSSSTFYQKKTHSLVPLKAQTLDFSGSFFGGGGGFGSEDDIISPGTGFTAVEEKEEPQCPPGLRQYETMAVLRPDLSEDERLALTQKYEELLVAGGGMYVEVFNRGVIPLAYSIARKNKAGETNTYLDGIYLLFTYFTKPESIEPLDETLKADDDVIRSTSFKIRKRKY >OIV94812 pep chromosome:LupAngTanjil_v1.0:LG17:1547562:1549346:-1 gene:TanjilG_22009 transcript:OIV94812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYTFSFLSGFRSASSWFELYATFSTFMMLLRTVINDVVPDKVRTYITTKLEEFFSYSPPDNMVSLTINQSWDERCGNNNQLYEAVFYYLPLRVTRTYKSLKIGKLDERKDLVFAVDAKEEVVDEFEGIKFKWVLDDGSKDEERVSNKREFKLSFHEKHREIVVKKYIPYILGTYETMESERRIIKLNSWSYHDWNGTDLSHPATFDSIALDPELKKSIIDDLERFLKRKEMYKKVGKPWKRGYLLYGPPGTGKSSLIAAMANYLKFDVYDLDLNSINSNSELMAAMRRTSRRSIIVLEDIDCNKEVNTRLNAPRVPYDTDSDELLGACLDDDFKVDDANVDDANVDDAKVDDAKVDGANFDGANFDDAKVDGAKVDGAKVDGAKVDDAKVDGAKVDGAKVDDAKIDGANFGGANFDDAKVDGAKVDGANFVDAKGDTFTLSGLLNCVDGLWSSHGEERITIFTTNHVEKIDPALLRPGRMDVHINLSYLKAKAFRVLASNYLDIQDHPLFEQIEDLLEKTEFTPAEVAEQLLRSEDPDIALDQLLKFLTKRA >OIV93787 pep chromosome:LupAngTanjil_v1.0:LG17:21263794:21268664:1 gene:TanjilG_07690 transcript:OIV93787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRPFLQLNFSHNFLFRSSQSLHSFHSSLFKPFFLRHLASSSAAPSPPHAHHLRRTFPPPPDTLAHKIGKATRRPGAPSKARVYSDVNVVRPKEYWDYENLTVHWGEQDDYEVVRKVGRGKYSEVFEGVHLTDNEKCVIKILKPVKKKKIKREIKILQNLCGGPNIVKLLDIVRDQQSKTPSFIFEYVNNNDFKVLYPTLSDYDIRYYIHELLKALDYCHSQGIMHRDVKPHNVMIDHEQRKLRLIDWGLAEFYHPGQEYNVRVASRYFKGPELLVDLQDYDYSLDLWSLGCMLAGMIFRKEPFFYGHDNYDQLVKIAKVLGTDELNAYLNKYRIELDPHLEALVGRHSRKPWTKFINVENKHLAVPEAVDFVDKLLRYDHQERPTAKEAMAHPYFNPVRNAGNSRTRAH >OIV94168 pep chromosome:LupAngTanjil_v1.0:LG17:10589478:10596103:1 gene:TanjilG_13785 transcript:OIV94168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLTLPPVPPHPKDDAIQLYKAFKGFGCDDSAVINVLAHRDATQRAYIQQEFRTMYSEDLLKRLTKELSGKLETAVLLWMHDPAGRDAIILKQSLTVTKILEAATEVICSRTPSQLQHLRQIYHSRFGVFLEHDIERHTSGDHKKILLAYVTTPRHEGPEVNREIAENDAKALYKAGEKKLGTDEKTFVQILSERSAAHLAAVSFAYQHKHGHSLEKAVKKETSGLFALALKTIIQCSENPAKYFAKVLRKAMKGLGTNDETLIRVIVTRTEIDLQYIKAEYLKKYKKTLHDAVHSETSGHYRAFLLSLIEHPIKDDDSLSLVVIGDWRRKGTYTGSEVATQVVV >OIV94948 pep chromosome:LupAngTanjil_v1.0:LG17:489344:491289:1 gene:TanjilG_22145 transcript:OIV94948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLEENVCGFMDEYYYSNESESHGSPVDLWWEENETYSNEPPQRTMYWESQLALLQEILERYQLSGMKLRKEVEQIVEEVKASDYCNCIDTNSFDCTTCLRREVVVMLRERGFTTNLCLSKWTTTHKFPGGSHEYIEVIASTLTRKKQIKFLIELELKDHFQIAKAGEEYQELISTLPEFYIGKPEYLNALVRVMCDAAKKSMKEKKMYIGPWRKSSFMQMKWSGFNKKWTSENFLDRLGTQATEPYLRIKGASPAVVVT >OIV94338 pep chromosome:LupAngTanjil_v1.0:LG17:6070209:6070553:-1 gene:TanjilG_21678 transcript:OIV94338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVEDNNYNNNGVWPPIGSPLNGVEQQQHWSNFDSSMNALSFGFVATAILIFMFLVMAIFERFLRASSPPPLPPSGRRSTADVESQMGFNGKLGYPSPKVSEGFCFSLYKWLLC >OIV94118 pep chromosome:LupAngTanjil_v1.0:LG17:12383043:12386557:1 gene:TanjilG_29218 transcript:OIV94118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKPEPCFLLSIIVFLCVFVLSVHSQNDDSSVMAILKKALNSKSDLQWNGSDICKWKYIQCNSGRVTAIHISSLNLQGSLPKELVQLSQLQTFQCNNNGLTGPVPYMPKSLQRLAINNNNFNSMPNDFFIGMTNLVDIRIDYNPFSQWNVPDSLQDCASLTSFSGMNASFVGKIPGFFGKDGPFQGLVYLALCFNYLEGELPGSFSGISLERLLVNGQQSMNKLNGTIAVLKNMTSLKQIWVHGNSFIGQIPDLSNHDQLSDVSLRDNQLTGVIPPSLTSLTSLTVVNLTNNYLQGSPPMFKDGVRVDNDMVNGNNRFCTKDIGKPCSPLVNTLLSAVEPLGYPKKLADSWKGNDPCPRGNDEWLGIVCSASPVNISVINFQHMGFSGNISPKFASLTSVTKLLFANNSLTGIIPSELTSMPLLKELDVSNNNLYGKVPSFRPEVVVKIDGNPNIGKDPPPASDSGDNSRGEVKKNTVGIIVGIVAGVVILLGLVAALFIKSRRKKLKHVSKVQIPNEIEVHPHHFEDGNAKKVSVAGGGIGSFSPSSSAQNGEAGNMVFSIQVLREVTDNFNERNILGRGGFGTVYKGELHDGTKIAVKRMESGIMGEGEKGLSEFKSEIAVLTKVRHRHLVALLGHCLDGNERLLVYEYMPQGPLSKHLFECKEDEGSKPLEWKRRLIIALDVARGVEYLHGLAQQIFIHRDLKPSNILLGDDMRAKVSDFGLVRLVPEGQASFETRLAGTFGYLAPEYAVTGRVTTKVDVYSYGVILMEMITGRKAIDNSQPEENVHLVTWFRRMLLNRDSFRKIIDPAIDVEEEALDSFRTVAELAGHCCAREPYQRPDMSNVVNVLAPLVEIWKPTDSSPADIYGLDLDMSLPQALHNWQALEGMSTINTSTSTTLRTGDYTQSSLPPAGGFANSFNSMDAR >OIV95019 pep chromosome:LupAngTanjil_v1.0:LG17:27601:34048:1 gene:TanjilG_22216 transcript:OIV95019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIRSVFDGGEMRIEFEKYGIDTKFIAIIWKHVFLKFNLKEEEEEKDEWDWEKQVPSLPSAAYSFLDSKFKNKPLSSSLHSVFHSCDNLTTKLLIKLESGAFVEAVIMRYDTRLGRYAGKPRPGGLRATLCISSQVGCKMGCKFCATGSMGFKTNLSSGEIVEQLVHASTFSQIRNVVFMGMGEPLNNYSAVIESVRAMTALPFQLSLKRITVSTVGIIHAIKKLHNDLPGLNLAVSLHAPAQDIRCQIMPAARAFPLEKLMDSLQEYQRKSLQKILIEYIMLDGVNDEEQHAHQLGKLLETFEVVVNLIPFNSIGTLSQFKPTSEEKVSNFQKIMRVNGLFLCRGDINTTLCQHCVNNSSTQITKHCPNQTEAVIWYDECLLRYTNKYFKYYSIQPRVNYQHGNKISDVDFNQSLFLFLDSLATNAANSMTAKKFATGQVEVTRSVTVYGLAQCSSTDMANSQCQICLRNAIGTLPAAQQGGAAMLASCVVRYELFPFYNTTGTGTGTGTPYSGGKKIQSLLVVIMVVLPIVLVILLCSACYYVRKRLMKKRRTILIENFGDEVTAMESLQFSLGTIEAATNKFSVENKIGRGGFGVVYKGILSDGRQIAVKKLSTSSGQGSAEFKNEVLLIAKLQHRNLVTLTGFCLEEQEKILIYEYVPNKSLDYFLFVAYDEYVEEPQKQRVLQWFERYKIIGGIAQGIHYLHEHSRLKVIHRDLKPSNVLLDDNMNPKISDFGMARIVAIDQERGNTNRIVGTYGYMSPEYAMHGQFSEKSDVFSFGVIVLEIVSAKRNARPIESHDYDDLLSMAWREWRNQTPLEIMDSSLRESFSESEVIRCIQIGLLCVNENPEDRPTMSKVVSYLGSLLVELPIPQETGYCMKQNITTGESSSGWSMLVVKLDSSVPIHPINRKCPTSYHGFEMYVILDER >OIV94515 pep chromosome:LupAngTanjil_v1.0:LG17:5041433:5042173:1 gene:TanjilG_25577 transcript:OIV94515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNVSDEFAEASGKFEHDFMAKLRVQDDNEDSENREQEEEEDEEEEFTFMFTSPDGSPISADDAFENGQIRPVFPVFNQNLLFTDDYDCASGNQSQIKKVFVQKMDSSPLSTAAESSKSGSELPTTANSYCEWTPKSAVKSNSTGFSKLWRFKEHMLRSNSDGKDTFVFIHPPPAKAEKANSGEVRNVMVKKVKGKTTSSSAHEKNYVMYRAKKENEKHKSYLPYKQDLFGFFANANGFSRNVHPY >OIV94809 pep chromosome:LupAngTanjil_v1.0:LG17:1571330:1574099:-1 gene:TanjilG_22006 transcript:OIV94809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTFSAIVFDRLLETGVSKSVDKSVTTSMSVPNSRKLERTTSVPTAKNKIPPRPPLKPALYTTPEVTPLPDLPSSSSFPPSPYIINHKRRGPRLLKSASEPSVLAEKTVLDDGKDNGKSLDNVVAAGDLKIRVTNSESVKEEQVNGVYGGELNSISGGDLRNGHRETGSGSLTNSLHMENVPSSNLERDREIDDFFDPQESMSFRSNTDEEENAGTELSMKFSSPVGEFYDAWEELSSEGGTQNSRYDFEAELREMRLSLLMEIEKRKQTEESFNDMRRQWESIRQALYHAGIILPANLTAVAKGEQPNSDIVEDLYQQVHVARFISNTIGRGTARAELEMEMEAQLEAKNFEIARLLERLHCYETMNREMSQRNQEAVETARRERQRSSRRQRWIWGSITTAMALGTAAIAWSYLPMGKGSSSANHDLLPEHEDAAK >OIV94158 pep chromosome:LupAngTanjil_v1.0:LG17:11311148:11315682:1 gene:TanjilG_03608 transcript:OIV94158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSVRDLWRRHRRKIFISVGVLGSGYCLYKLYGAHRNRLDALEKELSDQRETEELMKAQMQAHFENIQRIFDTITLVHAMRNLNCRISEELDLSQLLERLIQGKGQPNTLTQAEKLNLWDRLKILSFTRLALSVWATTMISLYTKVQVNILGRHLYIDTARSLGSYDSMETGDVVDRENQQKFLGSVDFLSQYGLPSLIRDMEAASKEILKGKQLSGLFNSTALHETIMQILNKFMSTGSPHSWLKYMMPEDVILHSTSTSRDDRVPSGVTESEQLMVEARAVLLSAEFGSILEISLKGVVDTLVELISAKFNGGNVITGMPLARVLPQVAQMCPLLLEAPEKNQFIQIIRNIPEVELFFTLLYANMPNA >OIV94895 pep chromosome:LupAngTanjil_v1.0:LG17:880645:881657:1 gene:TanjilG_22092 transcript:OIV94895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQTGESDLQRFLPTPFLTKTYQLVDDPSKNDLISWNEDGTTFIVWKPAEFARDLLPNYFKHNNFSSFVRQLNTYGFRKVVPDRWEFAHDCFRRGERGLLREIKRRKISPAAAIAANTVTVAVAAPALARTVSPTTSGEEQVLSANSCPNITVHQSTTNCTNIPELLEENERLRKENVQMSHELIQLKSLYNNIFGLMSNYASSRSTQFHSSISAAVPEGKSLDLMPPTKYATPFKAGDGEVPKLFGVSIGMKRGHRTESEGETEKEYQTQLSHEADGGSDVKSEPHDGDNSGDQVKETRWLELGK >OIV94410 pep chromosome:LupAngTanjil_v1.0:LG17:3753773:3763751:1 gene:TanjilG_25472 transcript:OIV94410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADHEGKTVTSGSNAGSGNMIFEPILEDGVFRFDCSGNDRHTAYPSISFVNSRSRDTPITSDKVPSYTPTFQCLLDQQVVKLELPIGTSFYGTGEVSGQLERTGKRVFTWNTDAWGYGPGTTSLYQSHPWVLAVLPNGEALGILADTTRRCEIDLRKESTIQFIAPSSYPVITFGPFASPTAVLISLSKAIGTVFMPPKWSLGYQQCRWSYLSDQRVLEVARTFREKHIPCDVIWMDIDYMDGFRCFTFDKEHFSDPKSLVKDLHHNGFKAIWMLDPGIKLEEGYFVCDSGFKNDVWVQKADGAPFVGDVWPGPCVFPDYTQSKVREWWANLVKEFISNGVDGIWNDMNEPAVFKVVTKTMPESNVHRGDKELGGCQSHSFYHNVYGLLMARSTYEGMKLANEKKRPFVLTRAGFVGSQRYAATWTGDNLSTWEHLHMSISMVLQMGLSGQPLSGPDIGGFAGNASPRLFGRWMGIGSLFPFCRGHSEKSTSDHEPWSFGEECEEVCRLALKRRYRLIPLIYTLFYFAHTRGTPVATPTFFADPKDPTLRKLENSFLLGPVLVYASTLRYQGLDKLDCTLPKGIWLSFDFDDAHPDLPALFLKGGSIIPLGLPLQHVGEANPSDDLTLLVALDEHGKAEGFLFEDDGDGYEFTKGKYLLTHYVAELQSSVVTVSVSKTEGSWERPKRHLHIQLLLGGGAKLDTRGMDGEALQVILPSEEEVSKLVFTSEKQYKKRLENTVQIPDVEEVSGPKGAELSRTPIELKNSEWVLKVVPWIGGRIISMMHIPSGTQWLHSRIEINGYEEYSSIEYRSAGCSEEYSVVDVELEHAGEEESVVLEGDIGGGLVLQRQIYFPKNTANVFQIDSSIIARSVGAGSGGFSRLVCLRVHPTFSLLHPTESFISFTSVDGSIHEVLPEDGEQFFEGNLIPNGEWRLIDKCLGLALVNRFNVAEVFKCLVHWDFGTVNLELWSENRPVSKESPLRISHQYEVVRIR >OIV94720 pep chromosome:LupAngTanjil_v1.0:LG17:2201553:2202346:-1 gene:TanjilG_06183 transcript:OIV94720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDSDTDSHAPPPAFLFHRSPLLLPSNSLYKQRSWSPDANRDEAWLRRKGNWKNRRSKSVTDEDVDELKACIELGFGFESSPEVELDQRLSDTLPALGLYYAVNKSYNESLAPKNASATASFSPAVSDCDSTASPHGSPLSAMISSSDNPQTVKTRLRQWAQVVACSVRQSPKRE >OIV94473 pep chromosome:LupAngTanjil_v1.0:LG17:4506954:4507196:-1 gene:TanjilG_25535 transcript:OIV94473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIEPELEHPQKAFGWAARDTSGILSPFKFSRRETGEKDVAFKVLYCGICHSDLHMVKNEWAVSTYPIVPGFAFYLYTFI >OIV93949 pep chromosome:LupAngTanjil_v1.0:LG17:18566743:18568453:1 gene:TanjilG_05652 transcript:OIV93949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPATTENNQGSFLGRISIRRNQVMSMDHDSELDDLEFFQKHVSDRFSDLLFSTIEDPSSEPLLSIAWLRKLLDEFLCCEAEFKAVLLMGRDPSQISKPPLDKLIPEFLERTIKALDLCNAVTLGIDAVMNLQRLAEIAVSSLEQAPIGDGQVRRAKKALSSLVTAMLHEDKDGGIVKGTERNRSFGRRGGNNSAANNKGNFRSLSWNMARNWSAAKQIHAMSSNLYAPRGGESTGLAMPVYIMSSVLVFVMWTLVAAIPCQERNGLGTHSPFPRQLAWAQPMIGLQEKIAEEWKKKEKKGSIGLLEEMQKMDKVGQSLIDFAESFQFPAEAERLEEVKGQVEELAEICRKMEEGLEPLQQQIREVFHRVVRSRAEFLLVLEQAGKLSTPPM >OIV94453 pep chromosome:LupAngTanjil_v1.0:LG17:4247967:4248287:1 gene:TanjilG_25515 transcript:OIV94453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLNCLTCSQVLQRTDSYEDLYTEKEYREACKRVDRSWSGNIAPPQGEKSGAVAKLKADHRRIHSTGTVSFSGSSEPRLVRCSGMRRDWSFENLVEKQDQRVSCHS >OIV94267 pep chromosome:LupAngTanjil_v1.0:LG17:7964820:7968655:1 gene:TanjilG_00016 transcript:OIV94267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLTRHILTRPSSFLRHFSHSATAAIPLHDELPDLQPLTYLPGFPRPNPKHDETILAIPRAISGKNIAEKERKAGRVPSIVFEQEDGEHGGNKRLISVGTKQIKKLVSHLGQSFFLSRLFELEVRSDFESEEIVEKVRVLPRKLHIKASTDIPLNVTFIRAPSNALLKVDIPLVFIGDDVSPGLKKGIGSLERILGGKNKEPYVYTSRGKTKGSISSSKRRKKKAVEEVDEEIKHEESEEEVEAFECDESDEGYIAEDDDGEDGI >OIV94383 pep chromosome:LupAngTanjil_v1.0:LG17:3505435:3510660:1 gene:TanjilG_25445 transcript:OIV94383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEINLEKIAFDIDFHPSDNLVAAGLINGDLHLYRYNADSVPVRLLEVHAHTESCRAVRFINGGRALLTGSPDCSIMATDVETGSTIARFDNAHDAAVNRLINLTESTVASGDDEGCIKVWDIRERSCSNTFDVHEDYISDITFASDAMKILATSGDGTLSVCNLRRNKVQAQSEFSEDELLSVVIMKNGRKVVCGSQTGILLLYSWGCFKDCSDRFIDLSPNSIDTMLKLDEDRIITGSENGLINLVGILPNRVIQPIAEHSEYPVERLAFSHDRKFLGSIAHDQLLKLWDLDNILPGSGTTQRNEAGEIDSDDDEMDVDVDSKSNKGNKTKHASNGQASGGSSNFFADL >OIV95022 pep chromosome:LupAngTanjil_v1.0:LG17:5518:7660:1 gene:TanjilG_22219 transcript:OIV95022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAFLEFRPLDEGSVIEYIKGVPALSSKLALGKNEEDITVKEVGDGNLNFVYIVSNNSVGYSFVIKQALPYIRCIGESWALTKERAYYESEALKEQGAITKGQHVPQVYHYDHTMSLIAMRYLEPPHIILRKGLIAGIEYPLLAHHMAHFMAHTLFFTSLLFRSTTHHKRHVAKFCGNAAMCRHTEQVVFSDPYQISQYNHWTSPYLDHDAEVLRHDNLLKLEVADLKSKFCERAQALIHGDLHTSSVMVTHDSTQVIDPEFAFYGPMGFDIGAFLGNLILAYFSQDGHVDQLNDRKSYKEWILKTIEDTWNLFYNKFIALWDEHKDGAGEAYLSAIYDNPELQLLVQKRYMTDLFHDSLGFGAAKMIRRIVGVAHVEDFESITDAVKRANCERRALDFAKTLLKDRKKFEGITEIVSAIQEF >OIV94176 pep chromosome:LupAngTanjil_v1.0:LG17:10749568:10754033:1 gene:TanjilG_13793 transcript:OIV94176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEARKVRELKEFIEECKSNPSILHNPTLSFFKSYLLSLGAQVPPLHQPKPEPGHYDDKMPPTGFSTIEEDDIMESDIELDNTDVVEPDNDPPQTMGNPNPSSEVTEEERDAAQVAKSRAIDAISEGISVLYAFLGKLDQALDHLTEAILLNPHSAILYATRASAFLKLKKPNAAIRDADYALKINPDSAKGFKTRGMARAMLGLWEEAAIDLHVASKIDYDEEIGLALKKVEPNARKIEEHRRKYERLRKQKEQKGAEPKKEQQAEPQVQEALSSLKDGQVIGIHSAGECEKKLSAASKTSRLAILYFTAAWCGPCRFISPLYTSLAEKYPKVVFLKVDIDEASDIAARWKITSVPSFFFIKNGKEVDNLVGADNGALERKIKQYA >OIV93972 pep chromosome:LupAngTanjil_v1.0:LG17:19321220:19328275:-1 gene:TanjilG_05675 transcript:OIV93972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARVNKEEVQVVVSPYRICPLGAHIDHQGGSVAAMTINRGILLGFTPSGSSQVVIRSGQFKGEVEFRVDEIQKPRQTTLLKKENGTKDSSELKEQCCWGSYARGAVYALQSKGNNLSKGIIGYIRGSDDLDSSGLSSSAAVGVAYLLALEHANNINMSPIENVEYDRLIENEYLGLKNGIMDQSAMLLSSHGCLMSMNCKTKDYKLIHLPKEKQYAESGQPKETKILLALSGLKQALTSNPGYNRRVVECQEAARILLEASGNYEAEPILSNGHITKQSYILFVQCKLESNLAKRAEHYFSENMRVKKGIEAWALGKFKDFGVLIAASGLSSIQNYECGSEPLIQLYEILLRAPGVLGARFSGAGFRGCCIALVEADLATEAASFVRREYLELQPELASHIREDTAVLICDSGDCARVI >OIV94591 pep chromosome:LupAngTanjil_v1.0:LG17:5881886:5884706:1 gene:TanjilG_25653 transcript:OIV94591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDLVKQERVVEEEKEKLLEGMVALDFDMLCSTVASRAAQGKWGKLGNEEEGELEGVEFGGGVLRMWEGELFDCFDDHQIAIESTCCPCYRFGKNMKRAGFGSSYIQGAVYFLLAIGAFLNFAAFVVTRRHCFLYLAVAFVVSVGTYLGFLRTRIRKKFNIKGSDNSLDDCLYHFACPCCTLCQESRTLEMNNVQDGNWHGRGDTICIGSFGEKSKALFGLDPPPIVSIKSSDESYMEKNTNFSNQS >OIV94953 pep chromosome:LupAngTanjil_v1.0:LG17:456424:469202:1 gene:TanjilG_22150 transcript:OIV94953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDVCEGKDFSFPKQEESILQLWSRINAFETQLSLTKDKPDFIFYDGPPFATGLPHYGHILAGTIKDIITRYQSMRGYHITRRFGWDCHGLPVENEIDKKLGIKKKEDVYNMGIGVYNEECRSIVTRYVKEWEKVITRTGRWIDFKNDYKTMDISFMESVWWVFAQLYEKDLVYKGFKVMPYSTGCKTPLANFEAQNYQDVSDPEVMVSFPVIDDPHNASFVAWTTTPWTLPSNLVLCVNANFTYVKVRNKHSGKVYIVAECRLSALPKEKPKEAVSNGSVDVPKKGNAKTKESSSGKKENVLDSFDVLEKVLGSSLVGKKYEPLFDYFTELSNSAFKVVAGNFVTDDSGTGIVHCAPAFGEDDFRVCIDNQIISKDNLTVAVDDDGCFTSKITDFSGRYIKDADKDIIEAVKAKGRLVKLGTLTHSYPFCPRSRTPLIYRAVPSWFVRVELLKDKLLENNKQTYWVPDFVKEKRFHNWLENARDWSISRSRFWGNPIPIWISEDEKEIVVMDSVAKLEKLSGEKVFDLHRHNIDHITIKSESGRVLRRVEDVKSQFIGFQQCYQLVFDCWFESGSMPYAYIHYPFENVELFENNFPGHFVAEGIDQTRGWFYTLMVLSTALFDKPAFRNLICNGHVLAEDGKKMSKSLKNYPSPMGVINDYGADALRLYLINSPVVRAETLRFKKEGVYGVVKDVFLPWYNAYRFLVQNAKRLEIEGLTPFVPIDHATLQKSSNVLDQWINSATHSLVHFVRQEMDGYRLYTVVPYLLKFLDNLTNIYVRFNRKRLKGRTGEEDCRTALSTLYNVLLLSCKVMAPLTPFFTEALYQNMRKALNESEESIHYCSFPEEEGKRGERIELSVSRMTTIIDLARNIRERHNKPLKTPLREMVIVHPDVDFLDDIDGKLREYILEELNIRSLVTCSDTLKYASLRAEPDFSALGKRLGKSMGIVAKEIKALSQENILAFESAGEVVIANHCLKLTDVKVLRDFKRPDGMTEKEIDAAGDGDVLVILDLHPDESLFESGAAREIVNRIQKLRKKVGLEPTDTVEVYFESLDEDTSVSQRVLHSQESYIRDAIGSHLLPHSLKPAYAIILGEESFHGISSMSFGITLTRPALMLNSNAVVSLFSGDTKLAQNLQTYLLSRDLSNLKSEFQNGKKIVDSIEEQAAVEVVLGEHVFLTVGDYYLAGKAE >OIV93874 pep chromosome:LupAngTanjil_v1.0:LG17:16746491:16748639:1 gene:TanjilG_05577 transcript:OIV93874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPENMSISVNGQSQVPPGFRFHPTEEELLQYYLRKKVSYEKIDLDVIRDVDLNKLEPWDIQEKCKIGTTPQNDWYFFSHKDKKYPTGTRTNRATAAGFWKATGRDKVIYSNGKRIGMRKTLVFYKGRAPHGQKSDWIMHEYRLDDNNTNDTTLVSNAMGDGGQEEGWVVCRIFKKKNHLKTLDSPFTSSISGEGRRSHLFDSCDDGALEQILQQMGSGTCKEENYEAKNYNNGRYARPFHTGIISNNGNYHDNRFMKLPSLESPKSINMESHQNNTNDNNTNNGYHPIIPVDMVTENEGSFKTHQDPNMVHHNPFEASSSMVVGSGGLTNWAALDRLVASQLNGQTEQGSRQFACFNDPTMGYCTSDHDLQLPTLRSSSNTRASSSAAAAFISPTQDYTSEIDLWNFARSTSSLLTSSSETICHMSNTSM >OIV94791 pep chromosome:LupAngTanjil_v1.0:LG17:1700900:1705507:-1 gene:TanjilG_13004 transcript:OIV94791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWPFIGETIGYLKPYSATTIGEFMDQHIARYGKIYKSKLFGEPAIVSADAGLNRFILQNEGKLFECSYPRSIGGILGKWSMLVLVGDMHRDMRTISLNFLSHARLRTHMMKDVEKHTLLVLRSWKENSTFSAQDEAKKFTFNLVAKHILNLDPGNLETEQLRKEYVTFMKGVVSVPLNLPGTAYRKALKSRCTILKLIEGKMEERVKRIQEGNESLEEEDLLNWVLKHSSLSTEQILDLILSLLFAGHETSSVSIALAIYFLPGCPQAMQQLKEEHREIARAKKQAGKVELTWDDYKKMEFTHCVVNETLRLGNVVRFLHRKAIKDVRYKGYDIPCGWKVLPVIAAVHLDPSLFDQPQHFNPWRWQNNGSRGSCPSMSTVSNNFLPFGGGPRLCAGSELAKLEMAVFIHHLILNYHWELTDTDEAFAYPFVDFPKGLPIKVKAHSLL >OIV94848 pep chromosome:LupAngTanjil_v1.0:LG17:1221110:1232414:-1 gene:TanjilG_22045 transcript:OIV94848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQSMALFSHHTHSSPVLLGFILFIVFKGVSGATFTFMNKCDYTVWPGILGKPDLGTTGFELTEGTSRSFQAPAGWSGRFWARTNCKFDDSGRGTCATADCGSGDINCNGAGASPPATLAEFTLGAGSMDYYDVSLVDGYNLPIMVAASGGSGSCATTGCGVDLNQQCPSELRVEGGDACKSACEAFGKAEYCCNGEFSNPSTCKPSVYSQMFKSACPKSYSYAYDDATSTFTCTGADYTITFCPSSPSLKSATDSSPKGTDSASGSESQSELASTSWLADMATATGDSSRTQHSGFSKASFFVFVTFILYLLATMICIDNSEWMRNGDYSTSRFQAQADAVNLICGAKTQSNPENTVGVLTMAGKGVRVLVTPTSDLGKILACMHGIQVAQLALKHRQNKKQQQRIIVFAGGPVKHEKKMLELIGRKLKKNSVALDIINFGEEDEGKTEKLEAILASVNNNDTSHIVHVPAGPNALADVLISTPIFTGDGEGGSGFAAAAAAATAGGASGYEFGVDPNLDPELALALRVSMEEERARQEAAAKKAAEDAAKQEKGGEQQAGSQDATMTEHASAASSEAENKANNLMDDENSLLEQALAMSMDDPVISHDVKDTDMSEAASDDPELALDSTKDAASQSDMSKLLADESFVSSILASLPGVDPNDPSVKDLLASMQSQSESQQKNDDKPSSNEEEKK >OIV93991 pep chromosome:LupAngTanjil_v1.0:LG17:19746508:19748369:-1 gene:TanjilG_05694 transcript:OIV93991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLKRDTNVHVIVLPYPSQGHINPLLQFAKRLSSKGVKATFATTHYTVNTIKVPNIEVEPISDGFDHAGFAQARNVELFLSSFRTNGSRTLSNLVEKFQHTNTPVTCIVYDSFLPWALDVAKKHGIYGASFFTNSAAVCNIFCRIHHGLLELPVKIEDLPLFVPGLPPLNCQDLPSFIRFPESYPAYMAMKLSQFSNLNKADWMFVNTFEALEGEVVKSLTELCPAKLIGPMVPSAYLDGRIEGDKGYGASLWKPLGEECIKWLKSKAPKSVVYISFGSMVSLTKEQMEEVALGLKESEVSFLWVLRESEHSNLPHGYIDSIKEKGLIVTWCNQLELLANQAIGCFVTHCGWNSTLESLGLGVPVVCLPQWADQLPDAKFLEDVWEVGVRPKEDDKGVVRKQEFVESLKVVMVGKRSQEIRKNASKWMKLARDAVGENGSSDKNINDFVNYLLNADNKN >OIV94512 pep chromosome:LupAngTanjil_v1.0:LG17:5000176:5013353:1 gene:TanjilG_25574 transcript:OIV94512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAFKATWASSCKVEASTMLVPTDYVFYVGPISFSGPYCKPNIVFQALRFYGSFNTTVTGITIQNSPQCHLKFDNCNGVLVHNVSVSSPGDSPNTDGIHLQNSKNVVIHSSKLACGHGISIGSLGKDNTRACVSNITVRDVKMHNTMNGVRIKTWQVWDVSHGEGLIGGATILLFNNKKQLKTGKQKLRLWAGKEADGAFPTSTPGKVPRHERGELERLEKLVNKYERGQIQRVDWLDRLTFKAMDRIKEHESLKNGNSHLYLVVDFCSFEHRVVFQESGANFLFPSPIASTNDIVIVWDPEVGKINPSEHKQLKLARSLTRGVIDRDLKPSSNERKSIQRILKYPPTRTLNGDERQLLWKFRFSLMSEKRALTKFLRCVEWSDIQEAKQALELMGKWEMIDVCDALELLSPVFESEEVRAYAVSVLERADDEELQCYLLQLVQALRFERSDKSRLSHFLVQRALRNIELASFLRWYVAVELYDPTYAKRFYCTYEILEENMMKMAAGVNVEDDGFKQWQSLVRQTELTAQLCSISRDVRNVRGNTQKKIEKLRQLLSGLLSELTYFDEPMRSPLAPGVLITGIVSSESSIFRSALHPLRLTFRTADGGTCKIIFKKGDDLRQDQLVVQMVSLMDRLLKLENLDLHLTPYKVLASGQDEGMLEFIPSHSLAQILSENRSIISYLQKFHPDDQGPFGITSTCLETFIKSCAGYSVITYILGIGDRHLDNLLLRDDGRLFHVDFGFILGRDPKPFPPPMKLCKEMVEAMGGAESQYYTRFKSYCCEAYNILRKSSNLILNLFYLMAGSNIPDIASDPEKGILKLQEKFRLDLDDEASIHFFQDLINESVSALFPQMVETIHRWAQYWR >OIV94618 pep chromosome:LupAngTanjil_v1.0:LG17:3098192:3102356:-1 gene:TanjilG_25842 transcript:OIV94618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVGVAKACRFSLLSMNLALITQPNNVNSKQQNHVVCCRQQRKPISWSVVCGLMLFGLGLISLLTGHMASDLEWYSQRLVHPTFYSRLDGGYRAPIDIWKSQYSKYYYGCSQRGRNFPSAVSERMSNGYLLIATSGGLNQQRTGITDAVVVAHILNATLVLPELDHHSYWKDDSDFINIFDVDWFISYLAKDVTIVKRVPDKFMRSMEKPPYTMRVPRKSDSGYYLDQVLPILLRRQVVQLTKFDYRLAINLDDELQKLRCRVNYHSLRFTNPIQELGQRLVMRMQKMAQHFIAVHLRFEPDMLAFSGCYFGGGEKERRELGEIRKRWTTLPDLSPDGERKRGKCPLTPHEVGLMLRALGFTNDTYLYVAKGEIYGGDETMQPLKNLFPNMYTKEMLAEEELKPFLPFSSRLAAIDYIVCDESDVFVTNNNGNMAKIIAGRRRYMGHKRTIRPNAKKLSPLFVGRHEMEWDTFARKVKASQKGFMGEPDEMRPGRGEFHEFPNSCICQRSIMDVELSVKAESRVNGSRRRTSTL >OIV94545 pep chromosome:LupAngTanjil_v1.0:LG17:5380050:5381370:-1 gene:TanjilG_25607 transcript:OIV94545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHMGTTSGYFLQKFFFRSLAVAGFVCLLIVGSISRDGTTRLEATKWSSERLKHGKVIGRDNKPVKNEELDFNYMSKRRVPNGPDPIHNRRAGKSGRSPGKS >OIV94509 pep chromosome:LupAngTanjil_v1.0:LG17:4975252:4978339:1 gene:TanjilG_25571 transcript:OIV94509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQFEEWKEQISQLWRLALEHLRHTPPAQLYVAAAIVIFTTVLFLLRLLKRAKANTIVLTGLSGSGKTILFYQLRDGSTHQGTVTSMEPNEGTFVLHGETTKKGKIKPVHIVDVPGHSRLRPKLDEYLPQAAGVVFVVDALDFLPNCRAASEYLYDLLTKGSIVKKKIPLLILCNKTDKVTAHTKEFIRRQLEKEIDKLRTSRSAISEADVTNEFTLGVPGEPFSFTQSSNKVTTAEASGLTGEISQLEEFIREYVKP >OIV94387 pep chromosome:LupAngTanjil_v1.0:LG17:3528944:3531552:1 gene:TanjilG_25449 transcript:OIV94387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFEPLSMGNDVIEFDMIGFGDDAGIDIENHVDDNDLDSGDNVPIYTGTSSIGATMGPYIPGVDTNLEPYQGMEFESEEAAKAFYNSYARRVGFSTRVSMSRRSRRDGAIIQRSFVCAKEGFRAGKEKNSLDERVKRPRAETRVGCKAMLVVKIQDSGTWVVSSFVKEHNHELVPPDKVHCLRSHRHVSGPAKSLIDTLQGAGIGPSGIMSALIKEYGGISNIGFTERDCRNYMRSSRQRTLGGDTQILLDYLKSKQADNPSFFFAVQGDEDQCMSTILWVDPKARTNYTYFGDTVTFDTTYRSNRYRLPFAPFTGVNHHGQPVLFGCAFLVNESEASFIWLFKTWLEAMSGRPPVSITTNHDRVIRAAVNHVFPDTRHRFCKWNIFKECQEKLSHVLSEHHNFEPDLHKCVNLTDSIEEFESCWSSLIDSYGVREHEWLQTIYGDRWQWVPVYLRDTFFAEMSITQRSDSINSYFDGYINASTTLQVFVKQYEKALESRYEKEVKADYDTINTAPVLKTPSPMEKQAAGVYTRRLFIKFQEELVDTLTFLANKINEEEMVAMYRVAKYGEIHKAYIVKFNFFEAKATCSCQMFEFSGLVCRHILTVFRVTNLLTLPSHYILKRWTRNAKSGVIFDEHTSDLLNGAQESATIRYNNLRREALKFVDEGVSSLKVYEVAMSALQEAANKVSHASKSGGKLVMSNGTCKEDLHQSNDATTKGFDSQFDTHQPSSKDDQERTIEKLTRQLDRVRRKCDVYRSNLLSILKDIEEQKLELSVRVQNIKLGMKG >OIV94211 pep chromosome:LupAngTanjil_v1.0:LG17:10399079:10403399:-1 gene:TanjilG_28150 transcript:OIV94211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEGGGAKKAKARKPKPTFLTNSHALFHATIRKPFLKQFLPILFLIIALYALFNAFLNPTLHATTTATAKNHAVKVYLYDLPTRFTYGIIHHHSLARGASRDAGDLSSLKYPGHQHMSEWYLFSDLSRPESERVGSPIVRVSDPDEADLFFVPFFSSLSLIVNPVRAPGSVQEKAPVYSDEKNQEALVEWLKGQEYWKRNNGRDHVIVASDPNAMYRVIDHVKNSVLLVSDFGRVRPDQGSLVKDVVVPYSHRIRSYQGDVSVDKRGTLLFFMGNRYRKEGGKVRDTLFQILENEKDVVVKHGAQSRIRSYQGDVSVDKRGTLLFFMGNRYRKEGGKVRDTLFQILENEKDVVVKHGAQSRESRRAASQGMHTSKFCLHPAGDTPSACRLFDAIVSLCIPVIVSDSIELPFEDTIDYRKISVFVETASAIKPGYLVSKLRAVTPDRILEYQKELKEVKRYFEYDEQDGTVNEIWRQVSKKLPLIKLMINRDKRLVRKEPDCSCICVNQTTLR >OIV93913 pep chromosome:LupAngTanjil_v1.0:LG17:17845994:17849105:1 gene:TanjilG_05616 transcript:OIV93913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVDEVVGSSSEWSIEEDKAFENALATYPEDASDRWEKIAGDVPGKSLQEIQLHYNLLVDDVNQIESGFVPLPSYNSSSPRGSIGQVSDEGAENKGNRLWNYNNESNLKASKSDQERRKGIAWTEEEHRLFLLGLEKYGKGDWRSISRNFVVTRTPTQVASHAQKYFIRLNSMNKDRRRSSIHDITSVNNGDASSAQGPITGQANGSTGNCTGKLPKQGPPNPSGATSVGLYAPPTVGQPIGGGALVPAFGTPVNLPPPHMAYGVQAPIHGAVVPGAPMNLGHMPYHMPQPHTSHR >OIV93834 pep chromosome:LupAngTanjil_v1.0:LG17:20767902:20769746:-1 gene:TanjilG_03797 transcript:OIV93834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKEYIAKLNGAVGEERTSMVLAKSIIVISMGSNDISGSYFQSPYRRCVFDIDQYTSILINQNSKFVQELYELGARKIGVLSLGPVGCVPMQRTIGGGTQRKCVVEINDACLLYNSKLYSSIMALNATYQDARIVYLDTYTGLNAFVQYPNLFGFENGNGSCCGIANVELGPLCNSFVLKMCEDASKYVFWDSYHPTDRAYNLLTSDAIKKTIHQFI >OIV94219 pep chromosome:LupAngTanjil_v1.0:LG17:9655682:9657049:-1 gene:TanjilG_09374 transcript:OIV94219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKKFVCKFCSKKFPCGKSLGGHIRTHMSEQRNNSINNNDAECDNLNLDVVRMKQKRDLWCEAGYGLRENPKKTMRFVHSKAILEQQQEEKFCKECGKGFPSLKALCGHMACHSEKQKLVMDSHSDTEESSDISKHPRRSKRMKFKTLCISNDQRYSSSVSEVDQEQEEVARCLMLLSNDSSHKGGFALIDESSENNLVVVEEAKSSSVDLRNGVKNVNKFVSNGYDLVEKKELKLKSADNSDSGYYRYDPQTKMAKSDASKDEFKSEFDDYDVESGKMLNMNKERSKYTVTLFKKSVNKDLNYDGTGGTTSRFDSMKIANYDSFNHSKKMTNGFANDEIYETGERGLKYESLAYDSTYESDESSSDTDSFPAPKSHSSKVLNGKKCSKAKKKKLKSKKSKEHECPICYKIFKSGQALGGHKRSHFIGGSEENTVIINQGPCLIDLNLPAPIDE >OIV94665 pep chromosome:LupAngTanjil_v1.0:LG17:2762318:2763810:1 gene:TanjilG_25889 transcript:OIV94665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFFRKIAGFLGLSKDQIHEEENGEGGQPSTTPYRVKENGLPRKGFSVPAQVVIDRPHLGPILTPSTSGDGGVQGLRWYAKHLRIDEDGDVADEFLDEVSSEASTLHVDHLITKTRVKHKGASRRAKVKQQVLSDGKLMHCVEHRGRLQLV >OIV94683 pep chromosome:LupAngTanjil_v1.0:LG17:2581000:2582352:1 gene:TanjilG_25907 transcript:OIV94683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMYQPCKRDMVVVGFEGGGDGQILDLDTAVKDGVLGGVDGVLLGTGVCDKLDLRKLIEELDLSEIPTVFICPISLEPMQDPVTLCTGQTYERSNILKWFSLGHFTCPTTMQELWDDSITPNTTLYRLIYTWFSQKYLLMKKRSEDVQGRASELLETLKKVKGQARVQALKELHQVVSAHSTARKTVIDQGGVAIMSSLLGPFTSHAVGSEVIGILVSLTLDSESKKNLMQPAKISLMVDILNEGSIETKINCTRLMESLIDDKDFRLEIISSHSLLVGLMRLVKDKRRSNGICSGLSLLKTISLHNEVRNLLVSVGAVSQLVELLSGLEPDCLELALCVLDALASIPEGRIALKDCSNTIPIMVKLLMRISENCTQYALSILWSVCKLAPEECSSIAVDAGLAAKLLLVIQSGCNPVLKQQSSELLKLCSLNYSDTIFISKCKLTRTIQ >OIV94039 pep chromosome:LupAngTanjil_v1.0:LG17:14389278:14391458:-1 gene:TanjilG_14286 transcript:OIV94039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRLSPRRNQRSKGFKVKHALQICLLLGVCVWLIYQVRHSHDKKESDGESTETGREVVKLGRKNIHPNVEETSVIDARHKEIDEDEDENRHDEQTKLDDINGVVDEILKQKEEEQSNDEDNSEQKQDLVDLEIGESSENDRADTESEQHNEKDNLEDNESDSIIVKENEENIHELEDKESGESREDKSQQENKETSDKENEDINGNEIEVKENMEENHEQDGKEEKNESNSIAAQRDDNDKISEEVSSENKVQDEEKKNEESIEENYAGDNASSVVDHKTQDNSDESSSKTEELDKKDKNEFELESEKSGTQTIEVTDSSVTTNNKENGAENKAQNENDSEKSSTSESNRQQQEQNNLARNVLKTVDSSLHNGNDTGSYTAEKQNETSENSNSDAEDSNQDNTMSKTEDPNSGAAGSQDDSTSTSSAGNNNNNAYHGEDKESTSNSVDNGNTNNNSDQEGTQENVTSSNTIINTDASGEVQHTSSDTSSEEKKDESLRAENNINKVNTDQGITNSDGIAYNNKDASQDNSSHTSSEQNNEGSSNSENSSEINQNNSNGSDGSTNDNKDASQDNSSHTSSENNSEGSANSENSNDTNQNNSDGNENANENGSNGNENNEKESQDEVKVNAIDEEKVESSNNNSGDTVQNENENKTNENEGDAQNRSVESQQEKVETEHSDGDSNDQGDSDSSTSQDVKESIIDLGTLPETNAENNQNDADADADAAE >OIV94816 pep chromosome:LupAngTanjil_v1.0:LG17:1514563:1516136:-1 gene:TanjilG_22013 transcript:OIV94816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGIFSLGGGSGGGGGGGGGRGNNTNNNQENQQQTTDFSPQQEPLFWYNKSVEDVRGLELWQHHQQPQIPQVRPIFHQDLYSLGVGPSRGSDAVASSGSGGGISCQDCGNQAKKDCPHMRCRTCCKSRGYDCQTHVKSTWVPASKRRERLQQQQQQQHQLHSERDHNPTTSRLRSNPTTSPSGLEHTNFPAMVSSPAEFRCVRVSSMEDSEEQYAYQTAVNIGGHVFKGILYDQGPDHSTSSYTGGESSSTRVLGSGGAQSSNLIAAATTTGALVDPSFLYPPQLNTFMPPCGTQFFPHQRS >OIV94499 pep chromosome:LupAngTanjil_v1.0:LG17:4836762:4841665:-1 gene:TanjilG_25561 transcript:OIV94499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPKPLDYESINENVKKAQYAVRGELYLRASELQKEGKKIIFTNVGNPHALGQKPLSFPRQVVALCQAPFLLDDPNVGVLFPADAIARAKHYLSLTSGGLGAYSDSRGIPGIRKEVAEFINRRDAYPSDPELIYLTDGASKGVMQILNTIIGGLRDGILVPVPQYPLYSATIALLGGSLVPYYLEETANWGLDANELRRSVSHARNNGINVKAMVIINPGNPTGQCLSEANLRDVLRFCYEENLVLLGDEVYQQNIYQDERPFVSAKKVLMDLGSPLSKEVQLISFHTVSKGYWGECGQRGGYFEMTNIPPETVDEIYKVASIALSPNVSAQIFMGLMVNPPKPGDISFDQYFRESQGILQSLRRRARIMTDGFNSCRNVVCNFTEGAMYSFPQIRLPPRAIEAAKQAGKVPDVLYCLKLLEATGISTVPGSGFGQKEGVFHLRTTILPAEEDIPAIMDSFKKFNDEFMEQYEDQRGYSRM >OIV93905 pep chromosome:LupAngTanjil_v1.0:LG17:17734843:17739267:-1 gene:TanjilG_05608 transcript:OIV93905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMASSLRISRLLSRSLSSASTSFFSPGGSAILGARVSKYTTNAAIEEPIKPSVQVEHTQLLIDGKFVDAASGKTFPTLDPRTGEVIAHVAEGHSEDIDRAVAAARKAFDQGPWPKLTAYERQRILLRAADLIEKHNDDIAALETWDNGKPYEQSAQIEIPMLVRLVRYYAGWADKIHGLTVPADGPYHVQTLHEPIGVAGQIIPWNFPLLMFAWKVGPALACGNTIVLKTAEQTPLSALYVARLFLEAGLPPGVLNIVSGYGPTAGAALASHMDVDKLAFTGSTETGKVVLQLAAKSNLKPVTLELGGKSPFIVCEDADIDQAVELAHFALFFNQGQCCCAGSRTFVHESIHEEFVAKAKARALKRVVGDPFKSGIEQGPQIDSDQFEKILKYIRSGVESGATLETGGDRLGNKGFYVQPTVFSNVQDNMLIAKEEIFGPVQSIFKFKDIGEVIERANNTKYGLAAGVFTKNIDTANTLTRALRAGTVWVNCFDTFDAAIPFGGYKMSGQGREKGEYSLKNYLQVKAVVTPLKNPAWL >OIV94958 pep chromosome:LupAngTanjil_v1.0:LG17:431407:432786:1 gene:TanjilG_22155 transcript:OIV94958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGVGIRWRAAYSSLYDKNPDDQIHSGPVPDDVIHSTKSGNYWAPHPQTGIFGPPGEATPSAGDRSFHSTSSADTAGSVLEVKAWFRPTSLEDLEKPNTIA >OIV93846 pep chromosome:LupAngTanjil_v1.0:LG17:20238059:20238559:1 gene:TanjilG_13861 transcript:OIV93846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLATADICDTNATHIENGDLRVLHPDFKTYGKSKSFSGPIMTLKVFEDNVLVREALETKGEGRVLVIDGGGSKRCALVGGNLGQLAQNMGWVGIVVNGCIRDVDEINECNIGVRALASHPLKSIKKGNGEKNVSIYVGGTLIHDGEWLYADNDGILVSKFELSI >OIV94304 pep chromosome:LupAngTanjil_v1.0:LG17:6845380:6856491:1 gene:TanjilG_19310 transcript:OIV94304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELAKQPYSEVPKRYVQLDQDPNFVSNAVSLPQVLVIDMNKLLSEDATELEKLDHACKQWGFFQMINHGVNPSLVENVKIGVQEFFNLPMEDKKKLWQKPGDLEGFEHVIGLHPHSDVEVITILHQVNEIEGLQIRKDGMWIPIKPLSYAFVINIGDILEILADGIYRNIEHRSTVIAILRC >OIV94455 pep chromosome:LupAngTanjil_v1.0:LG17:4283118:4283750:-1 gene:TanjilG_25517 transcript:OIV94455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKGESSSTTEQDSSDNHKHPTRHHLTLPSGLTPDEFETLKPAITEHHTYLSGPGQCSTLLAQRIHAPPQTVWSAVRRFDKPHLYKHFIKSCSVKEPFNMTVGCTREVNVISGLPAATSTERLDILDDDRHVTGFSIIGGEHRLRNYRSVTSVHGFDRDGEIWTVVLESYMVDVPEGNTEEDTRLFADTVVKLNLQKLASLTEGMNRDGK >OIV94879 pep chromosome:LupAngTanjil_v1.0:LG17:992859:1003634:-1 gene:TanjilG_22076 transcript:OIV94879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGEGDTGGMVESHTQDSRVPEEQLSDVNDHYKNGSKGNLPENSVSHNSQGSDDSHDQLLQMVVELRFQNEFLKSQFEGFSNISSVDSDSSQNNRVGVLEDGESDVMNELQERIQSLNKELEEEKQTRHASEEALTHLQTVYSETETKAQELSKKLAEAQTKLDQQTKEHEDKYSELDLKFNRLHKRAKQRIQEIQKEKDDLEARFNMVNETADRASAQQSALQQELERTRKQANEALKAMDGDRQQLRSANNKLRDDIEDLQRSLQPKESALEALQLSLTEKEQMLKDMKGLLQDADEKKQASLAELSAKHRKNIESLEAQLNDAFSDRSKATESISSLQVLVAEKESKIAEMEAASTGEAARLRAAMESVKGDISHLKQEHEKERERWETASQALKAKLEIAEGNCIRQLESEVSAQASILSMRDAELLAAKEEVSSLEREFSSYKVRAHALLQKKDADLAAAKDSEQIKALEEALKEAENEISSTTEEKDRLLQDLQSAMANHEKELAERDTGLENATQRIRSLETRLDSANAQHLTEKEAWGLSLQNVEETWRIRCEAMKAENEATTAQGNQKELEELKQRYKKLKEEHASFHDLADRTIEEKDNEISRLLDDNKNLRQSLQSRSQVNHNGNYSSAWHKWDSTNLSPSAAEQQILILARQQAQREEELARSQRHILALQEEIEELEHENRLHSQQEAILKTELRNMERANKREGVDMTYLKNVILKLLETGEVEVLLPVIGMLLQFSPEEMQKCQQAYQNSTDVPPTPASDASGSSGLSLFSRFSFS >OIV94480 pep chromosome:LupAngTanjil_v1.0:LG17:4622165:4625711:1 gene:TanjilG_25542 transcript:OIV94480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMKEPQKSVTSSHTHVLIFPCPAQGHVNSMLKLAKLLALHNLHVTFLNVEFIHHSLQRFGNIESFSETYPTLQFKTIPDGLPENHPRSGENVVDTFTTVNLNAKPLLKDILVSQNPRVTCLIGDGFYGSLTNDVAREVGIPVIHFRTVSACCFWSYFCVPDLFKSNQLPIRGDEDMDRIITGIPGMETLIRCRDLPSFCRENDLLKPTIPLKSIVSQTHESLRASALILNTFEDLEGPVLSNISLQFPQLFTIGPLHAHLNTRIQESNNKTTKSQTLHSSTNSLLEEDRSCMAWLDSQPLKSVVYVSFGSITTITREKLLEIWYGLVNSKNRFLWVMRTDMVTGKESEVQIPKELVEGTKERGFMVGWAPQVEVLEHKAIGGFLTHNGWNSTLESIVAGVPMICWPYFADQQINSRFVSEAWKLGLDMKDMCDRNIVEKMVNDVMIHKRQEFQISAQRMAMLAHKSVSPGGSSYSSFDRLIQFIKSTTLGSC >OIV94543 pep chromosome:LupAngTanjil_v1.0:LG17:5364494:5364673:-1 gene:TanjilG_25605 transcript:OIV94543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFPILTIPKVLVRKGSIEWLQRRRHNARFSGFPSRFLQKIFSPTMREYVEGARVVALC >OIV94624 pep chromosome:LupAngTanjil_v1.0:LG17:3046087:3046866:-1 gene:TanjilG_25848 transcript:OIV94624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGQSSYESDLALLDSIRRHLLDESDPFRIGAPFFNSGQTPFNSRSSSFSNLYPCLSDYWGELPLKEDDSEDMVLYGVLRDAVNVGWVPSFETGSPDSSLSSFEVNTELDLFPAERISNVPVIPQVKAVPAVVPAKGKHYRGVRQRPWGKFAAEIRDPAKNGARVWLGTYETAEDAALAYDRAAYRMRGSRAMLNFPLRVNSGEPDPVRVTSKRASPEPSSSSENFTAAKRKKKTVGPTVQVVIDQVAEHTRGEQLLVS >OIV93827 pep chromosome:LupAngTanjil_v1.0:LG17:20720126:20720592:-1 gene:TanjilG_03790 transcript:OIV93827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSHANDPRQPSAAKPYVAPPVSPQDLPIDYAGFIAVVFGIAGVMFKYKLCSWLALVFSAQSIANMRNIENDLKQVMMAIMVSLGARVRLREDHGFKS >OIV94518 pep chromosome:LupAngTanjil_v1.0:LG17:5057279:5067348:1 gene:TanjilG_25580 transcript:OIV94518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEENVVESTDFPVKENNENGISSVIPGWFSEISPMWPGEAHSLKVEKILFEGKSEYQNVMVFQSSTYGKVLVLDGVIQLTERDECAYQEMITHLPLCSIPNPKKVLVIGGGDGGVLREVARHNSVEKIDICEIDKMVVDVSKQFFPDVAVGYEDKRVTLHIGDGVAFLKAVPEGTYDAVIVDSSDPIGPAQELFEKPFFESIARALIPGGVVCTQAESIWLHMHIIEDIVANCRQIFKGSVNYAWTTVPTYPSGMIGFMLCSTEGPPVDFKHPVNPIDENDSQSSARPLKFYNSELHTAAFCLPSFAKKAIGSKAN >OIV94078 pep chromosome:LupAngTanjil_v1.0:LG17:13272343:13272567:1 gene:TanjilG_05458 transcript:OIV94078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRIMGTGKCRNRLIQLLRETLEWQSCWKELKKNKVWIVLSKEEKEEDIFVMTGTRPFRRPKKRPKNVKKQMDV >OIV93865 pep chromosome:LupAngTanjil_v1.0:LG17:16115805:16117529:1 gene:TanjilG_05568 transcript:OIV93865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAGPGLESLVDQTISVITNDGRNIVGVLKGFDQATNIILDESHERVYSTKEGVQQLVLGLYIIRGDNISVVGELDEELDSSLDLSKLRAHPLKPVIH >OIV93995 pep chromosome:LupAngTanjil_v1.0:LG17:19922991:19926778:1 gene:TanjilG_05698 transcript:OIV93995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTTDIARTVVGIIGNIISGFLFLSPMPTFVAICKRGSVEQYSPAPYLATLVNCMVWTLYGLPMVHPHSLLVVTINGSGCVIELIYVTLFLIYSDHGKRVKVFLLLLLELIFIIALTAITLTLAHTTKKRSAIVGTICIVFNIMMYASPLAVMKLVITTKSVEYMPLFISIASFGNGVAWTTYALIRFDPFITVPNGIGTLFAVAQLILYANYYKSTKRQIAARKGNIEMDLSQVVGNDGQETKPSY >OIV94197 pep chromosome:LupAngTanjil_v1.0:LG17:10039291:10121955:1 gene:TanjilG_28136 transcript:OIV94197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLACYRKDYANFAETCFQKFGDRVKFWITMNEPYTVAVQGYDFGRQAPGHCSLLPSPLFCKIGNSAIEPYIVAHNFIRSHAAAADIYRKRYKGIQGGSIGTILNALWFVPATNTERDIVATQRAKDFMIGWFLDPFVFGDYPSSMKSRVGIRLPKFSQAESAIVKGSLDFVGINHFTTYYVKDDSMNITKRILKDALTDTGAVFLPFNGTHFIGEMTNSLWVFIAPEGIRSLMNYIRLKYGNLPIFITESGLPDLSTRLIPVRHAYFYLNDLQRIRYHSSYLPSLLASIKDGCNVKGYFVWALLDNWEWDNGYSARTGLYYVDYTDNLKRYPKKSAVWYKNFLKPTVEGAVKEDRKGQSVWDTFSHTHGKIVDFSNDDIGVDQYHRFKVCYDWSGNSATEPYIVGHNILRSHATVADIYRKKYKDIQGGSIGTILSAPWLVSATNTEKDIVATQRAKDFMIGWFLDPLIFGDYPSSMKSRVGNRLPKFSQAEAALVKGSLDFLGISHFTSLYVKDNSAKITKILLNDAMTDHGAIFLPFNGTHIIGEIVEGAVKEDGKGQSVWDTFSHTPGKILDFSNDDIGVDQYHRFKEDIQLMKDLRTNAYRFSISWPRIFPNGSGEINDAGVDHYNEFINALVAEERTMEILPRHAFRNSGIGSGNSATEPYIVGHNILRSHATVADIYRKKYKNIQGGSIGTILATPWFVPATNAEKDIVATQRAKDFMLGWFLDPLIFGDYPCSMKSRVGSRLPKFSQAEAALLKGSLDFVGINHFTTYYVKDDSINITKRILKDALTDNGALFLSTTKSLLSNPFISAFNGTNFIGEITSSTWLYIVPEGIRSLMNYIKQKYGNIPIIITENGMSDVSTPFISIKYAYAYLNDVQRIRYHSSYLSSLLASIKDGCNVKGYFTWSLLDCWGWETGYSSRTGLYYVDYTDNLKRYPKKSAVWYKNFLKPTN >OIV94762 pep chromosome:LupAngTanjil_v1.0:LG17:1928106:1928507:-1 gene:TanjilG_12975 transcript:OIV94762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGACVSSLHSTPATETTAKTKAREAESFRRASTIMVMDMKGEILEYMHPIPASHVISDNPAFFLCNSESLYIGTCMPRVPDEEELLPGRIYFLVPLSRSHNPLSLTLLCDLAVKASYALVNVINRASLQRHSL >OIV94368 pep chromosome:LupAngTanjil_v1.0:LG17:3361938:3366231:1 gene:TanjilG_25430 transcript:OIV94368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEPNLGMMGGGLGGDGGDTQHRQLKAEIVTHPLYEQLLAAHVACLRVATPIDQLPLIDAQLSQSHHLLRSYLSQQTHSLSPHNRQDLDNFMAQYLIVLCTFKEQLQQHVRVHAVEAVMACRDIENTLQALTGVSLGEGSGATMSDDEDDMQMDFCLDQSSAEGHDMMGFGLPTESERSLMERVRQELKIELKQGFKSRIEDVREEILRKRRAGKLPGDTTSVLKNWWQQHAKWPYPTEDDKAKLVEETGLQLKQINNWFINQRKRNWHSNSHSVTSLKSKRKR >OIV94705 pep chromosome:LupAngTanjil_v1.0:LG17:2421218:2423634:-1 gene:TanjilG_25929 transcript:OIV94705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRLNVYCSLIPSANQARPLSSYDSLIIHKRRASRFSHGISIKAKAIKDEMDGETSGSSGRSWDPGLEIEVPFEQRPVNEYSSLKDGILYSWGELGPGSFFLRLGGLWLSVFIVLGVPIAAASFNPSREPLRFALAAGTGTLFIVSLFVLRIYLGWSYVGDRLLSAVIPYEESGWYDGQMWVKPPEILARDRLLGSYKVKPVVKLLKQTLVGTGALLVTGVMLFIFATPVENFFRTTFTTEENKSTTQTPKINTKFNLRKEELLQLPADVISDDDLAAAAAEAADGRPVYCRDRFHRVLAGGQYCKWEDLLE >OIV94245 pep chromosome:LupAngTanjil_v1.0:LG17:9642531:9644871:1 gene:TanjilG_08543 transcript:OIV94245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEVQNKQVILKDYVTGYPKESDMYIKTSIIKLQVPQGSNAILVKNLYLSCDPVMQFQMRKAEKHLSGYYYFTPGSSINGFGVAKVLDSRNPNFSAGDLVWGTTGWEEYSLIERPNWLYKIHHTDIPLSYYTGILGMPGITAFAGIYEVGSPKKGECVFISAASGAVGQLAGQFAKLLGCYVVGSAGSQEKVDLLKNKLGFDDAFNYKEEPDLDAALKRCFPNGIDLYFEQVGGKMLDAVLLNMKIHGRIIICGMISQYNISEPEPLKKIMQIAFKRLSIKGFTHRDHHHLYPKLLETVLPYIREKKIVYVEDIVEGIENGPEALVGLFSGRNFGKQIVAVAHE >OIV94651 pep chromosome:LupAngTanjil_v1.0:LG17:2851538:2855186:-1 gene:TanjilG_25875 transcript:OIV94651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVSALAKYKLVFLGDQSVGKTSIITRFMYDKFDNTYQATIGIDFLSKTMYLEDRTVRLQLWDTAGQERFRSLIPSYIRDSSVAVIVYDVASRQTFLNTSKWIEEVRSERGSDVIVVLVGNKTDLVDKRQVSTEEGEAKSRELNVMFIEASAKAGFNIKALFRKIAAALPGMETLSSTKQEDMVDVNLRSSAGHDSQPQSGGCAC >OIV94872 pep chromosome:LupAngTanjil_v1.0:LG17:1051964:1055622:1 gene:TanjilG_22069 transcript:OIV94872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTEMEEMEMEEMEMMENMEFDPYVLRNDTLEGNWNAVIETYKAHWNAQKTYIDGDRGTALHVAVNGGVVDTVEILINVIRKNKIESVLKLKNERGDTPLHLAASRGFRKICKLIIGQNQERKHLIKVRNTLGETPLFLAALSWQKTTFAYLFQVFQNDIVNLRVLIRDSDGDTILHSAIRREFFDLAIIIIKYCPKLHDIQNMKGITPLKLLANRPSAFKSGSNLTWWRQIIYYGTDIKEIEARPTLERYYNKKDEVDEVENMHFPENYRTCFEFFIEWFAEKLGVTDIRKMKKKHVWGGQLLSALMEYPSESYMGSGGEPIEQSVYYWDETFYDLIHQQDEDKQKKENDKKKEEQNTSEEEPEEKIDPKETAFLIAARNGIVEMVREILHKIPSALHDTTTDKRNVFHVAVKNRQPLVVVTLINHLNKQNKENLIEAVDKDENTVLHLAAEQLGNETAWKIPGSAMQMMWDITWFRYIKSQVPEHFVFRRNIRDKTSGELFKNNHKELVKESSEWLKETSESCSVVAALIAGVSFATAGTVPGGTEKGKPYLEDRPAFKAFAISSLIGLCFSVTALIMFLSILTSRKQAKDFRRSLPQKLLLGLTSLFVSIVALFVSFCTGHFFVLKNKYRQYVFPIYIATCLPVTFYAIAQFPLYIDLLKAIIRRVPQPSDKGDGL >OIV94824 pep chromosome:LupAngTanjil_v1.0:LG17:1442955:1444043:-1 gene:TanjilG_22021 transcript:OIV94824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYCMEGALKTCLRKDMTVKVSPQTFMEDLNVQNGTPCDDFLFVDNLLDFSHVEQQQDEEEQQQQQHKEGEGEGEGEDEGFVCVSPKKSNEICNLSSLRHEFPSLPTSELSVPEDVADLEWLSNFVSDSFSDFPTVTTTMTENPNTFCAEKEAKPLNPVFIQPCFKTPVPAKARSKRTRSGIRVWSLGSPCFTESSTSSTSSTSSSSSPTSTLLIYTNLVQNLDQVCSPAKKPKKRVSSDGSVQAPRRCSHCGVQKTPQWRTGPLGAKTLCNACGVRFKSGRLLPEYRPACSPTFSTELHSNHHRKVLEMRRKKEGTGGVETGFAPPPVVPTF >OIV94133 pep chromosome:LupAngTanjil_v1.0:LG17:11582027:11593723:1 gene:TanjilG_31558 transcript:OIV94133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATMVPPIPTTEDHNKVDEKVDYFNLPCPIPFEELHREAMMSLKPDLFEGMRFDFTKMLNQKFCLNHSVMMGPTEIPSQSAETIKIPTANYDFGATFIDHPRLLLLGRVMTDGRVNARVKYDVSENLTFKANAQLTNEPHMSHGMFNFDYKGKDYRTQFQLGNGALLGASYIQSVTRHLSLGGEVFWAGQHRKSGVGYAARYNTDKWVATGQVASTGMVLLSYVQKVSEKVSLASDVMCNYLSRDVTASFGYDYILRQCRLRGKIDSNGCVGAYLEERLNMGLNFILSAELDHRKKDYKFGFGLTVGE >OIV94036 pep chromosome:LupAngTanjil_v1.0:LG17:14354970:14365522:1 gene:TanjilG_14283 transcript:OIV94036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLLLLPLLLHFHHHPTTAHTLSEYHSLLSFKSTSITSDPTHFLSSWTSNTPYYTWPSITCDSSNHHVTAVNLTSLSLSGTLHLPFLSTLSLADNKFSGPVPPSLSSLTFLIHLNLSNNNFNSTFPSQLSNLENLQVLDFYNNNMSGPLPVSITNMTSSKHLHLGVNTLSGSLISELGNLKNLKSMDLSNNALSGEVPASFSLLKNLTLLNLFRNKLHGAILEFIGELPDLEVLQLWENNFTGSIPWSLGQNGKLTLVDLSSNKLMGSLPPDLCFEHKLQTLITLGSFLFGPIPDSIGKCESLTRIRMGENFLNGSIPKRLFGLPKLTQVELQDNFLSGNFPESGSMSPNHGQITLSNNQLYGPLPPTIGNFTSMQKLLLDGNKFSGPIPPQIGRLHQLSKIDFSHNNFSGPIAKEISHCKLLTFVDLSRNELSGEIPNEIKNMRILNYLNLSRNYLVGAIPGSIASMQSLTSVDFSYNNLSGLVPGTGQFNYFSYTSFLGNPELCGSYLGHCKEGVGNGPQQPHVKGPLSPSLKLLLVIGQLVCSVAFAVTAILKARSLKKADGARVWKLITFQCIVYKGAMPNKDLVAVKRLPTMSRGSSHDHGFNAEIQTLGRIRHRLPLSKVDEKSDVYSFGVVLLELVTGRKPVGEFGDGVDIVQWVRKMTDSNKEGVLKVLDPRLPSIPIEEVMHVFYVVMLCVEEQAVERPTMREVVQILTELPKPPGSKQGDLTITKSSLTSSNGSESPNTASSKEPNDQEHPPKSAPPDLLSI >OIV94842 pep chromosome:LupAngTanjil_v1.0:LG17:1267390:1290442:-1 gene:TanjilG_22039 transcript:OIV94842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMEVRDSLEIAHTAEYLNFLKCYFRAFSGILLQITKPQFVDNPEHKLRNIVVEILNRLPHSEVLRPFVQDLLKVAMQVLTTDNEENGLICIRIIFDLLRNFRPTLENEVQPFLDFVCKIYQNFKLTVSHFFDNMAMTGEDVKPMETSLSDQVINTTAATGSQLNPSTRSFKIVTESPLVVMFLFQLYSRLVQANIPQLLPLMVAAISVPGPERVPPHLKTHFIELKGAQVKTVSFLTYLLKSYADYIRPHEESICKSIVNLLVTCSDSVSIRKELLISLKHVLGTDFRRGLFPLIDTLLEERVLVGTGRACFETLRPLAYSLLAEIVHHVRQDLSLSQVEPIFEKGVDQLSTDEARILLGRILDAFVGKFSTFKHTIPQLLEEGEEGKDRATLRSKLELPVQAVLSLQVPVEHSKEVNDCKHLIKTLVMGMKTIIWSITHAHSPRSQVLVQPSPNLPPSQASRGMREEEVCKASGVLKSGVHCLALFKEKDEEREMLHLFSQILAIMEPRDLMDMFSLCMPELFECMISNTQLVHIFSTLLATAKVYRPFADVLVNFLVNSKLDVLKNPDSPRAKLVLHLFRFIFGAVAKAPSDFERILQPHVPVIMEVCMKNATEVERPLSYMQLLRIMFKALSGCKFELLLRDLIPMLQPCLNLLLAMLEGPAGEDMRDLLLELCMTLPARLSSLLPYLSRLMKPLVFCLKGSDELVSLGLRTLEFWVDSLNPDFLEPSMANVMSEVILALWSHLRPAPYPWGAKSLQLLGKLGGRNRRFLTEPLALDCKENPEHGLRLILTFEPATPFLVPLDRCINLAVESVMNRNCGMDSFYRKQALKFLRVCLSSQLNLPGTVSDEGFTSKQLSALLVSTVDQTLHRSESSELKPDLGVKTKTQLMAEKSVFKILLMTVIAANGEPDLTDTTDDFVVNICRHFAVIFHIDSSSSNVPATSLGGSLISNNFHGSRPKTSPCSNLKELEPLIFLDALVDVLADENRHHAKAALGALNVFAETLVFLARSKHTDFMMTRGPGTPMIVSSPSMNPVYSPPPSVRVPVFEQLLPRLLHCCYGLTWQAQMGGVMGLGALVGKVTVETLCLFQVRIVRGLIYVLKKLPIYASKEQEETSQVLTQVLRVVNNADEANSDARRQSFQGVVDFLAQELFNQNASIIVRKNVQSCLALLASRTGSEVSELLEPLYQPLLQPLVMRPLKLKTVDQQVGTVTALNFCLALRPPLLKLTPELINFLQDALQIAESDDSAWVAKFINPKVVTSLTKLRTACIELLCTTMACAEFRTTNHTELRAKIISMFFKSLTCRTPEIVAVAKEGLRQVINQRMPKELLQSSLRPILVNLAHTKNLSMPLLQGLARLLELLSNWFNVTLGGKLLEHLKRWLEPEKLAQSQKSWKAGEEPKIAAAIIELFHLLPAAASKFLDELVTLTVDLEGALPAGQVYSEINSPYRLPLTKFLNRYAPLAVDYFLARLSQPRYFRRFMYIICSEAGQPLRDELAKSPQKILASAFSEFLPKSDVEMAPTSMSTHTEQSLVAPSTDGSNPPAPTANAISEAYFQGLALIKTLVKLMPGWLQSNRIVFDTLVLVWKSHARISRLQNEQELNLVQVKESKWLVKCFLNYLRHDQNEVNVLFDILTIFLFHSRIDYTFLKEFYIIEVAEGYPADMKKALLVHFLKLFQSKQLDHEHIVIVMQMLILPMLAHAFQSGQSWEVVDSAIIKTIVDKLLDPPEEVSAEYDESLRIELLQLATLLLKYLQNDLVHHRKELIKFGWNHLKREDTASKQWAFVNVCHFLQAYQAPEKIILQVFVALLRTCQPENKMLVKQALDILMPALPRRLPLGDCRMPIWIRYTKKILVEEGHSIPNLIHIFQLIVRHSDLFYSCRAQFVPQMVNSLSRLGLPYNTTAENRRLAIELAGLVVNWERQRQNEMKVVTDTDAPNQINDVFHSSSADSKQSVDGSTFPEDSSKRVKAEPGLQSICVMSPGGPSSIPNIETPGSSSQPDEEFKPNAAMEEMIINFLIRVALVIEPKDKEPSAMYKQALELLSQALEVWPNANVKFNYLEKLLTSIQPSQAKDPSTALAQGLDVMNKVLEKQPHLFIRNNINQISQILEPCFKHKLLDAGNSFCCLLRMIFVAFPQEAATVPADVKLLYQKLDEMIQKHVTTITAPQASSDDNNATSIGFLLLVIKTLTAAERNFIDPSILVRILQRLQRDMGSSASSQLRQGKRTDPDSSVASRQGADVGAVISNIKSVLKLITGRVMVVPECKRSVSQILNALLSEKGIDASVLVCILDVIKGWIEDDICKQGTSVTPSPFLTSKEIVSFLQKLSLVDKQNFTPVALEEWDQKYLELLYGICADSNKYPLPMRQEVFQKVERQFMLGLRARDPEIRMQFFSLYHESLGKTLFTRLQFIIQIQDWGALSDVFWLKQGLDLLLAILVEDKPITLAPNSARVQPLLLSSSLMESSGMHHKLNDVTGGAEDAPLTFETLVLKHAQFLRSNSKLQVADLLIPLRELAHTDANVAYHLWVLVFPIVWVTLHKEEQVTLAKPMITLLSKDYHKRQQASRPNVVQALLEGLQLSHPQPRMPSELIKYIGKTYNAWHIALTLLESHVMLFPNDSKCSESLAELYRLLNEEDMRCGLWKKRSVTAETRAGLSLVQHGYWQRAQSLFYQAMVKATQGTYNNTVPKAEMCLWEEQWLYCASQLGQWDALADFAKSVENYEILLDSLWKLPDWTYMKDHVIPKAQVEETPKLRFIQAYFALHEKNTNGVGNAENMVGKGVDLALEQWWQLPEMSVHSRIPLLQQFQQIVEVQESGRILLDISNGNKLSGNSVVGVQGNLYADLKDILETWRLRTPNEWDNMSVWYDLLQWRNEMYNHVIEAFKDFGSTNSALHHLGYRDKAWTVNRLAHIARKQGLYDVCVSILEKLYGHSTMEVQEAFLKIIEQAKAYLETKGEVTTGLNLINSTNLEYFPAKHKGEIFRLKGDFLLKLNDSGSANLAYSNAISLFKNLPKGWISWGNYCDMAYRETQEEIWLEYAVSCFMQGIKFGVSSSRSHLARVLYLLSFDTLSEPVGRSFDKYFDQVPHWVWLSWIPQLLLSLQRTEAPHCKLVLLKISTLYPQALYYWLRTYLLERRDVANKSELGRIAMAQQRPQQSASGTSAGSLGGLTDGNARVQGQGGSALSSDIQVHQGSQSAGGIGSHDGGNSHGQEPERSSAESSMHNGNDQPLQQGTANLNDGGQNTLRRAGALGFVASAASAFDAAKDIMEALRSKHANLASELEVLLTEIGSRFVTMPEERLLAVVNALLHRCYKYPTATTAEVPQSLKKELSGVCRACFSADAVNKHVDFVREYKQNFEHDLDPESTASFPSTLSQLTERLKHWKNVLQSNVEDRFPAVLKLEEESKVLRDFHVIDVEVPGQYFTDQEIAPDHTVKLDRVAADIPIVRRHGSSFRRLTLIGSDGSQRHFIVQTSLTPNARSDERILQLFRVLNQMFEKHKESRRRHIGIQTPIIIPVWSQVRMVEDDLMYSTFLEVYENHCARNDREADLPITYFKEQLNQAISGQISPEAVVDLRLQAYNEITQNLVTDNIFSQYMYKTLPSGNHIWAFKKQFAIQLALSSFISFMLQIGGRSPNKILFAKNTGKLFQTDFHPTYDANGLIEFNEPVPFRLTRNMQAFFSHGVEGLIVSSMCAAAQATASPKQNQHLWHHLAMFFRDELLSWSWRRPLGIPMTHMAGGGTMSPVDFKQKVITNVDHVVARINGIALQNFSEEEENAMDPPQPVQRGVTELVEAALNPRNLCMMDPTWHPWF >OIV94184 pep chromosome:LupAngTanjil_v1.0:LG17:10897857:10902107:1 gene:TanjilG_13801 transcript:OIV94184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRRTPTTKFILSLLIFSLLPLSLLARPFVLVLSQEEFKDVPSDEAPAVDPESAEWDDFGDSDAGKSEEELDPGSWRQIFEPDSTFSTTTAEPSTELEALYYSGVGKMMSAVTSAEVRLMEEAAGEIEAAAESGYPAAQSVLGFLWGIGLLRERSKSKAFLYHHFASEGGNMQSKMALAYTYTRQDMFEKAVKLYAELAEVAVNSFLISKDSPVIEPVRLHNGAEENKEALRKSKGEEDEDFQILEYQAQKGNAGAMYKVGLFYYFGLRGLRRDHTKALSWFLKAVEKGEPRSMELLGEIYARGAGVERNYTKALEWLTLASKQHLYSAYNGMGYLYVKGYGVDKKNYTKAKEYFEKAADNDEVGGHYNLGVMYLKGIGVKRDVKLACKFFIVAANHGQPKAFYQLAKIFHIGVGFKKNIPLATALYKLVAERGPWSSLSRWALESYLKGDVGKAFMLYSRMAEMGYEVAQSNAAWILDKYGERSMCMGESGFCTDAERHQHAHSLWWQASEQGNEHAALLIGDAYYYGRGTIRDYERAAEAYMHAKSQSNAQAMFNLGYMHEHGQGLPFDLHLAKRYYDEALQHDPAAKLPVTLALASLWVRKNYDGSLLVHVIDSLPEVYPKLEAWVEEVLLEEGNATILTLFACLLTVLYLRERQRRQVVAVPGEVAQPNHPNELDVPAPI >OIV94581 pep chromosome:LupAngTanjil_v1.0:LG17:5784648:5787742:-1 gene:TanjilG_25643 transcript:OIV94581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVMKCSKGEKFCDGTLIPYGNIELTPSAGILNYGQGVLEGLKAYRTEDMRILLFRPEENAQRIKIGAERMCMPSPSIEQFVNAINQTVLANKRWVPPVGQGSLYIRPLLMGTGSVLGLAPAHEYTLLIYTTPVKSYHSGPLKLVVKDELHRAIPGIGGTGGIKSITNYSPTYKAGISARAEGFSDVLYLDAATGKFIEEVSSCNIFVVKGNAISTPKAEGSILPGITRKSIIDIAIDLGYKVEERAVPVEELLMADEVFCTGTAVVVNPVLSVTYNKTNAIYRTGSGTVSQKLYETLVAIQTGHIEDTKGWTVQVN >OIV94398 pep chromosome:LupAngTanjil_v1.0:LG17:3654815:3657438:1 gene:TanjilG_25460 transcript:OIV94398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIPSTMLSLFVSFLFILVLFKTFIKLFVSKRQHLPLPPGSMGYPYIGETFQMYSQDPNVFFATKIKRYGSIFKSHILGCPCVMISSPEAAKFVLNKAHLFKPTFPASKERMLGKQAIFFHQGEYHANLRKLVLRTFMPESIKNIVPAIESIAQDCLKSWEGREIFTFLEMKTFTFNVALLSIFGKEEVMYREDLKRCYYTLEQGYNSMPINLPGTLFHKAMKARKELAQIVAQIISKRRQEKQEYKDLLGSFMEEKAGLTDEQIADNVIGVIFAARDTTASVLTWIVKYLGENPSVLQAVTEEQESILKSKEESGEEKGLNWEDAKKMQITSRVIQETLRVASILSFTFREAVEDVEYQGHLIPKGWKVLPLFRNIHHSPDNFKEPEKFDPSRFKAAPKANTFMPFGSGIHACPGNELAKLEMLVLLHHLTTKYRWSMEGAKNGIQYGPFALPQNGLPITLYHKK >OIV94505 pep chromosome:LupAngTanjil_v1.0:LG17:4925022:4928108:1 gene:TanjilG_25567 transcript:OIV94505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPRAKTVLITCGHELEHNTTIFVPNFVATMDKISEQMRNTGMGKAVTGTGPDTNYGLAQCYGDLSLLDCVLCYAEARTVLPQCFPYNAGRIYLDGCFMRSENYSFFNEYTGPGDRAVCDKTAKKDSRFQAAAKQAVLSAIQDAPNNNGYARGKVAVSGTANESAYVLADCWKTLDTRSCKACLQNASSSMLGCLPWSEGRALNTGCFMRYSDKDFLNKELKNGSSRGTVVVIVVAVISSVIVLVVGVGIAVYIRKQRYIQKKRRGSSDAEKLAKTLQHSSLNFKYSTLDKATGSFDETNKLGQGGFGTVYKGVLADGREIAIKRLYFNNRHRAADFYNEVNIISSVEHKNLVRLLGCSCSGPESLLVYEFLPNRSLDRFIFDKNKGRELNWEKRYEIIIGTAEGLVYLHENSKVRIIHRDIKASNILLDAKFRAKIADFGLARSLQEDKTHISTAIAGTLGYLAPEYLAHGQLTEKADIYSFGVVLLEIVTGRQNNRSKTSEYTDSLVTVTWKHFQSGTAEQLLDPNLGLHDDDHSGNVKNEILRVVHIGLLCTQEIPSLRPSISKALHMLTKREENLPAPSNPPFLDESTMELHDTSGDPFYLLNASDSIATMSHSSFYPR >OIV94100 pep chromosome:LupAngTanjil_v1.0:LG17:13792543:13795931:-1 gene:TanjilG_05480 transcript:OIV94100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLINELLDVALDDNHNHDSDDNNNWEQLDERPRSSWAEVVSGEQDHQTQQLDENNDDGWQTVGNKHSRKQHKIQRDSWNQYKLPSDEQQYSNEVEVGDRVEPSEDELTDLSRACEKLWDLDLNRLVPDKDYEIDCGEGKKAFRKGDMAQRNLFTWVNDDVFRKPTFGRFLSLLDNYIPHQGSEEVVTSEEIQEQASFIEEISRTAPIKYVHRYLASKGIVSGSYQDFKRRMTSLWFDLYGRSGTFGSSSAFEHVFVGEIKQNNEVSGFHNWLQFYLEEAKGRVDYQGYIFPRRSGEIPDSETQILTIQFEWNGVLKSVSSTLIGVSPEFEIALYTLCFYVGEENNYIQLGPYAVNIKCYHHGDKIGSVFPIADS >OIV94381 pep chromosome:LupAngTanjil_v1.0:LG17:3487829:3489796:-1 gene:TanjilG_25443 transcript:OIV94381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTWLTVDAVVEEIMRIHKSLPARPGIDEVEAAKTLIINVEREDQVRFEFIAKQNKGVEVPEELFMLLQEMQKNLVYFQSNEQKREAMKLLDLENVHSLFDELIQRASNCVSSSPSSSNLNSRKTSYPDGSTSKVSTSLSKNSVSASASRGGFDKLPPPAPAAASYSLFNAEKEPVKGSDLFTRDDSYVKTKSTFYSNSYGIQPNIPSKPQILDSSLKPTTTAGQVSDKLSLIKLASLIEVSAKKRSHDLKLQNKLMDQVDWLPDSIGKLSSLVTLDLSENRIMALPSTIGGLSSLTRLDLHSNRITELPDSIGNLLSVVYLDLRGNQLSSLPASFGKLARLEELDLSSNQLSVLPDTIGSLVSLKILNVETNDIEEIPHSIGNCSSLIELRADYNRLKALPEAVGKIHSLEVLSTRYNNIKQLPTTMSSLINLKELDVSFNELESVPESLCFATSLVKLVIGNNFADMRSLPKSIGNLEMLEELDISNNQIHVLPDSFRMLTRLRVLRVEENPLEVPPRHIAEKGAQAVVQYMAELVEKREKKDIKPQQVKQKKSWAQICFFSNSNKRKRDGVEPDFI >OIV94109 pep chromosome:LupAngTanjil_v1.0:LG17:12758047:12762875:-1 gene:TanjilG_29209 transcript:OIV94109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLGMNTFSITGWIGVESGACACILKMVVGDNGGDEGGACTSVLEIVVVGSCAYSCILKMVVGDNDGDDGGACTSVLEIVVVGSGAYACVLKMVVGENGGDGCGDCTSVVEIVVVGSGACVCVLKMMVGENAGGGGGSCTSVLEIVVVGSGACAYVLEMVVVASGAYACVHEMVVLESGVYACVPEMVVVESGECACVNEMVVVESGACRDSCEMVAEENGGGGGGACTSVLKIVVVGSGACSCVLEMVASDA >OIV94121 pep chromosome:LupAngTanjil_v1.0:LG17:12188891:12189728:-1 gene:TanjilG_29221 transcript:OIV94121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHSGVVTLGGKGSSLSSSPVFAVAASQSLVHIDSSTLDKLKTSPSSSTTTTITLPKFLTVTETRASQLILLNNLSSILTPLPFNSSPKPSITLTWTLLNRSTFRPAVFAANFFFRFATSLSCEALKADVTAFNLMDSGDGHSSKEEVGVAADLRVLLNGSKLAHSKTRVELNSSGSDGTEEAVSTVLLPLAAALRELGLCSFSRAKSNLEFVGSDDLKLRIREMFEKDCPNCDSLGSGFNKALTLVFGKDYDIVCA >OIV94840 pep chromosome:LupAngTanjil_v1.0:LG17:1295163:1298013:-1 gene:TanjilG_22037 transcript:OIV94840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHSHLLPSKRQGENLSDDGASATKPARLKISIPSDDTEKKNVNKRLKDVEICVPIVYGTIAFYLGRKASESQSHKWTVYVRGASNEDLGAVIKKVVFQLHPSFNNPTRVIESPPFELSECGWGEFEIHITLFLQNDACDKQLDLYHHLKLYPEDESGPQSTKKPVVVESYNEIVFPEPSDGFLARVLNHPAVIVPRLPAGLNLPSPMPIDTMNDKERGDTKDNPLNQWFLNFSEADELLKLAAARQQVQAHIVKLRRQLSLVEGLPQQQKPLSGYEAT >OIV94784 pep chromosome:LupAngTanjil_v1.0:LG17:1744476:1745112:-1 gene:TanjilG_12997 transcript:OIV94784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDEDSLPTTTTKKEHSDSVMFGKGRYKFWALAAILILALWSMFTGTVSLRWSGNLNSLTNDIDTPIHNDLDVLEMEEREKVVRHMWDVYTNSRRIRLPRFWQEAFEAAYEELTSDVAGVRDDAINEIANMSVRSFHFDPPPIQSANLLNNP >OIV94866 pep chromosome:LupAngTanjil_v1.0:LG17:1091276:1102038:-1 gene:TanjilG_22063 transcript:OIV94866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTETNGNGFIYLHGDLHLQIIEARRLPNMDLMSERFRRCITACDTINIPSSSDSASKHDGADSRSHRHRRKIITSDPYVAVTVPQATVARTRVIKNAQNPRWDETFNIPLAHPVEFLEFRVKDDDVFGAQSIGAVKIPAKDIASGKLISGWFPLLGGNDKPPKPDTAIRIEMQFTAVSENPLYHNGIAADPEHRGVRHTYFPVRKGSSVRLYQDAQCSDGMLEEIKLENGNVYKQGKCWEDICYAISEAHHMVYLVGWSIYHKIKLVREPTRPLPRGGDLTLGELLKYKSEEGVRVLLLVWDDKTSHDKLFFKSTGVMQTHDEETKKFFKHSSVMCVLAPRYASSKLSFLKQQASFGGLDLCDGRYDTPEHRLFRDLDTVFLGDFHNPTFPAGTKVPRQPWHDLHCRIDGPAAYDVLLNFEQRWRKSTKWREIAFIFKKVSHWHDDALIRIGRISWILSPSVPTPTSRNTYTIVPEDDPLVWVSSEDDPENWHVQIFRSIDSGSLKGFPKVVDVARSQNLICAKDLVIDKSIQTAYIQAIRSAQHFIYIENQYFIGSSYAWPSYKDAGADNLIPMELALKIASKIKAKERFAVYIVLPMWPEGDPKSGAMQEILFWQSQTMQTMYNTIAKELKSMQLTDVHPQDYLNFYCLGNREEFNEESSSTNGSQVSGAYKCRRFMIYVHAKGMIVDDEYVIVGSANINQRSMAGTKDTEIAMGAYQPHYTWSAKKRHPFGQIYGYRMSLWREHLGMLEETFEEPERLECVRKVNEIAEDNWRRYSSEEFSLLQGHILKYPVQVDSDGQISSLPDCENFPDAGGIQGPLLHGELSQGRGQGLDLGLGLDRDLFQDKGLGPVPEAVAVPDTSSFLCTFLSFVEWLFSSHPDQEKEVQKGWTEVHNPGNTLYVTGLSSRVTERELEEHFAKEGKVASCFLVVEPRTRISRGFAFITMDTVEGANRCVKYLNQSVLEGRYITVEKSRRKRPRTPTPGHYLGLKNTREYGEFKICMGIVVTMEIVETMEGIEVDLVVMIIHTVGLQGVHHIEGAGIIHQGTLPMLEGLGGKDPDHLILHMLAQTGGMLVDLGDIDVGLFLSLK >OIV94552 pep chromosome:LupAngTanjil_v1.0:LG17:5481742:5483947:-1 gene:TanjilG_25614 transcript:OIV94552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPQQPNPFTIFIFFLLLAPFPTPTYQTPTCSSTNLKTPCPPFSSTPPFPFSSSQGCGHPSFQLKCSTPHSIISINNISFSLLTYNPNTSSILISPHNNNNNNNKNNKNKTTCSSSTHFLSIPNKPINFSNSPFTISDSSCSRLSFLQPCSPPTLPNCSHCPWECHLIKNPNHLLHDCGSMHHSASDSEPSCQSDVLGYLDKMLALLGIQVEWDEAKDPYFIKCRDCKSNKGLCGFNSSNPDKQFLCFHSQSTISPLWIGKVKPNKKAVLSLVIVLTSLLLIVSVVTALFRYKRLRFKQTPSSEEDPTTIFLHNQRSLSLLPPTFTYEELDSSTNHFDPKRKIGDGGFGSVYLGHLRDGRLVAVKYLHRPNTTGKAFSNKSFCNEIFILSSLNHPNLVKLHGYCSDPRGLLLVYDYVPNGTLADHVHGTMKLHSLTWQVRLDIALQIAMAMEYLHFSVVPPIVHRDITSSNIFIEKDMRIKVGDFGLSRLLVMQENSSSSNGYVWTGPQGTPGYLDPEYHRSFRLTEKSDVYSFGVVLLELISGLRAVDQKRDKREVGLADMVVSRIQMGQLDRVVDPVLKREEEAVVQNVAAVAELAFRCVAADKDDRPDSKEVVLELKRVQSRVSERV >OIV94235 pep chromosome:LupAngTanjil_v1.0:LG17:9491001:9493010:1 gene:TanjilG_08533 transcript:OIV94235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVGKYSIVNPNEGYPLPDSHRVTVRVTSSFGNNYHYGDKVQSGQFAFLAAESGDYMTCFWATEHDPKATLTIDFEWKSGVAAKDWSNVAKKGQVDVMELEIKKLYETVTDIHDEMFYLREREEEMQALNQTTNDRMFWLSFLSLFVCLSVAGLQLWHLKGFFEKKKLI >OIV94012 pep chromosome:LupAngTanjil_v1.0:LG17:15944646:15945243:1 gene:TanjilG_07560 transcript:OIV94012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFRFEASLLTMQQDESLSKRAHDAPETHYITSHARLGFGTYPSIMESQIREKSCMDGKQDNNINA >OIV93840 pep chromosome:LupAngTanjil_v1.0:LG17:20838625:20839617:-1 gene:TanjilG_03803 transcript:OIV93840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAGGDGGVSGDGGVSGGNASSPHQYFCHQCNRTVSIIPSSDLTCPDCNSGFIEEIENPIPQHHHHQHQHQHQPFSFTYELGGDDLPSIFAGRSPFGDGDAFNPLAFLQNYIQTLRAGGSNVQFVVDNNASTNLNLGDYFFGPGLEQLIQQLAENDPNRYGTPPASKSAIEGLPNVAVTEELLASDLSQCAVCKDTFELEEIAKQLPCKHIYHSDCIMPWLELHNSCPVCRYELPTDDPEYEQRGQGSGGGGGGGVQLEQNAGDSSQLQLQSPRELRFRVLPWPFRQFAGDTSNVGTGNDDNNNTGGGSNPGSRGNQNFQSETREEDLD >OIV94058 pep chromosome:LupAngTanjil_v1.0:LG17:14016294:14025934:-1 gene:TanjilG_06358 transcript:OIV94058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSNRRAKPSSSSSSTAAAAAAPKPALDDPISKSSADSPQQNPNPNSGSDEADWSCCTEEQMEEFLVLKLEFIYNQAISKLVDLGYSEDIALKAVLSNGHCYGGMDLLDNILSNSLQALDSSKGDTKESNAVFSDLMQLEKYALTGLVCLLQQLRPNMSKGHALWCLLVSDLHIGKASTMEIPVPDIGYTDPDPDVLASSAAVASDASSHGSSGGTEINLKLQREIEFPKRFNLSPSMMSLLKKNAAMFAAGYRANSKRSQTLPAKDTSGSSIAMSNLESTAVSGDPIEKSSVGQCPDDQDIIKDLVSKLCDLSLREKGVVAKDQRDQVIINLLYRIKDLEKQVEERKEWAQQKAVQAARKLTSDMTELKTLRMAKEEVQRLKQGKQSIDDATMKKLSEMESGLRHASVEVDRANVAVRTLEQENAELKAEMEASKLSASETTKACKEVAKREKKLLKKLQTWEKQKAKLEKEIADEKVKILQTQEELDQIRQSQKNAEIKWKEEMEAKEKAVGLVEEVRRSKEADETNNKRRLEALRVRIEIDFQRYKDDVLRLEQELSRLQSCVKSPKLQSNASSGNKSKGPETETIAKLLQELENLEGFSEKNEVNGGRECIVCKKGAGATYMRFFPYVKKNYDYGVVIFLLTFNLITVSSYRVENVLKIAHDRFYTIAIGCAICLFMSLLIFPNWSGEDLHSSTAFKLEGLAKSIEACVNEYFYGEVDAYGDRKSCEDPIYKGYKAVLDSKSTDETLQYVKVGTVLRQFGYTVVALHGCLRTEIQTPGTVRVMFKDPCVRLASEVSKVLIELANSIRNRRHCSPEILSDHLHEALQDLNDAIKSQPRLFLGSNNSQANNMLAIAAAQAGQKTHGKTSLSSVKTDSSALFEWKMKRVPSERSKETEKKVLRPQLSKIAITSLEFSEALPFAAFASLLVETVAKLDLVIEEVEELERLACFKEYRPGDEVVVRCEKPQVDVLENHLPSHGVE >OIV94979 pep chromosome:LupAngTanjil_v1.0:LG17:264925:270885:1 gene:TanjilG_22176 transcript:OIV94979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLESGTCNVCSAPCSSCLHLNHALKRPKDEEFSDENCRLGEANNNQCSMDVGNASSLRSRACEISQHAISETSNILGITSSHDSLSENIESRQTLTNKYKDSKSLEGHDENTSCISGASDIVNNSHTNKDVGSIESLYVQSRSDKSLSGDPSLMRRERDLCSHIPERSECSIENFISSSTKERESIVDSGEKCIANKDSLVDCTAKVSTKVCPKSEADRDEDVCGVKDTDRNTSAHDKQHEKTEELVKSPGLQEPQSEHESDKTDLMEPDIDVQLCDICGDAGREYQLAICSRCSDGAEHIYCMRVMLEKVPEGDWLCEECQYAVETEKQRLDVEEKKPPKVSSTSQFSGKRISENTDVTPVAKRQALESSTGSPKMSSPRKLAQLSRDSSFNSLDKGKVKPCHQMPVRNHPGGRDKEIARSLSIGPRGQPSKSTLLKSSSFNISNSKPRAKHLDEVAPPKPKGGGEHTSKNMEMPARMTSKSTLFKSPSLGRSNATESKVKMISPTKSATSQELKGSRLSKESGAFDRKLPSRIERPKACSSMASSVVSTPKGDQKLTPRGETTKSSAVNNKRDLKADLEGKFSTLTKSTSNISRKSLEPQVSSETTSTIVDEALQEVLPRSRETVNRDEKTKDSSHVAPAVTTASKRSSCQKFKEFDHSPEGCTADSAHEPGAEVSVTALSNSKKEMHKGNKLKAAIQSGLLRRPEIYKKKEVLNQINELSTSGIDPNYEVSSQDKVLVSDMLKNSISVDEIHEQQERPENSTSGSSKCLSANDLKQLNSFPTGFCSKDESNSVRLASGKPLVRDVSDKALAISSVLSRMSAIPDYEYIWQGVFEVLRNGKPPNSCNGIQAHLSSCASPKVLEVVNKFLPKVPLHEVSRLSTWPSQFHQGGATEYNIALYFFAQDIESYERHYKGLLDRMIRNDLALKGIFDGVELLIFPSNQLPENSQRWNMLFFLWGVFRGRRPDHLDSAKKNCIPNLSAVPAEEDSTAVVTLSGMQCSPKPKDEVSIVCDKASSEFPSSTPVDQGQSTVHRNIDINYPANLGSQGNLVKQSRINSQSTSAVPTVSSRSVETKTGCDISVNEERGAASNIGWDKISINSDEDQQSPKRKQKGNCHFIDLEVTIGDEGGAASNSVEDKISVRMDCDEDQQMLERKQKDYRCIDLEATIDDQEEGAASNIGKDKNPDKMESNKDQGWPKRKQKENAHYIDLEATLQEDLTVEEVNCLLPNDKVDTIMQASAIRYQKVPWNVVNSKSDDGGSSSKKLKTDLGGIYGGCSVGGRDSFNDYFTSLANDLGSCSSVEDRGCKVACDEKIICEDLGAMERNFFPVSGSQLDLNSEYQDDEFQHRFPNLDLALGNKIEPPPPKGMLPFLVGAVDKKNSQEKHPNVSADAHEDDDGGAASLSLSLAFPSPNKEHKEAATKAEVLPDGNHVNTSPPFRLFGRFTGK >OIV94090 pep chromosome:LupAngTanjil_v1.0:LG17:13589651:13591961:-1 gene:TanjilG_05470 transcript:OIV94090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLVPSVTELILAEFLYLQYEDAEKPIYLYINSTGTTKGGEKLGYETEAFAIYDVMRYVKPPIFTLCVGNAWGEAALLLAAGAKGNRSALPSSTIMIRQPIGRFQGQATDVNLARREVNNVKTELVNLYAKHMEKTPEQIEADIQRPKYFSPSEAVEYGIIDKVIYNERGHEDRGVVSDLKKAQLI >OIV94611 pep chromosome:LupAngTanjil_v1.0:LG17:3149923:3152163:1 gene:TanjilG_25835 transcript:OIV94611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTAELLSIEPHELKFVFELKKQISSSLQLSNNTDSYVAFKVKTTNPKKYCVRPNTGIVLPRSTCDVIVTMQAQKEAPPDLVCKDKFLLQSVKTHDGAAAKDISAEMFNKALGHVVEESKLRVVYVAPPQPPSPVAEGSEEGSPPRDSVSENGNVHGADSATVARAFTERHEAPEKSAEAKALISRLTDEKNNAIQQSNKLRQELNMMAMQSASDPCSHTT >OIV94386 pep chromosome:LupAngTanjil_v1.0:LG17:3517613:3523413:-1 gene:TanjilG_25448 transcript:OIV94386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDSKVNEEGGQGMPMTMEESHQLVDAEVQVEPEAPSSKDALTRVETGKADPPSEDEIVKPEKADLIAEDENVKPGKAEPPVEVNSQKPVKAQPPIDDKSVNPGNVKASAEDDIEQPVLAELVVEDKSLNAGKEELPTEDNSVKPGKVEVPAEENRVEPGGVLGSIPQQDAQNTLHSAPVELDAQISNKIEGEETSNAKTNADKFPQSNTDIKNDDNSCQNNVDAAETAAEVMPEAREVVDSKPCDNMDIILSSHNEPTPLQTVPADTKSEIKNELQVANKNNEKLAVELAVNGNSNSKQISFLNADHCYDGNESGTDEEQSAFMKELENFFRERSMEFKPPKFYGEGLNCLKLWRSVIRLGGYDKVTSCKLWRQVGESFKPPKTCTTVSWTFRGFYEKALLDYERHKINGGELDMPIAAASHPEPVNIENQASASGRARRDAAARAMQGWHSQRLLGNGEVSDPIIKDRNYASMQKREKQLKSINLLKRKKPSYMDNAVKAARSKPSKPQLDTAVVDVGPPADWVKINVQKTKDCFEVYALVPGLLREEVHVQSDPAGRLVISGEPENPDNPWGVTPFKKVVSLPSRIDPHQTSAVVTLHGQLFVRAPFEQAE >OIV94162 pep chromosome:LupAngTanjil_v1.0:LG17:11381348:11389942:-1 gene:TanjilG_03612 transcript:OIV94162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIEEEEENVSLHSPLVHITVEDRLKVDTLQPHKDKRIERKEIYEEVRKQLWLAGPLVSVSLLNYCIQIISVMFVGHLGQLALSAASMATSFASVTGFSLLVGMASALDTLCGQSYGAKQHSMLGIHMQRAMLILMIFSIPLAIIWANTRSILIALGQDPEISAEAGKYSQLMIPSIFAYGLLQCLNRFLQSQNIVFPMMLSSGVTTLLHIIICWFMVFKSGLGYRGAAISNAVSSWINVSILALYVKFSPSCFKTWTGFSKEAMHYIPSFLRLAIPSAIMVCLEMWSFEMMVLLSGLLPNPKLETSVLSICLNTSSTIWMIPFGLSGAVSTRVSNELGAGNPRAARLAVYFIFAMATIEGIFIAAVMILIRNIWGYAYSNEVEVVKYVAIMLPILAASIFLDGIQCVLSGMARGCGRQKIGALINLGSYYLIGIPSSILFAFVFHIGGKESVKEKENMRIEREEVMEEVKRQLWLALPLSSVGILQYSLQTISVMFVGHLGTLPLSGASIATSFASVTGFTLLMGLASALDTFCGQSYGAGQYHMLGIHMQRSMLVVSVISVFLAIIWANTEPILVAMHQDKAISKEAGSYALYMIPSLFAYGLLQCILKFLQTQNIVFPMVLTSGITTLAHILLCWFLVFKSSLGTRGAALSNSISYWLNLLLISLYVKLSPSCKQSWTGFSWMTLNNVLDFLKLAVPSALMLCMKAWTFELMVLLSGLLPNPALETSVLSICLNTFGLAWMIPFGFTAAISTRVSNELGAGNPQAASLAVRVVLSIAVIEGVLLVSTMILLRNAWGHVYSNDKEVIKYVSTMMPTMAMSSFLDGIQSTLSGILVGCGWQKIGAYVNLGSFYLVGVPCAVTLAFVAHMKAKGLWLGIISAFIVQVIFYIAITIRTIWEEEARKAQSRVDNSTISATTIHRALL >OIV93956 pep chromosome:LupAngTanjil_v1.0:LG17:18934073:18936090:1 gene:TanjilG_05659 transcript:OIV93956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQVRAAKLTEEGLEGKIIIDRLRAWTNLDIPKAVSERRGEVRIRSVRILIGLGLTWFTRYWFPEELISPLAKPFLTLSLDSYFVCTQSTEASPTYVATSSIACSYFVFPLISHQIWCFLIPSCYGEQRNKYNRFLYLSGSRFSFFLFLTLPRVVPNVWYFLYFVGATSTNSLMIKLQPKIYDHIMLTVRISFIPSVCSQVPVIFICLPEPRGLSVETFTNNRRFLMVFPLLTAALSTPPDIWCQIVAPFLISSIIELTIFVASIVQVREEAGRVE >OIV94534 pep chromosome:LupAngTanjil_v1.0:LG17:5252385:5252699:1 gene:TanjilG_25596 transcript:OIV94534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVCQPEKMKKNKKLSTCSSPLTTAKSDSDAGNHVGVVIGEEEEVLLRGVTIVTSLLALWRLHHHDQAASKQRRTPPTDETIKRPLPSQNHITTHYWKCFEHDY >OIV94710 pep chromosome:LupAngTanjil_v1.0:LG17:2088107:2092100:-1 gene:TanjilG_06173 transcript:OIV94710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLCISKPSSNPTPLQRNSESHSTTVNGDNPIEEPDNAKKSPLFPFYTPSPANYFFSKKSPANSTSNSTPGRFFKRPFPPPSPAKHIRAVLARRHGSVKPNEATIVEGNEADAVATALDKSFGFSKHFVKKYEVGSEIGRGHFGYTCVAKFKKGVMKGQQVAVKVIPKAKMTTAIAIEDVRREVKILRALTGHKNLVQFYDAYEDHDNVYIVMELCEGGELLDRILSRGGKYTEEDAKFVLRQILDVVAFCHLQGVVHRDLKPENFLFTSKDENSELKAIDFGLSDFVKPNERLNDIVGSAYYVAPEVLYRAYSTEADIWSIGVIAYILLCGSRPFWAQTESGIFHAVVKANPSFDEPPWPSLSSEAKDFVKKLLNKDPRRRMTAAQALNHPWIKNYKDVKVPLDILIFKLMKAYVHSSSLRKAALRALSKTLTVDELFYMKEQFALLEPNKNGTVSLENIEAALMKNATDAMKETRIPDLLASLNALQHRRMDFDEFCAAALSVHQLEALDRWEQHARCAYELFEKDGNRAIVIEELASELCLGPSVPVHSVLHDWIRHTDGKLSFLGFVKLLHGPSRSLSKAQ >OIV94783 pep chromosome:LupAngTanjil_v1.0:LG17:1751266:1753018:-1 gene:TanjilG_12996 transcript:OIV94783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQATVKSSLRRLCPNIDKEDGLETVLEIPIPEEMFSSMGNNVTLRLQNMLTWMKGQTEDKLSSPAVAARLNELRFLLYLVGSPLIPLQEASTAKYIVQQYIAATGGQPALNAVDSMCVTGQVKISASDFHHTSESIEVKKTSEEIGGFVLWQKDPNLWCLELVVSGCKVCCGSNGKVSWRHSSNQQTPISRGAPRPLRRFLQGLDPRATANLFLDAACIGEKIINDEECFILKLETSPAIREAQSGPNFEIIHHTIWGYFSQRSGLLIQFEDSRLLTMRTKDDNDIFWETSLESVMEDYKYVDGINVSHSGRTRVTVSRYGEQSANHKRELEERWKIEDVDFNIWGLTAESFLPPSGLEKTRHINL >OIV94661 pep chromosome:LupAngTanjil_v1.0:LG17:2802451:2803674:1 gene:TanjilG_25885 transcript:OIV94661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLSLKFNHGDEDSTQIMSAKVPISIFNYPFISAITSTTTTTNSPSDFSFSLSTNFPSAPSFKLSYSPTSTSSLPFSLSLKSGLGLFGSPRQSPLLFSVNFSLSPSSYNPVPTFSLHFKPQFGHFSLNKTVLSNPDTLPDPKSFPIDNNNNNGEIGNGFVADGSSSVWRELKLEPFVGRDRNSNTHEVNSDDGGNGSIPERSLVGINKEKCGLSPGVAVMARTHMPVTKGFMLNLRWGLNFPGNSGLKMPYLTVNKIGLERVSEEVKQNVDKQRLDASGTDLQLLKGMCSWMKRDLEIVEKENREMKRVLEDMKMGVSTRNHSHEGRKLSQHSGESSSKFEHWRSNKSGREENEHRQHKGECSSEFDSWRSKKSGREENGQKQPNKSQILASDVESELQKAIMAASS >OIV94462 pep chromosome:LupAngTanjil_v1.0:LG17:4364113:4365485:-1 gene:TanjilG_25524 transcript:OIV94462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSQLGFLEELLAPRRETWNALSSGMSELFPSGWNIDSFDESPYLSTLSPSFAAFSTPIDHRFECPYGNEALYPFLDGFTMPELGSSYTRNHDPAPLLPQEGNPSMHDEELGFLGNGNLGLEERNDGCKVEEQASEIPVFNMGICGEKKTKYKKVEGQPSKNLMAERRRRKRLNDRLSMLRSIVPKISKMDRTSILGDAIDYMKDLLERIGKLQEEEMEEGKIQINHLDISKEQKPNEGMVRNAPKFDVERREKDTKISICCATKPGLLLSTVNTLEALGLEIHQCVISSFNDFSLQASCSEAAEQRNCISPEDIKQALFRNAGYGGRFL >OIV94685 pep chromosome:LupAngTanjil_v1.0:LG17:2559976:2567174:-1 gene:TanjilG_25909 transcript:OIV94685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATSSNPPVSLQTQEKTWLSRIPSSHKLLNYGTRLKNRRLGCGCGFRLEGSRKREFFVRGCWCGPDDYGVTEEDQEFVKVLSEAQPYIAVHRDRVFVLVISAEIVASPCLDLILKCNVFNEGVNENVDEESYNSVKDKQFVRWFREAWPYLWAYRDGTFVVIISGEIVASPYLDPILKDIAFLHHLGIRFVLVPGTHVQIDNLLNERGSQPKYVGRYRITDDESLAAAMEAAGGIRLMIEAKLSPGPSICNVRRHGDNSRWHEVGVSVASGNFLAAKKRGVVNGIDFGSTGEVKKVDASRMRERLDGGCVVILSNLGYSSSGEVLNCNTYEVATACALAIGADKLICIIDGPILDESGRLIHFLPLQEADMLIRKRAAQSEIAAKYVKAVDEEILNSLEHNHFSGIVQPPPNAKPSTDWNNVTFHNGVGFDNGNGLGSGEQGFAIGGQERLSRMNGYLSELAAAAFVCRGGVQRVHLLDGTISGVLLLELFKRDGMGTMVASDLYEGTRMAQVKDISGIKQIMQPLEASGILVKRTDEELLKSLDNFVVMEREGQIIACAALFPFFEEKCGEVAAIAVSPDCRGQGQGDKLLDYMEKKASTLRLNMLFLLTTRTADCDILPPDQQLL >OIV94362 pep chromosome:LupAngTanjil_v1.0:LG17:3323198:3328220:1 gene:TanjilG_25424 transcript:OIV94362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMEEDDMEIENHVFVAHEIDLDYEFDAARFFDFCVEETSSQAGEAELWFETAESYPPSPSVAKLVLRKENVNVPLGMGFSNTVFHNDVNGMTFSSKTINDGLNLKAKSAAKKGSTLLKPTASQLAKQNRPTQKVDSRLKKLPAQNKEMNLPISSGVENQATKRQKLEGGLLCKVGDVKQQTDFVHKAPKKVVNVEQNARHSKLRITIPREPDLETAHRALRTRPKNAAEADIVTVAASRFKARPLNRKILNAPTLPLPKRSTPRLPAFQEFHLKTSERAMQHTYATSSSSLHCNEFDEGLDKHHVVSSPEKRTKDLRRPSSIGAPKHDRLDFAYSFKARPLDKKILSSRGDIGVFRNRKLETTVPTEFNFHTEKRVQHNPPIDLFSKLSLTSDVQSNNASQLKLPQHSRAYRQGLGNSVTANVGQVDVRWRPS >OIV94664 pep chromosome:LupAngTanjil_v1.0:LG17:2766951:2768556:-1 gene:TanjilG_25888 transcript:OIV94664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVPLAPYPTTPAPYSPTTNGGQSQLVCSGCRIVLMYPIGATSVCCAVCKAVTAVPPPGTEMAQLVCGGCHTLLMYIRGATSVQCSCCHTVNLALEANQVAHVNCGNCKMLLMYQYGARSVKCAVCSHVTSIGASASTIEQKLST >OIV93895 pep chromosome:LupAngTanjil_v1.0:LG17:17629758:17635085:1 gene:TanjilG_05598 transcript:OIV93895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLSFKCFTHMLLITFLIWSSSNFETCIARRGKHWTKKRDINASLLNKNRKNGHNKEHGGGRKSKNPSHISTPSSPRIPPPQEEDSSKIQPPKDYNDGYSTTFNVLDFGAKGQGNTDDTKAFESAWAEACKVEASTILIPADYIFYVGPTSFSGLYCKPNIIFQVDGTIIAPTNPDAWGKGLLQWLDFTKLVGFTIQGNGIIDGRGSVWWEDHQFDVPIDDQQKLLVPINHTIGIPPIMIQSEMGRKMPYIKPTAIRFYGSIGPTVTGITIQNSPQCHLKFDNCNGVLVHDVTISSPGDSPNTDGIHLQNSKNVSIHSSTLGCGDDCISIQTGCSNVYVHNVNCGPGHGISIGSLGKDNTRACVSNITVRDINMHNTMNGVRIKTWQGGSGLVQGVLFSNIQLSEVQFPIVIDQFYCDKRTCANQTSAVALAGINYEKITGTYTVKPVHFACSDSLPCVDVSLTTIELKPVQEQYHLYDPFCWKTYGELKTTTVPPIACLQIGKPSRNRIQTNHDLC >OIV94004 pep chromosome:LupAngTanjil_v1.0:LG17:15634733:15637286:-1 gene:TanjilG_07552 transcript:OIV94004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDSPPRLKIIPDHFQVPVSSIESPENRTPTITEPGTDQSSSSRSSFHLRTRRKLRKAFMLNLFTLRGLPWGSSTEGQEKVELTAAEVESLQSEVADIEEREAHLKAQLEHIDEVLRSARLSGYLSIRTRWATLPGEPPPIDDIEVDDWIPRFVVLHGACIFLYFLCTDLSPQDSTLLSDIVEVGRLPSIKREEHEIQYVFYILTRHGLRYECSSNSKIQVDAWFSALQTDCKLESDTSVPNGSTTE >OIV94177 pep chromosome:LupAngTanjil_v1.0:LG17:10762942:10764676:-1 gene:TanjilG_13794 transcript:OIV94177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNTPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDTLMKILTERGYSFTTSAEREIVRDMKEKLAYIALDFEQELETSKTSSAVEKSYELPDGQVITIGAERFRCPEVLFQPSMIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEISALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPSIVHRKCF >OIV93797 pep chromosome:LupAngTanjil_v1.0:LG17:20432090:20442218:1 gene:TanjilG_03760 transcript:OIV93797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRRSPRLASNHSECERICRSKSAHKIATHSNTKFDVRHLRQSPRINLYNASKECVEQLNVKSYGGTKLRRSPRLSASSENGNLEVNIIQEKRASKLFHQKKQSKMISTSIELYHSPIEQHSEVQTISRNSSPRVENKCLILSITPQSCMEENGTVSPDFDFTGSDDKPPRKKNKTIKMDQKRIPSFIGDPIPDDEAQRRWGWRYELKEKKCKDKKFKINEDEEDEIIVNVKSHYAQVEIGNCIFSLGDCAFIKGEGEVKHVGQIVEFFQTTDSQNYFRVRWFYRIQDTVVQDEGGFHDKRRLFYSSIMNDNLIDCIIAKVNVTHLRSRVGLKLASISPSDFYYDMGYSVDYSTFHSIPTDNPVENNELTHDTVHETLSLEASKNTNSLPSSESDKTELALLDLYSGCGGMSTGLCYGAKVSSVNLVTRWAVDSDRSASESLKLNHPDTHVRNESAEDFLELLKEWEKLCKRYKVSDAERKFPLRKRKSLEVGKEQVNSQSHDDIPDDDELEVSRFVDICYGDPNETRKRGVYLKVHWKGYSSSEDTWEPIENLSKCKDAMHDFVREGVKSKILPHPGDVDVICGGPPCQGISGYNRFRNTVSPLDDERNRQIVVFMDIVKYLKPKYVLMENVVDILKFDKGSLGRYALSRLVHMNYQARLGIVAAGCYGLPQFRLRVFLWGAHPSEILPQFPLPTHDVVVKYWPPMEFERNVVAYDEDQPRDLEKAAFIQDAISDLPAVANSETRDEMSYQNNPETELQRYIRSTKYEMTGLALNGTTEERSLLYDHRPYFLFEDDYQRVCQIPKRKGANFRDLPGVVVGADNVARRQSTEKLLLPSGKPLVPDYVFTFEQGKSKRPFARLWWDETVPTALTFPSCHNQVILHPEQDRILTVREFARLQGFPDYYRFYGTVKERYCQIGNAVCVSVSRALGYALGMAYRKVSGNEPLMKLPPKFSHSNYLQLSSSSYTESNPSVDPAHSENFIAPSDYQLGPNPSAEDPTHSGNFIAPSNNQFGSNPSTEDRTHSESFIASSDNKLQSNPSVEDLTRSGSFIAPSDNKLQSHPFVEDPAHSGNFIAPSGYQLGSIPSEEDLRYRESFIAPSDHQLGSNPSEEDLRHSRSFTTPSDHQLGSHPSVEDPTHTWSFIAPSGYQLGSNLSEEDLRHRESFIAPFDHQLGSIPSEEDLGHRESFIAPSDHRLGSNPSEEDLGHSESFTAPSNHQLGSNPSEEDLGHSESFTAPSDHQLGSNPSEEDRRPSESFTAPSNHQLGSNPSEEDLGHSESFKAPPDHQLGSNPSEEDLGHSESFTALSDHQLLVQPFTGRPHT >OIV94104 pep chromosome:LupAngTanjil_v1.0:LG17:13834921:13836278:1 gene:TanjilG_05484 transcript:OIV94104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVQAQYPSNLLFLNRNGEEGNDYSSLQQPQSKGGILNQSHSLHNKGNMNGGDNSNSVKRGREAIIANTTPNNIMNPLFSMQSHPPQLIDLSQLHNQHQNVVSTGLGLSFDQQQQQRLQLQQQQQLHGCHSSSYLSLLPEGFSTHMKRQRDEIDQFLHAQGDELQRTLAEKRQKHCRALSRAAAEVVARLLREKEAEMEKATRQNAELEAHAAQLSAEAQVWQAKARAQEAAAASLQAQLQQTMMAFGAGGCHGGDDGGPGLSCAIDEGQAEDAESGYIDPDRVEVIAPVAAARAKCRGCGKRVASVVVLPCRHLCMCAECDTHFKACPVCLILKNSTIEVFIS >OIV94031 pep chromosome:LupAngTanjil_v1.0:LG17:14190216:14192032:1 gene:TanjilG_14278 transcript:OIV94031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVPLAPYPTPPGTSTNGAQSQLVCSGCRNLLLYPVVATSVCCSVCNAVTAVPPPGTEMAQLVCGGCHTLLMYIRGATSVQCSCCHTINLALEANQVAHVNCGNCRMLLMYQYGARSVKCAVCNFVTSVGASASTTEQKLST >OIV94081 pep chromosome:LupAngTanjil_v1.0:LG17:13302128:13304951:-1 gene:TanjilG_05461 transcript:OIV94081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPSTNSERTQESEKKSCSTVYLNVYDLTPANNYLYLFGVGIFHSGIEVHGMEYGFGAHEYPTSGVFEVEPRSCPGFIFRRSVLLGTTDMSHSEFRSFMERLSSKYHGDTYHLIAKNCNHFTDEVCQQLTGKPIPAWVNRLARVGSFCNCLLPENLQVSAVRHLPEHVAYSDDEGSESDASVSDDSEEERSTHHFLTPTNGDVAFIHEKPVTLARDLL >OIV93799 pep chromosome:LupAngTanjil_v1.0:LG17:20467051:20473167:-1 gene:TanjilG_03762 transcript:OIV93799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYVLVSGGVVSGLGKGVTASSIGLILKASGLRVTAIKIDPYFNTDAGTMSPFQHGEVFVLDDGAEVDLDLGNYERFMDIKLTSDNNITSGKIYQFVIDKERRGDYLGKTVQVVPHITDAIQEWIERVAHIPVDGKPGPADVCVIELGGTIGDIESMPFIQALGQFSYRVGSGNFCLVHVSLVPVVNVVGEQKTKPTQHSVRRLRGQGLTPHILACRSTTSIVFQALDENAKGKLSQFCMIRAENIVTLYDVPNIWHIPLLLRDQKAHEAIFKVLNLNGVAREPSLEEWTCRAEAYDLLHEPVRIALVGKYTDLSDAYLSILKALVHASVDCQKKLFVDWILATNLEDATAKENPDAYKAAWKLLKGADGVLIPGGFGDRGVQGKIIAAKYARENRIPFLGICLGMQIAVVEFTRSVLGVHDANSTEFDPNAKSPVVIFMPEGSKTHMGGTMRLGSRRTFFQTKDCKSAKLYGCKGFIDERHRHRYEVNPDFVVRLENAGLSFTGKDETGKRMEIVELPNHPYFIGVQFHPEFKSRPGKPSPLFLGLIAAACGQLDTVLQHTSNLDSCGLSKAVGTDVSTIKAYNKKAVMKPAYRPEYVYGSLNGYHF >OIV94234 pep chromosome:LupAngTanjil_v1.0:LG17:9483080:9483415:1 gene:TanjilG_08532 transcript:OIV94234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREIEVNLVTVQFVEEGSSEEEQNHEGTFTMVGTTPAVMEFVGEVPREDEFRGGDFHGELHDENENSHGNRARRRRELHTEGEFRGGEEFRAGGITGMSFVVVSAVVRSSG >OIV94296 pep chromosome:LupAngTanjil_v1.0:LG17:7093450:7096936:-1 gene:TanjilG_25665 transcript:OIV94296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDSFCTFHSTTPNPTLNSLEAPRIMAISSMVQVPYCICSHCNHLLNFNTHVDQGTSNTGFNVQSHQSTRWSPTPVQLLVLEELYRQGTKTPSSEQIQQIASQLCQFGKIEGKNVFYWFQNHKARERQKRRRLEMNETASTEGLKGTGCEVKETKKWASTSNCSGHAKESAALDIAEKGSNWWTQFEDRSIHVLRTDIAEKPAKCPNMEKPCLIHITTASTSYVPTYTTQNTQLLTPPNYNLPVVLNMERFNYYDDEENAYPRTLKLFPLKSDDQDGISFSEMKSRFYATNAASMDTEITSSNQFFEFLPLRN >OIV93860 pep chromosome:LupAngTanjil_v1.0:LG17:20067140:20069592:1 gene:TanjilG_06778 transcript:OIV93860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKLHRMLASSRHGLLDKAISFNKPSVTHHFSTSSHATGYNSNRILAPYSVYKGKAAFSLTPSLPTFTKLHSGAVVVDRRGSIMVIFMHAIGDRKYDWENRQRFALSATEVGSLLTMGPQDSCEFFHDPSIKSSNAGQVRKSLSIKPHANSNGYFMNLTVVNNLLNTKEFFSVPVTAAEFAVMKTACSFALPHIMGWDKMTHQQSTGGTVGLQSKVVGQQVFESEWDK >OIV94601 pep chromosome:LupAngTanjil_v1.0:LG17:3249188:3252683:1 gene:TanjilG_06627 transcript:OIV94601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVQLGRIRTLKEGLTHSDGKPGPVVYWMFRDQRIRDNWALIHAVHQANKSNVPVAVVFNLFDQFLGAKSRHLGFMLRGLRQLSQQLRNNLQIPFFLFRGEAEETVPKFLRECGASLLVTDFSPLREVRRCKEEIVKRVNNSITIHEVDAHNVVPLWVASDKLEYSARTLRGKINKRLSEYLIDFPTIEPPTRKWVIAEEQCIDWDELIADVLRNGADVPEINWCEPGEIAAMEVLMGSENGFLTKKLKNYSVDRNNPCKPTALSGLSPYLHFGQISSQRCALEARKLKTSHPQVCFTYASVHIDAFLEELIVRRELADNFCFYQPHYDSLQGAWEWARKTLMDHADDKREHIYSREQLEKAQTADPLWNASQLEMVYYGKMHGFMRMYWAKKILEWTRGPEEALEIAIYLNDKYQIDGRDPSGYVGCMWSICGVHDQGWRERPVFGKIRYMNYAGCKRKFNVDGYIAYVNLLVGEVRKRKAENLLSGKEKVLRR >OIV94283 pep chromosome:LupAngTanjil_v1.0:LG17:8988158:8988547:-1 gene:TanjilG_00032 transcript:OIV94283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANNVDTKHVPIKPISRGLYGAVCSSINREADEKVAIKKIGNIFENCIDAWRTLRELKLLRHIQHGNVIDLKDVMMPPIQRRSFQNIHLVYELTDADLHQIINPSQSLPGNHSKYSLFQLCIPADNHKV >OIV94966 pep chromosome:LupAngTanjil_v1.0:LG17:365820:367437:-1 gene:TanjilG_22163 transcript:OIV94966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSVAEIRKAQRAEGPATIMAIGTATPPNCVDQSTYPDYYFRITNSEDKVELKEKFQRMCDKSMIKKRYMYLTEEILKENPSLCAYMAPSLDARQDMVVVEVPRLGKEAATKAIKEWGQPKSKITHLIFCTTSGVDMPGADYQLTKLLGLRPYVKRYMMYQQGCFAGGTVLRLAKDLAENNKGARVLVVCSEVTAVTFRGPSDTHLDSLVGQALFGDGAAALIVGSDPVPEIEKPLFELVWTAQTIAPDSDGAIDGHLREVGLTFHLLKDVPGIVSKNIDKALVEAFNPLNINDYNSIFWIAHPGGPAILDQVEAKLALKPEKMRATRHVLSEYGNMSSACVLFILDEMRRKSKEDGLKTTGEGLEWGVLFGFGPGLTIETVVLHSVAY >OIV94902 pep chromosome:LupAngTanjil_v1.0:LG17:827120:832039:-1 gene:TanjilG_22099 transcript:OIV94902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGALSLFRSSNEEWTPLSPRSHYPSMPSYPNTTDHVLSYTTAVFSVVGMSCAACAGSVEKSIKRLPGIREAAVDLINNRSLVIFSPSFLNEETIREAIEDAGFEATLLTVTDELNDKSVQVCRIQIKGMTCTSCSSTIESALQAIQGVIEARVALATEEAQVHYNANVVNYNQILEAVQDTGFEASLISSGEDMFKIDLLVQGALDEHSMKLIKESLEVRPGVLGVEIHQEFNKISISYKPDMTGPRDFIKVIEETEPGNFKANIFPPEGGQRDAHRNEEIRQYYRSFLWSLMFTIPVFLTSMVLMYIPGIKHVLDTKVVNMLTLGEVVRWVLVTPVQFIIGRRFYSGSYKSLRRGSANMDVLIALGTNAAYFYSVYSVLRAATSKTFMGTDFFETSAMLISFILLGKYLEIVAKGKTSNAIAKLMNLTPDTAILLTLDNEGNVTGEEEIDSRLVQKNDVIKIIPGAKVASDGFVIWGQSHVNESMITGEARPVAKRKGDPVIGGTVNEKGVLRIKATRVGSESALSQIVRLVESAQMAKAPVQKFADRISKYFVPLVILTSIATWLAWFLAGKFHAYPKSWIPSSMDSFELALQFGISVMVIACPCALGLATPTAVMVGTGVGATQGVLIKGGQALESAHKVNCIVFDKTGTLTIGKPVVVSTKLLTNMVQREFYELVAAAEVNSEHPLAKAIVEYAKKFRDEENPAWPEARDFESITGHGVKAIVRSKEIIIGNKSLFVDQNVAIPIEAEDILAEAEGMAQTGILVSINGKVAGVLAVSDPLKPGAPEIISILKSMKIRSIMVTGDNLGTANSIAKEVGIESVIAEAKPEQKAKHVKDLQASGYTVAMVGDGINDSPALVAADVGMAIGAGTDIAIEAADIVLMKSNLEDVITAIDLSRKTFSRIRLNYFWALGYNLLGIPIAAGVLFPSTGYRLPPWIAGAAMAASSVSVVCCSLLLKYYKRPKKLDNLDIGGIRIESSSDAN >OIV94540 pep chromosome:LupAngTanjil_v1.0:LG17:5312962:5329593:-1 gene:TanjilG_25602 transcript:OIV94540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNGGGQKLTTNDALAYLKEVKDMFQDKKDKYDEFLELMKDFKAQRIDTTDVITRVKELFKGHRDLILGFNTFLPKGIKTRFQGDDHVYKSFLDILNMYRKENKAITKVYQEVAALFQENHDLLDEFTHFLPDTSAAASAHYASARNSMLRDRSSTMPTVRKMYVDKRERVIASHGNHDLCVDCPDPDHARGLMWAEKEQRRHLKKGKDHKEERDRRERERDDRDYDHDTGRDRERLSHKRKSDHRGEDSRGKPLLDADENFGMRPMSSTRDDKNSVKSKYSQELAFCEKVKEKLGNPDNYQEFLKCLHIYSKEIITRHELKSLVGDLLGKYPDLVMGFNEFLVQSEKSDSGFLAGVLNTKSLWSEGQGPKPMKVEDRDIDRALYKDGPRVSLYPSKDKYLSKPINELDLSDCEQCTPSYRLLPRNYSIPLTSQKTELGAEVLNDHWVSVTSGSEDYSFKHMRKNQYEESLFICEDDRFELDMLLESVNVTSKRVEELLEKINRNVIKGDSQIRIEEHLTALNLRCIERLYGDHGLDVMDVLRKNALLALSVILTRLKQKHDEWARCHSDFNKVWAEIYAKNYHKSLDHRSFYFKQQDTKSLSTKALLAEIKEISEKKQKDDILLAVAAGNRRPILPDIHEDLYQLIKYSCGEVCTTEQLDKVMKIWTTFLEPVLCLPSRPSGAEDTEDAAMVTKSSVKSGTTGVNERDGSPGFGTSVINAKHLNNSRNGDKCMSLDQSNSRKACQSNGDSGVKEDKCLDSDRSVRKTETLDRMNKQDHYGERLVNTNVLPASGMEQSNGRTNIYNASGLAATPSRTSNVSVEGAYDLPSEGGESTRPGTSANGAITEGTEVNTYQEGSVQHFKGDREEGELSPNVDFDEDNFRVYGDGGLDVFHRAKSGRLSRQYQNKHGHEVCGEARGENDANADDESPQRSSKGSENASENDVSGSESADGEECSQEEHEDGKHDHKAESEAFSPAGGVDHLRHNVFWFSKCEVSGVDTSNIWEMDNKLLQLYAYEKSRKSKRFVDIVYHDNARVLLHDEKIYHIEYSLGPMQLSIQLMDHGHDKPELTVVSVDPNFLAYVYNNFLAVVPDKKKKSGIFLKRNKRRYACDNEFSSQAMDGLNIMNGLECKLAVHLRFGRLFGSDEKEQKSLASEQCMS >OIV93804 pep chromosome:LupAngTanjil_v1.0:LG17:20531438:20531860:-1 gene:TanjilG_03767 transcript:OIV93804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDGSLTHLELAALLRSLGIKPRGDELHALLSNMDDNGNGYIEFDELVHAIMPNINDNVLINQEQLLEVFRSFDRDGNGYITAKELAGSMAKMGHPLTYHELSTMMAEADSNSDGVISFDEFATIMARSASDFLGVKVA >OIV94273 pep chromosome:LupAngTanjil_v1.0:LG17:8547691:8548228:-1 gene:TanjilG_00022 transcript:OIV94273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIASRHVLRFVTIFQYLLRLYSIYPLSSEIVKVNGVMMEKAWAGAAYNLTLYMLASHVLGSSWYLLSIERQDECWKKACTLQFPYYRYHYLDCHSIGDPDRYAGSDQAISQVYVIRTMISFSFAFLLML >OIV93879 pep chromosome:LupAngTanjil_v1.0:LG17:16951140:16951466:-1 gene:TanjilG_05582 transcript:OIV93879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGAEKNPRTFNLHRHLHHHHHHNEGKKQVPKGCMAIKVGKEEQHRFVVPVVYFNHPLFMQLLKVTEEEYGFDQKGTIIIPCHVEEFRNVQGLIDREISHHHIRCFGF >OIV93806 pep chromosome:LupAngTanjil_v1.0:LG17:20539386:20541931:-1 gene:TanjilG_03769 transcript:OIV93806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSSSSSSDSGDEIWKAHSAMAVVQLFYGGYHVITKLALNVGVNQIVFCVFRDLLALSILAPLAYFREIRTRPPITKPLLMSFFLLGLTGIFGNHLLFLIGLSYTNPTYAAAIQPATPVFTFLFAVMMGTERVNLLRYEGLAKVGGTLICVSGAILMVLYRGPALIGDAETDLVSQSEISARGQPEPSGWLIGSLQDLGLDHFHLGVLCFIGNCMCMAAFLSIQCWYVVCWWKWLTAVGGFFNGFLKRIRPLESALRAH >OIV94819 pep chromosome:LupAngTanjil_v1.0:LG17:1480081:1484389:1 gene:TanjilG_22016 transcript:OIV94819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSFSSIIHHPHTALRLKLHRPSSLHFRNFTFNFHYNHKHKPIAYFPHLHPNPPLRPFTFNHATNFSYLSNKSDGPTLRHFIAQSTITASESQPHFCISTGSIVPKTEVPSKGRIFHETYGCQMNVNDMEIVLSVMKNAGYSEVVSVPENADIIFINTCAIRENAEQKVWQRLNYFWFLKREWKSNVATGRSQSMRPPKVVVLGCMAERLKEKILDSDKMVDVVCGPDAYRDLPRLLEEVDYGQKGINTLLSLEETYADINPVRISKNSISAFVSVMRGCNNMCSFCIVPFTRGRERSRPVESIVREVAELWKEGVKEVTLLGQNVNSYNDTSGVEKEVEPGSNWKLSEGFSSISKVKNMGLRFSDLLDRLSSEFPEMRFRFTSPHPKDFPDELLYLMRERHNVCNLIHLPAQTGSSAVLERMRRGYTREAYLDLVQKIRRTVPDVALSSDFICGFCGETEEDHEDTLTLVKAVGYDMAYMFAYSMREKTHAHRNYVDDVPEEIKQRRLTELIETFRNSTGKCYDSQIGTIQLVLVEGPNRRAPDTELMGKSDKGHRVLFVSQPIPDREDVNTKRNPVVGDYVEVRISRSTRASLFGEALAITKLSSFYSNNLDKEAVAA >OIV94794 pep chromosome:LupAngTanjil_v1.0:LG17:1659282:1660486:-1 gene:TanjilG_13007 transcript:OIV94794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMHRSCNDSYQGHVMGHCTCGMFHSQTSSFSMLFSMPNHKPYDYDQHEPDMCSYNATPSSSNVDCTLSLGTPSTRFTEDEEKRSCRPVSNFCWDLVQPNHSTQSQTKSRKDSSSNNTNDSLLPRRCANCDTTSTPLWRNGPQGPKSLCNACGIRFKKEERRASAAATPTAASGGVVDSSQMYSHHNNSWYAHSQSQKMQCLSPAMGNDFRFMDDGDQDSDNGIPFLSWRLNVTDRTNFVHDFT >OIV94226 pep chromosome:LupAngTanjil_v1.0:LG17:9736116:9738905:-1 gene:TanjilG_09381 transcript:OIV94226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGNSAGGGGGGGAAAGGGGSGGGGNDVELLCKTLQVEHKLFYLDLKENPRGRYLKISEKTSATRSTIIVPSSGISWFLDLFNYYVNSDDQELFSKELQLDTKIFYFDIGENRRGRFLKISEASVSRNRSTIIVPSGSSRDEGWAAFRNILAEINEASRLFILPNQQNSESPERLVGLSDDVGAGFISGSGQPAASSELNVDRSVDLPSQDEIGNLGVSKVIRVDQKRFFFDLGNNNRGHFLRISEVAGSDRSSIILPLSGLKQFHEVVGHFVEITKDRIEGMAVANVRTVDPPHR >OIV93922 pep chromosome:LupAngTanjil_v1.0:LG17:17974494:17979894:1 gene:TanjilG_05625 transcript:OIV93922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFLMRSTSTAHTFSERTSSLPSSSSSSLSSVPPIPEPRRTTSGSSLETVMSEEAYGQYSTIGQFAGNGAINGHGAPVLSNHLDVSEEEGWITIPYKELPENWNHVSDIQSLRPLDRSFLFPGEQVHILACLSACKQDTEIMTPFKVAAAVSTNDKGHSPRKENGNIENRNSSVSGEGQLSISSQEPTEENLVEAKTDHPADVSDGESILRMEVHKRQTASLLQKFENSHFFIRISESDEPLWSKRGSSEKFSNSSETNGEKASTVGFEETEKNIPSISVVIDRGNFDATISGGAARNSIKSCALPNGDIVVLLQVNVGVHYLRDPCIEILQYEKYPEKLLSSENQDYSVYKNNDPSRELLKWILPLDNNTLLPPSPRSLTPPTPNLPSNSGTSSTSQKPNLSASSGSQLFSFGNFRSYSMSSLPQTTSTPAGPVKAASSKPNFEIDDWDQISSQKFLRKKSGSELLSFRGVSLERERFSVCCGLEGIHTPGRRWRRKVKIIQPVEIHSFAADCNSEDLLCVQIKNVAPSHAPDVVIFIDAITIVFDEATKSGTLSSLPIACIEAGNDHSLPNLALRRGEEHSFILKPTTSMYKDLKVEEERSSQLSKFQFGNSASKLNLSSKSADKGENALGGGDQYAIMVSCRCNYTASRLFFKQPTSWQPRTSRDILITVASEMSGESPRPYQRNSALPMQVLTLRASNLTSEDLTLTVLAPASFTSPPSVVSLNSPTTMMSPFIGFTDFLGRANGDRHIGATQPQSFISHLKQNEKQSDDVIPSSGLSCSHLWLQSRVPLGCVPSQSTATIKLELLPLTDGIITLDSLQIDVKEKGVTYIPERSLKINATSSISKGII >OIV93937 pep chromosome:LupAngTanjil_v1.0:LG17:18312506:18314098:1 gene:TanjilG_05640 transcript:OIV93937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCKLSSNSISHESNEEKPNTSTINVEQNSNMLTPLIPKPQTSQQEIQNTNKINFSIYLNETKCIANIALPMILSGLLLYARSMISMIFLGHLGELALAGGSLAIGFANITGYSILSGLAIGMEPICGQAFGANRFNILGLVMQRMVLLLLLTSVFISFLWINMKKILIFFGQEKDIASEAQFYLIYCIPDLIIQSLLHPLRIYLRSQSITLPLTYCAALSIILHLPINYLLVIILKLGTKGVALAVVWTHFNLVASLITYTIISGVHNKTWGGISYACFKGWKALLNLAIPSCISVCLEWWWYEIMILLCGLLANPNASVASMGVLIQTTSLIYIFPHSLSFGVSTRVGNELGAENPERAKLAARIGVCFSFVLGVLAFGFTFSVRNVWSTMFTNDAQIITLTSMVLPIVGACELGNCPQTTVCGVLRGTARPKFGANINLGCFYLVGMPIAVWLSFFAGFDFKGLWLGLLAAQFSCMITMLFVLARTNWEGQAQRAKELTSSDANEDLKIEEEWLLGSCSTKEGSDLLV >OIV94053 pep chromosome:LupAngTanjil_v1.0:LG17:14743441:14747796:-1 gene:TanjilG_14300 transcript:OIV94053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKNLVMLKAQIESINIWFMSDFVIGNVLWALASLRVFFLNYVLYSFGLMLRYIFSFHVENKSDRNGFQNSEINGLAEELINFLFCEDFLVEYEEMGGETECSALVENFTDFHEDVMEIHENETECSFSMEFDSNINGDGEKKLEFQEEETKGCVVIDTYSAITTSKCQFLLGKDITDFIEEPTTMTFSFLEFYKGSNVPSVYDNAFSSTEKNSIKELSEGLVAEEEKEYVQDQRSFNSSPFSFESETEVFGESDSDSESSNNSCQGIEYTSVRVIKEGREEEEETQFSSDIEVSYAEEACMDSLVRTEETAPENKIDETDYNEEEEEEEDDYEWEDDEAMEQVKLELRNARQGGLATILEEEEEEEKEEKESIKVVEPLKPLKIEEKKVEYKDHIVEIQKVYKCYAEKMRKLDILNYQTMHAIGLLQLKDPPKIILMPKSTVQSAKPIISQNLWPRKAPKQKSDPMLKFVQELHSDLELVYNLVAGEFQLFQVLMQRFIENEPYQGPRIQNYVKNRGFIRNLIQVPPIKDDTMKDKKTTKWGSDKDAIESGKLEEMIKESMRVFWEFVRTDKVSHEIRSDVKDPEIVHLVMEIRTQLHKKERRFKDIVRCENCIVKRFQKHHEDQILLDHEHLLAQVGLRLISRVSTKECIDQCSTRANLTPCISLEHHPSEAENVNTAN >OIV94021 pep chromosome:LupAngTanjil_v1.0:LG17:14921387:14924041:1 gene:TanjilG_19382 transcript:OIV94021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDSDVSKQIDQMVRFIRQEAEEKAREISVSAEEEFNIEKLQLVEAEKKKIRQEYERKEKQVEIRRKIEYSMQLNASRIKVLQAQDDVVNSMKEAASKELLSVSHHHHLSLTHHDHVYRNLLKDLIVQSLLRLKEPAVLLRCREHDLQLVEHVLASAAQEYADKENVHPPEIIVDNNVFLPPAPSHHNAHELHCSGGVVLASRDGKIVFENTLDARLDVLFRNKLPEIRKQLFVQVAA >OIV94564 pep chromosome:LupAngTanjil_v1.0:LG17:5610360:5626287:1 gene:TanjilG_25626 transcript:OIV94564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITSMIPTPSNLNFSNHNLSITFPLSLRTPSLLQFHSQSQSLCRCHNSASSSSDDDKGANLRWDSLLNGFVSNAIKQFDSYVNSFTNRNSDTSAAAKGEPEDGGDDDDDDGEWDWDRWRVHFQEVDEHERIVSVLKSQLNRSVYLEDYKDAARLKVAIAAAATNNSVGRVMSHLNRAIKEERYTDAAFLRDKAGAGLVGWWSGISKDVNDSHGLIIRITPEHGRYVARSYSPRQLATSATGIPLFEFFITKDKKGAFKSQAVYLKRRGTFDVPPTTSSKALDATEKLSSVEPTEDRSQLFVVSTEDLEGDDDRNDGSDPAEGMPGFQNVLKDMIPGVKMKIYTVTTPEKVDKDLISKVVEQIIEEENEDDEDEDEEENEDEDEDEEKDNIESLEPEEIKSETDQEGKNEIDISAGLGTFEHKEQNDIAVKLVIGGLVQKLSSNVSARDLLRAPAKLEMKGRGSFSFTVEKEVNQQDGDDKGKSSPDESTKFQGRKRVGHVMFDLAKFVGRGKVPSKVLREVGELINLTLSQAQNHQPLSGSTIFNRIELPASSDPLNGLYIGAHGLFSSEVIQMRHRFGHWQEDGGAIEASDLEFYEYVEALKLTGDPYVPAGQVAFRAKVGKRYQLPHKGIIPEEFGVVARYKGQGRLAEPGFQNPRWVDGELVILDGKHLKAGPVVGFVYWAPEYQFLVFFNRLRLQQ >OIV94496 pep chromosome:LupAngTanjil_v1.0:LG17:4805452:4807326:1 gene:TanjilG_25558 transcript:OIV94496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTTEEKRIQDELSYPILVAERVRLAVDVADSFKLECSEVWKQVDRLLQMLRTLVRFASTTTATAAAPPIYERPIRRIAAETAKNLDRAYALVRKCNRPSIFHRVVNMVTAADFRKLLSHLDASVGDLKWLLSILDADGGSASGGGGGIVLSLPPIASNDPILSWVWSFIATIQMGQLNDRIEAANELASLAKDNDRNKKIIIEESGIPPLLKLLKEIASPVAQIAAATALCHLANDLERVRMIVNELGVPIVVQVLSDSSMRVQTKAANLVARMAEHDPLAQEDFARENAIRPLVTLLSFDMLVDDQMGKLGNQSIHSLVQISKKMEKKLLVTKSSNGSLGNSYSNNSYLHMEGSSRGWNHRKERENEDPVVKLQLKISCAEALWMLAKGSVSNSKKITETKGMLCLAKLVEKEQGELQYNCLMTIMEITAAAESNDDLRRAAFKTNSPPAKAVVEQLLRIIKEVDSPILQIPAVKSIGSLARTFSARETQVIAPLVAQLSNRNIDVAADAIIALGKFACPSNFLCVQHSKAIIEFSGVPALVRLLRANEVTQFHGLVLLCYLALHAGNSESLEQARVLTALEGADRAVITQHPELRELVARAIVHLNLYHAGMNSQRISYLP >OIV93784 pep chromosome:LupAngTanjil_v1.0:LG17:21218236:21224320:1 gene:TanjilG_07687 transcript:OIV93784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGKMVKGNWSFRMNKFTFPYVFLLCIFFFLAGFFGSTLFSQSQDGGHGLRPRPRFLESTEYNLMTAGDSGDDSITSIPFQVLSWKPRALYFPNFATAEQCEGIVDVAKAGLKPSSLALRKGENEENTKGVRTSSGVFVSASEDKTGILAVIEEKIARATMIPRAHGEAFNILRYEIGQRYNSHYDAFNPSEYGPQKSQRMASFLLYLTDVEEGGETMFPFENGLNMDGSYEYEDCIGLKIRPRRGDGLLFYSLFPNGSTIDPVSIFLILIVMIIVYLSGSLSRNADE >OIV93974 pep chromosome:LupAngTanjil_v1.0:LG17:19378845:19380644:1 gene:TanjilG_05677 transcript:OIV93974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLSLPLHSPLPNTSLFLPLSSHPPNFTLSTLPTINLHRRRRINHHHRSLTTTTTIRASVIQYDTTQQQPLLQVNDLKAKIVENGVEILHGVNLTVNQGEVHAIMGKNGSGKSTFAKVLVGHPEYEVTGGSVVFKGENLLDMEPEERSLAGLFMSFQSPVAIPGVSNDEFLVMAYNARRRKLGLPELGPLECFSYLMEKLKLVNMKPDFLNRNVNEGFSGGERKRNEILQLAVLGADLAILDEIDSGLDVDALRDVANAVNQLLTPKNSLLMITHYRRLLDLLKPTHVHVMVSYK >OIV94353 pep chromosome:LupAngTanjil_v1.0:LG17:6295119:6299596:-1 gene:TanjilG_21693 transcript:OIV94353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGGGSLGIRSASYGSLDKQQLQIQTATLAAIAAAASARKASKMVKEKEKERLFLWICKFAGRKRVGMLLLCLISAAVFIWVLFMAKGEDSREGNGVQSIRVDDSVSIPDSLSLISTAANAIGLSTNLALPSSPPPPRSYFLGYHLPPGHPCNSFTLPPPPADKKRTGPRPCPVCYLPVEEAIERMPKFPSPSPVLNNLTFIHEENLSRDGEFGGSEFGGFPTLKQRNDSYNILESMSVHCGFVRGIQPGHNTGFDIDEDDLLEMKQCNGVVVASAIFGNFDEMNEPKHISDYSKKTVCFLMFVDEVTEKYLSSGKLGISKKIGLWRVIIVRNLPYKDARRTGKIPKLLLHRLVPNARYSIWIDGKLELVEDPYRILERFLWRTNATFAISKHYRRFDVFLEAEANKAAAKYDNASIDFQIEFYKKEGLTPYTEAKLPLISDVPEGCVIIREHVPITNLFTCLWFNEVDRFTSRDQISFSTVRDKILSRVDFHFHMFLDCERRNFVIQFTIAWTKNYEKFSATTLIEFFVVENLFDSMVLDFLYLLPGWYVFIAHAVSWSLFSLIYLTIILIFLCLQKYHRDILLHLAPPRAHIHPPPPPPSFSVLEASLPEKVVTSPIRKAPGRHGRDRRSGSRHHRKVVAGSKDIEAR >OIV94742 pep chromosome:LupAngTanjil_v1.0:LG17:2393198:2396253:-1 gene:TanjilG_06205 transcript:OIV94742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAEKKWLLTLFTAVFLSLMLLLMSSISSFSSPKTFPSLVQHGSHYPPSFAYFISGGDKDKDRILRLLLAIYHPRNRYLLHLGRDAKDEERQALVTSVRSVPAIRAFGNVDVVGKADWVTYLGSSNVAITLRAAAIMLRLDGGWNWFITLSARDYPLITQDDLAHVFSSVRRDLNFIDHTSDLGWKEGDRFQPISVDPGLYLARRSQIFQATQNRPTPDAFKLFTGSPWVVLSRPFLEFCIFGWDNLPRMLLMYFTNVKLPQEGYFHSVICNAPEFRNTTVNGDLRYMIWDNPPKMEPLFLNASIYDQMAGSGAAFARQFEVDNHVLDMIDDKILRRGRNRATPGAWCTGRKSWWMDPCSQWGDVNILKPGPQAKKLEGSISSLLDDLTSQTNQCK >OIV93887 pep chromosome:LupAngTanjil_v1.0:LG17:17353109:17353383:-1 gene:TanjilG_05590 transcript:OIV93887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEQEARQILGVTEETPWEEIMRKYNTMFENNAKNGSFYLQSKVHRAKECLESLKAKDQGTAPPT >OIV94098 pep chromosome:LupAngTanjil_v1.0:LG17:13781845:13782276:-1 gene:TanjilG_05478 transcript:OIV94098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLARHGVVLNFTCMEMKDREQPDHADCSPEGLVHQVKMATRIAGAELAGENALERYDADAYAQVLLTSQSDSGNGLAAFTFLRLNKKLFDGDNWRQLVEFVRSMSEGGRRQRLSDSDSQGSDLYVGHIKEIQKTEQEQDIILV >OIV94487 pep chromosome:LupAngTanjil_v1.0:LG17:4687809:4692147:-1 gene:TanjilG_25549 transcript:OIV94487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAREKIQIKKIDNTTARQVTFSKRRRGLFKKAEELSVLCDADVALIIFSSTGKLFEYSSSSMKEILERHNLHSKNLAKMEQPSLELQLVENSNYTRLSKEVAEKSHELRQMRGEDLHGLDIEELQQLERFLEAGLGRVIEKKGEKIMNEITDLQTKGMHLMEENERLKRQVANITNGQMHECENIVGDEAGQSSAESATNFGTGPPHDSDSSDTSLKLGLPYQG >OIV94538 pep chromosome:LupAngTanjil_v1.0:LG17:5294410:5295552:-1 gene:TanjilG_25600 transcript:OIV94538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLRLNAVVSLLILCLLFTPFCFGIRTFPTSTAEKSLFHFTEAPEYRNGAFCSGSDNRVSSLSCDPSLVHIAMTLDSGYLRGSIAAVHSVLRHSSCPENVFFHFISAEFDPVSPRILTRLVLSTFPSLNFKVYIFREDKVINLISSSIRRALENPLNYARNYLGEILDSCVDRVIYLDPDIIVVDDIHKLWNMSLSGTRVIGAPEYCHANFTKYFTDEFWNDPLLTRVFSSRNPCYFNTGVMIMDLVRWREGNYREKIEAWMELQKKKRIYELGSLPPFLLVFGGNVEAIDHRWNQHGLGGDNVNGVCRSLHPGPVSLLHWSGKGKPWVRLDEKNPCPLDSLWEPYDLYKPYLVHHHNGAKEEEDQNWSFSSSALVDLL >OIV94171 pep chromosome:LupAngTanjil_v1.0:LG17:10652227:10656693:-1 gene:TanjilG_13788 transcript:OIV94171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFQIVGVVYGQLSTAPLYVFGTMRIEDLVTEEIVYELFSFIFWTLTIIALLKYTFIVLKADDEGEGGTFALYSLLCRNAKVGLLPCDRSTNEVMLCEETSPSKNNADSRARRAIEKHKICHYLILFLALFGSCMTIGEAVLTPALSVLSASTGVQRSLSDMVHTFSSSHHTQESVSKALKRYVPVPSACAILVGLFTLQRCGTRKIGFMFAPIIAVWLLFVSVIAFICLIYPLLILCYAGQAAYISKNLHAPDFNHLSQSMPTVGSQAAITACFSIIKQCLALNCFPRVKIIHTSKTIHGQIYIPDVNWLLMILSLTVTIGFRDIVKIGNATACLLQIHKGAWYLVVLLVVSMTIMLSWHYGTMKKYEFDLENKVSTEWLIDVSPGLGISRVPGIGFIYTDIVTGIPAFFSHFITNLPAFHQVLILVSFKSIPVPYVPESEKYLIGRIGPKDYKIYRCIVRYGYCDLSRDVGDFEEQIIRSIGEFISIEKSDIESMVSPHERMIVVGNPSPDSNALVPLDEGDSCMGPSAVSHESQISPIGNEYQICDTLETSTRPNKRKKVRFVLPANSPKMQTSVREELTELIEARESGSAYFLGQSHLVVRDGSNFLKRFLIMVYRFSEKNCREPPVALKIPHAALVEVGMVCTI >OIV94365 pep chromosome:LupAngTanjil_v1.0:LG17:3340526:3341374:-1 gene:TanjilG_25427 transcript:OIV94365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSKNHKTEEIKGWYNKLQRDEVVAQWRKVKGKMYLHVHCHISGGHFLLDLFARLRYYIFCKELPMVLKAFVHGDGNLFNKYPELEEALVWVHFHSNIQEFNKVECWGSLKDASSPSSRESGSHVEGNSSSSSRQGPFPSSSYEDLEGLTMPQPCQEACDCCFPPMRLSSIPRSQQDPSHPNDQEVPNIMTH >OIV94454 pep chromosome:LupAngTanjil_v1.0:LG17:4266994:4268031:1 gene:TanjilG_25516 transcript:OIV94454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNSKNRNNDSLGVNKMGKNIRKSPLHQPNFSNNAARQQPQPQVYNISKNDFRDIVQQLTGSPSHHSQDHPPRPPNNPPKPQSMRLQKIRPPPLTPINRPHMPPQMPVYTAPPPMPYNNAIPRLPAQFGQLSPNPLQPLTPGDLWANTAESPISAYMRYLQNSMNEPSPWGNQVHPQPHPHQHPQPPPHQHPQPHPQQHPQSQVPGNVRPQPPSSALLPNPPIPAFPSPRFNGPIQRMNANNHLSMPNLPSPQTGGPPPLLSPTSQFLMASPTGYMNLLSPRSPYPLLSPGIQFPSPLTPGFPFSPIAQSGIFGPGPQHPQSPGIMFPSSPSGFFPMPSPRWRDH >OIV94537 pep chromosome:LupAngTanjil_v1.0:LG17:5284215:5288070:-1 gene:TanjilG_25599 transcript:OIV94537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGETTAVSNSALQVSVSFGRFENESLSWERWSSFSPNKYLEEVEKCATPGSVAQKKAYFEAHYKKIAARKVELLAEQKQVNEESFGSEDRNHLDLGGSSCVTEAEFDISNTQDSIEGVKQETCSFGETSRIELNNLEEEVAVSRDCQSSSVEGENKELECESHSFLQNDKTEEVVCIKQVEDHNIEAEDVKEISHVVYKEKKSSQIESKEVKLDHLKAHKVTTIDRESIGAKTKTKSMLPTAKSSQISMPRSSKSASTPTKISASALSNKKGTSPYLSSRPFASDAESRKVSKKSLHMSMSSGRSNPDPVPHTTMRKSFIMEKMGDKDIVKRAFKTFQNNFNQTETSGEDRSFVKKQVPSGGTVSKVPTSTALRKENGRPTKVDSVDKRSGNSVRATIGPKSDIGAEKGEESSRKIKDKSNAKAVERTRLQLKLKEEKEADMKKLNHNFKATPLPAFYRGQKASKGHPLKGDTKAVNGR >OIV93705 pep chromosome:LupAngTanjil_v1.0:LG18:348671:354820:1 gene:TanjilG_16556 transcript:OIV93705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLHLLILKMDIWQNTPPSWDKSNDPCGSPWEGVACNKSRVTSLGLSTMGLKGKLSGDIGGLTELRSLDLSFNRGLTGPLSPQLGDLSKLNILILAGCSFNGNIPDALGNLSELSFLALNSNNFTGKVPPSLGKLSKVYWLDLADNQLTGPIPVSTSTTPGLDLLLKAKHFHFNKNKLSGPIPTELFSSDMVLIHILFDGNSFTGSIPSTIGLVQTLEVLRLDRNFLTGEVPSNLNNLTNINELNLAHNNLTGPLPDLSQMDALNYVDLSNNSFDPSEAPTWFSTLASLTTLVMEFGSLQGSLPPKLFSIPQIQQVKLRSNALNNTLDMGDSICPQLQLVDLQDNQISSVTLSSQYKKTLILIGNPVCTTGLSNTNYCQLQQQPKQPYSTSLANCGGRSCPPDQKLSPQSCECAYPYQGMLYFRGPLFRELSNVNTFHSLEMSLWVKLGLTPGSVSLQNPFFNGDDYLQVQLALFPPIGQYFNRSEVQRIGFQLSNQTYKPPHEFGPYYFIAFPYAFPDSNRGSSLSRSVVIGIASSCTFLVLSLIGLGIYAILQKKRAEKAIGLSRPFASWAPSGKDSGGAPQLKGARWFSYDELKKYTSNFSARNEIGFGGYGKVYKGVLPDGKIVAIKRAQQGSTQGGLEFKNEIELLSRVHHKNLVGLVGFCFEQGEQMLVYEFMPNGTLRESLSGRNDIHLDWKRRLRVALGSARGLAYLHELANPPIIHRDVKSTNILLDENLTAKVADFGLSKLVSESEKEHVSTQVKGTLGYLDPEYYMTQQLTEKSDVYSFGVVMLELITSRQPIEKGKYIVREVRTAMNKYDEEHYGLRVLMDPVVRNTPNLIGFGRFLELTMQCVEDSASDRPTMSEVVKTLETILQNDGMNTNSTSASSSATEFGIPKGAAMRHPYIDATFTKKENDINESNAFDYSGGYTLSAIVEPK >OIV93227 pep chromosome:LupAngTanjil_v1.0:LG18:10105053:10111610:-1 gene:TanjilG_27406 transcript:OIV93227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQHKESSSADSKEKSSLLDDKNGKEFLSSWKSMSMADDDAMDFSFDTVPKGRKKTFDFDKLDMKFNLDDDFGKISSFKVDMSDLDFTCPPKNSSQSKDKKGESSGTKAGKQDGFNFSFDFNELDSFNLDSSSTNGDTNSNSNLRKKGVSTEGSDSEGPKKPKINDDESGHASNNSMAMKPPVSEKLETSKVDNVVGNLGNVASGQGGFASKFLSSGNLDMPIEIQTSGISKTIRANEMDEERDLPEKIKSAESKPEQVITKAPSQPACQSDSGQDTIPEQHKKMFSSGTKVINVSGDKEKATDKATCVDSEGVDLQSEQPSLVLVTKSDSSVGEATNLGSSAEEVTNDPHKKNNDISFENISKNAFKKISCDNDVTENKKPALECHLASETSKPVVDRMMLMKDNELQGMQPNISRRPEEKCFLKHLSSTAGTKVISFSSQKSCDMSPRESYRSKDTQIGSKSVRDSLRGSDKLNRDGLALNHSTDDLKSCSNSRESIVSDLMPSASKLAGNMQSFHEEVLKSKAMLLETGKSTKEVNMLSSQVNPSCLTEKTAKNTTQVSVKPKAEVLGKESSQKPRITSIEGNKISSFKTGKITHALSSLKALRNVGANMVLAAPLYQKEAISKVKSGQNMEIQSPTASKNDHLTSSGDNQKPQMPFLKRKATEVSEVDLTSLRSLKRLSQSPNNNRNSKKSSEEVVEQVESKPHNMIYNHPTLGLESPSDIKVMDVEISDSVLMEDNSNVEKAEAYMKELEDEIVALGRTKTFSPSVLPELYKPSPFHWLCFSISSEFGLLRLVYKKSIVKWFVCAKLEFGSYTMSGEIKRKMKLKVLSVAYMIMVNHQRFALLKIL >OIV93307 pep chromosome:LupAngTanjil_v1.0:LG18:8680779:8688371:1 gene:TanjilG_14558 transcript:OIV93307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAPNLKKYGVPIYSLAWIPQNLIKSSLNQTTDDSSDSDNNSPPETKSEETITEELTAGNYLVFAGGGGEGHSGIPNSLLIAHFDVASNSLSDQPVGKLGTNSELPYRMALHPNGDGLICAMMPKSCRWFDWDHNKVSENLKLGLKKSETVLTGLEDVELQLALAFSNDGTALAAGGEDGNLRVFKWPSMEIILNESNAHSTVKDLHFSSDDKLLVSLGGAGPSRVWDISSAKLLTALSNENRDNFSCCRFSQINDRAQVLYIADNGGSILTWNTQTWKRINSKRIIRDAISALNVSADGKFLACGTPSGDIITVSSKNMQIQTVIKKAHLGIVTALAFSPDSRALASVSMDSSARVTLVKEKKNGGLSLWIALFILLLAVAVYFLKVRGIEK >OIV93660 pep chromosome:LupAngTanjil_v1.0:LG18:1817035:1817649:-1 gene:TanjilG_04892 transcript:OIV93660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLFHMKQVSNWFINARVRLWKPMVEEIYLEEAKEQENNMASSEGGTNNEEENISHKNIQNHEDQKPTKARLLRIDSECVSSIINNPDHQKDDPKNCLNPHEQCFGSLELDFSSYTHHYDHNVNQSFNGGNGNGVSLTLGLQQHGESGVSLAFPQATQSSMFYTRDQNDEECQPIHYSILDGETQSNMPYRNLIGTQLLHDLAG >OIV93549 pep chromosome:LupAngTanjil_v1.0:LG18:2048014:2049648:1 gene:TanjilG_28706 transcript:OIV93549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDASTALMILSAIAAYLIWFTFISRSLKGPRVWPLLGSLPGLIQHSNRMHDWIADNLRACNGTYQTCICPIPFLGRKQGLVTVTCDPKNLEHILKLRFDNYPKGPTWQAVFHDLLGDGIFNSDGETWLFQRKTAALEFTTRTLRQAMARWVSRAIKQRFCPILAVAQQERNPVDLQDLLLRLTFDNICGLTFGQDPQTLAMGLPVNGFAMSFDRATEATLQRFILPEIVWKLKRWLGLGMETSLNQSLQHIDKYLSNIINTRKLELLNKKQLVSATGTTTTTTTAHDDLLSRFMKKKESYTDEFLQHVALNFILAGRDTSSVALSWFFWLCTLNPNVEEKILFELSTVLIETRGDDVSKWVDEPLVFEEVDRLVYLKAALSETLRLYPSVPQDSKHVVNDDVLPSGTFVPAGSSITYSIYSIGRMKYIWGEDCLEFKPERWLSADGNKIQLQDSYKFVSFNAGPRICLGKDLAYLQMKSIAAAVLLRHKLTVAHGHHVEQKMSLTLFMKYGLKVNVHHRDLRPVVEKIKSVKKGLKINEGVVET >OIV92690 pep chromosome:LupAngTanjil_v1.0:LG18:15825142:15826224:-1 gene:TanjilG_18041 transcript:OIV92690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSGSTNGDSTPNKVALITGITGQDGSYLTEFLLNKGYEVHGLIRRSSNFNTQRIDHIYVDPHNAHKARMKLHYGDLSDASSLRRWLDTILPDEVYNLAAQSHVAVSFEIPDYTADVVATGALRLLEAVRSHIDATGRSHIRYYQAGSSEMFGSTPPPQSETTPFHPRSPYAASKCAAHWYTVNYREAYGIFACNGILFNHESPRRGENFVTRKITRAVGRIKIGLQSKLFLGNLQASRDWGFAGDYVEAMWLMLQQEKADDYVVATEESHTVEEFLEVAFGYVGLNWKDHVVIDKRYFRPTEVDNLKGDSSKAKEVLGWKPKVGFEQLVKMMVDQDVERAKKEKVLVDAGYIDAQQQP >OIV93550 pep chromosome:LupAngTanjil_v1.0:LG18:2016072:2017472:1 gene:TanjilG_28707 transcript:OIV93550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPFVGDGVTQFQPKLDSNIVTIDVGGQLFQTTKQTLTTAGTKTFFSRISDAVSASPFHTPFVDRDPEIFSLLLSLLRTGNLPSKAKSFDLQDLIQESRFYGIEKLLIDSLSNPSQFEPFNLHRSLILPLNGRDSPSAIATTRYGSVHVAHGSKITSFDWSLRRKSTVLTQFTAVDSLLAISPSIAAAGANDFSGLQILDLENGIVKETLNWENVTRSGSTVQAIGSGPNHLFASFESSRRNSNSIVVYDFQTLKPVSEISHNEIFGADIGSAIPATKLEWVNGYNLLMACGSHSGPSGVNGYLRLWDVRSGNMVWDINEKADCFADVSVSDSLSAIFKVGVNSGEVSYVDMRNLSEGNEWVCIGDKRKVVNGKKEGNGCKIEAQGNQVFCTKGGDVELWSEVIMGNSGLMENGNRNRIFKKNLMGRVKDMGGVKINNLAFGGSRMFLTRKDQQCVEVWQGSSREL >OIV93138 pep chromosome:LupAngTanjil_v1.0:LG18:11328113:11330658:-1 gene:TanjilG_20800 transcript:OIV93138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWINFFVLIFNTRVQFWPYIKLMVIFWLIIPDFGRSSSVYNHLVHSSISLKPQAVISRLKNWKKLFVKKQDFLQHAERYIEENGTEALAKLIASKNTTHNPDAEAINALRATDMTEMQQKEHDMNTIGRRHKTEHKDLEVLEVTEKKTIPVIKQDIPSVPNLAPIQNASSAMVGKDTNSVELPQSSTNKEVQKEWTCAICQVTTTSENNLNSHLHGRKHKDACEALKAKIKLAPHKLKFVEEFKQINISHADVEPENIQILRAKEHAQTSRETEKSILSDGVKLRSTSTQENKRTHVSVLAPANKNHKKVQALSKNPGKPVLVIPPKLRCEVCNVSCTSKGDLESHLTGRRHLAQAEKLT >OIV92757 pep chromosome:LupAngTanjil_v1.0:LG18:14194012:14196737:1 gene:TanjilG_00891 transcript:OIV92757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMDWDACTDEYEKLIIRMSTPRVVIDNAVCPTATLVKVDSARRHGILLDAVEVLTDLNLLIKKAYISSDGRWFMDVFHVTYQNGNKLTDERVLKYIEQALGCIDNGRTIGSNGLTALELTGTDRVGLLSEVFAVLADLQCDVVEAKVWTHNGRIASLIYVKDCDSGSTIEDSQKINKIEVRLRNVLKGDNDIRSAKTSVSMAVTHTERRLHQMMFTDRDFERTPILKFTADAPLVTVQNWAERGYSVVNIQCKDRMKLLFDVVCNLTYMEYIVFHATVNTDSVQAYLEFYIRHKDGTPISSEPERQRVIQCLKAAVERRASEGVRLELCTEDRQGLLAEVMRTFRENGLNVTRAEVSTMGNKVTNVFYVTDAIGNPADPKIIESVRQKIGFSNLEVKELPLVCHQQKAEREDQAFGVGGAVLMSIGNLLRRNLYNMGLINSCS >OIV92823 pep chromosome:LupAngTanjil_v1.0:LG18:14734383:14737934:1 gene:TanjilG_00957 transcript:OIV92823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRLLLFSLLFLHFHYTLSLSSPISEYRALLSLKSSFIDNTPSLLSSWNTTTDHCSFSGVTCDNRRHVISINLTGFSISGTLSGDFAHLPFLSNISLADNKLSGPIPPQLSSLFGLRFLNLSNNGFNGTFPYELSVLKNLEVLDLYNNNMTGELPLAVTEMPNLRHLHLGGNFFSGQIPPEYGRWQNLNYLAVSGNELDGNIPPEIGNLTSLRELYIGYFNTYAGGIPPEIGNLSELVRLDAAYCGLSGEIPVEIGKLQKLDTLFLQVNVLSGTLTPELGNLKSLKSMDLSNNKLWGEIPESFKELKNITLLNLFRNKLHGAIPEFIGELPSLEVVQLWENNFTGRIPKGLGQNGKLTVVDLSSNKLTGTLPPDMCNGNRLQTLIALANFLFGPIPEALGRCKSLNRIRMGDNFLNGSIPKGLLGLPNLTQVELQDNYLTGNFPEEHSVSVNLGQITLSNNKLSGPLPHTIGNFSSMQKLLLDGNRFSGPIPPEIGRLQQLSKIDVSNNMLSGFIAPEISQCKLLTFIDLSRNELSGEIPKEITGMRILNYLNLSRNHLVGTIPGPISSMQSLTSVDFSYNNLSGLVPGTGQFSYFNYTSFLGNPDLCGPYLVPCKDGVGSGAHQRHVKGPLSSSFKLLLVIGLLVCSIAFAVAAILKARSLKKASKARAWKLTAFQRLEFTVDDVLDCLKDDNIIGKGGAGIVYKGAMPNGDHVAVKRLPAMSRGSSHDHGFNAEIQTLGRIRHRHIVRLLGFCSNHETNLLVYEYMPNGSLGEVLHGKKGGHLHWDTRYNVAVEAAKGLCYLHHDCSPLILHRDVKSNNILLDSNYEAHVADFGLAKFLQDSGTSECMSAIAGSYGYIAPEYAYTLKVDEKSDVYSFGVVLLELITGRKPVGEFGDGVDIVQWVRKMTDSNKEGVLKVLDARLPSVPLDEAMHVFYVAMLCVEEQAVERPTMREVVQILTDFPKPPGSKQGELTITESSLSSSDALESPSSASKDNQQHPQTPLPDLLSS >OIV93134 pep chromosome:LupAngTanjil_v1.0:LG18:11364762:11367632:-1 gene:TanjilG_20796 transcript:OIV93134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSTTTSSSPFYRLPSFRPKLQNFPSKTHLFPLRIPFYRQRMSSILGHGLRPLKALAGSTSSSSAGDNDDDGFVTLIEYVGKKGINVEDDLVVLIHHIQYACKRIAALVASPFNTNLGKQTGLGAVAGGGSDRDAPKPLDIVSNEIILSSLQKSGKVAVMASEENDAPIWISNDGPYVVVTDPLDGSRNIDASIPTGTIFGIYKRLEELDDLPKEEKAMLNSLQSGSRLIAAGYVLYSSATILCTTFGSGTQTFTLDHSTGDFILTNPSIKIPPRGQIYSVNDARYFDWPEGLRRYIDTIRQGKGRYPKKYSARYICSLVADLHRTLLYGGVAMNPRDHLRLVYEANPLSFIVEQAGGRGSNGKNRILSLQPVKLHQRLPLFLGSLEDMEELESYGDVQQKVNPGYEV >OIV92607 pep chromosome:LupAngTanjil_v1.0:LG18:16415452:16419688:-1 gene:TanjilG_17958 transcript:OIV92607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTVGDWSDEERSVIGGKAEIGFLDFEEEKSVYSYADNEEEPIIISAPFALVDGKPQSVFVGHTAVDIITIKNTTCEPVTLWSVHIFASNPADSFTLSLMEPPSANSNSEVCALQSFLESFTLEDRMLQPGQTLKVWLSCKTKDMGMYSSVLNFDLGDKVIERVAFLLVEDKISKSLTAKRPYSKGRRKDKFVVENVVPGFRPLGKANRKYINRLPKYQIPRDVRDLLESKQIPQVVEEGLRKGRYAVYFKTLVIMEEIQLEEDMRTYDMDSVTMRKKSNQFLSMEVPGLAERRPSLVHGDYIFAKIASGHDNNNKSYQGFIHRVEADEIFLKFDPEFHLLHRDGNLYNVHFTYNRINMRRLYQAVEAAESLGSEFLFPSASSKRRCIKTNDLVPISGSLNEEQECSIKMILGSKGAPPYLIHGPPGTGKTRTIVEAVLQLYKHHRNARVLICAPSNSAADYILERLLAQQAVEFRENEIFRLNASTRPYEDLKPELIRFCFFDDLIFKCPPFNALMHYKIVISTYMSASLLYAEDVARGHFSHVFLDEAGQASEPETMIPISHLCRRETVVVLAGDPMQLGPVVFSKNAEQFGLGKSYMERLFECDLYDSGDANYVTTLLRNYRCHPEILYLPSKLFYNGELIACRDQTTFTVSEDLIPNKEFPILFFGIQGCDEREGNNPSWFNRVEASKVVEVVKRLIAGGNIRDEDIGIITPYRQQVLKIKQTLENLDMPDIKVGSVEQFQGQEKEVIIVSTVRSTIKHNDFDRVHCLGFLSNHRRFNVAITRAISMLIIIGNPHIICKDDRWSQMLWHCVENSSYQGCTLPERLETCDNSNKGKNALPSGNVEWGQDNALPSENVGWGQDDNVEWGKDNALPSENVGRGQDDNVEWGQDNALPSENVGWGQDDNVEWGQDSSQFEIPKPVTDEAEWSDGWKN >OIV92726 pep chromosome:LupAngTanjil_v1.0:LG18:13949360:13949812:1 gene:TanjilG_00860 transcript:OIV92726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVAQNDQNMSDMSYNKVNSGRGSSCHGKCRGFRLNLRKFYVLRLSKRFTFFLRVFDKWKVSYGEAVQVLKKVFRRKGGFKRNNSNSSRSGLMREERIKDHVDCRVNSYMMNNSFYAEAIADCLEFIKRTSISSMDQVEDQVGHIQDINS >OIV92781 pep chromosome:LupAngTanjil_v1.0:LG18:14396027:14407063:1 gene:TanjilG_00915 transcript:OIV92781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDDGGESSSFVIGIIENRAKEVGLAAFDLRSASLHLSQYIETSSCYQNTRTLLHFYDPIVIIIPPDKLASNSTAAVTELVNRFYGSVKKVVLARGFFDDTKGAILIKNLAAKEPSALGLDTYYKQYYLCLAAAAATIKWMETEKGVVVTNHSLSVTFNGSFDHMNIDVTSVQNLEIIEPFHSALLGTCNKKRSLFHMLKTTKTIGGTRLLRANLLQPLKDIETINARLDCLDELMSNEQLFFGLCQVLRKFPKETDRVLCHFCFKPKKVTNEALAIDHAKKSQVLVSSVILLKTALDAFPLLSKVLKDAKSFLLSNIYKSVCENEKYDLIKKRIVTVIDEDVLHARVPFVACTQQCFAVKAGIDGLLDISRRSFCDTSEAIHNLANKYREDFKLPNLKLIFKNRQGFHFVIPQKNIQGNLPNKFIQVVKHGHNIHCSTLELASLNARNKSASADCFTRTEVCLEELMDAIRENVSVLTLLAEALCLLDMIVNSFAQMISTKPVDRYVRPEFTENGPMAIDSGRHPILESIHEDFIANNLFLSEAANMVIVTGPNMSGKSTYLQQVCLIVILAQVGCYVPARFSTLRVVDRLFTRMGAVDNLESNSSTFMTEMKETAFIMQNVSERSLIVMDELGRATSSSDGFAMAWSCCEHLLSLKAYTIFATHMENLSQLATMYPNVKILHFHVDLKNNHIDFKVFQLKEGPRHVPHYGLLLAEAAGLPSSVIETARMVTSRIAEKEVKRMGVNCLQYHSVQKYYHVSQRLLCLKYSNQDEDTIRQALQNLKEWYTKENIQS >OIV92863 pep chromosome:LupAngTanjil_v1.0:LG18:15005239:15006831:-1 gene:TanjilG_00997 transcript:OIV92863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAVFNKELLSWYLITLKLKETLESGIPSSPNSSETLVQQKLQQEPSESLKITINENGEILHEEVASAESEWLISITEKLDQACEDDVITSWDKLSIFKIPHYLRDNCGDDKAYVPQVVSLGPYHHGKRRLRQMERHKWRSLNHVLGRTNHDIRLYLDSMRKIEEMVRSCYEGTISLSSNEIVEMLVLDGCFVLELFRGATEGFTQLGYSRNDPVFAMRGLMHSIQRDMIMLENQLPLFVLDRLLGIQLGNPNKKGLVATLALRFFDPLMPTDEPLTKNDRNKLQSSLKNKTTTTATTTFDPLSDQGGLHCLDVFRRSLLRRGPQPEPRNWIKRWSHTRRVADKRRQQLIHCVTELKEAGIKFKKRKTDRFWDIKFKDGILRMPRLLIHDGTKSLFLNLIAFEQCHLECSNDFTSYVIFMDNLINSPADVGYLHYNGIIEHWLGSDAEVADLFNKLCQEVVFDINDSYLSPLSEAVNLYYNHRWNTWCASLRHNYFSNPWAIISFVAAVVLLLLTFAQTYYSIYGYYRPA >OIV93339 pep chromosome:LupAngTanjil_v1.0:LG18:8233323:8237744:-1 gene:TanjilG_23275 transcript:OIV93339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWRELGKKGIYVSFTRTLTTTPFRHLAGSTFTSRFAKQFGYASARSIPDCISCSSMAVAGFSSGTKECGIRRFHASPGVRARSDQTFGLKTPKREKYVRKDNRNQPPVEARYVPPTVKATKSGPVKTIEIFEGMTIAEFAKRSGKSVTFLQGILTNVGEKVQSEFEPLSMDIAELVAMEVGVNVKRLHTAEGSEILPRPAVVTVMGHVDHGKTSLLDALRSTSVAAKEAGGITQHVGAFVAIMPSGASITFLDTPGHAAFSAMRARGAAVTDIVVLVVAADDGVMPQTLEAMSHAKAANVPIVVAINKCDKPGANPERVKLQLASEGLLLEEMGGDVQVVEVSAAKKTGLDNLEEALLLQGEIMDLKARIDGPAQAYVVEARLDKGRGPLITTIVKAGTLACGQHVVVGSEWGRIRAIKDMVGRITQRATPAMPVEIEGLRGLPMAGDDVIVVHSEERARMLSSGRKRKYEEDRLRKMMIKDQPSTSELSEGEEVPQRVEMPVIVKADVQGTVQAITDALRTLNSAQVFVNVVHVGVGPISQSDVDLAQACGACIVGFNVKNPPTSLSQAASRASIKVILHRVIYHLLEDIGNLIIEKAPGTSETHIAGQAEVLSIFEVKGSKTKGPDVKIAGCKVVDGFVARSSTMRLLRSGEVVYEGPCTSLKREKQDVDNVKKGNECGLVISDWQDFQIGDVIQCLEQIVRKPKFIKSESGAVRIEC >OIV93183 pep chromosome:LupAngTanjil_v1.0:LG18:10794933:10795202:-1 gene:TanjilG_20845 transcript:OIV93183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKVKKCSALSLYLALLREKIEATELARPTTIPTLTCPLFGIGRPEHLIGMLLLPPFIIPLPLTHFLVISFFVMFFFASVKRTRIQEIF >OIV92934 pep chromosome:LupAngTanjil_v1.0:LG18:13676014:13679972:1 gene:TanjilG_20596 transcript:OIV92934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSLRGTLVKALAILRQLGQIGNEPLMADDSELECHQQLQKLYTSTKAAKHFQRHIVRGIEGFISVSSKQMEIVRKLGRDCCNYGTENQGSGFPLASASVQLGNSYEILENERETLLGIIGHQISEPLRAQITGAPLEDARHLTQRYEKLRHEVEAQAADVLRRRSKLRDSSVSAESSVRLQNAETRLKELKSALVALGREATAAMVSVEEQQQQITFQSLCKMVDAERAYHRHALVILEKIYTEMTEEKHPQESSSYPLPRDEYKQTEDDNSNLNDFDHKHTSQTGTYFFAKVIHPFDAQADGELNLSVDDYVVVRQVGPNGWSEGECKGNAGWFPSAYVQQQDLIPASKIVE >OIV93515 pep chromosome:LupAngTanjil_v1.0:LG18:2579189:2584877:-1 gene:TanjilG_28672 transcript:OIV93515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSTIIPFPSPSPSTPSPFTSSHSRHVSRLINSNHYTSPSRTIYSDRFIPTRSASNFALFDINSSPETREDASTAYSALLRTALFGPDAAGGVSPDKRNSLAMSIPSRNIFRYKTETRRSMHSLEPFMSDETVPGVNYSPVKAPRKVSRSPYKVLDAPALQDDFYLNLVDWSSHNVLAVGLGNCVYLWNACSSKVTKLCDLGIDDCVCSVGWAQRGTHLAVGTSNGKVQIWDASRCKKIRTMEGHRLRVGALSWSSSLLSSGGRDKNIYQRDIRAQEDFVSKLSGHKSEVCGLKWSYDNRELASGGNDNRLFVWNQHSTQPVLKYCEHTAAVKAIAWSPHLHGLLASGGGTADRCIRFWNTTTNSHLSCMDTGSQVCNLVWSKNVNELVSTHGYSQNQIIVWRYPTMTKLATLTGHTYRVLYLAISPDGQTIVTGAGDETLRFWNVFPSPKSQNSDTEIGASSLGRTTIR >OIV93612 pep chromosome:LupAngTanjil_v1.0:LG18:1197328:1200808:1 gene:TanjilG_04844 transcript:OIV93612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKDGSPKHYNQFDSKRNRLTWIFGVSGLCILSYIMGSWKNAPYTSNNQSDTYSKVGCDVASTSQSSSSETLSSPSTLDFSSHHHIEINNPSAMQDFPPCDMKFSEYTPCQDQVRGRRFDRNMLKYRERHCPTKDELIYCLIPAPPKYKTPFKWPQSRDYAWYDNIPHKELSIEKAIQNWIQVEGDKFRFPGGGTMFPRGADAYIDDINELIPLKSGNIRTAIDTGCGVASWGAYLLKRDIIAMSFAPRDTHEAQVQFALERGVPAMIGIMGSQRIPYPARAFDMAHCSRCLIPWGKLDGMYLIEVDRVLKPGGYWILSGPPIRWKTYWRGWERTEEDLKQEQDEIEEVAKSICWKKVIEKGDLAIWQKPLNHKECIQSRRVIKTPHICQSDNHDMAWYTDMEKCITPLPEVSSSDQVAGGALEKWPSRAFATPPRISSGSIPTITPEIFQKDNDLWRDRVAHYKHVLPLQQGKYRNVMDMNAHLGGFAAALLKYPVWVMNVVSPNSDHDTLGAIYERGFIGTYHDWCEAFSTYPRTYDLIHAGGVFNIYQDRCNITLILLEMDRILRPEGSAIFREPVELLLKIKSITEAMKWNSKIIDHESGPFNPEKILVADKTYWTGQATPDKN >OIV93254 pep chromosome:LupAngTanjil_v1.0:LG18:9725064:9733573:-1 gene:TanjilG_26009 transcript:OIV93254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEMMNATVGSALVLLVPSFREIQVAAAASAFIFFAYFFFTYRTDDTAEDRSPNDKDKMGLLKGDSQTNSGYLIKSELLAAKNLSGANLNGTSDPYAIITCGNEKRFSSMVPGSRNPMWGEEFNFSVDELPVQINVTIYDWDIIWKSAVLGSVIVPVESEGQTGVVWHTLDSSSGQVCLHIKTTKRSANSSRVNDYGGANTRRRMPLVKQGPTVVHQKPGPLQTIFNLLPDEVVDHGYSCALERSFLYHGRMYVSAWHICFHSNVFSKHMKVVIPFEDIDEIRRSQHALINPAITIILRMGAGGHGVPPLGSPDGRVRYKFASFWNRNHAFRNLQCAANNFHEMLEVEKKENAESELHAHSSSVRGGKILDKVPEESIPKAGKLQPFIKAEALVGIYNDDFPCAAEQFFNLLLKDDSNFTNKYRFVRKDTNLVIGQWHTAEEYDGQVREISFRCLCNNPMCPPDSAVTEWQHFVLSPDNKKMVFEIVQQMHDVPFGSYFEVDCRWTLETATESSCTLDIKAGVHMKKWCVMQSKIKSEAVKEYKKDIKVMLEVAHSYVKSPTPDDETDNASSSPAAVIEN >OIV93008 pep chromosome:LupAngTanjil_v1.0:LG18:13012289:13012618:-1 gene:TanjilG_20670 transcript:OIV93008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLNCLSCSQVLQRTDSYGELYTEKEYKEACKRVDRSWSGNIGPSPKCEGKKGGVVAKLKADHRRIHSTGNVSFSGSSEPRLVRSSGMRRDWSFENLVENQDQRVSCNG >OIV93092 pep chromosome:LupAngTanjil_v1.0:LG18:11858220:11861257:1 gene:TanjilG_20754 transcript:OIV93092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSFPDIFSDSNLLCSEDTSGIISGESSELSSSDIDLSPPTLSSSEEEESIAGFIENERNFVPGFDYLSRLQSPSLDASARKESVEWILKVQAYYSLQPLTAYLSVNYMDRFLNSRRHLPQTNGWQLQLLSVACLSLAAKMEEPMVPSLLDLQVEGPKYIFEPKTIRRMELLVLGVLDWRLRSITPFSFLGFFSCKFDSTGIFNGFLISRATQIILSNVQEASFLAYWPSCIAVAALLCAANEIPNWSLVKPEHAESWCEGLRKEKIIGCYQLMQELVIDNNKRKFPKVLPQLRVATQYPMRSSVSSSSSSSTSFSLSYKRRRINRHCLWLDGDKENQ >OIV92842 pep chromosome:LupAngTanjil_v1.0:LG18:14862814:14864501:-1 gene:TanjilG_00976 transcript:OIV92842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSLIGLQNAGKTSLVNVVATGGYSEDMIPTVGFNMRKVTKGNVTIKLWDLGGQPRFRSMWERYCRAVSAIVYVVDAADPDNLSMSRSELHDLLSKPSLSGIPLLVLGNKIDKPGALSKQALTDQMDLNSIADREVCFFMISCKNSTNIDSVIDWLVKHSKSKS >OIV93662 pep chromosome:LupAngTanjil_v1.0:LG18:1853954:1856278:-1 gene:TanjilG_04894 transcript:OIV93662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTTTTTTTSLKLQNQPSKPKHRNHRETTISSSTTKNQEPVLEPGSLPGSPSIYKTRFSPNTYYSPIMDFPHSSPTATINGYSGSGSGSHDPFPSSFTKFNSALTAGLLNPMSPPPRSSPTLSEIMATEPEIHHRTQIEPNNVIPGSVQRPDRKTLMMQRISEMLGSRSPGNQFNDAVSSDIKLTLSSKDGFSVCMNVHRQILVAHSRFFAVKLCERWMKQQPLPYDVEIAECDDVEVYIDTLRLMYCKDLKKRLMKEDVSRVLGILKVSSAIGFDAGVLSCLEYLEAAPWSEDEEEKVASLLSELRLEAVGAGEVLKRVSTEVTNGDEEGNDNEEVLLKLIRVVLEGKDEKARREMKGLVLKMLRENSSQNDVRKESLYSACDDCLQLLRCHFLTAATSDLQNVKQIARQADNLHWILDILVDRQIAEDFLKTWASQSDLSEVHSKVPSVHRFEVSRVTARLFVGIGKGQLLASKDVRCLLLKTWLVPFYDDFGWMRRASNGLDRHLIEEGLSNTILTLPLSCQQEILLAWFNRFLNSGEDCPNIQRGFEVWWRRAFWKRNGELEQTRHLQITTASFENL >OIV93281 pep chromosome:LupAngTanjil_v1.0:LG18:9232447:9233338:1 gene:TanjilG_23122 transcript:OIV93281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSTNTSSLFFPATPSTFRCRASAADLSPSFPRFFHSLTSAATTIGVRIGQGPDSSSLETGTRRGSSNDGMKPKAKEKNWSRNRESYLVDDSEPLPLPMTCPDSSPVSADEIDKRLQCDPKFEDCKEVVYEWTGKCRSCQGSGYVSYYSKRGKETTCKCIPCMGIVTVD >OIV92905 pep chromosome:LupAngTanjil_v1.0:LG18:15333557:15334681:-1 gene:TanjilG_01039 transcript:OIV92905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVKLVTRASPCSVFNPHYPEIRSKSQIFNPNPNPLLRVPISLKGGKASTKPFFFTVSARLRNENTVTIEDDEKKTTFDFNAYVLEKGDIINKALDAAIPLKEPETIHEAMRYSLLAGGKRVRPMLCIAACELIAGRQDMAIPAACAVEMIHTMSLIHDDLPCMDNDDLRRGKPTNHKVFGEDVAVLAGDALLSLAFEHVAAATKEVSPERVVRVIAELGKSVGGEGLVAGQIMDIHSEGLSVSEVKLERLEFIHIHKTAVLLEAAVVSGAIVGGATDEEIERLRKYARCIGLLFQVVDDILDVTKSSQELGKTAGKDLVADKVTYPKLLGIQKSKEFAAKLMSDAHEQLSAFDPDKAAPLYALANYIAYREN >OIV93062 pep chromosome:LupAngTanjil_v1.0:LG18:12318901:12322423:1 gene:TanjilG_20724 transcript:OIV93062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVAKDSYSPDSNGIILSPITNSIINLDQGDPVVFEPYWKKRSDEYATVIKGNDLMSYMSNPSDVCWFMLPETKDAIKRIHSVVGNAEIEDKHIVVGTGSSQLFLAALFALCPSDSPQPINVVAQAPYYSEYQDQIRFLSSRLFQWDGDAALYDKNEPYIELVCSPNNPDGVIQEPVVKSEAKGKLIHDLAYYWPQYTPITHKADHDIMLFTFSKSTGHAGSRIGWALVKDVEVATKMTRFLQLSSIGVAKDSQTRFVKIIGALCDSYNNFGSAESELFFQYGKRRMRERWEKLRAAIEQSKIFTAANYPKAFCNFTNESSETYPGFAWLKGEEGIEDAKSYLRKLNILVREGNRFGAGQNHVRVTMLGPDDVFNELLARLSNAKRDVV >OIV92641 pep chromosome:LupAngTanjil_v1.0:LG18:16205177:16209341:1 gene:TanjilG_17992 transcript:OIV92641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISKTVLVTGGAGYIGTHTVLHLLLAGFKTVVVDNLDNSSHLAILRVKELAGEFRNNLSFHNADLRDRDALEQIFVSTKFDAVIHFAGLKAVGESVKKPLLYYNNNLTGTITLLEVMAAHGCKKLVFSSSATVYGWPKKVPCTEEFPLSAANPYGRSKLIIEEICRDVYNAEQDWKIILLRYFNPVGAHPSGYIGEDPRGVPNNLMPFVQQVAVERRPALTIFGNDYNTIDGTGVRDYIHVVDLADGHIAALLKLDESDIGCEVYNLGTGKGTSVLEMVKAFELASGKKIPLVMAGRRPGDAEIVYASTEKAERELNWKAKYGIDEMCRDQWNWASKNPYGYGSQKDSTD >OIV92734 pep chromosome:LupAngTanjil_v1.0:LG18:14014878:14016143:1 gene:TanjilG_00868 transcript:OIV92734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYASSSNYSLPQEEEDIPLLTNSTPLSSKLKTLANIFIAIVGAGVLGLPYSFKRTGWVMGLFMLFTVAFLTYHCMMLLVHTRRKLESPFMGFSKIKSFGDLGFTISGPIGRLAVDSMIVLSQSAFCVSYLIFISTTMAFLTTNKNETASYFLGLSPKVLFLWGCLPFQLGLNSIPTLTHLAPLSIFADGVDIAAKGVVMVEDVFVFFKDKPDLEAFRGFSVFLYGIGVAVYAFEGIGMVLPLESETKDKEKFGSVLGIGMGVISVLFGAFGALGYFAYGEDTKDIITTNLGPGVISAFVQLGLCINLFFTFPLMMNPVYEVVERRFCGSRYCLWLRWLLVLLVSLVALLVPNFADFLSLVGSSVCVILGFVLPAMFHCIVFKDELGWRCIVPDVTIMVFGIVIAVTGTWSSLVEIISPKA >OIV93439 pep chromosome:LupAngTanjil_v1.0:LG18:5162078:5162593:-1 gene:TanjilG_10071 transcript:OIV93439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKTESSAFSVLSASRMIRITYLMALALIFAFSLPTHISASRIYTTKEHIFPEFIKWHIYVVNGLSNNQDLFTHCKSTENDLGIHNIPSGSNITWSFRTDFAHSTLFWCYVRKDYDASSSSTFDVFWYYHPLFEKCNWKNCIWVAKDDGIYLKDLSKNVDELIHGWYSGM >OIV93262 pep chromosome:LupAngTanjil_v1.0:LG18:9553203:9555383:-1 gene:TanjilG_23103 transcript:OIV93262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMALLSILGTWKRNRWKQKQRFRLFTTLLHSSEPHLLQVIPTNISIAKLANSGQLEQARHLFDEMPLRTVSSWNTMISGYSKWGCYTEALAFASLMHHSCVELNEVSFSMLLSACARDGSLLHGKQVHSLVLKHGYERFSLVGSALLYFCVQCYGIEKAKVVFEELRDENGGLWSLMLVGYVQHDMMSDAIDVFENMPAKDVVAWTTLISGYAKREDGCEKALDLFVCMRRSSDVFPNEFTLDCVIRVCARMRVLCLGKIVHGICIKNGVDLDNSIGGALIEFYCDCEAIDNAKRVYESMGGEACLNVANSLIGGLVSTRRIEEAEVIFNELRDTNYISYNLMIKGYAMNGQVEKSKRLFKKMSSKSLTSLNTMISVYSKNGELDEAVKIFDKAKDKRNSVTWNSMMSGYILNGQHKEALKLYVAMRRLSLDYSRSTFSVLLRACSCLGSFQQGQLLHAHLTKTPFQANVYVGTALIDFYSKCGHLADAQRSFSSIFSPNVAAWTALINGYGYHGFGSEAISLFQSMLAQGVVPNAATFVAILSACTHAGLVGEGLRIFHSMERSYSVTPTIEHYTCVVDLLGRSGHVKEAEEIIMQMPIEADGVIWGALLNASWFWKDMEVGERAAEKLFSLNPNPTSAFVILSNMYAVLGRWGHKTKLRKRLRSLELRKDPGCSWIELNNNIHLFSVEDKTHPCSDAIYATVEHITATINSIIPFNCLYSSIGG >OIV93218 pep chromosome:LupAngTanjil_v1.0:LG18:9986190:9988084:1 gene:TanjilG_27397 transcript:OIV93218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIISNSSRRASVTIEKVKSLGFDASLFLGAITSGELTHQYLLRRDDPWFAALGRSCIHLTWSGRGAISLDGLDLQVVENVEEAEFVLAHGTEALGTRNGSPRSMKLEDLERILELCAAKGIPMVVANPDYVTVEARDLRVMPGTLAAKYEKLGGEVKWMGKPDEIIYKSAMAMAGTDVSDCIAVGDSLHHDIKGANAAGMESVLITGGIHATELGLNGFGEVANSSSVQSLAMKYDAYPSYVLPAFTW >OIV93683 pep chromosome:LupAngTanjil_v1.0:LG18:492123:505072:-1 gene:TanjilG_16534 transcript:OIV93683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQLKTFGRELAMSSQSNFSQSKDFLNLIKSIGESRSKAEEDRIILHEIQTLKRHISDPNIPKRKMKEFIIRLVYIEMLGHDASFGYIHAVKMTHDDSLLLKRTGYLAVTLFLNPDHDLIILIINTIQKDLKSDNFLVVCAALDASCRLINEETIPAVLPQVVDLLAHSKEAVRKKAVMVLHWFYQKSPSSLSHLVSNFRKPLFDNDPGVMGAALCPLYDLIMIDVNSYKDLVVSFVSILKQVAERRLSKSYDYHQMPAPFIQIKLLKILALLGNGDKQSSEQMYTVIGDIIRKGDTSSNIGNAILYECICCVSSIYPNTKLLEAAADVVAKFLKSDSHNLKYMGIDALGRLIKISPHVAEKHQLAVIDCLEDPDDTLKRKTFELLYKMTKSSNVEVIVDRMIDYIISISDDHYKTYIASRCVELSEQFAPSNHWFIQTMNKVFEHAGDLVNIKVAHNLMRLIAEGFGEDDDAAAYSQLRSSAVESYLRIIGEPKLPSVFLQVICWVLGEYGTADGKYSASYITGKLCDMAESYSNDETVKAYAISALMKIYAFEIAAGRKVDMLPECQSLVEELLASHSTDLQQRAYELQALVGLDAQAVEEIIPQDASCEDIEVDKNLSFLNDYVQQSLEKGAQPYIPEDVRNGLGNITNFRSQDQLESSQHVLRFEAYELPKPPMPSKASPVSLSSSTDLVPVPEPLYSRETNHQVSSVGLASETGPSELKLRLDGVQKKWGRPTYSSPTSSSNSTPQKPVNGVTQVDAATATSSKVRDSFDSRKEPIEINPEKQKLAASLFGGGSTKPERRSSGSNRVLKSSASAAERSQESKAAAVSKEVAREKTNHQPPPDLLDLSEPTVTTAPPSVDPFKQLEGLLDPTIMSTVNHGDSGGAKNEPDIMALYAETFATGQSGSGGYSIPENMDNVNLLSELSNTATGVTTGETTVIPLSQPVKGPNTKDSLEKDAKVRQMGVTPTGQNPNLFKDLLG >OIV93154 pep chromosome:LupAngTanjil_v1.0:LG18:11170821:11171078:-1 gene:TanjilG_20816 transcript:OIV93154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTAAMLMLSHNSKTHNPSVIMQPSSPSATPYFGLKSPVLKMVAKFNPISKEGLDNNQIQTCCVVDHSAIEKKRFEDVLQLCSC >OIV93216 pep chromosome:LupAngTanjil_v1.0:LG18:9972084:9982400:1 gene:TanjilG_27395 transcript:OIV93216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLGGVGNNILRDTSVVAVTLDSDEVYIIVSLSTRTDTQVIYVDPTTGALRYNAKFGIDLFKSQSDALDFVTNGSRYVLKSRIHARAVLGYAALGNIALLLLATRLTASVPNLPGGGCVYTVSESQWVKIPLQNVISQGKGEVRNIQDLTELDIDGKHYFCETRDITRPFPSRKSLSEPDEEFVWNGWFSQPFVNIGLPRHCVTLLQGFVECRSFGSSGQLEGIVALLARRSRLHPGTRYLARGLNSCFSTGNEVECEQLVWVPKRAGQSAPFNTYVWRRGTIPIWWGAELKITAAEAEIYVSDCDPYKGSVQYYQRLSKRYDARNLGTRAAENSNRKALVPIVCINLLRNGEGKSECILVQHFEESLNFIRLTGKLPYTRVHLINYDWHASIKLKGEQLTIEGLWKLLKAPTVSIGISEGDYLPSRQRIYDCRGEVICNDDFEGAFCLRTHQNGVIRFNCADSLDRTNAASFFGSLQVFMEQCRRLGISLDSDLAFGYQSMNNNYGGYTAPLPPGWEKRSDAVTGKTYFIDHNTRTTTWAHPCPDKPWKRFDMAFEEFKRSTILSPVSQLADLFLLAGDIHATLYTGSKAMHSQILSIFNEDTGGKFKQFSAAQNMKITLQRRYKNAVVDSSRQKQLEIFLGTRLFKHLPSISLQPLHVPSRPSGFILKPVANLFPISGGEASLLSFKRKNLVWICSEPADVVEIFIYLGEPCHVCQLLLTISHGADDSTYPSTVDVRTGRHLDGLKLVLEGASVPRCESGTNLLISLPGAVSAEDMAITGASSRLHAQDASPLSLLYDFEELEGELDFLTRVVALTFYPTVSGSNPLTLGEIEILGVSLPWRGVFTNEGTGSRLIEHAKKFQEELNPFLSGSDINPFNPSCTENVLPLVQEDSSADLLIDLLSGEDPLPHPLAQPVIENVVYNEGDPLDFLDQAVEYHSAKSDSKISSEGARNSDTSAEQYLKCLKSLTGPSLQRKLDFIEAMKLEIERLKLNLSAAERDRALLSVGMDPATINPNTLHDEAYMGRLSKVASTLALLGQASLEDKLISSIGLGTVDDNTIDFWNIIRIGETCTGGKCEVRAEIKKGAHSSNVLSPNGASEPIFLCSQCERKVCRVCCAGRGALLLPGNNSREVMNYNGASSQSIGQVDLPINRLLARDGIICKQCSQDVVLDALILDYVRVLISLRRSDRVDKAAYNALKQIIGSSWDFLLEKNPASDSQSAGKATHLLLNGYESLAEFPFASFLHPVETASNSAPYLSLLAPFNSGLRLSYWKAPSSTTSVEFGIVLNNISDVSGVILIVSPCGYSMADAPIVQIWASNKINKEERSLMGKWDVQSMVKASSEFYGPEKSGTEHEVPRHVKLPFKNSVRCRIIWISLRLQRPGSSSINIGNDFNLLSLDENPFAEEPRRASFGGSAESEPCLHAKRIMVVGSPLKKEVDLKSQQSSDQLNLRGRLERAPQLNRFKVPIEVERLMDNDLVLEQYLSPTSPLLAGFRLDAFSAIKPRVTHSPSSDVNSEFFSSLIDDRYIAPAVLYIQVSILQEYHNMVTIGEYRLPETRAGTPLYFDFPRQIQTRRISFKLLGDVAAFADDPSEQDDSGNRISPLAVGLSLSNRIKVYYYADPYDLGKWASLSAV >OIV92965 pep chromosome:LupAngTanjil_v1.0:LG18:13423722:13430430:1 gene:TanjilG_20627 transcript:OIV92965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAACTVYSTQSLNTSCFISTPSHTHYGFHQKQVVFYTTSKRISNKRGINTISTSPYVIKCAGGDSQTVVIGLAADSGCGKSTFMRRLTSVFGGTAEPPKGGNPDSNTLISDTTTVICLDDYHSLDRTGRKEKGVTALDPKANDFDLMYEQVKAIKDGIAVQKPIYNHVTGLLDPPELIKPPKILVIEGLHPMYDARVRDLLDFSIYLDISNEVKFAWKIQRDMAERGHSLESIKASIEARKPDFDAYIDPQKQYADAVIEVLPTQLIPDDNEGKILRVRLIQKEGIKKFSPVYLFDDGSTISWIPCGRKLTCSYPGIKFAYGPETYFGNEVSVVEMDGQFDRLDELIYVESHLSNLSSKFYGEVTQQLLKHTDFPGSNNGTGLFQTIVGLKIRDLYEQIVAARAETPVRSAKV >OIV93648 pep chromosome:LupAngTanjil_v1.0:LG18:1631190:1631501:1 gene:TanjilG_04880 transcript:OIV93648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KCGCAIRAVFSDRIKKAYQRNRNLASLIVDPEFAREMLRQRAWKRIVWLPISATISTRRMCASLAYFVTYRRARLPAILVQGQRDLFGAHTYERVGRSTKLAR >OIV93027 pep chromosome:LupAngTanjil_v1.0:LG18:12744185:12748157:-1 gene:TanjilG_20689 transcript:OIV93027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLCSTFVNPNLQSLPPYHKTLSPNHGYLKQFCVRASGSNSEDGERTIIKKEKDGWNIKFSSQKPVTPLLDTINDPLHMKNLSIQDLEQLAAELRADIVHTVSKIGGHLSSNLGVVELSVALHHVFNTPEDKIIWDVGHQAYPHKILTGRRSRMHTIRKTSGLAGFPKRDESIHDAFGAGHSSTSISAGLGMAVARDLLGKNNNVISVIGDGAMTAGQAYEAMNNAGFLDANMIIILNDNKQVSLPTATLDGPATPVGALSRNLSKIQASTKFRKLREVAKTVTKQIGGQTHQVAAKVDEYARGMISGSGSTFFEELGLYYIGPVDGHNIEDLIHIFENVKAMPAPGPILIHVVTEKGKGYPPAEAAADKMHGVVKFDPSSGQQFKQKSSTLTYTQYFAESLIKEAEMDNKIVAIHAAMGGGTGLNYFQKRFPDRCFDTGIAEQHAVTFAAGLAAEGLKPFCAIYSSFLQRGYDQVVHDVDLQKLPVRFAMDRAGLVGADGPTHCGAFDIAYMACLPNMVVMAPSDETELMHMVATAASIDDRPSCFRFPRGNGIGILIEGNRVAILGYGSMVQQCLQAAQMLKTLDISVTVADARFCKPLDTDLIKLLAKDHEFLITVEEGSIGGFGSHVSQYLSISGILDSPLKWRAMMLPDRYIEHGSPQDQAEEAGLSSKHIAATILSLLERPKEALLFK >OIV92602 pep chromosome:LupAngTanjil_v1.0:LG18:16436785:16440568:1 gene:TanjilG_17953 transcript:OIV92602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTPQKNQINAVNTNSIFEHSPVFNFINSLSPIKYVKKAAPITQTFNSLVFSSPPSVFTSPHVSSLKESRFMNRHIDVKKIYSNEEALADSTPLHGNLSEIQESTYQRIFVSNAAIELPQPLKYNCGRADKANNLLELHGEATSDVQEEGYETDSVERKVLHEGIEPKSERSECDWDGLIPESTDLLIFNSPNEAGAFHALFLKPLDSSVNFTSLLPQTTINNDPNVQIVDSGASGSDHENEDRHSEPVAVTETGQALDNVAMNSIPNEKTDDELVYVTQRGLRRRCLDFEMASVLGKNSDDNSNTDSTTSQSVRESIANEKQLVPTKRNSDSRKCILPGIGLHLNALATLKDRNSTKIDELSSGRQLSLLCSTSSLQISTSQEHHHLALVAVSSERELDLSNNGVHPAEDCSQAHEYLNQNSPKRKRQVQFLLSMLMRKSDPAGETEGCKRCNCKKSKCLKLYCECFAAGVYCIEPCSCQDCFNQAIHEDTVLQTRKQIESRNPLAFAPKVIRSSESVTEVGDDPNKTPASARHKRGCNCKKSSCLKKYCECYQGGVGCSIGCRCEGCKNAFGRKDGSALIEVDPEEETEACEKGVAEKALQKTVIQNIEDHSDPALVSTPSRLSRPLLPLPFSSKGKPPRSFVATISGSALFASSSQKLGKPNSVWSQSKHFQTVTDDEIPDVLRNDSSPIACIKTSPNGKRISSPNCDLGSSPSRRGGRKLILQSIPSFPSLTNHQ >OIV93015 pep chromosome:LupAngTanjil_v1.0:LG18:12924588:12925180:-1 gene:TanjilG_20677 transcript:OIV93015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEAEQDLPPPTSNVDTSRPSLGFPLGTALLLIIIFTLSGIFSCCYHREKFRSLQTSLSQSNTTHTPTHQSSSPNSNINSTESKQNKGQSMPVLMPGDDVPKFIAMPCPCQPSLPERIIVTVEKPPPAPKPPRMPVPLY >OIV92790 pep chromosome:LupAngTanjil_v1.0:LG18:14465906:14468702:1 gene:TanjilG_00924 transcript:OIV92790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSVIESKEGKAIRIENPFSLKIGQVFTGFGIGCGVGIGVGHPLNLGAIPMLNQVMSAASGATHAFSGVTRHVNTSLRKLGAKNIEAGVGCGVGFGHGFGVGLAIKPGVLNQIQSSLVVGLTKMMIKFGITPDLPFGPGALPTSLQSGLGIVNSNQISRGSMMQLATKGVDQASQGLAGSKPLQIGSAFENTALKSKALDSTFESRTEKVLNNFLQNPLLKGEGEPHNEVGGRLLSENNLLQMVLKHQQKIEQLMEENQKLHQILVEDLKIPSSKLQTSSSGRNKLPCTDCFECRRKQRKK >OIV93418 pep chromosome:LupAngTanjil_v1.0:LG18:6048351:6050057:-1 gene:TanjilG_02955 transcript:OIV93418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCAFKAETWSSLEISKTRFRESSYILFGQNKRPNPGSGEQTSLPPGYEGVDRNQQLQRDQKNGSYDTIVGQAVSGVIEAVFDAGYLLNVRVGSDTILRGDNFSNGVPISNQPLQVMTQISLGSGTIVSSEIPAGGSQAIISHTQNSQNMLSSGMPSEEVVKRVQPPSDAMDSETDNSKSGDRIPLKDPSSGKEDKANNMDQPVLIKLAQAVQSRPNENSTSDNSIENQTSKAAEVASGNKLDSVRNLGTEHEDRGTVQSTKPI >OIV92731 pep chromosome:LupAngTanjil_v1.0:LG18:13991265:13991918:-1 gene:TanjilG_00865 transcript:OIV92731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCAIRFLTEKVTEVAEAAPPPEAVQLESDFVVILAALLCSLICVVGLIAVARCAWLRRVPVAGNSPSQAVANKGLKKKVLQSLPKFSYAESNPSKWLATPECAICLSEFAGGDEIRVLPQCGHGFHVGCIDTWLGSHSSCPSCRQVLAVARCQKCGRFPAVGGGGGSASNGAVVNERELKSREDDNGVANNNSIGSSCSNMVGHHSPNDSSNFFLP >OIV92967 pep chromosome:LupAngTanjil_v1.0:LG18:13412469:13414301:-1 gene:TanjilG_20629 transcript:OIV92967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGIFSRFSVGRNIIHRRTQSALDEREVIPQNSEAAAAASGVTATSHGIEVAVEFKPVEHPMEPIDNDSPIQCPLPEPSILNDGRIWKERVSATVRRRGELPVMKEGGSLESEGGMTRPRTSQSNRMILPSLSAPEHNILKLLEECNAAGL >OIV92631 pep chromosome:LupAngTanjil_v1.0:LG18:16250585:16255044:-1 gene:TanjilG_17982 transcript:OIV92631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCICSKESSSDKDKVDENEKENNELKKSTVQLVAPNVSTAELNGGGGGSMEDDDGSVPHMAKSYSQIIKSCVPALSLLEDKNNNNINNPLDVVVKSSTKGKNQHQTCITLGGGERKPMMSRILSVPHGLGGHIDNAWPSWLSSVAGEAIKGWVPRRADSFEKLDQIGQGAYSSVHKARDLETGKIVALKKIRFSSSDPESVRFMAREIYILRQLDHPNVIKLEGIVTSTTSTSLYLVFEYMEHDLAGLAALPGIKFTEPQIKCYIKQLLCGLEHCHQRGVLHRDIKGSNLLIDNNGNLQIGDFGLATVYDPDKKQALTSRVVTLWYRAPELLLGATEYGSGIDLWSVGCILAELLVGKPIMPGRTEVEQMHKIFKLCGSPSEEYWQRTKLPHATSFKPQHSYKRNLFETFKSFPSSALALVDKLLAIEPENRGSATSALNSEFLTTNPLPCDPSSLPKFPPSKELDARRRDKEEMRKNNEIVKGREPLSVLRGAGNTKGMRSPERNIIIQGKSHSRNSIVKNQSHEDDGSHHKKDPTRVSIQNGYTHSTSMMHGSATGQSSMHKLEDMSRKNPDLRTQSENHVTDFSASSIKRDQGASGRGYVAKKNRIHYSGPLVPPGGNIDDMLKEHERLMQEAFRSVKKTNHKN >OIV93608 pep chromosome:LupAngTanjil_v1.0:LG18:1158149:1158586:1 gene:TanjilG_04840 transcript:OIV93608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGDKIRQIARLKQLLTRWKQLTLLRNRTLNDTVSSPLPPSGFLFIYVGPERQRFVIPARFLNLPIFASLLKQSEEEFGFIFNGGLVLPCQVGFFNNIVNYLYKDENKFGKFSVQDFQNIISDLGFDPCKEKILAFTPLLQKTKV >OIV92989 pep chromosome:LupAngTanjil_v1.0:LG18:13181173:13182162:1 gene:TanjilG_20651 transcript:OIV92989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLVIRERRHQQALRLSLPPPSHDHLCHQIHLPVLLPSKSTHSNSSPGIRNFSDLKKVAVLGHGNDGTVYKVRHKKNHSFYALKVLRFNQNSIDIIQPAKVLEAEILKRVDSPYIVKCHAVFDHATCESDSGGGLSFVMEYMEGGSLNDVLTEHHRLPEEAISVLAKRVFEGLDYLHGMHIVHRDIKPSNLLVNDKGEVKIADFGVSHVVEGRFDATELNAGTCAYMSPERVDPERWGGQDVDEFAGDVWSMGMVMLECFLGYFPLISPGQRPDWATLMCAICFGEKLKMPEKASSEFQNFLRRCLEKDWRKRATVKELLHYPFVNRMH >OIV92707 pep chromosome:LupAngTanjil_v1.0:LG18:15678835:15679734:-1 gene:TanjilG_25139 transcript:OIV92707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDLMTSSFLSYVELKKQVQKDIEKDYLDIEAGQLNPAQDPNLSKFFIEVDGVKVDMEEITNLLFDLKQLNEETKSTHSSKILRGLRDRMDSDMVAVLRKAKIIKAKLEALDKSNIANQSTSESYKEGTPIYRTRMSVTNGLRVKLRDMMNDFHSLRDKILSEHKEDLKRRYYTATGEVPSEEAMDKMISGSLKVEFLAGKTEADMGSQIRHEAVMDIQRSLNKLHQVFLDMAILVETQGEKVDNIEDNVANAGNFIHGGTNSLHYANQMKKRSKKWVFWVCAVVLIILLVCIIAMLSS >OIV93462 pep chromosome:LupAngTanjil_v1.0:LG18:4515629:4522927:-1 gene:TanjilG_21023 transcript:OIV93462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEGEGIDYPPKNVSSSDAAPALDIPAKKLARQLNFTGSGGAVLLPEQEKSQPQQLLLQVDMAQSQLSLQSRPPQPQTLAQSVISSLPLQQLPLQAPHPSLRVGKSESPKARSRPNFESKDGTPKKQKQCNCKHSKCLKLYCECFASGVYCDGCNCVNCYNNVENEAARREAVEATLERNPNAFRPKIGSSPHGACDRREEVGEVLILGKHNKGCHCKKSGCLKKYCECFQANILCSENCKCMDCKNFEGSEERQALFHGDQNNNMVYIQQAANAAITGAVGSSGFSSPPISKKRKGQELYFGATTKDSSVGRLGQQANHVRSPAPSLSLSPIPCAHVGPSTVGPSKVMYRSLLADIIQPQHLKELCLVLVLVSGQAAKTLTDQKNLMDKHTEDRRATSHVFSTQEQLPSQKESDDCSKANQTDKISPDNSSSDGADAPKGRSMSPGTLALMCDEQDTMFMTTASSIGSIAHACNTSSQLPYRQGMTDAYAEQERIVLTKFRDFLNRVITIGEINETKCSSLARSELHSPKDPIINGTGNARAETTQQQGAASNGVAKAHVPPMATSMSTISTSLVANNVPENGEIKLKVEKDI >OIV93233 pep chromosome:LupAngTanjil_v1.0:LG18:10225969:10227018:-1 gene:TanjilG_27412 transcript:OIV93233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRKSKTKPAPKKRMDKLDTVFSCPFCNHGTSVECRIDMKNLTGEAFCRICQESFSTTITALSEPIDIYSEWIDECERVNNLEDDA >OIV93386 pep chromosome:LupAngTanjil_v1.0:LG18:7006446:7009216:-1 gene:TanjilG_24106 transcript:OIV93386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRELGVIGSISKSEDRKRINEVLDKQLQRSSPSTSRPINPKDNRDRDNKSSLNSSNISKNSNVSAAEESETDSEEVDVSGSDGDDTSWISWFCNLRGNEFFCEVDDDYIQDDFNLCGLSSQVPYYDYALDLILDVESSHGDMFTEEQNELIESAAEMLYGLIHARYVLTSKGMAAMLDKYKNYDFGRCPRVYCSGQPCLPVGQSDIPRSSTVKIYCPRCEDLYYPRSKYQGSILITYY >OIV93498 pep chromosome:LupAngTanjil_v1.0:LG18:3563959:3564910:-1 gene:TanjilG_11080 transcript:OIV93498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYYNNQQQPPIGVPPPQGYPSKDAYPPQGYPVQGYPPPGYPQQAYPPQYVQQQPQRQETGFLEGCLAALCCCCLLDACF >OIV93556 pep chromosome:LupAngTanjil_v1.0:LG18:651244:652341:-1 gene:TanjilG_04788 transcript:OIV93556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKVEWCELPLELWPKIGKYLDKHIDILRFRSVCESWRSSISPIHLNSLSFPLQIPHPINSSIDAYLNQATLYLVEPTHSSSNFQSFSKGYLIKVEESKNHPFRLVSPISDRKINNHVSVSPMVLNLLEYRVFELCKSYNVHSNGGHYVSVNKVVFFPNSTWINIENSVACCVFREGKLGLMKHGYEKWKLVDENNFYYDDVIVFKGQFYVTDKWGTISWIDTCSLKLIQYSPPLCGFGNKKHLVESCGSVYVVDRYYESGPRTRRRNHVEHPNHDAAVECFKVYKLDEEWGKWVDVKNLGDRVFILGNSCNFSVSAKDVIGYEGNCIYFTDMFDVRMYNLQDHSIITIAFDPWIEKFAENWRK >OIV93438 pep chromosome:LupAngTanjil_v1.0:LG18:5158070:5159855:1 gene:TanjilG_10070 transcript:OIV93438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LAMALQNTLSSTLPFTFKPSSYSSSFTKFFTCSIPIPKTSTTSFINLSPTPKHITTTVRATSSSPSFGSRLEETIKKTVSDNPVVVYSKTWCSYSSEVKSLFKKLGADPLVFELDEMGAQGPQLQKVLERLTGQHTVPNVFIGKYHFCCIIFILNHTNSG >OIV92694 pep chromosome:LupAngTanjil_v1.0:LG18:15786853:15792274:1 gene:TanjilG_18045 transcript:OIV92694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSIGGEEAMQQGHEERILVSVRLRPMNDKELARNDVSDWECINDTTIICRNNLSTSDRSLYPTAYSFDRVFRGDCSTRHVYEAAAKEVAVSVVSGINSSIFAYGQTSSGKTYTMSGITEYAVADIFNYIEKHPEREFVSKFSAIEIYNESVRDLLSADSTPLRLLDDPERGTVIEKLTEEAVRDRNHLTELIAFCENQRQIGETTMNDASSRSHQILRLTIESSALEFHGNDKSSSLAASVNFVDLAGSERVSQTNSAGTRLKEGCHINRSLLTLGTVIRKLSKGRNGHIPFRDSKLTRILQSSLGGNAKTAIICTMSPARSHVEQTRNTLLFASCAKEVATSAQVNVVVSDKALVKQLQKEVSRLETELRISATPHPISDSTALLREKDREIEMLKKEVRELMLKLDRAQSQMKDMAQVAEDDVESMDLQYPRLRVRNTWDFENQSDEPNILSDGVESIRSFHASQYSDGHSISSDENLFQLPDLEKNLPIRIASPGISIASTDAVSNDLDQKSVDDEHEGEHCKDVRCIESEDMIANTHTHSNQADLSPKNTYTNSNTANFRLTVVDNGDKKNMDLSPSELKEDKKLDHSRQGFALPSTETISPWMSRYSSFSCKTLKLSRSRSCKGSLMKSSSSDWFDMEEIMQNTPEMGDEKDFSIRPGGFQRKVYTLNYNANVERKSLDSYINFVGGAQIAESSTNKETESNGPNGNAKENGSFNLVADNEVTETGLNPIMSTKNFKDVGLDPMQADGENHSDWPSEFKRLQGEIIELWDACNISLIHRTYFFLLFKGDPSDSIYMEVELRRLSYIKQTFSQGNQIVESGHTLTPESSMKNLRRERQMLSKQILRRLSKSERENLYLKWGLRLSSKHRRLQLTHRLWTDTKDIDHVRESAAIVAKLVDLVEPHQVLKEMFGLNFAPRPISRKYFGWTASMKNILL >OIV93641 pep chromosome:LupAngTanjil_v1.0:LG18:1533616:1537676:-1 gene:TanjilG_04873 transcript:OIV93641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTLRIDYLKHKFGYNNKNLLVKLVLTILFFGLAFKFVFFRSLSHEISSDLEHPFTEKTNTVPEPQNPHVFSQYVTEIPTTLPEQVPQLPPVLEHVPQNEDQVSHTNKETCDYFSGDWVPNPVGPVYTNESCNFIEGPQNCLKNGRPDKEFLYWKWAPRDCDLPPFDPYKFLNAMRNKAWALIGDSISRNHVQSLLCMLSMVEQPVLVYHDEEYKSKRWHFPSYDFNISVIWSPFLVEAAIFEDINGVSSSDVELYLDKLDSKWKDQFMNFDYIIISCGKWFLKSAVYYENDTILGCHYCDHKRNLTELGFNFAYRKALKFVLNSIASSNYKGSIIFRTFTPDHFENGEWFSGGTCNRTAPVKEGEIVMKYLNTMLRDIELEEFGKAASEASRNGVNLKLVDLALLSLLRPDGHPGPYREFHPFENKDKNAKVQNDCLHWCLPGPIDSWNDILMQMVVNG >OIV93698 pep chromosome:LupAngTanjil_v1.0:LG18:374509:374787:1 gene:TanjilG_16549 transcript:OIV93698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFRLPAIRRASFSASQAASKCVEVPKGYLAVYVGEKQKRFVIPISYLNRPSFQDLLSQAEEEFGYDHPMGGLTIPCSELIFRQTTSRLNGI >OIV92794 pep chromosome:LupAngTanjil_v1.0:LG18:14502225:14505395:1 gene:TanjilG_00928 transcript:OIV92794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTASGNIGRSEELSGKRSMENGDCTSLSRSMYKRRKVSAIRDFPEGCGPLASSVVPVLEVDIADISSANGTSVEDKNGEHSGDDTVRMSNCKADSQHSEFKKDLLLTESLRQTIDCSLKNENLVVASHHVDELSLANDDSAEEPMVGMEALKDTELTLGQTTDCSLKNENPVISSHYMSGLPLENDVPAKVPLVGMEALEDTGLTGIGNFVKCESSIPKSSSPVGGVALSSDSKSLLKANVSGSSASIEKAMTRRYAPKRKLSAVRDFPPLCGCNAPRLKEISLNDKIPSQKNLAVDDNPLKKISATDVQEKDNSIQDGNACKRKLVDVAKPDPERSATKNVKKMDAFELPQESNHQVEIYSKAIVEEENKDAVQDLQVEGTSGLAIMVFPEVQSPEEKPLDTSTCQYNLKGDFSGLQDSPDRKVALGLLSKSECPRRSDKASSKSKMLGVKNERKGMKGGCFAHLDRSKAALKRKDAPSHSGKKPLKKERENAASGLQLVVFGNKDSLDSDENIEDFHVVPKSHCFNVNVPPFGHGKFGGHLNNSTVTRNKVRETLRLFQVVSRKLLQQLEGNSKERANISKRIDLQAAKILKEKGKYVNTGKQILGCVPGVEVGDEFQYRVELNMIGLHRPIQGGIDYVKHDGKILATSIVASGGYADELDNSDVLIYTGQGGNVMSTAKQPEDQKLERGNLALKNSSEEKNPVRVIRGYESTDGRPKTLVYDGQYLVESCWQDMGPHGKLVYKFRLRRIPGQRELPLKEVKKSKKFKTREGLCVYDISHGKEQIPVCAVNTVDDEKPPPFIYITSMIYPYGNLSRPEGCDCTNGCSDLNKCSCVVNNGGEIPFNHNGAIVEAKPLVYECGPSCKCPSTCHNRVSQLGIKFQLEIFKTSTRGWGVRSLNSIPSGSFICEYIGELLEDKEAEQRTGNDEYLFDIGNNFSNSTLWGGLSTLMPNAQSRSLEVVKDGGFTIDAAQYGNVGRFINHSCSPNLYAQNVLYDHDDDRMPHIMFFAAENIPPLQELTYDYNYQIDQVFDSYGNIKRKDCYCGSVECTGRMY >OIV92848 pep chromosome:LupAngTanjil_v1.0:LG18:14914775:14917220:1 gene:TanjilG_00982 transcript:OIV92848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCNGCRVLRKGCSESCILRPCLQWIDTPEAQGHATIFIAKFFGRACLMSFISNVPEIQRPALFQSLLFEACGRTVNPVNGAVGLLWTGNWHVCKAAVETVLRGGTLSPMPEFLAIDAPTPTSDDASEGEVTCNDMWRLRDPNSNFRFTSSSSKVSSSGKRKRSEEIDDLNLRLTPSFLQNSQAYICRRDIRRPGSPSMNSEESVTTTACLDSGLGDRYAHGDDRKVLNLFWIDTPEAQGHATIFIAKFFGRACLMSFISNVPEIQRPALFQSLLFEACGRTVNPVNGAVGLLWTGNWHVCKAAVETVLRGGTLSPMPEFLAIDAPTPTSDDASEGEVTCNDMWRLRDPNSNFRFTSSSSKVSSSGKRKRSEEIDDLNLRLTPSFLQNSQAYICRRDIRRPGSPSMNSEESVTTTACLDSGLGDRYAHGDDRKVLNLFV >OIV93560 pep chromosome:LupAngTanjil_v1.0:LG18:683459:687001:-1 gene:TanjilG_04792 transcript:OIV93560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRNFKDAVQNRRVLSVINQNLLQDKQRFAAQVISKQQSCTQEPKKSSSPVSNSNGSENTVITEDELKSLAAQPAPMSLEQSGPIYSDPYQMEEVEMEDISEVPILDIDSCDVNNPLAAVEYIKDLHSYYRRVESISRVSPDYMAQQFDINEKMRAILIEWLIEVHDKFDLVQETLFLTINLIDRFLAKQTVVRKKLQLVGMVALLLACKYEEVSVPVVGDFILLSDNAYSRKEVLEMERLMLNTLQFNMSVPTAYVFIRRFLKAAEADKKLELLAFFLIELSLMEYEMLKFPPSMQAAAAVYTAQCTVNGFKQWNRKCEWHSTYSEDQLLECSSMMVRLHQKTATTKLKGVHRKYCSSKYAYTAQCEPAHFLLEDHA >OIV93669 pep chromosome:LupAngTanjil_v1.0:LG18:610488:614415:1 gene:TanjilG_16520 transcript:OIV93669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSTHATFLTTLLVFKNTIREELIRSVHVYKVRGGKEREVEREFVFSESGSYGEMQASPILRNPKLKMIPGLLNDLRVIYELPCKSEDRSIPSDSPGECQAKTNDCQPPKKTMPMLRQDLNCLPIDDDMSESLDNKLDVETLPGIMEKKRRAHSDHIAKIALPDLVKYFDVPIIEASRNLNVGLTVLKRKCREFGIPRWPHRKIKSLDGLIHDLQEEARQQESEGEAAAEAVAERKRMLESEKENIERKPSVDIKYETKRFRQEIFKKRHRARILQKQISTLSNT >OIV92636 pep chromosome:LupAngTanjil_v1.0:LG18:16226678:16228236:1 gene:TanjilG_17987 transcript:OIV92636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATNVQSEDASQHNNIAPPKQSLPPPKTVDSQSVLRRLQSELMALMMSGDPGVSAFPEEDNIFCWKGTISGSKDTVFEGTVYKLSLSFPNDYPFKPPKVKFETTCFHPNVDMTGNICLDILQDKWSSAYDVRTILISIQSLLGEPNISSPLNPEAAQLWSNQAGLRSFPVYFGEDKG >OIV93244 pep chromosome:LupAngTanjil_v1.0:LG18:10453644:10456193:-1 gene:TanjilG_27423 transcript:OIV93244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVDYYKILQVDKNANDEDLKKAYRKLAMKWHPDKNPNNKKDAETKFKQISEAYEVLSDPQKRAVYDQYGEDGLKGQVPPPDAGGASFFQSGDGPTTFRFNPRNANDIFAEFFGFSSPFGGMGGGGGSGGMRGGTRTFGGVFGDDIFTSFGDGSGRPMSHGPRKASPIENVLPCSLEDLYKGTTKKMKISRQIADASGKTLPVEEILTLEIKPGWKKGTKITFPEKGNEQPNIIPADLVFIIDEKPHGVFTRDGNDLVVTQKVSLAEALTGYTVHLTTLDGRNLTIPINNVIHPNYEEVVPKEGMPIPKDPSKKGNLRIKFSIKFPTRLTSEQKAGIKKLIAP >OIV93526 pep chromosome:LupAngTanjil_v1.0:LG18:2365486:2367059:1 gene:TanjilG_28683 transcript:OIV93526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQGTLEVFLVSAKGLENTDFLCNMDPYVLLTCRTQEQQSSVASGKGSDPEWNETFIFNLSEGISELKMKIMDSDTLTAHDLVGEVDIPLEPLFIKGSLPITVYNVVKDGHYCGEIRVGLSFRPEERRDRELEESFGGWKESSY >OIV93167 pep chromosome:LupAngTanjil_v1.0:LG18:11010724:11011398:1 gene:TanjilG_20829 transcript:OIV93167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEELPTFPGELMKINDRSGSDVSESEEDEEWMGQNQNWGEASFSNEEDADVEIPPALTFQNPLGCTESSISGRVDVDSSAFLVGVVADKTDTQVEGELIIACSDSIVPESNLDSNYFGASKESKSETFICEEGNNKGHKGLDKFYELYDQGVVGGNIEPHSLRTNIQSRTDGKLKGKLKAKLISDPFMGFSIGKTKNSKLKQYASNSKKASDPNGKGGKGLGGN >OIV92811 pep chromosome:LupAngTanjil_v1.0:LG18:14650191:14653311:1 gene:TanjilG_00945 transcript:OIV92811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPRQNLLPSKHDLPRLVAVITVASVVAWTCNLFFVHPPTIPFCHSSHDLFASDSCLPCPSNGECVDGNLQCLQGYRKNGNLCVEDGEISQLAQNIVERAESHICSEYAQFSCYGTGSIWVSSVTFNPLIVQEDEFWNHFESMANAGYENALYNYTKQRAVETIGKLLETRLNAHGMKEFKCPDFMAEHYKPYACRIHQWISQNILLLLPICAMIKQLAGCTTLILKVCQKRRVSRRVEELYNQVCEILEENALTSKSVNSECESWVVASRLRDHLLLPRERKDPLLWKKVEELVQEDSRVDIYPKLVKGESRVVWEWQVEGSLSASKMKKKIHASKTMVNESVALNHQRHPTKKEEPKEPLFDR >OIV93314 pep chromosome:LupAngTanjil_v1.0:LG18:8445964:8449650:1 gene:TanjilG_23086 transcript:OIV93314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLRELLTEEGFDLIVSPKYPNLKRLKQKQKLSPETPETKPLPLYICHDRKNIDCSNSKHKGVKANIQKGSLSFHSKTKRVVGSVSETLNSSNSLVSSVSRTIGPLMDEVTIKAVIAILSGYIGRYIKDGFFRKRIRDKCNSYLVRRSKYSESDYEILLKMKLGMENIDKLVQGQGTKKEVMMESLRNSIELLKIVASLNSKTSKNGSTCGLPNSHLSACTQLYIAIVYNLQKNERICARHMLQVFCDSSFLARTYLLPDLWEHLFLPHLLHLKIWYTEELETIKVSNECHGKKEKRMKLLNKVYDNKVDTGTTMFALYYKQWLKVGANEPPLPIVTLPSRPSHISSRRRTNPNLYREVFGPKLEHKPTNLADQGELLKVRWSSGSETDENLDIDENNCSSLQKEDVVSFETSLRQIDKNHVEIRSESEKLDYFQCFSSRASQAGTVVNRSYRSNDASFREETTFLSSEFVGAIRTLCSSEILSECEFSIRVITKVWLSSHGDPLVQEALTQSSVIEGILGVLFASRDDEILELAISVLAELVRRNNAIRETILSSDTHLEIFARLLRSTSLFLKAAILLYLLKPQVKHMLSSEWVPLVLQVLEFGDKMLTLFTVQCNPQVAAIYFLDQLLTGFDEDKNFENARKVASLGGLTLLMRIIEEGEIHDRNNAALIINYCIQAEPSCRSFLADNINKASLLELIVLGHNKNSSGCAFSVLVELLYIDRGLKDGWGALNTMHIFFIYLQRAPLEERPLVAMILLMLDIMEDPCKCSNLYRAEAIEAVVNALNCQINNDVIKEQSARALLLLAGHFSYTGESLMEKSLLQQAGFLENSLQDSSHGKEIFVHNLAHKNKEEDEDESWRKRTANVLLKNGNKTLLRALANCVANGIPSLAKASLVTISWLSSYLHLVEDRKLPPLVLSIFMPQLVKSLNYDEDIKARVLASYSLLCLVKNSGMKILPSSKLC >OIV92587 pep chromosome:LupAngTanjil_v1.0:LG18:16499390:16528131:1 gene:TanjilG_07578 transcript:OIV92587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTASHRHTLPPSAATNVDTLNRILSDLCTRPNPKEGASPSLKKHIEEQARDLSGDAFSRFMDQLYDRISTLLDNTDVTDILGALRAIDELIDVSLGENASKVSRISTYMRNVFDAKRDPDVLLHASKVLGHLARAGGAMNAEEVERQVQIALDWLRGTRLEYRRFAAVLILKEMAENASTVFNVHVPEFVDAIWVALRDPALPVRERAVEALRACLRVIEKRETRWRVQWYYRMFEATQDGLGKNAPVHSIHGSLLAVGELLRNTGEFMMSRYREVAEIVLRYLEHRDRLVRLSITSLLPRIAHFLRDRFVTNYLTICMNHILSVLKVPQDRDSGFIALGEMAGALDGELIHYLPTITTHLRDAIAPRRSKPSLEALACIGSIAKAMGPAMEPHVRGLLDIMFSTGLSTVLVEALEQICTSIPSLLPTIQDRLLDSISMVLLKSHYHLGRLATSMGRGTTTNASQQFSELSGSALVQLALQTLARFNFKGHDLLEFVRESVVLYLDDEDGATRKDAALCCCKIVSNSFSGILCAHFGSSRLNRSGGGKRRRLVEELVEKILISAVADADVTVRHSIFTLYLWKLLSKYVQDRLLDSISMVLLKSHYHLGRLATSMGRGTTTNASQQFSELSGSALVQLALQTLARFNFKGHDLLEFVRESVVLYLDDEDGATRKDAALCCCKIVSNSFSGILCAHFGSSRLNRSGGGKRRRLVEELVEKILISAVADADVTVRHSIFTSLHGDRGFDEYLAQADNLSAVFAALNDEDFDVREYAISVAGRLSEKNPAYVLPALRRHLIQLLTYLEQSADSKCKEESAKLLGCLIRNCERLILPYIAPVHKALVARLNDVNANTGIVSGVLVTVGDLARVGGFAMRQYIPELMPLIVEALLDGAAVSKREVAVATLGQVVQSTGYVITPYNEYPLLLVLLLKLLNGELVWSTRREVLKVLGIMGALDPHMHKRNQKALPGPHGEITRPASDSNQQIQTMDEFPADLWPSFASSDDYYSTVAINSLMRILRDPSLGIYHLKVIGSLMFIFKSMGLGCVPYLPKVLPDLFHTVRACDENVKDFITWKLGTLVSIVRQHIRKYLQDLLSLISEFWSAFTLPAQNRPPRGYPVLHLVEQLCLALNDEFRTYLPIILPGCIQVISDAERCNNYTYVLDILHTLEVFGGTLDEHMHLLLPALIRLFKVDASVDIRRAAIKTLTRLIPCVQVTGHISSLVHHLKLVLDGKNDELRTDAIDALCCLAHALGEDFTIFIPSIHKLLLKYRLRHKEFEEIEGRLQRHEPLILGTTASQRLNRRLPVEVISDPLKDSENDPYEDGSDAHKLRGHQVNDGRLRTAGEASQRRTLDEHMHLLLPALIRLFKVDASVDIRRAAIKTLTRLIPCVQVTGHISSLVHHLKLVLDGKNDELRTDAIDALCCLAHALGEDFTIFIPSIHKLLLKYRLRHKEFEEIEGRLQRHEPLILGTTASQRLNRRLPVEVISDPLKDSENDPYEDGSDAHKLRGHQVNDGRLRTAGEASQRSTKEDWAEWMRHFSIQLLKESPSPALRTCARLAQLQPFVGRELFAAGFVSCWAQLNETSQKQLVRNLEMAFSSPNIPPEILATLLNLAEFMEHDEKPLPIDIRLLGALAEKCRAFAKALHYKEMEFEGARSKKMDANPVAVVEALIHINNQLHQHEAADGILTYAQQHLDFQLKESWYEKLQRWDDALMAYTAKASQATSPHLVLDATLGRMRCLASLARWEELNNLCKEYWTPAEPAARLEMASMAANAAWNMGEWDQMAEYVSRLDDGDETKLRGLGNTASGGDGSSNGTFFRAVLLVRIGKYDEAREYVERARKCLATELAALVLESYERAYSNMVRVQQLSELEEVIDYRTLPIGDQVAEERRALIRNMWTQRIQGVKSNVEVWQALLAVRALVLPPVEDIETWLKFASLCRKNGRISQARSTLVKLLQYDPEISPENVRYHGPPQVMLAYLKYQWSLGEDSKRTEAFIRLQNLAMELSSAPNIQPVTSSGFTNGLNPCVPLLARVNLILGSWQWSLSPGLDDESIKDILDAFANATQYSNKWAKAWHKWALFNTAVMSHYTLRGFPDVAAQFVVAAVTGYFHSIACAANAKGVDDSLQDILRLLTLWFNHGATAEVQMALKKGFSLVNINTWLVVLPQIIARIHSNNHAVRELIQSLLVRIGQNHPQALMYPLLVACKSISNLRKAAAQEVVDKVRQRSGVLVDQAQLVSKELIRVAILWHETWHEALEEASRLYFGEHNIEGMLKVLEPLHEMLEEGAMRNNVTIKERIFIEAYRQELLEAYECCVNYKRTGKDAELTQAWDIYYHVFRKIDKQLQSLTTLDLESVSPELLECRNLELAVPGTYRADAPVVTIASFARQLVVITSKQRPRKLTIHGSDGDDYAFLLKGHEDLRQDERVMQLFGLVNTLLENSRKTAEKDLSIERYAVIPLSPNSGLIEWVPNCDTLHHLIREYRDARKITLNQEHKCMLSFAPDYDHLPLIAKVEVFEFALDNTEGNDLARVLWLKSRTSEIWLERRTNYTRSLAVMSMVGYLLGLGDRHPSNLMLHRFSGKILHIDFGDCFEASMNREKFPEKVPFRLTRMLEKAMEVSGIEGNFRSTCENVMQVLRTNKDSVMAMMEAFVHDPLINWRLFNFNEVPQMSMLTSNHVTPAVNTEESAQNREFPHPQRGARERELLQAVNQLGDANEVLNERAVVVMARMSNKLTGRDFSTSSVPNSSLQHAVDHSSLVSGDTREVDHALSVKLQVQKLIVQATSHENLCQNYVGWCPFW >OIV93225 pep chromosome:LupAngTanjil_v1.0:LG18:10066653:10068841:-1 gene:TanjilG_27404 transcript:OIV93225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVQRSEDSATNSDSSSPMKLLFVEMGVGYDQHGQNITAAAMRACRDAISSNSIPAFRRGSIPGVSFGEMKLQIKLGVPHSLQTSLDIEKVKSVFPYGKILNVEVVDGGLICSSGVHVEEMGDKNDDCYIVNAAVYVGY >OIV92764 pep chromosome:LupAngTanjil_v1.0:LG18:14252823:14254757:1 gene:TanjilG_00898 transcript:OIV92764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTFSHVPPGFRFHPTDEELVDYYLKKKVASKRIDLDVIKDVDLYKIEPWDLQELCKLGTDEQAEWYFFSHKDKKYPTGTRTNRATKAGFWKATGRDKAIYLKHSLIGMRKTLVFYKGRAPNGQKSDWIMHEYRLETNENGTPHQEEGWVVCKVFKKRITTVRKVGEYESQCSWYDEQVSFIQELDPRSNPYTSQHQHYPLKQELELMQYNMPHDVNFLQLPQLESPKAPQSYGYDNDSVSALQSSSLTQEEHIHHSQQQQNMHLLYGNANDQVMDWRVLDKFVASQLSHDDDQDVSKEINYSNVAEQITMLANRSEKSEICQDYGSTFTSSCQIDQWK >OIV93442 pep chromosome:LupAngTanjil_v1.0:LG18:5217148:5233979:-1 gene:TanjilG_10074 transcript:OIV93442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLKFLGRELRASGKPSRGMRALRDQGCSTYEVLLLVEASLVGFIAVDHRWEEDLPIDPTGQLDSLRYFIEENIDICKWVGILVLVIQALSLILALILRATVFTWRSDSDYEDEYDVEGRIWEPLLNPQSGEPDGSSKVDSRAIYSDIWSSRIREKVC >OIV92933 pep chromosome:LupAngTanjil_v1.0:LG18:13681406:13682146:-1 gene:TanjilG_20595 transcript:OIV92933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFTDLSDTDESLIDQIISEAKDACLLEQISAINCSSFTDSVLPTHLESRFHKLKSFPLTKAQTFSTTQFTSTTPLNGNHKSPDFSPSIEDPNETTEKGLEAKAKSELGSDESSNFSLFKSNPDEDKCKKQKPESGSLSSPLSISNSFMSSPSPPRKFGCFWCSPKKDSSSSKKKKKNKENWWDKSDDEFLSDLGSFSSKNQKKILKMAMKEEQKVSLEAEKIVQWAKHASARMNVLDIDDELSDH >OIV92591 pep chromosome:LupAngTanjil_v1.0:LG18:16539426:16540030:1 gene:TanjilG_07582 transcript:OIV92591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSSSAAAGEEDMMYLDLMDGSESGWVSPKTSCIHLSSSLSSDLTHIPPPSTPCNRCQHPSENWLCLSCKEVLCSRFVNKHMLHHFENDHIHCVALSFSDLSVWCFSCNAYLDPQLIPLLRPLYQLAYLLKFAQDPPLPQSTLL >OIV92954 pep chromosome:LupAngTanjil_v1.0:LG18:13530204:13536170:1 gene:TanjilG_20616 transcript:OIV92954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTCISCTKQTSEEKEEEEEEHGRESGTPRATVKSITTQIKDMALKFSGTYKQGKSCTGSSSTYKKGQRSYPDFDTISEGVPYPYVGGASSSSTPAWDFTSSNHPGGSRYMGGFGIDRGRDSASLSVCDVVLEDEGEAKEWMAQVEPGVHVTFVSLPNGGNDLKRIRFNREMFNKWEAQKWWGENYDRIMELYNVQRFNQQALNTPSRSEYEPRDSSYSRLTSARESPMTSSLNKDWTSTSHYKPSANRGYNPAEPCNQSARVEFHAGSSMEASRTTTSSIDEPSISNAGEVEAEWIEEDEPGVYITIRQLADSTRELRRVRFSREKFGESTVLLCNRYCAAPIPRRDDKVEFAGVHISRHASTLASEIVEEEEEGFREWVAEVDAGVQMTYQSLPGGGNKIVRIKFRYVTR >OIV93681 pep chromosome:LupAngTanjil_v1.0:LG18:515662:519853:-1 gene:TanjilG_16532 transcript:OIV93681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSANERVTVREFCANGSSMATTEVEAKLDEGNIQEAESALREGLSLNYEEARALLGKLEYQRGNVEGALRVFDGIDLQAAIQRLQPSLSEKSPVKKGPTRTDSPSSVSQHAASLVLEAIYLKSKSLQKLGKFTEAANECKRVLDAVEKIFYQGISDIQVDNKLQEIVSHAVELLPELWKYAGCYDEAMSAYRHALLSPWNLDNDYSARIQKAFAVFLLYSGVEASPPSLAVQVEGSYVPKNNLEEAILLLMILLQKFSLGKIKWDPSIMEHLTFALSECGQTSILAKQLEELLPGVYHRIDRWNSLALCHSGAGQNTSALNLLRKSLHKHERPDDLISLLLAARICSEDPHLAAEGTGYAQRAINNAHGLHEHLKGVSLRMLGLCLGKQAMVASSDFERSHLQSKALQSLEEAARMEQNNSDLIFELAVQCAKHRNLSAALRYAKQFFDKTGGSVLKGWRLLALVLSAQKRYAEAEVVTDAALDETAKWEQGPLLRLKAKLKISQSRPMDAIETYRYLLALVQAQRKSFGHLRISSQIENDKVNEFDVWHDLANLYASLSHWKDAEICLQKARELKEYSAAVIHTEGKNRTIMLLDSFYSRILFDGRGQNQEALAATANAVLLESNYAPSKISMGALMLKMGSKALPAARSLVSDALRIEPTNRMAWYYLGLIHKHDGRIGDAADCFQAASMLEESDPTENFSNIL >OIV93644 pep chromosome:LupAngTanjil_v1.0:LG18:1593909:1596573:-1 gene:TanjilG_04876 transcript:OIV93644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFLSISISIYVLCLVLVKGNGEPVEDKEILLDFVKKFPPLRPLNWNESSSVCDNWIGVTCNEKGSKIIAIRLPGFGFHGTIPPNTISKLISLQILSLRSNFISGNFPSDFSKLRNLSFLYVQFNNLSGPLPDFSVWKNLSVVDLSNNGFNGSLSVSLSNLTQLSSLNLANNSISGEIPDLMLPRLQLLNLSNNNLHGVVPNSLKRFPESAFYGNNISLGVGNSSADSPVHPPLVYGPSLKSRKHGRLGEMALLGIVIAGGVIGLVGFAFLMLVCFSRRRTDEDGDGHDAFGRELQKGGMSPEKAVSRKQDASNKLTFFEGCNYAFDLEDLLRASAEVLGKGTFGTAYKAVLEDANTVVVKRLKDVAVGKKDFEQHMEIVGNLKHENVVELKAYYYSKDEKLMVYEYYSEGSIASMLHGKRGEDRVTLDWDTRLRIALGAARGIARIHFENGGKLVHGNIKSSNIFLNTKQFGCVSDLGLATIMSSLTPPISRSSGYRAPEVTDSRKAGQASDVYSFGVVLLELLTRKSPIHTTIGDEIIHLVRWVHSVVREEWTAEVFDLELMRYPNIEEEMVEMLQIAMSCVVRMPDQRPKMSEIVKMIENVRQLDGVTQQPSSSEKQSQHKIVST >OIV93098 pep chromosome:LupAngTanjil_v1.0:LG18:11777767:11782073:1 gene:TanjilG_20760 transcript:OIV93098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQYLKIRFESESLSWEKWSTFSPNKYLEEVEKCATPGSVAEKKAYFEAHYKKIAARKAELLAEEKQAKEESFGSEDQSGLDLSGNSCGTDAELDISNTQDSIEGAKQETGSFGETEISRTEVDNLEEEVAVSRYCQSSPVKGENREPECRSHSSEQTDKPEEAICIKQEENLKVEAEYVKEISHVVYKEKEMSSQIEAKDVKLDHPKEHKVTFISNGAKTKKEAILPTSKSFQVSMPRSSKPTTKPTKTLASAASTKRGNSPSLPRRQATSTVESKNVSNKSLHMSLSLGRSKPDLAPHTTMRKSFIMEKMSDKDIASNVDPAPHTTMRKSFIMEKMGDKDIVKRAFKTFQNNVHQPKTFGEDRSLVKKQVPSRGTVPKVSTSTPLRKENGRATKVDSVDKRSGDSVRTSTGPKSDIRAEKGKESSRKIEDKSNAKAVERTRLQSKLKDEKEAEMKKLKHNFKATPLPAFYRGQKVSKSHQEKSHQAYLWLESPLVVDNIFSTCTADHVQVMLRPRTVDSPTRGVIKEREEVRYMSGSGRHVHRKCLWHNSRL >OIV93069 pep chromosome:LupAngTanjil_v1.0:LG18:12192595:12195897:1 gene:TanjilG_20731 transcript:OIV93069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGANTNTLSYWLNWRFFICALFILIAMALASFLIWKYEEFNKWRSVRRRQREKAGSLYKDEAWNTCVKGIHPAWLLAYRIISFLVLLSLLIANVVADGFGIFYFYTQWTFTLVTFYFGLGSCFSIYGCYFNKNESGGSTINHANLDAEQGSYVAPTLDATVDASNLYKSLGANQVAHTRDAANLWGYMFLITFQTCAGAVVLTDCVFWLIIYPFMTPKDFKLDFLIICMHSVNALFLLGDTALNCMVGYFLFFGFPLFIT >OIV93721 pep chromosome:LupAngTanjil_v1.0:LG18:214578:228671:1 gene:TanjilG_16572 transcript:OIV93721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSVILHQTLLYQSKVVNSCGNTLFQPVSFNKGSRKKFLLSTNFRGNRFSVRKLKQAMGKHQHGLVIPCAVLTTNAASGEEQLSRKFNLEGNIELQVVVSSSAQGAAQVDIQVSNSNGSLVLHWGVVHDRQGWVLPSHSPDGTKAYKNRALRTPFVKSGSGSLLKIEVDDPAAQAIEFLILDESQNKWFKNNGENFHIKLAVKDKLVKQVSVPEELVQIQAYLRWERKGKQTYTPDKEKEEFEAARRELLEEVARGTSLQDLHARLTNKTDTTEVKEPTVHETTTITDELVQIQAFTRWEKAGKPNYSPEQQLMEFEEARKDLLSELEKGASLDEIQKKIAKGEIQTKVAQQSKSKDYFHIQKIQRKKRDLTKLINRSVVENIVEQYIESPKTLTVIQSYAKAREEYDGSLVLNKKIHKLADGDLLVLVTKDDDKTKVHLATDSKVPVTFHWALSRTPGGGEWLVPPASALPPGSVTMKEAAETPFKAGSSSHPSYEVQTLDIEVEDDTFKGMPFVILSGGKWIKNNGSDFYIEFGDKKQIRKDFGDAKGTAKTLLDKIAELESEAQKSFMHRFNIASDLIDEAKNAGQLGLAGILVWMRFMATRQLIWNKNYNVKPREISQAQDRLTDLLQDLYTSYPQYRELVRMIMSTVGRGGEGDVGQRIRDEILVIQRKNDCKGGMMEEWHQKLHNNTSPDDVVICQALIDYINNDFDIGVYWKTLNDNGITKERLLSYDRAIRSEPKFRRDQKEGLLRDLGHYMRTLKAVHSGADLESAITNCMGYKSEGQGFMVGVKINPVSGLPSAFPELLQLVMENIENKNVEPLLEGLLEARQELQPSLHKSQSRLKDLLFLDVALDSTVRTAVERGYEELNNAGPEKIMYFISMILENLALSSDDNEDLIYCLKGWDVALSMCKSKDAHWALYAKSVLDRTRLALTNKAESYQQILQPSAEYLGSLLGVDGWAIEIFTEEMIRAGSAASLSTLLNRLDPVLRKTANLGSWQVISPVETVGYVEVVDELLAVQNKSYERPTILVVKSVKGEEEIPDGAVAVLTPDMPDVLSHVSVRARNSKVCFATCFDPNILADLQANKGKLLRLKPSSADVVYSEVKESELIDDKSTLLQENGSGSPISLVQKQFIGRYAVSSDEFTGETVGAKSRNISYLKGKVPSWIGIPTSVALPFGVFEHVLSDKSNQAVAEKVNVLKKKLTEGDFSALKEIRETVLKLNAPSQLVEELKVKMKSSGMPWPGDEGEQRWEQAWKAIKKVWGSKWNERAYFSTRKVKLDHDFLSMAVLVQEVVNADYAFVIHTTNPSSGDSSEIYAEVVKGLGETLVGAYPGRALSFICKKHDLNSPQVLGYPSKPIGLFIRRSIIFRSDSNGEDLEGYAGAGLYDSVPMDEEDKVVLDYSSDKLIIDGSFRQSILSSIARAGSAIEELYGSPQDIEGVIRDGKVYVVQTRPQM >OIV93359 pep chromosome:LupAngTanjil_v1.0:LG18:7819565:7824406:-1 gene:TanjilG_31406 transcript:OIV93359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYYIQRLYRLCKASFSPDGPVSEEAIARVREKLEKIKPADVGLEKEAQMVRTWSGQMLERNGDYQSPPPIKYLHLHECDSFSIGIFCMPPLSVIPLHNHPGMTVLSKLLYGSMHVKSYDWVDFPGPTDPSEARAAKLVKDTQMTAPTATTVLYPTLGGNIHCFTAITPCAIFDVLSPPYSSEHGRHCSYFQYSQREDLPGNLQLDGVTVSDVTWLEEFQLPDDFAIRRGLYSGPVIRT >OIV93656 pep chromosome:LupAngTanjil_v1.0:LG18:1733906:1742961:1 gene:TanjilG_04888 transcript:OIV93656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCRSVTCILSGTPFPHRVTAVATFSPATFFTAGSDGSIIWWSLSPQVKAVGMLCGHAAPITDLAICSPIPAEENSDGPSNLGTDSISSNFTALISASSDGFLCVWSKNSGHCRCRRKLPPWVGTPRFIRTLPSRPRYVCIACSFMEGNEGLMDRETHIRKPPKCTIVIVDTYSLSITQTVFHGNLSIGPMKFMAVVLDDNDENGYSVFVADSAGKQQMVSMSEDHRDRGESLTSSHKDATQLGSSCFEGLIDADQIVSILTYGNIIAFILKNRCVFRLSSSDTVIGEVSFVDNLFYSDEHSTQAHVVGGLFLESDDVVNMLDTHECSDSIPVYLVVWNNRGSAIIYKISYQNEVIQCKPHFEISATHYQPDMRLSIFFQQVNHYLICIKSVCFHYEEPLLWRPHITIWSLNHFDDKPGKLYPQCRMISDGVSFTNWFEESSTQFKGLDSPEIKSISGASPSSEDIDDINVDRISNYYAYKGEIVSSSMIISENLFTPYAVVYGFLSGEIEVVRFDLFQGNCFDGANANPHDKSTVCKQYFSGHTGAVLCLAAHPMTGSAKDGDFKRVLLSGSMDCTLRLWDLDTGCLIMVMRHHVAPVQQIILAPSLTRHPWSDCFLSVGEDACVALVSLETHRVERMFPGHLNYPSKVVWDEARGYIACLCQTHHGTSDAADLLYIWDVKTGSRERVLRGTAAHSMFDHFCRSISMNSISGTLLNGNTSVSSLLLPIVDDARLSNPALSLSENSLTSSRSSPSLSNMTEPNSSKLNAVKQNSLKQNPWSNKLPIKCSCPLPGIVSLSFDLASLMFSYQKDESVENGGSKPVKVDSKQQGVQEQNPSYETAETVEGHEWVSLFEEYLIRFSLSFLHLWNFDNELDNLLISDMKLRRPNNFVLASGLQGDKGSLTLTFPGLAATLEIWKSSSEFCAMRSLTMVSLAQRLISLSHSGSAASSALAAFYTRNFMENFPDMKPPSLQLLVAFWQDESEHVRMAARSIFHCAASHAIPLPLRNFKPTEPSNISSLTGIRGKQLGDTMAESRFPHAKSQRSSQDEESKILSWLESFEVQDWISCVGGTSPDAMTSHIIVAAALTIWYPSLVKPSVASLVVHPLLKLAMAMNEKYSSTAAELLAEGMECTWKELIVSEMPRLIGDIFFQVELSGPSNSMKEIPVAYFSIQKTLVEVLLPHLAMADIPGFLSVIESQIWSTASDSPVHLVSLLTLIRIMRGSPINLAQYLDKVVNFILQTIDPSNSVLRKTCYQSSMTTLKEVVRVYPMVAVNDSWTRLAVGDVIGETNNASIRVYDMQSVTMVKVLDASWPPGLPSLLTAASGTMLTIAISALSFSPDGEGLIAFSEHGLMIRWWSLGSVWWEKLNRNYVPVQCTKLIFVPPWEGFSPNSSRSSIMANIFENDKSLDLQDNVRDHGESLKQLLHNLDLSYQLEWVGERKVLLTRHGHDLGTFQL >OIV93119 pep chromosome:LupAngTanjil_v1.0:LG18:11524559:11524981:-1 gene:TanjilG_20781 transcript:OIV93119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASYAIVLMLLSALLVSATVANSPASAPSASPTKSPVSKATPPKSSSPAVSPSAKSHVPPPTSTVVSGPSPSPSAVVKSPPSPTPSTSVTPAGAPSTSSSISEPPSSQTPAEAPGKNGAALNRISFAGSVAVVGFAALMM >OIV92598 pep chromosome:LupAngTanjil_v1.0:LG18:16458446:16467412:1 gene:TanjilG_17949 transcript:OIV92598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQCGRVDLKSALYDTTQLKRSPSWLDKDCSQFGPPLFQSESGSRVPLSSILPQVQVEAVLWGLGTAIGELPPYFISRAARLSGREVDAMEELDSDDRGIMAAQLNQIKRWFLSHAQYLNFLTILVLASTVFIISVCNNQLLDWIENEFIRVLSHVPGLSSALPGVIANLHAMKDKYLKAPHPVSPNVKVSILSDSFSSNDSHRAAAKRATHVLADLAKNEEVVNVIVEGGAIPALVMHLQAPPLDPNDSFHNPLPFEHEVEKGSAFALGLLAVKPEHQQFIVDNGALKHLVNLLKRHNSGLTSRAVNSLIRRAADAITNLAHENSSIKTRVRMEGGIPPLVDLLQVADTKVQRAAAGALRTLAFKNDENKNEIVECNALPTLILMLRSEDAAVHYEAVGVIGNLVHSSPNIKKEVLLAGALQPVIGLLSSCCSESQREAALLLGQFAATDSDCKVSIHFTVLTIPL >OIV92815 pep chromosome:LupAngTanjil_v1.0:LG18:14679114:14681938:-1 gene:TanjilG_00949 transcript:OIV92815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITKVDIGLELSMAHDENERTNDKWKKLLMHVVSERVMRFPSLGWKTMWKVGSEDPRRVIHAFKVGLSLTLVSLLYLVEPVYDGATLCKGLNRGLGTLLAGALAFLISYVGKTSGRIFQAIFIGASVFLIGAVATYVRFIPYIKKNYDYGVVIFLLTFNLITVSSYRVESVLKMAHDRIYAIAIGCAICLLMSILIFPNWSGEDLHNSTVYKLEGLAKSIEACVNEYFYGEIEATGGIKLPEDPIYRGYKAVLDSKSTDEILALHASWEPRHSRYCHRFPCHQYLKVGAILRQFGYTVVALHGCLRTEIQTPRSVRALFKDPCIRLAAEVSKVLIELSNSIRNRRHCSPEILSDHLHEALQDLNTAIKSQPRLFLGPKHKHNKIATAQVGQERKTLGFSISSVKTDSSALFDWKTKHSKETERKSLRTQLSKIAITSLEFSEALPFAAFASLLVETVAKLDLVIDEIEELGRLACFKEFRPDDEVVVICEEPRVDVLENHLPSHGVD >OIV92853 pep chromosome:LupAngTanjil_v1.0:LG18:14940087:14942584:1 gene:TanjilG_00987 transcript:OIV92853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPESYEHHSIWYESSLKSISDSAEILYHYDNAMHGFSATLTHEEAQLLKSQPWILAVSPSKISKLQTTRTPEFLGIDKITNQFPKLIANSSDLIIGIIDNGVWPESKSFDDTGLGPIPNTSRGECETGPNFTVSNCNKKLIGARIFTKGYESTNGKIEKNKEIRSARDTDGHGTHTASTAAGSAVTGANLFGYASGTARGMAPHARVAVYKVCWNGSCDNSDILAAIDKAISDNVNILSLSLGTIPVDYIEDMTAIGCFAAMEKGISVFAAGGNDGPPLSDTPLPFVYAGNVSNRKDGNLCVPGSLVPEKVKGKVVLCDRGSNSRIEKGFVVKYAGGLGMVEANTMENGEELVADPHILPALELGAQSGDALRMYVSSDPNPTAKFEFGGTGPNFVTPQILKPDIIGPGVNILAAWSTGSNPSSTDIDTRHVDFNIISGTSMACPHLSGIAILIKSAHPDWSPAMIRSAMMTTSYTTYNNGQPFVDSFTGKPATLLDFGAGHVNPLNALNPGLVYDLNVNDYLSFLCALNYTPSQLKMIAKRELHCDSSKQYSITDLNYPSFVVLFNGSTVVKHTRTLTNVGVAGTYKASIVANNPSVKILIEPEELRFDINEKKSYVVTFKTLGHNSHNDYDYGRLQWSNGKNVVGSPILFNWS >OIV93588 pep chromosome:LupAngTanjil_v1.0:LG18:963452:968186:1 gene:TanjilG_04820 transcript:OIV93588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASESTLSTSQNPDDKITTISERSEASDPILEKLKSLKITQPILTSPPTEGTLTDILVRKPSSLSASATVNPKVLLELFSMYRDWQEEKVQKISKRQEDIENKVEVADALAIKILQRFNYSVATMKTTSQHLSEVPSLQVEIGELKGRLTEVISNCDALCKRIAAEGPESLRSSIKPFAIAAAEREIGVRSSTSSLQTVSRPKNPALGKE >OIV92999 pep chromosome:LupAngTanjil_v1.0:LG18:13095087:13096744:-1 gene:TanjilG_20661 transcript:OIV92999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSNNVIGAINFIAVLLSIPIIGAGIWLTNGAADSCVKFLQWPLIILGVLILIVALTGFIGAFWRIQCLLIFYLIAMLVLIVLLICLVVFVYMVTIRGHGNIEPNRAYLEYRMDDFSGFLRRRVRSSFKWDRIRSCLSQTNMCAELNQSFRMAQDYFNAHLTPMQSGCCKPPTKCGYTFVNPTYWISPINIAEDMDCLQWSNDQTQLCYACDSCKAGLLANLRKEWKRANVILIITVIVLIAIYIIGCCAFRNAKTEDLFRKYKQGYT >OIV92969 pep chromosome:LupAngTanjil_v1.0:LG18:13395659:13404639:-1 gene:TanjilG_20631 transcript:OIV92969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALEYLESLRNSHPELSEWYNSLADLYQKKLWHQLTLKLEQFVALAVFQAGDALIQLYHNFITDFETKINLLKLAHFAVIVSRQYSEKEAAVGYLDGIIEKLQATREQRIEEPILYIKMQIAIFKLEQGDQKESKKLLEDGKSTLDSMTDIDPSVYASYYWVSSQYHKSRQEFAEFYKSALLYLAYTSVESLSDSFKLDLAFDLSLSALLGDNIYNFGELLAHPIIKSLLGTKVEWLYYILQAFNSGDLVRYQELCRVHNAALRAQPALVQNEQRLRPSEDRTIPLSVIADRTKLSIEDVEHLLMKSLSVHLIEGIIDQVEGTVHVSWVQPRVLGIQQIKSLRDRLDGWTGKPHVLGLDIAGSIVGNSLQPVRDTREKQIQLWKDLILDYCRTQKIFVIALEEEFPLFSNPVIERSLTHESREAFLSALVSEGRAEWMDKGHRKCLILWHRIQDWADILLQFAKDNGLEDGVVTIEEIRTGIESQGTELHGIDRTILNRALKLLEQKGKLVVFKGTSADDEGVKFSL >OIV92793 pep chromosome:LupAngTanjil_v1.0:LG18:14489109:14493081:1 gene:TanjilG_00927 transcript:OIV92793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYEYLSISTGTPLWRNGPPEKPVLCNACGSRWRTKGTLANYIPLHARAEGADYEDQRVSRVKSISLNKNKEMKSLKRKLSNDNVVFGGLAPDYNQGFRKALDEDTSNRSSSGSAISNSESCAQFGGMDASDLTGPAQPVVWDTVVPSKKRTCVGHPKHSSVEKLTKDLCTILQEQQSCFSASSEGELLFESETPMVSVEIGHGSILIRHPSYIAREEESEASSLSVDNKQCTMNEAYSYPGAVLMRNDSNSITFSSHRVEKVGSTAGQGMQQEQLKRHAFSCWDKSQLERVQILGNHDSPLSLIDLNDVVNYEEFLKNLTKEEQQQLLKFLPVVDAVKLPDSLKFMFDSSQFKENLTYFQQLLAEGVFDITLSGAKQEDCKTLKRQALSNLSKSKWVEHYYFLKKCKSRTGKSVNLGSNGTLSTNVVNVKRSRDYRNQNFPELNTTMRSPKRVIANAGCEGKEVVEDGSYFSPKSLFALPPDASSLLLDSLNFVDESSDQDLLLEVPSNNSFPQAELLPPNLSFGAQASTSSSSVYSNLLHR >OIV93399 pep chromosome:LupAngTanjil_v1.0:LG18:6543799:6545877:-1 gene:TanjilG_02936 transcript:OIV93399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWQTYVDEHLLCDIEGNQLTSAAIIGQDGSVWAQSSNFPQFKPEEITAIVNDFAEPGTLAPTGLYLGGTKYMVIQGEPGAVIRGKKGPGGVTVKKTTQALIIGIYDEPMTPGQCNMVVERLGDYLIDQGL >OIV93260 pep chromosome:LupAngTanjil_v1.0:LG18:9578601:9587886:-1 gene:TanjilG_23101 transcript:OIV93260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYCFKHHHHLLHHFHSVLKTLPSPQRRFRRNHFCNLRSSHAGYCSSASSILTSDSREIVAAPNTDPANGRVMLIDGTSVIHRSYYKLLAKLHHGHLANADGNGDWVLTIFTALSLIIDVLELIPSHVVVVFDHDGVPFGPTYNSSKGSFTAKGQNFRHNLYPSYKSNRPPTPDTIVQGLQYLKASIKAMSIKVPGVEADDVIGTLALRSVDAGYKVRVVSPDKDFFQILSPSLRLLRIAPRGDQMVSFGVEDFEKRFGGLKPSQFVDMIALTGDRADNIPGVHGIGDVHAVQLISKFGTLERLLDCVDQVAEDRIKKALIANAEQALLSKELDDGSKFNSLLNAIGDFAEGFSADPTIRRAFHLWKKLDSR >OIV92880 pep chromosome:LupAngTanjil_v1.0:LG18:15145732:15147285:1 gene:TanjilG_01014 transcript:OIV92880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQRMVAAPFLTKTYDLVDDPVADDVISWSESGNTFVVWKNAEFVKDLVPEYFKHNNFSSFVRQLNTYGFRKIVPDKWEFANENFKCGHKHLLSHIKRRKTTLPQPSTQPPEVEKSGVDNNSPSNSGATKWFPRLPPPPILRNQYH >OIV93580 pep chromosome:LupAngTanjil_v1.0:LG18:846258:860708:-1 gene:TanjilG_04812 transcript:OIV93580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEILRETVSALEKEESSEEAQAQLDIWKYIFGFVEPAVVKCAIELGIAEAIENNGGPMTLSEISSTLGTSTSHGYSYAQTPLSRRLMRNDKQSMAALILLESSPVMLAPWHNLSACVSVNSDFPFENTHAQDLWQYTAANPDHSNLFNDAMACEANLTVPAIIEGYSEAFDGINSLVDVGGGNGTAMSIVVKACSWIRAINFDLQHVIDKAPEIERVEHVAGDMFVQVPKADAAFIMNVLHDWRDEECIQILKKCRESIPKENGRVIIVELVIEEEGNHDKLKDIRLMFDMVMMAHTNFGKERTLKEWDHVIKMAGFSTYIVKSIKNNVNFVIVAFP >OIV92653 pep chromosome:LupAngTanjil_v1.0:LG18:16134341:16136345:-1 gene:TanjilG_18004 transcript:OIV92653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGCCCCSSKETQLNAQPRSQYYYYPRLSEEHVPLSSHHNAASGFSGGLLVDTNLDTSSPDTYRPPPAPIPFNVTLGVTQTPPVAQEICVDKTDISLHPANSDSNQETVPEDNHEASAKPDELKESECKVHSALELDSAKDSGVELPKLAEPISFVEEEDTCPICLEEYDAENPKLLTKCDHHFHLACILEWMERSETCPVCDQDMVLDLPLD >OIV93540 pep chromosome:LupAngTanjil_v1.0:LG18:2159411:2160538:1 gene:TanjilG_28697 transcript:OIV93540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVESIAATSLLGVPVCGGNLIRDVSGKRKSLNSVRFQSTELLGRRIIVSLPLLKPKQDGFVSSTIKAFAVELTREAYSYREDKLPKKDNNKIDSGFDPRPDLWPPANRADNPSLRNPLLRQERMGCGWLGAIFEWEGVLIEDNPDLEKQAWLALSQEEGKSSPPAFLLKRIEGMKNEQAISEVLCWSRDPAQLRRLANRKEEIYQAFQGGIYSLRPGSKEFVSVLMHYKIPMALVSTRPRKTLESAIGEIGIGDNFSVIVAAEDVYRGKPDPEMFVYAAQLLNFIPERCIVFGNSNQTVEAAHDARMKCVAVASKHPVYELGAADLVVRHLDELTVVDLKNLADIESGEFGSGEPEVMMELEEDSDISSLDDSFW >OIV92837 pep chromosome:LupAngTanjil_v1.0:LG18:14831022:14831294:-1 gene:TanjilG_00971 transcript:OIV92837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDHSCSYASSTTSYLSQNPNDGKGLKNGDSFQSQTVQKSQAKAWKKGPVASQTKVYKVDPMNFRDLVQQLTGAPQFKSQTHHPYLNFNH >OIV93272 pep chromosome:LupAngTanjil_v1.0:LG18:9349961:9354308:1 gene:TanjilG_23113 transcript:OIV93272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQPTSNGSDLNQQNQQQQQWVQQQQQSHQYQQQWMAMQYPATAMAMMQQQMMMYPQHYMPYLHHHQQHHYQQPPPHQQQHHIKHHTPPQHQHKQQQLSADEIKTIWLGDLHHWMDENYLHNCFAHTGEVVSAKVIRNKLTGQSEGYGFVEFYSRATAEKVLQNYSGATMPNTDQPFRMNWASFSMGERRSSDATSDLSVFVGDLAIEVTDAILQETFATRYSSIKGAKVVIDANTGRSKGYGFVRFGDENEKTRAMTEMNGVYCSSRPMRVGVATPKKTYGYPQQYSSQSQAVVLAGGHPSNGAVTQGSQSEGDLNNTTIPIAIIISDVLFPLDTLAIFYAFCRLGYRKSAEEAIQALNGTVIGKQTVRLSWGRSPGNKHWRNDSDRSHYGGQGYGGNGYAVRQNQDIAINSAATLEGDS >OIV93410 pep chromosome:LupAngTanjil_v1.0:LG18:6285889:6286764:-1 gene:TanjilG_02947 transcript:OIV93410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMARLDLGTASRFVQNLHRPDLHLQQHHHQDSEEQEDHNHHRQHRGAGASAFSTEEDDQSQGLEMGSASGGGPGDVIGRRPRGRPPGSKNKAKPPVIITRESANTLRAHILEVGSGADVFDCVATYARRRQRGICILSGNGTVTNVTLRQPSAAGAVITLQGRFEILSLSGSFLPPPAPPGATSLTIYLAGGQGQVVGGSVVGELMAAGPVIVIASSFTNVAYERLPLEEDEQQLQMQPPVSQGSGGGIGNNPFSDPSSGFPFFNLPLNMQNVQMPVDGWARNSGPRPPF >OIV93182 pep chromosome:LupAngTanjil_v1.0:LG18:10807641:10814090:-1 gene:TanjilG_20844 transcript:OIV93182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFLRAPPQLCYPSTPHTKLFTQRINATFFNPTRRRHPPASITTPCRLVHQPASSLRHHTQEQITSPSSSGMRKNETLICVPIMGDSLDTMKIDINKAKLAGADLVEIRLDSLKTFNPSQDLNTLIKDRILPLLITYRPKWEGGMYDGDDNKRLDVLQLAMELGADYIDVELQVADQFFDSIRGKTVNKTKVIVSSHNYQHTPSVEDLGNLVARIQATGADIVKIATTAVEITDVARMFQIMAHSQVPFIGLVMGDRGLISRILCAKFGGYLTFGTLESGVVSAPGQPTIKDLLDLYNIRQVGPDTKVYGIIGKPVGHSKSPILFNEAFKSVGFDGVYVFLLVDDLANFLRTYSSTDFVGFSFVHKILEEPLTMQYAATLSRKMKIMELTIDYVGAISAIEDRLRDRHNGDGTAVSPLAGKVFVVIGAGGAGKALAYGAKEKGARVVIANRTYDRARELADVIGGDAIALADLDNYHPEDGMILANTTSIGMQPNVDETPISKHALKSYSLVFDAVYTPKMTRLLKEAEESGATIVTGLEMFLGQAYGQFENFSGTPAPKQLFKKIMENY >OIV92685 pep chromosome:LupAngTanjil_v1.0:LG18:15860301:15864950:1 gene:TanjilG_18036 transcript:OIV92685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMEVRDSLEIAHTAEYLNFLKCYFRAFSGILLQITKPQFVDNPEHKLRNIVVEILNRLPHSEVLRPFVQDLLKVAMQVLTTDNEENGLICIRIIFDLLRNFRPTLENEVQPFLDFVCKIYQNFKLTVSHFFDNMAMTGEDVKPMETSLSDQVMNTTVATGSLLNPSTRSFKIVTESPLVVMFLFQLYSRLVQANIPQLLPLMVSAISVPGPERVPPHLKTHFIELKGAQVKGRILDAFVGKFSTFKRTIPQVSCDTLMYQLMPHNWHM >OIV93420 pep chromosome:LupAngTanjil_v1.0:LG18:6001054:6004662:-1 gene:TanjilG_02957 transcript:OIV93420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLLQPPSSLFTSTSRSSTLRTFAPSVPHSRITRIFSLNSVKCDLPEPSNIMNRKPIIPVLNEKTLPKFMDSARMERTVNKNGNRLKLFSGTANPALSQEIAWYMGLELGKISIKRFADGEIYVQLQESVRGCNVYLIQPTCPPANENLMELKIMIDACRRASAKNITAVIPYFGYARADRKASTQGRESIAAKLVANLITKAGADRVLACDLHSGQSMGYFDIPVDHVHCQPVILDYLASKGISSNDLVVVSPDVGGVARARAFAKKLSDAPLAIVDKRRHGHNVAEVMNLIGDVKGKVAVMVDDMIDTAGTIAKGAALLHEEGAREVYACCTHAVFSPPAIERLSSGLFQEVIITNTIPVEEKNYFPQLTILTVANLLGETIWRIHDDCSVTSIFQ >OIV93028 pep chromosome:LupAngTanjil_v1.0:LG18:12728287:12732613:1 gene:TanjilG_20690 transcript:OIV93028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSNILVNTNHFLLPFHKTQTPNHGCLKKFCVRASTSSNDDGERTIIRKDKDGWNIKFSGKKPTTPLLDTINYPPHMKNLSIQDLEQLAAEIRADIVHTVSDIGGHLSSSLGVVDLSVALHHVFKSPQDKIIWDVGHQAYPHKILTGRRSRMHTIKKSLGLSGFPKRDESVHDAFGTGHSSTSISAGLGMAVARDLLGKNNNVISVIGDGAMTAGQAYEAMNNAGFLDANMIIILNDNKQVSLPTATLDGPATPVGALSRNLSKIQASTKFRKLREVAKTVTKQIGGQTHQVAAKVDEYARGMISGSGSTFFEELGLYYIGPVDGHNIEDLVQIFENVKAMPAPGPILIHVVTEKGKGYPPAEAAADKMHGVVKFDPLSGEQFKQNSSTLTYTQYFVQSLIKEAEIDNKIVAIHAAMGGGTGLNYFQKRFPDRCFDTGIAEQHAVTFAAGLAAEGLKPFCAIYSSFLQRGYDQVVHDVDLQKLPVRFVVDRAGLIGGDGATHHGAFDITYMACLPNMVVMAPSDETELMHMVATATAIDDRPIADARFCKPLDTDLIKLLAKEHEFLITVEEGSIGGFGSHVSHYLSISCILDGPLKWRAMMLPDKYIEHGPPQDQIEEAGLSSYHIAATILSFLQRPKDALLFK >OIV93331 pep chromosome:LupAngTanjil_v1.0:LG18:8316228:8316983:1 gene:TanjilG_23267 transcript:OIV93331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIYVFNRPTCDQVSLTRVTPGIHVLTNGTLDAPWPKAERLRHNFEELIDQHSENEFPIKEMVEKLMTDTTKDEESMLPGIHPPARELPLTSIFVEANFPMGHYGTRSSSAVFVKSNKEVSFYEKYLDQEKWKDRMVTYHINDK >OIV93452 pep chromosome:LupAngTanjil_v1.0:LG18:5516529:5520540:-1 gene:TanjilG_10084 transcript:OIV93452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDSKALRFITHQSIFSTVRSGDLDGLKELVEELKNEQSSLSVSDVMSLQNDAGETLLYIAAEHNLVEVLRFLIKLCDFEVVKISSKADMNPFHVAAKRGHLDVVKEILSTWPEVCQLCDSKNTSPLYSAAEHDHIDVVNAILDVDMSSMMIVRKNGKTALHNAARYNILGIVKELIARDPGIVCIKDKKGQTALHMAVKGQSSSPVEEILLADSTILNERDKKGNTALHMATRKGRSQIVNLLLSYTAIDINAINKHKETALDLADKLPYGDSAFEIKEALAEYGAKYARHVGKLDEAMELKRTVSDIKHEVQSQLVQNEKTHRRVSGIAKELKKLHREAVQNTINSVTVVAVLFASIAFIAIFNLPGQYIMSGPETGESNMAGHVGFKVFILLNSTSLFISLAVVVVQITLVAWDTRAQKKIVSVINKLMWAACACTCAAYLAIAFEVVGKQTWMAVTITLLGTPILLGTLACLCYFVFKQHFGSFRGDRIKRASGSKSFSWSYSAHISDLDEHDSDIDKIYAL >OIV92988 pep chromosome:LupAngTanjil_v1.0:LG18:13185002:13187848:-1 gene:TanjilG_20650 transcript:OIV92988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFQDIESGRPFASRRSLINGKQDPTQAVASGIFQINTAVSTFQRLVNTLGTPKDTPELRDKLHKTRLHIGQLVKDTSAKLKEASEIDHHSNVNANKKIADAKLAKDFQAVLKEFQKAQRLASERETAYTPFVPQTALSPSELDVSSDKPPEQRALLMESKRQEVLFLDNEIAFNEAIIEEREQGIQEIQQQIGEVNEIFKDLAVLVHEQGTMIDDIGSNIENSHAATAQAKSQLAKASKTQRSNSSLACLLLVIFGIVLLIVIIVLAA >OIV93457 pep chromosome:LupAngTanjil_v1.0:LG18:5648084:5655933:1 gene:TanjilG_10089 transcript:OIV93457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHGAVSAVITLGGKGSSLSSSSVFSVATSQSLVQIDSSTLEKLKTTSSSSPTTTTTTANLSNFLTVTESRASLLILLNNLILSNTNSPSVQLISQTLNDADVDTSQQIHVTDPELSLLKKSTVLAVSAILDHQSSVLTSLADVAAALSSEALRADVTAFNLMDSGDGHTSKEEVGVASDLRVLVNGSKLVGKEKIGAVSKVPKVHGTLREQVKSLHSKMRVELNSNGSDGTEEAVSTVLLPLAAALREIGVSSFSRAKSNLEFVGSDDLKSSIRELFEKESPNADSLGNGFNEALNLVFGKDYDKFAHEVNALFALVWKIVAWEIVTAFAVLEGAELSEKTGEVKGNAEEKKADKKKKKVVLGKGTSLILPLIKEKLQSGRADAVENSGFLETLVQDFLSFLDLAHPKFTEFLLKVKDIVESNESRRLPKIPKGTRDFAKEQMTIRKKAFSIIEAVFERHGATALDTPVFELRETLTGKYGEDSKLIYDLADQGGELLSLRYDLTVPFARFMAMNGLTSLKRYQIAKVYRRDNPSKGRYREFYQCDFDIAGPSEKMGPDFEVVRILTELLDELKIGEYEIKLNHRKLLDGMMEICGVPPEKFRTICSSIDKLDKQSFQQIKKEMVEEKGLTAETADRIETFVKEKGSPLELLSKFKQEGSAFLENSGSVDALNDLEILFKALEKSKRIDRVVFDLSLARGLDYYTGVVFEAVFKGGTQVGSIAAGGRYDNLIGMFGSKQVPAVGVSLGIERVFAIMEQHMKDQNQMARPTKTEVLVSILGNDLTLAAELVGELWDAGVKAEFLVNKRRQKHFDYAKEARIPWMVLVGEQEIKEGLVQLKELEANNDIKIPRAQFVEELKKRYFTS >OIV92585 pep chromosome:LupAngTanjil_v1.0:LG18:16490632:16491978:-1 gene:TanjilG_07576 transcript:OIV92585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKQRISSDPIIMNAFQYTNDKHDSYVIDMDAFSSSTNAVAAAAASPNSRTTLQRSLSRKGSQRGVERKVNGNATTLHDKDNVPATSSPRGGIVGGSCSTPEKGAVVSTDHSMNPHIHHQITIMSGTTTTNTTTNGAENKCIIRRNSFRRVSSWGMDPKRVLLFFATLSSMGTMLLIYFTLTISNQNADDEYYVGPSGGSESYFFPLSDPS >OIV92593 pep chromosome:LupAngTanjil_v1.0:LG18:16544295:16548129:-1 gene:TanjilG_07584 transcript:OIV92593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTLKGLVLLLVLTLIQGGGGQGIGVNYGTIANNLPPPPQVAKFLLHSTIISRVRLFDANPQILQAFAGTGIEVTISVPNDEIPHITNLSIAQQWVNTNVQPFIHSTNLIMILVGNEVLSTANKLLITNLVPAMQTLHAALAAASLDNHIKVSTPLSLGILSNTTPPSSAKFRQGYDTHIIKPMLNFLKDTNAPFMVNPYPFFGCTHDDLDYALFQPNAGVFDENTKLLYTNMLDAQLDGVYSAIKVLLGFENKIEIGIAETGWPSVGDPANNNTQLPFPPLLIHDLNSAPQRRRTTLGRSSDPNRGRPWSPHHLSPSATRVLHSLLDPSLRSDQVGPTLQPLFQPQHHPTAASDILAIIKALGFNKKFELALNVFDYVRHREGCASLLNGSSIAVIINILGKANKVSSAASLLLTLQNDGIQIDVYAYTSLITAYATNGRYREAVNVFNKMQQEGCTPTLITFNVILNVYGKMGMPWSKIIALVDAMKRDGVPPDLYTYNTLISCCRRGSLYEEAVQLFDEMKLAGFRPDKVTYNALLDVFGKSRKPKEAMQVLREMESNGFSPTIVTFNSLISAYARGGLLEEALNLKTQMMEKGIRPDVFTYTTLLSGFDKAGKDEFAMNVFEEMRAAGCKPNICTFNALIKMHGNRGKFVEMMKVFEEIKVCNCSPDIVTWNTLLAVFGQNGMDSEVSGVFKEMKRAGFVPERDTFNTLISAYSRCGSFDQAIGVYKSMLEAGVAPDLSTYNAVLAALARGGLWEQSEKVLAEMKDGRCKPNELTYSSLLHAYANGKQIERMNAFAEEIYSGSIETHAVLLKTLVLVNSKTDLLMETERAFLELRRRGISPDITTLNAMISIYGRKQMLAKTNEILNFMYESGFTPTLTTYNSLMYMYSRSENFQKSEEVLREILEKGMKPDKISYNTVIYAYCRNGRMKEASRIFSEMKDAAALVPDVVTYNTFIATYAADSMFVEAIDVVRYMIKQGCKPNQTTFNSIIDWYCKLNRQDEAKYFVKSLGDIGPHVSKEEESRLLERIAKKWS >OIV93479 pep chromosome:LupAngTanjil_v1.0:LG18:4242439:4244881:-1 gene:TanjilG_18695 transcript:OIV93479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGVWEWPLGDILFCALDVLRIKSRAWKTWHGSSDDIGWLQHAPEMPPVQDGTSRFLELLSDIRYDKIHLSAPFQFRFTCSSILLRLKGSPVTGLFSNHGPLYFVAPKRFFSKMGLACHIAKVNSEASVEHNALELKQYIEEIYWGSGKPVMLLGHSKGGIDAAAALSIYWSDLKDKVAGLALVQSPYGGTPIASDILREGQIGDKATRRIMELIICKIIKGDIRAMEDLTYEKRKEFIMKHKLSLDIPLISFHSEASMAPGVLATLTHIAHAELPSLPLLKFSIDESDSYVESGRQVPVMIPASAAMAVSALHLRLRYGAKSDGLVTCCDAEVPGSVVVRPNMKLDHAWMVYSSKHRNSNEPNACEMCEALLALLVELGDTKREVEQIL >OIV92910 pep chromosome:LupAngTanjil_v1.0:LG18:13825077:13825556:1 gene:TanjilG_00087 transcript:OIV92910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVASNNSSIICMLVAILLSSLRISLAVRHLLQTPVAPPLALALPTLPKTTLPPLPSQPTFPNPSLLPPLPNNPLPTMPKLTLPPLPSTQIPPQPILPPLPSTQIPSQLPNTLPPLPSLSLPTPLPSTLPNPTIPTKVTLPPLPTIPTAPLLSPSPSPSN >OIV92867 pep chromosome:LupAngTanjil_v1.0:LG18:15038981:15039675:1 gene:TanjilG_01001 transcript:OIV92867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHFSEDYPSKPPKCKFPQGFFHPNVYPSGTVCLSILNEDSGWRPAITVKQILVGIQDLLDQPNPADPAQTEGYHLFIQDAAEYKRRVRQQAKQYPSLV >OIV92704 pep chromosome:LupAngTanjil_v1.0:LG18:15689290:15690112:1 gene:TanjilG_25136 transcript:OIV92704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLCVFIVVAVLLIFVEKGPTHIEASNSVSAYVQNLIYSNRIAIFSKSYCPYCMHAKGIFRELNEKPFVVELDLRDDGYKIQSHLLDLIGRGTVPQVFVNGKHIGGSDG >OIV93456 pep chromosome:LupAngTanjil_v1.0:LG18:5623857:5625828:1 gene:TanjilG_10088 transcript:OIV93456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVEGDVAAAIGQVPKKRTFKKFSFRGIDLDALLDLSTEELAKLFSARARRRFKRGLTRKPLALIKKLRKAKREVPAGEKPEPVRTHLRNMIIVPEMIGSIVGVYNGKTFNQVEIKPEMIGHYLAEFSISYKPVKHGRPGIGATHSSRFIPLK >OIV93023 pep chromosome:LupAngTanjil_v1.0:LG18:12826853:12828909:-1 gene:TanjilG_20685 transcript:OIV93023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQPELEHRKKTFGWAARDTSGVLSPFNFSRRETGDKDVALKVLYCGVCHTDLAMITNKWGSSIYPLVPGHEIVGVVTEVGSKVEKFKIGDNVGVGYLVDSCRSCQNCDDNLENYCPDFIITSGAKYIDGTTTYGGYSDSMIVEERFVISIPDGLPLDAAAPLLCAGITVYSPLKYYGLDKPGLKIGVVGLGGLGHMAVKFAKALGAYVTVISTSPNKKKEAIEHLGADSFLISRDQDQMQAALGTLDGIVDTVSAIHPLLPLIGLLKSHGKLVMVGVPEKPLELPVFPLLVGRKTIGGSLVGGLKETEEMLDFAAKHNVKPDIEVIPIDYVNTAMERLLKADVKYRFVIDIGNTLKQSS >OIV92953 pep chromosome:LupAngTanjil_v1.0:LG18:13536447:13538356:-1 gene:TanjilG_20615 transcript:OIV92953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEINNNFPQLAGVQLSSLIPSASVDAICLITSLCSWDPCKRPTASEALQHPFFQSCFYIPPSLRTRAVARTPPSAATRGALDQPGVKRYSSAFNNSMLLNNFTSPKLHPSLASGVQRKLNMDGIKNDNSMKTTKQSKYRPPGKNSPTSINMGRSTHGVSETAEKLANMSVGNRRQSIGQPRPSPPMKTGVNWIPESGNYMLRPTQQIPNGRTFIRKVAG >OIV93684 pep chromosome:LupAngTanjil_v1.0:LG18:487042:488455:1 gene:TanjilG_16535 transcript:OIV93684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDGRDKYDESDKGVFSHLAHGLANASHGYPPGAYPPQHGAYPPQQGGYPPQYGAYPPQQGGYPPQQGYPPAGYPPGAYPPSGYPPAGYPGASAQHAGSHGHGGMGAMLVGGAAAAAAAYGAHHVSHGSHGGYGGHGGYEAHGGYGHVAQMPHGKFKNHGKFKHGKFGKHGKFGKHGGYKKWK >OIV92941 pep chromosome:LupAngTanjil_v1.0:LG18:13618255:13620365:1 gene:TanjilG_20603 transcript:OIV92941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMLISRGGGSDMLVAGTRFFMARTAAKGLGSWGHSVRASAVHGVSVVRNGSTLAMSEKENKSEVESGVAGGNKEEKKVISYWGVQPSKVTKPDGTEWKWSCFRPWEAYKADISIDLQKHHEPVTFLDKMAYWTVKVLRYPTDIFFQRRYGCRAMMLETVAAVPGMVGGMLLHCKSLRRFEHSGGWIKALLEEAENERMHLMTFMEVAKPKWYERALVITVQGVFFNAYFLGYLISPKFAHRMVGYLEEEAIHSYTEFLKELDKGTIENVPAPAIAIDYWQLPPNSTLRDVVIVVRADEAHHRDVNHFASDIHYQGRELRDAAAPIGYH >OIV92651 pep chromosome:LupAngTanjil_v1.0:LG18:16147657:16149170:-1 gene:TanjilG_18002 transcript:OIV92651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIISEKNRKEISKYLFQEGVLYAKKDFNLAKHPEIDVPNLQVIKLMQSFKSKEYVRETFAWMHYYWFLTNDGIEFLRTYLNLPSEIVPATLKKQAKPAGRPFGGPPGDRPRGPPRFDGERRFGGDRDGYRGGPRGPAGEFGGEKGGAPADYRPSFGGPPGGRSGFGRGAGGYGAPPPSNA >OIV92898 pep chromosome:LupAngTanjil_v1.0:LG18:15282373:15282882:1 gene:TanjilG_01032 transcript:OIV92898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCSSCGSTQVITAKLVHEDGRLQEFPYPVKVSYLLQEHPTCFICNSDEMDFDDVVSAVHENDVLQPGQLYFALPLSRLGQPLQPAEMAALAVKASSALMKYGGADRKYCCRRKHLAFSEEEYSKPHRSVPPAIRTGAVTFHRSRKGRSMDGSDRRGEFSALLSIIPE >OIV93507 pep chromosome:LupAngTanjil_v1.0:LG18:2708898:2709862:-1 gene:TanjilG_21760 transcript:OIV93507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHSGGETLVGMVRRSWLALRVVTAFGRGNYSRLGPSYLSSPFRSDEDTGIVKCELHSPVVDKRTSVLLVMYVGMCEPLLFITDMYTLL >OIV93737 pep chromosome:LupAngTanjil_v1.0:LG18:73966:75365:-1 gene:TanjilG_16588 transcript:OIV93737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTKSDDEVKLIGAWPSPFVLRARVALNLKSVKYEFLQENFAPKSQLLIQSNPVYKKIPVLIHGDKPICESLIIVEYIDEAWSSGPSILPSHPYDRAIARFWSSYIDDKWFPAMKSIAGAAGDDEKKKLIEEVGEGLALLEDALKKISKGKDYFGGDQIGYLDIAFGGFLGWLRVTEASIGVKLLNEATTPGLLNWAHKFAAHHAVKDVLPETGKLLEFAKVLMARNANAAK >OIV93649 pep chromosome:LupAngTanjil_v1.0:LG18:1632929:1633816:-1 gene:TanjilG_04881 transcript:OIV93649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKVTYNCFIKLVVFILCFCSLVHSLDNNKDTETLNSLLQDFAFRSLIKHKPQNGALYDAFLPQNLSGMHVSVVHLRSQRVWRKGANFSFFQIPPRTMFSPHVRRLVIVYQNLGNRSSQFYNLQGYSLISYVVGFMVFDASNVTDARVKNLNISTMGQPISIHFPNVTFLGGIKSRVRCVAFSANGTIQVSLMISPGLCYSKDQGHFSVVLQLESKPQRQWYLLVIGFVIGFVGLIAVVYAGFSCTRFLKAKRIQAIERHANEDLVLESRWVGNSKLPSAAVTRTQPAYENSVL >OIV93353 pep chromosome:LupAngTanjil_v1.0:LG18:7650809:7658077:-1 gene:TanjilG_31400 transcript:OIV93353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVIRRVPSILTGLVNNNNNRTICFKSSEPSISSSTLSSSLTHGIHVFHCPDVVGIVAKLSECIASKGGNILSADVFVPQNKHVFYSRSDFVFDPVKWPRVQMEEDFLKLSETFNAMRSVVRVPSLDPKYKIAVLASKQDHCLVDLLHGWQDGRFPVDISCVISNHYRATNTHVIRFLERHGIPYHYLDTTKENKREGEILDLVQNTDFLVLARYMQILSGNFLRTYGNDVINIHHGLLPSFKGGSPSKQAFDAGVKLIGATSHFVTEELDSGPIIEQMILSGNFLRTYGNDVINIHHGLLPSFKGGSPSKQAFDAGVKLIGATSHFVTEELDSGPIIEQMVERVSHRDNLQSFVQKSENLEKQCLSNAIRSYCELRVLPYEEKKTVVF >OIV93349 pep chromosome:LupAngTanjil_v1.0:LG18:8092273:8096420:-1 gene:TanjilG_08762 transcript:OIV93349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLTLPQNSSLSCFKTLLFLFTLFPFYAAAASTSAYFLIPNQSATTTTTTTTTPSTIPAFPEQANAEGCPLSLSDEHYDGIKKACGSTKDGAANKNLHKSRCCPVLAAWLYSAYSATALGHVSTSQGHTTTSYDMPLLPDDSETCVSDLGKALKMKGIELMKPNETCDVVYCYCGIRLHPLSCPESFSVTKSGNLVGDESVRRLERNCLSSSTNVDGFPGLGGCSKCLHSLYLLKKKTSNSSKTEDRTTKIHNKDCELMGLTWLLAKNQTAYIHTVSSVLRALMLSSDGSDPQSCTLNSDGMPLAVDSSEMFDQSSSTKFQAPMFLSFLFLYFLLGHYCHVPIATSALI >OIV93687 pep chromosome:LupAngTanjil_v1.0:LG18:462379:462657:-1 gene:TanjilG_16538 transcript:OIV93687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPTLVAEAGTCFSKSKRFIGSCWGNAHCASVCKSEKLSGGHCSGSQCLCIRQCPKDSKNNGPPPPNQDGQPATPSPNLVAESTTAAPSKSH >OIV93093 pep chromosome:LupAngTanjil_v1.0:LG18:11841700:11843561:1 gene:TanjilG_20755 transcript:OIV93093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLKRDTNVHVIVLPYPSQGHINPLLQFAKRLSSKGVKATFATTHYTVNTIKVPNIEVEPISDGFDHAGFAQARNVELFLSSFRTNGSRTLSNLVEKFQHTNTPVTCIVYDSFLPWALDVAKKHGIYGASFFTNSAAVCNIFCRIHHGLLELPVKIEDLPLFVPGLPPLNCQDLPSFIRFPESYPAYMAMKLSQFSNLNKADWMFVNTFEALEGEVVKSLTELCPAKLIGPMVPSAYLDGRIEGDKGYGASLWKPLGEECIKWLKSKAPKSVVYISFGSMVSLTKEQMEEVALGLKESEVSFLWVLRESEHSNLPHGYIDSIKEKGLIVTWCNQLELLANQAIGCFVTHCGWNSTLESLGLGVPVVCLPQWADQLPDAKFLEDVWEVGVRPKEDDKGVVRKQEFVESLKVVMVGKRSQEIRKNASKWMKLARDAVGENGSSDKNINDFVNYLLNADNKN >OIV93614 pep chromosome:LupAngTanjil_v1.0:LG18:1211482:1215056:-1 gene:TanjilG_04846 transcript:OIV93614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIEDENHVAKFADPFHLLGTGIISLPIDFPGTPFNKAIKASKFIRKELLKIIRVRKVDLAEGKATPTQDILSHMLLTCNEDGQYMNELDIADKILGLLIGGHDTASVTCTFIVKYLGELPHIYDRVYQEQMEIAKTKSEGELLNWDDINKMKYSWNVACELYWSANSTHKNEEYFPEAEKFDPTRFEGNGPIPYTFVPFGGGPRMCPGKEYARLEILVFMHNLVKRFKWKKLIPDEKIIINPLPTPSKNLPIQLFPHNA >OIV92787 pep chromosome:LupAngTanjil_v1.0:LG18:14452240:14452710:-1 gene:TanjilG_00921 transcript:OIV92787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQGRGNSAMIALMLLFCTLMFHSDIAHATNFKVGDSGGWTFNVVNWPSGKTFKAGDILEFNYDTQAHNVVVVNEGGYNSCSGSSGEVHNSGKDQLKLKQGKNYFICSKPGHCQGGMKIAVSAA >OIV93318 pep chromosome:LupAngTanjil_v1.0:LG18:8530820:8534440:1 gene:TanjilG_23090 transcript:OIV93318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKTLFFVLLLSACYFLIPSSSAINTIGVNYGTVADNLPPPSKVATFLKSQTIIDRIKIFDTNPDILRAFSGTGIAITVTVGNGDIPSLSKLPAAKTWVSTNILPFHPKTLINRIAVGNEILATSDKNLIAHTLPAMEALYQALTLANITTVQVSTPHSLGILSASEPPSSGQFRRGYDKTIFVPILDFLRRTKSPFLVNPYPFFGIDPTRPESLNYALFKPNDGVFDKVSGFNYTNMFDAQMDAVYSAMEKVGYEDVELVVAETGWPSAGDPNQSGASLENAVSYNGNLIKHVNSGKGTPLMPNRTFQTYIFSLFNENLKPTRSEQNYGLFKPDLLPVYDIGVFREQQALGPSASPGPSSEPSSSPGPSSEPSSSKKWCVPKTSASDKALQANIDYVCSSGIDCGSIRNGGPCFKPDTVRSHAAYAMNAYYQANGRHDLDCDFDHTGLVTYADPSYETCTYPYAATAEAPAAASDAAAPVGVPATPTAAAPAGAPTARKSETGGSFKPTTSVLVDHVLLPCYFILMVFYFLF >OIV93308 pep chromosome:LupAngTanjil_v1.0:LG18:8691971:8705452:-1 gene:TanjilG_14559 transcript:OIV93308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLRPPSASEEAKINDWIKVRQSGIRYYLSLGDQRIVDKNFIIRPKAEFEVGRMTLGGLLALGYTVVVSYKRASTTVNNGKVSMSFETIDALGETFMVMRGTNRKTVGTEALRVGNNGPWITKSYLEMILERKSVPRLSTPPLVTNASMTGSQETTIIAPKPIRVTPDFVIGLEDISQPWTRSPTKSKMEPVLATWQFISSDSSEPDNSVLDPSSFRDTIRLAPMPDSYDLDRGLLLAVQAIQALLENKGVPIIVGIGGPSGSGKTSLAHKMANIIGCEVVSLEHYYIQVKDFKHDDYSSLDLSFLSKNIDDIRNARRTKVPIFDLESGARSGFKELEVSEDCGVIIFEGVYALHPDIRVSLDLWIAVVGGVHSHLISRVQRDKSRVGCFIAQNEIMTTVFPLFQQHIEPHLVHAHLKIRNDFDPVLSPESSLFVLKSNKKVAYQDILAILDPAKFCSSVQKFIDIYIRLPGIPSNVQLTNSDCIRVRICEGRFALLIREPIREGNFIIQPKVDFDISISTVAGLLNLGYQAVAYIEASAFIYQDGKILIEVDHLQDISDPYIQIKGVDKDAVAAAGSRLKLDGSYTTKSYLEIVLERLPAIEGTSGGIHSQQSSRLLEIIEFIQSQGCSSASESSSNRVVSPIGGTIEEMQSRIRRLERWLAINTVLWTFFMSALVGFSLYQRRRQ >OIV93390 pep chromosome:LupAngTanjil_v1.0:LG18:6950629:6952140:-1 gene:TanjilG_24110 transcript:OIV93390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSFPCLMDNAVLKASSTLPDKIPSSGAAPLDQQSPEPFQTTAGRVASLRQLSNSFAQFNNLNISVRSKRPLTRKDAAAINEWRFSKLKEYKEQNIELENEAFDRYMQNVELLEEVLSVNSVDDSVSSASECNPTSAENNETTIPGLKLQLRSNSTRSDAMRMRIQQIVDGGLNTLQKCAVGGGINEPIDEEADKALKRGKRTEMLSSVSDLIDKINKARTEEDLKSCLEMKFQLFNNAEKGSDTNMMELDNVTHENQTVESDAAPAKETDYSLPKLVGTTEVDQETLNTIDKHFTSLEHVQEL >OIV93527 pep chromosome:LupAngTanjil_v1.0:LG18:2353623:2363312:1 gene:TanjilG_28684 transcript:OIV93527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSDIDKSEDTNTPIKSNMQCNSKQQIVEKPNEHPEWLPDGWDVNIRTRKSGQLMGSAYKCYTDPLKRYKFYSKPEVLRYLENIKDNNCTIEKGKKCTNTHSPRNIVVKEKGKEKEKGKDKEKGKEKEKGKEKEADNSCTSKKEMECTNIHSPNNVVDEKEKEDNNCTSKKEKKCTTTHPPSNVVVEKSNVEDLPPGWIKEVKERKVGNGIKKDPFYTDPVSGYVFRSKKDVLRYLESGDIRTCAFRPSRRQIQDEDTSTPSPAAKRQKPNQSAPEKKLFTGKEVFDKSNFKSSDANSPRKGQHAKVIVKMHSLEDGAESPPEIRKTSDPDYVQEKEHVIVMENANEKNHNGVSKKEFNAHHQFSPQLSGAGPVHLGNNVIKEQTLQVPRRNLRKSRTTLDADMENTSSRHLNGVPKIEQVHKIQEVIKPETRASSNKSSNKKVHSIPRRASKRLAGIELDLMSNSISCDKAPKNKRKMSKDEVNAEIHTSEVGAATELADHAPIKVESANKRKSHKIQPSTDSGLGIVEDEEMGDAKSEPQLSFAFHYSWSDPSLESAINTLMGVLPDKDSVDNQPTTVLETDIPKTPFNSVTGRRDKNPTLEFATNTFTSVLLAEDSVDYGLTVAPGTNTQKTSIDNVTGSRNKKPQVRSNKSKNKKELIVPMRVSKRLAGLEPEVRPSERAVEYVSRKLCKEEPIATATATLTNGASDHLDAGEKTKLTPHASDRLKTEVLGESSNKREKPLDAQTVPNEQLQKGELENIGDERSEQLKKVEAENICDERSESQLPLLFGDPWSDPCLEFAFKTLTGALPVEAPTDILHGSSPNIYNFSNNGLHESVATSMNVKAHDNSNQSQNKKELDMVSQPSTLFLGQPELRTSSTICENAPTFTIRESYSGEGNRTRNLGGEPLHSEASNVTQLAFHSRNISTPIHEWPLKENEKVLEHENITMEQPPLETKNHDTTESQLCSSFMDSWSDSCLEFAFKTLTGAIPVDENLFQEGFPGPANCHDQRDGGSGLPHIGSSSLSQNGGIPFYHDTGVESRPEQQSSTSFSFPVLEKSNLQGCPEVDPKKHYSQWNKNFQR >OIV92656 pep chromosome:LupAngTanjil_v1.0:LG18:16093551:16094496:-1 gene:TanjilG_18007 transcript:OIV92656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKVYPHKHTSYSNCMAYERETYTIWMKSLIFHSNGCTIYDSNGDIVYRVDNYDKKGTTQVNLMDLQGRVLCTIHKRLLGFGGWDVYKCKSHEKAWFQVKRCYKMMMMGKLTWQIMVGTQKYCMERIGGKTAFRIINIDGDVVAKGKQKHSSTGIVLGNDVLTLEVEADVDHSLIMAFVTVFGLICGRM >OIV93048 pep chromosome:LupAngTanjil_v1.0:LG18:12488852:12491725:-1 gene:TanjilG_20710 transcript:OIV93048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFMKLGSRPDTFYTAEAVRSVSSEVSSDLIIQVKGSRYLLHKFPLLSKCLQLQRMCSESPDSPQHQIVQLPDFPGGVEAFELCAKFCYGITITLSPYNIVAARCAAEYLQMIEDVEKGNLIYKLEVFFNSCILHGWKDSIVALQTTKGMPLWSEDLAITSRCLEAVASKVLKNPSKVSLSHSHSRRVRDDVSCNGTESLRHHKSSSKGWWAEDLAELSIDLYWRTMIAIKSSGKVPSDLIGDALKIYASRWLPNIQRNGHVKKQTSSDSDSSDSATEITSKHRLLLESIVSLLPAEKGAVSCSFLLKLLKASNILNASSSSKTELASRVGLQLEEATVNDLLIPSLSYTNDTLYDVELVMTILEQFISQGQSPPTSPPRSRLAFERRRSRSAENINCEFQESRRSSSASHSAKLKVAKLVDRYLQEVARDVNFPLSKFIALAEILPEFARHDHDDLYRAIDIYLKAHPELNKSERKRLCRILDCKKLSMEACMHAAQNELLPLRVVVQVLFFEQARAAAAGGKVTDLPSNIKELLTTHGIDLSKYAAPLSTTTSIHAEDNWSVSGFKSPKSRSSTLKMKLAEEDDLDENDSLPNGIGRNSRFKSFCAIPTQPKKMLSKLWSTNRSVNEKN >OIV93525 pep chromosome:LupAngTanjil_v1.0:LG18:2388595:2389272:-1 gene:TanjilG_28682 transcript:OIV93525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANIKSLSIYFFITISCLAFARAAHFDITNRCPYTVWAAAVPTGGGRQLNSGETWGIDVASGTAGARIWARTNCNFDGSGRGHCQTGDCGGVLQCTAYGAAPDTLAEFALNQFNNLDFYDISLVDGFNVPMEFSPTSNGCTRAIRCTSDINGQCPSVLKADGGCNNPCTVFKTDQYCCNSGSCQATDYSRFFKDRCPDAYSYPKDDPTSTFTCPGGTNYRVVFCP >OIV93432 pep chromosome:LupAngTanjil_v1.0:LG18:4919230:4919557:1 gene:TanjilG_10064 transcript:OIV93432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHYFRKNFSYTMMSPLTLPGLLIAGMVLREDELMRCEEERTIEKANNFDFRETQDDPAYGHAKVRRSRGLMDKSHRTNKMKTP >OIV93361 pep chromosome:LupAngTanjil_v1.0:LG18:7918531:7919949:-1 gene:TanjilG_31408 transcript:OIV93361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSKATTSTTSTCNNNHNGMDFLLVFFPQENTNNNNNNTKLNFTSSNPNNLIHSKTQFTISICILLLFFTLLIFTLSNFEPSISNITPTPHRFLLQKPLIITKSKTQNLFFPKKPLTTSSHALQRMGTLYRRGTRSMINLMICHVADETVEHDFRLFLRLIHRFGVTAKNDVVFLFSSPSTSATFSHVIQQENNAFSSLVNIHNELRNSTTRFTKSESRFELTRFYSRKESETMEPIWGKRIRSNLSKVEEGEGELVLSYGSVLSFDATELDTENSLAGFLERVPLSLRRWACYPMLLGRVKRNFKHVVLVDVKNALIMKDPFGRVRNRSPESVFLFNKKNSDKTRSQVNSAVIAGGARGMRRLCNVMPVEIVRAAMQHNNKKKNSVSDSEILSQLVGNKFMWKKNNNINFIISTESIPEASSLVGRNSGTAIATSLLNHAIIQRNNHDLSSLFKKEICSSVVDSSVYRDC >OIV93125 pep chromosome:LupAngTanjil_v1.0:LG18:11468256:11468618:1 gene:TanjilG_20787 transcript:OIV93125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSNSFITTLFLIVAFSSINLTLGTRHLLQTTQPNLPNIPTLPNPTLLPPLPSIQPLPQGNNVPQLPIIPSLSQPTTLPPFPSIPIIPITLPTLPNFPSIPTTIPSIPFFSPPPSTTSP >OIV92744 pep chromosome:LupAngTanjil_v1.0:LG18:14088865:14094103:1 gene:TanjilG_00878 transcript:OIV92744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMMKGSKRLAASEPNQPDSNETQFRNKSITEGSLFDFRRAEPSQQPMVVTPPLDMKRAESSQQHVRALNTQFASWVQTKLKNNPDELWEDGIRDYLDHASSIMAKFSDVVNWLKANANKGENLVAGDGASFAGKKILPEVTNKENNSFGEKPGSTPVSTATNFASSWSPGLLSNSQNLFTFGNQSSAPSNHDVSDDVDGENELERPSSPSVKKSEEKGIVVVYEVKCKLYVKSSDPADKDAWKDKGMGQLSVKCKEGVTKATKESKPTIIVRNEAGKILLNALLYPGIKTNLQKNSVVAIFHTSGNADGSGDNDSVVARTFLIRMKTEEDRNKLASTIQEYAPVS >OIV93337 pep chromosome:LupAngTanjil_v1.0:LG18:8253036:8257058:1 gene:TanjilG_23273 transcript:OIV93337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCCSFCSNLRDQLQAFIRDYDRLQSLAIILIYIQIGCALIGSLGALYNGVCLINLGIALFALVAIESSSQSLGRTYAFLLFCAILLDISWFILFTHEIWNISSEGYTDLFIFSVKLTLAMQIAGFVVRLSSSLLWIQIYRLGASYVDTASRSADFDLRNSFLSPAPVTPAVARQTSGSNEILGGSIYDPVYYSSLFEDGQENNHSYGMRNHDSVENESTSGTEPSQKSSVDRSFQAVDVSTI >OIV93449 pep chromosome:LupAngTanjil_v1.0:LG18:5456423:5460033:-1 gene:TanjilG_10081 transcript:OIV93449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVSGDAGAEEAVLTPTQIPAPVTVSGSFKEGKSSSRRRAIRPSLDADEFMNLFHGSDPVKVELNRLENEVRDKDRELSEAHAEIKALKLSERLREKAVEELTEELSKVDEKLKLTESLLESKNLEIKKINDEKKASMAAQFAAEATLRRVHAAQKDDDMPPIEAILAPLEAELKLARQEITKLQDDNKALDRLTKSKEAALLEAERTVQVALAKASMVDDLQNKNQELIKQIEICQEENKILDRMHRQKVAEVEKLTQTVRELEEAVLAGGAAANAVRDYQRKVQEMNEERKTLDRELARAKVSANRVALVVANDWKDANDKVMPVKQWLEERRFLQGEMQQLRDKLAIAERAAKSEAQLKEKYHLRLKVLQESLRETSNSIGRGTPEGRSITNGPSRRQSLGGADNISKLTPNGFSSKRTSSFQMRSSVSSSTVLKNAKGTSKSFDGGTRSLERSKILLNGKPPSSSFNQSSEGSKDKEEKDSWKANSEDKPNEFPVADIEDTVPGVLYDLLQREVLALRKAGHEKDQSLKDKDDAIEMLAKKVDTLTKAMEVEAKKMRREVASMEKEVAAMRVEKERDSRSKRFSGIKGAANISQHQLVSGSTMVYGLLFSANNFVD >OIV93709 pep chromosome:LupAngTanjil_v1.0:LG18:311771:316785:-1 gene:TanjilG_16560 transcript:OIV93709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTSGSIKNENSVRKIETQKKENKGCHENKDIALTVKAQTIDELHLLQKKKSAPTTPKGSEATLFSFSEDERSKQQLQSISASLASLTRESGPKVVKGDPDKRFEGRRVSHVSHHRITPTIAVSDSALKFTHVLYNLSPAELYEQAIKYEKGTFMTSTGALATLSGAKTGRSPRDKRVVKDHVTQNELWWGKGSPNIEMDEHSFMVNRERAVDYLNSLDKVFVNDQFLNWDPENRIKVRIVSTRAYHSLFMHNMCIRPTPEEVKNFGTPDFTIYNAGQFPCNRYTHYMTSSTSIDLNLTRKEMVILGTQYAGEMKKGLFSVMHYLMPKSQILSLHSGCNMGKDGDVALFFGLSGTGKTTLSTDHNRYLIGDDEHCWSDKGVSNIEGGCYAKCIDLSREKEPDIWNAIKFGTVLENVVFDEHIREVDYADKSVTENTRAAYPIEYIPNAKLPCVGPHPKNVILLACDAFGVLPPVSKLSLAQTMYHFISGYTALVAGTEQGIKEPQATFSACFGAAFIMLHPTQYAAMLAEKMQKQGATGWLVNTGWSGGSYGCGSRIKLAYTRKIIDAIHSGSLLNAEYKKTEIFGLEIPTEVEGVPSEILDPMNTWPDKNVYKETLLKLARLFKNNFETFTNYKIGKDNKLTEDILAAGPIF >OIV93431 pep chromosome:LupAngTanjil_v1.0:LG18:4895098:4897331:1 gene:TanjilG_10063 transcript:OIV93431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTSRRLADRKIVKFDKNITKRGFVPETTSKKGKDYPVGPILLGFFVFVVIGSSLFQIIRTATSGGFA >OIV92812 pep chromosome:LupAngTanjil_v1.0:LG18:14657793:14662669:1 gene:TanjilG_00946 transcript:OIV92812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCTANDIQEWKDFPKGLKVLLLDGDSSSAAETKSKLEAMDYNVYAFDDENAALSAISSWSENFHIAIVEVSTSSSQGGFKFLQNAKDLPTIMTSNNQCLSTMMKCIALGAVEFQNKPLSEDKLGNIWQHVVHKAFSARESVLPDSLKPVKKSVESMLQPQTENGQHENKISVELDNVSMLSDDDHDHSAGSDKYPAPSTPQLKQGERLLDDGDCQDQSNCFTEKESGEHDGDSKSVDTSCENLNTEGTAQTGKPAKALIKGEEDFADCCKGESTISPNQRHRKFLSKAGGITTSPNKAGVPRDSREIKANRKKMKVDWTPELHKKFVQAVEQLGVDQAIPSRILELMKVEGLTRHNVASHLQKYRIQKRPTLPREENRRWTNQKDSIQRNYYVQRPIMAYPPYHPNHTISPVPVYPMWGQPGSQTDGVQVWGPPGYPMWQPSESWHWKPFPGVHADAWGCPVMPPPQAPCFPYTQNMAGLHNAKAVDYRFGMPQTSFEHHTAEEVVDKVVKEAMSKPWLPLPIGLKPPSADSVLAELSKQACPASLLLTKVLNRTPVYKDN >OIV92628 pep chromosome:LupAngTanjil_v1.0:LG18:16264715:16285109:-1 gene:TanjilG_17979 transcript:OIV92628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GIEVKPGKPIPYHADNVQGKLHVTQATLGTGSSVEKSILQCSSGHKSAVFLCSLLPNKIESCPLNLEFDDDDLVAFSVIGPRSIHLSGYFVADDGDDLRDDYEYDSFGEDVEGTETEESSEYDSEDGFDFIDGSDVDIYRSSSLPNSGVVIEEIVDEDKPDAGDDPAKQSKKKKQAARLKEKDNKSSQLPIVVGDETVPPNLESEHDVEESEDEDGFPISASQKSKFDNPKEEAETKEGHAPKKTDKTSKKGKQVDQSAGLKRKVESADDDVQRQDGKKKNKKDKLKKHGEGGSAHVAGSINEAIVTTPDEKHSEEVRTTLNTNNASEAKDGQQDGNLSNKELLVEKKNKKKKKKKAKESEGDATANEIATAVENKDLSTSEKKGKKNTEDIPSQVRSFPNGLVIEELSMGKPDGKRATPGKKDGCIVCPTTDSTFDLRTGDIKEWYPKNPVLRVLTPALRKLFVFPVKTDEQNIYISVQGGVTSDASAEIVFSGKAQPGVTASNVNVDEVRMVVDESEGGFGFTERNELINGKAATIGFFLLLDFELLTGKGLLKGTGFLDFLYSASNALN >OIV93542 pep chromosome:LupAngTanjil_v1.0:LG18:2138517:2144387:-1 gene:TanjilG_28699 transcript:OIV93542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNRRRFTQVAISDDEDDNDSPIRRRSTHHSSSSFRSKLQPNRKRLKLFDDDEEQKAETDPEEEEEDDDDDDENEEEPVQEDAKPIGESIRASGKGRGRRKHFESFEFDGNQYTLEDPVLLVPEDKDQKPYVAIIKDIAQLLSGSIMVTGQWFYRPEEAERKGGGSWQSNDTRELFYSFHRDEVPAESVMHKCVVHFVPIHKQLPNRKQNPGFIVQKVYDTVERKLWKLTDKDYEDGKQQEIDELVQKTLQRLGDLPDIEAEEAPADQEDLTKNKRILRKKSILPLDVSREDESIHKSDQHLKPETPWSCVNNASEHYRILVDLNALTGDTHRDKGLEKLLQSVQYMFNCDGSLKKEEKGNGNSGAINSGSNNKSLELANEHQDKGQKNSKSFVWPDVAVPAVVALEKASHDTLSSDYQKYNQKLRQLVFNLKNNAVLARRLLNGELEPSKILNMTPNELKEGLTAEEISKNEPDESQHIQMTDARCSRCMETKVGLRDIIHAGHNDRYQLECIACGNSWYASRDAVSVLTIDGSNSKKSVGTAPWATSKFENVEKKLVSPREHEKSANDMFKKTSEAYMPVLETQKSFGKSRKDENTEAKRNAD >OIV92660 pep chromosome:LupAngTanjil_v1.0:LG18:16063864:16067023:1 gene:TanjilG_18011 transcript:OIV92660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWELCVGAFIIICITHWVYRWRNPSCNGKLPPGSMGLPLLGESLQFFTPNTSSDIPPFIKKRMKRYGPIFKTNLVGRPVVVSTDPDFNYFIFQQEGQVFQSWYPETFTEIFGKQNVGSLHGFMYKYLKNMVLNLFGPESLKKMLSEVENTACRSLQQWSCQDSVELKEATARMIFDLTAKKLISYDSNKASENLRENFVAFIQGLISFPLDVPGTAYHKCLQGRKKAMRMLKKMLQERRAMPRKQQTDFFDYVVEELKKEGTILTEAIALDLMFVLLFASFETTSLAITYAIKLLSDNPLVLKQLQEEHKAILEQREDPNSGITWKEYKSMTFTFQFINETVRLANIVPGIFRKAMKEISFKGYTIPAGWAVMVCPPAVHLNPKKYRDPLAFNPWRWEGVELSGATKNFMAFGGGMRFCVGTDFTKVATYQRRKYC >OIV93203 pep chromosome:LupAngTanjil_v1.0:LG18:10554851:10561165:1 gene:TanjilG_24418 transcript:OIV93203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGGSLGIRSGSYGSLDKQQLQVQTATLASARRASKMIKEKEKERFFLWIYKFTGRKRVGMLFLCLVSAAVFIWVLFMAKGEDSQKGNSVHSISVGDSVSIPDSPSLIFTAVKAIGLSTNLALLSPPPPPPPPPPPPSYFLGYQLPPGHPCNSFTLPPPPADKKRTGPRPCPVCYLPVEEAIERMPKFPSPSPVLNNLTFVQEEILSRDGEFGGSEFGGFPTLKQRNDSFNIRESMSVHCGFVRGIKPGHNTGFDMDEADLLEVEQCNGVVVASAIFGNFDEINEPKHISDYSRKTVCFIMFVDEVTEKYLRSSGKLGISKKIGLWRIIVTRNLPYKDARRTGKIPKLLLHRLVPNARYSIWIDGKLELVVDPYQILERFLWRTNATFAISKHYRRFDVFVEAEANKAAAKYDNASIDFQIEFYKKEGLTPYTDAKLPLISDVPEGCVIVKEHVPISNLLTCLWFNEVDRFTSRDQISFSTVRDKVLSTVDFKFHMFLDCERRNFVIQKYHRDLLLQLAAPGARIHPPPPSPPLPVLETSLPDKVITSSDIADPGKRSRDRISGSKRHRKVVAGSKDIEGR >OIV92981 pep chromosome:LupAngTanjil_v1.0:LG18:13292094:13294617:-1 gene:TanjilG_20643 transcript:OIV92981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYKIAKASDRVAINLKRKRSTRCAAYLDGASRTQLRHWPSSTTPTGKVVKRMRCGRSKSKLTSSGTHTGQSLVRRYLNYRKSGRPERLLFYKNGEWLDFPTDVVKLVKKDLVVKKEVVEVESNGYHLLLDFVHMYKVDLKTGLQQPIAWIDEAGCCFFPDVFVASDEEPYDFSKQESGKNDDSYEIKLHLEIDLNGVDGSKLMECSGDSNALVEPVQIDSKQSCGPYDVQVESNINKRDHGNVGVAIQLNQDMGLGACTESVYGNLDTDTVRRMFLTGMSSFGITDIIEIYRCSSMSMPVRLEVFLKQAEITKRMNGNANVQYAWLASSKGELSTIMEDGLGHCRLSACKCTYGIGVHLSAVTCPYTSAQLCDNDENGVRHLVFCRVIMGNMELLRPGTDQLRPSTSAYENGVDDVQSPTHYVVWNMNMNTHIYPEFVVSFKVSSAAEAHLCGSHSKNNSSVFNSADQGSTVDPGQAASTRKVPTSPFLPLPLLFDVIRNKVLPEDMELIKTHYEQFVSKQISRDNFVQKLRMIVGDTLLRATILGLQMKKPSAGELRDSNKEED >OIV93106 pep chromosome:LupAngTanjil_v1.0:LG18:11663148:11670957:1 gene:TanjilG_20768 transcript:OIV93106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPLPMMNDTTFTNGGPSNTPPFHLSEIWQFPPPAITESGIGPRRPPFEQGLTHYIGFGPNRDVPGNDPINSEHMAPIRNRKKRDSDEESFKAASTSNGGGNNAMSDGGDGKRVKATGNNRNESGKCETETSSGKHEEQKQTTTEPPPKQDYIHVRARRGQATDSHSLAERARREKISERMKILQDLVPGCNKVIGKALVLDEIINYIQSLQGQVEFLSMKLEAVNSRLTPGIEVFPPKDFDQQTFDTAGMPFTSHASREYSRGSSPEWLHMQVGGGFERTT >OIV93712 pep chromosome:LupAngTanjil_v1.0:LG18:277851:278498:1 gene:TanjilG_16563 transcript:OIV93712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAEVIVQLCILILSLFIILFIYNLLHQSTHTKSRTRSRTRTNQPNRHLAQSSRHLSRATATTRSTSQRAKAALSEADTALSISPRDPSAHIHRAKALHLLGHPAAALRSLDAALSLPASKTLTETERAEALVKRAEVKVEVNRRRRMESAVKDLEEAVGMNGGKRIEKEALCLLGKCYEWKGMKEEAKQVFKKLLHLQPDSVEASNGLHRLPYS >OIV93197 pep chromosome:LupAngTanjil_v1.0:LG18:10598837:10601043:1 gene:TanjilG_24412 transcript:OIV93197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQTQEVKMREKQEDDDGPPPGWQQPIHTTTPSLPTPPPPRPSGDNMGQLVCGSCRRLLAYPGGFKHVTCACCGTENLVLQPHQVGQVKCGGCAVLLMYPYGAPRVRCCSCRFETEIGDHNKRPPLSEQLRKPTPPKGM >OIV92939 pep chromosome:LupAngTanjil_v1.0:LG18:13636502:13645863:1 gene:TanjilG_20601 transcript:OIV92939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESNDILEELLNIDVEIEDVQDQIRGLIERQEKLYERKSELSAILEACKDSENQINNGSGSVENWSAPFEWDSQADDLRLNIFGISSYRANQREIINAIMSGRDVLVIMAAGGGKSLCYQLPAVLRDGIALIVSPLLSLIQDQVMGLTALGIPAYMLTSTTSKDNEKFIYKALEKGEEELKVLYVTPEKISKSKRFMSKLEKCNHAGRLSLISIDEAHCCSQWGHDFRPDYKNLGILKTQFPNVPMVALTATATQRVQNDLMEMLRIPRCVKFVSSVNRPNLFYMVKEKSSVGKVVIDEIAEFIQESYPNNESGIVYCFSRKECEQVAKELRDRGVSADHYHADMDVNAREKVHMRWSNNKLKVIVGTVAFGMGINKPDVRFVIHHSLSKSMETYYQESGRAGRDGLPSECLLYFRPGDVPRQSSMVFYENSGLQNLYDIVRYCQSKRQCRRNAFFRHFAEPLQDCNGMCDNCAFSSEVKEVDVTRHAILMVSLLQDLQANDQRSTMLQLVEKMKGKQKELGAELKREEIEQLILQLLLERALKEEYQHTPYATNAYIAVGPLAKQISQGKRAVKLEIYSEQKTKAGVRVAKCSLGSSGLELKLDELRKELSSIHGGILPHSILSTQQISLLCSQKPNSLEQARLIELEKVIGKLKTEKYGSRILEQIEKYADFKQSDEQGTDGRASKRLKTKKNLVLIDSSEDEA >OIV92935 pep chromosome:LupAngTanjil_v1.0:LG18:13662732:13673770:1 gene:TanjilG_20597 transcript:OIV92935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIFMMKWQWPWFLYVLLIHIVINKSKALSPDGEVLISFRTAVVSSYGVLLQWRPEDPDPCKWKGVKCDPKTKRVTHLSLSHHKLSGSLSPDLGKLEHLRVLALHNNNLYGTIPSALGNCTDLQGIFLQGNYLSGTIPGEIGNLSQLQNLDISSNSLTGAIPASLGKLYKLKNFNVSANFLDGTIPSDGVLANFTAVSFVGNRGLCGVQINSICKGSPGANNQSNPDQNQNGKKKYSGRLLISASATVGALLLVALMCFWGCFLYKKFGKNDRISLAVDVGGGASVVVFHGDLPYSSKDIIKKLETLNEEHIIGIGGFGKVYKLAMDDGNAFALKRIVKLNEGFDRFFERELEILGSIKHRYLVNLRGYCNSPTSKLLIYDYLPGGSLDEALHERTEPLDWDSRLNIILGAAKGLAYLHHDCSPRIIHRDIKSSNILLDANLDARVSDFGLAKLLEDEETHITTIVAGTFGYLAPEYMQSGRATEKTDVYSFGVLTLEVISGKRPTDASFIEKGLNIVGWLNFLITENRPREIVDSLCEGLQMENLDALLSVAIQCISSNPEDRPTMHRVVQLFESEVVTPCPSDFYDSNSD >OIV93343 pep chromosome:LupAngTanjil_v1.0:LG18:8186473:8190096:-1 gene:TanjilG_23279 transcript:OIV93343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGNSGGGGGGAATGGGGGNDVELLCKTLQVEHKLFYFDLKENPRGRYLKISEKTSATRSTIIVPSSGISWFLDLFNYYVNSEDQELFSKELQLDTKIFYFDIGENRRGRFLKVSEASVSRNRSTIIVPAGSSRDEGWAAFRNILAEINEASNLFILPNQKNSESPEDLVGLSDDVGAGFISGQPATSSELNVDRSVDLPSQDEIGNLGVSKVIRVDQKRFFFDLGSNNRGHFLRISEVAGSDRSSIILPLSGLKQFHEVVGHFVEITKDRVEGLAAANVRTVDPPQR >OIV93716 pep chromosome:LupAngTanjil_v1.0:LG18:248323:261312:1 gene:TanjilG_16567 transcript:OIV93716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSFQQPPPPHNYIRPPQPPSQPPPHTADPYNQHYFHPPSFSTQQQFQYHHTHWPPPPPPPPPNPYPYHHNHITHPYPQEWTNQAWPPNQPYPAQKNEEDWAAKARAWADAKTAMESQHPQSHFSPAGRLQEQSYYHDQYQQPVDSHYAEVQNQSHPPSSYQQFSYVDASAHRFSGHSQEAAPVGLETSYNSDGHSYSARDGTNIGGPNASFGQGNLPTNSSVHQQEVPSSYSSVAGKEAADQIQQSYTLLPLSSSSSHEQRHVQSSMQPPFAIGSHSLDPAISLTDQPLDFAPRFSRDSDLQMQSIYSLHDSGTPMNNWAAPVPPGIGYPPIPPTLASGPQHDPSITSPGHVAPHFGRFPGSGLPPTVPPSGAPFTINTGATTHPTVAFSADAYGVSGISERPKKASVPNWLREEIKKTVIAAPSVGHLKEETTYMDDDIDKSYVKADEAGSKSIDSSRSAEDEDDDEDLVRTAAINQEIKRVLTEVLLKVTDELFDEIATKVLSEDDLTAEVGHSAATSDHKSSASPPSGPVPKASAKVLVPVKAKELENDSAGEKSNSSSPGDVLGLGNYGSDADDGDDEIKSSSEPDPLKDVAYHSGINSSLELEEHSRSQTNLVNSVVKTSSLSQPNRSNGGAIDQLDADKVTIESDHSHSSKVVSKDSKDSDLHTFERSNDRFNGFSTKDASGIQKSELSGKNVGLEKATDNHPGREGKIISEKHDRHDRSYSEKDFVKEIQSSQTRTDEKGNENHRRKDERHQKKEKSDSISEAKERVKEHSLRHGEKVKESESRKRSFHADVKDDKKEAVKPNRDSVIEDNSRKKEPRKDKGEHKSRQKDGSNPDRHKRRRSSSVSSRGRTRKDRAVNYASDSSGEGSDGSKRKLHSRKRDLSPSPVRSKRRYYLLRDKFRGLPIASVHSAGILPTLLLILPEGGGQDPDHLLGGKDERQGQKWLSLEGAYKVELWSIWLSGFVLIGLSLYATQRLPSINDHHIGTSKLNKNAIFDSESLGITIFTAPKPFVGSTGTKQAVAVQSWLALSPYVTVVLYSQHPSVVSFAGGFASRVSVDTDIDFSFLGTPFFHSMIAKSRSFASEISVIVDPEIAILSGFISTLNHMYKLDHDWLLVASSQNVSYLPFHLDESLKHRQAHNGKPTKIHETRKTLQKNRHWNHCYPIMAWNNKDMPLHNGVLPPFLYGKGIHNNWLIHEALSSEFRIVFDASWTITSFHLKGDDHPNPALQNSTALDIENRSWEYIGNSYIGEQYGTFLYSEAYSSLLKLLKCNNKYSFFDAKKNIVYPIGNRVAMNLWKNKIVPSWLKENTMNCIDHVKSLNRIIDCSLKDQKKISAPLKLPFSLESLLSITADETKTIVLTVAGYSYKDMMMSWICRLRKLSIENFIVCALDQETYKFSILQGIPVFTDPTAPNDISFDDCHFGTKCFQRVTKVKSRIVLKILKLGYNVLLSDVDTYWFKNPIPLLQSYGPAVLAAQSDEFNKQGPINLPRRLNSGFYHAHSDAQTIAAIEKVVNHAETSGLSEQPSFYDILCGEGGSNRVSDNTCVEPETNLTVYFLDRDLFPNGAYQDLWRGKNVKAECLKKGCFIIHNNWISGRLKKLERQVLSDKWRSRKLDIRNKAKSKRLMIKEMLNTKTSSPGGHKHKEMKVQVKRCWKQGQDCLMLDAKGKKTVKLKMQS >OIV93078 pep chromosome:LupAngTanjil_v1.0:LG18:12102019:12106166:-1 gene:TanjilG_20740 transcript:OIV93078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSNQGLRKPVFTKVDQLRPGTSGHTLTVKVVNAKIVLQKGRPDGPQPRQMRLAECLVGDETGMIIFTARNDQVDLMKEGTTVILRNAKIDMFKGSTRLAVDKWGRVEVTEPASFTVKEDNNLSLIEYELVNVVE >OIV93051 pep chromosome:LupAngTanjil_v1.0:LG18:12427185:12427983:1 gene:TanjilG_20713 transcript:OIV93051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGSSQPDPKSTIKFLCSYAGKILPRHPDGKLRYVGGHTRVLAVNRTIPFAELLLKLEELCGTSVRQLRCQLPAEDLDALVSISSDEDLANLIEEYDHAPSPSLKVRVFLSPPRSLNKVSMPPSPSLSKSTSSTSSTSSSTSSSSSHHSATGGGSGLNSGRSLKSVAPVINRCVHQISAAAAYPVGVEKKSSGIQRIIPHPRYGYHIQGQGKTCHAGHIYLTHNNNNHWQ >OIV92900 pep chromosome:LupAngTanjil_v1.0:LG18:15296979:15303821:-1 gene:TanjilG_01034 transcript:OIV92900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIATTQPLPSTILPHSKTHHSFFIFEDSPGESCSNSMSQDNQHGIFTFSNGFERTTVTHHDQQQQHQQEHQIRRDKVRLQQGFEPSLQLPPQPPLVVIEEEQGGLMPSGMLSEMFKFPHGGATVAELLEQQQMTATLRSSRPQPVASGSECYGSRQGIITTGLGPSADSTKNHYQNSSHHHHHHSQISSINNAADIATHQAMQLFLMNPQTRSPSPPLPPSNPLQGFATGSTAGDSFGQFTWITDHINEEGGTTGGVVEGQGLSLSLSSSLQHWEAAKAEELRMGESGFLYYNQGPGPGGSSSSTQYPYKKIGGSHPHQQSLHLHGAIVHQGHHVGFGSPSSSSLGIVNVMRNSKYVKAAQELLEEFCSVGRGQFKKNKFSKQNSNPNDLNTGGGGPSNSPSSKDPLPPTTLSAADRIEHQRRKVERRYNHYCEQMQMVVNSFDIVMGFGAAIPYTGLAQKAMSRHFRCLKDAITAQMKHSCEVLGEKDGSGSSGLTKGETPRLKMLEQSLRQQRVFNQIGMMEQEAWRPQRGLPDRSVNILRAWLFDHFLHPYPSDADKHLLSRQTGLSRNQVSNWFINARVRLWKPMVEDMYQQELKEAEGSSEERENMNQSNSGQLVQTSTATASPPPTICKRSDINAHENDPSVVAINRKTLSENQPIKQCSSSTTTTTIMTPPSEVAPPVSQCFDSDLTPHRSMATDEICHYGTAVSEGGADIGSNLIRFGTIAGDVSLTLGLRHDGNMPQKTRFSVRDFGGI >OIV92881 pep chromosome:LupAngTanjil_v1.0:LG18:15152400:15152978:1 gene:TanjilG_01015 transcript:OIV92881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKMSFLKFQYNLSGKPSSPNKNLSQKNNFKSSQTSSAGAGSKFQPKIEEMKWVFEKFDTNKDSKISFEEYKAASRALDRSIGDTEAAKAFKFMDTDGDGFIDFKEFMEMFNGENKDGKVKETEIKNAFQVFDLNGDGKISAEELSHVLKRLGESCSLGACKKMVKGVDVNGDGFIDLNEFMRMMMSGKKLG >OIV92809 pep chromosome:LupAngTanjil_v1.0:LG18:14646493:14646696:-1 gene:TanjilG_00943 transcript:OIV92809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDTCWKGQPQTPEEISWIPTLIVPKKLNTILQIQLRYQMSANISEKQITLRIKANILQQKTKPHST >OIV93616 pep chromosome:LupAngTanjil_v1.0:LG18:1229816:1232640:1 gene:TanjilG_04848 transcript:OIV93616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLKGVIDVDSLKELPYLRTISFMNNDFDGTLPEINKLVGLKTIYLSNNKFSGEIHASAFEGMKWLKKIHFSNNQFSGAIPSSLTKLSRLMDLRLDGNKFSGPIPSFQQNTLKSFSVTNNQLLGEIPASLSKIPHHSFSGNEGLCGAPLEACVDGHRAKKVSFVCIIVVAVVVGLAMICIIGAIIFILHRRRKRNAITSIENNDSPSSKGHNKKAVNETGDGSHRSTRSTSSNHSRRDNNMKLSFIKEDSERFDLHELLRASAEILGSGCYSSSYKASLMSGTKIVVKRFKQMNNVGREEFQEHMRRIGRLNHSNLLPLVAYYYRKEEKLLVSHYVQNGSLAVRLHGHQALGEPSLDWATRLKIVKGIAKGLEYLYKDMPSLIAPHGNLKSSNVLLTQSFEPLLSDYGLVPVINQELAQDIIVIYKSPEYLNHGRITKKSDVWCLGILILEILTGKFPSNFLQQGKGSELSLANWVLSIVPQEWTNEVFDKDMGEINNSEGEMVKLLKVALECCEGDVDKRLDLKEALEKIQEVKERDHES >OIV93506 pep chromosome:LupAngTanjil_v1.0:LG18:2705963:2706589:-1 gene:TanjilG_21759 transcript:OIV93506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTKLGFSLLFLNLILYIAATAESAVSKRSNPTEFIKSSCKSTLYQTLCVHCLIGYANSIRQSEQQLAIAALNVSLSWTQSCASFVKKNTNIRGIKPREHRAMQDCVANIDSSVDSLRQSARELHLMGNNAISEKFDWHMSNVQTWVSAALTYQSTCLDGFAGPQMDGKLKVAIRGRVISVSQVTSNALALVNRFASRHQATTLNKKP >OIV93519 pep chromosome:LupAngTanjil_v1.0:LG18:2520078:2523308:1 gene:TanjilG_28676 transcript:OIV93519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTHETSTPVPQDDFMDTDDQLDDEIHTRPPLFARNLNPFCPVDPTIGGSIFGTHLGSINRAPFVTHPREVREIPIEVKDGNQSTPQAGHVPIIEDVTGYVLAHGPDIHGTVIVNDEDDDGTSRAQTAHWDDQRHNKILADTSLDSSVRPSAPEFENLPDHSNDVEEEMIRAAIEASKREADENYRNHQLGRQLVLSESGPKPKQSYLEDPELAHAVSLSLKAAEQEKARHVQGGDFGAPTSGSSKSSEVELRKMASNKKRNRGHSRFQEGCSSFQDEAEDVEEQPQVRNKTRRIPLGSTGLAREDEVIEVDTLPSIGQESSYPPQHNENSFHTDEWGGISSEEHDEAVMLEAAIFGGIPEGSYRHASAPHEFMQGRGFNPRPTYRPPSPSLTAQRLIKEQQDDEYLASLQADRDKELKAIEEAEAAREEERRRAEESRRKLEEEQESETRLAAKEVSLPPEPSSDDDKVVTLLVRMPDGNRRGRRFRRSDNLQALFDFIDIGRVVKPGSYRLVRPYPRRVFSNGESASTLEELGLINKQEALFLEII >OIV93234 pep chromosome:LupAngTanjil_v1.0:LG18:10236254:10238837:-1 gene:TanjilG_27413 transcript:OIV93234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAYRADDDYDYLFKVVLIGDSGVGKSNLLSRFTKNEFSLESKSTIGVEFATRSIRVDDKVVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTRHVTFENVERWLKELRDHTDANIVVMLVGNKADLRHLRAVSTEDATAFAERENTFFMETSALESMNVENAFTEVLTQIYRVVSKKALDIGDDPAALPKGQTINVGSRDDVSAVKKAGCCSA >OIV93081 pep chromosome:LupAngTanjil_v1.0:LG18:12042803:12046718:-1 gene:TanjilG_20743 transcript:OIV93081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEESVVNTIDFPVKRQRENEENGAVSVSNDANGISAVIPGWFSEISPMWPGEAHSLKVEKILFQGKSDYQNVMVFQSSTYGKVLVLDGVIQLTERDECAYQEMITHLPLCSIPNPKKVLVIGGGDGGVLREVARHSSVEKIDICEIDKMVIDVSKQFFPDIAVGYEDKRVTLHVGDGVAFLKAVPEGTYDAVIVDSSDPIGPAQELFERPFFESIARALRPGGVMCTQAESIWLHMHIIEDIVANCRQIFKGSVNYAWTTVPTYPSGMIGFMVCSTEGPAVDFKHPINPIDENDTQHSARPLKFYNSELHTAAFCLPSFAKKAIGSKAH >OIV93280 pep chromosome:LupAngTanjil_v1.0:LG18:9236381:9236956:1 gene:TanjilG_23121 transcript:OIV93280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTTFLDFQYNLSKRSYLRKPSRLFSKQNSVLRNSFQPNVDEMKQVFDKFDSNKDGKISQHEYKTTLKCLGMGSMIHEVPNIFRVVDLDGDGFINFKEFMEAQKKGGGIRTLDIQNAFRTFDKNGDGKISAEEVQGMLRKLGERCSLDDCRRMVRAVDTNGDGMVDMNEFMAMMTQSLKPCLNAYADHVS >OIV93214 pep chromosome:LupAngTanjil_v1.0:LG18:9945174:9949943:1 gene:TanjilG_27393 transcript:OIV93214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFGPKPPLSWLILSLISVLALIALFSSSSSNATDSESRRPVSLIYTNYRRIKEQAAVDYLELRSLSVGGGTRQKELGLCGKERENFVPCHNVSANLLSGFKEGGEFDRHCELLRGAERCLVRPPKDYNIPLRWPSGRDVIWSGNVKITKDQFLSSGSMTKRLMLLEENQIAFHSEDGLIFDGVKDYSRQLAEMIGLGSDTEFPQAGVRIILDINCGFGSFGAHLLSLKIMTVCIAAYEATGSQVQLSLERGLPAMIGNFIARQLPYPSLSYDMVHCAQGGIIWDEKDGMSLTEVDRVLKPGGYFVLTSPTSRPQGSSSRGKKRTLTNPIEELTQQLCWTLLAQQDETFIWQKTGDIDCYASRKHHAIQLCKEGDDSQSYYHPLVPCISGTSSKRWIAIQNRSSGSELSSDEIKVHGKYRFLTALCLSLLPCFSSSKISFSFRVVLPFQNFGFTIAGVEPGEFFEDLQFWRSAVNNYWSLLTPLIFSDHPKRPGDEDPLPPFNMIRNVMDMNANYGGLNAALLEEKKSVWVMNVVPARTSNVLPLILDRGFAGAMHDWCEPFPTYPRTYDMLHANGLLSLLTSERCSMIDLFLEMDRILRPEGWVILSDNMGAIEMARTFAAQVRWEARVIDLQNGSDQRLLVCQKPFLKK >OIV93581 pep chromosome:LupAngTanjil_v1.0:LG18:872311:888733:-1 gene:TanjilG_04813 transcript:OIV93581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEIHEPNLLKNTPSNIAHLEDVIEQSKARHKYLARTTSPSDGGDVRWYFCKIPLSPNELAASVPSTEIVGKSDYFRFGMRDSLAIEASFLQREEELLSSWWKEYAECSQGPRQQQQQRRPSSTTHSLSPLYEIEEERVGVPVKGGLYEVDLIQRHCFPVYWNGENRRVLRGHWFARKGGLDWLPLREDVAEQLEIAYYSQVWHRRTFQPSGLFAARVDLQGSTPGLHALFTGEDATWEAWLNVDASGFPSFVGFTRNGIKLRRGYSPSNSPKPTQDELRQQKEEEMDDYCSQVPVRHLVFMVHGIGQRLEKSNLVDDVGNFRHITSTLAEEHLTLHQRGTQRVLFIPCQWRKGMKLSGETAVEKITLDGVRGVRVMLSATVHDVLYYMSPIYCQDIIDSVTNQLNRLYLKFLKRNPGYDGKVSLYGHSLGSVLSYDILCHQHNLSSPFPMHWMYEEHSIHEESLPDEKNHDFQYSSNNQDDTSGMVSPSNEKITQQSPSEAEAEYSDESSVLGPAISSVHSSTAEPNSVKLSNTGDVSELLSDSNDMLFEKMGVLAKPESMNIGLPVERSAEEDEGSSNKDEVIKTLREEIDSLKANLADVESRVNGHTEEGSHSVQQSSKKSRPLQDASKSFTPYIKYTKLEFKVDTFFAVGSPLGVFLSLRNIRIGIGRGQEYWEQENISEEMPACRQMFNIFHPYDPVAYRIEPLVCKEYLSKRPVLVPYHRGGKRLHIGFQEFTEDLAVRTLAIKSYLKSARAKVLTICQSSLENAEGESSEEGEETSYGSLMMERLTGSNDGRVDHMLQDKTFEHPYLQAIGAHTNYWRDYDTALFILRHLYRDIPEDPDFSVESSEANSKNESTATGWYDHRDNVEEDLPLTFSDKVMVRNFSSKAKKIVNAVSNL >OIV93426 pep chromosome:LupAngTanjil_v1.0:LG18:5824308:5827674:-1 gene:TanjilG_13111 transcript:OIV93426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDVKDKVKGFMKKVNNPFSSSSSTSKFKGQGRVLGSSSSSSSPSNSIPNSKPTSSSTNPKPLPQKTINSDQNRIQKAEKSDLDRKPGNGFDPFDSLVTTGKRSQNGYSLNVYECPICGKSCRSEEEVSEHVDSCLSNPIESGVVSDKNNEEVEWSNGELEVCVGTYISGNPSEGSIDVVLKLLRNIVREPENAKFRRIRMSNPKIKEAVGEVMGGVELLSFVGFEIREENGESWAVMEVPTEEQIKLIKKSILLLESQLVQEPSMRGNLVPATSAEIDAKVAEPKQVDRQVKVFFSVPESVAAKIELPDSFYKLSAEEVRREAELRRKKLQESQLLIPKSLKEKQAKAARKRYTKTIIRIQFPDGVVLQGVFSPLESTTALYEFVSSALKEPALEFELIHPVLVQRRVIPHFPKAGERAKTIEEEDLVPSALVKFKPLETDSVVFTGLRNELLEIIEPLVNG >OIV93044 pep chromosome:LupAngTanjil_v1.0:LG18:12556907:12557683:1 gene:TanjilG_20706 transcript:OIV93044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFEDQEEQEEELCFDPMGNPNRVKIPGSVEPIMVSQPVRSSSNKARYRECLKNHAVGIGGHALDGCCEFMPAGTEGTLDALKCAACNCHRNFHRKETDTAAIVTGSEPFLLTHHSHPHHHQPPPHFAAYYRSPAGYLHLSGGGHHQRGVAPAGTLALPSTSGAGGGGADAQNTKEDQEDMSNPMSYGDGSGGSKKRHRTKFSQEQKDKMLELAERLGWRIQKHDENVIQEFCNETGVKRHVLKVWMHNNKHTLGKKP >OIV92893 pep chromosome:LupAngTanjil_v1.0:LG18:15251750:15256701:1 gene:TanjilG_01027 transcript:OIV92893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHMKKVAYSVAEAHALSLSLVQKCSTATSLREARQIHALILTSTVFVSHSPFVYNNIITMYARCGSLRDSHHVFDKMPHRTLVSYNTLIASYSRVSHYAISALKLYAQMETRGIRPSSSTFTSLLQASSLLQDWCVGSLLHAQGLKFGFLNEICVLTSLLNMYSNCGDLGSAKMVFEDMAYTDRDYVAWNSLIVGYLKNNKIKEGLGLFSSMVWVGFAPTQFTYCMVLNACSRLKDYHLGRLIHAQMIVRNVVPDLHLKNALLDMYCNNGNTQTAYRVFSGIEYPDLVSWNSMIAGYFENGDGKKAMNLFVQLLELCFPKPDEYTYAGIISATGSFSSSYWGRPLHAQVIKAGFERSVFVGSTLVSMYFKNSETEAAQIVFYSIPGKDVVIWTEMITGYAKMTDEMGAIRCFFEMFHEAHEIDDYVLSAVLSACADLAMLRQGEIIHCCAVKLGYDIEMSVSGSLIDMYAKNGSLEAAYLVFSQVPDPDLMCWNSMLGGYSRHGMVEEALKLFQEILEKGLVPNEVTFLSLLSACTHSRLVEQGKFIWNYMNIVGLVPGPKHYSCMITLLSRAALLEEAEELITKSPTENNVELWRTLLSACVINKNLKVGVHAAEEVLRLDAEDGPTLVLLSNLYATAGRWDEVAEIRRNMRGLMVEKDPGLSWIEAKKDIHVFSSGDISHPMADEVQAELHRLKRNMIRTKSDGIACLSGAYTSAQVRDVFETEMDHGSSERDRTSTIFEETESVSVVSQELDHVKEVPEEHGAEIDQYSGNGNGSGHVYLNEIQEEPGTIKAVNAGSVAWKGNRLNDSTFVDQHHCLDNGSTSEIYSEVRESAIRRETGGEFRLLGRREGSRCGGRFFGLEENESNSRGRRVSLSMEDSHKEYSGHTLEPGDVTSFDEEEVTSYGEYDDGLDWGRREPEISSRHLDHVNMLGLNKTSLRLPFLINWLVTSLLQLKLTASNGDDKIIQKLAEKEGISLGIGFLSHVRLLDNSRQLRRAINLQDTTLCRPMENGRYDEKCGFVRVEVLTASLSFLTNFEDVYKLWAFVAKFLNPAFIREGTLPTVQEGSET >OIV92714 pep chromosome:LupAngTanjil_v1.0:LG18:15619142:15621196:1 gene:TanjilG_25146 transcript:OIV92714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLLRRKSKNQLENDSSDHDEKVAELRVAIGPLSGRSLKYCTESCLRRYLEARDWDVDKSKKMLEESLNWRSTYKPEEILWPEVAHQGETGKVSRSNFHDKLGRTVLIMRPGMQNTASPENNIRHLVYMMENTILNLLDGAEQMSWLIDFTGFSLSTNVPIKTARDIIYILQNHYPERLAVAFLYNPPRLFQALFKAIKYFMDSKTAEKVKFVYPNDKDTVELMKSLFDIENLPSEFGGKATLKYDHKEFSRLMIEDDVKTAKFWGFDEKPHDTKNVQSGREVASELVLV >OIV92675 pep chromosome:LupAngTanjil_v1.0:LG18:15939568:15944170:1 gene:TanjilG_18026 transcript:OIV92675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDFANVAQLTGLDAVRLIGMIVKAASTARMHKKNCRQFAQHLKLIGNLLEQLKISELKRYPETREPLEQLEDALRRSYLLVNSCQDRSYLYLLAMGWNIVYQFRKAQNEIDRYLRLVPLISLVDNARVRERLEVIEMDQCEYTLDDEDQKIQTVILKPEPDKDDAAVLKKTLSCSYPNCSFTEALKKENEKLKLELQRSQANYDINQCEVIQRLLDVTEVAAYSIPEKCSPEKSHKKKEHNYSDASDDNCHSPDENYHTKSDSLSGSRSAVSQKDLLSTGGSYQQDDWHTDLLACCSEPYLSSGDACNELMAYSLILSCCCYTCCVRRKLRKMLNITGGFIDDFLSHLMCCCCALVQEWREVEIRGLSGLEKTKTSPPPSQYMES >OIV93157 pep chromosome:LupAngTanjil_v1.0:LG18:11147621:11151984:1 gene:TanjilG_20819 transcript:OIV93157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVEYTCCQTQFFVNIAIIVLLVLFAGMMSGLTLGLMSMSLVDLEVLAKSGSPQDQKILPVVKNQHLLLCTLLICNAAAMEALPIFLDSLITAWGAILISVTLILLFGEIIPQSVCTRYGLAIGATVAPFVRVLVWICSPIAFPISKLLDYFLGHRQEALFRRAELKTLVNFHGNEAGKGGELTHDETTIIAGALELSAKTASDVMTPISETFSVDINSKLDRELMTEILENGHSRIPVYYEQPTNIIGLVLVKNLLTIHPEDETPVKSVTIRRIPRVPESIALYDILNEFQKGHSHMAVVVRRSEKTKQQSSQNNADESVRDVKVDIDGEKPHQEKVMKPKLPIQRWKNFPNTNKSFKGGSRSKRWSENMYSDILEIDGNPLPNLPEEEEAVGLITMEDVIEELLQEEIFDETDYHFEDS >OIV92816 pep chromosome:LupAngTanjil_v1.0:LG18:14688185:14689843:1 gene:TanjilG_00950 transcript:OIV92816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVPLAPYPTNPAPYSPPVNGGQSQLVCSGCRSLLMYPVGATSVCCAVCNAVTTVPPPDTLLAFVCTGTEMAQLVCGGCHTLLMYIRGATSVQCSCCHTINLALEANQVAHVNCGNCNMLLMYQYGARSVKCAVCTFVTSVGASASIIEQKLST >OIV93002 pep chromosome:LupAngTanjil_v1.0:LG18:13062305:13063550:1 gene:TanjilG_20664 transcript:OIV93002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDPIAAQELSSLSLNDAVSPTHVPNAEFSDRVPIRSIISRPDGGSGLTGQKARIGGWVKTGRKADKDAFAFLELNDGTSAGNLQVIVEASVYELGELVAMGTCVVVDGLLKIPPSGTRQRVELRVEKVIHVGPVDPAKYPLPKTKLTLEFLRDFVHLRSRTNTISAVARIRNALAFATHTFFNKHGFRYVHTPIITTREGTNTEADVEAAKLLVKEKWDIVSQLKAAKASKQEIGAAVVELKKAKDNVSKLSHMHVLLVVYIPLDQHSVLVPKVGELIGGSQREERLDVIQQRLRDIGLPPEPYEWYLDLRRFGTVQHAGFGLGFERMIIFATGLENIRDVIPFPRYPGRADL >OIV92996 pep chromosome:LupAngTanjil_v1.0:LG18:13115087:13118467:-1 gene:TanjilG_20658 transcript:OIV92996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHTRDSNVVQIITGGENWSSSVSGDQSVWATEDDYRFFNTNNDADSTPKRSRNSQEATPSSSNNRSKAIGKMFFKTKLCCKFRTGTCPYVTNCNFAHSIEELRRPPPNWQEIVAAHEEEKPVPPVEEFQIPSLSGYSGEVQRSYKGRHCKKFYTEEGCPYGDSCTFLHDENSRNRESVAISLGPGGYGGGSGGGDGGGAGSSGGGGGGSSNLKPSNWKTRICNKWEMTGYCPFGNKCHFAHGATELSTHGEFVEGENGDTTFAGTPDTKHGGLPSKTPADSVVASVIPVAHSDVYHIGVPSQRPPIVIQRPGQRTHQKWKGPDKISRIYGDWIDDIE >OIV92768 pep chromosome:LupAngTanjil_v1.0:LG18:14274587:14275501:-1 gene:TanjilG_00902 transcript:OIV92768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGWVKSLQCKSKAFEDVYHPNAKHLKPTASCRNTIQNIKDVVDKTTTKPDSKKPKPKPKPRVPLQKHPSSRYPTTNKSEFESPARTTTINRSQSSAVITDRTPAAAEARFPSLTEVKEGHPSRNVLEIIFHTSWGPKPFLGRVEMIFKVHNAPRTVSRFEEYREAVKNRSGSGSPTRGGSWEENARCVADGNEVMRFHCLGPTSSGGPYGGACAWSFPGGKGSAVCTFSGSGGAHESSGGGRGTRAMLVCRVIAGRVSKQEGFMDSLLEGRVGFDSVSGDNGELFVFESRAVLPCFLIIYKL >OIV93115 pep chromosome:LupAngTanjil_v1.0:LG18:11579280:11582587:1 gene:TanjilG_20777 transcript:OIV93115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFALRTKSFGFGEYVVANHWWDEIDESNEWKRDIYYALCAAYASVSFVALVQLVRIQMRVPEYGWTTQKVFHLMNFIVNGLRAVIFGLYNSVFTIKPKVLEQVLMEVPGLLFFSTYALLVLFWAEIYHQARSEPANKLRPVYFIVNGFIYFIQAMIWVYMSVSRAAAGVEAAKLFLAVISFIAALGFLLYGGRLFILLRNFPIESRGRQKKLYEVGSVTSICCTCFLIRCVMLALSAFDESVDLDVLDHPILNVVYYLLVEIVPSALVLFILRKLPPRRVSDQYHPIR >OIV92691 pep chromosome:LupAngTanjil_v1.0:LG18:15821643:15822875:-1 gene:TanjilG_18042 transcript:OIV92691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTKQTARKSTGGKAPRKQLATKAARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >OIV93132 pep chromosome:LupAngTanjil_v1.0:LG18:11382025:11385073:-1 gene:TanjilG_20794 transcript:OIV93132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKNQNGEARNEEESKPSSYGSDGSICGYDSLHRLLKDNLNPHHFQEVNRLLTGLNCGKALEMIDLPESATALSVEHGFDLQAFYFQADKELLREPRVVRVGLIQNSIALPTTAHFLDQKKGIFKKLKPIIDAAGSSGVNILCLQEAWMMPFAFCTREKRWCEFAEPVDGESTEFLQSLALKYNMVIISPILERDINHGEVIWNTAVVIGNHGNIIGKHRKNHIPRVGDFNESTYYMEGNTGHPVFETAFGKIAINICYGRHHPLNWLAFGLNGAEIVFNPSATVGELSEPMWPIEARNAAIANSYYVASINRVGTEIFPNAFTSGDGKPAHADFGHFYGSSHISAPDASCTPSLSRNRDGLLITDMNLNLCRQVKDRWGFRMTSRYDLYAETLSSYVKPEFEPQVISDPLLHKKPL >OIV92603 pep chromosome:LupAngTanjil_v1.0:LG18:16432014:16433845:-1 gene:TanjilG_17954 transcript:OIV92603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSEDVNSKMKDEGNKSSKDMIFRADKIDLKSLDAQLEKHLSQVWSRSNTDTKRPKEVWEIDLAKLDLRHVVANGAYGIVYRGTYDSQDVAVKVLDWGEDGVATSAETAALRASFKQEVAVWHKLDHPNVTKFVGASMGTSNLKIPLQNPPNGAQDSLPSRACCVVVEFVSGGTLKQYLIRNRRKKLAYKIVIQLALDLSRGLSYLHSKKIVHRDVKTENMLLDGNQNLKIADFGVARVEAMNPSDMTGETGTLGYMAPEVLDGKPYNRRCDVYSFGICLWEIYCCDMPYPDLSFADVSSAVVRQNLRPEIPRCCPSGFANIMKKCWDGNPDKRPEMEDVVRMLEALDTSKGGGMIPEDQPKGCFCFAPTRGP >OIV92759 pep chromosome:LupAngTanjil_v1.0:LG18:14203435:14204248:-1 gene:TanjilG_00893 transcript:OIV92759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGFVSTPSTLQQPIETTNDSLRPSKLLFNVTIHNSLGTIKVLMLPEDNVRDLIKAVLVIYDKGKRRPLLRDTDPNCYHLHYSPYSLQSLKPNEKLKNLGSRNFFLCLKPRISSS >OIV93404 pep chromosome:LupAngTanjil_v1.0:LG18:6422437:6422712:-1 gene:TanjilG_02941 transcript:OIV93404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREEEEEEEEEEEEEELGIGKMNEEEEEEEEEEEGKEEEEGKEEEEEKEKKKKGLEK >OIV92902 pep chromosome:LupAngTanjil_v1.0:LG18:15318646:15319359:-1 gene:TanjilG_01036 transcript:OIV92902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSDFGESTTSFSSPNPSCSGINNNFNNDAGDFECNICFDLAQDPVITLCGHLFCWPCLYQWLHHHSHSQECPVCKALVQEEKLVPLYGRGKNQTDPRTKSYPGMEIPHRPSGQRPQTAPPPPPHPEAVNPFGSYGFGLIGGFIPMATARFGNLTLSTAFGGFIPSLLNIQFHGFQDATVYGMTSGYPFGFNAFHGRGVRGFWQETGRAQGQEDKVLKNLLLLVGFLVLLTVIFLW >OIV93311 pep chromosome:LupAngTanjil_v1.0:LG18:8406172:8406786:-1 gene:TanjilG_23083 transcript:OIV93311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTASRDSSQYQCCIVRGIKKLVGCKKKKRYSPALNYYPPQVEVNYSEEEEEHHSQASDNASTRSNSSRAKPNETCCMQVPNNLENYSNYPPPPMSNPHMPPPMPIPQSPVPPMSCPQMQCQPQFSAPPMSCSQNQCQPQYPAPPMSCSQMQCQPTNQCPQMTMSQYGPACPQYPSMPYPHPNQYRVPFQDTFSDENPDACNIM >OIV93319 pep chromosome:LupAngTanjil_v1.0:LG18:8537986:8547392:-1 gene:TanjilG_23091 transcript:OIV93319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQIVDVGSVAEAVSTDVGDAPLYVVESLCMRCGENGITRFLLTSIPNFRKILLSAFECTHCNERNNEVQFAGEIQSRGCSYSLKIPSGDPKMLNRQVVKSESATIKIPELDFEIPPEAQRGSLSTVEGILMRAAEELEVLQEERKRVAPETAKALDLFLVKLRACATGESLFTFFIDDPAGNSFIENPFAPSTDPSLTIKFYERTPDQQALLGYLADPTQITHDEAQAVDEAGVSGQVRREPHGSIGAAAGHRAIAQSNSAEIADALFRYTAPEEVMTFPSTCGACVTQCETRMFVTNIPYFQEVIVMASTCDSCGYRNSELKPGGRIPEKGKTITLHVKNVKDLSRDVIKSDTASVKVPEVDLELTSGTLGGAVTTVEGLITKISESLERVHGFTFGDSLQEDRKNKWLDFSTRLTKLLSLEEPWTLILDDALANSFVAPATDDLAADKQLTFEEYERSWEQNEELGLNDIDTSSADAAYESANTTKIE >OIV93196 pep chromosome:LupAngTanjil_v1.0:LG18:10614247:10614774:-1 gene:TanjilG_24411 transcript:OIV93196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPVMRSAAAYGYIKERSGPIRPGILTVEETVKSVIGRVYDKFHLVPDEILRYADHKVHDSVTAVHGVPVAERTTVSEILKTVYSKYEPETEKLYERYEPMAKELYERYEPKVEQCAVSAWWRLTGLPLFPTVVDVVLHKVAYCTEKYNKTIVSAAERGCAISVFGEKEDCTLCI >OIV92780 pep chromosome:LupAngTanjil_v1.0:LG18:14380312:14389971:-1 gene:TanjilG_00914 transcript:OIV92780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKTEGGFFSPWKNGSWYELENVHENDELGLVSSFPAIPEPPTPHEPLEFLSRSWSLSATEVSKALLEKQKQTLIDKNMDTLPEATLAPQLAPGKIIPSGNCRRMGTIGKWLYQKQHGNANINVKKKDRARLENARVHSALSIAGLASALAAVAAAEEPGGGSGSHSKLDIALASATKLLASYCVEMAELAGADHDCLVSTVSSAVDIQTPGDLITLTAAAATALRGEAVLGARLPKEAKRNASISPYDRGITDTRRLPGFEGQMWEPHLPCVGDLWQLTEKGELRWKHVTVYINKKSQVKIKIKSKHVGGAFSKKNKCVVYGVSDKDVAWPYRKEREASEELYFGLKTAEARLLCMWFCQLRFRLPRSILSLQTSLSHRFIRHFTDMASEGGKSFARRDRLREIEAKVQVWWEESDIFRSEPGDKPPEPGQKFFGNFPFPYMNGYLHLGHAFSLSKLEFAAAFHRLKGANVLLPFAFHCTGMPIKASADKLAREIQQFGNPPVFPGQSEEVIEEKNDDNSQNETAPDKFKGKKSKAAAKSGGQVYQWEIMRSVGISDSDIAKFQDPYEWLKFFPPLAAEDLKAFGLGCDWRRSFITTDLNPYYDSFVRWQMRKLKSLGKVVKDVRYTIFSPLDGQPCADHDRASGEGVLPQEYTIIKMELLKPFPEKFKVLEGKKVFFAAATLRPETMYGQTNAWVLPDGKYGAFEINETEVFVMAQRAALNLAYQNHSRVPEKPSCLLEVTGHDLIGLPLKSPLAFYEVIYALPMLSILMDKGTGVVTSVPSDAPDDYMALHDLKSKPAFREKFGVKDEWILPFEIVPIIDVPPFGNKCAERVCLDMKIKSQNEKEKLAEAKKQTYLKGFNEGTMIVGEYAGKKVQEVKPIIRSQLLETGQAIVYSEPEKRVMSRSGDECVVALTDQWYITYGEPEWRKLKGANVLLPFAFHCTGMPIKASADKLAREIQQFGNPPVFPGQSEEVIEEKNDDNSQNETAPDKFKGKKSKAAAKSGGQVYQWEIMRSVGISDSDIAKFQDPYEWLKFFPPLAAEDLKAFGLGCDWRRSFITTDLNPYYDSFVRWQMRKLKSLGKVVKDVRYTIFSPLDGQPCADHDRASGEGVLPQEYTIIKMELLKPFPEKFKVLEGKKVFFAAATLRPETMYGQTNAWVLPDGKYGAFEINETEVFVMAQRAALNLAYQNHSRVPEKPSCLLEVTGHDLIGLPLKSPLAFYEVIYALPMLSILMDKGTGVVTSVPSDAPDDYMALHDLKSKPAFREKFGVKDEWILPFEIVPIIDVPPFGNKCAERVCLDMKIKSQNEKEKLAEAKKQTYLKGFNEGTMIVGEYAGKKVQEVKPIIRSQLLETGQAIVYSEPEKRVMSRSGDECVVALTDQWYITYGEPEWRKLAEECLSNMNLYSDETRHGFEHTLSWLNQWACSRSFGLGTRIPWDEQFLVESLSDSTVYMAYYTIAHHLQNGDMYGQHESAIKPQQLTDEVWDYIFCGGQYPESTDISSSILDKMKREFEYWYPFDLRVSGKDLIQNHLTFSIYNHTAIMSKQYWPRGFRCNGHIMLNSEKMSKSTGNFRTLRQAIEEFSADATRFSLADAGDGVDDANFVFETANAAILRLTKEIAWYEEILAAETSMRTGPPSTYADRVFANEINIAVKTTEQNYSNYMFREALKTGFYDLQTARDEYRFSCGVGGYNRDLVWRFMDVQTRLLAPICPHYAEFIWRELLVKEGFVVNAGWPTADSPDLTLKSANKYLQESIVLMRKLLQKQLSGSKKGNKKGAPAPSVKVTTGLIYVNEQFDGWKAECLNILRSKFDEENRTFAPDSEIVEAVEKSSIGKNPYRPFLRYRKDEAIKLGAQALDLKLPFGEIDVLKENLDLIKRQLGLEDVEILSAANADSLARAGPLASLLNQNPPSPGHPTAIFLTQ >OIV92926 pep chromosome:LupAngTanjil_v1.0:LG18:13742669:13744348:-1 gene:TanjilG_20588 transcript:OIV92926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNKLESLIWDQKKLQERFQMAIKECKMMEMLLAELEEEHDMAIAQIEKLEKKLRDQINENLRLKEIQGKAYWSSKDQINTDNSQNIDDSSYNHSVLQYKSDHHGSGISLQDLLIHKDAWEDGNKTRTELLKLLKTGPTSGPVAPTKPKLISKDVVEMSEVLDQRRDIALSQSLFSAIMSLIVGMTIWEAEDPCMPLVVALFAVVGMSLKSVVQFFFTIKNKPASDAVALLSFNCFILGTLTYPSLPRVARMLAPILLHHMDRTASRFGFFSLA >OIV93186 pep chromosome:LupAngTanjil_v1.0:LG18:10746698:10749604:1 gene:TanjilG_20848 transcript:OIV93186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNVAGSKKVITREEWEKKLNDVKIRKEDMNKLVMNFLVTEGFVEAAEKFRKESGTEPDIDLATITDRMAVKKAVQSGNVEDAIEKVNDLNPEILDTNPQLYFHLQQQRLIELIRNGKIEEALEFAQEELAPRGEENQSFLEDLEKTVALLAFEDVSNCPVGELLDISQRLKTASEVNAAILTSQSHEKDPKLPSLLKMLIWAQNQLDEKAAYPHIKDLSSAKLEDPAI >OIV93355 pep chromosome:LupAngTanjil_v1.0:LG18:7704388:7704942:1 gene:TanjilG_31402 transcript:OIV93355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASGSGKDLTAEGSSSFPNDYHHNQQQQNQGGTLSRYESQKRRDWNTFGQYLKNQRPPVPLSQCNCNHVLDFLKYLDQFGKTKVHLQGCIFYGQPEPPSPCTCPLRQAWGSLDALIGRLRAAYEENGGSQETNPFASGSIRLYLREVREFQAKARGIPFKKKKKNNTNPSKGNDESSSTVHLS >OIV92946 pep chromosome:LupAngTanjil_v1.0:LG18:13580101:13581539:1 gene:TanjilG_20608 transcript:OIV92946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKHNNVIPNGHFRKHWQNYVKTWFNQPARKTRRRLARQKKAVKIFPRPTAGPLRPVVHGQTLKYNMKVRAGRGFSLEELKAAGIPKRLAPTIGIAVDHRRKNRSLESLQANAQRLKTYKAKLVVFPRRARKVKAGDSTPEELANATQFQGSYLPITTEKPTVELVKVTDELKAFKAYYKLRLERTNKRHHGARLKRAADAEKEEKK >OIV92618 pep chromosome:LupAngTanjil_v1.0:LG18:16331387:16333340:1 gene:TanjilG_17969 transcript:OIV92618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFFSLSRKDLQFLSKKNKIPANQTNLAMAQSLSSLPQVEGLDEILNPVEGDLSHYQEEKVIETPNIHHSRTSTRRKDVNIAVATSAMRSISTHRKKKEGDEKPVDYVLKTPAAPTTMTRPYNTRRSARFLEKNLSKMSLMDSGDLVESVKFDDVYQQTQESVEAEQHDSNLKLEESSKTRNDSIEVKKVHEDNGTETKLLAEPQNSCDYTEPEHKRCIGDTPVEASDDASKEITNRDTLAFNSYAELPDNASMEVTDNQGGNDSGVVSEVACMEVITDHDVAALTVEVPDDASMEAINTLVDGDVNPEEIVIPKPESSISVEIMKHNTDEVSFSTMAVAPIYELKTDDIPIQSFAADQLKAESYSLAQTEPKDIADEHMKEEDKNKFYTMKENSLEDMSMGQLRKMLKNLKLDGKSYSKNNNVEKEINSKRTALQALPVNKMIADETRNDC >OIV92766 pep chromosome:LupAngTanjil_v1.0:LG18:14262529:14264990:1 gene:TanjilG_00900 transcript:OIV92766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRLPRFSYLIKGNTPGETAKNYIGQNVSRKDKTKYLINTLFDLNDSKEAIYGALDAWVAWEQNFPIASLKRILTVLEKEQQWHRVVQVIKWMLSKGQGTTMGTYGQLIRALDMDHRVGEAHKFWDMKVGTDLHSVPWQLCHLMISVYYRNNMLEDLVRLFKGLEAFDRKPRDKAIIQKVANAYEMLGLLQEKEKVLDKYSYVFTEEGLGKKNSRKSSKSKKIPLVTKKEEYKESGESSSEEQYMLHLCYSFIPKEMHLVSGQRTDSNLPYSAHFLKKEFKAV >OIV93156 pep chromosome:LupAngTanjil_v1.0:LG18:11157283:11163579:1 gene:TanjilG_20818 transcript:OIV93156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVTQNLTAISISSSSSAFFAPSTFNSRSHVSLPVKSVGICKCVAVPQEAETAHKTKVSRNENISKLQAGYLFPEIARRKNAHLLKFPDAKIISLGIGDTTEPIPEVITSAMAKRSYALSTLEGYSGYGAEQGEKPLRSAIASTFYKDLGIDDDDIFVSDGAKCDISRLQIVFGSNVKMAVQDPSYPAYVDSSVIMGQTGFYQKDVEKFANIEYMRCKPENGFFPDLSSISRPDIIFFCSPNNPTGAAATRDQLTQLVQFAKDNGSIIVYDSAYAMYISGDNPRSIFEIPGAKEVALETASFSKYAGFTGVRLGWTVVPKQLLFSDGFPVAKDFNRIVSTCFNGASNISQAGGLACLSPEGLKAMRDVIGFYKENTDIIVETFESLGFKVYGGENAPYVWVYFPGRSSWDVFSEILEKTHVVITPGSGFGPGGEGFVRVSAFGHRENVLEACRRFKQLYK >OIV92991 pep chromosome:LupAngTanjil_v1.0:LG18:13152929:13162898:-1 gene:TanjilG_20653 transcript:OIV92991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDQGTFFGDSVGTNAKDAERKKEHKVPLLKLFSFADFYDYVLMAIGSLGACVHGASVPVFFIFFGKLINVIGVAYLFPKEASHQVAKYSLDFVYLSIAILFSSWTEVACWMHTGERQAAKMRMAYLRAMLNQDISLFDTEASTGEVISAITSDIIIVQDAISEKVGNFMHYISRFIAGFTIGFVRVWQISLVTLSIVPLIALAGGLYAYVTIGLIAKIRKAYVRAGEIAGEVIGNIRTVQAFAGEEKAVRSYKAALMKTYKNGRKAGLAKGLGLGSMHCVLFLSWALLTWFTSFVVHKHIANGGESFTTMLNVVISGLSLGQAAPDISAFIRAKAAAYPIFEMIERDTVSKKGSKTGLKLSKLEGQIQFKDVCFSYPSRPDVHIFNNLCLDIPAGKIVALVGGSGSGKSTVISLIERFYEPLSGQILFDRNDIRELDLNWIRHQIGLVNQEPALFATSIKENILYGKDDATLEELKRAVKLSDAQSFINNLPDRLETQVGERGIQLSGGQKQRIAISRAIVKNPSILLLDEATSALDAESEKSVQEAIDRVMVGRTTVVVAHRLSTIRNADMIAVVQGGRIVETGNHEELISNPTSVYASLVQIQEATSVQSHPSVGPTLGQQSSIKYSRELSRTTSVGASFRSDKESVGRVGTDEVENSSKLRHVSARRLYSMVGPDWYYGVVGTLCAFVAGAQMPLFALGISHALVSYYMDWDTTRHEVKKIALLFCGAAVITITVHAIEHLSFGIMGERLTLRVREKMFSAILKNEIGWFDDTNNTSSMLSSRLETDATLLRTIVVDRSTILLQNVGLVVASFIIAFILNWRVTLVVIATYPLVISGHISEKLFMKGYGGNLSKAYLKANMLAGEAVSNMRTVAAFCSEEKVLDLYANELVDPSKRSFQRGQIAGLFYGISQFFVFSSYGLALWYGSVLMGKELASFKSVMKSFMVLIVTALAMGETLALAPDLLKGNQMVASVFEVMDRKSGITGDAGEELKTVEGTIELKRIQFSYPSRPDVIIFKDFNLTVPSSKSVALVGQSGSGKSSVISLILRFYDPASGRVLIDGKDIRRLNLKSLRKHIGLVQQEPALFATSIYENILYGKEGASDSEVIEAAKLANAHTFISALPEGYSTKVGERGVQLSGGQRQRVAIARAVLKNPEILLLDEATSALDVESERVVQQALDRLMQNRTTVMVAHRLSTIRNADQISVLKDGKIIDQGTHSSLMENKNGPYFKLVNLQQQHQV >OIV92684 pep chromosome:LupAngTanjil_v1.0:LG18:15871156:15894685:1 gene:TanjilG_18035 transcript:OIV92684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMEVRDSLEIAHTAEYLNFLKCYFRAFSGILLQITKPQFVDNPEHKLRNIVVEILNRLPHSEVLRPFVQDLLKVAMQVLTTDNEENGLICIRIIFDLLRNFRPTLENEVQPFLDFVCKIYQNFKLTVSHFFDNMAMTGEDVKPMETSLSDQVMNTTVATGSLLNPSTRSFKIVTESPLVVMFLFQLYSRLVQANIPQLLPLMVSAISVPGPERVPPHLKTHFIELKGAQVKTVSFLTYLLKSYADYIRPHEESICKSIVNLLVTCSDSVSIRKELLISLKHVLGTDFRRGLFPLIDTLLEERVLVGTGRACFETLRPLAYSLLAEIVHHVRQDLSLSQVEPIFEKGVDQQSTDEARILLGRILDAFVGKFSTFKRTIPQLLEEGEEGKDRTTLRSKLELPVQAVLNLQVPVEHSKEVNDCKHLIKTLVMGMKTIIWSITHAHSPRSQVLVSPPPNLPPSQASRGMREDEVCKASGVLKSGVYCLALFKEKDEEREMLHLFSQILAIMEPRDLMDMFSLCMPELFECMMSNTQLVQIFSTLLATAKVYRPFADVLVNFLVSSKLDALKNPDSPAAKLVLHLFRFIFGAVAKAPSDFERILQPHVPVIMEVCMKNSTEVERPLSYMQLLRIMFKALAGCKFELLLRDLIPMLQPCLNMLLAMLERPAGEDMRDLLLELCMTLPARLSSLLPYLSRLMKPLVFCLKGSDELVSLGLRTLEFWVDSLNPDFLEPSMANVMSEVILALWSHLRPAPYPWGAKALQLLGKLGGRNRRFLKEPLALECKENPEHGLRLILTFEPATPFLVPLDRCINLAVEAVTNINCGMDFFYRKQALKFLRVCLSSQLNLPGIIADEGFTSKQLSALLVSTVDQSLRRSESTDLKADLGVKTKTQLMAEKSVFKILLMTVIAANGEPDLTDTADDFVINICRHFAVIFHIDSSSSNVSATSLGGSSISNNVHDGFRPKTNACSNLKELEPLIFLDALVDVLAAENRHHAKAALGALNVFAETLVFLARSKHTDFLMSRGPGTPMIVSSPSMNPVYSPPPSVHVPVFEQLLPRLLHCCYGLTWQAQMGGVMGLGALVGKVTVETLCLFQVRIVRGLIYVLKKLPIYASKEQEETSQVLTQVLRVVNNADEANSDARRQSFQGVVDFLAQELFNQNASIIVRKNVQSCLALLASRTGSEVSELLEPLYQPLLQPLIMRPLKLKTVDQQVGTVTALNFCLALRPPLLKLTPELINFVQDALQIAESDDNAWVAKFINPKVVTSLTKLRTACIELLCTTMAWAEFRTPNHSELRAKIISMFFKSLTCRTPEIVAVAKEGLRQVINQRMPKELLQSSLRPILVNLAHTKNLSMPLLQGLARLLELLSNWFNVTLGGKLLEHLKRWLEPEKLAQSQKSWKAGEEPKIAAAIIELFHLLPAAASKFLDELVTLTIDLEGALPPGQVYSEINSPYRLPLTKFLNRYAPLAVDYFLTRLSEPKYFRRFMYIICSEAGQPLRDELSKSPQKILASAFSEFLPKSDVEMVPASTNTHTGLLGEQSLVAPSTDASNPPAPAPDATTDAYFQGLALIKTLVKLIPGWLQNNRIVFDTLVLVWKSPARISRLQNEQELNLVQVKESKWLVKCFLNYLRHDQNEVNVLFDILTIFLYHSRIDYTFLKEFYIIEVAEGYPPGMKKALLLHFLNLFQSKQLDHDHIVIVMQMLILPMLAHAFQNGQSWEVVDSAIIKTIVDKLLDPPEEVSAEYDEALRIELLQLATLLLKYLQSDLVHHRKELIKFGWNHLKREDTASKQWAFVNVCHFLEAYQAPEKIILQVFVALLRTCQPENKMLVKQALDILMPALPRRLPLGDCRMPIWIRYTKKILVEEGHSIPNLIHIFQLIVRHSDLFYSCRAQFVPQMVNSLSRLGLPYNTTAENRRLAIELAGLVVNWERQRQNEMKVVTDTDAPSQINDVFNSSSADSKRSVDGSTFPEDATKRIKAEPGLQSLCVMSPGGPSIPNIETPSSSSQPDEEFKPNAAMEEMIINFLIRVALVIEPKDKETSAMYKQALELLSQALEVWPNANVKFNYLEKLLSSIQPSQAKDPSTALAQGLDVMNKVLEKQPHLFIRNNINQISQILEPCFKHKLLDAGNSFCSLLKMIFVSFPQEAATTPADVKLLYQKLDDLIQKHVTTITAPQTSSDDNNASSIGFLLLVIKTLTEAQRNFIDPSVLVRILQRLQRDMGSSANSQLRQGQRTDPDSSVTSSRQGADVGAVISNLKSVLKLITERVMVVPECKRSVSQILNALLSEKGIDASVLLCILDVIKGWVDDDICKQGTSVTPCPFLTPKEIVSFLQKLSLVDKQNYTPVALQEWDQKYLELLYGICADSNKYPLPLRQEVFQKVERQFMLGLRARDPEIRMRFFSIYHESLGKTLFTRLQFIIQIQDWGALSDVFWLKQGLDLLLAILVEDKPITLAPNSARVQPLLVSSSLMESSGVHHKVIDATEDAPLTFETLVLKHAQFLNSMSKLQVADLLIPLRELAHTDANVAYHLWVLVFPIVWVTLHKEEQVTLAKPMITLLSKDYHKRQQASRPNVVQALLEGLQLSHPQPRMPSELIKYIGKTYNAWHIALALLESHVMLFPNDPKCSESLAELYRLLNEEDMRCGLWKKRSVTAETRAGLSLVQHGYWQRAQSLFYQAMVKATQGTYNNTVPKAEMCLWEEQWLYCASQLSQWDALADFGKSVENYEILLDSLWKSPDWTYMKEHVIPKAQVEETPKLRLIQAYFALHDKNTNGVGDAENMVGKGVDLALEQWWQLPEMSVHSRIPLLQQFQQIVEVQESARILLDISNGNKLSGNSVVGVQNLYADLKDILETWRLRTPNEWDNMSVWYDLLQWRNEMYNHVIEAFKDFGSTNSALHHLGYRDKAWTVNRLAHIARKHGLYDVCVSILEKLYGHSTMEVQEAFVKITEQAKAYLETKEEVTTGLNLINSTNLEYFPAKHKGEIFRLKGDFLLKLNDSESANLAYSSAISLFKNLPKGWISWGNYCDMAYRESHEEIWLEYAICCFMQGIKFGVSNSRSHLARVLYLLSFDTTNEAVGRSFDKYFDQVPHWVWLSWIPQLLLSLQRTEAPHCKLVLLKIATLYPQALYYWLRTYLLERRDVANKSELGRIAMAQQRTQQSVSGTSAGSLGGLADGNARVQGPGGSALSSDIQVHQGSQSAGGIGSHDGGNSHGHDPERSSAESSMHNGNDQPLLQGSANLNDGNQNTLRRAGALGFVASAASAFDAAKDIMEALRSKHANLASELEVLLTEIGSRFVTLPEERLLAVVNALLHRCYKYPTATTAEVPQSLKKELSGVCRACFSADAVNKHVDFVREYKQDFERDLDPESTATFPSTLSQLTERLKHWKNVLQSNVEDRFPAVLKLEEESKVLRDFHVIDVEVPGQYFTDQEVAPDHTVKLDRVAADIPIVRRHGSSFRRLTLIGSDGSQRHFIVQTSLTPNARSDERILQLFRVMNQMFEKHKESRRRHIGIHTPIIIPVWSQVRMVEDDLMYSTFLEVYENHCARNDREADLPITYFKEQLNQAISGQISPEAVVDLRLQAYNEITKNLVTDNIFSQYMYKTLPSGNHMWAFKKQFAIQLALSSFMSFMLQIGGRSPNKILFAKNTGRIFQTDFHPAYDANGLIEFNEPVPFRLTRNMQAFFSHGVDGLIASSMCAAAQAVASPKQNQHLWHHLAMFFRDELLSWSWRRPLGMPMAPMAGGGTMSPVDFKQKVITNVEHVVARIKGIAPQNFSEEEENVMDPPQPVQRGVTELVEAALNPRNLCMMDPTWHPWF >OIV93163 pep chromosome:LupAngTanjil_v1.0:LG18:11053292:11057190:1 gene:TanjilG_20825 transcript:OIV93163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQILLILLIGIGIGFLVGLSLAFSILLCLKCGRKREQEEKCSSPRAMVVPVGARGVDSRTTSANSSNVGLESPRTSEWSNMPLWLEGLRRKNVVSACGIPKYSYKDIQKATSNFTTIIGHGAFGSVYKAQMSTGETAAVKVLGTNSRQGEGEFLTEVLLLGRLHHRNLVGLVGYGAERGQHMLLYTYMSNGSLASHLHGEKHEPLSWDVRLSIALDVARGLEYLHYGASPPIVHRDIKSSNILLDQFMRAKVTDFGLSRPEMTKPRVTNIRGTFGYLDPEYMSTGTFSKKSDVYSFGVVLFELITGRNPQQGLMEYVKLAAMEGEGTVGWEEIVDSQLNGNYDVHMLNDVASLALKCVNEVSKSRPPMAEIAQELYQFRKGHSKILTRTSQELSLFSSKNHSNSRITTTESKEVCIDLDQLKALDHASKEHSSTEMHRLHIQ >OIV93501 pep chromosome:LupAngTanjil_v1.0:LG18:3626781:3627257:-1 gene:TanjilG_11083 transcript:OIV93501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKQKMTTILNPSNRIINNLSRGSLSHRNTPPRSVLIPPVRPPSMESGNGFITHSVPPSKGVVESPTSFSNHRVTIGCKKPLWHDDRRRRRRKRSGPRIHPPHLAVGTTETPFLLPSRIRTKMCAPIAANVMNSTTTTAKAHPRRGAFPRSRVNPSSP >OIV93037 pep chromosome:LupAngTanjil_v1.0:LG18:12633769:12634800:-1 gene:TanjilG_20699 transcript:OIV93037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTGPGSEPENLIAACTTMLAYRRFSTCRDFATLIPRPDPNISASLEKILAITDILSTVEHRLPNNLPDYYSILMLRREDAAYDRDLVTRQFKKLALLLDPTAANEFPSSDEALTCVREAWHVLSDTKRRDLYHAQIGYQSANATFWTTCPYCWNLFEYESKYEDCTLLCQSCRKNFHGVAVTAPVKDDDQKNEYYWCKTSVPLMYREKEDINNNENMMMGQKTTHFDETKFVCISDDDNVVVGVNALVDLQGNNGKRKMRMKTVAKKGDRNRMRHMQGGFGFDNDLNLDFYEGEDGDLEFTEGDGDVFIGVRFDE >OIV93222 pep chromosome:LupAngTanjil_v1.0:LG18:10038857:10042413:1 gene:TanjilG_27401 transcript:OIV93222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYSILYNSNSSPRDGLASTHSLPFESEKGELVKCPTRIGLKNEISEAKTLAALKNHSEAERRRRERINGHFDTLRGLVPSNEKLDKATLLAEVISQVKELHKNAMESSKGLIIPKYYDEVKIEPYNDAGEGYGSICYKASICCGYTPEILSDLKQTLDALKLKLVRAEISTLGKRVKNVFVFICCKGDNSDVDACKALESFVYQALSSVLDKASSTLEYLPRTSHPNKRGRFCSSENSASPCNHESCSC >OIV93055 pep chromosome:LupAngTanjil_v1.0:LG18:12376428:12383000:-1 gene:TanjilG_20717 transcript:OIV93055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTQEKTTPNNLINNNDSNSNSTTSIPNRSSISTTLISAVDSFPDPPPSKTTATTKGIPVMMRAQTCHPLDPLTAAEISVAVATVRAAGATPEVRDSMRFVEVVLVEPDKQVVALADAYFFPPFQPSLLPRTKGGPVIPTKLPTRKARLVVYNKRSNETSIWIVELREVHAATRGGHHRGKVISSEVVPDVQPPMDAVEYAECEAVVKEFPQFREAMKRRGIEDMDLVMVDAWCTGYHSEADAPSRRLAKPLIFCRTESDCPMENGYARPVEGIHVLVDMQNMVVLEFEDRKLVPLPPTDPLRNYTSGETRGGVDRSDVKPLQIIQPEGPSFRVNGHFIQWQKWNFRIGFTPREGLVIYSVAYIDGSHGRRQLAHRLSFVEMVVPYGDPNEPHYRKNAFDAGEDGLGKNAHSLKKGCDCLGYIKYFDAHFTNFTGGVETIENCVCLHEEDHGILWKHQDWRTGLAEDGKIEAEVKLTGILSLGALQPGETRKYGTTIAPGLYAPVHQHFFIARMDMAVDCKPGEAFNQVVEVDVKVEEPGKNNVHNNAFYAEEKLLKSELEAMRDCNPSSARHWIVRNTRTVNRTGQLTGYKLVPGSNCLPLAGSEAKFLRRAAFLKHNLWVTPYNRNEMHPGGEFPNQNPRVGEGLATWVKQNRSLEEADIVLWYVFGMTHIPRLEDWPVMPVEHLGFMLMPHGFFNCSPAVDVPPSASDLDDKENGTPTKPVQNGLIAKL >OIV92820 pep chromosome:LupAngTanjil_v1.0:LG18:14710444:14711295:-1 gene:TanjilG_00954 transcript:OIV92820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLDLGTASRFIQNLQRPDFNLQQQQQQQQQQTESEEDGNHGDPYEDGPNQGLELGSGGDMVKRRPRGRPAGSKNKPKPPVIITKESANTLRAHILEVGNGCDVFDCVATYARRNQRGICILSGSGTVTNVSLRQPAAAGSVVTLHGRFEILSLSGSFLPPPAPPGATSLTIYLAGGQGQVVGGSVVGELTAAGPVIVIAASFTNVAYERLPLDEEENHQLQTPTQGGGQGSGGGGGVGNSNNPFPDPSSGLPFFNLPVNMPNVQLPPVDGWAGNSASRPSF >OIV93054 pep chromosome:LupAngTanjil_v1.0:LG18:12387192:12387632:-1 gene:TanjilG_20716 transcript:OIV93054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTRFKKNRKKRGHVSAGHGRIGKHRKHPSGRGNAGGMHHHRILFDKYHPGYFGKVGMRYFHKLRNKFYSPIVNIDKIWSLVPQEVKDKATKDNVPLIDVTQFGYFKVLGKGVLPENQPVVVKAKLVSKIAEKKIKEAGGAVLLTA >OIV92592 pep chromosome:LupAngTanjil_v1.0:LG18:16541097:16543360:1 gene:TanjilG_07583 transcript:OIV92592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSDVKKSNHKITLLPLIALIFYQVSGGPFGVEDSVRSGAGPLLSLLGFFIFPLIWSIPEALITAELATAFPHNGGYVIWISNAFGPFWGFQEGFWKWFSGVMDNALYPVLFLDYLKHSFPIFNQTIARFPALLCITVSLTYLNYRGLHIVGFSAVLLALFSLFPFLIMALLSIPHIKPTRWLVLDFNNVDWRGFFNTMFWNLNYWDKVSTLAGEVENPSKTFPKALMGGLVLVISSYLIPLLAGTGALRSSPSEWSDGYFAEVGMLIGGFWLKLWIQAAAAMSNMGLFEAEMSSDAFQLCGMSKMGMLPAVFASRSKYETPTVSILFSATGVIFLSWMSFQQILEFLNFLYAVGMLLEFAAFIRLRLKKPNLHRPFKVPLGTFWVAMLCLPPTLLLIFVMCLASLRTFFVSGAVIMMGFILYPILVQAKSKNWIPFEAEPPSLQSNGSEGCHSAASELVDQENKDVDLMLNSSHKRVAYASLLGHRWSSRLECDFVLCWS >OIV93376 pep chromosome:LupAngTanjil_v1.0:LG18:7222617:7227174:-1 gene:TanjilG_21087 transcript:OIV93376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKEGPCYHCGVISTPLWRNGPPEKPVLCNACGSRWRTKGTLANYTPLHARAETDNDNQRVSRVKILPLNKNKEVKLLKRKVGQTDYAVSGGFAPDYDQGFRKVVDEDTSNRSSSGSVISNTESCAQFGGTDASDFTGPAQSVVWEAMVPAKKRTCGGRPKHSSVEKLTKDLCTILHEQQSYLSATSEEDLLFESETPMVSVEIGHGSILIRHPSTIAREEESEASSLSVDNKQCLMSEAYSCSGGIPVYSNYNGKVMQAEELKRHVFLYTDKSKIEKLQDLGDHDSPLRLIDLNDVVNYEEFIKNLTNEEQQQLLKYLPVADTSEVPNSLTMMFSSSQFKENLTYFQQLLREGVFDISLLGGKPGDCETLKRLALSNLSKSKWVEHYNFLKSCKDRAGKSIAMGSPGMASSDVVHVKRLRDSENPDLPELTKTMTTPKSVTVKAGCEGKEVAEDGSCFNAKSLFALPRDHSSHMMDSLNFEYENSDQDLLLDVPSNGFFPQAELLHPTASFDAQASTSSSSIYSHVAHY >OIV93375 pep chromosome:LupAngTanjil_v1.0:LG18:7273385:7279122:-1 gene:TanjilG_21086 transcript:OIV93375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVASMLSHGASQTLPKSHIEAVLSVPPLKVTEPRRVRHVSVRNTNSNNIGGCYNIVLYYEKLREEEHGLFLVGWIVESLARVLLDYPLLAGRIQKKVENGDNMGFEIVTNDSGVRFYEARFPMNLSEYLGLSGKESFEGELVFWKEIDEQNPEFSPLFYIQVTKFECGGYSIGISCSLLLEDVMVIENFLKNWAEIHNHMIPQNENIKTPIFCHPLLKNEDSPPVDVISRTPCQNGAHTMPFKITAQGINFNMELWRELAINCLDEAEQKLQQKLGSDFSLFVKESSKIIKVEGCSSKSGYSKEVLGLKNGIIQATWNDFGVCGVAFHEGNKPIHVSHSIGSVGDGHVIAVQYPKENVSAVIIVSLHIEK >OIV92937 pep chromosome:LupAngTanjil_v1.0:LG18:13652758:13653945:1 gene:TanjilG_20599 transcript:OIV92937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTITTSSTPQLNLPTTTKRHKLHHDHHDHHHQQPLIPGLPDHIAQLCLSQINPSCLFSISSSWRRFIYSPSFPPFFSLYAILSPSSSPHTFQTSSSTTTTTTLSSSNSIHFYNFDPISTTWRILPPPPLYPPLLLRHPSFLSRNLSIQSISVSGNLVLLAATTLNLYPALSRPLIFNPLSQAWTFGPTLATPRRWCALGASCSAVYVASGIGSHYSNDVAKSLEKWDLNKKTGEWESKKGLKDGRFSREAIDAVGWRGKLCMVNVKGDAAKEGVIYDVEEDLWKEMPEGMLGGWRGPVAAMAEDVMYVVDEVKGCLKKYVAEEDYWEEVMVCESLKGAEQIAAEGGRVCVVSGGKISVVDVVAAQPRLTVVDLPQGFQAVAVHILPRMPVTDFSI >OIV92622 pep chromosome:LupAngTanjil_v1.0:LG18:16310006:16310302:-1 gene:TanjilG_17973 transcript:OIV92622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNGTHRRAAYSSSYDKNPDDHIQPVSVPEDVIEAANSGKYWAPHPQTGVFGPPAGDSAPSGSSTPISDAAAAPSVLEEKAWFRPTSLEDLEKPNTLP >OIV93230 pep chromosome:LupAngTanjil_v1.0:LG18:10170720:10171511:1 gene:TanjilG_27409 transcript:OIV93230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIHANSEWILENIWTKIISSDDATKSPKSWEELPNFDGKNVSMEILTRLPSLGRWISTGADFWEEILDGTIQPASSNKVDSINTNLENCTANKPNKKIEDGVVRAKHYKGVRKRPWGKYAAEIRDSTKNGARVWLGTFETAEEAALAYDKAALRIRGQKAHLNFPLDTVAKSSGFNHKGTTGVTACASRTFQEDCSSSTFIGDKGKSNNGRNRRSQRRKRFVDEQTGTQKMTSLKGMIENEVDVFVFQDLGSDYLENLLSSY >OIV93064 pep chromosome:LupAngTanjil_v1.0:LG18:12271181:12274662:1 gene:TanjilG_20726 transcript:OIV93064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTMQLQLHPTLLPPSSTFRPKSIRSSNDRQALFSRIAPVYDNLNDLLSLGQHRIWKRMAVSWTGVKMGDRVLDVCCGSGDLSFLLSEKVGSDGKVTGLDFSRDQLLIASSRQHSKKHFRNIEWVEGDALNLPFDDGWFDAITMGYGLRNVVDKHKAMQEILRVLKAGSSVSILDFNKSNDSLTSSITEWMIDNVVVPVASGYGLSEEYKYLKSSIREFLTGKELEKLALKVGFSTARHYEISGGLMGCLVAKR >OIV93714 pep chromosome:LupAngTanjil_v1.0:LG18:269587:271804:-1 gene:TanjilG_16565 transcript:OIV93714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGTHVTLTLLFFEHKKICFGDYESNGLFDHNIELSDEIVGLMVQKEEEHLPRDDYFENLHAGHFNLSVRKQALDWMMKAHAYFGFGPWSIFLSVNYLDRFLSLFELPRDKNWISQLVAVSCLSIAAKIRETKVPLSARLQVGTPKFVFEAITIQKMELLVLSTLGWKMQALTPCSFIDYFISKINCEQHQMKSSLLKSVELMLSITKCIDFLEFKASEIAAAVAISVTRELEAKEIHKALSSLVMVKEERVLKCLEVMRDLSMIKICGNLTPFACTSSNGASES >OIV92665 pep chromosome:LupAngTanjil_v1.0:LG18:16020050:16022852:-1 gene:TanjilG_18016 transcript:OIV92665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISNDINSNSINPTTFQDNMCTRKVGQNNRRALGIINQNMTVEGRYPCVVNKRALSEKQEIGEKKQADPVHRPITRRFAAQIASTKQSGVEETKKSNLGVSNSNEFGQFIFIDDDDNDDEPKSTEDQPVPMSPEQTEAMHGEPDQMEEVEMEDIYEELHLDIDSSDANNPLAVVDYIEDLYVHYRKIESGGCVSPNYMAKQCDINEKMRAILIDWLIEVHDKFDLLPETLFLTVNLIDRFLEKQTVVRKKLQLVGLVAMLLACKYEEVSVPVVGDLILISDKAYSRKEVLEMEKLMVNTLQFNMSVPTSYVFIKRFLKAAQADKKLELLAFFLVELSLVEYEMLKFPPSMLAAAAVYTAQCTVYCVKQWSKTCEWHTNYSEDQLLECSSLMVDFHKKAGSGKLTGVHRKYCSSKFSYTAKNAPASFLLENNPL >OIV93609 pep chromosome:LupAngTanjil_v1.0:LG18:1173220:1182957:1 gene:TanjilG_04841 transcript:OIV93609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEKSQLESCFQVSRGYPSPFGATLRDGGVNFAIYSLNALSATLCLITLSDFQDNVVTEYIPLDPLINKTGDVWHVFLKGDFKDMLYGYKFGGKFSPEEGHYYDSSRILLDPYAKAVISRGEFGAIGPDANCWPQMAGMVPSSFDDEYDWEGDLPLKYPQKDLIIYEMHVRGFTKHESSKTKFPGTYIGVVEKLDHLKELGVNCIELMPCHEFNELEYFSYNSVLGDYKVNFWGYSTVNYFSPMIRYSSAGIKNCGRDGVNEFKFLIKEAHKRGIEVIMDVVFNHTAEGNEDGPVISFRGVDNSVYYMVAPKGEFYNYSGCGNTFNCNHPVVRQFIVDCLRYWVSEMHVDGFRFDLASIMTRGSSLWDGVNVLSAPAEDDILTIGTPLGNPPLIDLISNDPILRGVKLIAEAWDAAGLYQVGTFPHWGTWSEWNGKYRDTVRQFIKGTDGFAGAFAECLCGSPNIYQGVGRPWSSINFVCAHDGFTLADLVTYNNKHNLPNGEDNHDGENHNNSWNCGQEGEFASISVKRLRKRQMRNFFLSLMVSQGIPMIYMGDEYGHTKGGNNNTYCHDNYLNYFQWDKKEESLSDFFRFCRLVIKFRQECESLGLDDFPTSDRLQWHGHFPGKPDWSETSRFVAYTMVDSVKRELYIAFNTSHLPSTITLPERPGYRWEPLVDTSKPAPYDFLTPDLPGRDIVIQQYAHFLDANMYPMLSYSSIILLCTPDEND >OIV92581 pep chromosome:LupAngTanjil_v1.0:LG18:16482366:16483139:1 gene:TanjilG_07572 transcript:OIV92581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGTSNTIHHSNPNDQGGWNFLQPLSNVSVTKDKETTTTTTTTTYVHPQVKYYSSVRLSPKSLELCTEKLGSETGTDERMENETESLYSSWRREQRKTSQVLRAEKVKGKNFPPPLTTIRGGSEGLKMRPHREEGRLVIEVTKVPSNCSCFQAERSHGRLRLSFLKDITEEDVDVDVDDENENEMNNEDVETEEEEIEETEETEGDGEKDTCGGIIRIMEKYEKGRRRCKGVHHQNSGYGFDAVKIFQHLETLFIKL >OIV93635 pep chromosome:LupAngTanjil_v1.0:LG18:1466467:1466852:1 gene:TanjilG_04867 transcript:OIV93635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTKSESYFVFMNYDPEYQRLLNDRTKRRTFELDLYLSTKHNEVLARTLEPGSYKKTCSLAIVDGFSVEINEDQVIYISFFSCFLL >OIV93467 pep chromosome:LupAngTanjil_v1.0:LG18:4392462:4394355:1 gene:TanjilG_06406 transcript:OIV93467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEAKAATEDVKIDLFEDDDEFEEFEINEEWNDKEEGKEVTQQWEDDWDDDDVSDDFSLQLRRELESNNEKK >OIV92814 pep chromosome:LupAngTanjil_v1.0:LG18:14675811:14677637:1 gene:TanjilG_00948 transcript:OIV92814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGASLPPGFRFHPTDEELVGYYLKRKVEGLEIELEVIPVIDLYKFDPWELPEKSFLPNRDLEWFFFCPRDRKYPNGSRTNRATKAGYWKATGKDKKVVCQFSPSTSTTTSVVTGYRKTLVFYRGRAPLGDRTDWVMHEYRLCDDLAQPSPSFRGAFALCRVIKKNERVNDFQGEVQKGKRAAGSSSSNGNELLSISGDISSQASQMCNESLYSSPIASPSPCNNVAPMPSMDTNPSDFWLSPDMILDSSKEYSQAQDVVPGYFPQNDLSTMTPWQSFDYTDISSNSSYSNFNVEIEFSDDLSRIGCMSPYSGQGNLMDILWK >OIV93019 pep chromosome:LupAngTanjil_v1.0:LG18:12863924:12868574:1 gene:TanjilG_20681 transcript:OIV93019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAMALRRLSSSMDKPLRPLFNAASVYYKSSLPDEAVYDKEKSGVSWPKQLNAPLEVVDPEIADIIELEKARQWKGLELIPSENFTSLSVMQAVGSVMTNKYSEGYPGARYYGGNEYIDMAETLCQKRALEAFGLDPAKWGVNVQPLSGSPANFHVYTALLKPHDRIMALDLPHGGHLSHGYQTDTKKISAVSIFFETMPYRLDESTGYIDYDQLERSATLFRPKLIVAGASAYARLYDYERIRKVCDKQKAVLLADMAHISGLVAAGVIPSPFDYADVVTTTTHKSLRGPRGAMIFFRKGVKEINKQGKEVLYDYEDKINQAVFPGLQGGPHNHTITGLAVALKQATTPEYRAYQEQVLSNSSKFAQALTEKGYDLVSGGTENHLVLVNLKNKGIDGSRVEKVLEAVHIAANKNTVPGDVSAMVPGGIRMGTPALTSRGFVEEDFVKVAEFFDAAVNIAVKIKSESKGTKLKDFLATIQSSSHFQTEIGKLRHDVEEYAKQFPTIGFEKATMKYNK >OIV93269 pep chromosome:LupAngTanjil_v1.0:LG18:9374797:9379497:-1 gene:TanjilG_23110 transcript:OIV93269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGICTSKPDKPNPYAPSEPEAENDSFDPSQIPKTPATPSNHRRKDDVSTGKKSPFFSFYSPSPARFFKQSPSPSAGGSKSASSTPMRFLRRPFPPPSPAKHIKALLARRQGKKSATAGEGEENAGGGDLDKRFGFSKEFSSKLEIGEEVGRGHFGYTCSARFKKGELKDQKVAVKVIPKAKMTTAIAIEDVRREVKILRALNGHNNLVQFYDAFEDQDNVYIVMELCEGGELLDMILSKGGKYTEDDAKAVMVQILNVVAFCHLQGVVHRDLKPEIVRLSLGRMNFLYASKDENSELKAIDFGLSDFVRPDERLNDIVGSAYYVAPEVLHRSYTTEADVWSIGVMAYILLCGSRPFWARTESGIFRAVLKADPCFDEAPWPTLSTQAKDFVKHLLYKDPRRRISAAQALCHPWIRNYNSVKVPLDILIFKLMKAYMRSSSLRKAALRALSKTLTADELYYLREQFALLEPSKNGSISLENINQALMKYATDAMKESRISDFLSSLNALQYRRMDFEEFCAAALSVHQLEALDRWEQHARCAYELFEKDGNRAIMIEELPSELGLGPSIPVHVVLHDWIRHTDGKLSFLGFVKLLHGISSRTLPKVQ >OIV93577 pep chromosome:LupAngTanjil_v1.0:LG18:817063:819160:-1 gene:TanjilG_04809 transcript:OIV93577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEIVKQLLAKPIQLSDQVTKAAEEASSSFKQECLELKSKIEKLASLLRQAARASSDLYERPTRRIIYDTEQVLDKALSLVLKCRANGLMKRVFSIIPAAAFRKMSSQLENCIGDVSWLLRVSAPAEERGDEYLGLPPIAANEPILGLIWEQIAILHTGSVDDRSDAAASLVSLALDNDRYGKSIIEEGGVGPLLKLIKEGKKEGQESAARAIGLLGRDAESVEQMIQAGVISAFAKILKEGPMKVQGVVAWAVSELAGNYPKCQDLFAQHNIIRLLVSHLAFETVQEHSKYAIVSNKPTSIHAVLMASNNSNSNSSANSVKKENDDEEIKNRMQHPLGNRSPNHMHKVVTSTMAMHIAVKQQPNQGNEANPNTEESNPKSNGVSNGKQGHQINQQSYSYSGINMKGRELEDPENKAYMKAMAARALWHLAKGNSEICRSITESRALLCFAVLLERGAKEVQKNSAMAVMQITAMAEKDAELRRSAFKPNSPACKAVVDQMLKIIEKADTDLLVPCVKAIGNLAKTFRATETRIVGPLVRLLDEREAEVTREALISLTKFACTENYLHLDHCKAIISAGGAKHLVQLGYFGEHIVQKPALVLLSYIALYVPESEELAKDNVLGLLEWASKQSFITQVESLEALLQESKSRLELYQSRGSRG >OIV92850 pep chromosome:LupAngTanjil_v1.0:LG18:14927317:14929017:-1 gene:TanjilG_00984 transcript:OIV92850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGNAQKAKMAREKNLEKQKGASKGSQLDANKKAMTIQCKVCMQTFICTTSEVKCREHAEAKHPKADVNTCFPHLKK >OIV92868 pep chromosome:LupAngTanjil_v1.0:LG18:15041006:15042511:-1 gene:TanjilG_01002 transcript:OIV92868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSPQVEVEDDKLKVIFVPFLSTSHIIPIVDIGRLFAMHDVDVTIITTPVAASIFQNSIDRDAARGHSIRTHIIKFPASQVGLPEGVETFNADTPPGMIAKIAQGLSMLEEEMEQLFEDLEADCIVTDMFYPWTADAAAKLGIPRLIFLGGSYLAHSAQHSVKNYMPHKDIGSDTEKFNLPGLPHKLEMTLLQLPDWLRAPTGYTYLMEMIRDSEKKSYGSLFDSFYELEGTYQEHYKKATGTKSWSIGPVSLWVNQDASDKAGRGNGKAEQEDKGQGWLEWLNSKPDNSVLYVSFGSMSKFPSSQLVEIAQALEESGHDFIWVIKKKDEGEEDSFLEEFEKRVAASNKGYLIWGWAPQLLILENKAIGGLVTHCGWNTIIESVNAGFPMATWPLFAEQFFNEKLVTDVLKIGVAVGAKEWRNWNDFGKEVIKKEEIGKAIALLMSNGEGADMRKRVRALSDAAKRAVQVGGSSQANMLELVQELKELRLQRFNRKVTVIP >OIV93511 pep chromosome:LupAngTanjil_v1.0:LG18:2831412:2833387:-1 gene:TanjilG_21764 transcript:OIV93511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDIFVWLIAFFTIIALLVIVVYQLMCLADLEFDYINPYDCSSRIDKVVLAEYIIHGVLCCFYLVTGHWVMSLFCAPYLYYNFRLHKQGKHRVDVTEIFNLLPQEKKQRLIKLFYLLFLLLLSIFWMIYTSLENHDD >OIV93287 pep chromosome:LupAngTanjil_v1.0:LG18:8829012:8832017:1 gene:TanjilG_13114 transcript:OIV93287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGGAKDSGFCGSPEVVQIIQKVIAEVIGTYFLVFAGCSVVVLNKLEGSKGTITFPGICIVWGITVMILVYALGHISGAHFNPAVTIPLYLIAQVLGSILASGTLYLLYDDLDENSYFGTVPVGAHMKSFILEILTSFLLMFVVSAVSTDNRAIGEMAGIAVGMTVLIDVFIAGPVSGASMNPARSLGPAVVMHIFDGFWLYIVGPFLGAILGASAYNLIRFTEKPLREIGASSTFLKTMSRANTFR >OIV93435 pep chromosome:LupAngTanjil_v1.0:LG18:5072987:5073518:-1 gene:TanjilG_10067 transcript:OIV93435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKNEFTLVSPATDNEGGEKLPRYCTQQGLGAKWDISPPLEWHNVPSKTKSLALVVQDVDAVDPTGRKVPFTHWVVVNIPVTVKRLPEGLSGKVEEEGGEYVGIAEGINDWKVNVWRGPKIDNYCDRFEFRLYAVSEDMHFDNQASVASSN >OIV93678 pep chromosome:LupAngTanjil_v1.0:LG18:545767:550883:1 gene:TanjilG_16529 transcript:OIV93678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPANITVAPENNRSTSAEHAPVTAELKVFKASEEFSDVSSNSLKTIVALGLWLGTIHLNAALILFALLFLPLSKSLLVFALLLVFMVIPLDEKSKLGTKFARYICKHVCSYFPITLHVEDIKAFHPNRAYVFGYEPHSVLPIGVVALADNTGFMPLPKIKVLASSAVFYTPFLRHIWTWLGLAPASRKNFISLLDAGYSCIIIPGGVREVSLMEHGSEIAFLKARRGFVRIAMEKGQPLVPVFCFGQSNAYKWWKPSGELFLNFARAIKFTPVYFWGIFGSPIPFKRPMHVVVGRPIELDKNPQPTAEEVTKVHGQFVEALQDLFEQHKAQAGYPNLELTIV >OIV93487 pep chromosome:LupAngTanjil_v1.0:LG18:3246248:3247070:-1 gene:TanjilG_11069 transcript:OIV93487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCNGCRVLRKGCSESCILRPCLQWIENPEAQGHATVFVAKFFGRACLMSFISNVSETQRPALFQSLLFEACGRTVNPVNGAVGLLWTGNWHVCQAAVETVLRGGTLTPLPTRLGMDAPTPGMDEASETEVTYTEIWTNRNQNSNYRFMSSRSNVTSTGGGGKRKSPEAATVAIDLDLRLTPIFLQKASDYKSRPENRRQCSPSMTSEESVTTVASSDSGNRRGERKVLNLFI >OIV93301 pep chromosome:LupAngTanjil_v1.0:LG18:9073144:9077776:1 gene:TanjilG_13128 transcript:OIV93301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPSLFGLLAFLGIQLPVVMSDCPLDLTFSNFTLASSICSNQGDRGKCCRYINANIAISVARFVNTTSGLGVPPDASDICLQTISQTLHLYGVVRNATVFCGLGTKIPVNYECKARTTVIEMLQSPRFVEVTKNCKLPLGEEIKCKKCLNSGIGFLHHLGIEDNITLSTCRDASFVALASQVDQKSSIDIASCFFGVQGLLGAPASESSPSLPAPEASPSSVVADSPSQLLIGLPLKEKHHSYHLTIVPGIAIAVTAVAVMMLLVLIVLIRQKSKELDEPDNFGKTSSKALPPSATWKFQEGSSSMFRKFSYKEIKKATEDFSTIVGQGGFGTVYKAHFSDGLVAAVKRMNRVSEQAEDEFCREIELLARLHHRHLVSLRGFCIKKHERFLMYEYMGNGSLKDHLHSPGKTPLTWRTRIQIAIDVANALEYLHFYCDPPLYHRDIKSSNTLLDENFVAKIADFGLAQASKNGSICFEPENTEIWGTPGYIDPEYVVTQELTEKSDIYSFGVLLLEIITGRRAIQDNKNLVEWAQPYMESETRLLELVDPNVRESFDLDQLQTVVSIVGWCTQREGRARPSIKQVLRLLYETSEPMHSEFLQVDEDAECHGNQQRGRRSKGKMLRNEVVFHSGDGRYLASSSSTSRSYCSRSFLLETGSPQSPPNIFSL >OIV93059 pep chromosome:LupAngTanjil_v1.0:LG18:12341716:12343737:1 gene:TanjilG_20721 transcript:OIV93059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFHMVMVPCMAFTPREWFNFIGFGNEEGQKPQPLLKDDLGEPLVLTGNEAENPGAADPAMATKTDLREKFYSRTCPRAEKIVANVVAETFKTNPNALANVIRLQFHDCFVGGCDASLLLDYIPSGDQVEKSSGFNGLLLKGADLIDDIKSKLEQECPQIVSCADTLVFATNEALILSGLPRQRPLGGRRDTLTSLAKMADDNNLPAPNWPLEKMIETFKKKGFNEEEMVILLGAHSVGSTHCDFILYRAYNYKESNNPDPTLPQGVVDEIKKVCVDANTPKYRNPPMNFDETPTVLDNLFFKNMVERNKTLLETDAYLLNDPRTAPTVQQMAADPELFHKRFMELMRKLSTLNVLTGKDGEIRKTCRSTN >OIV93388 pep chromosome:LupAngTanjil_v1.0:LG18:6979536:6988808:-1 gene:TanjilG_24108 transcript:OIV93388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQLLAISEVLAEIDGRVADNFRAISNGFQKYEKIKDSSRQSRLLEELTDKMRDSKRCHEKSFELSVQIILLFAFCVMNGDIVFENSVTYAWKTEEMLIKEFDKEIKAVEGNFDRETSKMLNEKKQSMIKELNSYVALKKQYATNIDNKRIELFEGSTEDYAEKNVLLASSMTNEQLMDRGNHMMDETDQAIERGKKVVQDTVNVGTEASAALKAQTEQMSKIVNELDSIHFSIKKASQLVKDVGRQVATDKCIMALLFLIVVGIVVVIVVKGHKEWLAEVQFLSIVNHPNLVKLLGYCSVDGERGIQLLLVYEFMPNRSLEDHLFNKAFPPLLWKTRLEIMLGAARGLAYLHEDLEIQVIYRDFKSSNVLLDMDFHPKLSDFGLAREGPQGDQTHVSTAVVGTHGYAAPEYIETGHLKVQSDMYSFGVVLYEILTGRRSLERNHPRGEQKLLDWVKQYPADTNRFSMIMDPCLRKQYSPGAARKIAKLADNCLKKIPEDRPSMNQIVETLKQALQYSDSSSSSQNPDDSSRSNVVRKGK >OIV92920 pep chromosome:LupAngTanjil_v1.0:LG18:13809616:13812779:-1 gene:TanjilG_20582 transcript:OIV92920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPFSVTFPVFWFIYIDLLLFFASAWFVPNTSASTLGNETDHLALLKFKESISKDPYGVLNSWNSSNHFCNWDGITCSPMHQRVTKLNLPGYQLHGTITPQVGNFTFLKLLDLHNNSFYGKIPQELGGLLQMKALYLTNNSLDGEFPISLTNCSQLIHLYLYGNNLSGKIPAEIGSLRKLEMLFLGKNNFDGQIPQSIGNLSSLVYFSVGFSNLEGYIPQEIGLLRNLTFISVDANKFYGMLPSTLFNLSYLTVISATSNQFNGSLPPTMFHTLPNLKFFSVYGNQLSGPIPISITNASVLQLLDLGENSFVGQVPSLGKMQDVWLINLEGNNLGDNSTEDLDFLKPLTNCSKLSTFGIDNNNFGGRLPNFLVNFSSQLTELYLGNNQILGKLPTDLGNLTGLIVLSMESNHLDGVIPPAFGNFQKIQLLDLNGNKLSGDIPASLGNLSQLFSLDLSQNMFQGNIPPTIGNCQKLQFLDLSQNNLVGSIPSEIFSISSLTNLLNLSQNLFSGNLSDEVGRLKNIEQLDISGNHLSGDIPGAIGECLSLDHLYLQGNSFSGIIPSSLDSLKGLQRLDLSRNNLSGSIPEGLQNITVLEYLNVSFNMLDGEVPTEGVFQNSSRFSITGNSKLCGGITKLKLPPCPAKGTKHEKHYNFKKLIAVLVCVVAFLLILSLSLTLCWMRKRNKKPFSDLPTIDQLVKVSYQNLHNGTDGFSAKNLIGSGNFGFVFKGTLESENKVVAIKVLNLQKKGAHKSFIAECNALRNIRHRNLVKILTCCSSTDYKGQTFKALVFEYMANGSLEQWLHPAAETAQQSRTLNLAQRFNIITDVASAIHYLHYECEQAIIHCDLKPSNILIDDCLVAHVSDFGIARLLSSIGVSSEQTSTTGIKGTIGYAPPEYGMSSEVSIEGDMYSFGILVLEILSGKRPTDEMFKDGQNLHNYVKNSIPDHLLQIVDPTILPEEFELDGDNEIMILTDPNVKESLLSLFSIALACSVESPNQRMSMVDVIKELNEIKSSFFVV >OIV93707 pep chromosome:LupAngTanjil_v1.0:LG18:322718:324071:-1 gene:TanjilG_16558 transcript:OIV93707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDMAKDLTAGTVGGAAQLICGHPFDTIKVKLQSQPAPLAGRLPMYSGAIDAVKQTIAAEGSTGLYKGMGAPLATVALFNAVLFTVRGQLETLLRSHPGAPLTVSQQFVCGAGAGLAVSFLACPTELIKCRLQAQGALAGTAAASVGVKYGGPLDVARHVLRSEGGTKGLFKGLIPTMAREIPGNAIMFGVYEAVKQMIAGGTDTSGLGRGSQIVAGGVAGGSFWFLVYPTDVVKSVLQVDNYKNPRFSGSLDAFRKIKASEGFKGLYKGFGPAMGRSIPANAACFLAYEMTRSALG >OIV92738 pep chromosome:LupAngTanjil_v1.0:LG18:14050638:14054119:-1 gene:TanjilG_00872 transcript:OIV92738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAPRNSLDLQVETSHNHCSRGQLPYCYQVEDWSENNRFSNVASMKKLINEELSKGSSTRQNAPSLVARLMGIDMMPLDTKSVVPSERISENSGKKHSRKGMNGNGSVSWDSSNFNYCNQMELDSFYGDIDDDGWSQSFGEARPREHPQEEELQKFKKEFEAYQAARFVECSKVADIGSAPRRLLAHENLNNEKVAHNASSQRAAREKLAEYGGDMELFPTLPKQAFPSRSRTLSRDFEECLMMKSKNRLDRSSSPTRIVILKPGLDSICNHDGNWSSSSSSLQGRNSIQDFLEEVKERLKCELQGTTVKKGSVVRGSGIETPYTEKPCDPKLIARHIVKQATRDAEPNLPRSESARSYTSEMQFNEPSSPEFINRDTRRFLSERLRNVVKSETHVDISEVNFRNSRLHALNDHRVRIKQGGNIIKCANEMNHSKEEKEIQIGSFRHEPEPDANVLFHNHRELSPRNLVRSLSAPVSGSGTSFGKLLLEDRHILTGAQIRRKVEAVENKSVDVKKQRKDRFNIKEKVSNFRYGLALRRKLFGKRNQSIVESHANEYVSMVRDVTSGPTVFMNHGDRHENFTEVPPSPASVCSSVHEEHWRQIEYLSPISTPDVSSRDDNIVPHVFRDISSGLNELRKQLSQLESNSTEEFTAKQKAKPIESELVKIEDPAESYTRDLLVASGLYFGSWDKSLLRGDTFAKPIGNSVFEEVEASHKKLAKDSKDSSLKDQNEKMLDHKVLHDLLNEALSVVLGPPLTWSRFRRKICNSSMMLPPCGKELLNLVWEIIRVSLHHPLDICTYSLDSLVGQYLESIPWSGLIYDEINTLGRDIECLITHDLVEELTRDIL >OIV92755 pep chromosome:LupAngTanjil_v1.0:LG18:14175846:14176733:-1 gene:TanjilG_00889 transcript:OIV92755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPISAHGHSLPPPFHTRDFHLQQQQQQQFHALHQNTEDSQSESSGGLNLKRERDENNNSEGKELGGETEMSRRPRGRPAGSKNKPKPPIIITRDSANALKTHVMEVADGCDIIESVSNFARRRQRGVCIMSGTGTVTNVTLRQPASSGAVVTLHGRFEILSLSGSFLPPPAPPAASGLTIYLAGGQGQVVGGSVVGTLLASGPVVIISASFSNAAYERLPLEEDDPSSLPLQGGGGSIDSPSAGGSGGGVGGQQHQNQLLGDATAPLFHGLPPNLLNSVQMPSEAFWNTGRSPY >OIV93589 pep chromosome:LupAngTanjil_v1.0:LG18:970038:975247:-1 gene:TanjilG_04821 transcript:OIV93589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTSGESVQGAEGHEERIVVSVRVRPLNDKELAKNDVSEWECSNDTTIIYRSNVPVSDRSLYPTAYSFDRVFRPDCPTRKVYEEAAKEVALSVLSGINSSIFAYGQTCSGKTYTMSGITEYAVTDIFNYIENHKEREYVVKFSALEIYNESVRDLLSTDTTPLRLLDDREAKIFIIFSEELVYRGTVVEKLTEETVLGLNHFEELLFFCETQRQIGETSLNEASSRSHQILRLTIESSACESMVNGKPSSLAASVNFVDLAGSERASQTNSAGTRLKEGCHINRSLLTLGTVIRKLSTIRSEGSSYSQEMEETLRFTTESKGRNGHIPFRDSKLTRILQSSLGGNARTAIICTMSPARSHVEQSRNTLLFASCAKEVATNAQVNEVMSDKALVRQLQRELTRLESELRNSGSTQIKSDPAALLREKDLQIQKLMEEVTDLTLQRDLAQSQITDMFHVVGDDMASTEMESMDPQYPKLRVRSSWDFESLTEEPNFSYFDLESVKSFDASQYSDGHSISSDDNYFQLPDLEKNLPIRLSPPGLPIAGFAAVRNDLDQKNMEEHHHENFGVRCIESEDLITNTYTPLNPSDLSLNLHTDSNVSSPGVNNVVSGLTEDDKREKENLDLCSSMLKENKVSNQFNQDFVLPSPGKISAWLAEYGASSSRSLKLTRSRSCKATLLRDSSPNWFEEDIIQSTPFTGIPGGFQRKSCTLNYNDKDERLSWTGHGNFVGSAAIDVQNVKPSADEVPETESEPIIPAKEFKDVGLDPMQSDEENHSDWSLQFKRLQKEIIELWHACNISLIHRTYFFLLFKGDPSDSIYMEVELRRLSYLKQTFSKVNQTMEDGQNLTSQSNTRYLRRERQMLSRRMRWKLSKSEREKLFLKWGIRMSSKNRSLQLAHRLWSDTEHIDKIRESAAIVSKLVGSVEPDHAFKEMFGLNFAPQSRRKKSFGWAATIKHIL >OIV92882 pep chromosome:LupAngTanjil_v1.0:LG18:15154621:15162242:1 gene:TanjilG_01016 transcript:OIV92882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPTAGTKFVSVNLNKSYGQHSSSYGSNQNRANGHGGMVVLSRPRSSHKASGAKLSVPPPLNLPSLRKEHEGFDSLGSGNAPSGVAGSGSGSRQVSSVMGWTKPATIALQEKEHFAEPAMDGFSRGSSVYMPPSARSVVTVGPVTPALVQSKPVVDKAAVLRGEDFPSLKAATLSSSAGTAQKPKEDLSQKQKKLGSDSLSTEQKNASRLSSLVDVGNSPSVITYERHSGFGGSQGNEQGRKQKEYFPGPLPLVPLKPRSDWADDERDTSHAFTDRNRDHGFSRNEAYWDRDFDMPRVSVLPQKPAHNFDKRGQRDRGSERNAWDRYAGSEQHNRSRVDSVQTSVSRSSFALGGKGLPINDPLLNFGRERRSLPKSEKSFLVDPFTKDFGASDFDRGDAILVGVVKKKKDVLKQSDFHDPVRESFEAELERVQRMQEQERQQIIEEQERALELVHREEEERLRQAREQEERQRRLEEETREAAWRAEQEQIEKLRKVEELRLAKEEEKQRIQIEEERRKQAAKQKLLELEQRIARRQVEAVKGGSQSPIAADEKIHGFVKEKDASRATDVSDWDDSERMVERIITSASSDSSSVNRPLDTGSRTHFSRDISSNFVDRGKPVNSWRNIYENGSSYAPYLPEHDNGNNNYGPRRDSSIVGKPFMRKDYYGGAGLISSRNQNKGGISGSHLDEYAQLQGQRWNQSGDGDQFSRNTEIDSDFHDNFVDRFGDGWSQSRSRGNSFPIYPERSYPNSESDGPYTNLGRSPYSVRQPRVLPPPTLASVPRSHRIRNEYPGPSAFLDNEIRYDQAASGGSTIPTEVVDALQETTDNKDRDTAHRCDSQSSLSVSSPPSSPAHLSHDDLDGSGDSPAILISEESKNGALSAPENVSTVINVRAQNVNAVVSSSAVSAAVDEEWTTENHEQFQEQEEYDEDEDYQEEDEVHDNIDLNRELKELSLEEKGSPDMMDNLVLGFDEGVQVGMPNEEFERTLKDEETTFMALQDSISTLDEHVSFDNACNDAKVPQPVDDLSQVNLNNNSSVFEESENMGPNVSTSGAIAIAPHSSVGPNVMSSVAAPSQAEPIKRQFGLFSGPSLIPSPVPAIQIGSIQMPLPLHPPVGAPFSHMHPSNPPLFQFGQLRFTSPISQGIMPLGPQAMSFVQPNTASEFSFNHNRGGQVSVQNSPDTSDRFVQNESMPYSVDNQPGITRHLSRGSLPSENAENINGLKKGTNSTVIVTGLPVDTQRSQNSVGNTSPATANESNGQPLARDASIHSVPKEKDFMDSKAHHPVSVGRGRRYVFSVKTPGSRSSGPAATNHSDSRGFLRRPHRNIQRTEFRVRETAKKRQSCSSVLSDHFGSDNNSNINGRGAGISGGTGPRKTSADKLGKQTVESTTENSQQIDSRSRTDKVDGKESTKTRSISHFGHSNLNRTLCSEEDVDAPLQSGIIRVFEQPGIEAPSDEDDFIEVRSKRQMLNDRREQREREIKAKSQSTKMPRNSRSTSQNAAALVNNTRGSISTGETANGARAHLVATEGRIMVKAHVSSGFDSSLSSQPLAPIGISPLKIGAHSDLRSQTSRTLKTSLPAVGGGKGSGPDVDDAQTSLGSWSHVQISHSQQVMALTQTQLDEAMKPQQFNSQTPLGDLNSAVNESNLPTSSILTKGKTFSSASSPINSLLAGEKIQFGAVTSPTVLPSSRRSVSRGIGPRHSSRSDGQVSHNLDGSENDSSPFFNKEKHNNESHGQLEDCEAEAAASSVAVAAISNDDIVGNGLGIASEQQSATESRSGEPLSVSLPADLSVETPPISFWSPLQNQQNPSSQMISHFPAGPHFPFYDMNPMMSGHPVFAFGPHDESAAAAQSQSQNSTTSASWPIGSWHQCHSSVDSFYGPPTGFTGPFITPPRGIPGVQGQPHMVVYNHFAPVGQVGLSFMGTTYIPTGKQTDWKQNPTSSAMGSEGGTDVNMVTSQGNPINMPSPFHHLAPGSPLLPMHPPLAMFDVSPFQSPEMTVQSQWPHVPNSSAPSIPSLNSNRFTSSQTSTFDVGRNYPTTSDANVNQLPDELGLVDHSDSTACKVSAQSVVNKIQSANAHVQNDNGGNSNSQNASSALKSRPSQQSISTQQYDHSSGYTNQRGGNVSHRHSAHGDECSQRRMGFHRRNQSLGADKLKVKQIYVAKQTTGGTSKIS >OIV93061 pep chromosome:LupAngTanjil_v1.0:LG18:12324377:12328183:-1 gene:TanjilG_20723 transcript:OIV93061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAASALFLLDIKGRVLIWRDYRGDVSAVEAERFFTKLIEKEADAQSQDPVVYDNGVTYMFIQHSNVYLMIAARQNCNAASLLFFLHRIVDVFKHYFEELEEESLRDNFVVVYELLDEIMDFGYPQYTEAKILSEFIKTDAYRMEVTQRPPMAVTNAVSWRSEGINYKKNEVFLDVVESVNILVNSNGQIIRSDVVGALKMRTYLSGMPECKLGLNDRVLLEAQGRTTKGKAIDLEDIKFHQCVRLARFENDRTISFIPPDGAFDLMTYRLSTQVKPLIWVEAQVEKHSKSRIEIMVKARSQFKERSTATSVEIELPVPVDATNPNVRTSMGSASYAPEKDALIWKIRSFPGGKEYMLRAEFRLPSITDEEATPERKAPIRVKFEIPYFTVSGIQVRYLKIIEKSGYQALPWVRYITMAGEYELRLI >OIV93317 pep chromosome:LupAngTanjil_v1.0:LG18:8497200:8498514:-1 gene:TanjilG_23089 transcript:OIV93317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVEPPHVNLFHPHSLTTTNNIGIDIDDGVMTLPATMPYSTYHHHHHHIHVLPRKRSRLDSITESNVIPFSQKNKFSSQTQPPSFFDNQQSEIDRFIAIHTEKVRMELEEQSNRQSRKLLSVIQYTIEKKLMEKDEEIDRITKLNWMLQERVKSICAENQIWRELAHTNEVTAISLRTNLDQVLAAQISEDHRDDNDDNDDAESSSGSNCGGGGGGDVVVVGRMCKNCGVRESIVLLLPCRHLCLCTMCGSTTRNCPLCYSGINASVHVNFD >OIV92789 pep chromosome:LupAngTanjil_v1.0:LG18:14462323:14462810:1 gene:TanjilG_00923 transcript:OIV92789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVNVNASLPKLHIVSIMSVPPLKVTEPRKFRQVLLSDPSLNTSTIHGCYQICLYYDKLKEKDHDGWNLAGWIVESLAMVLLEHPLLAGRLHRREVGDFEIVSNDSGIRLLEAKYPISLAEFFVLNEKENLQGKYTLSL >OIV92588 pep chromosome:LupAngTanjil_v1.0:LG18:16529336:16532815:-1 gene:TanjilG_07579 transcript:OIV92588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLNGEGEEEEEEEEEEEEEEEEEEEEEGSESQKVVVGYALTSKKKRSFLQPKFISLARNKGIFFVAIDENKPLLEQGPFDVVLHKLSGKEWREIIEDYRQKHPEVPILDPPDAIQHLHNRQSMLQDVADLNLYDCYGKVGVPRQMVITKNPSTIPYEVTKAGMKLPLVAKPLVVDGTAKSHELYLAYDEFSLSELEPPLVLQEFVNHGGLLFKIYIVGETIKVVRRFSLPNISKHELLKVDGLFRFPRVSCAAASAEDADLDPNIAEHPPRPLLERLARELRRRLGLRLFNIDMIREHGTKDVFYVIDINYFPGNSLANADVANAAIACHAIYCD >OIV92718 pep chromosome:LupAngTanjil_v1.0:LG18:15587012:15588883:1 gene:TanjilG_25150 transcript:OIV92718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHQNIEDQIMLMSQLYSSGPYTQIGAQQGENKKPRRRRNKKCKGGENGGLESNKKRKLSDEQINMLEQSFGNEHKLESERKDKLAMELGLDPRQVAVWFQNRRARWKNKKLEEGYSNLKKAHEATMLEKCLLETEVLKLKEQLSEAEKEIQVLKHTDRVSSNSPSSSQQSQSMEVVVDPPFLGEFHVDGYDDVFYVPETHYINGMEWVNLYI >OIV92887 pep chromosome:LupAngTanjil_v1.0:LG18:15202231:15202515:1 gene:TanjilG_01021 transcript:OIV92887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQDNKSFSFVILLALIIFYQGFYSIEGRYLKSENVHGGVSTTNEDTLTQVSPPTLPSMVDGATTVAPPPGHGIDGFRPTVPGHSPGVGHSVHN >OIV93543 pep chromosome:LupAngTanjil_v1.0:LG18:2129864:2132944:-1 gene:TanjilG_28700 transcript:OIV93543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQELKLDLNDKSLVGLSPNTVLSSDQYCSNAKKISKKGKSIGKDEFFTVKGKFSEINFTRFRSSSCKSHLSRPHELEMEGNIEMRRGSVYHSSEVVNNIRKLSSMGGREKIEISRKSGMDTSFSGSIVGSLCGSDEEEFGHRSSVISRHSNLGSPSVSRCRARMEPNSLNGFIDICIDSHVRDKGSTVVKGRDSINIKFRSDIAAGSRIDDNSLEKDTVHSLQKSFSAKVDVSSSQSPSESDCSSRAYPKSRFSPIRKRLNQFVKSKSMRSPVSHMLEANQLKSNETMNITRNRTNQNSLLNDSSNVAKHSDIISDFINREIQHSGIGSSPVHLHGNLKLENRHGLPCFEFNVKCPEDVFVAKASRAGNAFNWVYTFHSIDNIKKSYASDLGSHRLDKDSSVAAQMLVSCKLCSELEGSVFDNNFMVTEFVLYDLTHSRQSVSSEKRSSCKQDSSKTLNASCVGLKEETFRIDEENVTIKSKVQVKPLSSNVEFGQSNSYPLFSTELNSNLETAAILLQIPFSKRESLKYKRRDKISAKEYSDIIGRPTVIDQSRRKSLHDNKIEEQMKVVISTGNHGLPTGESQGPSSLLDRLRHGGGCDCGGWDMACPLILLGNPSIQFSEDQPLMEEHQPLELFIQGAKDNNPTFSMKIVEEGQYAVDFHAQLSTLQAFSICVAILHGTSAFNGSGHEKKQPLTQCSSLKMLTEDEVERLIKSFTAEKKKVSNKPQKRFPQSYVPNPPFSPIARV >OIV92839 pep chromosome:LupAngTanjil_v1.0:LG18:14842475:14845595:-1 gene:TanjilG_00973 transcript:OIV92839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSEYLNLKDQRECSGKGKKLWKKVKYQLIEYHALPAYLRDNEYILGHYRSEWPMKQVLLSIFTIHNETLNVWTHLIGFFLFLALTIYTAMQIPKVVDLNSLQHLPDMLKNVDLPKLQAELLMCLPSLPDFHRLGEELRTTFPSIPSWHVKELLYNCLPERFFSDNHTDISVLHNVKEDLANIIAPLMIRPITRWPFFVFLGGAMFCLLASSTCHLLSCHSERVSYMMLRLDYAGIAALISTSFYPPVYYSFMCYPFFCNLYLGFITVLGIATMLVSLLPVFQTPEYRTIRASLFFGMGFSGALPILHKLFLFWGEPEVFLTTGCEILMGAFYGIGALVYATRIPERWMPGKFDIAGHSHQLFHVLVVAGAYTHYRAGLVYLRWRDLRVIALLKATDGRWGNDGEVSVTCGHGRS >OIV93632 pep chromosome:LupAngTanjil_v1.0:LG18:1433439:1434182:1 gene:TanjilG_04864 transcript:OIV93632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHPLGSSSVYLNQIDMLQSFYKESLLNVSFVSSTNTTCSISTWKKKVYRGVRQRQRGKWVSEIRLPQNRKRVWLGTYDSPEPAAFAYDRAAYKLRGEYARLNFPNLNDSKVICNLLGFEDSMKLNALKSSVDAKIKAIWHKEKREKAKKKSDAKKLGSCDNIMDKNCYGDSEKEQKRESMSLSCSSSSLMLSESSFCDGLTNEFLSPSVSNESSIMVPEELGMEDFCSLERMPSFDPELIWEVLGN >OIV93686 pep chromosome:LupAngTanjil_v1.0:LG18:469992:472654:1 gene:TanjilG_16537 transcript:OIV93686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKPQRLHQTIVGTNLQNSAKRARTMATSSEATTESSPMKDAFTKYAQYLNDLNDKRERVVKASRDITMNSKKVIFQVHRLSKYNKDEVLEKAEKDLAAVTDQYISRLVRELQGTDFWKLRRAYSPGIQEYVEAATFCSFCKNGTLLKLDEINNTLLPLSDPSIQPLQINILDYLLGIADLTGELMRLAIGRISDGELEFAEKICRFARDIYRELTLVVPHMDASSDMKTKMDTMLQSVMKIENVIA >OIV93362 pep chromosome:LupAngTanjil_v1.0:LG18:7529961:7533523:-1 gene:TanjilG_28381 transcript:OIV93362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSLQAAATFMQPTKLGRSNTSQLKSTHSISKAFGSESSGAKVTCSLQADLKDLAHKCVEATKIAGFALATSALVVSSASAEGVPKRLTYDEIQSKTYLEVKGTGTANQCPTIDGGADSFSFPAGKYTAKKFCLEPTSFTVKAEGVTKNAPLEFQNTKLMTRLTYTLDEIEGPFEVSPDGTVKFEEKDGIDYAAVTVQLPGGERVPFLFTIKQLVASGKPESFSGEFLVPSYRGSSFLDPKGRGASTGYDNAVALPAGGRGDEEELGKENNKSAASSKGKITLSVTKSKPETGEVIGVFESLQPSDTDLGAKAPKDVKIQGVWYAQLDS >OIV93198 pep chromosome:LupAngTanjil_v1.0:LG18:10593078:10594028:-1 gene:TanjilG_24413 transcript:OIV93198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKTQIGLNVFLRFLAIGATIAAVVIMVLSHQSTKVLNLTFTAKYSNEPVFRYFVIAEAVASGYSLIVLLFTRSKNSLWRLVLILDVVIAMLLSSSVSAALAIAHVGKKGNDHAGWLPICGQVPKFCDHVNGSLIAGFAAAIIYLLLICSSFYYVPNLFP >OIV93584 pep chromosome:LupAngTanjil_v1.0:LG18:920334:922368:1 gene:TanjilG_04816 transcript:OIV93584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLTSAVASLPTLPPVRRGGHCIQENIVATSNLTRRLPELDNSKSLRLGCTSFMFGTRTQSATVICAAALNARCGAEQTQTVTRQAPTITHVPGKEKSPQLDDGDSGLPPRDDGDGGGGGGGGGGNWSGGFFFFGFLAFLGFLKDKETEDTYNDDRRR >OIV92711 pep chromosome:LupAngTanjil_v1.0:LG18:15650079:15651482:1 gene:TanjilG_25143 transcript:OIV92711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMHRSCSDSHQGHVMGPCSCGMFHSQTSSFSMLFSMPNHKPYDYEYDHHEPQNMYPSFTGTPSSSNVDCTLSLGTPSTRLTEDEEKRSRHERRSGSNFCWDLLQTKHSPQSQTKSNRGSNSNNTNDSLLPRRCANCDTTSTPLWRNGPQGPKSLCNACGIRFKKEERRASAAAATPSVASGGVVESAQMYSHHNNSWFAHSQSQKMQCLSPSMGNETRYMDHDADRDSENGIPFLSWRLNVTDRTNFVHDFTR >OIV92646 pep chromosome:LupAngTanjil_v1.0:LG18:16172026:16177846:-1 gene:TanjilG_17997 transcript:OIV92646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNSCSGGGGGVSQLNSEPSNPNSNGISVLPPHSKPSPPKSPLPSSTTSSMGRILGRPMEDVRSTYVFGRELGRGQFGVTYLVTNKLTKEQFACKSIATRKLIHRDDIDDVCREVQIMHHLTGHRNIVELKAAYEDRHSVNLIMELCAGGELFDRIIAKGHYSERAAANLCRQIVTVVHNCHTMGVMHRDLKPENFLFLSKDESSPLKATDFGLSVFFKPGDVFKDLVGSAYYVAPEVLRRSYGPEADIWSAGVILYILLSGVPPFWAENEQGIFDAVLHGHIDFASDPWPSVSTNAKDLVKKMLRADPKERISAVDVLNHPWMREDGASDKPLDIAVLSRMKQFRAMNKLKKVALKVIAENLSEEEIIGLKEMFKSMDTDNSGTITFEELKAGLPKLGTKLSESEVRQLMEAADVDGNGTIDYIEFITATMHMNRVEREDHLYKAFEYFDSDRSGYITTEELESALKKYNMGDEKTIKEIIAEVDTDHDGRINYDEFVAMMRKGNPDMVGNNRRRK >OIV93139 pep chromosome:LupAngTanjil_v1.0:LG18:11321321:11326631:1 gene:TanjilG_20801 transcript:OIV93139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQIYALGTSHFSTAADPSSIKLNPPRVPNLIGGSFVDSKAATFIDVINPATQEVVSQVPLTTDDEFKSAVSSAKKAFSSWRNTPITTRQRVMLKFQELIRRDMDKLARNVTTEQGKTLKDAQGDVFRGLEVVEHACGMATLQMGEYVSNVSHGIDTFSIREPLGVCAGICPFNFPAMIPLWMFPVAVTCGNTFILKPSEKDPGCSLLLAELALEAGLPEGVLNIVHGTHDIVNAICDDDDIRAVSFVGSNVAGMHIYSRASAKGKRVQSNMGAKNHAIVMPDANVDATLNALIAAGFGAAGQRCMALSTVVFVGGSQSWENKLIERAKALKVNAGIEPDTDLGPVISKQAKERIHSLVQSGVEDGARLLLDGRNIVVPGYESGNFVGPTILSNVTATMECYKDEIFGPVLLFMEADSLEEAINIINNNKYGNGASIFTTSGVAARKFQTEIEAGQVGINVPIPVPLPFFSFTGNKASFAGDLNFYGKAGVNFFTHIKTVTQQWKDSAGDSKINMAMPTSQKSS >OIV93470 pep chromosome:LupAngTanjil_v1.0:LG18:3872943:3873596:1 gene:TanjilG_18686 transcript:OIV93470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGECNIERKRVRDDSEENSPESKFLRVDSVSSDTNSSESHLTPGNSVESRELGRVDSDDSVLNSPVVNEFQDDFLNMFDETVNVTEGLDFVIKSFEEEILTPGSGFEPFSAEFKPNLVYLLEASDDELGLPPTVTDERQVEINEPGSVGPERFDSTGFLGLEDDLRSLSDEAFGFENGVLCDGYYGENGGSYVIVDGLFDYSETTEILWRCESLQAM >OIV93070 pep chromosome:LupAngTanjil_v1.0:LG18:12189426:12189893:-1 gene:TanjilG_20732 transcript:OIV93070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGAKKRKKKTYTKPKKIKHKHKKVKLALLQFYKVDDSGKVQRLRKECPNAECGAGTFMANHFDRHYCGKCGTTYVYQKADA >OIV93639 pep chromosome:LupAngTanjil_v1.0:LG18:1516024:1525043:-1 gene:TanjilG_04871 transcript:OIV93639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDPPKEDPFLENHHDEADAGAAFVLQSKVLDHCETSGRRHIRFRELAADVLGSGLMFYFVIFIQTAINTGIGVGAILLAGECLQIIYSSISPNGSLQLYEFIAMVTVVMIVLSQLPTFHSLRHINFFSLLLSLGYTILVVAACIHAGKSKNAPPRDYSLEPKSSTRVFNAFTAISIIAAIYGNGILPEIQATLAPPATTGKMVKGLTMCYAVIFVTFYSAAISGYWVFGNKANSNILKSLLPDEGPALAPTWVLGLGVIFVLLQLFAIGLVYSQVAYEIMEKKSADVKQGLFSKRNLIPRLILRTIYMSFCGFMAAMLPFFGDINAVVGAIGFIPLDFVLPMLLYNITFKPSKTSLTYWINISIMVIFTGAGIMGAFSSIRKLVLDANKFKLFSNDVVD >OIV92918 pep chromosome:LupAngTanjil_v1.0:LG18:13820298:13823060:-1 gene:TanjilG_20580 transcript:OIV92918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSDDEDENLAHFLESEVLSEASDLEVDNVEEPKAKRKKVDEAESSKEGAKKSTRSSSELKNYGVNNGTVSTRIDTGFFSNIPPELFHRILKFLSSEDLVSCSLVCKFLNYAASDESLWRRLVVVMRHSSAISSGGTPLLSALLLGVGYPLFQYCMRWGLLPPTRKLRECPWKMLYIQRDGEDMGELVRNCQNEFKQYYIQMQAAKRSQAPHPSLLNDDRILLDKTLADQVASWKSSKGLSDTVVTDHACSGETCSYYQIGDVFICEKTGQVHVCDDTCREVIMDPTNELLVCTISGHCFDRLLSAAEMEPDAEQQQGGVTDEAEPFMGSGRFARAYLLGYNCADEKELEATLRFC >OIV92911 pep chromosome:LupAngTanjil_v1.0:LG18:13827431:13831438:1 gene:TanjilG_00088 transcript:OIV92911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERATCSGTVYVSDTCKAHMAMALVQLFYGGYHVITKVALNVGINQLVFCVFRDLIALSILVPVAFFHERRTRPPLTKDLLISFFLLGLTGIFANQLLFVIGLSYTNPTYASAIQPAIPVFTFLFAVVMGTERVNLRRYEGLAKVGGTVISVSGAVAMVLYRGPALIGYTDSVHVAQNETSANVHAEPSGWFISGLENLGLGYFHLGVICLIGNCICMAAYIAILAPVLKKYPANLSATAYSYFFGAILMATVSLFAANELNDWILTPTEVLAVFYSGTIASAVNYGLMTWCNRILGPSLVALYMPLQPGFASLLSQIFLGNPIYLGSILGGSFIIVGLYTVTWASYRDKQASIEVAPHASWVSEPLIHQTSAYQKGHILSGPSSISP >OIV93720 pep chromosome:LupAngTanjil_v1.0:LG18:229977:232824:1 gene:TanjilG_16571 transcript:OIV93720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTLAMIPTNSPTTIRDQWEICYARFIPYSATATTTTATTSSDLIPIPPRIRNHPPRGNWISSSSVAFLRLLTDYSSDDVILTVSFNSKLLEEHYVSKLYFSWPQVSCISGFPARGIRTVLIQKFAMRFPTIYETESFINSLKEIVKDEKSPCRTGVHSPEPLNTDFGSAISAQSEFMSSNNHSHRACEEPSFMTPVGSYIPQMPQSINGRRVTPSGTQEKATTPSQNFEGVLPALPPSFTSLLMDCSEINHAQPTVSKEVDLKSQIMRYMEDSSFQGSNPSFLLLTSLTFVKSYVFLSPV >OIV93565 pep chromosome:LupAngTanjil_v1.0:LG18:715845:717954:1 gene:TanjilG_04797 transcript:OIV93565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSATKVKSQEPEVTVASDAFTQFKHLLLPITDRNPYLSDGTKQAISTVTALAKKYGADITVVVIDEQLKESLPEHETQLSSIRWHISEGGFKDYSLLERLGEGSKPTAIIGEVADDLNLDLVIISMEAIHTKHIDANLLAEFIPCPVMLLPL >OIV93517 pep chromosome:LupAngTanjil_v1.0:LG18:2529837:2531909:1 gene:TanjilG_28674 transcript:OIV93517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAGCSSSTLLSPRHRLRSGLSTSAQFQRQACNLQLPSSMNTHRLDLSSSCSFPRTQQQSPKPMGLSVEKHMEAKTSTCSLRKHNIRLPPLAAAAATTSQATSSTQTMAFVECKVEINDEFWEKRGKDLKRLAEEHDSVDESFVNRAKRKKGSSDNGNFADILEGEDGLSLAQMGAGNFWFQHPSLPSSQIGSGEEERVCFVPSEVVSAPLPLSNNHPCGEMESAMTKITNFGDKNVVEASQSYVKEASESNASSESQSLSLRINENVPSEHEIGNGSGNPHHHEGTEVETGEEDDQEKNRGFELVSFLTACVDAIGSRNVFAINHFIAKLGDLASPRGTTISRICAYFTEALAIRVTRLWPQIFHITISRDLERVVEDETGNALRLLNQVTPIPKFLHFTSNEMLLRAFERKDKVHIIDFDIKQGLQWPSLFQSLASRTNPPSHVRITGIGESKLELNETGDRLAGFAEALNLPFEFHAVVDRLEDVRLWMLHVKEHESVAVNCALQLHKTLYDGTGGALRDFLRLIRSTNPTVVVMAEQEAEHNDPRLETRVCNALRYYSALFDSIDHCLPQESPMRVKIEEMYAREIRNIIACEGSHRLERHESFENWSKIMVEQGGFKCMGVTEREMFQSQLLLKMYPCENYNVKKQEKEGAAGVTLSWLQQPLYTVSAWTPVDAAGTSSSFSQPA >OIV93657 pep chromosome:LupAngTanjil_v1.0:LG18:1765523:1768170:1 gene:TanjilG_04889 transcript:OIV93657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQPQLLSKIAMGNGHGEDSPYFDGWKAYDENPYHPTMNPNGVIQMGLAENQLTSDLVQDWIMSNPEASICTIEGVHDFKAMANFQDYHGLSEFRNAVAKFMSRTRGNRVTFDPDRIVMSGGATGAHEVTAFCLADPGDAFLVPTPYYAGFDRDLRWRTGVKLVPVICESSNNFKLTMQALEEAYEKAKNDNIRIKGLLITNPSNPLGTTMDRTTLRTLLTFINEKRIHLVCDEIYAATVFTHPSFISIAEIIEHDKDIECDRDLIHIVYSLSKDMGFPGFRVGIIYSYNDEVVDCARKMSSFGLVSTQTQYLIASMLSDDEFVGRFLAESAKRLAQRHRVFTMGLARVGIKCLQSNGGLFVWMDLHHLMKTPSFEGEMELWKMIIHEVKINVSPGSSFHCSEPGWFRVCYANMDDKAVQVSLQRIHAFVLQNKEIMVSEKKRCWQNNLRLSFKSRRFDDIMMSPHSPIPHSPLVKAST >OIV93267 pep chromosome:LupAngTanjil_v1.0:LG18:9469133:9471785:-1 gene:TanjilG_23108 transcript:OIV93267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQAQPEEKIHDTQQHETINSSFKFNAQAPEFVPRSHTEMPISGYYYPCFQILGGSADSDWFYVGDQDPTTCLIPAPNVALPNCSKNTSSLTPDLQQKIVKQVEYQFSDMSLLANESFQKQINRDPEGYVPVTLVASTKKIKSLISNIHLLTQAIRSSSKLVLSADGKKVKRKHPFTEKEKEDLQSRTVVAENLPDDHSHQNLQKIFNVVGSVKTIRICHPQESNSSRPKGDIFISNKIHALVEYETSDIAEKAAEKLSDERNWRKGMRVRLLLRCSPKSVLKSRRSDFDGYLDDDDILYSESAEDSSLPNNTELNAEANVEENSVGGKKGWARGRGKGRGRTQSHAGRGLLAPPSQQSSLVPCETSTKSNTKGPRMPDGTRGFTIGRGKPISSSALASSPQE >OIV93312 pep chromosome:LupAngTanjil_v1.0:LG18:8408206:8408364:1 gene:TanjilG_23084 transcript:OIV93312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRKEEGEGEGEGEGEGEGEGEGEGEGEGEGEGEGEGEGQEQEQEEEEEEEL >OIV93330 pep chromosome:LupAngTanjil_v1.0:LG18:8333795:8336513:-1 gene:TanjilG_23266 transcript:OIV93330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKESGRKQSGGASSPCAACKLLRRRCSQDCVFAPYFPSHEPHKFANVHKVFGASNINKMLQELPEHQRGDAVSSMVYEANARVKDPVYGCVGAISSLQQQINVLQTQLAVTQAEVVHLRVTHNASSSSLWNNNAGHNSPTNSESPSSNIMDSQAKYFFEMDMVVVDHASYGDSMWSC >OIV92617 pep chromosome:LupAngTanjil_v1.0:LG18:16334467:16343413:-1 gene:TanjilG_17968 transcript:OIV92617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSTMLVLHHHRFPSRFRCMLHQIPLSPSLTSLLSSSSSSSSSLHGAVSSAITHVALTALAIASGACLSTKLDFLWPKLDQQPGTIIQDGVDVTGYPIFNDAKVHKAIAFARKAHRGQMRKTGDPYLTHCIHTGRILAMLVPSSGKRAVDTVVAGILHDVVDDTCQSLQDIEEEFGDDVVNLVAGVSRLSYINQASKGKREPGRPWPRRAVRVYFLGIASSLTINLHALPLQKAQAVAEETLIIWCSLASRLGLWALQAELEDLCFAVLQPQVFQKMRADLASMWSPTCRTENPRRSSVKGSLIPLDENGSTSSCNESLTFNEDVSSMKDLLEAVVPFDILLDRRKRANFLSGIGNNLETCMKPKVVQDAGLALASLVVCEEALERELIISASYVPGMEVTLSSRLKSMYSLYSKMKRKDISIDKVYDARALRVVVGDKNGTLHGPAVQGCYSLLDIVHRLWTPIDGEFDDYIINPKPSGYQSLHTAVQGPCNSPLEVQIRTQRMHEYAEHGLAAHWLYKETGNPFSSVDSMDEPETEESSYFSRDIEKGNSSDILLSKYKSLKVGHPVLRVEGSHLLPAVIISIEKDERELLVAVSFGLADSEAVADRRSFQIKRWEAYARLYKKVSDEWWFEPGHGDWFTCLEKYTLCRDGMYHKQDQFGRLLPTFIQVINFTEQEETEYCAVVSAVFEGRQVDCITSRSKFDFVASTSEEAGINNKVQLLRTMLSWEEQLRSEVSIDETKHDAKLYDLPGSVNLREVVIICWPHGDIMRLKAGSTAADAAHRVGLEGKLVLINGQLVLPHTKLRDGDVLEKNDAPTPPFGAVGRREIGEEGREMI >OIV93396 pep chromosome:LupAngTanjil_v1.0:LG18:6747144:6747552:1 gene:TanjilG_02933 transcript:OIV93396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSAPNYKPKLIHGSLGNILEDVPQLSKYTPHLLSYSNSLEENPAYSVVK >OIV93395 pep chromosome:LupAngTanjil_v1.0:LG18:6772128:6773198:1 gene:TanjilG_02932 transcript:OIV93395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLGEEHHPTVHVPHVPPWSFFDDVVFSPLSVNGDVNAVNAGDYSPYYLHEALSALQRYLPSNDDGDGDSEFDIPAMELDSPVDAFSCDHFRMFEFKIRRCGRGRSHDWTECPYAHPGEKARRRDPRNFHYSGTACPDFRKGGCQKGDACEFSHGVFECWLHPARYRTQPCKDGVSCRRRVCFFAHTAEQLRVLPQHSPQSADSYDGSPLRLKTLQFIPSPELNSPMESPPVSPLTQSMVFSLRNLQLEKMKSLPSSWNIPIGSPRFGSPMGPVTRPGFCSLPSTPTTPGRAGVNCFELWDQSCCVEEPVMERVESGRNIRAKMFEKLSKENSLGKPGSGPGKTGGASNVRWVLEQ >OIV93211 pep chromosome:LupAngTanjil_v1.0:LG18:9810414:9812432:-1 gene:TanjilG_27390 transcript:OIV93211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKICCIGAGYVGGPTMAVIALKCPKIEVVVVDIAAPRINAWNSDHLPIYEPGLEEVVKECRGRNLFFSTDVEKHVSEADIVFVSVNTPTKTQGLGAGKAADLTYWESAARMIADVSKSDKIVVEKSTVPVKTAEAIERILTHNNKGINFTILSNPEFLAEGTAIKDLYNPDRVLIGGRETPEGQKAIEALKNVYSHWVPVDRILCTNLWSAELSKLAANAFLAQRISSVNAMSALCEATGADVSQVSHAIGTDSRIGPKFLSSSVGFGGSCFQKDILNLVYICECNGLPEVANYWKQVIKVNDYQKSRFVNRVVSSMFNTVSTKKIAILGFAFKKDTGDTRETPAIDVCKGLLGDKAKLSIYDPQVTESQITKDLSMKKYDWDHPAHLQPVSPTSKKDQVSVVSDAYDAVKDAHGVCILTEWDEFKNLDYKKVFDNMQKPAFIFDGRNVVDVKKLREIGFIVYSIGKPLDSWLKDMPAVA >OIV92604 pep chromosome:LupAngTanjil_v1.0:LG18:16428519:16431047:-1 gene:TanjilG_17955 transcript:OIV92604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATFHLSHTVTPSPTTQNELKSLTNNSSPNLNLSFSNCKTLKELKQLQCYIMKNGPSHNPSHFNKLISSCVQIGTHETLHYAKNAFEIFTKDNQQIIHSLFMCNSLLRGYASIGLAQNAILLYVYMLVMGVVPDKFTFPFLLSACSKITAISEGLQVHGVVVKMGLEKDIFVTNSLIHFYAECGDIQLGRKVFDKMLDRNVVSWTSLINGYAGRGLAKEAVSLFFDMVEAGVQPNAVTMVCVISACAKLKDLELGGKVCTCISDLGVKVNVLMVNALVDMYMKCGDICAARRIFNECADKNLVTYNTIMSNYVHHGMASEALVILDEMLQQGPRPDKVTMLSTIAACAQLGDLFVGKLSHAYVFRNGLEVWDNISNAIIDMYMKCGKREAACKVFEHMSNKTVVTWNSLIAGLVRDGDVELAWRIFDEMLESNLVSWNTMIGALVQASMFEEALELFKEMQNQGIKPDRVTMVGVASATGYLGALDLAKWVYTYIEKNDIHIDMQLGTALVDMFSRCGNPPSAMHVFRKMEKQDVSAWTAAIGVTAMEGNAEGAIELFNEMLKLEVKPDDVVFVALLTACSHGGSVDQGRQIFRSMNKTHGINPQIVHYGCMVDLLGRAGLLEEALDLIHSMPMEPNDVMWGSLVAACMKHKNVELARYAAEKITQLAPERVGIHVLLSNIYASAGKWSDVARVRLQMKEKGAKKLPGSSSIEVHGLVHQFTSGDESHAENNQIGLMLQEMNYRLSKAGYVPDTTNVLLDVDEQEKVHLLSRHSEKIAMAYGLITTGQGIPIRVVKNLRMCSDCHSFAKLVSKLYNREITVRDNNRYHFFKEGFCSCRDYW >OIV93373 pep chromosome:LupAngTanjil_v1.0:LG18:7313255:7315027:-1 gene:TanjilG_21084 transcript:OIV93373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVKTTVTWNSILSGFARNQGTFKHAQQLFERIPQPNSVSYNIMLACYLHNFGIYKAHEFFNHMPLKDIASWNTMISGYAQIGEMRVAHELFMAMPEKNSVSWSAMVSGYVECGDLDSAVECFHVASVKSVITWTAMITGYMKFGRVESAERLFKEMSLKNLVTWNAVIAGYVENGRAEDGIKLFKTMLESGTKPNALTLTSVLLGCSNLSALQLGKQVHQLVCKSPLSSDTTAGTSLISMYSKCGDLKDAWKLFIQIPRKDIVTWNAMISGYAQHGAGEKALSLFDEMKIEGMKPDWITFVAVLLACNHAGLVNLGIQYFDIMVKDFGIKARPEHYACMVDLLGRSGRLSQAVDLIKSMPFKPHPAIYGTLLGACRIHKNLHLAEFAAKNLLDLDPTSATGYVQLANVYAAQNRWDSVARIRRSMKDNNVVKAPGYSWIEINSVGAVNTMLLNSRACFLLQ >OIV93643 pep chromosome:LupAngTanjil_v1.0:LG18:1576674:1585681:1 gene:TanjilG_04875 transcript:OIV93643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEELNDDSTRLDVVEVVEPDDYSLNPEFPKILKPQEISDDVGQGFDVVEATTTSDVAMRRHFRPSLFSGDGGGAIVEELTVKSFDGSVLDIGTSNSRELVYYKQNQWLQSDSGMGNTISDIGYRNSVQATSSSVWEDFGSTTFHETLARKSVGDDHSNAMGHLISVDDHKAEHKEDMGDARRGIRTKIISKSGFAEYFIKNTLKGKGIVCKGPPSSNGSCVESRDQNPIKASTQDDSNVSLSSVSKTANPPYNAPVPRSGGSDCDGVTLREWLKAGHGKGSKAERLSIFRKIVDLVNDSHSQGVALHHLFPSYIKLLPSNQVIYLGLPMQKQTSGTVANSDVLQLESSFIRKRLSEQVTPLDMQLKKKKVDKNVRIAGDSKVSAVGSQDYCNGYKEDIRFSKHNIRGVSSIPHTSNAGRLQLTLLNEGLESNWYTSPEGGCTTSSNIYCLGVLFFELLGHFECERAHIAAMSDLRHRILPPLFLSQSPKEAGFCLWLMHPEPSSRPTTRDILRSEVINGMQEVYSEVLSSSIEEDEAESELLSHFLVSLEEQKKMGAVQLVEEIRWLELDIEEVERRHKSRVSLASSSLQNDSSCQIENASVSKEPLSLTTLPHMRNINQIENAYFSLRSKIELPETDAAIRQDKDILRNHENWYVAQNDNEQHQRTDALGPFFDGLCKYASYSKFEVRGVLRNADLNNPANVICSLSLDRDEDYFAAAGISKKIKVFEFDALLNDSVDIHYPVVEMSNRSRLSCVCWNNYIKNYLASSDYDGVVKLWDTSTGQEISHFSEHEKRAWSVDFSPVCPTKLASGSDDCSVKLWSISERNSSGTIRNAANVCCVQFSAHSSHLLALGSADNSTYCYDLRNLRSPWCVLAGHRKAVSFVKFLDSETIVSASTDNTLKIWDLNKTSPVGPSTGACSLTLSGHTNEKNFVGLTVSDGYIACGSETNEVYAYYKSLPMPVTSRKFGSTDPISGKEIDDDNGQFVSSVCWRGKSNMVIAASSSGCMKVFQMV >OIV92921 pep chromosome:LupAngTanjil_v1.0:LG18:13792733:13794940:-1 gene:TanjilG_20583 transcript:OIV92921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLSTMFTLFASFLFILVLFKTLIKHFVSKRQHLPLPPGSMGYPYIGETFQMYSQDPNVFFATKIKRYGSMFKSHILGCPCVMISSPEAAKFVLNKANLFKPTFPASKERMLGKQAIFFHQGEYHANLRRLVLRTFMPEAIKNIVPAIESIAQDCLKSWEGREITTFLEMKTFTFNVALLSIFGKEEVLYREELKMCYYTLEQGYNSMPINLPGTLFQKAMKARKELAQIVAHIISKRRQGKQDYKDLLGSFMEEKAGLTDEQIADNVIGVIFAARDTTASVLTWIVKYLGENPSVLQAVTEEQESILKSKDESGEEKGLNWEDAKKMQITSRVIQETLRVASILSFTFREAVEDVEYQGHLIPKGWKVLPLFRNIHHSPDNFKEPEKFDPSRFEAAPKPNTFMPFGSGIHACPGNELAKLEMLVLLHYLTTKYRWSMEGAKNGIQYGPFALPQNGLPITLYPKK >OIV92736 pep chromosome:LupAngTanjil_v1.0:LG18:14034785:14035915:-1 gene:TanjilG_00870 transcript:OIV92736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENVCDVNHLDADILLPPRKRLLAGMKKQSPDGYAVASPSAVVGSYFTISEAVSSSSFSSEFETRLKNLLSSHSNNPNLTPEEVVEASNAAAMAATQAAKAARAAAEEKADIAAKAVAAAKSALDLAASFSGEPASQERNLKRNKLKKHLPVQLLYKNNQPVDNSGTDEELARKLHRAMNSSPRISKNSPNSDSKGSKHKKPKCSSSFEINDGFDGGLASRQVCLSLNNGHALAGKIDYEGSIKEVCSSKKAKKGPRSDRSNQMEMDNVEAESSRSKEKNIADLSLIGKKRGRVKLKKLPLSICTSKDRAQPKEGIRARSSPLTEMTPCNHPVDNVPSSSMEPSTERVMPMEGTSMWKCKEFKAPACIKQNKAVQS >OIV93425 pep chromosome:LupAngTanjil_v1.0:LG18:5793431:5796765:-1 gene:TanjilG_13110 transcript:OIV93425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERDFMGLSSKESLIMVKEEINDDSGMFVSSSYKFLVQVTCFTKNSAAEWLFSNKVSAVPHLMSFKAYQDDKIKKMVTNQSPGAFDSSKKHSAGEPQKPFNHDGQSGFHFSLTQCPVQHGVKMFSVSNEIITGNQFLKNHFASSDQNMNAFNVMQPLHGGIPVTEPHSDFPTICSIDAMNESCNGVKPSASSAQLTIFYEGTVNVFNDISAEKAQAIMLLAGNGFSAAFNMSQPKVQVPSSKLTASDPMPMSQPANTAPGSGHSSPLSVSSHTRTQSGSGSNSNNEIMAAKTNVAASSTPVKNAEPRKVVDATAMLTSAAPQARKASLARFLEKRKERVMNAAPYNLNLKSEECATAEYNGANFHAIITASNNLSAK >OIV92597 pep chromosome:LupAngTanjil_v1.0:LG18:16467474:16469377:-1 gene:TanjilG_17948 transcript:OIV92597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIEMGPLSVLVSIAASFMLVRYLLNNVNWWLYESKLGAKQYSLPPGDLGWPLIGNMWSFLRAFKSTNPDSFVDSYIARYGKTGIYKALMFGKPSVIVTTPEGCKKVLTDDDKFTPGWPVSTVELMGEKSFVSISYEDHRRLRRLTSASVNGYEALSIYITYIEENVKSSLEKWTNMGEIEFLTEMRKLTFKVIMHIFFGATSANVREGLEKEYTSLNHGIRAMRINIPGFAFHKALKARKNLVAIFQTVVDQRRIERKGRTPVTGKKHKDMMDALMDAVDENGKTLGDDDIIDIMLMYLNAGHESSGHITMWATYYLQKHPEYLQKAKEEQEEILKKRPSTQKGLVLEEVRKMDFLSKVVDETLRLITFAPFVFREAKCDVNINGYLIPKGWTALTWFRNVHYDNDGGGKFGNRNYKMIGPN >OIV92973 pep chromosome:LupAngTanjil_v1.0:LG18:13364923:13368647:-1 gene:TanjilG_20635 transcript:OIV92973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHILRCFGTTTPKTHFLFSLILLFFFFFSFSNSTFDLATIPFSDGYSPLFGDANVVRTSDDNGVKLLLDRYTGSGFISSNMYQYGFFSANIKLPSNYSAGVCVAFYTSNGDVFEKSHDELDFEFLGNIAGKPWRFQTNLYGNGSTHRGREERYRLWFDPTKEYHRYSILWTPKNVIFYIDEVPIREVLQSEKMGADYPSKPMSLYATIWDASNWATSGGKYKVNYKYAPFVTEFKDLVLKGCSVDPIQEVPYGKACTNQHADLEAQDYAAMTPMRRHAMRRFRQRFMYYSYCYDTLRYHVPPPECNIIPAEQQRFKETGRLRFGGSHRRQARRKGRTTTPVVDTDQGDI >OIV92594 pep chromosome:LupAngTanjil_v1.0:LG18:16548780:16556937:1 gene:TanjilG_07585 transcript:OIV92594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCRFASLFEKVQHPWLPPATWESIPDLRRSSSNEPPRSLSSSSSSNEPAQSLSSSSSSSSSSSSSSLSSLSESSLVGLVMNAMQGLESSLITIQNISQIFSTLPPHTHNISSLWNRASTTHSFSKILHSISSIGSLVFLLRHFVNYFTLNISTLVNQAFAVAVRTLLEGYISSLHTIHPSSLFRRSKNLHSSLSGCLNTVLLSEFTLLEFYLHTNQLRTQIQTLSTICNLHIWPHTSFQDLTAQATSHFSNFYRGGDLLTFLYNQLQVADPAHSTLLKFLFLRSSEPYCGFIRSWIFKAEIYDPYNEFIVESMESLSPKSCDVKHGNSLDLQFASTRVRDGVSVPAFLKDYLVPLVRAGQQLQVLLKLLGLCIHVPGDHSSDDFLPCWSGFSSNNPSHSSPLTFSRDIIEAMVVARESYYKRMNDKIESLLSGLEVRYQQVTHDSIPSFDNGGGTLDNLGQFMSEDKPIVRPTEDKASSNMGTDGLGSDVSSTVDDFSLLEDMYDSSESSSLSSSEEQLESDLLSGWPCPLAVQQNPLPGLRFCKSRTLHSSTKNSLHHEKSGSDLLKTFDKIEASDNLVKSCHKEMISSNMSNPLNHEESSRSSIFSIQYRESLTDCFSAMDHLLKNSFLDDESVGKKVNEKHLGSLRYSMSSQDILTISDTLRGEVMSEDQPDNSTRASNWYTFQPQRFDHQCNIPSINPLSMNPMLTRNALLHQMGRNREKGKADHEQPLPYFNFSMVEDPCKVYLDKSPTNSRCRGASSFPLDSSASTNGSKNNQCGKMGHCTEDGLVDVIEKCFDASSDSMDHKQDVSTVVSGGSSWERLLGSFRETVNCDAIHKQSLVSTFEIPLDIIIDKCLLQEIMLQYKYVSKLVINVLEEAFELQEHLLALRRYHFMELADWADLFILSLWHHNWSVTEANERLSEIQGLLELSIQKSSCEQDPNKDRLFVYMKGNGKLPLSTSAIGVRSFEFLGLGYRLDWPLSIVLTPAALKIYADIFSFLIQVKLAIFSLTDVWCSLKDLVHTTDKYLNSELQQREAGHLNILMKMRHQINHFVSTLQQYVESQLSHVSWCRFLHSLQYKVKDMMDLESVHMEYLADSLCICFLSDETRTVGSIIESILQCALDFRSCLTVGARDIGSDQEALLDMLSRINISQVLSIKQKFDRSLKELHICYINEPKHGNFGLSRFWEYLNYNEYYSHVSNEMGYYAL >OIV93743 pep chromosome:LupAngTanjil_v1.0:LG18:23220:27813:-1 gene:TanjilG_16594 transcript:OIV93743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDDRIEEDNKEKECFNSLPPHRKAHSYSQQLRGTSTHKHHHQIRNHSLDDDRIPNKIKSSPSSLYDSDSDDEFFPRSSSSTSNAVAAVDENNVDSDDSSSNQSQYQPPLQEFIASGGSSGIFKAPIRASVHPSRPPCLELRPHPLRETQVGRFLRNIACTEAQLWAGQECGVRVWEFHNAYQPGNGLGGKVRRGDEDAAPFYESVDTSPTLCLTVDNANRLVWSGHKDGKIRSWRVDQSPFVTPFKEGLSWQAHRGPVLAIVITSFGDLWSGSEGGAIKIWPWESVEKSLSLSPEERHMAALLVERSFIDLRSQVTINGVCSISSQDVKCFLSDHIKGRVWCVCPLSFSLWDARTKELVKVFNIDGQAENRVDMSSVQQDQGGGGGGVEDEMKVKFVSTSKKEKSQGSSFLQRSRNAIMGAADAVRRVATKGAGAFVDDTRRTEALVQTSDGMIWSGCTNGLLVQWDGSGSRVKDFSRHPCAVQCFCTFGRRIYVGYVSGIIQILDLEGNVMAGWVAHNGPVIKLAVGNGCVFSLATHGGIRGWNIASPGPVDNIIRSELAAKELIYTRRHNVRILIGTWNVGQGRASQEALHSWLGSIASDVGIVVVGLQEVDMGAGFLAISAAKETVGLEGSAMGQWWLDTIGKALEEGKAFERMGSRQLAGLLISLWVRKNLRTHVGDIDAGAVPCGFGRAIGNKGGVGLRIRVYDRIMCFVNCHLAAHLEAVNRRNADFDHIYRNMVFSRSSNVAAGVSTAVHTLRGTNAMGVPSEEPKPELSEADMVVFFGDFNYRLFGISYDEARDFVSQRCFDWLREKDQLRAEMKVGKVFQGMREGLIKFPPTYKFERHQPGLGGYDSGEKKRIPAWCDRIIYRDTRPALVSECNLDCPVVSSILQYDACMDVTESDHKPVRCKFNVKISHADRSIRRKEFGDIMTSNEKIRYMLDELSYVPETTMSSNNIILQNHDTCFLLITNTSGKDETIYKITCEGQSIVKNDGQAADYSSRGAFGFPRWLEVNPAAGIIKPKQNVEVSIRHEDLHTSEEIVDGIPQNWWSEDTRDKEVILVVHVQGSSSLQTYNNKIHVRHCFTAKPARIDSSKSNSARRNQVS >OIV92927 pep chromosome:LupAngTanjil_v1.0:LG18:13722029:13724576:-1 gene:TanjilG_20589 transcript:OIV92927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTYNGLESIILNNQSYEDESRTSRGDGCITDSFDDDDSTCSSSKDALGSFSSKCLTTKRDEQGLEEWELSESPQHFYAKEKPSYATQHSDVEAMKEKFAKLMLGEDVTGGTKGLSTAFALSNAITSLAVTVFGELWKLEPLSEERKSKWRREMDWLLSPTNYMVELVPTKQSGANGGMFEIMTPKARADIHINLPALQKLDSMLIEALDSMVNTEFWYAEGGSRAERRDTSGRQSKRWWLPSPQVPRNGLSDTERKRLLYHRRVVCQVFKAAKSINENVLLEMPVPENIKDALAKSGKENLGEELHKVLTAESICGEGMLKSLNLTSEHSALETVNRLEAAILSWKERIAEHVSVKYSVRASWSFVKDTISEVDKMESLLDHAETLLQLLKTRYPNLPQTFLDATKVQYGKDIGHSILEAYSRVLGNLAFSILSRIGDIMQEDSISNPNSPLVTSCSPGINLCETWVIGSHIKHSLLAKMNMTDRKYCDSSSASNSDIELSSIEAKTSSVIATPSRSRVWCIGREACTSVSPRSSP >OIV93052 pep chromosome:LupAngTanjil_v1.0:LG18:12403719:12412052:-1 gene:TanjilG_20714 transcript:OIV93052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPSSHFFSTKHTTSLPKGLFHLGNFHIFIYKNTSPFFLSDTHHVKPCSLSPPKPSSSLFRIKNSKLSHPFASLSSFADSGGEEQQKEGIQHNVHHQHQQHAAETKKDNDQLPGIAQAFNISPRTASAISICITFAALVFPFFMTSLGQGLALKTKLLSYATLLFGFYMAWNIGANDVANAMGTSVGSGALTLRQAVLTAAVLEFSGAFFMGSNVTNTMQNGLLVADAFQGKDSLLFTGLLSSLAAAGTWLQHFKVIIASSDLPKFFRTNLFIELREAVASYYGWPVSTTHCIVGAIVGFGLVYGGAGAVFWGSLARVVSSWVFSPLIGAAASFLVYKCIRRFVYSAPNPGQAAAPIAVFLGVTGVSFVAFPLNKSIPLALVLALALGTCGAFIVDRIIRNQLGHLLVEPATLPAESKEEIVNKKPGFLSDIEGPTGTQLEIVYGVFGYMQVMSACFMSFAHGGNDVSNAIGPLAGALSILQGTTGGAEIVIPTDVLAWGGFGIIAGLMMWGYRVIATIGKKITELTPTRGFAAEFAAASVVLFASKLGLPISATHTLVGAVMGVGFARGLNTVRSETVKEICASWAVTIPVGAMLSVLYTWILTKLLSSIL >OIV93152 pep chromosome:LupAngTanjil_v1.0:LG18:11183988:11188650:-1 gene:TanjilG_20814 transcript:OIV93152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQDEPETKHKDSSSSSSHSVEVESSVVPNMANKTMIPSTSWFTPKRLLAMFCIISLINYVDRGAIASNGVNGSRGICTKDGKCTPGTGIQGDFKLSNFEDGVLSSAFMVGLLVASPIFAFLAKSVNPFRLIGVGLSVWTLATLGCGFSFNFWSIAVCRMLVGVGEASFISLAAPFIDDSAPDAQKTAWLAIFYMCIPAGYAIGYIYGGLLGIHLSWRWAFWVEAILMFPFAILGFVMKPLQLKGFVPADPKTVLAPETVTSGAQEPGFALDFFSCIAL >OIV92990 pep chromosome:LupAngTanjil_v1.0:LG18:13176722:13179872:1 gene:TanjilG_20652 transcript:OIV92990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDGVNSGGGNGDGATPTPAPAPIPNANTPPPFLSKTYDMVDDHLTDSIVSWSATNNSFVVWNPPEFARDLLPKHFKHNNFSSFVRQLNTYGFRKVDPDRWEFANEGFLRGQKHLLRSITRRKPAHGHNQQQAQQSHAQSSSSVGACVEVGKFGLEEEVERLKRDKNVLMQELVRLRQQQQTTDNQLQSMVQRLQGMEQRQQQMMSFLAKAVQSPGFFAQFVQQQNDSNRHITEVNKKRRLKQEGISETEHAADPDGQIVKYQPVMNEAAKAMLRQIMAWDTSRVKSFSKSPDSYLIGDGSPPSSTLDSSSSSSRASGVTLQEVPPASMQSSHIPASKGIQGHVPPENLSSTQTAASEKVAKVRVPEAPSVCGPQADMIMPDLSPITGNILDIPDENLMCPETGSDTFMDPTSFGASESFPIDFDCIPPDADIEDLLGNPAIWDDFLQTPVSEDIEIDVAEVSEGIEVHPTGNGSNKTEHLDQLREQMGTSFI >OIV93554 pep chromosome:LupAngTanjil_v1.0:LG18:641039:642574:-1 gene:TanjilG_04786 transcript:OIV93554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGVSRRIQQVFVPKLHKDSFYYYADLLHLCKSTHCMKKAHAQIVVGGQQQNPFVIAKLVDKYVMVSSSNIELARKVFDNLSERDVFCWNVVIKGYANVGPFSEALNVYDEMRLSRTSPNRYTYPFVLKACGAEKAYRKGRVIHGHTVKCGVDLDLFVGNSLVAFYAKCQDLEMSRKVFDGIPKRDIVSWNSMISGYTTNGYADDAILLFYDMLRDEDIGAPDDATLVSVLPAFAEVADIQAGYWIHSYIVKTGMKLDAAVGSGLISLYSNCGYISMARAIFDQISGRNVIVWNAIIRCYGMHGHAQEALSMFQQLVESGVRPDGIVFLCLLSACSHAGMLAQGWNIFHTMETFGLEKSEAHYACIVDLLGRAGDLEKAMEFIQSMPIEAGKNVYGALLGACRIHKNVELAEWAAEKLFVLDPNNAGRYVILAQMYEEEGRWQDAARVRKVIREKEIKKAAGYSSVELESGHQKFGVNDETHPFTTQIFETLLSLDSTMGEEAHSGLDAIS >OIV93086 pep chromosome:LupAngTanjil_v1.0:LG18:11962622:11972480:-1 gene:TanjilG_20748 transcript:OIV93086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYSLIRFRRQAFSIQQLSHTLLSNSLSFSTSSTTATTAVMVPHPKDETYLSAVIPKRIKLFEEIQVEQRTKLLSLAQDPIKVTLPDGTVKEAKKWLTTPLDVAREISKNLANSALIARVNGVLWDMTRPFEEDSELRIYKFGDDEGRDTFWHSSAHILGQALEGEYGCKLCIGPCTTRGEGFYYDAFYGELGLNDDHFKQIETGALKAVAIEIITDLPPDKTITVYRCGPLVDLCRGPHIPNTSFVKAIACLKASSAYWRGDKNRESLQRVYGISYPDQKSLKEYLVRLEEAKKYDHRIVGVKQELIYHHEWSPGSWFFLPHGARIYNKLMEFIRNQYRDRGYQEVISPNVYNMDLWVQSGHAANYKDDMFVLEIEKQEFGLKPMNCPGHCLMFKHRVRSYRELPLRFADFGVLHRNEASGALSGLTRVRRFQQDDAHIFCRESQIKDEVRNALNFINYVYDIFGFTYELKLSTRPEKYLGDLETWDKAENALKEALDEFGKPWQLNEGDGAFYGPKIDISVSDALNRKFQCATLQLDFQLPNRFKLEFSAEDEAKIERPVMIHRAILGSVERMFAILLEHYKGKWPFWLSPRQAILCPVSEKSQAYALKVRDQLHQAGYHADADTTDRKIQKKVREAQLAQYNYILVVGEEEANTGQVSVRVRDKADHSVMSIENLLKHFRDEVAAFH >OIV93424 pep chromosome:LupAngTanjil_v1.0:LG18:5758959:5777935:1 gene:TanjilG_13109 transcript:OIV93424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQIQKPVGTISRPHPSRILILNLFNLYLGRNSLQKRDDLIREPPNKAQKRVLALNRELPPPNEQFLQDFMQLKNQFPDQDQIRSVTESILILLVVQCSSHGIRAEFVLYAIRSLCSVEYINWDTFLPSLLSSVSSAEFPVDQGNQLMPTVYSSNFQPSNSASQLPSIHPMGYPARSTIEPLSSAALSPVKSPDTSYTGQHSQLKVPSIRNNDISSLRQLCCKIILIGLEFDLKPMVYSAIFNHMLSWLVNWDQRQQGIDEPDAPKSFRPDKAIIGWMHSCLDVIWLLVDEGKCRVPFYELLHSDLQFMDNIPDDEAIFTLILEIHRRRDMMAMNMQMLDQHLHCPTFGTHRILTQAAPNVSAEAVAHLRLTPITYLSVLGEPLHGEDIASSIQKGSLDWERALRCIRHAIRTTPSPDWWRRVLLLAPCYRPSSQGLSPGAVFSSEMILLLECLGDQHILKTNHVTWLFAQIMRVELVMNALNSDARKMETTRKILSFHREERNSDSNSPQSILLDFVSSCQSLCIWSLNSSTREFVNNELRQKGKLIDEWWKQVSKGDRMMDYMNMDKRSLGMFWVLSYTMTQPACETILKWLTSAGVIDLLSGTNLQPTERLVATREVSPLPMSLLSGMSMGSCMKLSLQLEESLFSGQHLAQKNPSLLSKPGVRLLVLEILNYRLLPLYRYEGKTKSLMYDVTKVISALKGKRGDHRVFRLAENLCLNLIFSLRDFFMIKREGKGPTEFTETLNRETVITLAILIKTRGIANAEHMLYLRTMIEQILATSTHTWSEKTLRYFPSVLREALSGLLIDKRSLAVQAWQQAETIVLHQCTQILSSSADASYVTTYIGHSFPQHRQYLCAGAWILMHGHAETINGGNLGRVLGEFSPEEVTSNIYTMVDVLLHHMQMQLQQGHALQDLLLKACASLGFFVWTNELLPLDILLLALIDRDDDPHALRIVISLLDRQELQQRVKHFCMTRGHHEHWLYSGIFKRVDPSKALGNYLSWKDRYPVFFDDIAARLLPVIPLIIYRLIENDAMEPADRILALYSSLLVYYPLRFSFVRDILSYFYGHLPAKLIVRILQVLDINKIPFSVSFPQQISSSNPATCPPLDYFGTLLLGIVNNVIPQLHNSKSGSMEDASNNALRTPPNKPPGVSQPEPENAPEGKKAFYQIQDPGTYTQLVLETAVVEILSLPVSASQIVHSLVQIIVNIQSMLIQSSNGLHGGLNGAGQGSVFPTSPSGGSSDSLGASRSSPSVSGINTSNFAARSGYTWQQLSCLLIQACGLLLSQLPSDFHFQFYLETTRIIKENWWIADGKRSLGEIDSAIGYALLDPTSAAENNTSTPNGNVVALLHSFFSNLPLEWLERTHVIIKQLQPVTSVAMLRIAFRIMGPLLPKLVGAHALFNKTLSLLLSIVVDVFGTNSQHSTAVEASEITDLIDFIHHVVHYEGQGGPVQASSKPRPEVLALIESSSENLRPDIRHLMLHLKTNVNSSIYAAMSHPK >OIV92769 pep chromosome:LupAngTanjil_v1.0:LG18:14289865:14293052:1 gene:TanjilG_00903 transcript:OIV92769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPLCCISLESPRIGNRSPELDAAPLSRTRSVPTGISYGNAGQESEATVAGVLYKWTNYGKGWRFRWFLLRNGVLSYAKIRHPENLNLLSPSHDLRLIGQISSHRLARINAAAATRRKHHKTPGVVHLKISSFRESKSDDRRFYIFTAMKTLHLRTDSRKDRVEWIQALLSTGAVYPLQTLSNHLTVAPTGIINVSTERLKKRLLEEGTADNLVHECQQIMLSECDQIHGQLELLCQERSTLLDTIMHLEVIS >OIV93357 pep chromosome:LupAngTanjil_v1.0:LG18:7738700:7740853:1 gene:TanjilG_31404 transcript:OIV93357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNKETTMSHLPEEILTNVFATVSDTRTRNSISLVCQNFLRVERKTRVSITLRGNARDMHLLPTSFRHVTDLDISNLSPWGHALFSSSIDPHLTALRLREAFPRVTSLALYSRSPITLHLLLLTPWPHLLRVKLVRWHQRLPESPPGSDFAAVFEHCQSLSSFDLSSFYHWPEDLPPVIKANPVTAASLRQVNLLTTSLTEGFKSHEITQITESCPNLQHLLLACSFDPRYLGHVGDETLLSIASNCPNLSVLHLAHTTSFSNQRGDPNDDGFTNEDASVTTATLVEVFSGLPLLEELVLDVCKNVRESSLALEVLNLKCPKLKVLKLRHFHGICLAIGSQLDGVALCQGLQTLSISNCADLNDMGLIEIARGCSRLRKFQVQGCKHITEQGLRTLTCLLRRTLIDVKISCCLNLDTAASLRALEPIMDRIERLHVDCVWNGLKESDSLGQSFVNFVERNGLDELCSGDLNGDKFVADFGSEKWEGSSQKKRQRYSYSSSSEVDHSVLQSGGTGYMCSSWERLQYLSLWIGVDDLLTPLPMAGLEDCPNLEEISIRVEGDCRGKPKPSESEFGLSILACYPNLTKMHLDFGDTRGYALTAPSGQIDLSLWERFFLNGIGTLSLEQLDYWPPQDEDVNHRSLSLPAAGLLQECYTLRKLFIHGRAHEHFMNFFLKIPNLRDVQLREDYYPASDSDMSTEIRVCSRFEDALNRRPISD >OIV93036 pep chromosome:LupAngTanjil_v1.0:LG18:12637155:12643024:1 gene:TanjilG_20698 transcript:OIV93036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEEYDVIVLGTGLKECILSGLLSVNGLKVLHMDRNDYYGGESTSLYLSQLWKRFRGSDNPPDHLGSSKEYNVDMIPKFMMANGTLVRVLIHTNVTKYLSFKAVDGSFVYNKGKIHKVPANDVEALKSPLMGLFEKRRARKFFIYVQDYDENDPKSHEGMDLNHVTAKELISKYGLDDNTIDFIGHALALHLDDKYLTQPAMDFVKRMKGGSPYIYPLYGLGELPQGFARLSAVYGGTYMLNKPECKVEFDESGKVIGVTSEGETAKCKKVVCDPSYLPDKVKTVGKVNRAICIMSHPIPNTHDSPSVQVILPQKQLGRKSDMYLFCCSYSHNVAPKGKYIAFVTTEAETDNPQEELKPGIDLLGPVDEIFFDSYDRYEPTNQHDDDSCFISTSYDATTHFETTVTDVIAMYSRITGKELDLSVDLSAASAAEE >OIV92849 pep chromosome:LupAngTanjil_v1.0:LG18:14921948:14926158:1 gene:TanjilG_00983 transcript:OIV92849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKARVYTDINVLRPKEYWDYESLTVQWGDQDDYEVVRKVGRGKYSEVFEGINVNSNERCVIKILKPVKKKKIKREIKILQNLCGGPNIVKLLDIVRDQHSKTPSLIFEYVNSTDFKVLYPTLTDYDIRYYIYELLKALDYCHSQGIMHRDVKPHNVMIDHELRKLRLIDWGLAEFYHPGKEYNVRVASRYFKGPELLVDLQDYDYSLDMWSLGCMFAGMIFRKEPFFYGHDNQDQLVKIAKVLGTDELNAYLNKYHLELDPQLDSLVGRHSRKPWSKFINADNQHLVSPEAVDFLDKLLRYDHQDRLAAKEAMAHPYFSHVRAAESSRMRTQ >OIV93369 pep chromosome:LupAngTanjil_v1.0:LG18:7392543:7393415:1 gene:TanjilG_21080 transcript:OIV93369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNPAEVSALNRIKLHLLGELSPLAISDQNKRCFQFQDPNPNFSQSQSQSSSTSDSSVSLNHYFGNLLESEFDFPQFEFESKLEVTDLEVATPKTLIEEKKPELSRKPSLVISVPKKTEWIRFVEPEPEPVQKVEPEERKHYRGVRQRPWGKFAAEIRDPNKRGSRVWLGTFDTAIEAAKAYDRAAFRFRGSKAILNFPLEVGVTAENGDGERKRRREEAEEEVEEVKVVVKKEKKMETVTEMKGVNELPLTPSIWTGFWESDVNRVFNVPPLSPLSPIPAVGYPQLMVV >OIV93316 pep chromosome:LupAngTanjil_v1.0:LG18:8488367:8491459:1 gene:TanjilG_23088 transcript:OIV93316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDQAILGCVGREEEEDEFCSCCEDEDDVSKETQVEDLKDELDEFSVKLFFKGLSICMVEDSSPGFSGIGVFMERLSTPPVIRVQKKLDFYAEEPLVDYLALMDGLLEATQNKIRRVYAFTDSKLLHNQITSEENLEMPLLVALRERILELADNFEVFVLNLVPISDLEQPLQLAKVAIGLVTYPVNGERLLESCSICCEDRPLPTMMTMKCSHKFCSHCLRAYVDGKVQSCQVPIRCPQPGCKYCISANECRSFLPFTSFESLEKALAETSFLHSDKIYCPFPNCSVLLDPRECLSSRASSSTQSDNSCVECPVCQRFICVDCKVPWHSSMSCEEFQNLPEEAKDATDITLHHLAQNKRWKRCQQCHRMIELSHGCYHMTCWCGHEFCYSCGVEYRDGQQTCHCAFWDEENSEDSVSHSLQESEQWVWENFNSVPMLMDAYSDQERSQLALIQRFLDGGFNLSDHHPYESPPRYTDSYSDTMRDLHQLPWLERFVSVISDDYEDYIQ >OIV93360 pep chromosome:LupAngTanjil_v1.0:LG18:7857373:7861762:1 gene:TanjilG_31407 transcript:OIV93360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDGYRRKAKIAMVAFVTLASLAVFALLVAFTYYCYIHYKVLKRRKSQKVEDGNINEKRDFASLQVVADKGLQVFTFKQLHSATGGFSKSNVVGHGGFGLVYQGVLNDGRKVAIKFMDQAGKQGEEEFRVEVELLSRLHSPYLLALFGYCSDSNHKLLVYEFMANGGLQEHLYPISNSNVTPVKLDWETRLRIALEAAKGLEYLHEHVSPPVIHRDFKSSNILLDKKFHAKVSDFGLAKLGPDRAGGHVSTRVLGTQGYVAPEYALTGHLTTKSDVYSYGVVLLELLTGRVPVDMKRPPGEGVLVSWALPLLTDREKVVKIMDPALEGQYSMKEVIQVAAIAAMCVQPEADYRPLMADVVQSLVPLVKTQRSTSKVATCSSFHSPK >OIV93488 pep chromosome:LupAngTanjil_v1.0:LG18:3264652:3267037:-1 gene:TanjilG_11070 transcript:OIV93488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRGISDEVVASATGEPRIEPSSARYGNILPHNHKVGSPPKKTLFEDIKHSVKEIFFSDNPAKEFKSKTIGRKADKKGVAIVRHINKGVNPASASEIFFHGNYLGAGARIGVIAGMIALTEAVAIGRTFAAMKDYPLDGNKEMVAMGTMNILGSLTSCYVATGSFSRSAVNYMAGCKTAVSNMVMSIVVLLTLLVITPLFKYTPNAVLAAIIIAAVIGLIDIEAVILLWKIDKFDFVACIGAFFGVIFKSVEIGLLIAVAISFAKILLQVTRPRTTVLGKVRGTSVYRNIHQYPKATQIPGMLIIRVDSAIYFSNSNYIKERILRWLTDEDVQRTGSELPRIQYLLTEMSSVTDIDTSGIHAFVKLFKSLQKREVQLLLANPGPIVMEKLHTSNLINLIGEHKIFLSVADAVATSGA >OIV92637 pep chromosome:LupAngTanjil_v1.0:LG18:16224543:16225889:1 gene:TanjilG_17988 transcript:OIV92637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSLSPSIDSPYTWLREMKSEERGLCLIHLLLTCANHVAAGSLENANITLEQISQLASPDGDTMQRIAAYFTEALADRMLKAWPGLHRALNSTKMVMVSEEIIVKKLFLELFPFLKVAYILTNQAIVEAMEGEKMVHIVDLNATEPMQWIALLQVLSARPEGPPHLRITGVHQHKEILDQMAHKLTEEAEKLDIPFQFNPVVSKLENLDFDKLRVKTGEALAISSSLQLHSLLALDDEALRRKSPLLSKNSLQKALLMNQSMSGDLFEKNMVNGYSPSPDSASSSPVSLHASINSESFLNALWGLSPKVMVVTEQDFNHNSSTLMERLLESLYSYAALFDCLESTISRASLERFKVEKMLFGEEIKNIIACEGAERKERHEKLDKWFQRLDSSGFGNMPLSYFGMLQARRFLQTYGCEGYRMKEENGCVVICWQDRSLFSISAWRSRK >OIV93575 pep chromosome:LupAngTanjil_v1.0:LG18:796129:802884:1 gene:TanjilG_04807 transcript:OIV93575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDHEIKTPLIQRNYHNDDGASGSRSISSSPENSPLGENSPIEQVALTVSVTDDPSLPTLTFRTWFLGSLACVLLSFLNQFFGYRREPLSVTAVSAQIAVVPLGHLMASTVTKRVFWKGKKFEFTLNPGKFNVKEHVLITIFANSGAASVYAVHFVTGVKVFYRKDVSLVVAMLVVLTTQVLGFGWAGVFRRYLVEPAAMWWPQNLVQVSLFRALHEKEGRPKKGLTRNQFFLIAFVCSFAYYVFPGYLFPMLTSLSWVCWIYPTSVIAQQLGSGMHGLGIGAIGFDWSSICAYLGSPLASPWFATANVAVGFSIFMYVITPTAYWLNLYHARRFPIFSDGLFLSNGNKYNISAITDSNFQLNKEAYEREGPLYLSTLFAMSYGIGFACLSATLVHVLLFHGSDILQLSKSAFKEKKIDIHTKLMRKSYKRVPEWWFFCILLFNITATIFICEYYNDQLQLPWWGVVLACAIAISFTLPVGIIRATTNQSPALNVITEYIIGYIYPGYPIANMLFKVYGNVSMKQAIFFLQDFKLGHYMKIPPRAMFAAQVLGTIIGAVVHFVTAWWLMNTIPNICNRELLPTGSPWTCPGDHVFYDASVIWGLIGPRRIFGDLGHYSAINWFFLGGAIAPFLVWLAHKSFPEKQWIKLISLPVILGALTDMPPATSVNYFSWVLVGFASGFVAYRYHRGWWIRHNYVLSGALDAGLAFMGVLLYLCLGMEHINLNWWGSNSDGCPLASCPTAQGVQAKGCPLY >OIV93732 pep chromosome:LupAngTanjil_v1.0:LG18:126581:137128:-1 gene:TanjilG_16583 transcript:OIV93732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSASSPATLEPPNSQPDPVPHSSSPSPSPANPNPNPNPNPNPVLLPALPPPPPRPTISFTPAPPPSFRPLPPQAPHFSPLPLPGGPPNITYQAPSVHPPGVTSTSSMPMPMQPIMSYQVPPGQPMRPYAVPMPNGYAAAPPQGTMPPAVIRVLSLQWFYEFQKSPMFATECTLALSPKLVIVGSAAISIMLFMCGFPRYLPPYGMVRPVYPLRAPGPVNVLPVSRPPVAGVPAVRPIIPPVIRPVVAPSVIPAQKPQITVYVGKIAPTVENDFMLNLFQLCGPVKSWKRPQDLSSGNPKGFGFCEFESDEGVLRALRLLTKLNIDGQELTLNVNSTMKEYLKQYVDKKIENSKKNESQAVGAEKDDGNAQPPDGNGDGKPDAEHSKKEDNDSGNKESHDLATFGVVTADDREADKEALEKITNMIEERLKTRPLPIAPAQAAGDDSAITSEQPAKTSDGDAGVDMGSNEATEDKIEKDTNNDSKPTSDHDKVETPDRRHDRRSRERDRDRDLKREKERELDRYEREAERERIRKEREQRRRIDEAERRYEACLKDWEYREREIEKERQYEKEKEKERERKRRKEILYDEEDEDDASRKRWRRSALEERRKKRQREKQDDHADRQKEEEEIAEAKKRAEDDQQQKQQRDALKLLSDHVVNGGEKVMATQDFANETKIIAPIQDTVADYSQEGHIGDANSLNGIKDESAMESVAETDVRSSGNAPSKKLGFGLVGSGKRTSVPSVFHEEEDDDAHKDKRLRPLVPIDYSTEELQAVQPTASGPTPPNLAAAAEFAKRISSASLKEEKLDGERDRSRRSNEKSTHRDRDKIGEDGTHKRDESREKIPDRDRDRDHRLDKLKASGNKRLLDAKQLIDMIPKTKDELFSYEINWSVYDKNQLHERMRPWISKKIEEFLGEEENTLIDYIVSSTQEHVKASEMLERLQMILDEEAEMFVLKMWRMLIFEIKKVETGLAMRPFTTPTVTRLTDSFPHVKVDSTFSKAFGDSNIQFLNNGSMATIALDKNSGSGLVSKSRYYYGFFSAAIKLPAGLSSGVVVAFYLSNADKFPHNHDEIDIELLGHDKRNDWVFQTNIYANGSVNTGREEKFYFWFDPTQQYHYYSILWNSYHTLFMVDNIPVREFKHSSTFYPSKAMSLYCTIWDGSEWATHGGKYPVNYKYAPFNVSFSEMELSGCISDPTTTLSSCSKVTPSSGVDPLNGSDFTKLSQQQITAMDWARRKLMFYSYCNDKARFKVLPPECN >OIV92647 pep chromosome:LupAngTanjil_v1.0:LG18:16169447:16170875:-1 gene:TanjilG_17998 transcript:OIV92647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAFTLLKYWRGAAGLRLLSSSTTTTILTAADEHSDAGDDGPFFDIEFTLPDDTENGKHNHHIEEDEESECERELKLMQMENPNISLSPSHHNLFFERKLLHVVEPSSSEPNPKPHQFNSSSKFRVFMSALKKSKSSSSSSSSSNSPSDSLPTESSQKNKDSFNNKKESSPEEKQRFSKEAMQKYLKMVKPLYVKVSRRYMDKLNHSGNLNEKGTPLVERTQKKHEAVKEDNRSSNSTNNKSQKVTEGLRVVCKHLGKSRSASSAAVAAAPPLLSSKRRDDSLLQQQDGIQGAILHCKTSFNASIAECEIPPKLPRCVSEPLHEK >OIV92739 pep chromosome:LupAngTanjil_v1.0:LG18:14063571:14072844:1 gene:TanjilG_00873 transcript:OIV92739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFMMKKLVEKASVNKPSGNLSDGLKASDIDPRLVFHQGVPSNGSKFAYNNIHKILALSTKDGRIKLFGKDNTQALLESSEPSKFLQVWDIDKKLLSDTYVVKEDITSFAVIEQTLYMYVGVSNGNISVLKLDQEPWHIVQMKYTIPLSASYGNSTEVSDNNTAVTHILPQPAAESKRVLIIFRNGQIVLWDVRESRSIFRTGGNMLQPLHNETKVSSACWVCPFGTKVVVGYSNGELFIWGIPSLNTGHGSAPDYSVQNTPILKLNLGYKTDKTSIGSVKWNYAEGKASRLYVMGASDNASSNLTQVVLLNENNESRTVKLGLHLSESCIDMEIISASNEQSKNKQNPVFILLGKSGHVYMYDDSLIERYLLQLQSKSTTSPPKEVVVKLPLIDSSITTTKFISNNPSFLNSGEEYYSQLMETYPPPIPIDTSLKVGFNLSSANFAGFSSVKNLYITGHGNGAITFWDASCPIFTPVLQLKQQSENDFSLSGIPLTALYFDINSSLLVSGDQSGMVRIFRFKPEPYASSFLSLAGVTKKGPDHIVQSVKLIKTSGAVICMNIDPHSGHLAVGSEQGNVSVIDIDGPSLLYQKHITSQISTGIISLQFITCRLNGFDKNILAVGTKDSSILALDNETGNTLGTETVHPMKPSKALFMQVLDGQGEPITRSVTKDSLDLREGNHIEDARTKQLYVLQCSEKALYIYSLVHVVQGVKKVLHKKKFNSSSCCWASTFYTPSNIGLILLFTSGKVELRSLPELSLIAETSIRGFTYSPPKLKSFSDSQICCSSRGDLVLLNGDQEIFVVSLLVQRNTYRLLDSISSVYKKDRRLSQEELVPGPVIIPKEKKKGIFGSVIKDFTGSKEKHVPIIEKEDDKESFQELSTIFSYANFPNESDNNDISTVDEDQLELNIDDIDLEDHVEKHKGQGILGALNKKNLAGTFQAMKGRMKVMKDKNQKAPDKEEQQEEKAGSLNQIKKRYGFSSSSNEIRTAKLVESKLQDNTRKLQGINLRAAEMSDKAKSFSTLAKQVLRSTEQDRRTS >OIV93617 pep chromosome:LupAngTanjil_v1.0:LG18:1236819:1240706:1 gene:TanjilG_04849 transcript:OIV93617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVAGSYIALDANDDVARAYKQQMYNESRELFASFRVFCNRKSIQCQEILLEDTDVSKALIEGINTYSIELLVLGAASKSGLVRRFRTTDVPNQVSKGVPPFCTLYIISKGKISSVKSATAPLTTKPAISSNALEQQYQLAPSPDRMDAQLMRNNPPRSSIERPTYGAPRPSLEEEIKSPFTRSGRSYQRSNESSISDCDISFVSSARPSVDRMFPSLYDEMDFSRLSTGSDYDARSSSSSFSGAKSIDQGDYSFCSQDSGISMSSLRLSTSDDVEAEMRRLKLELKQTMEMYSTACKEALTAKQKAMELQRWKLEEQRKMDEFHMAEEAALAVAEKEKARAKAAMEAAETNRKIAEFQAQQRMNSESDQKKKTEDTISQGHARYRKYCIEEIENATNNFAPSLKIGEGGYGPVYRCELDHTPVAIKVLKPDASQGRSQFQQEVEVLSCMRHPNMVLLLGACPEYGCLVYEYMANGSLDDCLFRRGKSPALPWQLRFRIAAEIATGLNFLHQAKPEPLVHRDLKPGNILLDRNFVSKISDVGLARLVPPTVADTVTQYRMTSTAGTFCYIDPEYQQTGMLGIKSDVYSLGIMLLQIITAKPPMGLTHQVGRSIENGTFSEMLDPAVEDWPLEHAMHFAKLALQCAEMRRKDRPDLGKVLLPELNKLRDFAEENLPMMMMFGAGFTARNNNTYSYSAFSSSTNQDTMSESQMSGMSGYESRSSTSSLSNNNSFVATKMI >OIV93735 pep chromosome:LupAngTanjil_v1.0:LG18:100060:101430:-1 gene:TanjilG_16586 transcript:OIV93735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRAIALVLMEQLKVEMEDSSLIPDTVKSSAFLDACNLLKCNNEVTQTVEELSKTVGADIQPLLRRQNKQKVGSKE >OIV92945 pep chromosome:LupAngTanjil_v1.0:LG18:13583882:13585198:1 gene:TanjilG_20607 transcript:OIV92945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVALMVEEFELYAVKAKSLPDTTTNENLLIIYGLYKQATVGPIDTSRPGIFNQRDRAKWDAWKAVEGKSKEEAMNDYVTKVKQLLEEAGIAV >OIV92985 pep chromosome:LupAngTanjil_v1.0:LG18:13200341:13203222:-1 gene:TanjilG_20647 transcript:OIV92985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALISFTSSSSSRTPFPFSQNPKPLSLPSLHFNLSPSSPIRFRSLRFRFSAAAASDTGDADKSSSFPANISDDWGEDYDPEAESSTSKLPDSDPPKNEDEWQEGDVSVDGANGIPVAADAATEVPSEEVPVNGGGEFEGLKRALVDTVYGTELGFRAGSEIRAEVSELVTQLEAANPTPNPVEEPGLLNGNWVLLYTASSELLPLLAAGTLPLVKVDKISQTIDTNTSTIINSITISSPFASSSFSASASFEVRSPSRIQVTFKEGTLEPPEIKSELDLPETVDIFGQKLSLQPLQQSLGPLQNVVENIARVISGQQPLQISIPGERTSSWLITTYLDKDLRISRGDGGLFVLAKEGSPLLDQ >OIV93682 pep chromosome:LupAngTanjil_v1.0:LG18:506955:513064:-1 gene:TanjilG_16533 transcript:OIV93682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNNMTPSQDPPLHTDDYFPNPIHPQPRPNPRRPRGFAATAAANNSAGKGKKEREKEKERTKLRERHRRAITSRMLTGLRQYGNFPLPARADMNDVLAALAREAGWVVEADGTTYRQCPPPSQLGSFEARSVENPLSAGSLRACSVKETLENQPHAFRIDGSLSPTSIDSDVIAERDSKNASASPINSVDCLEADQLLQHIHSGVNENDFTGTPYVPVYIKLPVGIINKFCQLIDPEGIRQELIHMKSLNIDGVIVDCWWGIVEVWSPQKYVWSGYRELFNIIREFKLKLQVVMAFHECGGNDSTDALIALPQWVLEIGKDNRDIFFTDREGRRNTECLSWGIDKERVLKGRTGIEVYFDFMRSFRTEFDDLFVEGLISAVEIGLGASGELKYPSFSERMGWRYPGIGEFQCYDKYLQHSLHRAAKARGHSFWARGPDNAGNYNSMPHETGFFCDRGDYDNYYGRFFLHWYFQTLIDHADNVLSLASLAFEETKIIVKVPAVYWWYKTASHAAELTAGYHNPTNQDGYSPVFEVLRKHDVTVKFICLGVQLSSQEADESLADPEGFSWQVLNSAWDRGLMTAGENALPCYDREGYKRLVEMAKPRNDPDRRHFSFFVYQQPSLLQGNVCLTELDFFIKCLHGEMAGDL >OIV93026 pep chromosome:LupAngTanjil_v1.0:LG18:12759088:12765336:1 gene:TanjilG_20688 transcript:OIV93026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPTHSNDFQQYPQALIPDSNDSVMEDSNDSLIDSMVCDSSSRLIPHGFTKSDNADAEYFMFVNAGGEAFNEADDGITFLNDTFFDSGNVFRTDEQIVGAGDYPFIYQSARLGSFCYRIDSLPPGNYVVDLHFVEIINTNGPKGMRVFNVYIQEEKVLSELDIFAVVGANKPLQLIDSRACVKDDGVILLRFESINGSPLVSGICIRKATKVSAPHMTSDYIKCNYCAKEIEIPSSQKKVMQTKSTAKYENKIKELTMQCELKAKECYEAWMSLTATNEQLEATQTELDKVTFKSLTIDQTLEKQAENLRSISSRYELDKKKWADAISSLQEKVKLMKSDCRQLSFEAHECVDSIPELNKMVFAVQELVKQCEDLKVKYNEEMTQRKKLFNEVQEAKGNIRVFCRCRPLNKVEISARCSTVVDFDAAKDGCLGILSNGSTKKSFRFDRVYTPKDDQVDVFADASPMAISVLDGYNVCIFAYGQTGTGKTFTMEGTDQNRGVNYRTLELLFKISKERSETFAYDISVSVLEVYNEQIRDLLATGPTSKRLEIKQGSEGSHHVPGVVEAKVDNVSEVWNVLQAGSNARAVGSNNINEHSSRSHCMLCIMVRAKNLMNGECTKSKLWLVDLAGSERLARTDVQGERLKEAQNINRSLSALGDVISALAAKSSHIPYRNSKLTHLLQDSLGGDSKTLMFVQISPSDQDVGETLSSLNFATRVRGVELGPVKKQIDMSELQKLKAMLEKARSECRIKDESIRKLEENLQSTESKAKGKDNIHKNLHEKIKELEGQIELKAAMQNQSEKQVSQLSDKLKGKEETCSTLQQKVKELEKKLKEQIQSETASYQQKVLELEKKLKDELQRSESQTAILKDKLKELERKLKEQDQSSELSFYCQQVKELETKLKEQDQSSELSLLRQHVKELERKLEEQEQSSELSLLRQQVKELEDRYREREQQWQQTHCLVEAAKATPDIGKGCKTSEECPSEIDPHILKSSNSTNRQINQGSTLFKGNDSAHQIKSKRVFRSNDIENNYGMPSLHNRKVIRKSDPPMAGRGVRPTTRSVTTTQPPLSHKRASTSRDVQGIKERDSKKKIWNR >OIV92860 pep chromosome:LupAngTanjil_v1.0:LG18:14982578:14984305:-1 gene:TanjilG_00994 transcript:OIV92860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVREEEQQQQQHSSSLFLCEEQPHTLDLEEVEEHSRPNSIIEEEFESDNNDSTLVKSPSLRLILLDNDMFKEEHQELLSLLSKQRHTLLCSYTNVAPLVEVPLRYDAVMWISKVSVIHGFTALTTVLAVNYFDRFITSLRFQMDKPWITHLTAVACLSLAAKMEETHVPLLLDLQVMESRFLFEAKSIQRMELLVLSTLKWRMNPVTPISFIEHFVRRFGLKSPLHWEFLRRSERVLLSVIADSRVMSFLPSTLAAATMIHVIKDIDPFNAMECRTQLLALLKTAEEQVDECYKLILKLLFCHEGVHNLGQKRKCLSGPTNSGDGVMDASFSYDSSNNSWTEASSVTVEPVFKRSKGQDQNIRMPSVNGVSIDVLNSPS >OIV93464 pep chromosome:LupAngTanjil_v1.0:LG18:4495797:4498809:-1 gene:TanjilG_21025 transcript:OIV93464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATFLFNFNTLLLQQQQPPPHLNLTSLPLYPFHHSYLSLSLSLNVKTTFQPHHYALTPHASSIKTTISERVKKNIEHLVEKKNKSNKMRKDSPEMRLRVAFDMCSKKGDAIGALSLYDLTKKEGVVKLGQHHYTVLLYLCSSAAFGVVKPAKSGSGARTLNALVSPCSEVLNHEEQSRTVDRNNTSFSNVTFDNAEELNSLSNSNEELEKREEDNPFDQEENGTLKSEDVKKYALQRGFEVYENMCLDKVQMNEAALTSVARMAMSMGDGDMAFEMVKQMKNLGISPRLRSYGPALWTFCNNGEIDKAFEVEKHMLENGVYPEEPELEALLRVSVGASKGDKVYYVLHKLRSCVRKVSLSTASLIIDWFKCKHATRIGKRKWDKRLMKEVMENNGGGWHGQGWLGRGKWGISDTTIGNNGICKCCGVHLTTIDLDLIETENFAKSVASFAIMREKKSNFEKFQKWLDSYGPFDAVVDAANVGLFGQRINAVVNGIREKLHSKKLPLIILHNKRIKGEKVDQPINKALIDRWNNADALYATPTGSNDDWYWLYAAIKFKCLIVTNDEMRDHLFQLLGNDFFPKWKERHQVHFSFTDTGPEFHMPPPCSVVIQESEEGHWHIPIESEHNYESERRWLCVTRDKAGMVGQDFSISEGKC >OIV93141 pep chromosome:LupAngTanjil_v1.0:LG18:11301242:11302252:1 gene:TanjilG_20803 transcript:OIV93141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKASLKFRDEQKPLLRAKIPLNILGIPFQSGVVSGDSTELTLNIATLFQSGPSIKVAYRPNDSGNPFSVVIKTGTGSFGSPVSSSLRMSCEFNLLDRNGSINPSPIFMLHFKPRFGDFSFKKTQSSIFDKKRGGGSQIGDDSNGDVPFEVVDSPLIGSFSPEKLRIFGSDSPVVGTIASLFSGMEVAARTNFPIMKRAAVNCRWGVRVPAEIKSGGGETTPGIAFQKIPFLVMDKIGVELLSGSDSKKVVAKADSPVNDVAETYLTVKKQLEVLQGENGLLRTTVEDLRREIGGREGLDIRKYRELERNGGGKNEKKSTEADKSEENKKEFVSPVV >OIV92710 pep chromosome:LupAngTanjil_v1.0:LG18:15655936:15658001:1 gene:TanjilG_25142 transcript:OIV92710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNLVAAQRPLLHGLMKLAGIRPYTVEIEPGTVMTFWVPSEIISKPKKHDELNPKLVSKPSKPVIVLVHGFSSEGIVTWQFQVGALTKKYAVYVPDLLFFGGSITDKQDRSPAFQAECLVAGLRKLGVEKCIVVGFSYGGMVAFKIAELYPEFVEAMVISGSILAMTDSISETSLKELGFSSSSELLLPTSVKGLKALLSVAAYKKLWFPDRLHKDFLEVMFTNREERGELLEGLVISNKDITIPQFQQRIHLLWGENDQIFKMNLAQNMKEQLGDKVTFEAITKAGHLVHLERPCLYNQCLKQFLSSIYPDANN >OIV92708 pep chromosome:LupAngTanjil_v1.0:LG18:15673341:15675219:-1 gene:TanjilG_25140 transcript:OIV92708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLKSILRELKEMRGGIGSISRRGAQSKHWHSRTKSYIVPDVTVTPLEPIPQGPWANLPPELLLDIIRRVEESETSWPARAVIVSCASVCKSWRVVTKETVKTPEQCGRLTFPISLKQPGPRDSPIQCFIRRNRETSTFLLYFGLVPSENETDKLLLAAKKIRKATGTDFVISLVSDEFSPSNFLGTKFTIYDSQPPCEAEIQSNSRSNRRFHSKHVSPRFPVCNHVVSTIAYELNVLRTRGPRRMHCTMNSISISAIQEGGSAPTPTSLPQSIDRHFSPSPALKGKGPVTELNSESLSELPMQNQGSVEPLVLKNKVPRWHEQLQCWCLNFKGRVTVASVKNFQLVAAVDPSHNVSASEQEKVILQFGKIGKDIFTMDYLYPLSAFQAFAICLSSFDTKPACE >OIV93585 pep chromosome:LupAngTanjil_v1.0:LG18:934895:937987:1 gene:TanjilG_04817 transcript:OIV93585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSEKKKLHLLLFVFIILTVLFFDKTLSIRFPDRVHETSRDKSDSQQRFKVAVFALGSFWRSEAVFGCIPGVIRTTAGYSGGSKLNPEYRSLADHAESVQVEYDPGMISFRELLDVFWSSHDPRQVYGQGPDVGNQYRSIIFVNGTEESRMAAVSKELEQTRSRSSIVTTQILQLGMFYPAEPEHQKFELKQNTFLIQLIGNLPQEELERSSLATKLNGYVAELCPSNIQKQIDAKINEIIKKGWPILREL >OIV92758 pep chromosome:LupAngTanjil_v1.0:LG18:14199197:14200467:-1 gene:TanjilG_00892 transcript:OIV92758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLADLEFDYINPYDSSTRINMVVLPEFIIQGVLCCFYLVTGHWLMSLFAAPYLYFNVQLYRQGKHLVDVTEIFNLLSWEKKQRLVKLFYLTFTLFISVFWMIYTSMDD >OIV93245 pep chromosome:LupAngTanjil_v1.0:LG18:10459231:10462003:1 gene:TanjilG_27424 transcript:OIV93245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMFLKFSILGTWKRNRWKWKQRLRTFTTLLHSSEPHFPQIIPANISIAKLANAGQLEQARYLFDEMPLRTVSSWNTMISGYSKWGCYTEALALASLMHRSCVKLNEASFSALLSACACDGSLLHGKKVHCLVLKHGYERFSLVGSSLLYFCVQCYGIEKAKVVFEELRDGNGGLWSLMLVGYVQHDMMSDAIDMFENMPAKDVVSWTTLISGYAKREDGCEKALDLFVRMTRSSCVFPNEFTLDSVIRVCARMRVLYLGKIVHGICIKNGFDLDNSIGGALIEFYCDCEAIDDARKAYESMGGEACLNVANSLIGGLVSARRIEEAEGIFNELRDTNSISYNLMIKGYAMSGQVEKSKVLFKKMSSKSLTSLNTMISVYSKNCDLDEAVKIFDKAKEERNTVTWNSMISGYILNGQHKEALKLYVTMRRLSVDYSRSTFSVIFRACSCLGSFQQGQLLHAHLTKTPFQANVYVGTALVDFYSKCGHLADAQRSFSSIFSPNVAAWTALINGYAYHGFGSEAILLFQSMLAQGVIPNAATFVGILSACSHAGLVGEGLRIFHSMERSYRVTPTIEHYTCVVDLLGRSGHVKEAEEIIMQMPIEADKVIWGALLHTSWFWKDVEVGERAAEKLFGFDPNPISAFVILSNMYAAIGRWGHKTKLRKRLQSLELKKDPGCSWIELNNNIHLFSVEDKTHPCSDAIYATVEHITATINSSIRAQAKIQCTEAQGSTLIDFSSICYQEDESNSYSDPAVTEEFVKQVRNACREWAFFQSLEEKSKVRRDEVKMMGYCD >OIV92733 pep chromosome:LupAngTanjil_v1.0:LG18:14002166:14012092:-1 gene:TanjilG_00867 transcript:OIV92733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCGESPKGNSGEFSMEDQNGDTLGSLNHNIGKPPRDHSSMRHCSSSSWLPESEPDIKIIGLKSTSEEKSEFSPILRSGSCSEKGPKQYMEDEFICVDILCEHVGPGTEIPSPAAFYGVFDGHGGIDAASFAKKNILNFLIEDSQFPTGIKKAVKNAFVKVDHAIKDASALDSSSGTTALIALLLGRDMLIANVGDSRAVLGKRGRAIELSKDHKPNCTSERLRIEKLGGVIYDGYLNGQLSVARALGDWHIKGSKGSKSPLSSDPDLEEIVLTEEDEFLIMGCDGLWDVMSSQCAVTMVRRELMQHNDPTTCAKALVTEALQRNTCDNLTVVVVCFSKDPPPKIEIPRSHRRRSISAEGIDLLKELSTRPHGVSVGAYLSLNSTIGKVAKVAIEAAVNDVNLSRNVLNGTKLKLSIQDTNPNSLLGIVDALDFMENGMVAIISPQYSALGQVISYIANELEVPLLSFSEIESTMPSLDFPFLVKAAQSDMFQMSAIAEIVEYYESRDVSAIYLDDDFGRHGIAVLGDKLAERRCRIAFKASISPKASKELMQHNDPTTCAKALVTEALQRNTCDNLTVVVVCFSKDPPPKIEIPRSHRRRSISAEGIDLLKELSTRPHGVSVGAYLSLNSTIGKVAKVAIEAAVNDVNLSRNVLNGTKLKLSIQDTNPNSLLGIVDALDFMENGMVAIISPQYSALGQVISYIANELEVPLLSFSEIESTMPSLDFPFLVKAAQSDMFQMSAIAEIVEYYESRDVSAIYLDDDFGRHGIAVLGDKLAERRCRIAFKASISPKASKYEIMTVLDKVEKVESRILVLHTHEDWGLEVFEIAKEQGMMDAGYVWITTDWLSTELDTNFPFLSKAHDDIEGVLTLRMHIPDSQSKREFTSRWGSLSVRKSLNGSIGLNAYGLYMYDTVWLLAYAIDEFLNQGNHVEFSNYTEFVQLSGRSLHVDYMPIFNNGNLLLQSILKANATGVTGTMRFTDDGLLVHPSYEIINVIGNSLRKIGYWSNGSGLSVVSPEIEDSKSKPLNSSSVSSNGQLYGVIWPGQTAVKPRGSTYYPHGGKSLRIGVPLQYLFPEVLHKVEGTNSYAGFCIDVFTAAHDLLPYNNIPYEFVIDTLVGGVAITVDRIRIVDFTQPYIESDLVVVAPIKKLKSRENIVSSLSQFLLIVWPFVVLIINSTYTATLSSILTVEKLSSKIMGIDSLISRNVPIGYHKGSFVRTYLVDELRIHPSRLVPLSSLQEYEKALMNGDVAAIVTERVFMELFVSTRCQFTIVGNAFSKISWGFPFQRDSLLAKDMSTAILKLSESGELQRIRDKWLKQNICSKEGAKEEVERLQLKSFSGLFYLCGLAGLIVLLLYFIKVVRQFMNHYPNESQCSSLCSGSSLFHSFVCEKEDKDRKTVSSPRDQD >OIV93150 pep chromosome:LupAngTanjil_v1.0:LG18:11202271:11211484:-1 gene:TanjilG_20812 transcript:OIV93150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPKLTSPLFPLLILITFTSLYFFSSFLSLSQTPHSQSLAISNNCDLFKGHWVFDPNHSTNPFYDGTCPFHRNAWNCIRNHRQNLTLINSWKWVPHGCNLNRIDPVRFLGLMRNRNIGFVGDSLNENFLVSFLCILRVADEGAKKWKKKGAWKGAYFPKFNVTVAYHRAVLLSNYKWQPKQSEAGIEDGSEGIYRVDVDVPADDWAKIAGFYDVLVFNTGHWWNHDKFPKAKPLVFYKAGEPIVPPLRILDGLKAVLDNMVASIQKDFPRNTLKFWRLQSPRHFYGGDWNQNGSCLFNKPLEENECFLCIDRLIAGQLDLWFEPRSNGVNKEARQMNRVIEEALQGTDIQLLDLTRLSEFRADAHPAIWLGKQDAVAIWGQDCMHWCLPGVPDTWVDILSQLIHDSFHRTDVL >OIV93587 pep chromosome:LupAngTanjil_v1.0:LG18:948088:954437:-1 gene:TanjilG_04819 transcript:OIV93587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTRNADSSKSATPKKTPPPRKSATKTAADSSAANRSTPPRTRQMRKKDASPSLNPISDSNPLQSRQLPKSSGDAATTTKSAKKRAPGRPRTKPIATPNLEEKLEATVDETPIVDNFEADEKVEEPQDVELVDAHTITSQEVGEQGACSVGKSEEKEQKTMKVDGAVVRNVCESEEKGENIVTIELTASQDAAISFKQPERNIVDLNISVDAEDSTGAKEGEKGMEEDNEQEGEKGMEEFNEQEGEKAMEEFNEQEGEKGMEEFKEQEGEKGEEEIIEQEGEKGVEEFNEDEREKGEEEFKEQGGEKGMEELKEQDVGKAKEGLKDEQEGEKGKEQVKEQEGEKGKEELKEKEELKEEETNIIAHKEQSSTVSFSVGVKDQVQKDVNPEKDVDEGMKSSEKLDLGEQDGEDFIEEDPEEPPEEPEAFEEQHIEYEAIAKQRQINKEHEIFVGGLDRDATEEDLRKVFERIGEVVEVRLNKNSSTNKNKGYAFVKFANKEHAKKALSEMKNPVIHGKRCGTAPCEDNDTLFLGNICNTWTKEAIKQKLMDYGIEGVENITLVPDVQHEGLSRGFAFIEFSCHAEAMLAYKRLQKPDAIFGHAERTAKVAFAEPIHEADPETMAQVKSVFINGLPPHWDEDRVRELLKSYGEIVRVVLARNMSTAKRKDYGFVDFSTHEAAVACVDGVNKSELGDGASKIKVRARLSNPLPKTQAVKGSMSGGFRIGHARTGAFPRPGRGFGRGRQPFNNWGNSNRDRNFYRGRGGYSQFGRMGSRDDRDFNMHPDFHHRQFGTVRGGHYPGSRGAAFVRPSRHHDRPWYGIPDEGPREPIPMRRPYSPGGQFDRPFMGQHFDDPYLHDDNLHGLKRPFYMTEPEPDYMGPSRLRPRLDYADPALFQGNHSQDPFGEASTQYPHDHYHYGSNYGGGGIWNRGMDFFSYEHAA >OIV93305 pep chromosome:LupAngTanjil_v1.0:LG18:8662165:8662821:1 gene:TanjilG_14556 transcript:OIV93305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTPETSREPCPDRILDDLGGAFGMGAVGGSAFHFLKGLYNSPKGERFVGASQAVRLNAPRVGGSFAVWGGLFSTFDCSMVYLRQKEDPWNSIFAGAATGGFLTMRQGLAASARSAAFGGFLLALIEGAGIMLNKVLSAQQQLPPIMDEPMPGYPSAGLPGQPMNLPSPSPDSDSVSKPWFGGFFGGEKKEEPKSSGGSETKILESFDAPPVPNFDYK >OIV92916 pep chromosome:LupAngTanjil_v1.0:LG18:13867208:13867453:1 gene:TanjilG_00093 transcript:OIV92916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKKKIGFMATQTVEGSSRSDPRRTAVGDLLKPLNSEYGKVAPGWGTTPLMGVAMALFAIFLSIILEIYNSSILLDGISMN >OIV93664 pep chromosome:LupAngTanjil_v1.0:LG18:1894708:1902181:-1 gene:TanjilG_04896 transcript:OIV93664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHGDKNRPFKKLKSNTKFDDSRKGFVEDDDDDGYDDEDTKTKDFSKLELKPDHPNRPLWACGNGRIFLETFSPLYKQAYDFLIAIAEPVCRPESMHEYNLTPHSLYAAVSVGLETETIVAVLNKLSKTKLPKDMIKFIHDSTANYGKVKLVLKKNRYFIESPFPEVLKTLLRDGTIAQARITSEGANGDGFTISKAAGEISGTHDELINGAEVAAAAEEKEAHSFEIDPSQVENVKQRCLPNALNYPMLEEYDFRNDTVNPDLDMELKPQAQPRPYQEKSLSKMFGNGRARSGIIVLPCGAGKSLVGVSAACRIKKSCLCLATNAVSVDQWAFQFKLWSTIREEQICRFTSDSKERFRGNAGVVVTTYNMVAFGGKRSEESEKIIEEIRNREWGLLLMDEVHVVPAHMFRKVISITKSHCKLGLTATLVREDERITDLNFLIGPKLYEANWIDLVKGGFIANVQCAEVWCPMTKEFFAEYLKKENSKKRQALYVMNPNKFRACEFLINYHERARGDKIIVFADNLFALTEYAMKLRKPMIYGATSHVERTKILQAFKTSKDVNTVFLSKVGDNSIDIPEANVIIQISSHAGSRRQEAQRLGRILRAKGKLQDRMAGGKEEYNAFFYSLVSTDTQEMYYSTKRQQFLIDQGYSFKVITSLPPSDEGPSLSYHHLEDQLALLGKVLSAGDDAVGLEQLDEDTDEIALNSARRSQGSMSAMSGAKGMVYMEYRSVVMVSFFPLQHWSSQRPRTNQEQAERSSEETPVVSKAVWHHLRYTSGNRNE >OIV92788 pep chromosome:LupAngTanjil_v1.0:LG18:14457424:14461392:-1 gene:TanjilG_00922 transcript:OIV92788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVFHFEIAHAKTFKVGDARGWDFNVSNWPSGKTFNAGDILEFNYNTAVHNVAIVNVAVYNSCTVKSEPLDVGNWFSSYEYHSPTSDSNFSFQESASRERESERVEDEEAKFENIDELVVEENLVQCGNTCVENDKHKIVDSVSSLSVLSEPQDIGNWFSSYNYDSSMFDTNSILRDVVSEENECQEERFDVEVLNEDEGRPESENVPSKPNGCVEHNSPTDKNIREVQPSSRDDGSVEMKIRNLTTANTSHLGKILLPCVQDKILQLILSPTKYEELSSLTHGETSVMPYDTDRNLFNNMIPPKLTQKIEEEKSKAKVQLDKLGLNTDLAKSSSARNSTCTSNKENDGFVTARKNRNTRENGESSWKKPEKMSSQSSTNTGTVPLSCDRHKTKKRKALTEATNLQQSNVVEITGKWKCPRKGKADIGAPMKQLRIEQWVRRV >OIV92716 pep chromosome:LupAngTanjil_v1.0:LG18:15598596:15599139:1 gene:TanjilG_25148 transcript:OIV92716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDEDVLPTTTTTIIKKENSDWGMFGKGRYKFWALAAILILALWSMFTGTVSLHWSGNLNSLTNDIDTPIHDDLDVLEMEEREKVVRHMWDVYTNSRRIRLPRFWQEAFEAAYEELTFDVAGVRDAAINEIAKMSVRSLHFDPLPIQSAVSLSY >OIV93394 pep chromosome:LupAngTanjil_v1.0:LG18:6923809:6928416:-1 gene:TanjilG_13846 transcript:OIV93394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATELEELVGFLSSPSPQITKAAVDIVRGLTGSDDGLHALANYANTVLPSLSRLLTAPKEVSEPAAEALVNLSQNSDLAEQMVQMGLVKSAMDVLYKPESGITRLLVMLLVNLTQLDAGTASLLQTEDDKVHGLFVMKLVRSFCRNSSESHDDPFDHVGSILVNISQQQAGRQLLLDPKRGLLKQIIRQFDSNSSLRKKGVAGTIRNCCFEAENQLQNLLLVSEFLWPALLLPVAGNKIYNEQDRSKMPLELGSALSIEREPVNDPEIRIQTLEAIYLISLQDAGRRAFWSVNGPKIVQVGYEDEEDPKVMGAYEQLGSLLVNSSGTEEPSNETIN >OIV92861 pep chromosome:LupAngTanjil_v1.0:LG18:14992892:14996825:1 gene:TanjilG_00995 transcript:OIV92861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQHSNKEILGQLKDKSHDSPEQRLVQETLQNPAYPLDYSFKPLNEVWEVINLKDMNKAIKYTSMVAVDCEMVRCVDGTEALVEVCVVDRNLKVKLHERLRPNKAIADYRTDITGVSSQDLEGVTCSLADIQESMKKLLSDGTILVGHGLYNDLRGGCRGILVHFGGVPYLLKLDHVKVIDTSYIFQNLDGPIHRRPSLSSLCKASDDVREKGATHSCLDDACAAMKLVLAKIELGVDRVIPLIEEPIPESEIAKLLLHGIPTSVKSEELHSVLPGDFTIQLKNSKKGRGEKYSAFAIFKNPREALQAYENVQGSQEKDTAQRPQKLVKFKLGTGKTASLYVRKMAPDGHDQIPPKRALEVNNDAFDVSKKAKMGPNSNDTYIDALKKEIEALNQQLKEKDMLIELLQKQLKQNDFGISKLHNKMVLPKKERE >OIV93701 pep chromosome:LupAngTanjil_v1.0:LG18:370346:370624:1 gene:TanjilG_16552 transcript:OIV93701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFRLPAIRRPSFSSTQAASKCVEVPKGYLAVYVGEKQKRFVIPISYLNQPSFQDLLSQVEQEFGYHHPMGGLTIPCSEDIFQNITSCLNRL >OIV92891 pep chromosome:LupAngTanjil_v1.0:LG18:15239699:15240691:-1 gene:TanjilG_01025 transcript:OIV92891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQELLGGASLIARERKNSINGGGVLLPPTVPPCTSHSSSQSPSNSTTTVTPTTVATENQNLRCPRCDSPNTKFCYYNNYNLTQPRHFCKTCRRYWTKGGALRNVPIGGGCRKSKNVAVSNSAAKDATTKMKAVASELGRSCLGPAGFVHELPTSPNLWGSPQNTHLLALLKPSPQNQNQNPNPSSMSFNDVGIREGNLMGYQSHLMTEPLMTNLNPRTLGYDCVGQVPSLGFGSTFCRSNNQNQQHNSNFVVGEHQNMSGIQELYHKLRSSSSGNYSSDNNQAMFLGNMASSSSLSNILESNSTAGVELGSWTPNLSWSDLPTTNGAYP >OIV92795 pep chromosome:LupAngTanjil_v1.0:LG18:14506918:14508505:-1 gene:TanjilG_00929 transcript:OIV92795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAHIAMEIQVRGACGFGEEENIVNYGNVAGVSWLWKNGSGCGACYQVRCKIPQYCDDYGALVVVTDYGVGDRTDFIMSPSGYSSLGKNADASSELLKYGVVDVEYKRVPCGYSGYNLIVKVHQHSRNPHYLAILILYVGGTYDITSIELWQEECQEWRPMRRAFGAVFDSANLSKSDIKLRFQVSGSAGLYWVESKNVIPCEWTPGAVYDTQIQLH >OIV93629 pep chromosome:LupAngTanjil_v1.0:LG18:1374142:1379070:-1 gene:TanjilG_04861 transcript:OIV93629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPKTVLVTGGAGYIGSHTVLQLLLGGYNAVVVDNLDNSSEVAIQRVKELAGEFKANLSFYKLDLRDRAALEKVFASTKFDAVIHFAGLKAVGESVQKPLLYYDNNLIGTIILFEVMAAHGCKKLVFSSSATVYGWPKEVPCTEEFPLSAANPYGRTKLIIEEICRDIYHGESEWKIILLRYFNPVGAHPSGSIGEDPRGIPNNLMPFVQQVAVGRRPALTIFGTDYNTSDGTGVRDYIHVVDLADGHIAALNKLDDPKIGCEVYNLGTGKGTSVLEMVKAFEQASGKKIRLVTAGRRPGDAEIVYASTEKAARELNWKAKYGIDEMCRDQWNWASKNPYGYGSAEDSTN >OIV93699 pep chromosome:LupAngTanjil_v1.0:LG18:373174:373452:-1 gene:TanjilG_16550 transcript:OIV93699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFRLPSIIRTSFNANKASSHVVEVPKGYVAVYVGQQMKRFVIPISYLNQSSFQELLNQAEEEFGYDHPMGGLTIPCREDVFLNITSHFGSC >OIV93421 pep chromosome:LupAngTanjil_v1.0:LG18:5984422:5990360:1 gene:TanjilG_02958 transcript:OIV93421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACKGFWECLLKLFNFLVTLAGLTMVGYGIYLLVEYKNVSDNTLTIPTDDQTLVQLGRPMLMAVSPSDSIFNDLPKAWFIFVFIGVGAILFVVSCFGCIGALTRNGCCLSFYAVLVVLLILAELGCAAFIFFDKSWKEEIPTDRTGDFDMIYGFLRENWKIVKWVALGIVIFEALLFLLALIVRAANRPADYDSDEEFLNPRQQARQPLLNRPAAPATGVPLAGTLDQRPSRNDAWSSRMREKYGLDTSEFTYNPSDSQRFQQVNSQPTEEKSRCTIM >OIV93358 pep chromosome:LupAngTanjil_v1.0:LG18:7741220:7772265:-1 gene:TanjilG_31405 transcript:OIV93358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEETQEDVGAVEQGPSNVSWWPSNFVERFGSVSLNSQDETIINKGFPRHSDKDVLSPQKASQILWRTGVLLEPIPNGFYSVIPETRLKEHFDSIPTLDELHGFGAEGFRADIILVDSEKDKKLSMLKQLIAALVKGLNSNPAAIIKKIAGLVSDFYKRSNVDSPAKAALDETSHMFESQGVQMLGKIKHGSCRPRAILFKVLADTVGLESKLVVGLPNDGTVECVDSYKHMSVVVVLNSVEMLVDLMRFPGQLLPRSTKAVFMTHISAAGESDSAENDSCDSSIEPNSPLYGVSERLDPSSVEEENLQFHRKFEASANISGISLRNMMLRSNSFVDRKLSLSHSEPNIATAFGRRGRRKVIAEQRTASSSPEHPSFRARARSMLGGDRTAFRAFADDQATSRSSYRSDGAPSSEARRIRRRSISITPEIGDDIVRAVRAMNETLKQNRLLRGDNSSYSHSPNSGTSDANLQKNLPSFNRDGYNGSSALYSRQLGQVTSQTAMSLPSSPHDYGGQASDRSGPSGQGMNDEMESTWNRVLESPMFNNKPLLPYEGWNIDFSELTVGTRVGIGFFGEVFRGIWNGTEVAIKVFLEQDLTSENMEDFCNEISILSRLRHPNVILFLGACTKPPRLSMVTEYMEMGSLYYLMHLGGQKKRLSWRRRLKMLHDICKGLMCIHRMKIVHRDLKSANCLVNKHWAVKICDFGLSRIMTDSPIRDSSSAGTPEWMAPELIRNEPFTEKCDIFSFGVIMWELCTLSRPWEGVPPERVVYSVANEGSRLEIPEGPLGRLISECWAEPQERPSCEEILSRLVDIEYSLC >OIV92761 pep chromosome:LupAngTanjil_v1.0:LG18:14212325:14212768:1 gene:TanjilG_00895 transcript:OIV92761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKDLSDDQVSSMKEAFTLFDTDGDGKIAPSELGILMRSLGGNPTQAQLKAIAAEENLTAPFDFPRFLDLMAKHMKPEPFDRQLRDAFKVLDKESSGFISVTELRHILTSIGEKLEPAEFDEWIREVDVGSDGKIRYEDFIARMVAK >OIV93298 pep chromosome:LupAngTanjil_v1.0:LG18:9049288:9049566:-1 gene:TanjilG_13125 transcript:OIV93298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQPPALEQVQCFGRKKTAVAVTYCKRGRGLIKINGSPIELVEPEILRFKAFEPILLLGKSRFAGVDMRIRVKGGGHTSQIYAIRQSIAKAL >OIV92703 pep chromosome:LupAngTanjil_v1.0:LG18:15691285:15694766:-1 gene:TanjilG_25135 transcript:OIV92703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVDTSSTTNNDLSSTEDTLETTTKVTDESAETITNRAIEELAETNSKIESQEDTSTTEDSAETNTKSESQKDTSTTTTTVFGDNPGHLPDDAIKSDDKNNNNEANKQKGASSDSEISEASSVTQEEQVTSMSESGSEQNSETEKVQQGSAEAYEGNKKDEEGDAKAQDATESQNANEAETQELSTGQKQDVLSFDTNGSKNDEEEANKEQLREDKGEITKKDEKITSQDDQQDENFESKKGETSQVSETESEKNENQGSQDESEQRQKPKAEKKDKKSKKAWATQADQSHNEKKRQKGELDNSNSDEDKEKQEDNTWYLCNVTAGADYIPCLDNEKAIKKLRSTKHFQHRERHCPEDPPTCLVSLPSGYKTSIEWPGSRDKIWYHNVPQTLLAEVKGHQNWVKVTGEYLTFPGGGTQFIHGALHYIDFVQQAETGIAWGKRTRVILDVGCGVGSFGGYLFERDVIAMSFAPKDEHVAQVQFALERGIPAISAVMGSQRLPFPSRVFDLVHCARCRVPWSDEGGILLLELNRVLRPGGYFVWSATPVYQKLEEDVQIWNEMSLLTKSMCWELVTINKDKLNQVGAAIYRKPTSNECYEQREKSEPPMCKDDDDPNAAWYVPLQACMHKVPDNKAERGAKWPEEWPSRLQKTPYWLNNSQIGIYGKPAAKDFAEDNERWKNVVDELSNIGITWSNVRNVMDMKAVYGGFAAALGDLPVWVFNVVNIDSPDTLPIIYERGLFGIYHDWCESFSTYPRTYDLLHADNLFSKLKERCKLAVIMAEVDRIARPGGKLIVRDESSTISEVEALLKSLHWEIIFSNDQKDLLSAKKGTWRPDSVASS >OIV93367 pep chromosome:LupAngTanjil_v1.0:LG18:7451779:7454725:1 gene:TanjilG_21078 transcript:OIV93367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTDPNAIHNTKHHNKPTSEKNPNKFHHNFLFKVAIVAIFLVILPLFPSQAPEFINQTLLTRSWELLHLLLVGIAISYGLFSSRNNETDKGKENNMSKFDNAQILVSRLLNVSSVFDDEEADAENSSPESDHGSTKVQTWNNQHYRNEPVVVVAPHEDQGGGDGVASASASTSTRSRIGEKPLLLPVRSLKSRLSDDGDVQYANDSPRTQSQLKPKFNDAKVDNNESSAISSFSLTRSNSKTGSKWFSRNSNNGRNDELEGAGDAKVENKMKNNVVLPSPIPWRTRSGRLEPKLEVEAPNQHASRPSLEEFEFSKMEPGIMKSQTSFSSQTNLLPSSPKFTPSPSFLPESVKESLAKNTDDLVRKKGFYNKSCPPPPPPPPMFQKSISMKPRYGSFNERANHYSFDKELKRSFSSETNGMKMNRFESSIEVKPKGSAENMSNVGKSVRKIRANQNKSLLGKEGTMDKEESEDEEHYMEETTRKGMGYDSMEFGEEEQKESFLDKVVMESADEYTKTEDEDVGGRIIQKESVESSKTDETNSSGIGGDEGQDVDKKADEFIAKFREQIRLQRIESIKRSTTKIPRNSTRIRSSLTYESLRVLTPFNKRATTHGGGYCQPALSLGQVHDPGIQVRT >OIV92829 pep chromosome:LupAngTanjil_v1.0:LG18:14764949:14766160:-1 gene:TanjilG_00963 transcript:OIV92829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHHQNPTNSVLHLGLGLSLTTTDETTMVDDHLCLHKPTPMKPYFSSFITDTEPSLTLGLSNTESYPNQHVAKNKIDGKYKTCGDEDPVELSASAHSVASSFSGGRVVKRERDLSFEEVETEAIERVSSRVSDEDEDGTNARKKLRLTKQQSMLLEESFKQHSTLNPKQKQALARQLSLRPRQVEVWFQNRRARTKLKQTEVDCEFLKKCCETLTDENKRLKKELQTLKQSQPMYMPMPAATLSMCPSCERIVGDGGSKKSPFSMAPKPHFYNPFTNYSAAC >OIV93257 pep chromosome:LupAngTanjil_v1.0:LG18:9690845:9695006:-1 gene:TanjilG_26012 transcript:OIV93257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFAKVLKRLAPQEKNVILWKTETGDPVYQRSQKNLTKPTWPAIQFSSDEATAYRLATNEVQFFDGRDFSKGIISRLRVPGVAAVELSSTPGSHIAAFIPESKLKWNHGSTGLLVVVQSDVDKTNQSYYGESKLCYLTTDGKHEGLVPLRKEGPVHDAQWSCSGLEFAVVYGFMPAKATMFDKNCNPLLELGTGPYNTIRWNPKGKLFWDYLDKKQLAATKAEWSVTSKWSPDGRYFMTATTAPSFKLIMDIIKLYTNYSQVDWKPESPSKFVDITELIKSLDLGKVEDKKPSGQGPKTAQASTKASSANPLPQKPAAYRPRHAKTAAAIQAELLGESTGESLSKNALRNKKKREKKAASDASAS >OIV93653 pep chromosome:LupAngTanjil_v1.0:LG18:1700872:1702779:-1 gene:TanjilG_04885 transcript:OIV93653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDHHEHDELKDESLLEKISGKIHNHDSSSSSDSDNEKKTSSSSPSSIKSKVFRIFGREKPVHHVLGGGKPADVFLWRNKKISVAVLGVATAIWVLFELLEYHLLTLVCHLLIFALAVLFLWSNASAFINKSTPKIPQVHIPEEPVLQFASALRLEINQAFSVLREIALGRDLKKFLSVIAGLWVFSILGSYANFLTLFYMAFVLLHTVPVLYEKYEDQVDSFAEKATVVIKKQYAEFDAKVLSKIPIGPSKDKKKD >OIV93591 pep chromosome:LupAngTanjil_v1.0:LG18:987983:989716:-1 gene:TanjilG_04823 transcript:OIV93591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMTNTKVLLLVLCLAICVEMGHPWGEDIVEDAKNVASDAKEKTESFADWAYGKISNGFGGNDDQKPITENAKFQTEETASKVTDSVKSTASEATNYATKAAEDAKDKATDAYDQAKDKVGDAYKSTKETVTKGSI >OIV92729 pep chromosome:LupAngTanjil_v1.0:LG18:13974279:13977507:1 gene:TanjilG_00863 transcript:OIV92729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIWSSVDAVVEEIMRIHKSLPARPEIDEVEAAKALIINVEREDQVRFESIAKQSKGVEVPEELFMLLQEMQKNLVYFQSNEQKREAEKLLDLENVHSLFDELIQRASKCISSSPSNSNLNSQKTSYSSGSPTTVSTILSKNSALASRGGFELFTRDDSYVKKTKSTLYSKGYGIQPSIQSKPRILDSSLKSTTTEGQVGDKLSLIKLASLIEVSAKKGTSDLKLQNKLMDQVDWLPDSIGKLSSLVTLDLSENRITALPSTIGGLSSLTRLDLHANRITELPDSIGNVLSLVYLDLRGNQLSSLPTSFGRLVRLEELDLSSNQLSVLPDTIGSLVSLKILNVETNDIEEIPHSIGNCSSLRELHADYNRLKALPEAIGKIQSLEVLSMRYNNIKQLPTTMSSLINLKELDVSFNELESLPESLCFVTSLIKLVIGNNFADMRSLPRSIGNLEMLEELDISNNQIHNSALASRGGFGQVGDKLSLIKLASLIEVSAKKGTSDLKLQNKLMDQVDWLPDSIGKLSSLVTLDLSENRITALPSTIGGLSSLTRLDLHANRITELPDSIGNVLSLVYLDLRGNQLSSLPTSFGRLVRLEELDLSSNQLSVLPDTIGSLVSLKILNVETNDIEEIPHSIGNCSSLRELHADYNRLKALPEAIGKIQSLEVLSMRYNNIKQLPTTMSSLINLKELDVSFNELESLPESLCFVTSLIKLVIGNNFADMRSLPRSIGNLEMLEELDISNNQIHVLPDSFGMLTRLHVLRVEENPLEVPPRHIAEKGARAVVQYMTELVEREKKNVKPQPLKQKKSWAQICFFSKLYQKKGCWRC >OIV93537 pep chromosome:LupAngTanjil_v1.0:LG18:2188211:2188855:-1 gene:TanjilG_28694 transcript:OIV93537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSERAILTPTNENVHKLNDIIINHFLGEDHNLLSFDEVEGDTNNLYQQEYLNSITPGGLPPHVLKVKKGAPLMLLRNIDPKGGLCNGTRLLCRGTYMNLLDVEILTGQHAGHRAFLPRIKLKTSDNVGLPFVLIRKQFPVRLSFALTINKAQGQTIPNVGIYLPKHVFGHGQLYVALSRGVSKATTKILIKEGKIQGEEGDFTKNIVFKDILLH >OIV93299 pep chromosome:LupAngTanjil_v1.0:LG18:9052832:9053374:-1 gene:TanjilG_13126 transcript:OIV93299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLKVQLPWNVIIAAENLQPESLMLQRSIVVRLLSDFAIKKATKDLGYYLAVTTLETIGEGKVRLHTGDVLFPVVFNAITFKILKGEILEGVVHKVLKHGVFMRCGPIENVYLSNLKMPGYHYVPGENPCFMNEKMSKVGKDVRVRFVVIGTKWMEAEREFQALVSLEGDYLGLISSSDI >OIV93207 pep chromosome:LupAngTanjil_v1.0:LG18:10509433:10511518:-1 gene:TanjilG_24422 transcript:OIV93207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLLLFLLMTSFTVFAEEHYILPPTSPPPHISPSPLHPPTHSPPRISPSPLHPPTHSPLQPPHHHHHHHPSPTVAPVHSPAPSAKPPTHYNHPPTPPKHVKTPPPTHYNHPPTPPKHVKTPPPTHYNHPPTPPKHVKTPPPTHYNHPPTPPKHVKTPPPSPKVHDTIPRTFIAVQGVVYVMSCEYPGIDTLWKATPLLGAIVKLQCHNTIYTLVQTAKTDNNGYFLLEAPEIITNYGAHKCNVVLVSAPDGLEPSNINGGITGSPLRFQNTYESPKGPFTLYSVGPLAFKPKCPR >OIV93116 pep chromosome:LupAngTanjil_v1.0:LG18:11562541:11563944:-1 gene:TanjilG_20778 transcript:OIV93116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEETSKYRKYKKGVLSQTNTTMHRCKNISSSQPSGEEKVPCRCCSICNNIISSSILGHEKSRDKCGICCQVKKIGTKWFKHGYENINKNGTVVSKSLSTLTFSPSLPRRYNKRAVLCGVSYSKRKFRLKGTINDISNMWELLSKNYKFPNECIRVLTEQEANVDLIPTKRNIVESLRWLVKDCEPGDSLVFYFSGHGLQQPDFKEDEIDGFDETLCPVDFLKEGMIIDNDINSTIVWPLKNGVTLHAIIDACHSGTILDLLYVYNGESGTWEDNKPPSKEPMRKHTSGGVAICLSACEDNQMAADTKVTNFDVFISQYNTMQLNIETCFTFFSFDQHT >OIV93247 pep chromosome:LupAngTanjil_v1.0:LG18:10467466:10470238:1 gene:TanjilG_27426 transcript:OIV93247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDFWTSRIAAAKRQYALQHHHHLSSHSDRLGMDDLEAEEEVRPDFPCPYCYEDFDIASLCSHLEDEHSCESRVSICPICSVKVARDMLSHITLQHGHLFKLQRRRRLRRVGIPNSQTLSLLGRDLREAHLQVLLGGGGSGGYRSNNATVSNAATDPFLSSLILNFPASEAEEISKSVVTSSEDTSTKNTAPVHIWKSSFDSSLSVEEREKRMRQAAGRTGFVQDLFLSTLLDD >OIV92949 pep chromosome:LupAngTanjil_v1.0:LG18:13567729:13568820:1 gene:TanjilG_20611 transcript:OIV92949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHIDREKNIDKQQSQDRRSNEMQQDGGRQWVQPQKPQASQQCPHCESLDTRFCYYNNYKSTQPRYFCKKCKRYWTQGGTLRNVPITRRIFKGKRTKSPSTSQLHQPLSSSSLQEVVVQTQHLQPQETVVRTQLPNFKTFMMVRNLSHMVAPPTSHFYQGGHENLSSIGTIHSMTPSQPSTFDQSLGVGVSVDGSSSNLGHAFDFTSNSLVCQGGNEYLSSMEATHAIRPSQPHTFDQSHGIGVGVVDSSSKLGHAFDFTSNFLISQHPFQPTQFYQMGNKESDVNSLDTPQDLFIPSSIAHHNINDASHNDCPQNFINNSTPDALFSSTINNSTTSISGNNERNNPYTPSQWYEFSGYDTLP >OIV93293 pep chromosome:LupAngTanjil_v1.0:LG18:8999272:8999681:-1 gene:TanjilG_13120 transcript:OIV93293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILDHEAVGGVVTHCGWNSTREGIGVGVGAQTWIGMMGGEPVKKDAIEKALKRVMVGEEAEKMGKRAKELGQKARMAMEEGGSSYNDFNSLIEDLRYVTFDVCISFLL >OIV93006 pep chromosome:LupAngTanjil_v1.0:LG18:13020856:13024992:1 gene:TanjilG_20668 transcript:OIV93006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSDPFTDKNIVFRKLKAKSENKMCFDCNAKNPTWASVTYGIFLCIDCSAVHRSLGVHISFVRSTNLDSWSREQLKTMSFGGNNRAQVFFKQHGWTDGGKIEAKYTSRAAELYKQILSKEVAKSMTEEASLPSSVVASQSAQVANGFPEVRTNESPKENSLGKTETSESTSSPRASHTTFSSTVKKSIGAKKTGKTGGLGARKLAKKPSESLYEQKPEELPAPVSSSANENLPTGPSPPSRFGYVENVQSADLNSGAGDTNVLGHVSIPKSSSFFADFGMDSGFPKKTVSNSSKVQIQESDEARKKFSNAKSISSSQFFGDQNKAEDLAAQATLSKFSGKSAISSADLFGDSDSSIDLAASDLINRISFQAQEDISSLKNIAGETGKRLSSFASTFITDLQDRIL >OIV92740 pep chromosome:LupAngTanjil_v1.0:LG18:14073550:14075768:-1 gene:TanjilG_00874 transcript:OIV92740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTAKCFFVTGPPGVGKSTLIMKVFETLNVSNPNLKVQGFYTREIRQTGQRVGFEVVTLDGRTCPLASSKFSSPESLRWPSVGKYKVDVTSFESLALPELQVREDTDLFIIDEVGKMELFSSSFFPAVLKVLESNVPILASIPIPKFGRDIPAVARLRNHAGATCFTLSVGNRDAVTEQIRSLLEDLLIKH >OIV92847 pep chromosome:LupAngTanjil_v1.0:LG18:14898812:14906212:1 gene:TanjilG_00981 transcript:OIV92847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSCCKSLSKTKSLTPAPPPLPPAANVCSSKCLLKNNYASRGRSYVSSATKDLWGRLFSEGYKADVCINTENGGVVYAHSNILAMTSPVLRGMLKQAKRLDRWRSILISGVPHDAVRAFIQFLYSSCYEKEEMEEFILPLLVLSHVYVVPHLKSECEQKLELDVLTIDNVVDVFQLSLLCDAPRLSLICHRMMLKNFKAVLESEGWKAMKQSHPVLEKGVLLSVAEEENAKSERIRKLNERKTYLQLYEAMEALVHICRDGCKTIGPHDKDLKANQPCKYTACKGLELLVRHFAGCKPRVPGGCVHCKRMWQLLELHSRLCADPDYCRVPLCRNFKQRILKQSKKDETRWKILVEKILRTKGVGFTQTNTTPHCSVPMSQVENTMDNVRASSAWVATRSSHVLIDSEAIEKVVKTIDTIPKVEWDFEGIHYCDNGPLSVQYLLVLDALNFCFWPDKDLNYDDLASGLKEALQNDKSAFDADRLQKYTGPQLRELLKWPRPLPLEDERVRLLHEVGIELERSFNGKASNIVECCGKSAANLVDIVARHFPGFRDHSVYKGRQIFIYKRAQIFAADLWGAFRGQGYGEFKDIGSLTIMADYIVPAVLRQFGVLKYSPTLASTIEASGEIGAGTEEEVELRACSIHAVEKMRELISVKSGREVLSVELDLWLWASGVQLASLQHHRTLSIYY >OIV93290 pep chromosome:LupAngTanjil_v1.0:LG18:8911772:8912837:-1 gene:TanjilG_13117 transcript:OIV93290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNTLGAKKTTKVMQIDGTTFKLKTPVKAGDVLKNHPGLVLLESEAVKHYGTRAKPLEAHNELKSKRLYFLVELPKVTKPRRVRSGINMSAKDRLESLMLTRRSASDLSIMKQNNTGHGRGGGNDDGEGSKENDDGVRLRMRLPKAMVEKLIQECKDEGEAAERIMSLYMANGNRESGFEIEEIEEKTIVLDQQMHSKGGREERKRVSFMPISKGGIQVAVAS >OIV93033 pep chromosome:LupAngTanjil_v1.0:LG18:12666109:12666576:1 gene:TanjilG_20695 transcript:OIV93033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSFTRLSWWLWGSKEKGDVVSNSSPLNSSSEWGRETVKFRLVKGTKIAPPSHRKVKRKWQSREERRMDRDHDVVLVPSDGGGCLSGSESDDSDWSIGWLEPHGSDFQSDDESDNNFAVLVPCYRPGCKEVEGSNNELLSVIKNLPNELSPGKI >OIV93155 pep chromosome:LupAngTanjil_v1.0:LG18:11164622:11168323:-1 gene:TanjilG_20817 transcript:OIV93155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYHYILGGVLVSSLTVVYFMYNFAKKKGASSRSMHVLESNEFAKTSENVTCNEEIEGSTDIIIVGAGVAGAALAYTLGKDGRRVHVFERDLSEPDRIVGEYLQPGGYLKLFDLGLEDCVSEIDAQEVFGYALSKDGKDTKLPYPLEKFSSDVSGRGFHNGRFIQRMREKAASLQNVKLEHGTVTSLLKENGTIKGVNYKTKSGQEFTAKAPLTIVCDGCFSNLRRSLCNPKVEVPSHFVGLVLENCNLPYANFGQLIVGDPSPIVFYPISSTEIRCLVDVPGQKLPSLGNGEMAQYLKTLVAPQVPPELYNSFNAAVDKGNIRTMPNRSMPASPYPTPGALLMGDAFNMCHPLTGGGMTVVLSDIVVLRDLLKPVHDLHDSSALCKYLESFYTLRKAMASTINTLSDALYKVFCASPDPARKEMRQACFDYLSLGGVFSDGPTALLSGLNPSPLILVLHFFAMAVYGVGRLFIPFSSPKKMWIGVRMISSASGIIFPIIKAEGVRQMLFPATVPAYYRTPPVY >OIV93277 pep chromosome:LupAngTanjil_v1.0:LG18:9254578:9261515:1 gene:TanjilG_23118 transcript:OIV93277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIKPGLSALVTGAASGIGKGLVLALAEKGIFITVVDFSEENGREVAALVQKINAKFHPKLDFPSALFVKCDVSNSRDLAAAFEKHYLTYGGLDICINSAGIGNPIPFDKDQTDGTRSWKHTVNVNFTAIIECTRLAIKTMEAAKRPGVIINMGSASGLYPMYNDPLYSGSKGGVVMFTRSLRPYQRKGIRINVLCPEFIETEMGLRVNSKFISLTGGFIPMEMLVKGAFELITDESKAGHCLWITNRRGLEYWPTPSEEAKYLTSSASRFKKRSEFNAPPVKIPDSYEKIVVQTLTHNFRNATTIVRAPLRLPVKPKHVLVKIIYAGVNASDVNFSSGRYFGGNNSDTASRLPFDAGFEAVGIIAAVGDSVTDLKVGMPCAFMTFGGYSEFIMINSKHALPVPRPDAEVVAMLTSGLTASIALEKAGAAKMESGKVVLVTAAAGGTGQFAVQLAKLAGNTVVATCGGAAKAKLLKELGVDRVIDYHSEDIKTVLMKEFPKGIDIIYESVGGDMLNLCLNALAVHGRLIVIGMISQYQGDSGWTPSKYPGLLEKLLAKSQTVAGFFLVQYGHFWQEHLDKLFNLYSTRKLKVAVDPKKFNGLHSVSDAVEYLHSGKSVGKVVVCVDPSFHPQVAKL >OIV92621 pep chromosome:LupAngTanjil_v1.0:LG18:16314408:16315881:-1 gene:TanjilG_17972 transcript:OIV92621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMFHLRFVFIPLFIILQLSVIEFVNGGFSVNLIHKKPSASSLLLQNTPQSKTNAYLGHYLMELSFGTPPVKIYGIADTGSDLTWTQCVPCIDCYKQLNPLFDPTKSSTYSNISCQSDKCSHWLDTGVCSSQNQCNYTYAYASASVTRGVLAQDTVTFTSPSGNPISLPGIAFGCGHNDTGSFNDHEMGIIGLGGGPISLISQIGPSIGGTKFSQCLVPFHTDVSITSKISFGDGSEVIGDGVVSTTMVSKEDKTPYFVTLNGLSVGDKYLPFDSSSNTVAKGNMFLDSGTPPTILPNKLYEELVDEVKKSVALTPIVNDPELGNQLCYRTSTNVNAPIITAHFEGGDVKLNNVQTFITPKDGVFCLGFTNTSSDGGIYGNFAQSNYLIGFDLDKHTVSFKPVDCTNQQ >OIV93328 pep chromosome:LupAngTanjil_v1.0:LG18:8368667:8372038:-1 gene:TanjilG_23264 transcript:OIV93328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPTQKHCPCSLLILAITFSLLNSATAESATYNVVNFGAKSNGQKDSTNAFLSVWSKACASATPAMIYVPQGRFMIGSGATFRGPCANKAISIKIYGTLVAPSDYHVVGHSGNWLHFDRVTGVSISGGVLDGQGTALWGCKNSAKSTCPTGATTLTFSNSQSIVISGLTSINSQMFHIVFNGCKNVKAQGITVVADGNSPNTDGIHVQMSSHVTILNSEIRTGDDCISIGPGTNNLWIENVACGPGHGISIGSLGKDLKEAGVQNVTVKTVTFTGTQNGVRIKSWGKPSNGFVKDVLFQNAIMVNVQNPVVIDQNYCPGNKNCPGQASGVKISGVTYQDIHGTSATQVAVKFDCSSRYPCRGITLKDVKLTYQNQVAQASCNNAGGAALGSVQPESCF >OIV92896 pep chromosome:LupAngTanjil_v1.0:LG18:15264711:15268725:1 gene:TanjilG_01030 transcript:OIV92896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLHQQTNLAIVLTILMVYTIRAQKCDHHCGEQTLQYPIGFSEGCGVQLNCTNNRIHLGEFDIQNVTANSIFINLPSKCNRSMQSIAPLFSNNFAPTWNNSFLVQDCSTPLGDCVIPTSIFLGNQVEVENCDNKSGNISCFTRSQKQHEELDVVAYEDLDQSSCKFLFSAIAIGQKIEFQIVELGWWLQGSCGQCSFNTTCTTVNLQGGGFGFRCQCFEGFVGDGFINGTGCSKVISSSSCSASTLTSGVCGKSTKIGVLVGVIIAGAVVVVVLALVCYCARRRSTWLRKHTRAKHLIHEAAGNSSVPLYPYKEIERATNSFSEKQMLGTGAFGTVYAGKLHNDEWVAIKKIRHRDTNSVGQVMNEIKLISSVSHPNLVRLLGCCIEGGEQILVYEYMPNGTLSEHLQRERSKGLPWTIRLTIATETANAIAYLHSAIHPPIYHRDIKSTNILLDYSYKSKVADFGLSRLGMTETSHISTAPQGTPGYVDPQYHQNFHLSDKSDVYSFGVVLVEIITAKKVVDFARPQSEVNLAALAADRIRSGSIDEIIDPFLEPNRDAWTLYSIHKVAELAFRCLAFHSDMRPTMTEVAEELEHIRRSGWATMEENICTASSFGSVSSSPLRNGSERSLSGINLKRAGKGSERLIVPQKAESILQIVEEKDNSPISVHDPWSSGHSSPSTNSLLENVVQ >OIV92940 pep chromosome:LupAngTanjil_v1.0:LG18:13621426:13630640:-1 gene:TanjilG_20602 transcript:OIV92940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNYEGKSVTSGGDVSSGNMIFEPILEDGVFRFDCSVNDRHAAYPSISFVNSKDRDTPITNDKVPSYTPTFQCLLEQQVVKLELPIGTSFYGTGEASGQLERTGKRVFTWNTDAFAYGPETTSLYQSHPWVLAVLPNGEAIGILADTTRRCEIDLRKESVIQFVAPSSYPVITFGPFSSPMKVLISLSKAIGTVFMPPKWSLGYQQCRWSYLSDQRVLEVARTFREKRIPCDVIWMDIDYMDGFRCFTFDKEHFRDPKSLVKDLHDNGFKAIWMLDPGIKQEEGYFAYDTGSKNDIWVQKADGTPFIGDVWPGSCVFPDYTQSKARVWWANLVKDFISNGVDGIWNDMNEPSVFKILTKTMPESNVHRGDSELGGCQNHSFYHNVYGLLMARSTYEGMILANKKKRPFVLTRAGFVGSQRYAATWTGDNISTWEHLHMSISMVLQLGLSGQPLSGPDIGGFSGNATPRLFGRWMGVGSLFPFCRGHSEAGTNDHEPWSFGEECEEVCRLALKRRYHLIPLIYTLFYFAHTRGIPVATPTFFADPGDPSLRKLENSFLLGPVLVYASTVCSQGLDKLECTWPKGIWLSFDFGDTHPDLPALYLKGGSIIPVGLPLQHVGEANPSDDLTLLVALDEHRKAEGFLFEDDGDGYEFTEGNYLLTHYVAELQSSAVAVSVHKTEGSWERPKRRLHIQLLLGGGAMLDTWGMDGEVLQIILPSEEEVSKLVSASEKQYKERLESTIQIPDVEEVSGPKGAELSRTPIELKNGEWVLKVVPWIGGRIISMMHIPSGTQWLHSRIEVGGYKEYGGTEYLFSGCAEEYTVLDRKLEHAGEEESVVLECNIGGGLVLQRQIYLPKNAANVIQIDSSIIARNVGAGSGGFSRLVFLRVHPTFSLLHPAESSVSFTSVDGSTHEIFPDGREQFFEGNLIPNGEWRLIDKCLGLALVNRFNVAEVFKCLVHWDSGSVNLELWSEGRPVSKQSPLRISHQYEVVRIP >OIV93368 pep chromosome:LupAngTanjil_v1.0:LG18:7406513:7410515:-1 gene:TanjilG_21079 transcript:OIV93368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHIEELKGVEVVEEGGEGGKVSSVVVSDAKRVLVGAGARALFYPTLLYNVVRNKIQAEFRWWDKVDEFVLLGAVPFPIHVPRLKELGVCGVITLNEPYETLVPTTLYYARGIDHLVIPTRDYCFAPSLCDICQAVNFIHENALSGRTTYVHCKAGRGRSTTIVICYLVHHKLMTPDAAYAYVKSIRPRVLLASSQWQAVQEYYCHLMVRGAVGCAPAGNLSVKPYEAAAAASRDHVMFDDSSVVVVTESDLEGYDPSIHSGTMTSEIWTDLSVVYRTRVVGQAALARISCLWLRYSTNQKISSEKLSRESSCTIRTDHSGGISVDIHVY >OIV92650 pep chromosome:LupAngTanjil_v1.0:LG18:16150770:16152515:-1 gene:TanjilG_18001 transcript:OIV92650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHHSCCNQQKVKRGLWSPEEDEKLIRYITTHGYGCWSEVPDKAGLQRCGKSCRLRWINYLRPDIRRGRFTHEEEKLIISLHGVVGNRWAHIASHLPGRTDNEIKNYWNSWIKKKIRKHSAVTSSAAIAQSVDHPHHQFNYNQNNLTITAKTPLQETLFPSTTCPLFMFDTSSLDTGITLTDTNGKGEQLFQDNNDCLSSQTWTLSHHQVQALPTTFTPSLVMDNANFLPPLIENIEDMAPNDEVHHCSIDEEEEITLECLHRQEFNQLWVETQQQCPSFLFWDNLEGQLGGEALVPTSSNMEINTLSPFPSTL >OIV92903 pep chromosome:LupAngTanjil_v1.0:LG18:15320732:15321643:1 gene:TanjilG_01037 transcript:OIV92903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDKAASTVISSFNFYLSEHPTVVGFRWSHTQWGSTWSFLFTSIAVYILFSLFLHLLFSFLLRRTRPVPLGPLPALHSLTMSLVSVTIFIGIFLSAVAEIRDTRWFWHRYKTPFQWLLCFPLGTRPSGRVFFWSYVYYLSRFLHMLRTVFVILRRRRLAFFQLFNHSISTFMSFIWLEFSQSFQVLAILFTTLAYSAMYGYRFWTAIGLKSACFNFVLNCQVVLLGFNLVSHVGVFLLHFFKGGCNGIGAWIFNSVLNGAILLLFLNFYVRLYLSKRRKVKSVGDESESNSVVEGDMHKLKPN >OIV93242 pep chromosome:LupAngTanjil_v1.0:LG18:10355243:10356878:-1 gene:TanjilG_27421 transcript:OIV93242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKNGYKSNELFQAQAHLYKHIQSHLMIMSIKWAVELGIPDIIKNQTQPITLPQLISALQVPQSKTTCVQRLMRLLAHNNLFVITKKIDSNNEATEAYGLTPSSELLVKGTDHSLSSMVEFITNPTLVDMFHHLGKWTFSEELTLTEVALGSGGYWKFLQQNPGHLKRYNEAMESDSHLIRSALKDCQPVFEGLDSLVDVGGGTGTMAKIICEAFPKLKYIVLDLPQVVTGLTGSNNLSFVGGNMFEYVPQADAILIKWVLHNWNDEDCIKILKNCKEAISGKEKQGKVIIIDIVINTKQDEHDMTELKLFLDIAMMTVHNGKERDENEWKGIFKKAGFEHCKIFPIFGFRSLIELYP >OIV93131 pep chromosome:LupAngTanjil_v1.0:LG18:11415293:11416908:1 gene:TanjilG_20793 transcript:OIV93131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRNVEEVEENVPVVISSNKTRIRRRPDPFLVACRCFSFVTCLAAILCIIVNILSAVRSFRHGSDIFDGIFRCYAVVFAFLVFLAETEWSFIIKFWQAVAVMTRAFPDYFGERKELVTFQSMASYLLLACGLVYVVSGVLCIGFLKRSRQKQEITVEQAAKDLEVCCYI >OIV93466 pep chromosome:LupAngTanjil_v1.0:LG18:4466271:4468007:-1 gene:TanjilG_21054 transcript:OIV93466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNYNTQFIGDENRPPAQVFRDKIMSMADVGVGGEDVVITFEVTTVDSDTKALKILSLVEDVLRNFDTLDVEVNMIITDYCIPGMTDYDILRKIKESKSLKDIPVVIISSDDISSRLNRCLEEGAEEFFPKPVQQSDVNKLKPHLLKSRVKKEQDKPINNKRKGMEESYSPNKNRQKCST >OIV93340 pep chromosome:LupAngTanjil_v1.0:LG18:8231247:8231711:-1 gene:TanjilG_23276 transcript:OIV93340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASDEKISNTVVNEDDDDEDEDQNDVVSSIGKNQRTKPRFGVLQRQFSKAKSKFRRIKSKRVLLPSSSLSENANSNTGSGKNKSMNNRTYDYDDDRRSGGCKLCFLRPKVLESFDGSSTTSDPNNPNFTHPMLRVLIENNDFYSKDCNPHLDC >OIV93636 pep chromosome:LupAngTanjil_v1.0:LG18:1471287:1471984:1 gene:TanjilG_04868 transcript:OIV93636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTKSESYFVFLNYDPEYQRLLNDRTKRGTNELDLYLNRKHDEVLARTLEPGSYKKTCSFVIVDGFEVEITEDQANVLRSVKEVRVVEKNEEFP >OIV93185 pep chromosome:LupAngTanjil_v1.0:LG18:10765723:10768801:1 gene:TanjilG_20847 transcript:OIV93185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVEHDNNNNGVWSPIGSPLNREEHQQQQQRWSNFESSVNSVSFGFVATAILISMFLAMAIFERFIRPSSTPASSGRRRTVDVESQMGFNGKLSYPSPKMSVYANEVSVLMPGDEIPTFIAHPAPAPCCPERISWPSHQHNTLPCSTSNTLHNNNSIN >OIV92742 pep chromosome:LupAngTanjil_v1.0:LG18:14080141:14082201:-1 gene:TanjilG_00876 transcript:OIV92742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQKNVVTWTTLISAHLRSGSFTKAFHMFNLMRGADEKPNEYTLSVMLRACSNRSLLDVGLQIHGVLVHSGLERDKFAGSSLVYMYFKAANDVDDACRVFHELLERDLVTWNLMISGFAQVGDFSVVKKLFSEMWEVHGLKPDHDTLVSLLKCCSSLQEVKQIHGFASKFGADVEVVLGSALVDLYAKCGDTSSCRKIFDSMEEKDNFIWSSIISGFTKNNRGEEAVHFFKDMCRKKVKVDQHVLSSTLKACHEIEDLNIGVQVHGQMIKYGHQNDCFVASVLLSLYASFGELVDAEKLFKRIADKDIVAWNSMILAYAWLEQGSAHSMQLLQELRRTISQIKSATLVAVLKSCKNKSDLPAGRQIHSLIIKSSVSHHTLAGNAILHMYSECREIVDAYKAFVDIVRKDDGSWSSIIGSYTQNGMESEALELCKAMLADGIAFSTYSLPVCISACSQLLAIDVGKQLHNFAIKSGYTHDVYVASAIIDMYAKCGNMEDSDKVFGEQLQPNEVIYNAMISRYARHGKAQEAIEIFSRFEKNGLTPNHVTFLAVLSACSHVGYVEDALYFFTLMVNIYKIKPESEHYSCVVDAFGRAGRLEEAYQIVQKYGSEPAWRTLLSACRNHNNIKVGEKTALKMTELYPSDHTPYILLSNIYTGDGKWEEAVKWREKMAKINVKKGPGSSWLI >OIV93341 pep chromosome:LupAngTanjil_v1.0:LG18:8224734:8225096:1 gene:TanjilG_23277 transcript:OIV93341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNRAAARGNHGGGRRRPIGPRHSQQEVAGGTLTDRAAPAAAPKLTVITGANRDEPKHILCVAGEEETRSGTLPDCVAACISAPMAPHRLQSNFDGVSIVQKCFVQGCTSNKFRTPLFMA >OIV93568 pep chromosome:LupAngTanjil_v1.0:LG18:733059:734176:-1 gene:TanjilG_04800 transcript:OIV93568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTPKKITLKSSDGEAFEVDEAVALESQTIKHMIEDDCADNGIPLPNVTSKILAKVIEYCKNHVEKPADDDLKAWDVDFIKVDQATLFDLILAANYLNIKSLLDLTCQTVADMIKGKTPEEIRKTFNIKNDFTPEEEEEVRRENQWAFE >OIV93351 pep chromosome:LupAngTanjil_v1.0:LG18:8146120:8147503:1 gene:TanjilG_08764 transcript:OIV93351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATFFGSPPIFSLPQTRTYQISSSSQIPPPTLPSQPQNSSTSSPSKLSINNVNEESVQQKPIKPVTSSTKVDSTDWIATSLTRRFGLGAGLAWVGFLAFGVISEQIKTRLEVSQQEANTRNVEKEEEVVLPNGIRYYELKVGGGATPRPGDLIVIDIMGKVEGTGEVFVNTFERDQKPLALVMGSRPYSKGVCEGIEYVLRSMKAGGKRKIIVPPTLGFRENGADLGTGVQIPPLATLEYIVEVDKVSIAPA >OIV93065 pep chromosome:LupAngTanjil_v1.0:LG18:12247144:12253743:1 gene:TanjilG_20727 transcript:OIV93065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEMYGVPSSTTANYAEKHLITPENLIFPPDYHSFLMSSSSSVRIPMFGSDDLLSAAVTSSAAIQQQQREQDQHEVHISTVMKAKIASHPHYPRLLQAYIDCQKENYCNMLVKYKSDLARPFDEATSFLNNMEMQLSHLCTGGANSVPTLNSDDGCVSSDEDFSIVEGDVQDGQLGSEDRELKNRLLRKFGSHIGTLKLEFSKKKKKGKLPKEAKQTLLQWWNLHYKWPYPTETDKIELAKTTGLDQKQINNWFINQRKRHWKPSENMQFSMMETI >OIV92878 pep chromosome:LupAngTanjil_v1.0:LG18:15123371:15125083:1 gene:TanjilG_01012 transcript:OIV92878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KTYDLVDDPVTNDMISWSESVNTFVVWKNAEFAKDLVPKYFKHNNFSSFVRQLNTYGFRKIVPDKWEFANENFKRGHKHLLSHIKRRKMTLPQPPKVGKSNVDDNSPSNSGADEMVSTFTSSPDSKKSISLEVTNLSSENQKLKKDNETLSSELTHVKKCNELVVFLRDYLKVNPDQIDRILGQGNCGSTHETMCYDDDQNVVGESGVGSGNSLKLFGVWLKEEKNSNHKKRKHQDQMGLIWPRSKELKTVVDFSSDMSMMKSN >OIV93606 pep chromosome:LupAngTanjil_v1.0:LG18:1120935:1125884:1 gene:TanjilG_04838 transcript:OIV93606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGSSLLPPQVPMELHIRNRKKLLESLHQHLSHSSRPLHGFVLLQGGEEHMRHDTDHVDLFRQESYFAYLFGVKEPGFYAAIDVANGETILFAPRLPDDYAVWLGEINQPSYFKEHYKVDKACFTNEIASVLEQRYQGSGTPLLFLLYGLNTDSNNFAKPAEFQGIDKFDKDLTTLHPLLTECRVIKTEMEIALIQYANDISSEAHIEVMRNVKVGMKEYQLESMFLHHTYMYGGCRHCSYTCICPTGENSAILHYGNAATPNDKTLEDGDIALLDMGAEYHFYGSDITCSFPVNGKFTSDQSLIYNAVLDAHNAVISEMKPGVSWVDMHILAEKVILESLKKGNILVGDVNDMLSARLGGIFMSHGLGHFLGIDTHDPGGYLKDLKRINEPGLKYLRTVRELQEGMVMTVEPGCYFNDSLLLPAMNSPQLSKFFNQKVINRFHGFGGVRIESALVVTATGCYNMTKCPREIHEVEAVMAGAPWPPKI >OIV93700 pep chromosome:LupAngTanjil_v1.0:LG18:372048:372320:1 gene:TanjilG_16551 transcript:OIV93700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFRLPGIIRRASFQAASKAVEVPKGYLAVYVGENMKRFVIPISYLSQPSFQELLNQAEDEFGYDHPTGGLTIPCREDAFLDLISYLTRL >OIV93600 pep chromosome:LupAngTanjil_v1.0:LG18:1060971:1075159:1 gene:TanjilG_04832 transcript:OIV93600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGEIEFEESNRRKWIYTWESQSHTPTLRLFLFTTLFNPSLQCHNLTVQFHSSNSHLLLLTWTNDEDSTPSNFLVTIPRVLVDTDSPINFRAFSDHIKVKLILLLPVDHRIFSGLSLLEEGETTSFQDASKSLVMESDVEKLSSGGEFNFYCRNCAFKLTRNSLKSFVEMPSTNWREVADNWFGTCCCSFGGISEKLVVRYANSYTCAQGVCLLSSTSITLCKDDVVEYNFPEGCEQQECDSIQDNRRDDGVGGGTINCGSNKEKTSTCSDASDVIKAFDENSRFAPNDENLSVNLRCGVAINKMDHGDFSHSSPDSNIAEDVSMTHSCCSHMTNILGDENSEHHSSDNSRKQWMPSETVEILENQRSFLDGYLEDVFMARASNISKDINWHEFTCPQCSSILGAYPCCEGHAPVDGGVRFFKCYISTCVPVGGAGDMFSKYTLDRMFANQLTECANDETTFRFVIRDMGYVDFGRFDKFNYFVAGSGQSDFVQEFYNGDLMGCEQSYDKMGRTAKVNIKCGSCLNGQCQGHPGCICNVSFESNCRVIVDLAIPCDKPSSKVFQGFTVGFHPRSWELVYNGMTQFGFEKPHSDFSFQTSQTQAVLFMTAVASLSSLVQKPSLQVFPNSGLEVKLSGSAASGKPPTTLSPSMLIVDWRCEVARDTPYEVNVTIPVEGYEPIEFMLTKICDYKQDQEGGTTRGWAIFGVISCIFFVSSTLFCCGGFIYKTKVERQRGVDALPGMTVLSACLETISGAGQGYSRPEDQNSAFASEASWERPPASSSQGAAAQRPTERKYGAI >OIV93335 pep chromosome:LupAngTanjil_v1.0:LG18:8276802:8277551:1 gene:TanjilG_23271 transcript:OIV93335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDRLFVRDSWDRKLKRKIEESSIMNKDSELSLSLSLGSNMLQESSSKRKVYEDSSFDPKLAENSNDKETIIPKEQQFSCKFCDKKFANSQALGGHQNAHRRERILSRMNKEFAMGTFGHNAHMCPYSSMANRHHPYHGAHMHPMTHMSPMPWRRFELGYGNQGLYNTSFPGHQFGMASNSMGTSAQTPQRLNHRDVGFGSELHQVPSHSEGIINRSITAPNDLEGLQGNHHARNQHIASPRPNLSLNL >OIV93306 pep chromosome:LupAngTanjil_v1.0:LG18:8669152:8675184:1 gene:TanjilG_14557 transcript:OIV93306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSGSTMQTHFVDINEEAPEAHPVAPPPKQSTLHRLKVWIKETFFPDDPLRLFKGQTLQRKLILGAQYLFPVLEWGQKYNLKLLKSDLISGITIASLAIPQGISYAKLANVPPILGLYSSFVPPLVYVVLGSSKDLAVGPVSIASLVMGSMLQDEVSPNEDPDLFLKLALTSTLFAGLFQAALGILRLGFIIDFLSKAILLGFMAGSAVIVALQQLKSILGITHFTKNMAIIPVMKSVFHKTDESKKKPKLFWVSAGAPLVCVIISTILSFAIKAQHHGISVIGKLPKGINPPSTDKLIFNGTHLGLVIKTGFITGILSLTEGIAVGRTFAALRNYRVDGNKEMMAIGLMNMVGCTTSCYVTTGSFSRSAVNNNAGAKSAMSNVIMSLAVMVTLLFLMPLFHYTPNVILGAIIITAVIGLIDIPAAYLLWKIDKFDFLVMLVAFFGVIFISVQYGLAIAVGLSILKLLLQITRPKTVMLGNIPGTDIYRDLHHYKEAARVPGFLILRIEAPINFVNITYLHERILRWVEEVEEDNILKDFSLQFMILEMSAVSTIDTSGVSLFKELNTALQHKGVELALVNPLAEVLVKLRKADVGHNNIRDDYLFVTVGEAVTSLSSTLKTPTVREEAQETVVEY >OIV93313 pep chromosome:LupAngTanjil_v1.0:LG18:8417515:8418378:-1 gene:TanjilG_23085 transcript:OIV93313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKLLIFKLHRDIAESILIIALWFWLESIGYPNLIFKVKAQIDTIINILANEAVDCLKTLEAGNPNIVNGGGLRLTKVLVEKDISIKIFIEQRYTVIAGIKSVLKNICAPIFEDILRIILKNNYTHKTSTSSRAIASKTPLVVPGFPHPIFGTFDIPSKKMNLDLLDTRIWVKNLPFSDVSDDDKAMFLTFSHGFPMSTKNVAQLIKSAYGDCVQSITLGIVDKDDQPLYAIMVLDSVEALDRILKGKRIANYQIGWKQIWACKYEPHNWMVKDLENSTKLESRYHM >OIV92835 pep chromosome:LupAngTanjil_v1.0:LG18:14820319:14823254:1 gene:TanjilG_00969 transcript:OIV92835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIFYQRHIDQEIESLIERIHPPRVCIDNDSCRYCSVVKVDSANKHGVLLDMVQVLTGLELIISRSYISSDGGWIMDVFHVTDQAGKKLTDQKLELDIQKALCTTRSKTPQSQQNVSKENTVLEMTVLDRPGLLSEISAVLMYSGCHVTSAMAWTHNDRAACIIYIEDATKHGPINDPTRLAQVEEQLENVVVAHGGRRERKSVRMTTVGGGCTHPERRLHQLLYADRDYESCSACHGDSNGEHKKICDGTHVSISKCEDKGYWVVNLRSSDRPKLLFDTVCVLTDMQYVVFHAITTSKSSIADQEYFIRHIGDNSTLDNESEREKLILCLISAIERRVSHGLRVDICTENSIGLLSNVTRVFRENGFSISRVEFGTDGEKAVGTFIVTDSSGEEVNPSIAELVRQECGGTVVIDNKSPHRVSPSIKAETKNNIEANPWFSLGTMLWSQLERLSSNFGLIHS >OIV92884 pep chromosome:LupAngTanjil_v1.0:LG18:15168243:15171914:1 gene:TanjilG_01018 transcript:OIV92884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFPGSLNVLMNATYVVFAFISALCLSAIKGIIVGPIAALLLILGNVGVILGLFPAHVAWTVYTLLKMQIFDIPMKVAILLVLPGLFVLWLGLGVCGSVIVGLGYGFFTPCIATFEAFRHDHDSKKFLHCIVDGTWGTINGSWIVVIDFVDVCYHSYPSYLKELREKPCSDEHERLRLVHVPGCIVVGIIGLIVEVPLFTTLAIAKSPYLLFKGWFRLVHDLISREGPFLETACVPILGLLIFVWPLIVIASILVAIFSSIIVGLKASIIVYQERSFCRGLAYVIAMVAEFDEITNDWLYLREGTFFPKPQYRKNKDAQSTEFSVRGNSSVDGSRLNAPTEAPAMLVPNLAHSRSVRQTIQEVKMVQIWGNMMKSVEMRGKDLLDSNVLTAADLYEWLKGKNNDLAAIVDVGLPCYSLLQTLIFSIKSNSSGVLLSDGFEINYLNRPKDKLLDWFFNPVMVLKEQIRVNKLEESEVRYLGKVLLFGSDMQRMQACDDDHSVLQDAVRAAQIQGLARRMIGMVRSVSKLPTYRRKFKQVVKALVTHSIDNDVSGRSERSMISEASEENV >OIV93310 pep chromosome:LupAngTanjil_v1.0:LG18:8731973:8734306:-1 gene:TanjilG_14561 transcript:OIV93310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLETREAAFAFFKLALGENYDSGEIVHLCCTAAHVLAAQKLQLYAQDMVSWVIGRVGASRSKELVELMWKNHSEYESDFSVLNTLMRGFLNVGMSSEALNILHRMRVVGVRPSLSAVTILISLLLRIGAYGSVWKLFKDMLCKGPRPSRITFNMMIYWFCRQNGLVIAENLLHLMPKFRCSADVYTYNSLIHAYCNKGQTSVALERLNLMIKSGCEPSISTFNTIMHALCREGNMTEAQKLFDGLQEMGVTPNTMIYNTLMDGYVKAREIGHASMLCEEMRTRGVSPDCVTFNIIVGGQYKYGRKEDWNRFLTNLIVTGFFQDCSPFDVTISWLCWAGKLDEAMKLLQDVLEKGLTLSVVAFNSLIGAQSRAGLEEKAFESYRIMVKCGFTPSSSTYNSLLMGLCRKGRLQEAMALLSRMSEKGFPVNKVAYTVLLDGYFKINDLDGAQFLWSEMKERGIYPDVVAFTALIDGLSKAGMVEEAYEVFLEMTALGFIPNNFAYNSLIHGFCNSGMMTEALKLEKEMRLKGLLPDTFTFNILIDGFCRQGKMKSAVDTFLNMHRIGLMPDIFTFNILVGGYCKAFDMVSADQVVNKMYTCGFDPDITTYNTRMHGYCSIRKMNRAVIILDELVSAGIVPDTVTYNTMMSGICNDILDRAMILTAKLLKMGFIPNVTTTNILLSQICKQGMPEKALLWGQKFREISFDFDEISYRILDQAYRLTQTDVELVTVRGTYEKSLFLDFLMYITFDYFSRNKPHQIENENSLELIESQFVAL >OIV92652 pep chromosome:LupAngTanjil_v1.0:LG18:16137770:16138246:-1 gene:TanjilG_18003 transcript:OIV92652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFPELLIPKAFVHILSLLGFIRNLILIFFRYLGLHDFLEPDIVWPHPPPQFQSVSAVLIREILPVAKFSDLEEPSAESCAVCLYEFEADEEIRRLRNCRHIFHKGCLDRWIEYDQKACPLCRTPFIPYDMQRAFNERLWLASGIPEFYSEYPHITDF >OIV93630 pep chromosome:LupAngTanjil_v1.0:LG18:1396913:1403620:-1 gene:TanjilG_04862 transcript:OIV93630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADISNYSGHDGSSIQRPTIKFTKLFINGEFVDSISGKTFETIDPTTCEVTARISEADKEDVDLAVKAARQAFDFGPWPRMSGLERSKIMLKWADLIEEHVEELAALDTIDGGKLYHYSKDYEIPLAASMLRYYAGAADKIHGQVLKASMEFHAYTLMEPVGVVGHIIPWNGPSFLLFTKVSPSIAAGCTMVVKPAEQTPLSALFYAHLANQAGVPDGVINVVQGYGHKAGAAIASHMDIDAVSFTGSTEVGRKIMEAAARSNLKQVSLELGGKSPLIVFDDADLDKAAEFAVLGIFFNKGEVCCASSRVLVQEGIYDEFEKKLLEKAKAWAIGDPFDSKTQHGPQTSKDQYEKILSYIEIGKREGATLLLGGKPVDTKGYYIEPTIFSDVKEDMRIAQEEIFGPLLSVLKFKTVEEAIKMANNTKYGLAAGIITKNLDTANTVSRSIRAGIIWINCYYALGNDIPFGGYKMSGFGKDYGLDALYKFLHVKSVVTPIYNSPWL >OIV92655 pep chromosome:LupAngTanjil_v1.0:LG18:16102171:16116248:1 gene:TanjilG_18006 transcript:OIV92655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRFIEQRSKTQFISGTLVKKGPAHVAAYTSILLLLIFFSASTVSSLWATLSTTTNSKRISIGNKTIVASISPNHNRKRLFQNTLNCTTTRNQTCSTLDPTTSTTFEINDDVCPEYFRWIHEDLRAWKATGINRDMVERARNTAHFRESIQTRDIFTMWGIMQLVRKYKGMVPDLELMFDCDDQPVVLARDYDHHDDPNNITGPPPLFRYCADRWTRDIVFPDWSFWGWAEINIRPWEHVLKDIKESNKRINWNDREPFAYWKGNPSVAVTRQDLLKCNVSNEHDWNARLFVQAQEIGRAASKFIQEDLKMDYVYDYMFHLLNEYSKLLKFEPIVPEGAVELCSEAMACKRSGLEKKFMTESFVSEPSIKAPCSLPPPFEPNSLRIFYGNKLNFKLGVDVVSAHNLLPKDGEGSSSSFVELYFDGQKYRTTIKERDLNPVWNESFYFNISDPSNLNHLTLDAYVHCHTRATNSSSFLGKVSLTGTSFVAYADAVVLHYPLEKRRIFSRVRGEIGLKVYITNDSTIKSSIPTPAVESMHTNNPSLADTQVNAAGNTMANPLSNGKVESARHTFHHLPNPNHQHHQHHSNGFGDTHYVTKYEADEMVSGGPQPMKLVHMHSVSSAQPVDYALKETSPFLGGGRVVGGRIIHKDKTSSTYDLVERMYFLYVRVVKARELPAMDLTGSLDPFVVVRIGNYKGITRHFDKNQHPEWNQVFAFSKERMQASILEVRIKDKDLVKDDFVGLVRFDINEVPLRVPPDSPLAPEWYRLEDKKGEKIKGELMLAVWIGTQADEAFSDAWHSDAATPVDSTPAATTVIRSKVYHAPRLWYVRVNIVEAQDLVPTEKNRFPDVYVKAQIGNQVLKTKTVPARTLSPLWNEDLLFVAAEPFEDHLVLSVEDRIGSGKDEIIGRVIIPLNAVERRADDRMIHSRWFNLEKPVAVDVDQLKKEKFSSRIHLHLCLDGGYHVLDESTHYSSDLRPTAKQLWRPPIGILELGVLKAVGLHPMKTREGRGASDTYCVAKYGHKWIRTRTIVDNLSPKFNEQYTWEVFDQATVITVGVFDNSHIGEKGSKDLKIGKVRIRISTLETGRIYTHSYPLLVLHPTGVKKMGELHLAIRFSCTSFANMLYLYSKPLLPKMHYVRPFSVMQVDMLRHQAVNIVAARLGRAEPPLRKEVVEYMSDVDSHLWSMRRSKANFFRLMTLFSGVFAVGKWFGDICMWRNPITTVLVHVLFLMLVCFPELILPTVFLYMFLIGVWNFRYRARYPPHMNTRLSQADAVQPDELDEEFDTFPTSRNPDIVRMRYDRLRSVAGRIQTVVGDLASQGERIQALLSWRDPRATALFITLCLVSALVLYVTPFQALAGLVGFYVMRHPRFRHRLPSAPINFFRRLPAKTDRTNPSFEIPTSIANDSFIPLQIEGNATRHGKFPASNISYTVSGRDVNYNDKDEEIANAVKVVEEHLQVHRSWISDKNYAACDGRGIFVYDMPSMFNKDLLGQCRDMVPWQDFCRYFSNEGLGEPITNLGKGWYQTHQYSLELIFHSRVLKHPCRVYNENEAKLFYVPVYAGLDILRWHFKNVSNDVKDTLALDLVKWLERQRPWKNNQGKDHVFVLGKISWDFRRSNESPWGSRLLELDKMQNPIKLLIERQPWHVNDIGIPHPTYFHPQSDNDIVSWQLKIIRSNRKNLVSFAGAARPEAKDNIRSMLIDQCSSSYNNGKCQFLNCSSAKCNEPESITQVFMESEFCMQPPGDSPTRKSVFDSLISGCIPVLFDPFTAYYQYPWHLPKDHDKYSVFIDKKEVKQMNVNVVERLSNISSRERENMRRFIVYELLPGLVYRDQNGELEKFQDAFDITINNLLERDARGNDVNLGDYKGKVLLIVNVASQCGLTNSNYTELNQVYDKYKGKGLEILAFPCNQFGAQEPGSNEEIVEFACTRFKAEFPIFDKVDVNGDSATPLYKFLKSSKGGLFGDSIKWNFSKFLVDKEGNVVERYAPTTSPLSIEKDIKKLLDA >OIV93231 pep chromosome:LupAngTanjil_v1.0:LG18:10187286:10189145:1 gene:TanjilG_27410 transcript:OIV93231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTARFIKCVTVGDGAVGKTCMLISYTSNTFPTDYVPTVFDNFSANVVVDGSTVNLGLWDTAGQEDYNRLRPLSYRGADVFLLCFSLISKASYENISKKWIPELRHYAPNVPIVLVGTKLDLRDDKQFLIDHPGATRITTAQGEELKKMISAVTYIECSSKTQQNVKTVFDAAIKVAMRPPKPKKKLHKKRTCTFL >OIV92785 pep chromosome:LupAngTanjil_v1.0:LG18:14436822:14441913:-1 gene:TanjilG_00919 transcript:OIV92785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMALPSSSFIFIFIFIIFPTSHSIPFILIHGIADQCDHPGVKKLTEKLISFSGVEGYCVEVGNGSWDSWLMPLLNQTKVVCEKVKQMKELKDGYNIVGLSQGNLIGRGVVEFCEGGPPVKNFISLSGPHAGTASVPLCGSGILCIIADNIIKGGIYSDYAQEHLAPSGYLKLPNAMPAYLDKCRFLPLLNNELPDKRNSTYKERFSSLQNLVLIMFEEDTVLIPKETSWFGYYPDGAFDPILPPQQTKLYTEDWIGLKTLNEAGRVKFIRASGGHIGISDTDMKNYVVPYLKDQTSTEHSTSKTGSRRMMRGVKRRELFIAT >OIV92657 pep chromosome:LupAngTanjil_v1.0:LG18:16087454:16089421:1 gene:TanjilG_18008 transcript:OIV92657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQEKLDRFKKQQEKCQSTLVSTKAAQTLKSPSSSSTNGRVTPIPSVKFSNDTERLQHINSIRKAPVGAQMKRVIDLLFETRQALTPDQINQVCYVDMNANRNVFESMRKNPKIKYDGERFSYKSKHDVRDKKELLVLIRKYLEGIAVIDLKDSYPTVMEDLQALKAAGQIWLLSNFDSQEDIAYPNDPRVPIKVDDDLKLLFRGIELPRDMLDIEKDLLKNGMKPATNTAKRRSTAQMEGIPASKSKPKKKNKEISKRTKLTNVHLPELFQNLKGD >OIV93567 pep chromosome:LupAngTanjil_v1.0:LG18:732009:732185:-1 gene:TanjilG_04799 transcript:OIV93567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAIFSQVNMERKSIPTYKEWAKYLKKIRIGIQVVNSVLSCWDSGVAVVVGTDGRRIC >OIV92600 pep chromosome:LupAngTanjil_v1.0:LG18:16449700:16451235:-1 gene:TanjilG_17951 transcript:OIV92600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAKPLSLVLRIASEGLKHRVFEVSLADLQGDEDHAFRKVRLRAEDVQGKNVLTNFWGMDFTTDKLRSLVRKWQTLIEAHVDVKTTDNYTLRLFCIGFTKRRSNQIKRTSYAQSSQIRQIRRKMREIIVNHATSVDLKELVKKFIPESIGKEIEKATSGIYPLQNVYIRKVKILKAPKFDLGKLMEVHGDYSEDVGTKVDRPADETTAEPAPEIVGA >OIV92692 pep chromosome:LupAngTanjil_v1.0:LG18:15813374:15816011:1 gene:TanjilG_18043 transcript:OIV92692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMESMESCVPPGFRFHPTDEELVGYYLRKKVASQKIDLDVIREIDLYHIEPWDLIDVMTERCRIGYEEQNEWYFFSHKDKKYPTGTRTNRATIAGFWKATGRDKSVYDKTKLIGMRKTLVFYKGRAPNGQKTDWIMHEYRLESDENGPPQEEGWVVCRAFKKRATNGQSKKIEGWYSSYVYDEASGISSALDPIGFMSRNPQSFIAQNLLCKQETEAAENMSCMHLHHHADQFVELPQLESPSFPFSKRPCTVSLEEYDDQNKTKKVTADWRDLDKFVASQLSQEDHRNETDHGDLSSFENHTSSDMALLLLQNSRVDEENKLNPFLNIISDCDIGICVFEK >OIV93266 pep chromosome:LupAngTanjil_v1.0:LG18:9481248:9483590:-1 gene:TanjilG_23107 transcript:OIV93266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVPAELSLDLRPNFVSKTITDFLSTLPSSQNNKLSLLNDFIARLEHEFRKIDAFKRELPLSMLILNDAISVLKEESEKCMSYKSQPVLEVFIPLKKECDEEYEVNNNKDKECKDKKNWMSSVQLWNTTTTTNNVYDQKQHHHKLVIKKIEDERKSVAENHFQPSSSRNEGGRGFLPLSTCTSIPMTTVALPPTKEEIEEGAVNTFSLLTPPLAVKNSRKGCSSSVSGTNSNRELSSSPPTALPSLCTGLLQQQQASRKQRRCWSPELHRRFVNALQNLGGSQVATPKQIREHMQVDGLTNDEVKSHLQKYRLHTRRAPSTNTDQPVVVLGDLWMSQDQCNGSPKGSSSGSGSPQSPLHLATRSRGGMDSMEDEEDAKSESYSWKSHIHNKTGKVGV >OIV92771 pep chromosome:LupAngTanjil_v1.0:LG18:14310422:14312795:-1 gene:TanjilG_00905 transcript:OIV92771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIISGGMAKSYFKQEHDLEKRRAEALRIREKYPDRIPVIVEKAERSDIPSIDKKKYLVPADLNVGQFVYVIRKRIKLSAEKAIFIFVDNVLPPTGAMMSAIYDEKKDEDGFLYVTYSGENTFGV >OIV93236 pep chromosome:LupAngTanjil_v1.0:LG18:10283537:10283947:1 gene:TanjilG_27415 transcript:OIV93236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVSALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >OIV93468 pep chromosome:LupAngTanjil_v1.0:LG18:4423244:4458713:-1 gene:TanjilG_06407 transcript:OIV93468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSPSDLHIVPPRQPDSPNGISNGIKPFNTNGHFASPRVEVEAKHRFYLVQWLTSLLHSLDLPLNPTDDELRACLSNGTVLLQILNKLRPGSVNVVSESDDSLPSQSENVRTFLKALDGWGVPKFEASDLEKGSMKAIVDCLLTLRAKSLQNPLLENLSFNVGSPRWNAIESKFQRIPSSPHSGEISPSLMHHVGHKFHEVFQLKPGSYTDLPAAKISEMMKSVSLDNAPTQSLLSVVNGILEESVERRNGELPHRVACLLRKVSQEIERRISTQAEHLRTQNNLFKVREEKYQSRIRVLEALASGTRDESEIIASQLQHLRRAEKSKEEEKKVDVDVIRLMKEQEDKDIKISALKQELETTKKANEVLCSQLEAEAKGAEEELKQVSQEYEHRLEELRTKVNELEASSDSKYQKWNKKNNQFQTAMNFQFSSIQKLKSSWESIKQDIMKGKKAYAEECNQLGMNLKSLVHAAENYQVVLAENRKLFNEVQELKGNIRVYCRIRPFHPGQREKQSIVEHIGESDLHVANPSKQGKDALKSFKFNKIFGPASTQVEVYSDIQPFIRSVLDGYNVCIFAYGQTGSGKTYTMSGPTGATGEDIGVNYRALNDLFSISTNRTNSIEYVIGVQMPKGLAVPDATMYPVKSPSDVIMLMDIGLKNRAISATAMNERSSRSHSVVSIQVQGKDLSSGSTMFGNLHLVDLAGSERVDRSEVTGDRLKEAQHINKSLSALGDVIFALSQKSSHVPYRNSKLTQLLQTSLGGQAKTLMFVQINSDTNSFSESLSTLKFAERVSGIELGVARSSKEGKDVRELMEQMASMKNIILKKDEEIERLQKGSVGALKQKPSSGSNKHLEADIQQPMDDHRHQNEFHHQSEIAGKVIGQTIAGADADFDERLNEIIDNGHVTGTDSDGSEDFEGTKLSVKVTQSTKSSDKMEKLKAIPKAVQVARRLGRTPSTTSIVKDSAKPSPGKGTMGEKEWYFFSWKDRKYSTGLRTNRATESRVFQKSSSPKKPQKTSSSLQSQESLCNMNSIVSEFGDFDLPNLNRITNSSIAFTNISAQTYNNGSDPSNNINNNINTNINSTMNWVATEASEVPYIQSHTCPFGLLNPSISSMNALLLKALQLRNYEQREAADIDHFETYNHIPQGFSHLGTDLNSNFNAYDSSKILECMPQQQKQPFNVDSIW >OIV93080 pep chromosome:LupAngTanjil_v1.0:LG18:12062122:12066544:1 gene:TanjilG_20742 transcript:OIV93080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLRISKLLSRSFLSASTTSLSSRGSSGCIGTGLSKYSTEAAIEEPIKPSVQIEHNQLLINGQFVDSVSGKTFPTMDPRTGEVISHVAEGDREDVNLAVAAARKAFDQGPWTRMTAYERQRILLRAADLLEKHNDELAALESWDSGKPYEQSSKVEVPMLVHLFRYYAGWADKIHGLTVPADGPYHVQTLHEPIGVAGQIIPWNFPLLMFGWKVGPALACGNTIVLKTAEQTPLSALYASKLLHEAGLPPGVLNIVSGFGPTAGAAIATHMDVDKIAFTGSTATGRVVLELAARSNLKTVTLELGGKSPFIVCEDADVDRAVELAHFALFFNQGQCCCAGSRTFVHESVYDEFVEKSRERALKRVVGDPFKAGVEQGPQIDSAQFKKILKYIKSGVESGATLEAGGERFGDKGFYVQPTVFSNVQDDMLIAKEEIFGPVQSILKFKDLDDVIRRANDSPYGLAAGVFTKNIDTANTLTRALRAGSVFVNCYDVFDAGVPFGGYKMSGHGREKGEYGLKNYLQVKAVVTPLINPAWL >OIV93605 pep chromosome:LupAngTanjil_v1.0:LG18:1114168:1115538:-1 gene:TanjilG_04837 transcript:OIV93605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLRNFQTKACQASQFVAKHGTAYYKQLLEQNKQYIQEPATVEKCNLLSKQLLYTRLASIPGRREAFWKEVDYVKQLWKNKQDLKVEDASIAALFGLECFAWFCAGEIIGRGCTFTGYYV >OIV92862 pep chromosome:LupAngTanjil_v1.0:LG18:14998029:15003463:-1 gene:TanjilG_00996 transcript:OIV92862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPASADSSSRATPIPPASSNQNQSTEVSGQIGNGHGSPSPSPVSGISLWARNLKFPQSFGLGASQQDSQTETNGISALTRLTSGLGLRMPSNETAPVNSEVEQSNLIESFTKGLVDTSKSAVKAMQVKARHIVSQNKRRYQEDGFDLDMTYITENIIAMGFPGGDFSSGVFGYIEGFYRNHMEEVIRFFETNHKGRYKVYNLCSERLYDGSLFQGKVATFPFSDHNCPPIQLVASFCQSTYSWLKGDIQNVVVVHCKAGMGRTGLMICSLLLFLKFFPTAEEAIDYFNQKRCVDGKALVLPSQIRYVKYFERTLSHFNGEVQPGRRCMLRGFRLHNCPYWVRPSITISDHSGLLFTTRKHLKTKDLMPEDFWISARKKGIVVFALPGEPGLTELVGDFKIHFHERQGDFYCWMNTTMIENRTILNGSDLDGFDKRKIPAPGFQVEVVMVDYNGILPTRTKANPASKGSDGSTNNDQVRAGAGLASNSSDSNSKAPKNGDDDVFSDSDDEETQDTQSRKAASEYRFVAPHQVSEATNDQAGMLHKTDQLSHQHEERTQNNASVQSTTDNEVHTGPSATTESVRASEFRTIAADASVFSFADEDFESD >OIV93392 pep chromosome:LupAngTanjil_v1.0:LG18:6912210:6913896:1 gene:TanjilG_13844 transcript:OIV93392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVGNSFTKPLRRGLVVPNALLLERESRIPHLRPAEYKRSRLSRNRRTVNRAYGGVLSGGAVRERIIRAFLVEEQKIVKKVLKIQKAKEKQASKA >OIV92670 pep chromosome:LupAngTanjil_v1.0:LG18:15970203:15976861:1 gene:TanjilG_18021 transcript:OIV92670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATENPFNSILKTLEKPSGDGEFGKYYSLPALNDPRIDRLPYSIRILLESAIRNCDEFQVKSNDVEKIIDWENTSPKQVEIPFKPARVLLQDFTGVPAVVDLACMRDAMNNLGGDSNKINPLVPVDLVIDHSVQVDVARSENAVQANMELEFQRNKERFGFLKWGSNAFNNMLVVPPGSGIVHQVNLEYLGRVVFNTNGVLYPDSVVGTDSHTTMIDGLGVAGWGVGGIEAEATMLGQPMSMVLPGVVGFKLIGKLPDGVTATDLVLTVTQILRKHGVVGKFVEFYGEGMSELTLADRATIANMSPEYGATMGFFPVDHVTLQYLKLTGRSDETVSMIESYLRANKLFVDYSEPQVERVYSSYLELNLEDVVPCISGPKRPHDRVPLKEMKADWHACLNNKVGFKGFAIPKESQSKVAEFTFNGTTAHLKHADVVIAAITSCTNTSNPSVMLGAALVAKKACEFGLQVKPWIKTSLAPGSGVVTKYLQRSGLQKYLNELGFNIVGYGCTTCIGNSGDINEAVASAITENDIVAAAVLSGNRNFEGRVHPLTRANYLASPPLVVAYALAGTVDIDFDTEPIGIGKDGTKVFFRDIWPSSEEIANVVQSSVLPDMFRETYNAITKGNPMWNNLSVPSGSLYAWDSESTYIHEPPYFKDMSMSPPGAHGVKNAYCLLNFGDSITTDHISPAGSIHKDSPAARYLVERGVDRRDFNSYGSRRGNDEVMARGTFANIRIVNKFLNGEVGPKTLHIPSGEKLSVFDAATKYKSEGHDTIILAGAEYGSGSSRDWAAKGPMLLGVKAVIAKSFERIHRSNLVGMGIIPLCFKTGEDADTLGLTGHERYTIDLPSNVSEIRPGQDVTVVTDNGKTFVATLRFDTEVELAYFNHGGILQYVIRNLINAKH >OIV92763 pep chromosome:LupAngTanjil_v1.0:LG18:14222791:14223702:1 gene:TanjilG_00897 transcript:OIV92763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGASASSSLKAAFSYCAQQVRKYDYHHYLCLLELPPSMRKAAFALRALNVETARAMDVASDPRIGLMRLVWWQEAIDKIFANTLIEHPTAQALSSVIAETKMSKTWLKRSVEARINDARREDNDMPETMAELEKYAEDTVSTMLYLTLQSGGIMSTAADHAASHIGKASGILLLLKSLPYHASHSRHFSYIPTAVASKHGLIVKQGGREETRLDSREGLCDAVFEMASVANAHLEKARKLAKSVPAEALPVLLPAVPTQVLLDSLRRVNFDVFDPRLTRGVLGLPPFWYQLKLKWTSWRRNY >OIV93718 pep chromosome:LupAngTanjil_v1.0:LG18:238467:239690:-1 gene:TanjilG_16569 transcript:OIV93718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKPKSSLPPPSVETSSDEYHLTPPPKNHNVPEPDSDDDSDESQSSSQEEVEDQNPKPLSVKTPPNKSATSSDSETDSGSESDSDTLLPNSKPKPLALKPLDQTPKSNSNSVQSVKDRHALKRPAKTINVLVNDPPKRAKKKGIDADADADGDEEMKDVKQSGGGGESNSKKLFQRLWSEEDEIAILKGMVEFTSKVGQDPYKYADAFYDFMKKSLHAEASSNQLKEKIRRLKLKFEKNAKRGKSGEDPKFSKQFDREIFELSKKVWGNAASGEKAKSDGNAVKSPKKGVKGTSVAAPKPELKLEARSVDSNKDLKMDIVELTDASETDTISYLMEVFQVNKGVGLHGLSEDAVKRGIELIGASKRKELEGQWKELQDIELELSVKRVELIAKQARLILEAYKSSN >OIV93288 pep chromosome:LupAngTanjil_v1.0:LG18:8832498:8834021:-1 gene:TanjilG_13115 transcript:OIV93288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEPDTKGYYNILGVSSESSIEEIRRAYKKLARQWHPDRLTKTPSLLGEAKHKFQQIQEAYSVLSDPKKRTMYDVGFYDPKEEEDEGFSDFVEEMVTLMAQVRREEKVYGLEELQSMFMEMAEGFKTHSMYGEGPSVLEESPCSKRTRLDTNMTSNKGSHFQVPTTLNLYETRGYCN >OIV93514 pep chromosome:LupAngTanjil_v1.0:LG18:2886310:2893928:-1 gene:TanjilG_21767 transcript:OIV93514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRTPLYRKAFVFFSSSIPRELVMDIKKDARVLPRIGALREMNLEYFAIDSQGFITNNERALEELFGDEEDNRKAVACLNVMATRVATVFASLREFPFVRFRAAKSLDATTMTTFRDLIPTKLAAGVWDCLMKYKKSIPNFPQTETCELLIIDRTVDQIAPVIHEWTYDAMCHDLLNMEGNKYVHEVPGKNGGPPERKDVLLEDHDPIWLELRHAHIADASERLHDKMTNFISKNKAAQIQHGSRGSGEMSTRDLQKMVQALPQYSEQIDKLSLHVEIAGKINRIIRESDLRELGQLEQDLVFGDAGMKEDTSRENKLRLIMILAAIYPEKMEGEKGLNLMKVARLTNEDMIAVNNLRMLGGAPNTTKKSLTGAFALKFDIHKKKRAARRDRSGEEETWQLSRFYPIIEELIEKVCKNELSNEDYPCINDPSPTFHGTPYAGSVIHNPPAHSMRSRRTPTWARPRDSDDGYSSDSVLKHASSDFKKMGQRVFIFIVGGATRSELRVCHKLTGKLKREVILGSSSLDDPAQFITKLKILSSQELSLDDLQI >OIV92840 pep chromosome:LupAngTanjil_v1.0:LG18:14848061:14855694:1 gene:TanjilG_00974 transcript:OIV92840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATSPIKPPMSLKSQGETWLSRATTCDQFFSYGTRVRTRRFCSCGCVSRLQRRRKEEFLLKGCWCDQASSNEDYGVTEEDKEFVKVLSEAQPYIAVHRDSVFVVLISAEIVAGPYLDPILKDIAFLHHLGIRFVLVPGTHVQIDKLLKERGSKPKYVGRYRITDDESLAAAMEAAGGIRLMIEAKLSPGPSICNIRRHGDNSRLHEVGVSVASGNFLAAKRRGVVNGIDFGSTGEVKKVDASRMRERLDGGCVVILSNLGYSSSGEVLNCNAYEVATACALAIGADKLISIIDGPILDESGRLIRFLPLQEADMLIRKRAAQSETAANYVKAIDEEVLNSLEHNHFNGTVQSPPNGKPSTEWNNVTFHNGVGFDNGNGLGSGEQGFAIGGQERLSRTNGYLSELAAVAFVCRGGVQRVHLLDGTISGVLLLELFKRDGMGTMVASDLYEGTRMARITDISGIKQIIQPLEASGILIKRTDEELLKSLDNFVVEEREGQIIACGALFPFFEEKCGEVAAIAVSPDCRGQGQGDKLLDYMENKASSLGLNMLFLLTTRTADWFVRRGFSECSIDCIPEKRRKMINLSRNSKYYMKKLLPNRSGIIVGRKYATK >OIV93566 pep chromosome:LupAngTanjil_v1.0:LG18:723412:726726:1 gene:TanjilG_04798 transcript:OIV93566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNASSSDHSPTAAVATPWSQIAASAKDSSDSLSDTNENGVVGSNVTRNVWNKHSNEGSSSQLNPFISADSWPALSESTRTSAKSPSPSVKAFMDPSSSQGTGSGVPSPQREVRDNVSTNNIVPAHQRPVRRSYPNQLSNGGHPPQLPAPQGSLPLTGAHSNNSSPKEHQPRTGFVYNDNPHQRNSYRNRNGGPHQRGDGSHHHNYGRRRDQDRGNHDWYTYRNFNGRDNYMSPRFGPRFSATPAPPAPPTSALFPQPPLMQSYAGPIVYPDLQAPVVYGPPPPPPMDALRSVPFMIHPSLQPLQQVFLPYLDPLLHSKIVKQIDYYFSEVNLVKDEYLRKKMDDQGWVHINLVAGFNKVKELTDSVHIVLDALRTSSIVEVQGDKIRRRGDWERWPLAPVQPANERWPLAPVQPANVTGSETIEKLADQVQNIALETTNNAAAGGLDVSHNRPNGDLNCQFLHSSGEGNSQAGIQVSDKSISARN >OIV92642 pep chromosome:LupAngTanjil_v1.0:LG18:16195079:16201114:-1 gene:TanjilG_17993 transcript:OIV92642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGSFEDEDDSTAVTIGEYLDEVEERELAADLVLGGDDGKECTYSKGYMKRQAIFSCLTCTPDGNAGVCTACSLSCHDGHQIVELWTKRNFRCDCGNSKFGEFYCKLFAGKDPENVENSYNHNFKGLYCTCSRPYPDPEAVEQGEMIQCCLCEDWFHEEHLGLEFSDEFPRDDEGEPLYEDFICKACSEVCFFLKLYPEVIRASRKQPDATVEVSKGKGVLEAMPPMSEASSHNSPKIDDAKATISESISGGKDLLQGGNCDNSKDLSQCTSSTNMHVPCLLGVHIVSASPVLDGKPIFLSKNWRDALCKCNNCFEFYNQKRIAFLLDKEDSIVEYEKMAKQKREEKLQEVEGAEANFFNKLGHVEKVEILNGIEEMKDGLRNFLESADSSKPITEADVHQFFEEIKKKRRRIQ >OIV93263 pep chromosome:LupAngTanjil_v1.0:LG18:9552438:9552752:-1 gene:TanjilG_23104 transcript:OIV93263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDISSICCQDDESHSNSDPAVTEEFVKQVGSACREWGFFQVINHRVPLDRHQRINSVAEELFQQSLEEKRKVRKDEVKTMGYYNRQHRKNVGSKFLILVWRTRL >OIV92830 pep chromosome:LupAngTanjil_v1.0:LG18:14775049:14779909:1 gene:TanjilG_00964 transcript:OIV92830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENPPSITPDSASRFLRSPLSNEGIWNRLKRAGLNEDSVNHKDKSALVSYIANLEAQIYDHQHQMGLLILEKKELASKYGQVKASVDSSEFMHKHDSSMNLSALIEARKREENLKRAVEVKEVCIASLEKALHEIRTECAETKVSAESKFSEAYQLIDEAQKKLTEAEVKLRAAESLQEEANKYNSVADRKLRDVDAREDGLRRQIIAFKSDCDEKEKEMILERQSLSERHKVLQQEQERLLQSQALLNQREDHLFGRSQELDCLKKELEDTKEKFVKEHGAHVDKKTALKLVEANLTRREEVLAKRETELNKKEQELLDFQVKLDSRESDELQKVTAGRESAFRTSKSNFEAELQSQLKLVENEIEMKRRAWELKEVDLKQREDQLLEREGELEVFSTALSEKEKDLLNLSSALEKKDETLRASEEEFELYKALLQKEKEEIEKAKLNLQKSFVSLENDLRQVDNAKDRLKTMKSESVDLSIFEVKLKEEIDLVRSQKLELVAEADKLKAEKAKFETEWELLDEQKEELRKEAEYITEERKAVSTYIKKERDILRQEKENMHSQHTQELKLLACEREEFVNKMAHEHDEWFAKMQQERSNFLQDIEMQKRNLNILIEKRREEVESYLKEREKDFEEEKNNELQHINGLKEKAAKDLEQVSLEMERLHAERTEISLDRQQRNKEWADLSNCIEELKVQRDKLRKQRELLHADRIDIHAQTEELKKLETLRIISDDIAMADMIKYDMESNQQKISAKKKLKQQARTPGDQLNFTKEMDATHISDGFDTPFMHESSVASPLSPNRFSWVKRCTELIFRHSSEKPLMDNEDKAVVSDTYNVSNGQKLLTNDIPLSNVSKRQQTRFSIEEPKVILEVPSPVEDVNRASDFGSEVKKDVKGKNVSLLSDGRHVGRPKRGSENFTTEVGDSLLNARQNKKLRAEEQRYENHLYQGTTCSVIPTQSDGSKSKVQQLSLLSNQTERNTEGTAVVMVDKVIHLSEATSEKVDAIIVPNQEPLEPFE >OIV93482 pep chromosome:LupAngTanjil_v1.0:LG18:3032524:3035035:1 gene:TanjilG_11064 transcript:OIV93482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGNGQKAKMARERNLEKLKAGAKGSQLETNKKAMSIQCKVCMQTFMCTTSEVKCREHAEAKHPKSDVYACFPHLKK >OIV93400 pep chromosome:LupAngTanjil_v1.0:LG18:6536052:6539012:1 gene:TanjilG_02937 transcript:OIV93400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATWFIFATSLFLTYLDCCSGSFIGVCYGRSADDLPTPDKVSQLVQLHKIKYLRIYDSNIQVLKAFANTGVELMIGIPNSDLMSFSQFQSNADTWLKNSIIPYYPATKITYITVGAEVTEDPNNASSFVVPAMTNVLTALKKVGLHKRIKVSSTHSLGVLSRSFPPSAGAFNSSHAHFLKPMLEFLADNQSPFMIDIYPYYAYRDSPNKVSLDYALFESSSEVIDPNTGLLYTNMFDSQIDAIYFALSALNFRTIKIMVTETGWPSKGSPKETAATADNAQTYNTNLIRHVINNTGTPEKPGEELNVYIFSLFNENRKPGLESERNWGLFYPDQTSVYNLDFTGRGVVDMTRGANVTKSNRTSWCIASSKAPQIDLQNAVDWACGPGSVDCTAIQPSQPCFEPDSLVSHASYAFNSYYQQNGASDIACSFGGTGVKVDKDPMNRSYYCSLLYTCTGCDLQTLL >OIV93020 pep chromosome:LupAngTanjil_v1.0:LG18:12855755:12856066:-1 gene:TanjilG_20682 transcript:OIV93020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRSSCISGCMMSPSCFQVHNEFQYTRVHYYNSPIKRTRRGLRWRNILNRLMREGKTLCGSKPIISFHYDPVSYSQNFDEGCHLEDPRRFCQVFPDVRWDLND >OIV92943 pep chromosome:LupAngTanjil_v1.0:LG18:13594503:13596978:-1 gene:TanjilG_20605 transcript:OIV92943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANQDEEEIKKLFSSYIGLIFSVFLALLPNNLHSHVRDLTVKLYNAEEELREMKYRRQEDSKANARVVEIFASHRNAWQAEEKRLLMLVEAAEEEVGRMRERVAEMEKEGLGGWRSRECYGVEKSENVEEEVVYQLEHQEYYQKLVNNGFDSEFLASASSNLWAHKSSLYQDVQYESRESVYNTKHFVARRESPWKVDGGDSAGVSSKLKLLEHELLNLEKVGKNDLSKVSSLIKKQAKRYEALSETIDDLCRRIAIDPSEPSINSEFRTQTQIELLLEAFRLQQGASETGQKLMELQAEFGKSHYNDEVRGETTVTRKRSLDSIKNNFKEVQRNLEIWLARIIGDLEGILARDGATRVREYYISRYPFVQ >OIV93545 pep chromosome:LupAngTanjil_v1.0:LG18:2108830:2121133:1 gene:TanjilG_28702 transcript:OIV93545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLAPGGLGKGGTDNLNGFPRGGVNQREALDGMLEGSQLVSVLNWINAVLPNLNLPLETSEEELRSWLRDGFVLCSILDKLAPGSVERGNGSLEELVGVKRFLVALDELGLPGFDLSDMEQGSMVPVLQSLETLKNHFDFNAARENIPNSRKRWGQSDLTPLEETASCLKDAPKTQHTVDGSVVADGIASIDGLKSNELSQLKRGSHVDLSDAKLMELLNSNSSDIASTQLLFNIGNGIVGNIFERKNGDLPHAQRAACMLRKILQVIELRFSNQAEGMKNQNNLFKAREEKYQSRMNALENLAVGTTEENELEQNKFEEKKKLEDQDFTELKKEKVRNEIEISTLKQELEMAKRMHGGQVLQLELHANESKAEYEKKIRELERHLANARKQVKDLEAFSESRYFNWKNKEHAYQSFLNSQHRAIQKLRAGMKSIKNEVIKTKRSYMEEFKYFGTKLKGMAEAAENYHKVLAENRKLYNEVQDLKGNIRVYCRIRPFLPGQSKKHSTVEFVGDDGDLIISNPLKPGKESRKHFKFNKVFGQATSQEEVFIDTQPLIRSVLDGYNVCIFAYGQTGSGKTYTMSGPSLSSNSDWGVNYRALHDLFHISQNRRSSIVYEVGVQMVEIYNEQVRDLLSSTVDLHTLGIWNITQPNGLSVPDASMHSVNSMTDVLELMNIGLTNRATSATALNERSSRSHSVLSIHVRGTDLKTNSLLRGCLHLVDLAGSERVDRSEATGDRLKEAQHINKSLSALGDVIFALAQKSAHVPYRNSKLTQILQSSLGGQAKTLMFVQLNPDVASYSETISALKFAERVSGVELGAARSNKEGKDVRELMEQAASLKDTVAKKDEEIEWLQSQKANHNGPKLGMMTSVRRKLSSSMRHSIETPRPSTKFSGARSFGVKKAASDMDTCSEYSDKHSEAGSHQSMDDFRNKSSSLRLELDKEDINQNLNADTELLGFGDADSEERLSDISDGGLSMGTETDGSISSIVEYTLFPEVEKAAETTPAKNTTADSLPAESTEKPIVASKIPKAPQDTPKLQTRPSRLSLNRSLSKLFSDLISVVSASFFVSCTQVLENRQPVALLQ >OIV93436 pep chromosome:LupAngTanjil_v1.0:LG18:5082305:5103342:1 gene:TanjilG_10068 transcript:OIV93436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDAVANPIVGSWVWVEDSDVAWIDGKVLEVNGKEIKVLCTSGKTVVAFNVYHKDTEAPSCGVDDMTKLAYLHESSVLDNLRSRYDINAIYTYTGIILIAVNPFTRLPHLYDSHMMAQYKGAGFGELSPHPFAVADAAYRLMINEGVSQSILISGESGAGKTETTKLLMHYLAYMEDRATIGKGRTVEHKLLQSNPILEAFGNAKTVRNNNSSRFGKFVEIQFDQKGRISGASIITMFRSTKLGNPRTFHYLNQSNCFELEGLDESKQYHDTRRAMGVVGINSEEQEAIFRVVAAILHLGNIEFTKGMEMDSSMPKDEKSRFHLQTAAELFMCNPKVFEDSLCERVIVTRDETITKCLDPEAAALSRDALAKIVYTRLFDWLVHKINSSIGKDPESKSLIGVLDISGFESFKTNSFEQFCINLTNEKLQQHFNQHVFKMEQEEYKNEEIDWSYIEFFDNQDIINLIEKPSFFGPRPEFASLLHYGSYGGYRGGHHGGRFHGGRGYNRPLTPYCEYCNCHGHNITKPGGIIALLDEACMFPRSTHETFAQKLHQTFKNHKRFSKPKLAHSDFTIGHYAGDVTYQTELFLDKNKDYVVAKHQALLYTSNCPFISGLFPPSPDEPSKQSKFSSIGTQYKEQLISLLDTISATEPHYIRCGVMEAIRISFAGFPTRKPFDEFLDRFGLLIPEALDGRSDEVTACKRILEKIGNKGYQIGKTKVFLRAGQMAELETRRSEVLGKSASIIQRKVSSYLAHRSFVLLRLSARQIQAACRGHLARQVYEGLRWEASSLMIQRYFRMHIARKAYTELYSSAVSIQTGMQGLAAGCDLRFRKQTSAAIVIQAARETGALQAAKNKLEKQVEDLTLRLQLEKCMRLDMEVTKSKENQRLQSALQEMHLQFKETKILLQKEREAAERVPVIQEVPVVDNALLEKLTSENKKLKTLVSYLERKIDETEKRYEEASKISEENLITAMQRLEENFSDIESENQVLRQQPLLNSSVKMMSQPLSSQVSEKLENGHHVAEEQKAVEIVTPEKKFETESDSTLRRSYIELQHENVDALVNCVMKNVGFYHGKPVAVFTIYICLLHWKLFEAERTSVFDRLIQMIGFAIENEDDNDLMAYWLSNTSVLLFLLQQSLTSGGSTDAIPVRKPPNPTSLFGRMTIGFRSSPSSANLPVPSLDVVHKVEAKYPALLFKQQLAAYVEKIYGTLRDNLKKELAFLLSLCIQAPSTSKGVLKSTQSFDKDSPMGHWQSIIESLNTLLCTLKENFVPPILIRRIFTQTFSYINVQLLNSLLLRRECCTFSNGEYVRDGLAELELWCTQAKGEYAGSSQDELKHIREAVGLLVIHQKYRISYEEIINDLCPVMSVQQLYRICTLYWDDIYNTPSVSPDVLSCMRVLMAEDSNNAQSDSFLLDDNSSIPFSVDDLSTSLQVKDFSDMKTAHDLLHNHAFPFLNKEA >OIV92605 pep chromosome:LupAngTanjil_v1.0:LG18:16427046:16427785:1 gene:TanjilG_17956 transcript:OIV92605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRSFPWEDEENDYDSSDSDSDSDSSIPLPTATNHIPLPTATNHIPLPPLLINSQDVLIKRAEMYQDYMKQIPIPSHRGSIIPFTSWMGLGRSIKQLYGQPLHYLTNILLNQWDQLRIGSSHEYTPLDDIVHPCKAEATIWLMEEIHRQTSSHVQIAQHWKRDPMYNAFVDSNIPTLEHTP >OIV93111 pep chromosome:LupAngTanjil_v1.0:LG18:11595030:11596580:-1 gene:TanjilG_20773 transcript:OIV93111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTPSSNKIKTGMDFFHHAKAVRLRSTHDKYLLAEEDMESVTQNRNGSSKNARWQVEYVSHYDNIIRLRSCYGMYLTASNQPFLLGMTGCKALQTQPSRLDSSVEWEPIKDGAHVKLRTRYGNFLRANGGLPPWRNTVTHDIPQRTATQDWILWDVDVLEINVHSTVQKLPSVPPLTEEDSHLFENSVPSSPVPHAKSNSLFKQQSNDLKVVTSQKIEGRTIYYNVAEDNGEVSDEGMTGYSLIFNGNGVEELSRKFEEETGLEGIIVCTRSPLNGKLYPLRLQLPPNNVTMQVVLVLPFSKGELLPPSFIVFVFNA >OIV93189 pep chromosome:LupAngTanjil_v1.0:LG18:10667862:10671627:-1 gene:TanjilG_06756 transcript:OIV93189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLLLVVVFLLDLIAFGLSVAAEQRRNTATFIQDAEGRMYCQYDSDIATGLGVGSFFVLVASQVIIMIVTRCLCCGKAMSPSGSRSWAIFLFITSWVTFIIAVACLVAGSVKNAYHTKYRSLLKEMAPSCEILRKGVFGAGAAFIVLTGIFSELYYVSFSKASNNAPHPYATNTGVRMTNL >OIV92852 pep chromosome:LupAngTanjil_v1.0:LG18:14935421:14936659:1 gene:TanjilG_00986 transcript:OIV92852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ADGREVQLGLLYPCFLGSRMLGSTAFPCLTSGPSSFRTEDCLVYAYIILALLLSIVAYDYQEIGVLVTLFCLFHACVGFILPSLARLRTMYVPNELRGGMMGLSLAPANAAILLSVVQGGYYRNVSNAVLMAFGVLGLLLAAGCMHSLKKWGKQPYHNWHKQ >OIV93213 pep chromosome:LupAngTanjil_v1.0:LG18:9900667:9903931:-1 gene:TanjilG_27392 transcript:OIV93213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDLGVDAYRFSISWSRIFPNGSGAINQAGVDHYNKVINALLAKGIEPYVTMYHWDLPQALEDKYLGWLSNEIINDFANYAETLFQKFGDRVKQWITFNEPHSFTVQGYDTALHAPGRCSILQLCKAGNSSTEPYIVAHNVLRSHASVADIYRKKYKKVQGGSLGIAFNVIWYENETDTQKDIDAAQRAQDFQLGWFLDPLVFGDYPSSMRSRVGDRLPKFSEAEAALLKGSLDFVGINHYTTYYARDNSTNFMGTLLHDSIADSGTITLPFKGIKAIGERANSIWLYIVPRGLRSLMNYINKKYGNPPVVITENGMDDPNSPFTSVKDALKDEKRIRYLNGYLSALLDSIKDGCNVKGHFVWSVLDNWEWSAGYSSRFGLYYVDYKDKLKRYPKQSVAWYINFLKPNK >OIV92951 pep chromosome:LupAngTanjil_v1.0:LG18:13550508:13553692:-1 gene:TanjilG_20613 transcript:OIV92951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHKDGKPINLPNKNRKVILAITVIVLCGLSFYLGGMQCNTKNDGVLTNTNDNDNDIDLPKQNLGSLQVRPINFPECSIDLQDYTPCTDPKRWRKYGIYRLTLLERHCPPLSERKECLVPSPDGYKPPIRWPKSRDECWYRNVPYDWINNEKSNQHWLRKEGEKFLFPGGGTMFPDGVGEYVDLMQDLIPEMRDGTVRTAIDTGCGVASWGGDLLDRGILTVSLAPRDNHEAQVQFALERGIPAMLGVISTQRLPYPSNSFDMAHCSRCLIPWTEFGGIYLLEIHRILRPGGFWILSGPPVNYERRWRGWNTTVQEQRSDYEKLQDLLTSLCFKLYNKKDDIAVWQKSKDNNCYDKLPSETYPPKCDDSFEPDDGWYIPLRTCVVVPESKYKRSALTYMSKWPERLHATPERIAAIHGASSSTFTHDNSKWKKRVQHYKKLFPELGTEKVRNVMDMNTLYGGFAASLIDDPLWVMNVVSAYGTNSLPVVYDRGLIGTYHDWCEAFSTYPRTYDLLHLDGLFTDESHRCEMKYVLLEMDRILRPGGHVIIRESTYFIDAIATIGKGMKWECRKENTEYGVEKEKILICQKKLLHKSIRDL >OIV92836 pep chromosome:LupAngTanjil_v1.0:LG18:14825984:14829113:-1 gene:TanjilG_00970 transcript:OIV92836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWLARTIANSLRLDEEEEEEDLHNNGDNLISTQNKSETEPDPQSPSSSASTPTARGVKEDLSEITKSISRQLWGVASFLAPPPDPDPNPPSEPSDSNATDEDIISGIRSDFEEISGRFRSGISKISGNKTVSEFTKFASNFLQIGSDDGHGLDGVVGLTEEVLAFAGSIALHPETWLDFPHLVDPDSDDFELSDPQQEHALAVERLVPSLAALRMELCPGYMSDGCFWKIYFVLLHPRLNKSDADILSTSEIVEARAMLSPTLDKRSKETKESDLSPRGNVPSNEDEQHLSVPSSAQLESAPLQTSAVEAAPSIVVSDVEMVKHPDQSTGTHIIDKPVAEAAPVNPTPEQSLSGSANRFLDESHETYEDDADDWLKEDTSEMVGAGGTSVPIGDEEDVSFSDLEEEEDVPASLKKTTSGSDSSTKDSRDWVQLRESRHADSEHSSARNSDTKDSNDWLNVDDIDEM >OIV93034 pep chromosome:LupAngTanjil_v1.0:LG18:12657363:12660872:1 gene:TanjilG_20696 transcript:OIV93034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVWLVNLVFMREFMELNPIKPRHSRLLRSAVQRETPTSQLTELWSPLENQGWKPCVKSKKPTALPEKSEGYIQVFLDGGLNQQRMGICDAVAVAKILNATLVIPYLELNPVWKDSSSFMDIFDVDHFIDVLKDDISVVKELPEDYSWSTREYYSTAIRETRIKAAPVHATAHWYLENVLPVLQSYGLAAISPFSHRLSFDTVPVEIQHLRSKVNFEALIFVPHIRTLGDALISRLRYPQGSAEEMDSNYLQEVINAGDKKKPGKFVVLHLRFDKDMAAHSACDFGGGKAEKLALAKYRQVIWQGRVLNSQFTDEELRSQGRCPMTPEEIGLLLAALGFDNSTRLYLASHKVYGGEARIQTLRQLFPLMEDKKSLTSPLERSLIKGKASLLAAVDYYVGMHSDIFISASPGNMHNAMVGHRTYLNLKTIRPNMQLMGQLFLNKAIEWKEFQQAVVEGHQNRQGQVRLRKPKQSIYTYPAPDCMCQA >OIV92845 pep chromosome:LupAngTanjil_v1.0:LG18:14879972:14880412:1 gene:TanjilG_00979 transcript:OIV92845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFNPRVSSSRRKSRKAHFTAPSSVRRVLMSAPLSGDLRSKYNVRSVPVRKEDEVQVVRGTFKGREGKVVQVYRRKWVIHIERITREKVNGSTVNVGIHPSKVVITKLRLDKDRKSLLDRKAKGRAAADKEKGTKFAPEDVMQTVE >OIV93324 pep chromosome:LupAngTanjil_v1.0:LG18:8622783:8622977:-1 gene:TanjilG_23096 transcript:OIV93324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLDLGSSAQCNFIQFIPFALLHPRLVWLPMLSTTMLSVMELLNGFCMLSPIWHILGLIHPFST >OIV92966 pep chromosome:LupAngTanjil_v1.0:LG18:13416757:13421384:-1 gene:TanjilG_20628 transcript:OIV92966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKNDDVSVTEESPNSTQLNSEKKKKKNKNKDKLQNETTTPKRKQNDIELQQNDTESTKKKNKKNKHHVSTDTVDEETKVDNGNGDETVTERVVVVTGKNAEDSKYAAVKTFAESGLPEKVLECCKGFKNPSPIQSRAWPFLLDGRDLIGIAATGSGKTLAFGIPAIMHIMKKGKNRSAKGRKPLCLMLSPTRELAQQISDVMCDAGRACGVESICLYGGTSKGPQISSLKSGVDIIIGTPGRIQDLIEMGVLSLKEASFVVLDEADRMLDMGFEQVVRSILGQTSSVRQMVMFSATWPLEVHHLAQEFMDPNPVKVVVGSEDLAANHDVMQIVEVLDERARDNRLVALLEKYHKSQRGWKAVSIHGDKAQHARTKALSLFKEGTCPLMVATDVAARGLDIPDVEVVINYSFPLTTEDYVHRIGRTGRAGKKGVAHTFFTQQNKGLAGELVNVLREAGQNVPEALMKFGTHVKKKESKLYGAHFKEIAADAPKSTKIKFDSDDED >OIV92873 pep chromosome:LupAngTanjil_v1.0:LG18:15073223:15076324:1 gene:TanjilG_01007 transcript:OIV92873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYDNDDDMYHHHHKNLSTSQGDVSFFLRQILLPSSSSSSSSSHLHNTNSNQYDNKIFSAEAGGATISRATHISIKGQEGITENDTDSESEDCIEASAEEVPTKSAPSRSSSKRSRAAEVHNLSEKRRRSRINEKMKALQNLIPNSNKTDKASMLDEAIDYLKQLQLQVQMLSMRNGLSSHPMCFPEGLQPLQLSQMSMELGEENRSIPLNMEATLPMRQGNPVNYTSSNLPNQQTMHNHPSVPYPSYINNSEASFELESPVLSHIKPLQPRRSSEICREHILQHQQSNASYSDANLLSSSQVIKELDSGKNAGSLSFNMQTAEAKDNSSFQTCFAGRDQPGILLAIAVQVYAKHSKISPVMVPA >OIV93722 pep chromosome:LupAngTanjil_v1.0:LG18:206056:208214:-1 gene:TanjilG_16573 transcript:OIV93722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVEELPSSANESQQQKFNLSVDSEHKATEFRLFSITKPHMRAFHLSWVSFFSCFVSSFAIPPLIPIIRDNLNLTATDIGNAGVASVSGAVFARIAMGTACDLFGPRFASASLILLTSPAVYLTSIISSPTSFLLVRFFTGFSLSTFVSTQFWISSMFSASVVGSANGLSGGWGNVGGGAIQLIMPLVFSLIRDISATKFTAWRITFFVPAIFQVLTAFSVLILGQDMPNGNFNRLKKSGEQPKDEFSRVLYHGITNYRGWILALTYGYCFGVELTIDNIIAEYFYDRFDLKLHTAGMIAASFGLANIFSRPAGGFISDAVGKRFGMRGRLWALWLCQTLGGVFCIILGLVGSLSVSIVVMIIFSVFVQAACGLTFGVVPFVSRRSLGVISGMVGGGGNVGAVLTQLIFFKGSTFRKEKGITLMGVMIIICTLPLFSIYFPQWGGMFFGPSSSKNVSEEDYYLAEWNLMEKDKGFHHASLKFAENCTSERGTKFNTSTIPSHEITLQNH >OIV93309 pep chromosome:LupAngTanjil_v1.0:LG18:8711755:8717551:1 gene:TanjilG_14560 transcript:OIV93309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPKEHIQEIRRTRFSIGGELNPLAEDLHQAVKNLSVELYAKDVHFLMELIQNAEDNQYAEVVRPTLEFIVTSKDITATGAPATLLIFNNEKGFSPKNIESVCSVGRSTKKGNRRSGYIGEKGIGFKSVFLVTAQPYIFSNGYQIRFNEDPCPHSGLGYIVPEWVEENPTPLDIKKIYGNATLPTTTIVLPLKPEKVEPVKHQLSTIHPEVLLFLSKIKHLSVKEVNEDPKLNSVSSVAISSEVNFMTRKNMNAESYTLHLSAEENGGSGEECSYYMWKQRFPVRAENMVQRRNGVEEWVITLAFPNHERLHRGENFPGVYSYLPTEMVTNFPFIIQADFVLASSRETILLDNKWNLGILECIPSAFMAAFKTLVLASDQAPVSSLPRMFKFLPVDSSSYEKLNYVREKIKEKLVEENIIPIETYSDQKHFYKPSEVRRLLPDFWNVLTKARAEGVYLHNLSSHDGIKILNSSFDLKQYDHILQFLGVKKVNVEWYAKCIQSSNLVDGVSEGVYLELLLFVATNWGSSFSGSSMINIPLIKYVDTNGSISHLSLHQCTQPHGVKRVMLFDSSQSCPCSWLINWNKVFGCPSNRVFMPEVTHHAICRVPYKQTLMDWLESQVNVATVNVYSFAKLVCGSIKKNHRLAILYCHFLYHSKSKGYLSKRDVDSLCSSMPLVDSYGSVIESRNGVLVPANVSKWADLIVSNPWLEENYIELGKEYLSPGNYAGQYTRSGELIEFLKIHVDAGDIPNISPPNAGFSSVHAPLTKDNAFLLLDWIHNLKYKGTPLPKRFLECIKEGSWLKVTINGYRPPSKSFLIRKLSGKLLQSGSVLVDIPLVDESFYGARINEYEEELKTIGVMFSNDEACNFIGEELMSRAAASNLSKSHILLMLNFIKYLRESLLPLDNLVNNIKQGNWLKTSYGLRSPVGSVLYDSDWVIASQISSIPFIDHSYFGDEIFRFKEELKLLGVIVSFSSNFQVVIDNLKPSSTFVSLTAKAVILLLECLKFSGSSTKVITSIKGASCLKTNMGFKTPEECFLLDHVWGCIFEVFDGFPVLDHKFYGDSIFTFKDQLKQIGVIVDFDVVIKKFAALFKQRASQTSFDKQHVYSFLSCLRRLKGTEYRFPLDFSSIIHDQKWLRTRLGDCRSPRQCILYGPEWKTLCAITCLPFLDDSDTCYGKGIHEYKEELKRTGVVTELKDGLKFVPKCLNFPSDPSSIIPESVFSLLECFKHIMQKNNDTLVRDDELSKRLSKNWLKTHVGYRPPDNCLLFDSKWSSYLNLSDGPFIDEHFFGPKIADYKNELLAIGVIVDVVKGCSLLANHLQFHSDTNTIVRIYRFLCENNWKPEDQAAKKIWIPVGDKGGKWVNPEECIIHDKDNLFGSKFHALEVFYDRKILPFFSFALEVKNKPSVDDYVDLWNGWESSLECLSDDNCYKFWMFILQQWNAKTEKTLSEKLMKLPATAGNNEIFLLNKEDVFIGDNLHLMKLFEGEKVFVWFPKQNFAPLDRCKLFDIYRKVGARNFSESLSKEESSLTNCGELNEMDAGNVFNLKGLVKLILGFLASSLNMEPEKRHKSVQGLIDLSFYETSESVTVSYALSLSSGDVINKKENRMVRWERSTSKFFTQKMGMVSGNASLIRYATYFSEAIAEGVLCENYDHVGELIELIKLAYVLECNNEVIEFLMESKNLQIFCEDEEFLSSAFPSI >OIV92619 pep chromosome:LupAngTanjil_v1.0:LG18:16326324:16330406:1 gene:TanjilG_17970 transcript:OIV92619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSSSTLDCGVGSIVWVRRRNGSWWPGQILASDEPSVSHLTSPRSGTPVKLLGREDASVDWYNLEKSKRVKPFRCGEFDGCIERVESTQGMPLKKREKYARREDAILHALELEKQMLKKQEKLGALQIDVACRAKRSRCAYAPAESCDSLDYKETIAHVEMSSSLLRGEYPYRSSLADESESAFMDDVESDSSETVSNDSKTGFSESELDKDEQMETGQDDDDDESTSSDEHDELAISGDMPHLYPREPIRCNEAVSKWQLKGKRNNRNLKRSVGSPYGIGVLGADVEGQRSHLSPNDFEDNDQMLGLEDEYLTSRALSKRQIKIHHGVDWDDWPWEDQPPLRGYWDIKGFAPVYGDRYHFDVRMKSMLVDVDLKVQASYRKEPVPIVSLMSKLDGKAIIGHPIQIEAIKDCSSDILYTAIDDFHYDGNDFEGSNVLPPAWRTARRTANFRVPRPHISSSNGAEADADFSLVEERKLGYKKLNSGSSSHNASLSRKSSLSSPALSIDKKPLKRLPKNASLSSSQKTRTLSSLSIEQNLSKKPSLDSSFYQTDRLIKPEVSGLTTVACIPVKLVFSRLLEKINRPPLKAALLNHGVERNS >OIV93623 pep chromosome:LupAngTanjil_v1.0:LG18:1307787:1317545:1 gene:TanjilG_04855 transcript:OIV93623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGVLYGLPLVHPHRLLVATIAASGCILQTIYVTIFFIFSDRIKRLKVIMWLLFELIFITILIIVTLIGVHNLKTRSEIVGTISVALTIMMYASPLAIMKMVVKTKSVEYMPLFISLASFGNSVAWTTYALISFDPFLTPYRLDHLTVQVLDKLPRVLFDEDLRARDSVCCVCLGEFELKEEVLQIPYCKHVFHIECIHNWLQSNTTCPLCRCFIIPTTITTKFLTPPPSILLDPPQQSGVVSTSPSHIISLPPQLQDEASVSSNYNTHISRE >OIV93174 pep chromosome:LupAngTanjil_v1.0:LG18:10896375:10898114:1 gene:TanjilG_20836 transcript:OIV93174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEDFTGESKPFHSSPEQPTGISNLRQTIEFISSLIPLSHSVRVFAGKWQHIRNKLEELYSGLIAAENFDSGENPSLSGVVLSILATTSECYDLAKRCVDHSYSGKLLMQSDLDVIFAKFDNHVKKLTEIYKKGIWSNGYALVVSKPNLGASKEDMRFYIRDLLSRMKIGDLGMKKQALINLYDVVVEDEKYVKVIVDVGDVVHVLVGFLSSNEVGIQEESAKVVSVIAGFDSYKGILVAAGVIAPLVRVLDCGSEAGKVGAASCLMKLTENSDNAWCISAHGGVTALLRICGEDCKRDLVGFACGVLRNLVGVEEIKRFMVEESVVSTFIRLLRSNEEVILVNSIGFIQTISYGDELVREMVIREGGSRALLSVLEPKLSYSSKTREIAIRAIENLCFSSSTCVSILMSYGFVDQLLYYVRNGEVSMQELALKVAFRLCGTSEEAKKAMGDAGFMADLVKFLNAKSFEVREMAAEALSGMVMVPKNRKRFVQDDHNIALILQLLDPEEGNSGNNKFLISILMSLTNCTSGRKKIVSSSYAKNIEKLAEAEVSSDAKRLVKKLTANRFRSMLSGIWHS >OIV93035 pep chromosome:LupAngTanjil_v1.0:LG18:12644299:12646736:-1 gene:TanjilG_20697 transcript:OIV93035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LKTLKESGHENVYNATEKPLLFAQTAAVLEFQILCFGFSAVALHAVLDFSWFGLVRSPVSATLPQICSRLYLTWGILWSFPEIIRYSFFGFKEAFGFAPSWLLWLRYSTFLLLYPTGISSEVGLIYIALPFIKVSENYCIRMPNKWNFSFDYFYAAIVALGIYLPGM >OIV93480 pep chromosome:LupAngTanjil_v1.0:LG18:4257740:4259600:-1 gene:TanjilG_18696 transcript:OIV93480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDDNELELSLGLSCGGSSVKSKNKDGSSSDTRAEEVGRSTKKVDELKGIFDAAPQKSDSVTGLGRSDSLKQEENFFSDLSKGREENAPLSLNQRGFWAANSNKPVEIEEDKQLEAGNKRKMSFDEMNHQKKHESGAHHVDLQDRARTPHISMTEDSSAAENEDMADSEVENSTSRTISHHSEGSKQFMRGGISFDAPKDVRGVADSSATNGEKRLSGSSGKDLKNSNLTYGASFSAEHVNMMNLTYPSTVKESNSIRAPSPQLSGVMHIMPTATGERSGAQPVSSGSLPVMFGYPSVQLPILDKDNSWSSVSRPQQLHPSFAGNGPPNAAVMRVIPNKTSEAMPYEGRPLERPKIDAKQRLTEGGSSSQPEDVKGSSTNLRAKDVLDQSTGEGPTIDFSAIKPGLAADVKFGGGGSCPSLPWVSTTGSNGKTISGVTYRYSTNQIRIVCACHGSHMTPEEFVRHANDDLANPEGGAVLGAVANGNPAGSTHR >OIV93060 pep chromosome:LupAngTanjil_v1.0:LG18:12329958:12333851:-1 gene:TanjilG_20722 transcript:OIV93060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGTKQDYLITVPCSCKKTIDLSGYFYDATYKVNPNDSFLDIKTMIYSGQAWPNNSVLVSNENLVIHIPCGCSESDSQIVVTYTVQWSDTPTSITNLLNATFDGLVSMNQVLNQNPSFIDIGWVIFVPMELNGLPQPNGKGKKHIWVIIIGILAGVASLSIITMIFLIFGRKKPYETSEDGPNIVSKRSIANRTISLKNQFHRESLEGQFETERPVVYTLEEIEDATNNFDETRKIGVGGYGEVYFGMLEKEVAVKKMKSTKSKEFYAELKALCNIHHINIVELLGYASGDDHLYLVYEYVPNGSLSEHLQDPLLKGHQHLSWCARTQIALDAAKGLEYIHDYTKSRYVHRDVKTSNILLDEKLRAKVADFGLAKLVERTNDEEFIATRLVGTPGYLPPESLKELQVTVKTDVFAFGVVLSELITGKRALFRDSKETTKMKSLIAVVNGIFLDDEPEAALQEVIDVNLQSNYPVEDIYKMAELAHWCMREDPVDRPEMREVVGTLSQIVMSSIEWEASLGGDSQVFSGVFNGR >OIV92972 pep chromosome:LupAngTanjil_v1.0:LG18:13377953:13379884:-1 gene:TanjilG_20634 transcript:OIV92972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTTSSREEDEEEALRLKGLAETKFKSNNLKSALKYAQRAQRLAPHLPTISETVTSLTILRAAAGSSTTTTTTTTPNWYNILDLEPFSNINLIRKQYKKLAFILHPDKNSFLGSDEAFKLVGEASQFLSDKVRKQEYDMKLRMLIQEEKENENVSEEESENTFWTVCSTCRLLHKFERRYVGHNLVCPSCNKSFKAVEEVNDHGSRRNEQVGSKGGSFKLKEGVEVDGGETLGEFVLRRKLESKGVKGKMGSEKDGVLTGKVGVDEVGNGDMEKEGFSEWGGGRLRTGGSRKRMSMVGEVLERSNPKRIKTGEETMTLAEFQSEVKKKLQQKKVKEKEEDGNDRRSKPGEKLEGSKNNQGSAVGKARSLKKSVKLPIKEKHQVSMKKKELRLEKHKDSSGGNLENMAVVDSDFYDFDKDRAEKSFKKGQVWAVYDDDDGMPRTCALIDDFISENPFEVRISWLDLQSNRGETIISLNKMGVHIPCGRFKVTWKTTINSINIFSHCVDCDRAACELYNIYPKKGSVWALYGEASVDVDKRSFKVGGKRCYDIVVFLTSYSEVNGLSMAYLEKVDGYKTVFKRQEMGSHAIRFLGKDDMWLISHQIPARKFPRNDETPELLKECWELDPASLPSELLTIGGVDD >OIV93516 pep chromosome:LupAngTanjil_v1.0:LG18:2551066:2554301:1 gene:TanjilG_28673 transcript:OIV93516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPSKPTLGELPESCVALIMGYMDPPQICMLATLNRTFRGASSADFVWESKLPSNYDVLVRKIFDDFPSDLGMRGIYARLCRVNSFDGGTKKVWMDRTLGKICMSISAKALSITGIDDRRYWNHIPTEESRFRSVAYLQQIWWFEVDGEVEFPFPPGTYSLYFRIHLGQASKRFGRRICSTEHVHGWDIKPVRFQLWTSDGQHVASQCFLKGPGKWYYYHAGDFVVEDGNASTKVKFSMTQIDCTHTKGGLCLDSVLIYPSEFIKVKSFLNHS >OIV92732 pep chromosome:LupAngTanjil_v1.0:LG18:13998875:14000525:1 gene:TanjilG_00866 transcript:OIV92732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHLMSVLEFVILFSIRSALRGRSGECGRPSGGSIFVGGFVLGGIIVGALGCVYAPQISRVLAGADSNDFMRKLTKIYV >OIV93529 pep chromosome:LupAngTanjil_v1.0:LG18:2331152:2334883:1 gene:TanjilG_28686 transcript:OIV93529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVATLEEDKAAVAPDLKKDDPISTDGHPSNNLEKSETLPDTNVENSESHPYKDLSSSEIQPNKNVPASDTVSGGEAGTLPNHETGNSGEPSDNHPENSESLTSNQLDNAEASQNSQPVNSDVLPINQSANSEAPHDDQLVSSKALPDHQTASEPNNQLVNSKVLPTNIADNSEMQTGNEMVVSETRQNDEIVVSETWQNDEMVVSETQQNDEMVLSETQQNDEMVVSETQQNDGVVLSKTEQNDEPVASESQQDDKVVLSETQQNGEVVLSENQQDDEVVLPVTQQNDDVVLPETQQNDEVVLSETRQNDEVFVSETQQSNEVAVSETQQSNEVAVSDTQLNNEANMPETQAFDDVVMSEAIPENELANPTTDHNNQLSHSETFPDNQFTNFHMIPEDQLPQPESLPHSEPLPNSDPLTDNHLTDIKPIHHNHQSHTEPLVDGKSSSV >OIV92700 pep chromosome:LupAngTanjil_v1.0:LG18:15732115:15734119:1 gene:TanjilG_18051 transcript:OIV92700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSSEEGQVIGCHTVEAWNQQLQRGNESKQIIVVDFTASWCGPCRFIAPFLAELAKKHLNVIFLKVDVDELKSVAQDWAVEAMPTFMFIKEGSIVGKVVGAKKDELQQTLEKHLASA >OIV93523 pep chromosome:LupAngTanjil_v1.0:LG18:2444134:2448445:1 gene:TanjilG_28680 transcript:OIV93523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFSNNQTILVTGGAGFIGTHTVVQLLQDGFNVSIIDNLENSDIQAVNRVKEVVGPKLSNNLQFTQGDLRNKDDLEKLFSKTNFDAVIHFAGLKAVGESVANPRRYFDFNLVGTINLYQVMAKYNCKKMVFSSSATVYGQPERIPCVEDSNLQALNPYGRTKLFLEEIAQDIQKGEPEWRIILLRYFNPVGAHESGKLGEDPRGIPNNLMPYIQQVAVGRLPELNVYGHDYPTKDGSAVRDYIHVMDLADGHIAALRKLFTPENIGCTAYNLGTGHGTSVFEMISAFEKASGKKIPVKLCPRRPGDATEVYASTEKAEKELGWKAKYGVEEMCRDQWNWAKNNPWGYKGDSLE >OIV93734 pep chromosome:LupAngTanjil_v1.0:LG18:106527:109306:-1 gene:TanjilG_16585 transcript:OIV93734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRSYANLLDLAGGGGLLDIPHTPRTLPRIMTVPGIISDLDGYGCNDGESDISSTGCRERKIIVANMLPIQAKRDTETGKWCFSFDADSILLQLKDGFSSDTEVIYVGSLKVDIDSSEQEEVSQRLLDDFNCVPTILPHDLQEKFYLGFCKQQIWPLFHYMLPICPDHGDRFDRVLWQAYVSANKIFADKVMEIINPDEDFVWVHDYHLMVLPTFLRKRFNRVKLGFFLHSPFPSSEIYRTLPVRDEILRGLLNSDLIGFHTFDYARHFLSCCSRMLGLDYESKRGHIGLDYFGRTIFIKILPIGIHMGRLESVLNLPRTAAKLKEVQEDFKGKKVILGVDDMDIFKGISLKFLALEQLLQQKPDLQGKVVLVQIVNPARGSGKDVQEAMKETYLIAQRINHIYGSNNYQPVILIDRPVPRFEKTAYYAVAECCIVNAVRDGMNLVPYKYIVCRQGTAKMDLALERKSDSPRSSMLVVSEFIGCSPSLSGAIRVNPWDVDAVADALYSAITMCDSEKHLRHEKHYRYVSSHDMAYWARSFMQDLERACKDHYTKRCWGIGLGLGFRVVSLSHGFRKLSIDHIVSAYKRTNKRAIFLDYDGTIVPESSLNKAPSTNVISVLNALCNDTKNIVFIVSGRGRDSLSDWFTSCKMLGLAAEHGYFLRWNRDSEWETSHLSVDLDWKNMVEPVMQSYTEATDGSSIEVKESALVWHHLDADPDFGSCQAKELLDHLESVLANEPAAVKRGQHIVEVKPQGINKGLVAEKILSTMVNGGNSPDFVMCIGDDRSDEDMFESILRTVSCPSLPAAPEIFACTVGSKPSKAKYYLDDTTDVIKLLQGLATSSNPKPRHLAHFQVSFESTV >OIV93377 pep chromosome:LupAngTanjil_v1.0:LG18:7217892:7218587:1 gene:TanjilG_21088 transcript:OIV93377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPSSSSSSSSSQFTYSSTPSYFPMPFHLQQPPSYAPAPPPPSAVTYSYPQPPPSQFQRDAQQLFQRDAQTITPEALENVKAAIANSEIEHKTEAKKKSVPRRAAGLTWEDPSLSEWPENDHRLFCGDLGNEVNDDVLSKAFTKFPSFNMAKVVRDKRSGKTKGYGFVSFADPADLAVALKEMNGKYVGNRPIKLCKSKWRERIDVDAVEKQKKQSKKKSKSARVGVLHY >OIV92994 pep chromosome:LupAngTanjil_v1.0:LG18:13132078:13136009:1 gene:TanjilG_20656 transcript:OIV92994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKKKTKDPKQNAQTDVVLPPFGIFSTLFDNATEHVPTTANASASLFSDNNPFRRKPQQYTPISESPKSTQILNNGVSENHDYIDEKKRKRNKEEKNPTATADPDSLIEVSEKKNDKKKKKQASDEENKGSDMAVEPIGYSEVAKEDDETERKMKKKKKNQGSDEENKGSDLIAETTEKGESNYEGDERKKKKRKREELEKEWEEKKYGVMEGEVEGEGLEKKTVGSKRKALDNAADMLVSKEGFDDEDKLLRTVFVGNLPLKVKKKTLLKEFKKFGEVESVRIRSVPIQDTKKPKKGAILAKKINDDGDSVHAYIVFKTEESAQASLSHNMAVVEGNHIRVDRACPPRKKQKCESALLYDNKRTVFVGNLPFDVKDEELYQLFCGKSDLESNVEAVRVIRDSHLNVGKGIAYVLFKTREAANFVIRKRNLRLRDRTLRISHAKADATPSKRPYPSPVRAPSTPAKKMALGSRSPLSSDNRSDRKAKASYQGLHASKSDIQKKAHGGEKPKERLVKRPSVAARKAKANSHMDATPSKQAAGIKRKFDARTPDSSVRHKKFKRDR >OIV93599 pep chromosome:LupAngTanjil_v1.0:LG18:1048095:1055182:1 gene:TanjilG_04831 transcript:OIV93599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQNNVNTLRFFCIGTLDTKLHELHFLSNSLRSNLHRFSSFKVEVVIVDVSTGPKEPETVQDFSFISRRDVLSCYTGGSNEKGSDSTLLLSEDRGKAVSVMSEALAQFLKKSHDEDKGLAGVIGIGGSGGTSLLSSPFRSLPIGIPKVIVSTVASGQTEPYVGTSDLVLFPSIVDIAGVNSVSRVILSNAAAAFAGMVIGRVHSLKDSSLLEDKPTVGITMFGVTTPCVDAVRDRLHKEGYETLVFHATGVGGKAMENLVREGFIKGGVIDITTTEVADYIVGGVMACDNSRFDAIIEKKVPLVLSVGALDMVNFGAKDTIPQKFQQRNIYEHNKQVSLMRTTVDENRKFAEFIANKLKFSSSKFRVCLPEKGISALDAPGKPFYDPEATGTLVNELQRLIQMNDDRKVKVYPHHINDIEFANVLVDAFLEINEKTTKDSPHPQVASSESVEHYHEDCVSNASSIATIAYTPSEFPDARRETLEKTQLILQQLKQQIDNGIPIIGAGAGTGISAKFEEAGGVDLIVLYNSGRFRMAGRGSLAGLLPFADANAIVLDMANEVLPVVKKVPVLAGVCGTDPFRRMDYFLKEVESTGFSGVQNFPTVGLFDGNFRQNLEETGMGYSLEVEMIQKAHKMGLLTTPYAFNEHEAIEMAKAGADIIVAHMGLTTTGSIGAKTAVSLDESVVRVQAIADAAQRINPNAIVLCHGGPIAGPKEAEFILKSTKGVHGFYGASSMERLPVEQAITSNYSVSPNKAIKVPFPCRCNNGTGLSDQVPVYTIKTGDTLYDIATTTFAGLVKYPQIQEANNISDANTIQVEDKLWIPLPCSCDKVGGVSVVHYGHIVELGNSVEGIADEYGTTQQILLTLNGIIDPKTLQAGQLLDVPLKGTINYYYILS >OIV92826 pep chromosome:LupAngTanjil_v1.0:LG18:14750656:14752956:1 gene:TanjilG_00960 transcript:OIV92826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDQNLSSASNQQSHTNEPNNQAKTQPDVTGPSNKINGPSTSHDNVLSNSNQQQHPPIVQWSYTPQNATQQLMAVSFPNQAPSPFNQWQHFLHQVHVFAQSASPFCQSQWLGGPNVPPIFQTCQAHQTPLPNTAYHVGYQFPGFPCSCNSSSWLGQMQQLEHSYYAYNSSGAPGFSSATTTMPSCSTYGNQSLESGTIKQTAKLSRKHQQLWDAQSVENIQLRSMVDKLQDEVSDHKGRLVKLEEEVSSLKQDYKDRLVKLDEEVLSLKQDYKDRLVKLEEVSSLKQKVETPKAAEVIGTIPVGTGQPPKRGRGRPKRSLASLEASHEPHPRAPGRKPALNPFQLESNSPIFEKVILKKVENKEISNHSTSRIAQEENNGKILNGVCNSMIPAYQGQDNQEYHGVKMRGSETVFSCASGVQINFERGEDKSMKMVYSEQSHPSKVLNNSIGDSTKNIGNTGNGNHGLTSSNDFARDVLDKADQIIFRSGSLVQQEENGEDTSEEMEVGIVRSSKDENEEEMGDDTSFSA >OIV93665 pep chromosome:LupAngTanjil_v1.0:LG18:1913402:1913970:1 gene:TanjilG_04897 transcript:OIV93665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein WQYPREPEEHVPLSSNPDIASSFSGPLLVDTNLVTSSPDTYRAPPAPIPFNVTLGATQTPLVAEVIHGDNHETSAKLEEVKESESKVQTDLEPNSTKDPEIGLAKLGERISLAEEDDCPICLEEYDAENPKLTTDCDHHFHLACILEWTERSETCPICDKVSPNQS >OIV92624 pep chromosome:LupAngTanjil_v1.0:LG18:16297665:16305008:1 gene:TanjilG_17975 transcript:OIV92624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEEVVEPSVTSPVPSEHKRKVQDLEPKHNADSPVSDDTEIKRPRIDALDKLIPVDVYLPGCPPKPEAVIDAITKLHSANGHQDEEVGEPVNDTEEDVVSEDAQPMSKDPSEEKVVSEDAQPIYKDPSEETVNEQTGSEVAEPADSKEPLVSEDAALDNAAEEPSRETEEPSKVSTEEDAPSGDKLPDSVDVAPDTVEIPYKQDASSGPDQPTSGTETVPRKIEVPNNKVGVLIGKAGDTIKYLQYNSGAKIQITRDADADPHSATRPVELIGSLESIDKAEKLINAVIAEADAGGSPALVARGISPAQAIVGSEQIQLQVPNEKVGLIIGRGGETIKSLQTKSGARIQLIPQHLPEGDNSKERTVQVTGDKKQIEIAQEMIKEVMSQPIRPSTSGFGQQAYRPPHGPGGPPQWDQRGSHYGPSAAYNHQHRGTYPAQNQPYAPPPYGNYPQHLVPRSNYGSGWEQRPHHSMQGPPPHNGGYDYYGGQRGHLSDAPPSTQHPGSAPPHGAGPSPAQVSYNYGQPQGQDYGHQAPYTQAGHPQQGYGQGYGESKFDNHASTQQLPYGGHVNAQPTYPQVGAQPTYPQAGAQPSYPQAGAQPNYAANQQYGKPPYYGMPSQGQPPQSYGHTRATQLGDIPYQGSAQVQSYSANMATQQPYPYASSAPQQAAYHTYGSAPVAADSYNHPPPASSQVYTQQGYGQPGAQPAASYPQAGPTGYGSDPSSHQGYPEQAAPNNAVYGYQGVPQDQAYNGGSAPAYSVAPSGQPGYVQPAPAQTGYEQPNQQSVAGYAAVQASAPAAYGKTVSPQPAAAAAYPQYDSTQVYGAPR >OIV92712 pep chromosome:LupAngTanjil_v1.0:LG18:15640020:15640729:-1 gene:TanjilG_25144 transcript:OIV92712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLSNATFMNSTELKITELLKEVQVHHSPNFTKLVDDTVTAVKESIEKIPNDFKVTADLAPKFVRDIGADKVEFKFKKPSFIKIGGSYSIQTLARPQVNIDLIVRLPKCYLRNMD >OIV93250 pep chromosome:LupAngTanjil_v1.0:LG18:9763041:9764132:-1 gene:TanjilG_26005 transcript:OIV93250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPEKIEIFKSLDGWAEQNLLVHLKPVEKCWQPQDFLPDGSSDGFEEQVKELRERAKEIPDDYFVVLVGDMITEEALPTYQTMINTLDGVRDETGASLTPWAIWTRAWTAEENRHGDLLNKYLYLSGRVDMRQIEKTVQYLIGSGMDPRTENNPYLGFIYTSFQERATFISHGNTARLAKEYGDLKLAQVCGLIASDEKRHETAYTKIVEKLFEVDPDGAVVAFADMMRKKISMPAHLMYDGRDDNLFDNYSAVAQRLGVYTVKDYAAILEFLVGRWEVEKLTGLSGEGRKAQEYVCGLAQRIRRLEERAQAKIKELPRLKFSWIHDREVQL >OIV92922 pep chromosome:LupAngTanjil_v1.0:LG18:13781349:13783863:1 gene:TanjilG_20584 transcript:OIV92922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAQSKELFYNHSKEFLDLIKSIGKCRSKSEEDRIITHHDAIQLKRTGYLAITLFLNDNHDLIIFIVNTIQKDLKSDNYLVVCAALNAVCRLINETPSPRCCRRKRLCDNALCSLFDLVTVDVDSYKDLVVSFVNILKQVVDRGLPKNYDYHQMPAPFIQVKLLKILALLGSGDKKTSQNMYAVLGDIIRKSDSSTNIGNAVLYECIRCVSSIYSNPKLLEAAAHVIEKILKSDNHNVKYMGIDALGRLIKLSPNIAEQHQLAVIDCLEILEGLLDPSISITSNNTGAATNVPDIMTLYAETTASVHSGSSAYSVPVTGDNLNLLSELLSAAVGVTSAKTIVTPSIFKGFKCLRFFAEGCISKADGCESFKSKSQLVQRLARLICLQSQF >OIV92877 pep chromosome:LupAngTanjil_v1.0:LG18:15115400:15116300:1 gene:TanjilG_01011 transcript:OIV92877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTNSLSDVPRPPQHPSTTELCSTTILDFEPDSHAPIPAFLLHRPQFQQPATSLYKQRSWSPDSDRDEAWIRRKGNWKNRSTSSVTDEDVDELKACIELGFGFESSPDVELDQRLSDTLPALGLYHAVNKNYNDSLAPKNASATSSFSSAASDSDTTVSPHDSPHTTIFTSGDNPQTVKTRLRQWAQVVACRVRESPK >OIV93103 pep chromosome:LupAngTanjil_v1.0:LG18:11694900:11696705:-1 gene:TanjilG_20765 transcript:OIV93103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSEQRRKRKQQRVIGRHHILNLPSPRSLFLSFSFFIFLLFLSTNRFSIFYFFNNHSSTFHPSLTPSTLSLFYSSKSNSILDPQTTALRPFTLQHRLLFPDYLLLLLTDHPPKPNELECVYYNNGSAEPDFDVIEVQSVLSTDQYDESRSIARCPFPKNMSAAITVDIRRRGEMGRRNLNQIAKKTQSWDKVAYEAILDRDTIVVFVKGLNLRPHKISDPTSFRCHFGLKGFNKEGAFMLTTKAVSVAQEVVRCLLPKSIRSNPGMARGILVSVSHMAGNLRHPVRMLVPSVANVSSPRDSVKSENRRKYELCVCTMVWNQASALREWIMYHAWLGVERWFIYDNNSEDDIERMINDLDGQGYNVSRQAWPWIKTQEAGFSHCALKAREECKWVGFFDVDEFFYFHNEFRHRLGQGGGVPGENSLRLMVANLSSSSIAEIRTACHSFGPSGLTVPPKQGVTTGYTCRLQSPERHKSIVRPDLLDISLLNVVHHFQLREGFRSIDMSEGNVVINHYKYQVWETFKAKFFRRVATYVVDWQKDQNKGSKDRAPGLGTEAIEPPNWRLQFCEVWDTGLKDFLVSYFADPATGLMPWERSSKYGY >OIV92970 pep chromosome:LupAngTanjil_v1.0:LG18:13388566:13393102:-1 gene:TanjilG_20632 transcript:OIV92970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVSGAIGDVLVVLPPSVSLRLPEFRHLHGFAVSDMKNFLSTGFLKTCPSSYNTKHYNEFYNFRARVLVPRASADSSDNLVPFAPLQLESPVGQLLEQISQKHPHLLPAAIDQQLENLQTAKDAKEEESSASSQDSLYNLNHWSVEATVLKMQVSGAIGDVLVVLPPSVSLRLPEFRHLHGFAVSDMKNFLSTGFLKTCPSSYNTKHYNEFYNFRARVLVPRASADSSDNLVPFAPLQLESPVGQLLEQISQKHPHLLPAAIDQQLENLQTAKDAKEEESSASSQDSLYKRIAEIKEKENRTTLEEIMYCSIVHNFLDNNISMIPKISTASDPTSQVDFWPNQELKLEAVHSEEAFEMIQSHLSLVLGERFVGPLQTIVQISKIKLGKLYAASIMYGYFLKRVDERFQLERKMETLPKDLGKANVSYDEPSPTNPLWDTDSLMRIDAYNEGFSDEDYMDPGEGKSYRLRSYVMQLDAETLQRLATIRSKEAISLIEKQTQALFGRLDIRVSGDGSIETSNDELLSLAFSGLTTLVLEAVALGSFLWDAENCVESKYPFLNN >OIV92667 pep chromosome:LupAngTanjil_v1.0:LG18:16008416:16010274:-1 gene:TanjilG_18018 transcript:OIV92667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAIISTSYAVSTACFLAQLPFLSHTSTEASQNENLEAIKVPTSVPVRVAYELLLAGHKYLDVRTQEEFNAGHAIDAVNIPYMFKAGSGMTKNPDFVKEVSSHFRKDDEILVGCQLGKRSMMAANNLLAAVSNASSPPLLNIYAIVIVDWI >OIV93066 pep chromosome:LupAngTanjil_v1.0:LG18:12217578:12222008:1 gene:TanjilG_20728 transcript:OIV93066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELTSLVLWLLCLLLLVLMEVSSAALSPSGINYEVVALMGIKTDLIDPHNVLENWDINSVDPCSWRMITCTPDGYVSALGLPSQNLSGKLSPWIGNLTNLQSVLLQNNDISGHIPAAIGSLEKLQTLDLSNNAFSGEIPSSFGGLKNLNYLIVGNPLICGPKENNCSTVLPEPLSFPPDTLRDQPDSGKKSHHVAVAFGASFGAAFVVVVIVAFLFWLRYRHNQQIFFDVNEQYDPEVRLGHLKRFSFKELRTATDHFNSKNILGRGGFGIVYKASLSDGSIVAVKRLKDYNAAGGEIQFQTEVETISLAVHRNLLRLCGFCSTQNERLLVYPYMSNGSVASRLKDHIHGQPALDWTRRKRIALGTARGLVYLHEQCDPKIIHRDVKAANILLDEDFEAVVGDFGLAKLLDHRDSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELITGHKALDFGRAANQKGVMLDWVKKLHQDGRLSQMADKDLKGDFVMAELEEMVQVALLCTQFNPSHRPKMSEVLKMLEGDGLAERWEASQRVETPRFRSCENPPQRYSDYIEESSLIIEAMELSGPR >OIV93736 pep chromosome:LupAngTanjil_v1.0:LG18:95308:97537:-1 gene:TanjilG_16587 transcript:OIV93736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVDKVGSSSEWSLEQDKAFENALATHLEDASDRWEKIVADVPGKTLEEIKHHYELLVDDINQIESGCVPLPSYHSSPEGSTSHRSNEGADKKGSSLWNYNNESNPKVSKSDQERRKGIAWTEEEHRLFLLGLEKYGKGDWRSISRNFVVTRTPTQVASHAQKYFIRLNSMNKDRRRSSIHDITSVNNGDVSAALGPITSQTNVASRNSTGKLTKQGPPTRTGASVVGLYGPPTVGQAIGGGPLVSAVGTPVNLPPPHMAYGVRAPVHGAVVPGAAMNFGHMPYHMPQPHR >OIV93572 pep chromosome:LupAngTanjil_v1.0:LG18:775753:777770:-1 gene:TanjilG_04804 transcript:OIV93572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQDMFNGAPGSRASKSAPCSPAKPVGVLRTRSESFHMTHKVPIGDTPYVRAKNVQLVEKDPERAIPLFWAAINAGDRVDSALKDMAIVMKQQNRSEEAIEAIKSLRSRCSDQAQESLDNILLDLYKRCGRLDDQIGLLKHKLFLIQKGLAFNGKRTKTARSQGKKFQVSVEQEATRLLGNLGWALMQQNNYIEAEDAYRRALSIAPDNNKMCNLGICLMKQGRISEAKETLYRVKPPTIIDGPRGSESHMKAYERAQQMLKDLESEMMNKGGDRIEQSRIFEAFLGSSSIWQPQPCKDNNHNTTLQVGANSFKDGFADENSNANLINMIPNHTAQKQQQQHNNNKNINNKVVAMFGNSLNVAAPPFYASKPLFKESIDENQISEKLKRTRSGNAAASMMKLEVPVPENKTRRLSLEEDIERKKLTDLLPDNKDFEDAILAAILGPGNESDKANGTTMFKTKTEKRLKVFQDITLSMSPRAST >OIV93440 pep chromosome:LupAngTanjil_v1.0:LG18:5185182:5188131:-1 gene:TanjilG_10072 transcript:OIV93440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCSMALAASGFVLSPNVVTNSLSSRSNTMVMFPTKNINGSSSRLVSVRAADEAASVAAPAPVGEVSKKPPPVGPKRGTKVKILRRESYWYKESGSVVAVDQDPKTRYPVVVRFNKVNYANVSTNNYALDEVVEVK >OIV93555 pep chromosome:LupAngTanjil_v1.0:LG18:644098:646814:-1 gene:TanjilG_04787 transcript:OIV93555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFEIKSGALVTLQELHPSSPFFKQGASLRITGKLHAYSLESGIATIIDGDDTLKVSTEHLRDLSFRVGSVYQFIGELCIEPENEVKALLILLYLARTLGYMRVLQARVGRNVDGIDLNLYHQSLLLLRQFQANHLNNPAT >OIV93208 pep chromosome:LupAngTanjil_v1.0:LG18:10505250:10508059:-1 gene:TanjilG_24423 transcript:OIV93208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSFTVFAEELYTLPPTSPPHPPTHSPHHPQHHHHHHHHPPASAPVHSPAPSAKPPTHTPVKTPPPSPTVHHRIARTFVAVQGVVYVKPCKYAGVDTLLGATPLLGAIVKLQCNNTRYKLVQTAKTDKNGYFFLEAPKTITNYGAHKCNVVLVSASTGLKPSNLHGGVTGSTLRIEKPYVSQKGPFTLYTVGPLALEPKCPL >OIV92782 pep chromosome:LupAngTanjil_v1.0:LG18:14408212:14411469:-1 gene:TanjilG_00916 transcript:OIV92782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLFTGMYHAILTQLGEPTSILLDFRIWSAYKYVSELWRKKQSDVMRFLQRVRCWEYRQQPSIVRLTRPTRPDKARRLGYKAKQGYVVYRVRVRRGGRKRPVPKGIVYGKPTNQGVTQLKFQRSKRSVAEERAGRKLGGLRVLNSYWVNELGEPTSILLDFRIWSAYKYVSELWRKKQSDVMRFLQRVRCWEYRQQPSIVRLTRPTRPDKARRLGYKAKQGYVVYRVRVRRGGRKRPVPKGIVYGKPTNQGVTQLKFQRSKRSVAEERAGRKLGGLRVLNSYWVNEDSTYKYFEIILVDVAHAAIRNDPRINWLVNPVHKHRELRGLTSAGKSNRGLRGKGHRYHKNRPSRRATWKRNNTLSLRRYR >OIV92984 pep chromosome:LupAngTanjil_v1.0:LG18:13210492:13216053:1 gene:TanjilG_20646 transcript:OIV92984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGDCQVMSNMGGNVVVNSDSLFSSSIQNSNFNFLPTIPFQPFPPLSLTQKEEDGILAGKEEMESGSGSEQVEDKSGNEQEGEQPPKKKRYHRHTALQIQEMEALFKECPHPDDKQRMKLSHELGLKPRQVKFWFQNRRTQMKAQQDRADNVILRAENESLKNDNYRLQAALRNIICPNCGGPGIMGADMGLDEQQLRLENARLREELERVCCLTSRYTGRQIQTMAPPPSLMDQSLDLDMCIYPRHFTDPIPCTQMIPVPMLPPPEASSFPEGCLLMEEEKSLALDLAASSMDELVRMCQTHEPLWIRNSENVMEVLNFEEHARMFPWPLNLQHRSEVRREASRDSAVIIMNSVTLVDAFLDAQKWMELFPTMVSRAKTVQIISSGASGHACGSLQLMYAELQVLSPLVSTRETHFLRYCQQNAEQGTWAIVDFPIDSFHENIHPSYPRYCRRCSGCVIQDMPNGYSRVTWVEHAEVEEKPVHQIFSNFVYGGMAFGAQRWLGVLQRQCERVASLMARNISDLGVIPSPEARKNLMSLAQRMIRTFSLNMSTSSGQSWTAISDSPEDTVRITTRKITEPGQPNGVILGAVSTIWLPFSHTKVFDLLRDERHRSQMDALSNGNSLNEVAHIANGSHPGNCISLLRINVASNSSQNVELMLQESCTDQSGSLVVYTTIDVDSIQLAMSGEDPSCIALLPQGFMIVPMDSPNIGTSEPVTGPLPNTTTSVNSGCLLTAGLQVLASTIPSAKLNLSSVTAINNHLCNTMHQIEAALCSSTNSSHLENNGTFVGSCTEPTTSGAPPK >OIV92791 pep chromosome:LupAngTanjil_v1.0:LG18:14472517:14476342:1 gene:TanjilG_00925 transcript:OIV92791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTASSANQLISISPDELRFQFELDKQTFCDLKVANNTESYVAFKVKTTSPKKYFVRPNTGVIQPWDSCIIRVTLQAQHEYPADMQCKDKFLLQSTIINSNTDVDELPPDTFSKESGNTIEDLKLRVVYISPNSPQGCSEDDVLKNSLQKLDASSSEALQHLKEERDAAARQTRQLQQELDMLKRRRSRSDPGFSFIFAIFVGVIGILLGFLLKLIFSSPSAE >OIV92673 pep chromosome:LupAngTanjil_v1.0:LG18:15951182:15952441:-1 gene:TanjilG_18024 transcript:OIV92673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVWKDINLASLNEQNTRSSMSKSSTFGGVIFQDFLSIDPSTNTCSNTRSLYSPTPPTPVTALSLSCSRPEFHFDSSLRSSKESHLLHTQNPNTNPKLPCFPTTTTTATTTTTTTTPFESKRFSELPDFNPGERRNKRMIKNRESAARSRARKQANAYTKELQLKVDLLQEENERLKRQQQQLYEAAASQQKKKNTLYRTSTAPF >OIV93356 pep chromosome:LupAngTanjil_v1.0:LG18:7713499:7714412:-1 gene:TanjilG_31403 transcript:OIV93356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKYYFPAHSLAEALPSACWSETATFTLIDAWGKRYLHLNRGNLRQKQWQEIAGTVNRRHGHRRTEVQCKNRLDTLKKKFKIEKARVSEFNGGGYDGAWPFFHRLNFLIGDNYPSHRPSPAVRRHREIRPPAKFPEWALPPAGRRSDTQKRQSALLNSYFSRNLSAFAAAAAVVAKEEEGENLNRWKLRNESEKRKRVKESDNEDCETVCKKVALAIERFGEIYTRVEAKKQRQMLELEKQRMQFAIDLEYQRLQLFMETQVQLHKINRSKHSSAPSEAL >OIV93434 pep chromosome:LupAngTanjil_v1.0:LG18:5022499:5025160:1 gene:TanjilG_10066 transcript:OIV93434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWNAKSPSQWDWEQSLFLNAKATEISKSQPNWNGETNQEINVGLMDTYGGSGCSGSELIHTSSSRSSISASNNSSSNRDSKTSMYTLESSLDDSSGKKELSKVEISHALESSSVSGEPLLSLKLGKRLYFEDVYPGSNSKSPSFSRAPMSSLGAGKKGKSSGQNVQLTRCQVEGCGLDLSSAKDYHRKHRVCESHSKSPKVVIAGMERRFCQQCSRFHDLSEFDEKKRSCRRRLTDHNARRRKPHPVAVRLNQPALSPSLYDGRQHMSPFSYSRTGTNLAWQDTHSSKLPQAKDFLLNPAKANNEMPSIGTMVSYDFNSPFISKGIATRSVNPVIEDSITSSDPNVTQDFHHALSLLSTNSWGSHEPKSISVEHPNKATATAHAMSQRLPLASPEYWHIGQQPVNSGTWISYSNCDDSNRFQEFQLFREPYESVFPYNQLD >OIV93493 pep chromosome:LupAngTanjil_v1.0:LG18:3412894:3418266:1 gene:TanjilG_11075 transcript:OIV93493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRPFQFTFSRNNPFLFLFRTSQSFSFPSSHFPNTFFLRHRASSAAPPYPPLPHDLRRRFRPPPPPHDTLAQKIGKATRRPGAPSKARVYSDVNVVRPKEYWDYENLTVQWGEQDDYEVVKKVGRGKYSEVFEGVHCTNNEKCVIKILKPVKKKKIKREIKILQNLCGGPNIVKLHDIVRDQQSKTPSFIFEHVNNTDFKVLYPTLSDYDIRYYILELLKALDYCHSQGIMHRDVKPHNVMIDHEQRKLRLIDWGLAEFYHPGKEYNVRVASRYFKGPELLVDLQDYDYSLDLWSLGCMFAGMIFRKEPFFYGHDNYDQLVKIAKVLGTDELSAYLNKYRIELDPHLAALIGRHSRKPWAKFINVENQHLAVPEAVDFVDKLLRYDHQERPTAKEAMAHPYFNPVRNAESSRTRAH >OIV93149 pep chromosome:LupAngTanjil_v1.0:LG18:11213990:11214544:-1 gene:TanjilG_20811 transcript:OIV93149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPKLTSPLFPLLILITFTSLYFFSSFLSLSQTPHSQSLAISNNCDLFKGHWVFDPNHSTNPFYDGTCPFHRNAWNCIRNHRQNLTLINSWKWVPHGCNLNRIDPVRFLGLMRNRNIGFVGDSLNENFLVSFLCILRVADEGAKKWKKKGAWKGAYFPKFNVTVAYHRAVLLSNYKYECMIIIH >OIV92748 pep chromosome:LupAngTanjil_v1.0:LG18:14120712:14125530:-1 gene:TanjilG_00882 transcript:OIV92748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKDSPHNLPSPSPSPSSSSSSNHVKRLLNSDHYISPSKSLCSDRFIPCRSTSNFALFDIPSSEKDNSTAYNSLLRTALFGPNAPVTPDKRSSGNIFRYKTETRHNMHALSPFLVHDDVRPDTNYNYTPVVKPHRKVPKSPFKVLDAPALQDDFYLNLVDWSSHNVLAVGLGSCVYLWNASSSKVTRLCDLGIDDYVCSVEWAHRGTYIAVGTSKGKVKIWDASQCKTIRTMDGHRLRVGALAWSSSILSSGGRDKSIYQRDIRVKEDFISKMSGHKSEVCGLKWSYDNRELASGGNDNRLLVWNNHSTQPVLKYCEHTAAVKAIAWSPHVNGLLASGGGTADRCIRFWNTTTNSHLNCVDTGSQVCNLVWSKNVNELVSTHGYSQNQIIVWKYPSMSKVATLTGHTYRVLYLAVSPDGQTIVTGAGDETLRFWNVFPSQKSQNAETEIGAFSFGRTTIRTSTFGMLYQLGKPCGEL >OIV93535 pep chromosome:LupAngTanjil_v1.0:LG18:2196377:2198903:1 gene:TanjilG_28692 transcript:OIV93535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRFNRIEFEEINVDLSKGHHLSPQYTGNYALNTVIEPALGIPTSRNAAAEAEKVLLSSLSKLENIWLTGDGPFLLGGLQPSIADLSLVCEIMQLEILDEKDCSRILSRYKKVLRWIEDTKAAMNPHFEEVHNILYKAKKNFERQRLRVAKTGSEPSNKVGVHSKM >OIV93427 pep chromosome:LupAngTanjil_v1.0:LG18:5842845:5843237:-1 gene:TanjilG_13112 transcript:OIV93427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALEWVVLGYAAAAEAIMVILLTIPGLDALRKGLIAVTRNLLKPFLSVVPFCLFLLMDIYWKYENRPTCEADSCTPTEHLRHQKSIMKSQRNALLIASALLFYWLLYSVTNLVVKIEQLNQRVERLKNRD >OIV93485 pep chromosome:LupAngTanjil_v1.0:LG18:3134882:3135919:1 gene:TanjilG_11067 transcript:OIV93485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAAPYFCPVDSCEQRLQIEKRLGSVRAGKYFSLVSRYLSLKISKSEFDRCCIATIGRENVKLHNHFLTSLLKKICLSDTTPPRKRKGNIQDSLNVKLPNGGNNLQPLCRDLPQSPRKGRTPNLRDRKFRDRPRPLITHGKNNNSIVFEESVPKIQEQQRSIELHSVGSMPPLSVEDVEDVQVIQDLSIYRRSPIRPPLGIPTCNKRARTVLRRGLESGTVTDTCKSISQLPDTCSLTKRLEQKLEMEGLKISEDAASLLNKALDVYLKRLIKPCLDLAASKSVNKSGGLIQSSLNGLQKDWCVKKQVGLVSASISDFRTAMAMNPVILGEDWPLHFERVCQRTS >OIV92843 pep chromosome:LupAngTanjil_v1.0:LG18:14867480:14872151:-1 gene:TanjilG_00977 transcript:OIV92843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKQHSKDRMFITKTEWATEWGGAKSKEIRTPFKRLPFYCCALTFTPFQYPVCTSDGTVFDVMSITPYIVKFGKHPVTGTPLKQQDLITLTFHKNSEGEYQCPVLNKVFTEFTHIVAVKTTGNVFCYEAIKELNIKTKNWKELLTDEPFTRDDLITIQNPNALDSKILLDFDHVKNSLKIDDEELQRMSSDPTYNINVSGDIKQMLKELGTEKGKEAAMLGGGGSKAQKERAAALEAILAARSRVKDDSESNPNGEVKASQALSIVDAASASVHGRSAAAAKASSGDKTAARIAMHVAGDRAPVNAKMVKSRFTTGAASRSFTSTSFDPVTKNDFEYVKVEKNPKKKGYVQLHTTHGDLNIELHCDITPRACENFITLCERGYYNGVSFHRSIRNFMIQGGDPTGTGKGGESIWGKPFKDELNSKLVHSGRGVVSMANSGPHTNGSQFFILYKSANHLNFKHTVFGGVVGGMTTLATMEKVPVDDSDRPLDEIKITSVTVFVNPYTEPDEEQQQDNTEEKNTVDEDNERVGSWFSNPGAAISESGGTGVGGGCGVGKYLKARNAQVQAESATVDTSVGKKRKVGVASSEFKDFSRW >OIV92832 pep chromosome:LupAngTanjil_v1.0:LG18:14789441:14790837:1 gene:TanjilG_00966 transcript:OIV92832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAPCCDKTYVKKGPWSPEEDTKLKTYIEKHGTGSNWISLPQKIGLKRCGKSCRLRWLNYLRPNIKHGGFSEEEDNVICSLYLSIGSRWSIIAAQLPGRTDNDIKNYWNTRLRKKLTGKQRKDQQAQARRVSNLKQEIKSEPEYFAGVITQTSYWPSEHNNYMHMQVTNNHNVSNQHYDLNNQTSFWNLLLNKDTVTATFNSQVTCDVSLLQEQIYPITMNMINPINSGSGTYLPSNIFPGFENFPNDLSEQVCVNQPQQVDGSMQGFYGIGSLDITINNGSTNTTSTESTNWEDMNSLVYSPNLASDYEGYPQEIPQDITFEESRYFGIPMQ >OIV92706 pep chromosome:LupAngTanjil_v1.0:LG18:15681302:15683225:1 gene:TanjilG_25138 transcript:OIV92706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTLGRAFYTVGFWIRETGQAIDRFGSRLQGNYLFQEQLSRHRPLMNVFDKGPYVHKDAFVAPSASIIGDVHIAQSSSIWYGCVLRGDVKSISIGSGTNIQDNSLVHVAKSNLSGKVLPTIIGDNVTVGHSAVLQGCTVEDEAFIGMGATLLDGVYVEKHAMVAAGALVRQNTRIPYGEVWGGNPARFLRKLTENEMAFFSQSALNYSNLAQAHAAENAKKLDGAEFEKVLRKKFAPRDGEYDSALGVVRETPSELSLPDNVLLDKVSKA >OIV93068 pep chromosome:LupAngTanjil_v1.0:LG18:12197724:12200062:-1 gene:TanjilG_20730 transcript:OIV93068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPIEQFEEWKEQASHLWRVAMDHLRHVPPTQLYAAAAIVVFTTVLLLSRFLKRAKANTIVLTGLSGSGKSVLFYQLRDGSTHQGTVTSMEPNEGTFVLHGETTKKGKIKPVHIVDVPGHSRLRPKLDEYLPQAAGVVFVVDALDFLPNCRTASEYLYDLLTKGSVVKKKVPLLILCNKTDKVTAHTKEFIRRQMEKEIDKLRTSRSAISEADVTNEFTLGVPGEPFTFTQCSNKVTTAEASGVTGEISQLEEFIREHVKP >OIV93192 pep chromosome:LupAngTanjil_v1.0:LG18:10649690:10651537:1 gene:TanjilG_24407 transcript:OIV93192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGIFKNNLVDPPMELNSPAPLNSSTKSKHPDEILKHFISSNSSNAFSMNFGSDALLAYSPANKPSMHNGLFCGIDNIYCAFMGHLNNLSELIKRYGLSKGTNEAMFIIEAYRTLRDRGPYPADQVLKELEGSFGFVIYDDKNDTVFAASDSNGDVGLFWGIAADSSTVISDNLDLVKASCAKSFAPFPTGCMFHSGHGLMSYEHPNRKMKAMPRIDSEGFMCGANFDVDSQSRKSMMPRVGSEANWSTWGSA >OIV93016 pep chromosome:LupAngTanjil_v1.0:LG18:12906126:12907731:1 gene:TanjilG_20678 transcript:OIV93016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSQLGFLEELLAPRRDTWNNVLSTGLNELFPSGWSFDSFDENPSLASLNPSFAAFSTPINHRFEFPYGNEAAAAAAYSFGDGFTMPELDSSYTRNYESAPLLPQEDNKPSIHDEDLGFLGSDSLSMEERNNGFKVEEQVSEIPVFNKGTCGEKKSKLKKIEGQPSKNLMAERRRRKRLNDRLSMLRSIVPKISKMDRTSILGDTIDYMKELLERIGKLQEPEMEEGTNQINILDNSKEQKPIEGMVRNSPKFNVERKEKDTKISICCTTKPGLLLSTVNTLEALGLEIHQCVISSFSDFSLQASCSEAAEQRNCISPEDIKQALFRNAGYGGRCL >OIV93451 pep chromosome:LupAngTanjil_v1.0:LG18:5503953:5511744:1 gene:TanjilG_10083 transcript:OIV93451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADALMVVPAAVLRNLADKLYEKRKNAALDVEGIVKQLATAGDHDKITAVINLLTTEFTYSPQSNHRKGGLIGLAAATVGLTSEAAQHLEQIVPPVLNSFSDQDSRVRYYACEALYNIAKVVRGDFIIFFNKIFDALCKLSADSDSNVQSAAHLLDRLVKDIVTESDQFSIEEFIPLLRERMNVLNPFVRQFLVGWISVLDSVPDIDMLGFLPDFLDGLFNMLSDSSHEIRQQADSALSEFLQEIKNSPSVDYGRMAEILVQRAGSPDEFTRLTAVTWINEFVKLGGDQVVPYYADILGAILPCISDKEEKIRVVARETNEELRDVKADLAEAFDVEAVLSIARRQISSEWEATRIEALHWMSTLLNRYRTEVLQFLDDIFDTLLKALSDPSDEVVLLVLDVHACIAKDSQHFQQLVVFLLHSFQVDNSLLEKRGALIIRRLCVLLNAERVYRELSTILERESDLDFSSLMVQALNLILLTSPELSEIRELLKQSLVNTAGKDLYVSLYASWCHSPMAVLSLCLLAQTYQHASAVIQSLGEEDMNTKFLVQLDKLIRLMETPTFTYLRLQLLEPGRYIWLFKTLYGLLMLLPQQSAAFKILKTRLKAVPSYSFDGEQSKRTSSRSPYLFLHHTEDGDITMDGGYSHNGINFAARLQQFKQMQHQHRAHWKTQALSCKISTSLPKGLITKPIGVTVKSGQAFHPLLFVGDM >OIV93597 pep chromosome:LupAngTanjil_v1.0:LG18:1024209:1024580:1 gene:TanjilG_04829 transcript:OIV93597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRSMTSRRGYERLGKESSTITLLNDEFKRSTSLASQAPSFSRRVTSSSRASSSSTFGNISLQRNPTKKGNNDKKKIHPLLSFLDFGRKKKTTAKPEFSRYLEYLKEGGIWDLNSNKPVIYYK >OIV92586 pep chromosome:LupAngTanjil_v1.0:LG18:16493673:16496024:-1 gene:TanjilG_07577 transcript:OIV92586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIAATRRLLPFTSTPFLNSIQNSFFLYTPLSHPSYSHSSSSLSTIEDASHGFHKWHNGGGIFHESASIHSTSLLQVGAIVHSHSVIAPNVVIASGTVVGPSVIIAHSTSIGFNVSLSNCSIGDSCVIHNGVCIGQDGFGFYVDGDGNMIKKPQTLNVIVGNRVEIGANTCIDRGSWRDTVIGDNTKIDNLVQIGHNVVIGKNCMLCGQVGIAGSATIGDYVTMGGRVAVRDHVSIASKVRLAAMSCITKDIKESGDYGGFPAVSIHKWRKQVASSRRTPLKMDP >OIV93739 pep chromosome:LupAngTanjil_v1.0:LG18:59876:68020:-1 gene:TanjilG_16590 transcript:OIV93739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSLTNIAKHPTISLIKSSTTLKELKQIHTQLLVNGTFNNPHFHAQFIATIALHNPINLHYSNYLLHHHHHHNPNLFTLNSMIRAYSKSTTPHKSFYFYNNILNSPNNLSPDNYTFNFLVRTCAQLMVHMTGFSVHAALIKHGFEHDPHVQSGLIFMYAEMGCLSSCHVVFEGVQNPDLVCQTAMLHACAKCGDVDFARKMFDEMPHRDFVVWNAMVAGYAQCGRSREALDLFQLMQMEGVKINEVSMVSVLSACTQLGALDQGRWAHAYLEKYDIRMTVTLGTALVDMYAKCGNIGKAMKVFWGMKEKNVYTWSSAIGGLAMNGLGRESVELFSLMKKDGVHPNEVTFISLLRGCSVVGMVEEGRNHFDSMRKLYGIEPQFEHYGLMVDLYGRAGRVEEALSFIKRMPMKAHVGAWVALLHACRMYKKKEIGEYALKKIVEVEAKNDGAYVLLSNIYADYKNWEGVKNVRETMKSKGVKKVPGCSMIEVDGIMNFFMRSTTHVYSEPSSSSSSSSSVPPIHSPPGTTSGSSLETLMSEEPYAPHSSTEHFLEQIDTVGGDNGSITAAHNSINDTPILANHFDVSEEEGCITIPYKELPENWNHVPDIQSLRPLDRSFLFPGEQVHILACLSACRQDTEIITPFKVAAVMNKIGIGHSPQKENGNVENRNNSVSEEWQLSISNQEPKENNLAKTKTDRPANVSDGESLLRMEVQKRQTASLLQKFENSHFFVRISESDEPLWSKRGSSEKLSNSSETNGEKASIIGLKETEASLSSISAVIDRGNFDATVSGGAARNSIKSCALPNGDILLFSFSHFRSYSMSSLPQTTNVPTGPVKAASSKPSFEIDDWDQISSQKFLRKKAGNELLSFRGVSLERERFSVCCGLEGIYTPGRRWRRKLEIIQPVEIHSFAADCNSEDLLCVQIKNVAPAHAPDIVIFIDAITIVFDEATKSGTPSSLPIACIEAGNDHSLPNLALRKGEEHSFILKPVTSVYKDLKVQEERNSQMSKLQLGNSDSKLNLSPKSPDKREIALGGDDQYAIMVSCRCNYTASRLFFKKPISWRPRTSRDILITVASEMSRESPRPYERNSQLPVQVLTLRASNLTSEDLTLTVLAPASFMSPPSVVSLNSPTTPMSPFIGFTDFLGRINGERRIGATQRQSFTSRVKENEKQGDDGSPQSVSMTNDVIPSSGLSCTHLWLQSRVPLGCIPSQSTATIKLELLPLTNGIITLDSLQIHVKEKGVTYIPERSLKINATSSISKGVI >OIV93693 pep chromosome:LupAngTanjil_v1.0:LG18:400830:405746:-1 gene:TanjilG_16544 transcript:OIV93693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMETTFMVPDMCFIIQIRLCLDKEGAWILKPKNIKPEFVVHFIGGIFVGAAPQLTYRLFLERLSEKGALIIATPYASGFDHFLIADEVQFKFDRCYRLLQETVQDLPIFGVGHSLGSVVHLLIGSRYAVPRSGNVFMAFNNKEASSAVPLFSPVIVPMAQSIGPILSDILSSPTLRAGAEITLKQLGNVSPPIMKQVLPLVEQLPPLYMDLVKGREDFTPKPEETRRLIKSYYGISKNLLIKFKDDLIDETSTLAQVLSAESAISSILDMSIRKLPGNHSLPLQQALPNVPPAMADAVNRGSELLSNLAIGTPWETVAKEVGNTLGMDSRVLSAEVSKDIDLLVDVISSWIISNAGPNLLRP >OIV93017 pep chromosome:LupAngTanjil_v1.0:LG18:12883515:12885044:-1 gene:TanjilG_20679 transcript:OIV93017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDPKYAYPYPAQGYYQGPPVMAPPQYAAPPPGGQAGFLEGCLAALCCCCLIDECCCDPSIIFIC >OIV92977 pep chromosome:LupAngTanjil_v1.0:LG18:13314481:13315842:1 gene:TanjilG_20639 transcript:OIV92977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSTSKDAQDLFRALWSSYSAAPTNLKIIDLYVIFAVFTALIQVAYVALVGSFPFNSFLSGVLSCVGTAVLAVCLRIQVNKENKEFKDLAPERAFADFVLCNVVLHLVIMNFLG >OIV93484 pep chromosome:LupAngTanjil_v1.0:LG18:3111625:3112947:1 gene:TanjilG_11066 transcript:OIV93484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQNQVPSPRTTPFPTPKALSSSFGDTNCMEQLLVHCANAIETNDVTLAQQILWVLNNIALPDGDSNQRLASSFLHALAARAAKNGSCKMLEAMMGEESRSHLAIDTHKFSVIELANFVDLTPWHRFGFTAANAAIIEASEGFSVIHIVDLSLTHCMQIPMLIDAIASRHEVPPLIKLTVAGDNCRDIPPVLDLSYDELGAKLVNFASSRNVIMEFRVVHSSYTDGFASLIEHLKLQHLVYASEARATEALVINCHMMLHYIPDETLIHYMNSNSYVYDYGYDSSTLSLRSLFLKAVRSLDPTIVVLVDEDADLTSNNLVCRLRSAFNYLWIPYDTVDTFLPRGSKQRQWYEAGICWKIENVIAHEGLQRVERVEPKSKWEQRMKNANFHGVTFSEDSISEVKAMLDEHAAGWGLKKEDEHILLTWKGHNVVFASVWLPS >OIV93003 pep chromosome:LupAngTanjil_v1.0:LG18:13047847:13048458:-1 gene:TanjilG_20665 transcript:OIV93003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMYVDEEDIWKCPKHPSKRRRSGICPTCLRERLITLCPDCAKVRPCSCHPTSSSSSSSSSSSFSRFSFAGDGGGSVGRVYNIIDSEPSLRKSRSVAIPFLRSRSRFSAVGYSDSDGGGREKDYEARSFWSMFKSQKSRREKDYQAKVLTEVNHADLNTEAVMARSKSVAVSGDGEVIGRTKGRWWFFPSPMKAFRNSKVSKF >OIV92869 pep chromosome:LupAngTanjil_v1.0:LG18:15047432:15047947:1 gene:TanjilG_01003 transcript:OIV92869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGEGGGGGVRGEREMGTRKKGKESGERRYKGIRMRKWGKWVAEIREPNKRSRIWLGSYSTPVAAARAYDTAVFYLRGPSARLNFPDLLTRENGAVLANSDMSAAFIRKKATEVGARVDALQATHHHRHVAVPPELISDAGDNRSSKFANLVDLNKIPEPENSDYELGGRE >OIV93642 pep chromosome:LupAngTanjil_v1.0:LG18:1544430:1553846:-1 gene:TanjilG_04874 transcript:OIV93642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRRLRESIKSLLRSHLDPHKDEQQQLTKTEIGDKVKRILKLVKDDNLEEDGTPAELSKKGPLVELIEDFNNHYQSLYAQYDHLRGVLRKKINDKQEKENCLFSPDSDYSSMDEDSENDEDSENGQLENEFHKTIDGLKQELVIARIDVVELNLKLTSTHEEKEDLNSKYLTSLSKIEEADKINMDLKSDAEELGIQISKLSVENDELKQQLDITGEIEAELSQKLEDSEKVTDGMRTMIDQLQDEKLALGEELKAVTGELSILKQQLEHAEQQDTNIRQNLKVTEEENESLKSKLSQATYEVQLAQNRIQELEAESIQLKEFLEGRYREVSTLIQKHAGYQNESSSKVKELEAQVANLELGIDMEDKIKSGTTEASELGELQNQISEHETKCKEREELLSALMEKLEDIENDAPSKVADLTSQINQLLSDNSTLHAQKIELKEDIIFISNGASDQVKTITDEVKRLQLEVESFQSQKSDWEIQLVEKVQENFEYTIRMQALEEEVDRKALEQEILRRDLEEKGYEINTLLEKVRMLEVQLRLSDQKLRVTEQLLTEKNESFTKGEEKFHQEQRALEDRVATLSAIISAKNEAFQEIISNITENANIKMSDDCKNYKASIANITHELQVAKTCVSEMNKEKEELKNERDHLLEKLKNKSKEELAFRVVVERLEAKAKREELKKTNLNANVVELKKTIEELEKGMKEKNDELGIQISKLSVENDELKQQLDITGEIEAELSQKLEDSEKVTDGMRTMIDQLQDEKLALGEELKAVTGELSILKQQLEHAEQQDTNIRQNLKVTEEENESLKSKLSQATYEVQLAQNRIQELEAESIQLKEFLEGRYREVSTLIQKHAGYQNESSSKVKELEAQVANLELGIDMEDKIKSGTTEASELGELQNQISEHETKCKEREELLSALMEKLEDIENDAPSKVADLTSQINQLLSDNSTLHAQKIELKEDIIFISNGASDQVKTITDEVKRLQLEVESFQSQKSDWEIQLVEKVQENFEYTIRMQALEEEVDRKALEQEILRRDLEEKGYEINTLLEKVRMLEVQLRLSDQKLRVTEQLLTEKNESFTKGEEKFHQEQRALEDRVATLSAIISAKNEAFQEIISNITENANIKMSDDCKNYKASIANITHELQVAKTCVSEMNKEKEELKNERDHLLEKLKNKSKEELAFRVVVERLEAKAKREELKKTNLNANVVELKKTIEELEKGMKEKNDGILILEEEKREAIRQLCLWIDYHRDRYDHLSDILSKNRRGQRGA >OIV93446 pep chromosome:LupAngTanjil_v1.0:LG18:5326124:5328001:-1 gene:TanjilG_10078 transcript:OIV93446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRALGIYPDYHVFPSVLKACTLLKDFRLGESVHACIIHHGLDFDLYTGNALMNMYSKFQLYKDVYANKVLDKFPERREKGSYGYGVDVNHCNFGMKGNRVNIDSVGKVFDMMPIRDVVSWNTVIAGNAQNGMYGVALAMVREMGDANLKPDSFTLSSILPIFAEHVDIIKGKEIHGYAIRHGFDADVFIGSSLIDMYAKCTRVDHSLSAFFLLPKRDAISWNSIMAGCVQNGRFDEGLRFFRQMLKENVKPMHVSFSSVIPACAHLTALNLGKQLHACIIRLRFDDNKFIASSLVDMYAKCGNIKMARYIFDQIEMRDMVSWTAIIMGCAMHGHALDAVSLFQQMLVDGVKPCYVAFIAVLTACSHAGLVDEAWKYFNSMERDFGIVPGLEHYAAVADLLGRAGRLEEAYAFISNMRIQPTGSVWSTLLAACRACKNIELAEKVVDKILLVDPENTGAYALMSNIYSAAQRWKDASKLRIQMREKRLKKTPGCSWIEVGNEVHTFLAGDKSHPYYDKINEALDILLEQMEKEGYVLDTTAVLHDVDEEHKRDLLHTHSERLAIAFGIISTTAGTTIRVIKNIRVCVDCHTAIKFIAKIVGREIVVRDNSRFHHFKNGSCSCQDYW >OIV93489 pep chromosome:LupAngTanjil_v1.0:LG18:3321112:3323216:1 gene:TanjilG_11071 transcript:OIV93489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPQTPKLVVPIDLNKKPWEQNIPLHNRWHPQIPPVADVNTGQVFRVEMVDWTGGTIKDDNSALDIKHIDLSTVHYLSGPIRIMDSDGILAKPGDLLVVEICNLGPLPGDEWGYTGTFDRENGGGFLTDHFPCATKAIWHFEGIYAHSPQIPGVRFPGLTHPGIIGTAPSMELLNIWNERERDVEENGIESFKLCEVLHSRPLANLPSTNGCHLGTIQKGTAEWEKIAKEAARTIPGRENGGNCDIKNLSRGSKIYLPVFVEGANLSTGDMHFSQGDGEVSFCGAIEMSGFLELKCEIIRGGMKEYLTPMGPTPLHVNPIFEIGPVEPRFSEWLVFEGISVDESGRQHYLDASVAYKRAVLNAIDYLSKFGYSKEQV >OIV93021 pep chromosome:LupAngTanjil_v1.0:LG18:12850825:12851549:1 gene:TanjilG_20683 transcript:OIV93021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGDRLSGLSVRNLQDIENQLEVSLQGVRMKKEQILTDEIRELNQKGKLIHQENVELYKKVNLIQQENTQLCKKVYGTTDAAVRRNVFVPIPFDVHAARGPQALIQLQLSQPDQETCETSGSGSATK >OIV93645 pep chromosome:LupAngTanjil_v1.0:LG18:1614451:1615212:1 gene:TanjilG_04877 transcript:OIV93645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTSLRPRHWPQLFYVVAFCLVAISVAADYNSYYGSKPTNYSPPKHAQYPTYHHKLPLKHVYKSPPYAYKSQLPLPHVEKSPYVYKSPPPPSPSPPPPYLYKSPPPPAYVEKSPYVYKSPPPPSPSPPPPYLYKSPPPPAYVEKSPYVYKSPPPPPSYLYKSPPPPSHYVHIPSYHIPDVHKSPPPPSYVYKSPPPATHDAHIRTHHIPYLYKSPPPPSYIYKSPPPPSHHVHIPSYKTPYLYKSPPPPTTVY >OIV92828 pep chromosome:LupAngTanjil_v1.0:LG18:14760216:14760829:-1 gene:TanjilG_00962 transcript:OIV92828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCDHHHYHCICAKKLNFISISLFFLVISSWTLQGFVTEEGSRNTLKQNGFQQIVHDDKVMVRARIGSRPPKCEKRCRSCGPCEAIQVPTNPQAHKEKININPSTVSTNAYERGEGNVNYKPMSWKCKCGNHIFNP >OIV92713 pep chromosome:LupAngTanjil_v1.0:LG18:15622265:15638472:-1 gene:TanjilG_25145 transcript:OIV92713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLSNATFMNSTELKITELLKEVQVHHSPNFTKLVDDTVTAVKESIEKIPNDFKVTADLAPKFVRDIGADKVEFKFKKPSFIKIGGSYSIQTLARPQVNIDLIVRLPKECFHEKDYLNYRYHAKRCLYLCLMKKYLEKSPSIGKVEWSTLQNEARKPLLIVYPAAKLPAVPDVFVRITPSATSLFSISKLNLKRNNIHDSNHGADLQATPKYNSSILEDMFLEDIEIIHRFFIGWKELRDALILLKVWARQRSSIHVHDCLNGFLISVILAYLASRQQLNTSMTATEIFRFTLNFIGDLHRDHARSWDPSHFGTTLRLRWNLLFHPSSESWDPIQQRLQLKESFPVVICHPSGGFNLAFRMSKIGFTQLQEEAAMTLKCIEKCGDGGFEEVFMTKIDFAVKYDYCMRINLKGKKEVYSSVFCSDNECWRSFEEKIHSILAKGLNDRVKFIRVTWRNTQCQWSVDDGLSVLDKEPLFIGISVSNLEKAFRMVDIGPSAESKEEALQFRKFWGEKAELRRFKDGRIAESTVWESEQWTRHLILKNIAEHVLCRHLSLSKENIVITVDQLDFSLLHGAGDPISYSGSLLGAFDVLSKRLRLIEDLPLKVSSVQPLDSAFRLTSVFPPEPHLLANEKFESPRVNKFVPSCIPPLEVMIQLEGSGNWPMDEIAIEKTKSSFLIQIGESLQKMWGVACTATENDVDVLMSGYAFRLKILHERGLSLINKEIGSDQAKRVPSTDKKLFIHSQHASMINGLQSRYPIYGPVVRLAKRWVASHLLSACLVEEAVELLVAHLFLNPLPVDAPCSRITGFLRFLKLLSQYDWTFSPLVVDINNDLSQTDVKEVNDNFLQRKKGQGEIIGPVMFLATVYDKASEAWTGLSPSAPELKRLVAYARSSADLLTKLTFQEETGPYRWECLFRTPLNNYDAVILLNGDKLPYPQRLLFPSKVDQGKQVAIGHASKSFQPFLLPRDLKGRREELKNKLLVDFNPSRCFIRDLEANIPVYFLQKEFPTTFKVLPRMDSNKPLTEEKLPDNPAPRVRSCRRNKSENGSFVSKLRDHFHEFIHASMEEHKTCLRDTIEKVDIKVSPGSHADEESVFNIRRDSVN >OIV93393 pep chromosome:LupAngTanjil_v1.0:LG18:6916485:6918214:-1 gene:TanjilG_13845 transcript:OIV93393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEERNDNEKYDEVMLPGFRFHPTDEELVGFYLKRKIQQWPMSIELIKQLDIYKYDPWDLPKLASNGEKEWYFYCPRDRKYRNSARPNRVTGAGFWKATGTDRPIYSSEGSKCIGLKKSLVFYKGRAAKGVKTDWMMHEFRLPSLTDSSYPPKKYIDKTIAANESWAICRIFKKTNATAQRALSHSWVSPLRETMNSDMLTNDPNSTHFCSITMPLTKQTSFSSHFSNINHSDTHHLTTSSSTFSPLDFASYNKSIISPLLQRPFDQLPISNGDLSNGLMFSTPLETSTTSSKSTMDVSSLLFNMSSSVPGDFSLTSEGITTNFGLLQENNNGYPISLLREMQGTIDNQNNNVLVKIPNINVPHVDEQELEKVRSIGFPHSMPNFNVGDAWKSNLLWDSSSCPCDHVSSSYSTTKCYT >OIV93148 pep chromosome:LupAngTanjil_v1.0:LG18:11219659:11223494:1 gene:TanjilG_20810 transcript:OIV93148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYGGGGTNLFPLHRCKTIHLVRHAQGIHNVEGDKNYKAYLNPRYFDAQLTPLGWQQVDNLRNHVRDSGLIDKIDLVIVSPLLRTLQTAVGVFGGEGYTDKTDVLPLMVANAGNSSRAAISSLNCPPIVAVELCREHLGVHPCDRRRSISECQFLFPAVDFSLANSDEDDLWKENIRETKEELAARGMKFLNWLWTRKEKEIAIVTHSGFLSHTLNKFGNDCHPLVKKEISKHFANCELRSMVIFDRSMTGTEASTTNYPGKIPSGLDLPSDVADEKAEK >OIV92958 pep chromosome:LupAngTanjil_v1.0:LG18:13506864:13509275:1 gene:TanjilG_20620 transcript:OIV92958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSFKGHALPGTLFFLVGVWHIWGAVVRYVRNPTTFRVQVWHPVPGFGGRLKHLELYVILIGSFIDLCIEFLYSTHLRFFVGGVLNPSHMNNFEHAGMLLMFFIFSVVVLLKEKTRFFPLPEGALCFIAATAFCAEYLLFYFHSTTHKGLEGYYHILLVFLIGLCILSSISGALMPTSFPVDLTNGIAIALQGIWFYQTAFVLYGPMLPNGCRVRDNNITCHSKESEVRGELLANFQLFIAVLVVLAGTTASYVFAASRYGNPEANRLHAVQAELDQD >OIV93004 pep chromosome:LupAngTanjil_v1.0:LG18:13033813:13034340:-1 gene:TanjilG_20666 transcript:OIV93004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEKESENGNSKPHLIFAYGTLKQGFPNYALMQDLITKNDAVFIGTFSTRQPYPLVCGPHGIPYLINIPGSGHLVTGELYSVSRRALVRLDEFEGVSLGYYERLPVMVVSDGDGGATVETEKEAEAYFGHRKFGERLWKKNGEVGMREYGEKEAGDYVRKVDRPDKRNTILDQFL >OIV93528 pep chromosome:LupAngTanjil_v1.0:LG18:2338156:2340919:-1 gene:TanjilG_28685 transcript:OIV93528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLQESLERFKKQQEKCHSTLSSIANGRNSVPPLPSGVAVKFSNDTERLQHINSIRKAPVGAQMKRVIDLLFEVGLAQCMRVKVCANVAMSPLRVERLFFVLQDLNYRPSRQKTRHAFTPEQINEACYVDMKANKDVFDSLRKNPKVKYDGQRFSYKSKHNLKDKNQLLQLIRKFPEGIAVIDLKDSYPTVMEDLQAWKAAGQIWLLSNFDSQEDIAYPNDPKVPIKVDDDLKQLFRSIELPRDMIDIEKDLQKNGMKPATNTAKRRSAAQIQGASPKPKTKKKKSEISKRTRLTNAHLPELFQNLNSS >OIV93503 pep chromosome:LupAngTanjil_v1.0:LG18:2590434:2615999:-1 gene:TanjilG_21756 transcript:OIV93503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMTMAGALSLSQFSVYVPVSLPKKSPRNRECIRAISEPSSNSVSTQQEELTSVVVKDFKAPEPKRFGVKPGMRAEVYKAVLPLICRLATGVFVSGYSLSVVSKDEVPPDQYAMDVNDYKLKETSKSYSGPRQKKPIEIYEFESCPYCRKVREIVSILDLDVLFYPCPRSGPTFRPKVLDMGGKLQFPYMVDPNTRISMYESDDIIRYLVGQYGDGNIPCTLSLGIFTTLTASIGLLARSGKGSTYTPAKMPPKPLKLWSYEGSPFCKLVREALVELELPHLQISCARGSPKRQMLFDKTGLFQAPYLEDPNTGIEMFESAEIVEYLKATYALE >OIV93345 pep chromosome:LupAngTanjil_v1.0:LG18:8169988:8172776:-1 gene:TanjilG_23281 transcript:OIV93345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSDGSPTPQMVGNAFVEQYYSILHQNPDQVHRFYQESSVLSRPEEDGTVTTVTTIVDINKKILSQDYTSFRVEILSADAQPSYKDGVVVLVTGSLTGSDNLKRKFTQSFFLAPQDKGYFVLNDLFRYIDEYKSVDIEAVPEIDAEEIAPADAFIPESEPIQVPENIPLAPTQTAVLDTDTIVSKEVSEPIENGKLPVAETVVPVNLVKEPSHQEHLPITEKAASPTQEDLPKKSFASIVNALKENAAPFHVRVSPVKPVERPRISSVPAPAAPVPRTEIALEKNNESSGKAHAIFVANLPMNATVEQLEQAFLKFGPIKPDGVQVRSNKQQGSCFGFVEFESATSMQSALEASPPVVLDNRKLSVEERRANNDRARFSSGRGGYRNDNFRGRGNFGGGRGFSGRNDFEKRGEFSGRARGGNNNGGGRSNGESAPRGGFQNGGGKAPRQPMKV >OIV92817 pep chromosome:LupAngTanjil_v1.0:LG18:14692806:14694393:-1 gene:TanjilG_00951 transcript:OIV92817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFFRKIAGFLGLSKDHVHDEDEGEGQPRTTPYRVKETGPRKGFSVPAQVVLDRPHLAPILTPSTSGDGGVQGLRWHAESLRIDEDGDVADEFLDEVSSEKPTLSVDHHKTETRFEHKGATRPAKVKQQFLSDGKLMHFVEHGGRFLVA >OIV93680 pep chromosome:LupAngTanjil_v1.0:LG18:523476:526394:1 gene:TanjilG_16531 transcript:OIV93680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINLFISEPNWNDVVDDDSTESRISFLKELESVIWSAIMLSGGRGEARLWLCNTIAGVTCVTPRDKRELFRILVRNRGEKEGLAAQLLHLMIEKSPRKLGSILARRSHILEKFFEGNPKHVSQWFSYSSSGSGLDQGKGFRALSQFAFKYRDICWEELEWKGKHGQSPAIVATKPHYFLDLDIERTVENFIENVPDFWSSNEFAESLKDGDIFFTNRSFFVRYFIDLMYKEDIRDVWDIIDEFLTEQPFSSLCQRLLIALKEQDLCYFLELLRKSLSPKIEFEHFSDFSNLFVVVLLKCGASGSIDQLLLLNAVIAQGRQLLRLLRDEEAQEPQAQVNEIVSKISAIPSNANSLTPIFKNACKMSTVEAIKCLGLQSWVLYYRLTQECRTAESWESVFMTNQIGFRNSNKHAMLEQDGASEEDCSDSDCSPSNRGKNKRKQGARRKRRKTYDYDDELLDFDSASQKLDFLSNTRSWLLSTDGYTSPWIAIFDGTQRTGLFLHLFTLVDLLTYLSTFIDIACLGGLLGFLKNVVEAWFNVLAIAMPCHHLRHQLLNDKMNDHYFWCFSPSSCKACDGS >OIV93596 pep chromosome:LupAngTanjil_v1.0:LG18:1015128:1021674:1 gene:TanjilG_04828 transcript:OIV93596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLAHSRKPNKVSVNRSSFSTNTIYDDVYAAPTKFAATTLSPRFEDYTEIFSSFHAPRASSIPVLDLPPVDGDDVFFDFRSSGFDYSEVFRGFNGSGFSVSYEDLFRQHDEPYGVSEEEEAWTPVDTNSFSGDSEHFGNNQRKSKGDLFQSVDGTADFNISYRKVKATSNEDISMDKSHVTKLHAVPGFTQVFDETARLHRNEPPLLVVDDIDLDMEFNTGNIMKNHQQKTVSHPCNVASGEQTLVNDLNVHSGGSRNGSHSSEIFVTVSNISLRTLPSQVPPPSRPPPQLDAKKGYTHGLHAYDERVDSEDISGDNSLPIFDVEVDMNSSAAGTEEAKLSAYELEERKKGSFEINVKSSYDVKNNDAKMSESINSFNNETVQATRDRRSRKMEVSVTGERHKARKADPESPKPLDGEKLLNMFEEKHVKESGRSTGVGTWKEATGFFELVGTEKPGKVIHPINHTNSLMLDTKTYESGMKEREASNVQDECNKIKAIVKNYRLEEYEKKSESAKEAYELGKNITSSNSCGEEGSQREHVKEDKIAEIFVQGEEKARMVHQHGKTEINIAKADQSGSLKDVSQQKDHQRVESKKSKEVDTPTLNEVQWSMKHEENEKAVKDDEEQQLTLKRHRQSKKMKDHGEVQREAFALGEVESKGKVKDSVELEEIDERSDEASKLNNQEEKAAEERENEVFLKHANQNQNKYGLKEACESGEIEKRQKVAFIKEEFDEGLKQTLGKVENEMGLKEDFELDMNEKITQKSFAEGENEACERDQGKEKFRKVFNGYGEANRLQGRSGGEGKNVVKQIPDLERNSENETQRKKELESPPNQAYWEGSVDILNEDSHFELSEKILKDACGKEKDNGLEMEGNGEEVNMKFAKETVVTWEAENRENVGKLEVSQEPIADQEIGTTGTGCEVGEKKLKEAGMENLMANKDKRASEMTREDARHSGTQPGEVDRNVTNADELRFSCEQTCTEKTKTAPEMEFVPKSQERKVVHEWGERGKITQHVKDAINPKESRDQMSSSLCGDYRKSRVADEPATVQEAVNVHKTSQSFHLAQSTKIKEKGLNETPASEVEDLERMRSEKELEEDHLRNMEEEIERERERKKDRMAVDRAMLEAEREREKDRMAVDRATFDARDWVFSEARERAERAAFEKATAEARQRALAEARERLEKACAEARDRSYADKATAEARLKAERAAVERATAEARQRAMEKVKDERAVFESRERLGRSVSDKFGVSFRNDGRQASSPSDTLDPHSQNLSSSTGSRYAYSSVYGASSFSERSEGESAQRSRARLERYRRTAERAAKALEEKNMRDLVAHKEQAERNRLAETLDAEIRRWSSGKEGNLRALLSTLQYILGPDSRWQPIPLTEVITSAAVKKAYKKATLKLGTDSTQKSDRTPSLFLPFVPLHFASKQPSPGRQFRRRKPE >OIV93437 pep chromosome:LupAngTanjil_v1.0:LG18:5143366:5148498:1 gene:TanjilG_10069 transcript:OIV93437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLFRLVDNDQDFFSRRCIWVNGPVIIGAGPSGLATAACLREQGVPFMVLERADCIASLWQRRTYDRLKLHLPKQFCQLPNLPFPQDFPEYPSKKQFIDYLESYARKFEINPRFNECVQSSRYDETSGLWRVKTVATCGSNRTEFEYICRWLVVATGENAECVMPEIDGLGEFKGDIIHAADYKSGENFKGKKVLVVGCGNSGMELSLDLCNHNASPSMVCRSSVHVLPREIFGISTFELASLIMQWLPLWLVDKLLLIFAWFMLGNIEKYGLKRPSQGPLQMKNTKGKTPVLDIGTLEKIRSGDIKVVPGIKRLSTNSVELVNGENHYVDAVVLATGYRSNVPSWLQEGEFFSKNGFPKSPFPNGWKGNSGLYAVGFTRRGLSGASSDAMKIAQDIGELPNLPFPQDFPEYPSKKQFIDYLESYARKFEINPRFNECVQSSRYDETSGLWRVKTVATCGSNRTEFEYICRWLVVATGENAECVMPEIDGLGEFKGDIIHAADYKSGENFKGKKVLVVGCGNSGMELSLDLCNHNASPSMVCRSSVHVLPREIFGISTFELASLIMQWLPLWLVDKLLLIFAWFMLGNIEKYGLKRPSQGPLQMKNTKGKTPVLDIGTLEKIRSGDIKVVPGIKRLSTNSVELVNGENHYVDAVVLATGYRSNVPSWLQEGEFFSKNGFPKSPFPNGWKGNSGLYAVGFTRRGLSGASSDAMKIAQDIGEVWKKETKQKKQRTTACHRRCISQF >OIV93104 pep chromosome:LupAngTanjil_v1.0:LG18:11683880:11691493:1 gene:TanjilG_20766 transcript:OIV93104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSQADLDRQIEVLMECKALPEAEVKALCDQARAILVEEWNVQPVKCPVTVCGDIHGQFYDLIELFRIGGNVPHTNYLFMGDYVDRGYYSVETVTLLVALKVRYRDRITILRGNHESRQITQVYGFYDECLRKYGNANVWKFFTDLFDYLPLTALIESQIFCLHGGLSPSLDTLDNIRSLDRIQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDIASQFNHTNGLSLISRAHQLVMEGYNWCQEKNVVTVFSAPNYCYRCGNMAAILEIGENMDQNFLQFDPAPRQIEPDTTRRTPDYFFYRGPKPKRDVLADWVSQNDDVVRTSPIYIGSASLFAVLLNRALSGIAPVADAGSSQSRADLLTLGLAVTNILAGLVWLSIRPKSITPVNPQGVECKRVGAGLPDFAVTELLWVWESLSDVTCCRSLVIVYESTCVLQIGFAAESSLGGGEALSVDADKVMQGSLYEGVMKSGAQSYLANLSLYPGKSQLPFLPSNTQAVILQPLGGKGIAIVGGDTIRGFSTSDQVGTCQI >OIV93372 pep chromosome:LupAngTanjil_v1.0:LG18:7317083:7322142:1 gene:TanjilG_21083 transcript:OIV93372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTTIDEIASAKRWLPLEANPDVMNQFLWGLGLPRDEAECYDVYGLDEELLEMVPKPVLAVLFLYPITTKTEEERLKQQNENKEHSSKVYFMKQTVGNACGTIGLLHALGNITSEVKFVEDSFFDKFFKSTASLDPFQRAVFLENDREMEVAHSVAATAGDTEASENVDTHFICFTHVDGELYELDGRKSAPISHGSSSPSTLLRDATKVIQNMIQKNPESLNFNVMAISKKSSDGY >OIV93292 pep chromosome:LupAngTanjil_v1.0:LG18:8977902:8978888:-1 gene:TanjilG_13119 transcript:OIV93292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPTNLNTKLLLLHKQTLPSPLLSHHRLCLLFFLIFFTLLFTITLFTTTIFFSTTPTTTPPTTTSPLSPSVTKALLHYASITNITTTTTTTTTKPMSHDELHAITTILLKTQNPNFLIFGLTHESLLWHALNHNGRTVFIDENEYLISNFESSNAGFEAYDVQFTTKVSDYPNLLSHAKARSREECKPVQNLLFSECKLGINDLPNHIYQVPWDVILVDGPRGYFPAAPGRMSAIFTAAVLAKSKKTGTGGKTMTHVFVHDFGREVERVFSNEFLCEENLVQKVDLLGHFVIESESDDNGKSSVFCRNSSSLLSSSKEPVWDFRQSVK >OIV93271 pep chromosome:LupAngTanjil_v1.0:LG18:9359892:9360950:1 gene:TanjilG_23112 transcript:OIV93271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVVVAVAPPPSTALDFNFDSNCSSPYITAPSSPQRFGNLFSSAPISPIRVSTFLNQLNNFTTTHSSSSSSTPFQWEQQPGFPKDDGFEFNFSGQLEPPSLSAADELFDGGKIKPLKPPPRLHSGEGTTSPRSPKKGKKIIFSPCHNQKRDNYHDPFEMALEETRRREQQQQPRGRERVSSSYSYGRKGTRSLSPFRVSNIMHETNEKVVSSKTSNTKSSSSYLSFLLSIPFTKGYRKWRLKDFLLFRSASEGRATDKDPLRNYDVLSKKAATEEDVKNSSFRSTQNSGSVSSRRRGPVSAHELHYTMNRAASEEMKKKTSLPYKQGSLGCLRFNPGMNQISRGIGSFSSRS >OIV92654 pep chromosome:LupAngTanjil_v1.0:LG18:16122719:16132132:1 gene:TanjilG_18005 transcript:OIV92654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYNLFKFLARCWGAGQKFPVTKDQVNDIENEDKGGSNDLPQFREYTFDELWKATSGFAVENIVSEHGEKAPNVVYKGKLANQVQIAVKRFNSSAWPDARQFLEEARAVGHLRNRRLTNLLGCCCEGDERLLVAEYMPNDTLAKHLFHWKAQPMKWAMRLRVALHLAQALEYCTSKGRAIYHDLNAYRVVFDNEGNPRLSCFGLMKNSRNGKSYSTNLAFTPPEYLRTGKVSPESVTYSFGTLLLDLVSGKHIPPSRALELIRDRNLQVLTDSSLEGQFSNDEGTELLRLASRCLQFEPQERPNPKLLVTALTHLQKDSQVPSYVLMGIPHDVAALSLSPLAEACLRMDLSVIHEMMEKIGYRDDEGTATELSFQMWTNQMKESLSSKMKGDSAFRHKDFKTAIESYTVFIDVGTTISPTVFARRSLCYLMMDMAEEALNDALQAQVIFIFSYIASYLQAVALIALGKENEAQVALIEVHASMVMENNSLILLLCVLILVLHGVPSVIAGDIVHDDDSTPKKPGCENQFVLVKVQTWVNDIEDAEFVGVGARFGRTIVSKEKNARYTRLVLSDPRNCCSPPKKKIVGDVIMVDRGNCTFLKKARTAQAANASAILIINNQKELYKMVCDPDETDLNIHIPAVMLPLDAGTRLEKMLMSTSSVSVQLYSPRRPVVDIAEVFLWLMAVLTILCASYWSAWGAREAAIEQDKLLKDASDDVPNIKNAGVSGVVNMNMTAAVLFVVIASCFLFMVYKLMSSWFLEVLVVLFCIGGVEGMQTCLVALLSRWFKDASESYIKVPFFGAFSYLTLAVSPFCITFAVLWAVYRNASFAWIGQDILGVALIITVLQIVHVPNLKVGTVLLSCAVVYDLFWVFFSTKLFRESVMIVVARGDKSGEDGIPMLLKFPRILDPWGGYSIIGFGDILLPGMLLAFSLRYDWLSNKKLANGYFLWAMIAYGFGLLITYVALNLMDGHGQPALIYIVPFTLGTLLTLGWKRGDVMVLWTRGEPERVCPHIRLQHSGELGHDER >OIV92705 pep chromosome:LupAngTanjil_v1.0:LG18:15686231:15686845:1 gene:TanjilG_25137 transcript:OIV92705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRFNVFTSITNTLRILELFIALLLFSWFLTHLPLSAHYLHKLSSFIGNPLFIFAISNAIIAALVAQSGQLTTADDDGDTNNTSHSSLSRVAEELHQRKTVTNTHESETSTVTVTDCCVVTESNSDLRKFYRRSQSETSTENDEEGKKPARRKLRRSVTEKVRYPEDKLSNEEFQRTIEAFIAKQMRFLKEESMDIFLHEQTNS >OIV93551 pep chromosome:LupAngTanjil_v1.0:LG18:2004021:2012413:1 gene:TanjilG_28708 transcript:OIV93551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHAIFQKKCCPLYSSLPRFKQLSRRLNCSVATEDLPKQVEESNMAAPKEIFLKDYKMPDYYFDTVHLKFSLGEDKTIVISKITVFPRTEGSSAPLVLDGQDLSLVSIQLNGKALKEEDYHLDARHLTIRSPPSGKYDLEIVTEIQPQKNTSLEGLYKSSGNFCTQCEAEGFRKITFYQDRPDIMAKYTVHIEADKSLYPVLLSNGNLVEQGDLQDGKHYAVWEDPFKKPCYLFALVAGQLQSRDDTFVTRSGRKVSLRIWTPADDLPKTAHAMYSLKASMKWDEDVFGLEYDLDLFNIVAVPDFNMGAMENKSLNIFNSKLVLASPETATDADYAAILGVIGHEEFSSDMGSRTVKRIGDVSKLRNYQFPQDAGPMAHPVRPHSYIKVYEKGAEVVRMYKTLLGSQGFRKGIDLYFKRHDGQAVTCEDFYAAMRDANNADFANFLLWYSQAGTPTVKVKTSYNPEAHTFSLKFSQEIPTTPGQSVKEPMFIPVAVGLLDSTGKDIPLSALSHDGTLESVSSKDQSVFTTVLRVTKKEEEFVFTDIFERPVPSLLRGYSAPIRLESDLTDDDLFFLLANDSDGFNRWEAGQILARKLMLNLVDDFQHGKPLVLNPNFVEGFKRILSNSSLDKEFVAKAITLPGEGEIMDIMEVADPDAVHAVRTFIRKQLASELRAEFLSIVKNNRSSDEYVFNHENLARRALKNVAIAYLASLEDQEFTNLALEEYRAATNLTEQFAALAAVAQNPGKSRDDVLADFYGKWQHDFLVVNKWFALQAMSDIPNNVENVRQLLNHPAFDLRNPNKVYSLIGGFCGSPVNFHAKDGSGYQFLGEIVLQLDKINPQVASRMVSAFSRWRRYDEDRQKLAKAQLEKIMSSNGLSENVFEIASKSLAA >OIV93444 pep chromosome:LupAngTanjil_v1.0:LG18:5301229:5304863:-1 gene:TanjilG_10076 transcript:OIV93444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLSLQHSTHHFLSRALPTRSKPKPTSIRMSLQQNAPSIAVVGVTGAVGQEFLSVLSDRDFPYRSIKMLASNRSAGRRLTFENKEYVVEELTPESFDGVDIALFSAGGSISKKFGPIAVERGTIVVDNSSAFRMDEKVPLVIPEVNPEAMENIKIGMGKGAIIANPNCSTIICLMAATPLHKRAKVVRMVVSTYQAASGAGAAAMEELELQTREVLEGKPPTCKIFNQQYAFNIFSHNASVLPNGYNEEEMKMVKETRKIWNDKDIKVTATCIRVPVMRAHAESVNLQFESPLDEDTARDILKNAPGVVVIDDRESNHFPTPLEVSNKDDVAVGRIRQDLSLDGDQGLDIFVCGDQIRKGAALNAIQIAEMLL >OIV93695 pep chromosome:LupAngTanjil_v1.0:LG18:390835:392846:-1 gene:TanjilG_16546 transcript:OIV93695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKPMGLIEELVLYSSSIDWHNESYPHYHDFLLLPFFALFFPSVRFFLDTFIFEKVARRLIFGKGNEMLDFHTDERRKKIKKFKESAWKCLYFLSAEILALSVTYDEPWFTNTSHFWVGPGTQLWPDQKIKLKLKLVYMYAAGFYSYSIFALIFWETRRSDFGVSMGHHVATVILIVLSYIFRFARVGSIVLAIHDASDVFLEIGKMSKYSGAETMASFAFILFVLSWIILRLIYYPFWILWSTRIHPESF >OIV93522 pep chromosome:LupAngTanjil_v1.0:LG18:2457929:2458793:1 gene:TanjilG_28679 transcript:OIV93522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQKGSSNENVSWMCGKIKETTGATNLKSRPAYIRDEGQPTSGPSNLGQSHNSAQIPNLEDKVTNDDELGKLLAGVTIAHGGIISNINHVLLPKKTNDGAATSSKEPKENDRHLDNV >OIV93670 pep chromosome:LupAngTanjil_v1.0:LG18:599796:604174:-1 gene:TanjilG_16521 transcript:OIV93670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKISETNDNSSVGIGSRFSDFNKSFKFALRSLLTACSKEEFYKAFSSFGRTEKESLHRLYLQVITSLHENIEDEFESICLRTQVGATFDAVEEIVEERELDLLSSNRSNMMDVAENLSTAKKNELQHLMQMVQAGEEHNRMLRNRLQLVKESKQALSGSSQAVEKVEV >OIV92961 pep chromosome:LupAngTanjil_v1.0:LG18:13470988:13474096:-1 gene:TanjilG_20623 transcript:OIV92961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRWVTKLRSFAVHYSRHPLPTPSPPRFFHHAPPHSLLHSAASNNLISRPIFNLSSFSPQNFRPSPFPTSFVQVRNVSSRERKKRRKPMTPVTSKLKKTKMKSYSSFKLRFRVMNDGNIRRWKEGKRHNAHLKSKKSKRRLRKPGIVPAAYAKVMKKLNFGG >OIV92895 pep chromosome:LupAngTanjil_v1.0:LG18:15261819:15263223:-1 gene:TanjilG_01029 transcript:OIV92895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDREDNDSDAPEEFTAQQGVQLDEEIQKIQRENKARVVREGKERRRQWAQKLTPRPSKAVKNSRDVTGTEPQQESNKTAGFLPDNIVQMLAAREKKVFVSDTNEEIDVKKSTTSRKRKSKKTDLEPVILSEIGPPQCLHSALDFLRERKRSVPRSSSVLNNSSRALRLLSSSGVLGRK >OIV93029 pep chromosome:LupAngTanjil_v1.0:LG18:12721683:12725113:1 gene:TanjilG_20691 transcript:OIV93029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLLGEDGKGYELAMKLESFGLWRTWLGDSTYPNFIPFLSSPSVWDSFITPDPTKSRALIQLQLRVRALLFDKASVSLYTDSNNPLSPSSLNPLYLQLHADDVYFTLENDGVQGATTSSKNQSKTASGARSRYVDSELDSMSQRYRNGELPETWYDQFIDKYKVNKKLILGDRDSLQRSPLEMASYLTHTLSHKRRRLPFKEDQRAGFGNSVTEHASAVQQNGGNLVDDDSPIFPEIMYTFNCVPETALTPTNRVEINPKLKLYGVLDTLPPVTSRSPVMLERLGIRPEYLNMDHGGSLSRGKFGPEGNKELLCLEQASKVSQKVVARVLTGVGFEAAMEAPIELFSDVLSDHIYDLGKKLKVLTDSYRKQCSAIELLKMFLTTLGFSNFAPLMNVVKDGSKNSAPQSQQQAHGIQPQVQPQQQSSLQLPPQCTVDVQVQVQRQIHPQMQQIIQSHNLAFQQQQQQQQHLERIRRRPASTPRPAMDIDKERPLVQVKLENPPDILPSDGNAFNSRHSQMQFRQQQQQQMASMSNFHSQSSTQFRQMGSLQIPPVHSPNTGVVRAPPVKVEGFSELMGGDSSVKHDSEENRLTSPTSK >OIV92620 pep chromosome:LupAngTanjil_v1.0:LG18:16321456:16323047:-1 gene:TanjilG_17971 transcript:OIV92620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLDYAHYMLTEGAKGKENPALSSPSKDAYRKQLAESLNMNRTRILAFKNKPPTPVDLIPHEISSTHRQDKQAKPKRYIPQNSERTLDAPDLVDDYYLNLLDWGSANVLAIALENTVYLWDASNGSTSELVTVDDENGPITSVSWAPDGRHIAVGLNNSEVELWDTTSNRKLRSLKGGHRQRVGSLAWNNHILTTGGMDGRIVNNDVRIRSPIIETYRGHEQEVCGLKWSPSGQQLASGGNDNLLYVWDRAAASSNSATQWLHRLEDHTSAVKALAWCPFQGNLLASGGGSGDRCIKFWNTHTGACLNSVDTGSQVCSLLWNKNERELLSSHGFTNNQLTLWKYPSMVKMAELTGHTSRVLYMAQSPDGCTVASAAADETLRFWNVFGAPEAATKAAPKARAEPFSHLNRIR >OIV93658 pep chromosome:LupAngTanjil_v1.0:LG18:1778824:1779111:-1 gene:TanjilG_04890 transcript:OIV93658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMKEKKLTIVGEMDLLSLVKKLRKSWHTDILTVGPIKEPEKKKDDEKKKEDEKKKEEQIAEFVKFYSGGYNPHITPQYYYVQSSEEDPNVCAIC >OIV93219 pep chromosome:LupAngTanjil_v1.0:LG18:9991616:9995420:1 gene:TanjilG_27398 transcript:OIV93219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGAAPPADAVMSDAAPPPPPHPDPQQYQQYQHPVPGMGVENIPATLSHGGRFIQYNIFGNIFEVTGKYKPPIMPIGKGAYGIVCSAHNSETNEHVAIKKIANAFDNKIDAKRTLREIKLLRHMDHENVVAIRDIVPPSQREVFNDVYISYELMDTDLHQIIRSNQALSEEHCQYFLYQILRGLKYIHSANVLHRDLKPSNLLLNANCDLKICDFGLARVTSETDFMTEYVVTRWYRAPELLLNSSDYTAAIDVWSVGCIFMELMDRKPLFPGRDHVHQLRLLMELIGTPSDSDLEFLNENAKRYIRQLPLYQRQSFQEKFPQVHPAAIDLVERMLTFDPRQRITVEDALAHPYLTSLHDISDEPVCMTPFSFDFEQHALSEEQMRELIYREAIAFNPEYQQY >OIV93073 pep chromosome:LupAngTanjil_v1.0:LG18:12161523:12165187:1 gene:TanjilG_20735 transcript:OIV93073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDSDGDYSNEYNWNTDDEDEVFGIPSSVPNIIQQSCGHNLLSLEDPSPGAPNRPCSSAKKIDNQLDSSDDEDSYPGSRENTLNFERDKILTVLVNMEYPIEEALIAIEKCGPEAEICDLTDFICAAQLEKEIDSHLQNLPKKKHDASVYMHKKRRDGYYFRRGKRLKLDDERKASAIDMETMQKRSSESMTGFGTRNQLYPNVARRLRHKVTAGKPYFYYENVAGAPKGVWRKISSFLFEIEPEFVDSKYFCAAARKRGYIHNLPIENRSRLLPIPPLTIQEALPTTKRWWPSWDTRIQLNCLISSIAPATVTEKIRKALEECDAKPPPTVREYVLKECRSWNLVWVGKNKVAPLEPDEYEMLLGLPKDHTRGGGISRTMRYKALGNAFQVDTVAYHLSVLKGHFPKGINVLSLFSGIGGAEVALHGLGIMLRNVVSVEVAQENRNILRSWWEQTNQQGNLIEVKDVQHVTWDQLEQWITSFGGFDLIIGGSPCNNISGSNRVSRNGLEGKHSSLFYEYYRIVEAIMHIQL >OIV92844 pep chromosome:LupAngTanjil_v1.0:LG18:14873357:14875219:-1 gene:TanjilG_00978 transcript:OIV92844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISRSSYLKQHLTEVSDFTLCPPLNVTAETFAAIAEYCYSNKAPLTPTNVAAIRTAAELLGMKGQQDGENLCHVSESYFCRIIGIGQEYATMVLRSCFLLLPDAETTASLFSKCIEALVWEHDDVYNLVDTTWLNDVVAMHTQDFLTVADSMNARLSNHDVLYQMVDLYLQENKYHGKLTEEQKTQICNTINCTKLSPRTLVACVQNPRMPLRFIMRAMLIEHLNTRDSIASAATGAHQVERTTLGEFLHRDTAHRQTVQLKQAIDSTYSRIQSLENELMSMKKILVDHQAKGEEQEQQQQQQLRNVLNSERCASFHSVTGENGKVVRGERGSVSSSGFMFDTTRKGNEVGRSSFSVGTCHNENGTPRMTKTFRQRLMSGLKNAFRVSNSASN >OIV93731 pep chromosome:LupAngTanjil_v1.0:LG18:138613:140499:1 gene:TanjilG_16582 transcript:OIV93731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAWVYGEYGSVDVLKFQSNVSVPHVNEDQVLVKVVAAALNPVDFKRRQGKFSATDSTLPTVPGYDVAGVVVKVGSKVKDFKVGDEVYGDINEKALEGPKQFGSLAEYTAVEEKLLAPKPQNLDFAEAASLPLAIETAYEGLEKTGFSPGKSILVLNGSGGVGSLVIQLAKQVFGASRVAATSSTRNLELLKSLGADLAIDYTKENFEDLPEKFDVVYDAIGQCEKAVKAIKEGGIVVALTGAVTPPGFRFVVTSDGAVLRKLNPYLESGKVKPILDPKGPFTFPQLVEAFSYIETNRAIGKVVIHPIP >OIV93422 pep chromosome:LupAngTanjil_v1.0:LG18:5939588:5940397:1 gene:TanjilG_31079 transcript:OIV93422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANQELDEDEINPFTMLLTNDQDHTLNSFATVHDESTPNQQDLHILRSIQSTVVIRQLRSEGLSFQLWPAATALVTLLDLHRIDPSKSPLSTTLSAARAGANNRPLRILELGSGTGIVGIVAAATLGCHVTITDLPHVVQNLQFNADANKEGVGSTGGAVKVASLRWGHADDVDKIGREFDIILASDVVYHDHLYDPLLETLRMMMLVSGDGKERKKMVFVMAHLRRWKKESSFFKKAKKLFEVDVLHRDSPCDGSRVGVIIYRFVGKV >OIV92678 pep chromosome:LupAngTanjil_v1.0:LG18:15924071:15926323:-1 gene:TanjilG_18029 transcript:OIV92678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKHVINKEYLKEIDKARRDLRALISTTNCAPLMLRLAWHDAGTYDAKTRTGGPNGSIRNVQELNHSANKGLHKAVQLCEEVKTKHPILSYADIYQLAGVVAVEVTGGPTIDFIPGRKAHLERSGYDGQWTREHLKFDNSYFVELLKADSKDLLKLPTDMALVEDPKFRKYVELYATDEDAFLEDYAASHKKLSELGLTSKHVAENGPTLAQGAIAVVIAITVVILGYLIEVNRKTK >OIV92806 pep chromosome:LupAngTanjil_v1.0:LG18:14630954:14632845:-1 gene:TanjilG_00940 transcript:OIV92806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALYLLCLVLSTTLVFNFLQTLADTSCTDCFIQSRATYYPNSEDNGTDGACGFGSFGATLNGGDVSAASNLYRDGVGCGACYQVRCTNSAFCSDKGSTVVITDQGSSDNTDFILSQRAFGRMAQTTDAAASLLALGVVGIEYRRVACSYPNKNITFKIDESSGNPHYLAFVIRYQQGRRDITAVQLCETQNFVCKLLDRSHGAVWTTTSAPSGPLSVRMLFSDEEGGEETWVVPVNDIPQDWKAGDIYDSGVQVNQ >OIV92727 pep chromosome:LupAngTanjil_v1.0:LG18:13954689:13955804:1 gene:TanjilG_00861 transcript:OIV92727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFCPNLNWKNLFTKSRNFAASFQRASTTSFSSSSAAAMSNLQILKTKLCIIGSGPAAHTAAVYAARAELKPILFEGWMANDIAPGGQLTTTTDVENFPGFPDGILGGELMDRCRQQSERVGTVIYTETVSKVDFSNRPFKVFTDSKVVEADSVVVATGAVAKRLPFVGSGDGPDGFWNRGISACAVCDGAAPIFRNKPLAVIGGGDSAMEEATFLTKYGSEVHIIHRRDSFRASKIMQARVLDNKKIKVIWNSVVVKGYGDENNQRVLGGLRIKNVETGVESDLKVSGLFFAIGHEPATKFLDGQLELDSDGYVVTKPGTTKTSIEGVFAAGDVQDKKYRQAITAAGSGNSHSFTDLTGMISVEASKCNV >OIV92632 pep chromosome:LupAngTanjil_v1.0:LG18:16245703:16249553:-1 gene:TanjilG_17983 transcript:OIV92632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHQRLQQLTINNNTFIQNALLSDHRVDGRRPFDYRNLTIKFGRDDGSSEVQLGQTHVMSFVTAQLLQPYKDRPNEGSLSVFTEFSPMADPSFEPGRSSESAVELGRIVDRGLRESRAIDTESLCVVSGKMVWAIRIDIHVIDNCGNLVDVANVAALAALLTFRRPECSFAGEDSQQVVIHPPEERDPLPLIIHHLPIAVTFGFFSNENLVVIDPTYHEESVMTGRMTATLNANGDVCSIQKAGGEGVSQRVIMHCLKLAHVKAGDITAKIRDAVEIHNTERALRKIKRHSSSVAVDVCGTTTRLGGKQNDSDGDKDGSHLDQLKLKDDANAMECDATSSGVEQSNNQDGVSKNFIGGPSSWDPYSDCVNPDLLKASLASRGQSTPLSKQKDSRSESKREEQPHEVKTNSSSAMDIAPTAEQSNEGKTLKDAVKPKNKRKKRTPSNSRN >OIV93105 pep chromosome:LupAngTanjil_v1.0:LG18:11675714:11675977:1 gene:TanjilG_20767 transcript:OIV93105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHMGISYFLPKFFFRVFIVVGLICLLVVGSIHGGRIEQTSQLSVEGLKHEEVIGGDNKHVKNEELDFNYMSKRRVPNGPDPFHNRFA >OIV92688 pep chromosome:LupAngTanjil_v1.0:LG18:15838369:15839824:-1 gene:TanjilG_18039 transcript:OIV92688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRVIRAQRKGAGSVFKSHTHHRKGPARFRSLDFGERNGYLKGVVTDIIHDPGRGAPLAKVTFRHPFRYKKQQELFVAAEGLYTGQFLYCGKKATLVVGNVLPLRSIPEGAVICNVEHHVGDRGVFARCSGDYAIVISHNPDNDTSRIKLPSGSKKIVPSACRAMIGQVAGGGRTEKPLLKAGNAYHKFRVKRNCWPKVRGVAMNPVEHPHGGGNHQHIGHASTVRRDAPPGQKVGLIAAKRTGRLRGQAASAASKADKTT >OIV92929 pep chromosome:LupAngTanjil_v1.0:LG18:13709215:13712610:-1 gene:TanjilG_20591 transcript:OIV92929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFSSSSSSVPSLTYPKFVSVEGADIHSRTKPDGFRFTLVSYNILAQAYVKSCFFPHSPSPSLKWKFRSNAVLQVLSNLAADFFCLQEVDEFDSFYKGKMQELGYSSIYMKRSGQRKRDGCGLFYKHDRAELVLEDKIEYNDLVKSIQDENSPNDDGSSNIQTVQPDKQKDVASKNGPKSNTEDRGDPNDPRVRLKRDCVGLLAAFKLKDPSRHVVIVANTHLYWDPELADVKLAQAEYLLSRLAQFRTLVSDRYECIPEVIVAGDFNSQPGDMVYQYLTSGDPSSKRVSESLEEPPVPLCSVYASTRGEPPFTNYTPGFTGTLDYVLFRPSNHIKPISFLELPDSNDANIAGGLPNYSHPSDHLPIGAEFEIIKE >OIV92697 pep chromosome:LupAngTanjil_v1.0:LG18:15758098:15761640:1 gene:TanjilG_18048 transcript:OIV92697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLLRTQTIANVELEPLHMAMLLLIVSLIFLSCCVSLIRGRPPYPPGPKGLPIIGNMLMMDQLTHRGLANIANKYGGIFHLRMGFLHMVAISDAEAARQVLQVQDNIFSNRPATIAISYLTYDRADMAFAHYGPFWRQMRKLCVMKLFSRKRAESWQSVRDEVDTVVRTVVANTGKPVNIGELVFNLTKNIIYRAAFGSSSQEGQDEFIGILQEFSKLFGAFNIADFIPYIGGIDPQGLNTRLVKARAALDSFIDKIVDEHILKKRNKIDVVVDEETDMVDELLAFYSEEVEANESDDLHNSIKLTKDNIKAIIMDVMFGGTETVASAIEWAMAELIRSPEDLKKAQQELTDVVGLARRVEEPDFEKLTYFKCIIKETLRLHPPIPLLLHETAEDATVNGYFVPKKSRVMINAWAIGRDKNYWEEPEAFKPTRFLKQGVADFKGSNFEFIPFGSGRRSCPGMQLGLYALELAVAHLLHCFTWELPNGMKPSEMDMSDVFGLTAPLASRLVAVPTKRVVCSLD >OIV93268 pep chromosome:LupAngTanjil_v1.0:LG18:9463783:9464199:-1 gene:TanjilG_23109 transcript:OIV93268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTPIVQQLLPPPPLIEVTQQAYPTHLGNGSVGPVIGVLAVITVLGVIAGFIGRLCSGQRVMGHGGYDIERWVETKCSSCVDGRTALPPPQQSLPPPPPPPENDATASSMEAPQEQVIEEEEQQTTETRESSHGHNSN >OIV93460 pep chromosome:LupAngTanjil_v1.0:LG18:4682706:4685076:-1 gene:TanjilG_21021 transcript:OIV93460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSLDLSLAFVPRTISELLSNVSAEIKDGSYKMAMLDYYVKRLEDEMRKIEAFKRELPHCMLLVNQAITILKEEIDHCMKMQNQPVEDFMPLKVKYNSGRKGSLTIGKETCDKKNWMSSVQLWSDETKLGTEEGSMPKNKTNSEGAFIAYNGNSLTQKTVMKRDNKEVSVSQAPRLSLLTPMFEMNHENSNSGCGGSSDSSFVTSLVEIKGRQPQPQQNPRKQRRCWSKELHRSFVDALQQLGGTQVATPKQIRELMQVEGLTNDEVKSHLQKYRLHVKRLPVSSVGQANNDLWIAQDQYGEKSKGNFSSQSGSPQGPLTLRGYAKCLSSSGLKSSDAEEDVQSDCHSWKTGLHQYPEHDAL >OIV93274 pep chromosome:LupAngTanjil_v1.0:LG18:9331428:9332360:-1 gene:TanjilG_23115 transcript:OIV93274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSKLAPIASTNPDVVREIPNLFRVYKDGRVERLIGVETVPSGIDSRTNVDSKDVTINPDTGVSARLYLPPNTSPSQKLTLLIYIHGGAFCVCTPFNPGYHIHMNTLSAYANVVVVSVHYRLAPESPIPVCYDDTWEAIQWVAKHASGDGPEPWLNNHVDFGRVFFGGDSAGGNIAHNMAMRIGAEGLGGLNLNGIVLACPYFGGDEKDILVELLYPNYGGVDDLKIHSMKDPKISGLCCKKVLIFVAEKDVLRGRGQSYYEALKKSEWNGTVDIVETEGENHVFHLLNPVGEKSVALVQKFVEFMKET >OIV92874 pep chromosome:LupAngTanjil_v1.0:LG18:15088294:15089475:1 gene:TanjilG_01008 transcript:OIV92874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFVGFFECWNEYLGKVTTQVLIFLDKCEDSDTYVVAFRGTEPFNADDWCTDLDISWYEIPGAGRIHGGFMKALGLQKNVGYPKENERNENLPPLAYYVIRNILRKGLSENAKAKFIVTGHSLGGALAILFPTIMFLNDEKLLMERLHGIYTFGQPRVGDEAYATYMKEKLKENSIMYCRFVYNNDIVPRLPYDDKDLLFKHFGICLFFNRHYEFQVVEEEPNKNYFSLWCVIPMIYNAILEVIRSFTIAYMKGPHYREGWFLFSFRLLGILIPGLPAHGPQDYVNSTLLGSIENHFKSD >OIV92955 pep chromosome:LupAngTanjil_v1.0:LG18:13526426:13527007:1 gene:TanjilG_20617 transcript:OIV92955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQVRNISTYLSGNEKSQFPIHRTKYHHKNKTHFSHLAPICSTLKNPKGFGPTPKKRKKNKIKDLKKDYKEDDDKKVEEEEEEDERDQDVIPEVVTNRMMSRVGFSVGIPLSIGLLFFPFFYYLKVGLKIDVPTWVPFIVSFFFFGSALLGVSYGIVSSSWDPLREGSLLGWNEAQKNWPVFWQSFRGGSRKD >OIV93564 pep chromosome:LupAngTanjil_v1.0:LG18:710859:713299:1 gene:TanjilG_04796 transcript:OIV93564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALLNLILTFSLLTIANSLSLNYYHKTCPDAESIVAKAVKAAKAVDKTVPAAILRMHFHDCFIRGCDASVLLNSKGNNKAEKDGPPNVSLHAFYVIDNAKKAIESACPGIVSCADILAIAARDAVFLSGGPSWDVPKGRKDGRKSKASETIQLPAPTFNISQLQKSFSQRGLSIEDLVALSGGHSLGFSHCSSFNSRIHNFDATHDIDPSLNPSFASKLKSICPLKNQAKNAGTTLDPSSTTFDNTYYKLILQGKSIFSSDQALVDTPKTKNLVSKFATSQDAFYKSFVKSMIKMSSINNGQEVRKDCRVVN >OIV92952 pep chromosome:LupAngTanjil_v1.0:LG18:13540444:13544389:-1 gene:TanjilG_20614 transcript:OIV92952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERYNLIKEVGDGSFGSVWRAINKQTGEVVAIKKMKKKYYSWEECVNLREVKSLRKMNHPNIVKLKEVIRESDILYFVFEYLECNLYQLMKDKQKLFSEGEIRNWCFQVFQGLAYIHRNGYFHRDMKPENLLVTKDIIKIADFGLAREISSQPPYTEYVSTRWYRAPEVLLQSYLYGSKVDMWAMGAIMAELFSLRPLFPGVSEADEIYKICSVLGSPTTESWADGLKLARDINYQFPQLAGVQLSSLIPSASVDAICLITSLCSWDPCKRPTASEALQHPFFQSCFYIPPSLRTRAVARTPPSAATRGALDQPGVKRYSSAFNNSMLLNNFTSPKLHPSLASGVQRKLNMDGIKNDNSMKTTKQSKYRPPGKNSPTSINMGRSTHGVSETAEKLANMSVGNRRQSIGQPRPSPPMKTGVNWIPESGNYMLRPTQQIPNGRTFIRKVAG >OIV93598 pep chromosome:LupAngTanjil_v1.0:LG18:1034464:1035198:1 gene:TanjilG_04830 transcript:OIV93598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHFHFANVKPKNNTSITTRYNFFTTISKTLRILELFLTLFLLSWILTRLPFALHISAQYSRKLFSFLANPLFIFALSNAIIAALVAQSRRLSAADSHAVALLNRDTVTVHEKPPSEIDSQPRDVAEVEYQEKEVIYETRRIEVDRTVENLERCNKEKDFDFDFDSDLRKYRRIFSEKLKGEVAGKMMRRKFRRSETEKRPECLYPEDNLSNEEFQRTIEDFIAKQMRFLKEESSSAIVVQNLS >OIV93541 pep chromosome:LupAngTanjil_v1.0:LG18:2152759:2153172:1 gene:TanjilG_28698 transcript:OIV93541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVHPVPRWMGPHYIFTRVVKKGICRGPDPVVVEVDRMIAEFWARREARARRSGRTIEEFDDLEDEDEEDMAISNAMWYSIDVVVLVLEHVVTPLVEEHRAREEPMPYPPVIVIDSETEMEEDPSPDEPESLDSWVA >OIV92857 pep chromosome:LupAngTanjil_v1.0:LG18:14969423:14972062:1 gene:TanjilG_00991 transcript:OIV92857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMFKEPIVVNLLVLITGLCISCIVTAENRKNNYIVHVDKSKMPESYEHHSVWYESSLKSISDSAEILYTYDNAMHGFSATLTHEEAQFLKSQPWILGVSPSKISKLQTTRTPKFLGIDKIIHKLPNLIANSSDLVIGIIDSGVWPESKSFDDTGLGPIPSTWKGECETGPNFTTSNCNKKLIGARIFTKGFESWHGKIDETKEIKSARDTDGHGTHTASTATGSVVKGANLFGYASGTAHGMAPHARVAIYKVCWEDSCDSSDILAAIDKAISDNVNILSLSLGTIALNYNEDMTAIGCFAAMEKGISVFAAGGNDGPKYSSVTNVAPWITTVGAGTLDREFPAYVTTGKRKKYLGASLYQGHPLSNTPLPFVYAGNVSNGKDGNLCMPGSLVPKKVKGKVVLCDRGSNARVEKGFVVKSAGGLGMVEANAVKNGEELVADPHFLPALEVGAQSGNALRKYVSSDPNATVKFRFIGTMYGMKPSPIVAAFSSRGPNSITPQILKPDIIGPGVNILAAWSRASNPTNIDKDTRRVDFNIISGTSMACPHLSGIATLVKSAHPKWSPAMIRSALMTTSYTTYSNSNPLLDSFSGKPATSLDFGAGHVNPINALNPGLVYDLNANDYLNFLCALNYTPNQLKMVARREFQCNSRKRYSITDLNYPSFVVMFNGSNIVKHTRSLTNVGVVGTYKASIVVDNPIIKISIEPEELSFGKNEKKSYVVTFKTLDKNSHSDYDFGRLQWSNGKNIVRSPILFKWT >OIV93408 pep chromosome:LupAngTanjil_v1.0:LG18:6331422:6333137:-1 gene:TanjilG_02945 transcript:OIV93408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYTNISKAQAFPLASKFSSQPRCFNSPLTLKLQSLHLTSHQKPFQNPNQKNSSYRFGSLSVKNLVNSVTQLQHGTLEMGKMLNFGRRYCRGRSFSSSYAEKKLPWLVSNEVKEDEVVGKVKTSRVGRSFQKGSVKKDVSGTSKSSWEQSIEKLEKAKSEFFSKPALSASAPAVTVSAEASTGRKNGLVERVRERSDYGRRYEKFDGNDEVRDEEEMEEVVDDPRWDNIKTRFKGIGGEKVGPERHEFRRWDRQENWGRKTWKEATESTVPKIVGEGIYGVGPVLAALSAGRREFYALYVQEGLDLSSNNRKKKDKKGFEKVLKIAENVGLSVKEASKHDLNMVVDNRPHQGLVLDASPLEMVKIMELEPASIEEGKGSLWVALDEVTDPQNLGAIIRSAYYFGASGIVLCAKNSARLSGVVSKASAGSLELMELRYCKNMMQFLVSSAENGWRILGGSVSSKSISLDEVVPGAPTILVLGSEGTGLRPLVERSCTQLVRIPGNIPSDVNTSEFDSESTGSNCESSGKEFLSFLAVESLNVSVAAGVLIHHLIGKNLAQSSPKAYRQTNAAD >OIV93240 pep chromosome:LupAngTanjil_v1.0:LG18:10316123:10316656:-1 gene:TanjilG_27419 transcript:OIV93240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGELRENEDDIVWWLSVWAPILTVVIRVRYVGFLDEVAVFHSEDNRRWKWPLGLEST >OIV93559 pep chromosome:LupAngTanjil_v1.0:LG18:673959:676548:-1 gene:TanjilG_04791 transcript:OIV93559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHIFKTMQRTHFSRHFRVTNISSVHHSGKLSNSLKQDHDLDKGSWESMEGLQLCSANFIPLSPISFLERASKICKDRTSLVYGSLKYNWGQTHQRCLKLASALAHLGISRGDVVATLSPNVPAMYELHFAVPMAGGILCTLNSRLDAAMVSVLLEHSQAKILFVDYKLVELARAALGLLCKRIRKFPILVLVSDCVSSSSINTISSDYEYESLLASGHDEYDIVRPKSEWDPISINYTSGTTSRPKGVVYSHRGAYLNSLATVLLFRMDLFPVYLWTVPMFHCNGWCLPWGVAAQCGINVCLRKVDPKDIFDNVSQHKVTHMGGAPTVLNMIVNSASADRKPLDHKVVVMTGGSPPPPQILSKMEEIGFSISHLYGLTETYGPGTSCAWRPEWDSMPPKERSQMKAKQGVPHVGLEEIAIKDPATMENVPADGKTMGEIMFRGNTVMSGYFRDLKATEEAFKDGWFHSGDLAVKHLDGYIEIKDRLKDIIVSGGENISSIEVETVLYSHPAVLEAAVVARPDNHWGQTPCAFLKLKEGFDVDAQEIINFCRNHLPHFMAPKTVIFQDIPKTSTGKIQKYVLREKAKALGSIY >OIV93638 pep chromosome:LupAngTanjil_v1.0:LG18:1494247:1495243:-1 gene:TanjilG_04870 transcript:OIV93638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAQCPKPTTTIEVCQPKGQHSSFGQKNSEMTGKAFKGHHDGRYGNGQNQLQCYSQQTLVESHDQNNSKSETHSYGQTQTKQENRHEVTKTQIKVTVVEAEITQTYENHDSYPYGTTTCFGSHAVKNGEPNKDRNLFQRLKNHIPHHKNDGNSSKSDSDDEKCPKSKAGVEKCPKSKPSNEKCLKSKAGDKNCPKSKVSDEKCPKTKAGDEKCLKTKAGDEKCPKSKVSDEKCPKSKVSDEKCPKSKPKLMKGVGCKLV >OIV93013 pep chromosome:LupAngTanjil_v1.0:LG18:12969665:12971865:1 gene:TanjilG_20675 transcript:OIV93013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNVWRRAFCTSIPKDNNKESNVHSDKLPHQQQHLCHTTTTNQSPKTSFKFGFFSNPSTPRPHSNTVTGPNLRCRTSVTNSSVPNYSPKLQCSNPKSQNNSPKSVSPSSFSLLKATLRLSKSRCGVCMQSVKSGQGTAILTTECSHTFHFPCIVTHAKKNPIATCPVCSTCWKELLVSEIHSEKKITAVEEKNNDKTRSLKVYNDDEPLMSPTSVARFNPIPESEDEDDGNTEFQGFNVLYSSPVEMRNLKVCLLPEAAILAANRSYESYVLVLKLKAPPVQTAVKAARRAPIDLVMVLDVRGGMNGVKLRLMKHTMRMVISSLASADRLSIVAFSDGSKRLLPLRRMTSCGRISARRIVDALVASDQPRHGSQVKNDAVKKAAKVLEDRRDKNSVASIIVLSDIQETRATVITTSSIPKPYQVSTTRLSQLEIPVHTITFPSEGECNHALSNDTFTKLMTNLLSVVVQDVKIQLSVVSRSRPIEIAAIYSLSGRPEVLDSGSIKIRHLYADEERELLLELKVPAVSAGSHHVLTVLSSYVDPLTQEVVNPAEQAMLVPRPHTIRSSSAKIERLRNLHVMARAIAESSRLAEHADFSGARHLLSSAQALLLRSGELGVEYLWCVEAELAELQWRHQKQPQPRRQKINRHDDEKLELLMPTSAWRAAEKLAKVAIMRKSMNRVSDLHGFENARF >OIV93126 pep chromosome:LupAngTanjil_v1.0:LG18:11466329:11467327:1 gene:TanjilG_20788 transcript:OIV93126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDGGSRAEAERWLYTANKLLSARDLHGARSFALRARESDQTLDASELLLTVIDTVLAGETRINDHHCDWYGILQIHRYTTNIDHIAAQYRRLALLLDSNRNPFAFSGHAFSLVHDAWSVLSNPVNKSMYDNDLRLLTSQPPPPQQPQPPPPLPQPQPQLKKNPRSKNVSTEPAQARTEPNESTQIESEEASFWTSCPYCYVMYEYPKVYEECTLRCRSCRRGFHAVVIRSPPLNGLDESFCSWGYLPLGFSGDSKDVKGVGSNWNPFSPHFPCSLKGDTKKEKRGWVYHDEDAAAAFIEISEPSEDDSDGDWRDGKRRKSKRTRSSRRNFF >OIV93022 pep chromosome:LupAngTanjil_v1.0:LG18:12831440:12833003:-1 gene:TanjilG_20684 transcript:OIV93022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQPELEHPKKAFGWAARDTSGLLSPFNFSRRETGEKDVAFKVLFCGICHSDLHMVKNEWGNTIYPIVPGHEVAGIVTEVGSKVQKFKVGDKVGVGCLVDSCRKCQSCADDLENYCPSLTLTYGSKHPDGTITHGGYSDSMVADESFVISIPDNLPLDVAAPLLCAGITVYSPLKYYGLDKPGLKIGVVGLGGLGHLAVKFAKALGATVTVISTSPNKKTEAIEHLGADSFLISRDQEQLQAATGTLDGIIDTVSAIHPLLPLISLLKSHGKLVLVGAPEKPLELPVFPLILGRKLLGGSMIGGLKETQEMIDFAAKHGIKPDIEVIPIDYVNTALERVLKADVKYRFVIDIGNTLKASS >OIV92799 pep chromosome:LupAngTanjil_v1.0:LG18:14527823:14533168:-1 gene:TanjilG_00933 transcript:OIV92799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHCVSARTRSKRALNDNLLLKQSTKINNNPVSDFEVVGLSSDDDDDNADEECETIVDFVEDEEEFIESSDFDFNAMENEHDDVLVVSDFEKEDDEVKIAMEAKRGRPKGKKGENDVGGIRRRKIYGLDILIDENNSEEKKCAAQRTRSHFCSNNKKKNKLKLGTIAQPICIDDDDDDENEEENEGDFDDITLEEETNVSVSDDEEVSGSESDEQYSSGSKRKGHACVNNNGKKHKEQGFHILEILKDSIYGKEKVVLKARDEEPNPCTHLPIKFSILHEGPKEAEKSEEEEEELEPIWAEMERGLYDTPDSCVSDHMRESSALPNRKHISSKFTFLHKDPKEKENSEDGKELEPIWAEMERGCLDDTPKSCVTDHKREASALPKKKQVSSASSFLHKDLKATRKSEEENGLEPIWAEMECGLNDTPDSWVSGHKREASVCSRKKHVRGDPKDIAVLNKNVLQDYIYGEGEVLTEEPIKNELNPINDLLPVFPFMCVEPTPPEKSDEEKELDVLWAQLDLALYSAQENSTPVSQVQNDIDSGDDQCPNGEVSKATLCRQGKHHLRLNEEIGLVCIYCLHVGLDIKDHLPPFSENPFGKSNRRDFYFEDHAHHSVFNDFQDRVTGYDDDNICDYTGTVWTMIPGVKKNMYPHQCEAFEFLWKNLAGGIFLDQLKEQEDFHGGGGCIISHAPGTGKTRLTITFLQTYMKLYPKCRSVIIAPKGMLLTWEEEFRKWNVDIPFHNLNSPDYSGKESKVAMNLATDSDCNVSSRLVKLYSWKCTKSILGISYKLFEQLTRQDCRDKELRKFLLEHPGLLVLDEGHTPRNSRSLIWKAVSQFRTKKRIILSGTPFQNNFKELRNTLCLARPKFADWNPSGGKGIFNEKLGHPRKEYVGKWKNLTKSFGKVTDDRKLKIAKEVRNMIRSFVHVHKGTILQESLPGMKEFVVILKPTQLQKELIEDIQRKRNRLCHGNQAPLNVMKIEYEESVTAVHPALFDSSEGKGKFERLKLNPEESSKTNFLMELIRLSELVNEKVLVFCQFISPLKLMASQLKHHFSWTEGREVLHMHGQVDAKLRQASIKSFNNPNSNVRVMLASTKACYEGISLVGASRVVLLDVVWNPSVERQAISRAYRLGQKKYVYTYHLITAGTMEEEKRSRQAEKDQLSELMFFNSDGAKHPEKKSSSEFDDQILEEMVQQEKFRHVFEKVAIKKQTLF >OIV93558 pep chromosome:LupAngTanjil_v1.0:LG18:662058:664657:-1 gene:TanjilG_04790 transcript:OIV93558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSHGNDPRQPSAAKPYVAPVVAPQDMPIDYAGFIAVVFGIAGVMFRYKLSSWLALIFCAQSVANMRNIETDLKQVMMAMMTLSIIMACASEPTCFILLGASLFEPGDGTSEPGSQVTITTIENNPLQPKWTWKSQPGYFMKPIYLKI >OIV92865 pep chromosome:LupAngTanjil_v1.0:LG18:15019244:15022392:-1 gene:TanjilG_00999 transcript:OIV92865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLFALQQNYLNRRSNSFRGSLESSAEATVKSPASVFWLVLHAVCCLISLVLGFRFSRLVFFFLFSTSSTNIYTVPFRTASEIAVDSNPVATVENHANRTITGTPLVAGGTTSRVVVGRHGIRIRPWPHPDPVEVMKAHRIMERVQREQKSLFGVKNPKTVIAVTPTYVRTFQKLHLTGVMHSLMLVPYDLIWIVVEAGGVTNETASIIVNSGLRTVHVGFRQSMPNSWEGRHKLESRMRLHALRIVRKEKLDGVVMFVDDSNMHSMELFDEIQSVKWIGAVSVGILVHSTNADEASSLIQREGKVEAMMPVQGPACNATNQLVGWHTFNSLQYKGRSAVYIDDRAPVLPRKFEWSGFVLNSKLLWKDGEDKPEWIMDLDALDGEIESPLSLLKSTSVVEPLGSCGRKVLLWWLRVEARSDSKFPAQWIIDPPLDITVPSKRTPWPDTPPELPSNEKVLIGTQEQTSKHSTKTKTPRSRRSRSKRKHDIKVISVQVSTHSEEH >OIV92614 pep chromosome:LupAngTanjil_v1.0:LG18:16368699:16371462:-1 gene:TanjilG_17965 transcript:OIV92614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDNNNLMEKVTALGEKLKIGGAEVGKKMSAGMSSMSFKVKEFFNGPSQVDKVVEDATSEALDEPDWALNLDICDLINSENANSIELIQGIKRRIMIESPRVQYLALVLLETIVKNCEKAFSEVAAERVLDEMVRLIDDPQTVVNNRNKALIMIEAWGESTGELRYLPIYEETYKSLKSRGIRFPDRDNESLAPIFTPPHSVYAPEADVQIHAHDVQHDDVPVLSFTPEQTKEAFDVARNSIELLSTVLSSSPQEDVLQDDLTNTLVQQCRRSQATVLRVIETAGDNEAVLFEALNVNDEIQKVLSKYEELKVPTVAPVPHEPAMIPVAVEPDESPQHTKEDALIRKPAGSRAGTHGGSNDDMMDDLDEMIFGGKSGDSSADGGHDTKKPQSSKDDLISF >OIV93711 pep chromosome:LupAngTanjil_v1.0:LG18:279785:281057:1 gene:TanjilG_16562 transcript:OIV93711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTNDQRQQERTGKYGTPRLQYLQELVIQFQNTNDDETKEKILANLANFAYDPYNYNFLRQLNVLELFLDCMSEPSEKLVEFGVGGICNSCADPANAAIVTQCGGIPLIIQCLSSPVRNTVNSALGALYYVCNESNKDEVLKPEVVDLIKRYSVAEEVSLSFSNLAKAFLDKHLSGN >OIV93122 pep chromosome:LupAngTanjil_v1.0:LG18:11508563:11511637:-1 gene:TanjilG_20784 transcript:OIV93122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTKSRSEICRSPQKPLLIKHNHRFYSLKLSIFVLITFVLFIFFHTRFNLQTPPSWQWQSSIFNTNTKDLNSLLHKLRHSVTFVPLKDLRYTKEALQGHTWFMSYFYDTHQDGEVQYQQFPSQSSLGRVLCLKGHDTHDGSWNYYALAWPEALPYNTTFVKGLTFVSYNHYDYGNLWHGLSAMVPFVAWHKMNNCSLVPSRWVLYHWGELRFKMGPWLEALMDATFDGPPNIERFDDKNEGPVCFEEAVVMRHNEGGMSRERRMEVFDIMRCKARMYCNVSLKGNKEINDKVLPVIGMTLFLRTGPRSFKNETIVTDIFQRECAKVQGCQFMIAYSNNLTFCDQVKLMSMTDILISPHGAQLTNLFLMERNSSVMEFFPKGWLKLAGVGQYVYHWIAKWSGMKHQGSWRDPSGDHCPYSEDDRRCMSIYKNARIGHNETHFEEWARNVLNEVKTRKMEEALKKSTTPSYIGCGCS >OIV92822 pep chromosome:LupAngTanjil_v1.0:LG18:14725660:14729251:-1 gene:TanjilG_00956 transcript:OIV92822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSFTLTPNSSTSSLIIPPALSSNYTKICDFHYPIKKKRFPLLHAHRLVLSCAKASAEPSGETIDDGESRTGFTAPSMEVTTLNRTFNDADFPVWEKIGAVVRLSYGIGIYGAMAVAGSFICSITGIDYLGGFHLSLDAILEGFGYAVPPIMALLFILDDEIVKLSPHARAIRDVEDEELWSFFYGMSPWQFILMVAASSVGEELFYRAAVQGALADIFLRGSNLITDVQGMASLTGLLPTYVPFAQAFAAVLTAVLTGSLYYVAASPKDPTYVVAPVLQSRAGRQDLKKLFEAWYEKRQMKKIYSPLLEGLLALYLGFEWIQTNNILAPIITHGIYSTVILGHGLWKINDHRRRLRQRIQHLKSEEKNSK >OIV93099 pep chromosome:LupAngTanjil_v1.0:LG18:11771730:11772887:1 gene:TanjilG_20761 transcript:OIV93099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPPRLNAVVLSLFLCLLFQPFCFGIRSFPTSAVTAAANGLFYFIEAPEYRNGAGCPGSGNRLLSLSCDPSLVHIAMTLDSGYLRGSIAAVHSVLRHSSCPENVFFHFISAEFDPVSPRVLTRLVRSTFPSLNFKVYIFREDKVINLISSSIRQALENPLNYARNYLGDMLDPCVDRVIYLDSDVIVVDDIHKLWNMSLTGTRVIGAPEYCHANFTKYFTDEFWSDPLLARVFSSRRPCYFNTGVMIMDLVKWREGNYRKKIEAWMQLQKQKRIYELGSLPPFLLVFGGNVEAIDHRWNQHGLGGDNLNGVCRSLHPGPVSLLHWSGKGKPWVRLDEKNPCPLDSLWEPYDLYKPHLVHHHNRANEEQNWSFSSSILVGHAHDLL >OIV93621 pep chromosome:LupAngTanjil_v1.0:LG18:1281819:1287446:-1 gene:TanjilG_04853 transcript:OIV93621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHSCARAALVLLSIISFGCLFAFSTAKEEATKLGTVIGIDLGTTYSCVGVYKNGHVEIIANDQGNRITPSWVGFTDGERLIGEAAKNQAAVNPERTIFDVKRLIGRKFADKEVQKDSKLVPYKIVNKDGKPYIQVKIKDGETKVFSPEEISAVILTKMKETAEAFLGKKINDAVVTVPAYFNDAQRQATKDAGVIAGLNVARIINEPTAAAIAYGLDKKGGEKNILVFDLGGGTFDVSILTIDNGVFEVLSTNGDTHLGGEDFDHRIMDYFIKLIKKKHGKDISKDNRALGKLRRESERAKRALSSQHQVRVEIESLFDGVDLSEPLTRARFEELNNDLFRKTMGPVKKAMDDAGLQKNQIDEIVLVGGSTRIPKVQQLLKDYFEGKEPNKGVNPDEAVAYGAAVQGGILSGEGGDETKDILLLDVAPLTLGIETVGGVMTKLIPRNTVIPTKKSQVFTTYQDQQTIVGIQVFEGERSLTKDCRLLGKFELSGIAPAPRGVPQIEVTFEVDANGILNVKAEDKGTGKAEKITITNEKGRLSQEEIERMVREAEEFAEEDKKVKERIDARNALETYIYNLKNQIGDKDKLADKLESDEKEKIEAAVKEALEWLDDNQSGEKNILVFDLGGGTFDVSILTIDNGVFEVLSTNGDTHLGGEDFDHRIMDYFIKLIKKKHGKDISKDNRALGKLRRESERAKRALSSQHQVRVEIESLFDGVDLSEPLTRARFEELNNDLFRKTMGPVKKAMDDAGLQKNQIDEIVLVGGSTRIPKVQQLLKDYFEGKEPNKGVNPDEAVAYGAAVQGGILSGEGGDETKDILLLDVAPLTLGIETVGGVMTKLIPRNTVIPTKKSQVFTTYQDQQTIVGIQVFEGERSLTKDCRLLGKFELSGIAPAPRGVPQIEVTFEVDANGILNVKAEDKGTGKAEKITITNEKGRLSQEEIERMVREAEEFAEEDKKVKERIDARNALETYIYNLKNQIGDKDKLADKLESDEKEKIEAAVKEALEWLDDNQSAEKEEYEERLKEVEAAVNPIITAVYQRSGGAASGDASTEENDDDDSHDEL >OIV93344 pep chromosome:LupAngTanjil_v1.0:LG18:8179856:8181600:-1 gene:TanjilG_23280 transcript:OIV93344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRGAIFALVLCLMGAAVMGEDPYLYFTWNVTYGTISPLGIDQQGILINGQFPGPEINSTSNNNVVVNVFNNLDEPLLFTWHGVQQRKNSWQDGTLGTQCPIAPGTNYTYKFQVKDQIGSFFYYPTVGMQRAAGGFGGLRINSRLLIPVPYADPEDEYWVLIGDWYGKSHKTLQSTLDSGRNIGRPDGVHINGKNDVVGSGKAIYTMKPGKTYKYRICNVGLKDSLNFRIQGHPMKLVESEGSHTVQNIYDSLDVHVGQCFSVLVTADKEPKSYYMVASTRFTKKALTGKAIISYTNGVGPASPLIPLAPVGWAWSLNQFRSFRWNLTASAARPNPQGSYHYGQINITRTIKFVNSVSNVNGKLRYAINGVSHVDTETPLKLAEYYGLSDKVFKYNIIGDVPPASLDNIKLATNVLNTTFRTFIEIIFENPTKTIQSYNLAGYSFFAVAVEPGTWSPEKRKNYNLLDAISRHTIQVFPKSWAAIMLTFDNAGMWNLRSENAENRYLGQQLYISVLSPERSLRDEYNLPERQLVCGIVKDLPRPTPSYS >OIV92924 pep chromosome:LupAngTanjil_v1.0:LG18:13756704:13756964:1 gene:TanjilG_20586 transcript:OIV92924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKTILLTCLFFLIILQYNFGFVTPSRVLNLQPPAPETPILRSPLSPSVDWYTINDDNDGKGDAFRPTSPGHSPGVGHQTPPRAP >OIV93091 pep chromosome:LupAngTanjil_v1.0:LG18:11874043:11875111:-1 gene:TanjilG_20753 transcript:OIV93091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCQAVDAAVLVIQHPCGKINRFYWPVTASEVMKTNPGHYVSLIIPLPESQQQKNHEHKTVRFTRVKLLRPNETLNLGHAYRLITTQEVMKVLKAKKNVQTRKPRAETVEKLHRVKPEKQSSASESVAAAGESDPGRTYQAVRADQRNRPRTASINRAMLRSKSWRPSLQSISESAS >OIV93097 pep chromosome:LupAngTanjil_v1.0:LG18:11782936:11785362:-1 gene:TanjilG_20759 transcript:OIV93097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLLLLTLLFVLHNFVIPTCHCQTQNIETFYPIQTTEPAPTSQLPEPQASPPTPLLLATGPVAASKSSSSNSNIAKAVAATAASSVVISGLLFLLVQRCFRARRRKERISTASVGDRSVAPQINMFEKSGRNIKGLVVDENGLDVIYWRKLQEHKNSKKVLSSAKNKQEDCHEGNQGSIQETPLLRGKSSTSHMNIFPEKPYTIMRILPPAPPPIVSLSVEDAINVVHKPNYPTSPFAPSPPPLPQRPPSLPAPPQPDRKSLAPTLPVPPPPPILGRKGQASPSTPARKSQTPPPPPPPKAVISKSSSKPPPIPIGMPVSTSKRGNSSGEDMSETGNGQVKLKPLHWDKVNTNLDHSMVWDKMKGSFRVDGDLMEALFGNIATNRSTSKGNDSTSPTRDAKAPSTKAFLLDQRRSQNIAIVLKSMAVSQKEIIDALVEGQGLNTEIIEKLSRVAPTEEEKIFTLEYKGDPATLAIAESFLYHILKAVPSAFKRLNAMHFRLNYDSEILEIKELLQTLELGCKELRNQGVFVKLLEAVLKAGNCMNAGTHRGNAQAFNLASLRKLSDVKSIDGKTTLLHFVVEEVVRSEGKHAALSRNISLSRSSSRSNSSWSSNSSGSSENSVVSNEQIQREYITLGLSIVGGVSSEFSNVKKAALTDHNSFVGSISALTARMVEIKQLVSQCGSDEEGNFVTGMNHFLENVEEELKSVREEQTRIMELVKRTTNYYQGATKDSAEHPFYLFVIVKDFLGMVDQACIEIARNMQKRKMSKASSG >OIV93651 pep chromosome:LupAngTanjil_v1.0:LG18:1675167:1677170:1 gene:TanjilG_04883 transcript:OIV93651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDARFLNLGFAGNHSANAFNVFGTSMQAEGSGADYYGTDTSLRLDSHGSSCKGTKRKWDLIDGCMGQRVGSSLSLGLGRSTSSSDSKGSSAMACTAMSSAKDTDEESSLDVDLDFTLHLGCEKGRSLKKPVSSNFKTLEMQPKFDLELSLSTGPCESDITSFHPSPTPLQLNMETPLVFSGIQNTDEGATSCSWMAGTVLPSLKISSDKGTGFLLNHASKQFNHSPIVQDLSPTRPKSSVTCTSGLTQQQQPQSQPLHRTSISKICQVEGCGKGARGSSGRCISHGGGRRCQKPGCHKGAEGRTVYCKAHGGGRRCEFLGCTKSAEGRTDFCIAHGGGRRCSHDGCTRAARGKSGLCIRHGGGKRCQKENCTKSAEGLSGLCISHGGGRRCQAPGCTKGAQGSTMFCKAHGGGKRCTAPGCTKGAEGSTPFCKGHGGGKRCTYQGGGICTKSVHGGTNFCVAHGGGKRCAVSGCTKSARGRTDFCVRHGGGKRCKSEGCGKSAQGSTDFCKAHGGGKRCSWGHPGSEYSTRPDGPCNSFARGKTGLCALHSGLVHDKRVHGGISLGSVVHNFHSSKPGELKQVLVNNYMDMDMMKMTSSIGVAAHVSAEEGIHSPMSVAVPEGRVHGGSLMAMLAESSSGHGTISGRGLVSNPSEPIKSCMMPQHWI >OIV93146 pep chromosome:LupAngTanjil_v1.0:LG18:11229240:11230113:1 gene:TanjilG_20808 transcript:OIV93146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEIKYGYPYPAQGPYQGPPPVAAPPHYYPAPPPKREPGFLEGCLAALCCCCLLDECCCCDPTIIVAS >OIV93675 pep chromosome:LupAngTanjil_v1.0:LG18:570059:572385:1 gene:TanjilG_16526 transcript:OIV93675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFSYQSVDELVEEIMRIHRSLPQRPKIDEVEAAKTVILNVEKEDKARLESISKQNKGSGETEKLVMILQEMQMNMVYLQSKKLRKEAVEILDLENVHCLFDELIQRASNCVSSNSMANSTSTSFSKNLGSMDEPSTSNYVSSSMNLLQKEPVRALQLLGRDDGYVKKGKFTFYPDGRGIETNIALEPQIVDSSLKPTTTTGQDGGDKLSLIKLAGLIEVSTKKGTSNLILQNKLMDQVDWLPDSIGKLSRLVTLDLSENRIMALPSTIGGLLSLTRLDLHSNRITELPDSVGDLRSLVFLNLGGNLLSSLPASFSRLVHLEELDLSSNQLSVLPESIGSLVNLKILNVETNNIDEIPYSIGHCSSLRELYADYNRLKALPEALGKVQSLEILSVRYNNIKQLPTTMSSLTNLMELNVSFNELESVPESLCFATSLVKMNIGNNFSDMQSLPRSIGNLELLEELDISNNQIHVLPDSFRMLTRLRILRVEQNPLEVPPRDIVEKGAQAVVQYMAEFVEKRDVKSQQFKQKKSWGQMRFFRSLKKESMTALIM >OIV92957 pep chromosome:LupAngTanjil_v1.0:LG18:13510642:13512420:-1 gene:TanjilG_20619 transcript:OIV92957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLSIPHSIVFISNPSLSWGAQLRKHIDATLGSGNLREAVKLPPGEDLNEWLAVNSVDFFNQVNLLYGTLTEFCTPENCRTMSAGPKYEYRWADGVQIKKPIEVSAPKYVEYLMDWIEGQLGDESIFPQKLGSPFPPNFKDVVKTIFKRLFRVYAHIYHSHFQKIVSLKEEAHLNTCFKHFILFTFEFGLIDKKELAPLQELIETIIVPY >OIV93428 pep chromosome:LupAngTanjil_v1.0:LG18:4763218:4763790:-1 gene:TanjilG_10060 transcript:OIV93428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPHGDSLPRADFCKNSNISKPPKLSYEHLQRTISDISFELTTKEVIDDLKLAPISEVEDAKCECCGMCEECTQEYINLMRDKFFGKFVCGLCSEAVKEELEKNGGKKEDALSAHISACVSFNKYGRAFPVLFQAQAMKEMLKKSTEDCRIRAKSISPRDKEGLKKGLISRSSSCISAFTKELNDIKIAN >OIV93419 pep chromosome:LupAngTanjil_v1.0:LG18:6006751:6010697:-1 gene:TanjilG_02956 transcript:OIV93419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACLEIYKEEKYKEEEEEECTLDGSVDWQGRTAIRAKSGRWVAGIIILVNQGLATLAFFGVGVNLVLFLTRVLGQNNAEAANNVSKWTGTVYIFSLVGAFLSDSYWGRYKTCVIFQVIFVIGLVSLSLSSYISLIRPKDCGKETLQCGKHSSLEMGFFYLSIYLIALGNGGYQPNIATFGADQFDEEHSKEGYSKVAFFSYFYLALNLGSLFSNTILGYFEDEGMWAIGFLVSAGSAFAALVLFLVGTPRYRHFKPSGNPLPRICQVFVAASRKLKVQVTSKEEDLYVVGEKESSNNCNRKILHTNGFKFLDRAAFISSRDLEDQNEGIYNRWRLCPITQVEEVKCILRLLPIWLCTIIYSVVFTQMASLFVEQGAAMEITISNFRIPPASMSSFDIISVAFFIFLYRQVLDPLVGKLKKKSSRGLTELQRMGVGLIIAVMAMVSAGIVECYRLKYAKQGTSSLSILWQIPQYALIGASEVFMYVGQLEFFNAQTPDGLKSFGSALCMTSISLGNYVSSLLVSMVMKISTEDHMPGWIPGNLNRGHLDRFYFLLAALTSIDLIVYIACAKWFKSIQLEVKYEQNDEPDSCKV >OIV93107 pep chromosome:LupAngTanjil_v1.0:LG18:11649571:11649813:1 gene:TanjilG_20769 transcript:OIV93107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNADRESFAFDRGILAHDRGCLDRDRGSLDYNRGMLTRSLERDRGWLKYDWGMLARNLAKLSLGMTRDLIRLSPKVMHQP >OIV92833 pep chromosome:LupAngTanjil_v1.0:LG18:14799159:14799974:-1 gene:TanjilG_00967 transcript:OIV92833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVGVFLLLVSVLKTATFPIVSSDPSDEACLTHLSNSFQDSNNKLDDWTKNNFATPCNDSTANLKGATCNNGRIYRLSLNNLSLKGTISPFLSNCTNLQSLDLSSNFLTGPIPPDLQSLVNLAVLNLSSNQLQGQIPPQLTFCAYLNIIDLHNNRLTGPIPQQLGLLIRLSVFDVSNNHLSGPIPASLANRTGNLPRFNSTSFIGNTDLYGYPLPPFKNKGLSVLAIVGIGLGSGLASLVLSFTGVCIWLKVTERKMALEEGKVSNHMPDY >OIV92681 pep chromosome:LupAngTanjil_v1.0:LG18:15910862:15911788:1 gene:TanjilG_18032 transcript:OIV92681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKARITGIVQRFNNSKGFGFIKPDDGGDDLFIHYSSIRSDGFRTLVEGDRVEFTVGTGDDGKLKALDVTGVNGAPLRSTVENNAGRGGGGDGCYQCGEFGHLARDCNRGSNAGVCYNCGGIGHMARDCTSGRNSGGGGGGGGGCYNCGGFGHMARDCLKGSNGGGGGGGGGSCYNCGEFGHMSRDCNVGSGDRDRPRAGGNGGGCYRCGEVGHLARDCNKEGGSYGGGNGGSYGGGNGGSYGGGNGGSYGGGNDGNRTCFNCGKPGHFARECIEASG >OIV93363 pep chromosome:LupAngTanjil_v1.0:LG18:7597870:7600573:-1 gene:TanjilG_28382 transcript:OIV93363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDYNFFPEECNLDSLFDVDDEEFLSHDIPNPVQVNTSSNSSSITENLSPKLSPTSSISSFQSQILSLDNITTQFYGFDCALNPPIPYEAVSVPQLGNTHIQAQTTKGSSKNQNFGTKTILGKRSAAHTHDHIMAERKRREKLSQSLIALAALIPGLKKMDKASVLGDAIKHVKELKERVAILEEEEDSKKKSVVVLKEPNLNGDNDDSSSCDDESIENSSGIEPLLQVEARISGQEVLLRIHCQKQKGLVVKILALIQSLNLFVANSSVLPFGNSTLEITFIAQIGEGYNLTVKDLVKNIRTATLKCMS >OIV93173 pep chromosome:LupAngTanjil_v1.0:LG18:10920910:10926090:1 gene:TanjilG_20835 transcript:OIV93173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAAGGIGGGNGKEYPGNLTPFVTVTCVVAAMGGLIFGYDIGISGGVTSMDPFLIKFFPSVYRRKQGEESTNKYCQYDSPTLTIFTSSLYLAALLSSLVASTVTRKFGRKLSMLFGGVLFLVGALINGFAQNIWMLIVGRILLGLGIGFANQSVPLYLSEMAPYKYRGALNIGFQMSITFGILIANILNYFFAKLKGGWGWRLSLGGAVVPALVITIGSLVLPDTPNSLIERGNREAAKAQLQRVRGVDDVEEEFNDLVAASEASMLVEHPWRNLLQRKYRPQLTMAIMIPFFQQFTGINVIMFYAPVLFNSIGFKDDASLMSAVITGIVNVIATSVSVYGVDKWGRRALFLEGGTQMLICQAVIAAAIGAKFGINGNPGELPKWYAAVVVIFICTYVAGFAWSWGPLGWLVPSEIFPMEIRSAAQSITVSVNMLFTFLVAQIFLLMLCHMKFGLFIFFGAFVFVMTVFIYFMLPETKGIPIEEMGRVWREHPYWSRFVEHEDYGNGVEMGNGTRANKDI >OIV92875 pep chromosome:LupAngTanjil_v1.0:LG18:15092923:15098907:1 gene:TanjilG_01009 transcript:OIV92875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTLCYHSLNPSMASFTTTHLSIPMYKKISLHMSPFVNYSYQLGTTTTNQRTSLKAVKAKVADEAVSENDRTVQKKKLRVLVAGGGIGGLVFALAAKRMGFEVVVFERDMSAIRGEGQYRGPIQIQSNALAALESIDPEVANQVMRVGCITGDRINGLVDGISGSWYVKFDTFTPAVQRGLPVTRVISRLSLQEILARAVGEDIIINGSNVVDFVDHGNKVTVQLEDGQKYDGDLLVGADGIWSKVREKLLGPKDATFSGYTCYTGIADFVPVDIESVGYRVFLGHKQYFVSSDVGAGKIQWYAFHKEPAGGVDKPNGKKERLLKIFEGWCDNVIDLLHATEEQEILRRDIYDRTPTMTWGKGSVTLLGDSIHAMQPNMGQGGCMAIEDSYQLAWELNQAWEQSIKSGSPIDIHSSLKSYERERRVRVAIVHGMARMAAQMATTYRPYLGIGLGPLEFLTKFKIPHPGTIGGKFIIDKVMPLMLSWVLGGNSSKLKGRPQSCRITDKANDQLQKWFQDDDALERAIDGEWFLLPHGDEAGFSEPICLSQDEMKPYIIGSKQQESYIGNSISISLPRVSETHARIDYKDGAFFVTDLRGEYGTWITDNEKRRYRLRQNHPTRLRSSYVVEFGSDKASFRVKVARSAPRVTEREGTQNLQAV >OIV93256 pep chromosome:LupAngTanjil_v1.0:LG18:9703348:9706480:1 gene:TanjilG_26011 transcript:OIV93256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDNFIVCIDQIIASSTRCFGPFEEVGGRVCDDGVGSVSDKGNGGGEGEEEEEDGCSSSSSSNKVDDDVAVVVEECRICQEEDKVQDMEAPCSCNGSLKKLDYDYVSSLVLAMQFAHRKCIQRWCNKKGNIICEICNQAFSPNYSIPPVRSNDIMAIDIRQEWGRSSDLHLALTSAEHQLLQTEYEDYAITQISSIACLRSATLTLVIILLIRQALMVTANSARGKDSSNIFSCYVNSQEGEPQCIISDITPSICWNSFAMLCNGAFLVRHAESKEKTGMALK >OIV92760 pep chromosome:LupAngTanjil_v1.0:LG18:14206822:14207574:-1 gene:TanjilG_00894 transcript:OIV92760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLAWSAERASKAYIHTVQSCQNFHESGVAEMISAMAAGWNTKLIAETWSQGGVISTSMGLSIAKHYTGGRHVCIVPDERSRSEYSSRMIETGMSPEIMVGEADEVMNDLVGIDFLVVDSRRKDYHRVLRLAKLSNKGAVLVCKNVSSKPDSSSSFMWRSVLGQGSSHKLVRSVFLPVGKGIEMAHVSPTGVNNLVKGKRWVRHVDQRSGDVHFIRT >OIV92753 pep chromosome:LupAngTanjil_v1.0:LG18:14148431:14157239:1 gene:TanjilG_00887 transcript:OIV92753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVTSYLAKPWFTPKIPFLSKPSGNGKLVICDIIGKPQRKAKVGVVRASMLQFLTFVEPASSLQLLQLHEPANALSLPTWAVHVSSVLEWILAMTLVWQYGEKSGYEAWKGLSWGMVPLLGGALCACTWHFFYNSESLELKRLKLRKNNWDADTYQFDCQTFL >OIV93166 pep chromosome:LupAngTanjil_v1.0:LG18:11030461:11030868:-1 gene:TanjilG_20828 transcript:OIV93166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPIFYVTLFLALVLCNASVDSGNQFNKGMNSINEAASTNEIRVQINLPPSPRKVHFKCSTGGRFEVTTSGSYKWNAGVGEQCKVRYMKLRSSIVARDSNEGGRLSQWVVQSDGLYHSTDMNTWSKKAEWRRLNQ >OIV93224 pep chromosome:LupAngTanjil_v1.0:LG18:10059412:10065320:1 gene:TanjilG_27403 transcript:OIV93224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFKVHKLYLIFLLCQALLVVSIVHQARAAKSHDHDNLFTGSWVIDPSYPLYESSTCPFIDPEFNCQKYGRPDKHYLKYSWKPDSGSIPRFDGVEFLNTWRGKKILFVGDSLSLNMWESLSCMIHASVPNANTSYSRKNSTVTFKDYGVTIHLHRTPYLVDIIREDDGQVLTLDSIKGGKAWLGMDMLIFNTWHWWVHTGKHQGWDYIRDGSNLVKNMDRLDAFQKGLTTWAQWVDQNVDPNKTKVFFQGISPIHAKGQEWNQPNKTCSGEQEPLPGSTYPAGLPPAATIVNNVLNKMKSPVFLLDITLLSQLRKDAHPSAYSGDHPGNDCSHWCLPGLPDTWNQLLYAALTI >OIV93095 pep chromosome:LupAngTanjil_v1.0:LG18:11822364:11827480:1 gene:TanjilG_20757 transcript:OIV93095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDASRGRVTITLGRSGQVVKRDVSGADVSFSSLPSSGTKRSIRDRIGNNTDSSMLHGNGLSTNKRHRGDISVQNRLDGYERIGKDDLRLKLMQKSASRRGESNGDKGHMDLREKLSKPTHPMKTIFDRKQIVPEPRETSMLRQISSARSSNDVMRMESMRSSYSPWTLDHIRRKSPDEFANTSRGISPQRNVEDLQRRPLNRTYDGVRSVPYAARDVLETSRPPSSASAPFMSRSTMSTLPPVTAKPVQSHPGQLPPSSSVAQRAPYMGVEQQPQTVDGLLNALGLGKYAITFKAEEVISNFAVITDMPMQVDMTALKQMGENDLKELGIPMMA >OIV92615 pep chromosome:LupAngTanjil_v1.0:LG18:16357732:16365261:-1 gene:TanjilG_17966 transcript:OIV92615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKPFRASRSSLSTMSDAYEGQKPAVPSNVTFARRTSSGHYVSYSRDDLDSELGSNDYTSYTVHLPPTPDNQPMDLSISQKVEEQYVSNSLFTGGFNSVTRAHLMDKVIESEANHPQMAGAKGSSCAIPGCDSKVMSDERGADILPCECDFKICRDCYIDAVKTGGGICPGCKDPYKNTELDEVTVDDVHPLSLPPSSGMSKMERRLSRMKSNSKSALVRSQTGDFDHNRWLFETKGTYGYGNALWPKEGGSGNGKGEEVAELTEFMNRPWRPLTRKLKIPAAILSPYRLIIFIRLVVLGLFLTWRVKHKNSDAIWLWGMSVVCEIWFAFSWILDQLPKLCPVNRSTDLNILKEKFETPTLSNPTGKSDLPGIDIFVSTADPEKEPPLVTANTILSILAADYPVEKLSCYVSDDGGALLTFEAMAEAASFANEWVPFCRKHDIEPRNPESYFNLKRDPYKNKVKSDFVKDRRRLKREYDEFKVRINSLPDSIRRRSDAYHAREEIKAMKLQRQTREDEPIETPKIPKATWMADGTHWPGTWLSPTSEHSKGDHAGIIQVMLKPPSDEPLLGGADDAKLIDLTDIDIRLPLLVYVSREKRPGYDHNKKAGAMNALVRASAIMSNGPFILNLDCDHYIYNSKAMREGMCFMMDRGGDRLCYVQFPQRFEGIDPSDRYANHNTVFFDVNMRALDGLQGPVYVGTGCLFRRVALYGFDPPRSKEHHSGFCSWCFGRKKHASSASTPEENRALRMGDDDDEEEMNLSSFPKKFGNSTFLIDSIPVAEFQGRPLADHPAVKNGRPPGALTIPRDLLDASTVAEAISVISCWYEDKTEWGQRVGWIYGSVTEDVVTGYRMHNRGWKSVYCVTKRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSRNNALLASPRMKFLQRIAYLNVGIYPFTSIFLIVYCFLPALSLFSGQFIVQTLSVTFLSYLLGITVTLCMLAVLEIKWSGIELEEWWRNEQFWLIGGTSAHLAAVLQGLLKVVAGIEISFTLTSKSGGDDVDDEFADLYIVKWTSLMIPPITIMMVNLIAIAVGVSRTIYSVIPQWSRLLGGVFFSFWVLTHLYPFAKGLMGRRGRTPTIVFVWSGLIAITISLLWVAINPPAEEEKEKMVTVSEIRKAQRAEGPATILAIGTANPPNCIEQSTFSEYYFRVTNSEHMLQLKNKFQRICDKTMIKKRYTYLTEEILKEHPNIGAYMEPSFDARQEIVTVEVPKLGKEAATKAIEEWGQPKSKITHVIFCTTSGIDMPGADYKITKLLGLSPHVKRHMMYQTGCFGGGASIRLAKELAENNKDARVLLVCCEVTAIIFRGPAENDLNCLVGQALFGDGAAALIIGSDPIPEVEKPIFELVWTSQTIATDSDGAIFGRLSEVGLTSHVIKDVPMIVSKNVTETVTQALKPLNIDDLNSIFWIAHPGGRAILDQVEAKLELKPEKLRATRHVLSEYGNMSSVCVLFILDEMRRKSKEDGLKTTGEGFDWGVLLSFGPGITIETILLHSVAI >OIV93475 pep chromosome:LupAngTanjil_v1.0:LG18:3984264:3989623:-1 gene:TanjilG_18691 transcript:OIV93475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETEQFVKGIIHPNGVAVITLDRSKALNAMNLDMDLKYKSYLDEWELDPAVKCVLVDSSSPRAFCAGMDVKGVVAEIQKDKNTPLVPKVLTAEYSLICKISEYKKPYISLMDGITMGLGIGLSGHGRYRIITERTVLAMPENGIGLFPDVGFSYIAAQSPGEGSVGAYLGLTGKRINTPSDAIYAGLGTHYVPSGKLGSFKEALIETNFSQDPHQDIKVLLARHESNPESEAQLKLRLPQIISSFGATKSIIQTIEELKQHQSSTDPNVVVWANEALQGLGKAAPFSLFLTKKYFSKVATAVEKNDNELSTLTGVMKTEYRIALRSSLRPDFAEGIRAILLDKDQKPRWKPSSFDEVNLSEVEAVSKPLGSEVEELAV >OIV93579 pep chromosome:LupAngTanjil_v1.0:LG18:840517:841869:-1 gene:TanjilG_04811 transcript:OIV93579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEILRETVSALEKEESSEEAQAQLDIWKYIFGFVEPAVVKCAIELGIAEAIENNGGPMTLSEISSTLGCDPSLLNRIMRFLTHRNIFKAIPTSTSTSHGYSYAQTPLSRRLMRNDKQSMAALILLESSPVMLAPWHNLSACVSVNSDFPFENTHAQDLWQYTAANPDHSNLFNDAMACHANLTVSAIIEDYSEAFRGINSLVDVGGGNGTAMSIVVKACSWIRAINFDLQHVIAEAPEIERVQHVAGDMFVQVPKADAAFIMAVLHDWRDEECIQILKKCREAIPKENGRVIIAELVIEEEGNHDKLKDIRLTFDMVMMAHTNFGKERTLKEWDHVIKMAGFSTYTVKSIKNTVNFIIMAFP >OIV93237 pep chromosome:LupAngTanjil_v1.0:LG18:10288971:10297770:1 gene:TanjilG_27416 transcript:OIV93237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKLFNRGRESPADASPLHSAATPSSASPVTGPARPIRLIYCDDKGKFHMDPEAVATLQLVKDPIGIVSVCGRARQGKSFILNQLLGRSSGFQVAPTHRPCTKGLWMWSMPLKRTALDGTEYSLLLLDSEGIDAYDQTGTYSTQIFSLAVLLSSMFIYNQMGGIDEAALDRLSLVTQMTKHIRVRASGGKTSASELGQFSPIFVWLLRDFYLDLTEDNRKITPRDYLELALRPVQGSGRDIAAKNEIRDSIRALFPDRECFTLVRPLNEENDLHRLEQISLDKFRPEFRSGLDALTKFVFERTQPKQVGATMMTGPVLVGITESYLNALNHGAVPTISSSWQSVEEAECHRAYDSSTEVYMSSFDRSKPPEEAALREAHEEAVRKSMAAYNASAVGVGSARKKYEGLLQKFFKKAFEDYKRNAFMEADLQCSNAIHSMEKRLRAACNASGVTIVNVAKVLDGLLTEYEKSIQAPGKWQKLAVFLQQSFEGPVLDLTKRLIDKVESNKNSLALQCRMIEDKMTLLNKRLEATESDKSEYIKRYEDAVDDKKKLTDEYMSRITDLQANRRSLEERYSSLLKTLDSTKQESVDWKRKFEQVLQRHKAEEDQASSEIAALKSRGAAGEARLAAAREQAQSAQEEAEEWKRKYDIAVREAKAALEKAAIVQERTNKQTQLREDALREEFSITLAENDHEIKEKTAKVEHAENCLTTLRLELKAAESKISSYEAEISSLRIEIKELSEKLKTENAKAQSYERDAIVFQKEKSRLEQKYQSEFKRFEELQERCKIAEREAARTTEVADKARVEASTAQKEKSEMHRLAMERLAHIERAERKIENLEREKDNLEDELQRVRYSENDALTTVAKLEEKVQQREKDLEALLDKDKTHRRNNAQILEQLLETERQAHTQANNRAESLSLQLQSAQAKMDSLHQELTKFRLNEKVLDSKLKTASHGKRLRLDDEIGSDSDQDMDLMNPRIVRTNKRYKNTTSNHQDTQPEDGGSFFRGVEDVQSQQRNQDYKRFTVQKLKQELNKHNFGDQLLQLKNAKKEDILALYEKCVLEKS >OIV93118 pep chromosome:LupAngTanjil_v1.0:LG18:11535700:11536146:-1 gene:TanjilG_20780 transcript:OIV93118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILIGFHSTGAYKVYDPSTQKIMFSRDVRFDESQTWDWGQNSKVKKQTLVQLEEDNITELQPCIEQQITEQSADRRRPSRTRQAPQRLSDYEIFPDSNITTEGDLVHIALLAEMEPVSFDEAVKESHWIEAMKEELRSIERNQTWELT >OIV93379 pep chromosome:LupAngTanjil_v1.0:LG18:7182538:7186343:1 gene:TanjilG_21090 transcript:OIV93379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNALAATNRNFQRAARILGLDFKLERSLLIPFREIKVECTIPKDDGSLVSYVGFRIQHDNARGPMKGGIRYHPEVDPDEVNALAQLMTWKTAVANIPYGGAKGGIGCNPGDLSINELERLTRVFTQKIHDLIGVQRDVPAPDMGTNSQTMAWILDEYSKFHGHSPAVVTGKPIALGGSLGREAATGLGVIFATEALFAEYGKSIADHSFVIQGFGNVGSWAAKSIYERGGKVIALSDITGAIKNPNGIDIPALIKHKENNGTLKDFSGGDAMDPNELLVQECDVLMPCALGGVLNKDNAADVKAKFIIEAANHPTDPDADEILSKKGVIILPDIYANAGGVTVSYFEWVQNIQGFMWDEEKVNHELKKYMTNAFQDIKKMCKTHNCDLRMGAFSLGLNRVAHATLLRGWEA >OIV93346 pep chromosome:LupAngTanjil_v1.0:LG18:8165989:8167535:-1 gene:TanjilG_23282 transcript:OIV93346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLVLFVLFLALSIGHSSAIYCLSKDGVDDDELQKALDYACGFGADCGPIQPNEPCYEPNTVKEHCNYAFNSYYLNMQSVGGTCDFAGSATTTLTPLSNILQDVFTPQVLGVGTTPPPFDIPTPPSTDTNPGPIFGTSPPKVRNGSSNIKSTNAMLSMFLVLVISWLTLRA >OIV93127 pep chromosome:LupAngTanjil_v1.0:LG18:11454939:11462864:-1 gene:TanjilG_20789 transcript:OIV93127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHVIERRDRHSAIHKPNAPSIELQVQSDSNNMLRQKVEYKTHELRQLNGQELHGLKLQELQRLEELLNIAKVVNILWKLHYPLQELFELMEENQKLKQVLHMDRNDYYGGASSSLNLTQLWKRFKGEDKPPENLGSSREFNVDMIPKFMMANGGLVRVLIHTDVTKYLNFKAVDGSFVYNKGKIYKVPATDVEALKSPLMGLFEKRRARKFFIYVQDYEASDPKSQEGLDLNQVTARQLISKYGLEDDTIDFIGHALALHLDDNYLDEPAKEFVEKMKLYAESLARFQGGSPYIYPLYGLGELPQAFARLSAVYGGTYMLNKPECKVEFDGDGKAIGVTSEGETAKCKKVVCDPSYLPDKVRKVGKVARAICIMSHPIPDTNDSHSAQVILPQKQLGRKSDMYLFCCSYAHNVAPKGKYIAFVTSEAETDQPESELKPGIDLLGPVDEIFYDMYDRLEPTNDHQVDGCFISKSYDATTHFETTVTDVIEMYSKITGKALDLSVDLSAASAATEG >OIV93121 pep chromosome:LupAngTanjil_v1.0:LG18:11515275:11518757:-1 gene:TanjilG_20783 transcript:OIV93121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVRWSKAVTHISKIGYQSNLKLMNDVFAGKRHSYAKVAAAAAPTIEDKGPVVNLDKMFWSKPCSLALPQDSPVRVDEPNYEGVKRFILRLMMFYSKQSKSIRGANVVYRRIVSQVDKPPIYEVFNLEKTFKTTFSLLVLHMWLCLRRLKQDGKEGVEFGQHLYEIYNHDVELRVSKAGVNLLLTKWMKDLEKIFYGNIVAYDTAMLPEAKQGDLSNVIWKNIFSDDGTSTPDAAALHSVQAMARYARLEAICLSLTGIA >OIV93385 pep chromosome:LupAngTanjil_v1.0:LG18:7011961:7014924:-1 gene:TanjilG_24105 transcript:OIV93385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKKESNKKVMLSEEGIENISERLSCLDNLYFPRSLQTSSSLPSQRKSIFRDLLLTDPALFLERYGSQLTFTELGEFDLLKEDYEINWHIKRLRSMIRPTSEEMRMRSVTVKNRRRAYLDRLICNGQYFSEDAMRDREPYLHYEYLGKFQDQTGRGMARPGERWSETLLRRCEEAALVAKIRGEQQRLGVAERDWVGNDRFQEEEEEEEEEEEEEEDEEEKPSEERRNGSSNAQLVERHLNQTDIIGDAPSDPVGANEETTLSAEELEDRLNQFTYIMQQKFLLGEDKEHLDYSKIDNDETLDDHWQREANIDAEERYFADD >OIV93338 pep chromosome:LupAngTanjil_v1.0:LG18:8247383:8247947:1 gene:TanjilG_23274 transcript:OIV93338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSVDSFSYAFEDLDAREVVPAKEMLEWVEADKTLECIVLGQGDTAFKTLVAVKMGDGVDRRKLLKHDAQKNRGQAATSSKRAKVDSPTPSTIHQGDGSSVPRAPGADHGFKQRVHDERLPSRADISTNPTNVDSVGDGSSDLGGDG >OIV92745 pep chromosome:LupAngTanjil_v1.0:LG18:14100451:14105072:-1 gene:TanjilG_00879 transcript:OIV92745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEANLLMMGGGGIGGDHQHRQVKAEIATHPLYEQLLAAHVACLRVATPIDQLPLIDAQLSHSHNLLRSYFSQQTHSLSPHDRQDLDNFLAQYLIVLCTFKEQLQQHVRVHAVDAVMACRDIENTLQALTGASLGEGSGATMSDDEEDMRMDLSLDQSNGEGHDMMGFGPLLPTESERSLMERVRQELKIELKQGFKSRIEDVREEILRKRRAGKLPGDTTSVLKNWWQQHAKWPYPTEDDKVKLVEETGLQLKQINNWFINQRKRNWHSNSQSVTSLKSKRKR >OIV92750 pep chromosome:LupAngTanjil_v1.0:LG18:14130793:14135566:-1 gene:TanjilG_00884 transcript:OIV92750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEEEDMEVENHVCVVHDIDFDYEFDASRFFDFCVQETASQALQAEVWFETAGSYPPSPFVTKLLLREENVNVQSGMVFSHTVSQNDGNGGGVIQNNAMQPLQVTSTGMTFSSKTITGGLSSKAKSAGRKCSTLLKPTASQLAKQNQPTQNVGSRFKKLPTQNKEMNLPISSGVENQAAKRQKLESGLLHKAGVIKQQTDFFHKAPKMVLNVEQNTGHSKLRITIPREPDLETAHRAQRTRPKNAAEAELVTVAASRFKARPLNRKILNAPTMPLHKRSTPRLPAFQEFHLKTSERAMQHTSATSSSSLHCNDSDEGFDKHHAVSTQENRTKDLRRPSAGGALKHDRLDFAYNFKARPLDKKIISSKGDIGVFRNRKLETTVPTEFNFHTEKRIQHNPPTDLFSKLSLASEVQSSNGSQLKLPQHSRAFGKEKKPFMLGGNQIHNGIHGCISEAGTLLGARR >OIV93474 pep chromosome:LupAngTanjil_v1.0:LG18:3949633:3950772:-1 gene:TanjilG_18690 transcript:OIV93474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKNSNLPQELLEYNILIRLPYKSLVCFKCVCKHWHALFNDPIFLSHHLSNFIKNHNTCHNLLLRLSFPTIFGDGWDNYKLFLLSATDDNYSPVQTLMPKFNMLSTKFQICGHCNGILCLSTEYWSRSKEILLYNPATREFRCLPDSNMRLRPSSIALSVGMGYDLVTDDYKVMRIWRGDMYLFRTNCVEEYSLSTDAWTMLNNTNAGHFIFDADAFAMFFKRTYYWWAFSREDRSSVILALNMEDEVFQRVPLPQNIDISERDGRSLVVWNDSISLICCTCDGLATSIDIWVMDGSGAEGSWTRKRSIKDLTHEPKPLVFWKGNELLMEMSCGKIKSYDVDDGKIEDVVIKGIPNWHSSQAVNYAASAVSLKGGDYLI >OIV93049 pep chromosome:LupAngTanjil_v1.0:LG18:12446898:12448022:-1 gene:TanjilG_20711 transcript:OIV93049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEALRFLNGMPPISEPDPQDTITTTDNHRNKKSSAANKRALRENGSSAAASSGGAMRYRGVRRRPWGRYAAEIRDPQSKERRWLGTFDTAEEAACAYDCAARAMRGFKARTNFVYPASPPSPATEFCPFNFPKYPYPQQQQQTLLSKISPCSASTTQHLRNPSSSSLNMLLFRDLLNSSSARHFPNYNNNVTSSSTPYVNSYANSVSVNANNSTCGVVENCYGNKTAEADDENEDLEFSDSGLLEDIVHKFLPKSKPKKCQTTEKIADSNFCNPACSDNLFLSNTQCYEEMKREMPMNNGFGGVSSFDYHQGFPMQQFGTFNNGFNVNAVQAVPPLGNDQVMMNHTEYSTTIMEDVFQYPEFLNAFALRMQNA >OIV93433 pep chromosome:LupAngTanjil_v1.0:LG18:4990451:4991363:1 gene:TanjilG_10065 transcript:OIV93433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVATNWFTIWFAEKGLISRCANHASSIHCKPRFLIFQKIVRIEIIEEGYVSDSSIKILPDHPPSFTSSSSRQRDGTCASFAPKSDGRAQHPSSWEVWSIKNFSKEFWMSLVSFDPEWARLISEMFHL >OIV92810 pep chromosome:LupAngTanjil_v1.0:LG18:14647328:14648113:-1 gene:TanjilG_00944 transcript:OIV92810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLPGYVRSCLDTGKLLFLAILVSGGIVLQILACALYNNWWPMLSAITYVILPMPLLFFAGSDDSIFSESDNSWVNFTKFLTGFSTIGSIAIPCILKHAGVICWGALAMELSSFFVFVLAMMCFMGMNNDDGYGYSML >OIV93679 pep chromosome:LupAngTanjil_v1.0:LG18:533293:542046:1 gene:TanjilG_16530 transcript:OIV93679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIDMPSSTAPNVRASRSSLSSSNGNEDIPVHNYATDNNGDDGYDSDSSNFAPPTSSTPSMAVPAELAGAVHLVDRFQVDGFVKMMQKQIQSAGKRGFFSKRSVGPQVREKFTFEDMLCFQKEPIPTSLLKINGDLVSRATKLFQMVLKYMGVDSSDHVTPVSFDERVELVGKLYKQSLKRSELRDELFVQISKQTRNNPERQSLIKAWELMYLCASSMPPSKDIGGYLSEYVHNVAHDVATDSDIQALALNTLNALKRSVKAGPRHVIPVREEIEALLTGRKLTTIVFFLDETFEEITYDMSTTVADAVEELAGLIKLSTYSSFSLFECQEYVGLDDNKYIGDLLAEFKSAKDRSKGEILHCKLIFKKKLFRESDEAITDPMFVILSYVQLQHDYILGNYPIGRDDAAKLSALQILTEIGFVSTPESSTDWNSLLERFLPRQIAMTRAKREWELDILSRYHSLEHLTKDDARQQFLRILRALPYGSSVFFNVRKIDDPIGLLPGRIILGINKRGIHFFRPVPKEYLHSAELRDIMQFGSSNIAVFFKMRVAGVLHIFQFETKQGEEICVALQTHINDVMLRRYSKARSAASGSSNGDISNSFKPSNLELYEKRVQDLSKRIEDSQRSVDQLLEELHEKQIQEEKMQEELEGLKESFKADKQNLEEVTNDRDRLRSLCMEKDKALQAAILEKRNTEARMAKLNNLATENTPKKGLVGGNNQVFQKLEDELKLCKDKLHAAEETIKSLANEKLILEEKLSVLQKRTADEVSSLQRKLEQERKVVKSQVHDLERKLEVFRQDLAAAESTISVKTSELAALQNNLKELEELREMKEDIDRKNEQTASILKMQGAQLAEMELLYKEEQVLRKRYFNTIEDMKGKIRVYCRLRPLNEKEISEKERGAVTKVDEFTVEHSWKDDKLKQYIYDRVFGGDATQESVFEDTRANGYNVCIFAYGQTGSGKTFTIYGSENNHGLTPRATSELFRIIRRDSNKYSFSLKAYMVELYQDTLIDLLLPKNAKHLKLDIKKDSTGMVAVENVTVLSISTIEELNSIIQRGSERRHISGTHMNEESSRSHLILSIVIESTNLQSQSVARGKLSFVDLAGSERVKKSGSAGSQLKEAQSINKSLSALADVISALSSGGQHIPYRNHKLTMLMSDSLGGNAKTLMFVNVSPAESSLDETHNSLMYASRVRSIVNDPSKNVSSKEIARLKKLVAYWKEQAGRRGEDEDLEEIQDERPTKNYNNKLLVTNFHHHHPFHFHSLSPCCYSSLVSSAATAGVIGCVLHTHSHRGVGIATPPVEMMSMKPYC >OIV92701 pep chromosome:LupAngTanjil_v1.0:LG18:15721992:15729738:-1 gene:TanjilG_18052 transcript:OIV92701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKRDLAILMLSAFAIFFSFQHDGGVSFKGAWIHLTDEYPIKYEAERLPPPLVADLNGDGKKEVLVATHDAKIQVLEPHSRRGDEGFSEARVLAEVSLLPDKIRVTSGRRPVAMATGYIDRYSIGTPHKQVLVVVTSGWSVMCFDSNLQKLWENNLQTFMDPFEEMGKGARFSEQHRRSAAEKEEDFPHNAHHREVSISISNYTLKHGDTGLIIVGGRMEMQPHTFMDPFEEMGKGARFSEQHRRSAAEKEASENTGTVDLRHFAFYAFAGRSGVERWHRKNENIEAHSSDASQLIPQHNYKLDVNALNSRQPGEFECREFRESILAVMPHQWDRREDTLLKLAHFRRHKRKPLKKNPGKVMNYPFHKPAENHPPGKDTAKKISKIIGKAANYAGSAKSKKHLYVPTITNYTQVWWVPNVVVAHQKEGIEALHLASGRPICKLHLQEGGLHADINGDGVLDHVQAVGGNGAEQTVVSGSIEVLRPCWAVATSGVPIREQLFNVSICHYNHFNLFQHGERYGSFGRGSETASLEVATPILISRSDGHKHRKGSHGDVIFLTNRGEITSYSPGLHGHDAIWQWQQSTSVTWSNLPSPAGMMESGQVVPTLKPLSLRLHDSQEVILAAGEQEAVVISPGGSLLATIELPAPPTHVLICEDFSNDGLTDLILVTSNGVYGFIQTRQPGAMFFSILVGCLIVVMAVIFVTQHMNAVKGKPRSSSGPR >OIV92659 pep chromosome:LupAngTanjil_v1.0:LG18:16067575:16074280:-1 gene:TanjilG_18010 transcript:OIV92659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPSGSSGGEASIVAELVEVEENATAKMQTLRVPPVITVNKSAAYAFYDLTYIRDVPYKPEEFFVKTRKCKPDASANVVKICERQAILRDEEGHIVENTQPICCPCGPRRRMPSSCGNFFDKLTKGKANTAHCVRFPGDWFHVFGIGRRTLGFSVRIQVKSGNEVSEVVVGPENRTVISDDKFLRVSLIGDFAGYTSIPSFEDFYLVVPRQSGPAQPQDLGRNISMWMLLERVRFTLDGIECNKIGVSYEAFNQQPNFCSSPFWSCLHNQLWNFREADLNRISRNQVPLYGLEGRFERINQHPGAGSYSFSIGITEVLNTNILIELSATDVEYVYQRSPGKILSVSIPTFEALTQFGVATITTKNIGEVEASYSLTCFSRKQVVVLALKYCGVLQFNCSKDVTLMEEQYLIMKPNEITTRAFKIYPNTDQASKYFCAAILKDSDYGEVDRAECQFTTTGMVLDNGTQKGVFDPLYDWWENIFGADEQTFVDKRNLEIVRGHHHTHSNKHHKHEHRHPKHGAQYRRRISHEHKHRHSERDSNHFDYLHHVQKEKHKHSHKKNIDIVQHHGDNPGHYKHRKEGDTSKGLKLMEQKHHKERQEKYDKHNEFLLYEPEDG >OIV93448 pep chromosome:LupAngTanjil_v1.0:LG18:5376761:5413268:1 gene:TanjilG_10080 transcript:OIV93448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEEIVEKALKSLGKGFDLASDFRLKFCKGEERLVVLNETERREIMVPGFGPVRDVSIDIKCDKGDRIRYQSDILTFTQMSELFNQKSSIPGKIPSGCFNAVFGFDEGSWASDAAKTKYLGLDGYFITLFNIHIDRYPLILSKQVLEAVPSSWDPPALARFIEKFGTHIIVGLSIGGKDLVLVKQDVSSNLGPSELKNHLDNLGDQLFNGTCNFVSKTKDQRYKAPQAFDVFGSQIVAFNSSTSICSKDGITVICLKRGGNTQVRNHCEWILTVPNKPDAVDYSFIPITSLLKAAPGRGFLSHAINLYLRYKPPMSDLAYFLDFQGHKLWAPIHNDLPISPTTNRTTTSPSLTFNFMGPKLYVNTAQVIVGKRPITGMRLFLEGMKCNRLAIHLQHLLNTPMMLNNKIEDTTIWSEENSDVNFFEAINGKKFSHICTAPVKYNPSWTYDHKNVAFMVTGAQLDVKKHDSKSVLQLRLMFSKVSNSIVVKSIWTQGSSGVSQKSGIFSAIISTSISSDKDQKNHDVVVDSSVFPTGPPVPVQTQKLLKFVDTSQLCKGPQDSPGHWLATGARLILDKGKIIGLPLKAKLFLQQYFFSLKLKIEPEGFARVVERMPQVVSLHQNVIMNPVEFLLGKGISANDVASMVIKCPQLVALRVELVKINYYFFKSEMGRSIPELLEFPEYFLYSLESRIKLRYQRLKTKGIRCSLNWMLNCSDQRFEERLQGNYIETESAGPSFCMGRKLELPGNVIVSDDEEESDDEVLYRRIVSL >OIV92948 pep chromosome:LupAngTanjil_v1.0:LG18:13571304:13573443:-1 gene:TanjilG_20610 transcript:OIV92948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSLSISSPSHTSTSLSSYSLIRTLFSKPPISSFNPNPKTPSPRPRCFLATSPNNSSKISNFLPNFPKPTITFQLSSSQAPGTATRGGDTDAMGLLLRERIVFLGSSIDDFVADSIISQLLLLDAQDPTKDIRLFINSTGGSLSGTMAIYDAVQLVRADVSTVALGIAASTASIILGGGTKGKRFAMPNTRIMIHQPLGGASGQAIDVEIQAKEVMHNKNNITNIISGFTGRSFEQVQKDIDRDKYMSPIEAVEYGIIDGVIDRDSIIPLMPVPERVKSTLNYEEISKDPMKFLTPEIPDDEIY >OIV93552 pep chromosome:LupAngTanjil_v1.0:LG18:629754:630833:1 gene:TanjilG_04784 transcript:OIV93552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKNRGITDNLLGGLYCYLRKCLFGVLSVGPVPSHIAFIMDGNRRYAKKRNLAEGGGHRAGFAALLSILRYCYELGVKYVSVYAFSIDNFRRKPKDVQYLMELMREKIDELLQQESIINEYGVRLLFIGKLQLLTEPVRVAVEKAMRVTAHNNQRVLIICIAYTSTDEIARAVQESCKDKSDEIQASKVANVSNGAIPRTSLGLNNNGIDLHFQDSCKDYLSATKDCSTVLEGVEVAREKDVFAHNAKKHGNYSEAEITTCNELVEITEESKYKEDEIPSIKLVDIEKNIYMAVAPDPDILIRTSGEARLSNFLLWQTSACPLYSPAALWPEIGLRHLVWAVLNFQRHHFYLEKKKKQF >OIV93179 pep chromosome:LupAngTanjil_v1.0:LG18:10829304:10833394:-1 gene:TanjilG_20841 transcript:OIV93179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQGEEFGAHWVGITLAKALGHAPILTRQPWSELHSHTPSLTRQPRSELHDHAPSLARQPRNNLTLASKEILSRILPQDCQALGGSLMTARLKDSRTYKNGNGFSAHGDAELAKEALGSKSSISRKRKSSILGSKSKHLLHLNITLQEAQGLLRPPPNHVASIVVIEGFEFEEYEDAPVLGKPTIFTTGNDGENIRWAQCEYCLKWRKLPASALLPSKWTCPDNSWDPERSSCSSAQELTAEELENLLSCKSAVSKKKKATKKDLDNIEASEGLDTLSNLAILGEDETLPAYEQAIKKHPRHKPGCSCIVCIQPPNGKVPQHKQTCTCNVCLTVQCSFRTLMLRRETKQSEREAETIQNNQQKQQPQALPSSVILIDDNSLPYSNNMGVSSPNENREGTDGNPNQNREGGDGSNDDPDQIKPTALPFKGQIDLNIQPAREEELSPCSDFGGMMKALHGATERYLRQQSMLNSGCADSSSSQSQRVGDGMSEVKHSNGVALGNNNHNADQEHAQAL >OIV93043 pep chromosome:LupAngTanjil_v1.0:LG18:12565450:12566022:-1 gene:TanjilG_20705 transcript:OIV93043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPRYKVKNNILGADVSIEELNIKHELEIEIERYLEEEIKESIYHLALKLHWIYQKRKERKNKEVSKSKALSEVNISIRIEGGTKIEIKEINKQVAERGYSRSSSRPENVKVANVFRNNKFDWVKNLRGGSRPVSVNMLGCRLKSKNRLLSTDPNVFSKCDKFISPSGKKTWQGKRRVCVEKQRQLGWKV >OIV93508 pep chromosome:LupAngTanjil_v1.0:LG18:2804395:2804742:-1 gene:TanjilG_21761 transcript:OIV93508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIHVLVMGLKDGFFRTELPKYNDLIMEDLHSKAQQFINLEETHTTRSPDEYHTHNLSRETKRPKFHDHLRDDLPKIPCKPKYTTYTHLNASRSIILQEVMAAHLGMVTGTGGHR >OIV93533 pep chromosome:LupAngTanjil_v1.0:LG18:2208200:2208808:1 gene:TanjilG_28690 transcript:OIV93533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVHDDCKLRFQELKSKRSYRFILFKIEQQQVVVDKLGEPTESYDDFMASFPADECRYAVYDFDFTTEENCQKSKIYFIAWSPDTSRVRMKMVYASSKDRFKRELDGIQVELQATDPSEMSFDIVKGRAL >OIV93383 pep chromosome:LupAngTanjil_v1.0:LG18:7040500:7043400:-1 gene:TanjilG_24103 transcript:OIV93383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCLNSKVKRQYSGKEDPRFLASQTAFTVSEVEALFELYKSISSSVVDDGLISKEEFQLAIFRNRKIENIFANRIFDLFDVKKKGVIDFDDFVRSLNVFHPTASLEDKIDFSFKLYDLDNSGFIERQEVKQMLIALLCESEMKLADEVIETIIDKTFVDADLNQDGKIDIMEWRNFVSKTPSMLKIMTLPYLRDITTTFPSFVFNSNVDELAS >OIV92746 pep chromosome:LupAngTanjil_v1.0:LG18:14109606:14114978:-1 gene:TanjilG_00880 transcript:OIV92746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESSCSSISPNTNHEEHEEEEALKELIHKTKSIQFLGRNTPIILQNDNGPCPLLAICNALLLRNNLNLNPDIAEVSQEKLLSLVAERLIDSNTNVNNKDAGYVENQQQNIADAIDLLPRLATGIDVNIKFRRIGDFEFTRECAIFDLLDIPLCHGWIVDPQDYDTANALGSKSYNALMVELVSLETLNMEVQHKNDLEEDCVDFVAATTAVLGVPSPSLSKTRSFDDSLDSVSDEIPRKGDLEEEEKLLRALKLSENDSKVSVSDAIVGHADGGAMSVSIDEGMHNKQVIPVDSGDKLEKSTGAGINGFREATEPSISEDCPDSVKNMDDQISSASILGEATSSTLKTNAINDQQSPNMGPEESIDQNDVTENVGLDSSVQNESAAILSPEEHSVSLSESCADVSGRGGKIHDQPTLTTSDCAVVDDSQRSISNTNSDSSGVRFHQTDVSGALPSTVDDGEPIYEGEECVLDTRTQNVEDHEPVYEGEVVLAEQAEKGTLAASDLRPKDEITPQQGELIKTFLRNNASQLTFYGLFCLQDGLKEREICVFFRNNHFSTMFKFEGELYLLATDQGYINQPDLVWEKLNEVNGDTLFMTSNFKEFKVEENHESSTWNENNVMTSTADYLASIDSASQADLDINSDLQLAIALQQQEFEQQPPPPRNPQQSSISGSSRLVTGPQVARNTGRHSSSSSSPKTDGKSKEKCTVM >OIV93563 pep chromosome:LupAngTanjil_v1.0:LG18:702256:707301:-1 gene:TanjilG_04795 transcript:OIV93563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKNDNANKKKKMKKGNSVIPPTTAANVISDPRFSSLHTDPRFRDAPKHKTKVAIDSRFDRIFTHKSFLPSSAPVDKRGKPKNDAASRHGSLRHYYKMEEEEEEKKVKDESESEEDEEEEELEQISDEDEEEDEILKVDRLKPESDSEDESEELEDEEESATDTDTDEDEEASEEETQEEVPNIEKETHRLAVVNMDWRYVKAVDLYVLLSSFVPSNGLIKSVAVYPSEFGIQRMKEEEVRGPVGLFDDENENSDEESSDDDIDNEKLRAYEKSRMRYYYAVVECDSITTADHIYKECDGLEFIQSSNALDLRFIPDDMEIKQPPRDVATEAPANYECKDFYSRALQHSKVNLSWDEDEPLRAKTLQRKFTDEQLDQLELKEFLTSDESESDDGDDNNEMDDVPDKKALKREKYRALLQSGDGSDEDSEHDDDAQDMEVTFNTGLEDISKHIMEKKDKNAETVWEAYLRKKREKKRARKNKSKFSSSSSSDDDSDTVPEAAEEADDFFVEEPSVKKRKKAEGKRDGENKHQDMDGMDKASKEELELLLADDKGTDTGVKGYNLKFKKGKGKKGENVIDEGKIPSSDFEDPRFAALLSPDFVIDPTDPQFKRSAVYARQIAQKQQMGHSEIPIEKEHVKPSRGMQLSSNDSDMVNKGEEGDALKSKKDKHELSYLVKSIKMKSKQAQLSSDGKTRKDGKSQFKGMGKKRH >OIV93483 pep chromosome:LupAngTanjil_v1.0:LG18:3036306:3052803:-1 gene:TanjilG_11065 transcript:OIV93483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKARVYTDINVLRPKEYWDYESLTVQWGDQDDYEVVRKVGRGKYSEVFEGINVNSNERCVIKILKPVKKKKIKREIKILQNLCGGSNIVKLLDIVRDQHSKTPSLIFEHVNSTDFKVLYPTLTDYDIRYYIYELLKALDYCHSQGIMHRDVKPHNVMIDHELRKLRLIDWGLAEFYHPGQEYNVRVASRYFKGPELLVDLQDYDYSLDMWSLGCMFAGMIFRKEPFFYGHDNHDQLVKIAKVLGTDELNAYLNKYHLELDPQLDAQVGRHSRKPWSKFISADNQHLVSPEAIDFLDKLLRYDHQDRLTAREAMAHPYFSQVRAAESSRTRT >OIV93187 pep chromosome:LupAngTanjil_v1.0:LG18:10726599:10738492:-1 gene:TanjilG_20849 transcript:OIV93187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGENFDFPELFPVVDVDDDDDKTCIDLQMIMKILDEDPGSVEECSPVDSSLKNVSPGESGTNSAFQLQNGPQVLEEPIFSGFDFVDSDMGNSPVFSVVSDSGARVSVGLSVSAANSVVYHKHENQEPPSQDSSSPSAFSGSYRDSLSLGGSDDISYTGRMGGSKSEIPAYSVETSFPEAYSNNISVHGDDLHQSTWKGENKSQFIHMGEDAPSENASHSSIIDNGDVNFEDFVKDTIGGVSGHQENESCTSFEISVMDANTPLHVATSTDSAFCQGSHVPSDASDYYLSSKCYQGTGGSPFVADSSLAFLPGGISSQLWTNDGTMSNTKAENVEFYGDMSHVSSGMYSSSTEGASFQDSQLMLTDSGFPSFFSDNVIFEDKASVPLSACASYMSYGDQSLCLKDERNELSTLYQNSLQNGDAKFNVGQDMKQLCGAFPSMEYQRYEFKPEDNHTVTSSLANHKQDVFNGTVRKFQGNMGDMNLKAVDKPLPYAQASIVSEKQFGCVKREGGGRTIQLKHISSHLSKGSAEIIRVEDDSDVCIIEDISHPVPTRQYADIGNSLGISHSSSYVDSRPSMAGSTRVKACDERNILRVALQDLSQPKSEVSPPDGLLAVPLLRHQRIALSWMIKKETSSLYCSGGILADDQGLGKTVSTISLILTEKPPTHSVCTNAPKGELETLNLDVDDDMLSLNDGVMKECRYPVKSTDLLMQAKGRPSGGTLVVCPTSVLRQWAEELRNKVTSQANLSVLVFHGSNRTKDPYEVAKYDVVVTTYSIVGMEVPKQPLVDKDEEKGGFEDLAVPSRKRKEPSNSNRNGKKGMSNTVLEAVARPLAKVAWFRVVLDEAQSIKNYKTQVARACWGLRAKRRWCLSGTPIQNSIDDLYSYFRFLRYDPYAVHSSFCFMIKNPINRNPTKGYRKLQAVLKTIMLRRTKGTLLDGEPIISLPPKSVELKKVDFSREERDFYSRLEADSRAQFQEYANAGTVKQNYVNILLMLLRLRQACDHPLLVNHYNSDPLWRSSVEMAKKIPQEKQISLLNCLEASLALCGICNDPPEDAVVSVCGHVFCNQCISEHLTGDDNQCPATNCRTGLSTSKVFSKDTLKSCLSEKGCDNLPGCSGSKVEESEPWFQSQPYDSSKIKAALEVLQSLCKAQRYTPKSISAHSTTGENIDCSVNSCDSNIGRSFKNFPENHNLSLDRSSKESTTVLGEKAIVFSQWTRMLDLLEECLKKFSIQYRRLDGTMSVVARDKAVKDFNTLPEVSVMIMSLKAASLGLNMVAACHVLMLDLWWNPTTEDQAIDRAHRIGQTRPVTVLRLTVRDTVEDRILALQQKKRKMVASAFGEDGTGGTQSRLTVDDLKYLFMM >OIV93486 pep chromosome:LupAngTanjil_v1.0:LG18:3156690:3157733:1 gene:TanjilG_11068 transcript:OIV93486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKVVRSCDKEYMRVAMLKHEETFKEQVYELHRLYCIQKIMMKNMEARRDNEVSEREWYFKNAISLTQNAHHEGAKEKPKMKFENLDEAEIELTLGPSSYNCRKKLETPLASDSHSLYSSSNGSTHIKKTRCRFYKSNHTTMEESSGSMRGLVQLPDSTSGRIRNSFGIEEQLEQERLKQSPWLLQVLNLNMT >OIV93322 pep chromosome:LupAngTanjil_v1.0:LG18:8572892:8578862:-1 gene:TanjilG_23094 transcript:OIV93322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTILRFSFIRKTKEQQPYVNHDLNPVLLVPGIGGSMLNAVDDQNGTEERVWVRFLSAEYKLKTKLWSRYDPSTGKTESLDPNTRITVPEDRNGLYALDILDPDLLIGYESVYYFHDLIIQMLKWGYQEGKTLFGFGYDFRQSNRLQETMDRLAAKLESVYNAAGGKKIDIISAPGMVNSTLLNGMSFVEGWEQNFFISKWSMHQLLIECPSLYELMGSPNFNWQHIPLLELWHEKHDSDGKSHIILESYPPGDSIEILKEALANNTVNYDGKDLPLPFNLEIMKWANKTWEILSSAKLPSEVKFYNIYGTSLGTAHSVCYGNEGKPVPDLQQLHSLQAKCIYVDGDGTVPIESAKADGLNAEARVGIPGEHRGILCEPHLFRILKHWLKAGDPDPYYNPLNDYVVLPTAFEMESFKEKGLEVASLKEEWEIISKDQDGQSNNTAGITSLSSMSVSHGAADQSYSEAHATVIVHPGKDGKHHVQLNALAVSVDAS >OIV92611 pep chromosome:LupAngTanjil_v1.0:LG18:16397329:16398805:-1 gene:TanjilG_17962 transcript:OIV92611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FSILIHSFWVLWLVQIFNLGLQLLWYFLHIILSLWYSILAVANFIESYLISYGVFNKYKSLHLENLRYLAIVIESEDAHQTSKVVQLLQWLDSFGVKNVYLYDMNGVLKKSKEAIFQKLKNAKSIEETNEVVTKHVPDHMTLEFVSYVDGKEAVAKAANLIFRENLNRHNLGGVEFSETHLNEALQIVGCKGPEPDLLLVYGPVRCHLGFPAWRMRYTEIV >OIV93130 pep chromosome:LupAngTanjil_v1.0:LG18:11418438:11420009:-1 gene:TanjilG_20792 transcript:OIV93130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVQKVKDEALQMLGLFQVLPRLVVFDLDYTLWPFYCECRSKRDTPSLFPHSRGILHALKDKGIDAAIASKSPTPDIAKTFLDKLSITSMFVSQEIFYTGTHKTDHFQKIHVKTGVPFNSMLFFDDDNNNIRGVSEMGVTSILVRKGVTLGAFREGLTKFYQNWNASKGKGKRHT >OIV93128 pep chromosome:LupAngTanjil_v1.0:LG18:11439928:11440695:-1 gene:TanjilG_20790 transcript:OIV93128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDQLHHHTNTTNPSSTTRLKLFGFNVHQHTNDQLDETSDSTPKPTSPDSTGGIEGDTAGISTSSGDRKYECQYCCREFANSQALGGHQNAHKKERQQLKRAQLQATRNAAVSFARNPIISAFAPPPHLLAPPGSMMVPAGTPSWVYMQPRAAPPPFHVSVSHGCVFPNSNNGVSSNSNCNGGNYSIVTGARSTGTGVLRYGGGVEDSSSTFSTMGSQVQGRAHFSRIDGLSKGEVGPSFDDGFGLDLHLSLAPP >OIV92645 pep chromosome:LupAngTanjil_v1.0:LG18:16180467:16183162:1 gene:TanjilG_17996 transcript:OIV92645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKHHFREFTKSFESQIDPEKSEELKRTKTDIENNLTRVLKLIQNEEQSKRDGNLKETELVGLIEDFYNQYQSLYVLCGRLTGEYVKVIPRVERLSSASSSSSSESDYFSSEEVVIDTVKQELKLVNNNTTEVNDLNHKLFSTEREHSSNLANNTNEVVKTQAPLNNKANELEDRLISRMQEVESLNRQKRNLELQVESQAYEVKQLGAENTELELLLKETKRAVSVLKGKLKSNEDKAISKNVELMAQISKLELEAKSLRNQKGKMEEKLKRNRNEALTQKKDLTEKLDSVCKHNKELEAQLEREREQVSQCLVQMEKLKEKLDEMTSIEHGLIKEKDFFLSRINDLELEMETRCSNQHDLEEQVRSAIHEISQLVDERKALQDGKYELERAITQKKEEISAILSKHESDKNEAFKHAMALTEEVEIMKVELDTLQEQKSMLELQNEQRKNEYSESLAKMETRCSNQHDLEEKVRSVIHEISQLVVERKALQDEKYELERAMTQKREEISATLSKHESDKNETSMYTMALTEEVESMRIELNTLQEQKSMLELQNKQRQHEYSESLAKMENLIAELETKEETIKKLTGTIEQISVENKEAKIWSKMNQRLIERKIEELAEKFKRKMEDNIRLLHQRIHIAEQLNIENKDSCKKTKQRYEQENKTFQEKIAFYENELRTIKVSDQGELDSAARKVEEHIDYVLTLVSKMLCEVQFAKDWIKKKNGEMKQLKDKVDRLTALLNDKEAKELLLREKLWELEASVSKEGGEKLNLVKAVNQLEKKVRKLEKNLKQRDEELVGLGEKKREAIRQLCILAEFHRESYNHLKGQITKTKINNRT >OIV93691 pep chromosome:LupAngTanjil_v1.0:LG18:421884:427116:1 gene:TanjilG_16542 transcript:OIV93691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILLQSQSSIEKSVELDHHWVEFDDVRYHVQVSIKNPHILLLSVSLPTPSSETIFIRGLPFGAIEAIKAAYGGLVQILDPPRDAFNLTLKINLSKLPANQEQKHAFLVKVASIREVVLGAPLRVILKHLASRTVAPNVDPLVALVHRPKESFFVVPQADKVTVVYPMRFSDSIDIVLATSFLQEFVEARRTAGLNNTPLCSWSHTSPLELKGVSSDALSANAGFVTFVIFPRHVEGQKLDRTVWSLSTFHAYVSYHVKCSEGFMHTRMRRRVESLIQALDRAKPDLEDAKKTTSQNRSFKRLVRYLHA >OIV93509 pep chromosome:LupAngTanjil_v1.0:LG18:2810442:2814508:1 gene:TanjilG_21762 transcript:OIV93509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASGGVSGGVTVVGSDAPSEYHIAPTKENSAPGGGSTAPIPATQGIVPPPLTAQLTEHAAGTTVPEKKKRGRPRKYAQDGSVLSPKPISSAAPMPPVIDFTAEKRGKVKPSSVSKAKFEIVNLGEWVACSVGANFTPHIINVNAGEDVTMKVISFSQQGRRTICILSANGFISSVTLRQPDSSGGTLTYEGRFEILSLSGSFMPNDNGGTRSRSGGMSVCLASPDGRVVGGGVAGLLVAASPVQVVVGSFLAGNQHDQRPKKQKYEVISTVTPAAIVPSSTTDPIHFLSSTPSFRGDNWSAMPADATNKQTDVNATLPGG >OIV93512 pep chromosome:LupAngTanjil_v1.0:LG18:2858747:2861017:1 gene:TanjilG_21765 transcript:OIV93512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQLKFHEQKLLKKVNFLEWKREHGQRENMVMQRYHITGRDDYKNYNIGVIPTRQSITLCERLTVSSFCRRRLSTVLVRLKFAEHLKEAVTYIEQGHIRVGPQTVTDPAFTVTRNMEDFITWVDSSKIKRKVLQYNDKLDDYDLMN >OIV93145 pep chromosome:LupAngTanjil_v1.0:LG18:11255065:11257021:1 gene:TanjilG_20807 transcript:OIV93145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASALLANNHSERNWSQHRGGGAEFMGKVPFSNSNPNPKFSNKRTQSPSDDASSINRRSNDIVSYPQHVTFNISSYTKKEIVELKNRFTLELEQIRQLNSRIENGEFQIRPTPCYNGSSGKKSAISKKVSGNKRPLPMNSAKELKRSHSEIANLMKNCSQVLQKLMRHKFGWIFNVPVDVVGLGLHDYYDIIKKPMDLGTVKLNLAKNVYSSPSEFAADVRLTFNNALKYNPKGHDVYALAEQFLARFEELYGPLNEKLEREDQVFEEELQGSSWNNHVEPERVERVERVERVERVERVERVKKKENERENLGPPPKLQEPVSAPASSSNPPPLLQSPVRTPSPMHASLVKPLKQPKPKARDLNKRDMTLEEKHRLGMGLQSLPSEKMEQVVQIIRKRNGDLEQDGDEIELDIEAVDTETLWELDRLVTNYKKMVSKIKRQALMGNYNNVASNKSNGELSSLEKIDTAMPIEAKKPKKMEAAVDEDVDIGDDIPMSNFPPVEIEKDKDVVGGHASSSSSSSGSSSTDSSSSGTNPVPSMWSRVSSSKNVEIYLNFVSVAGSDSGSSSGSDSEAENGH >OIV92682 pep chromosome:LupAngTanjil_v1.0:LG18:15900345:15909506:1 gene:TanjilG_18033 transcript:OIV92682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGICFSTTKVSGSNSNNTTTAAAVTGAVTTATANLNRKWSSNTQSDVTTTVVSIQKQQQPLTNGGQRQKVKEESHSQIHRTKEKNSSRRQSGHVPCGKRTNFGYDKDFDRRFSLGKLLGHGQFGYTYVGIDKARGDRVAVKRLEKNKMVFPIAVEDVKREVKILKELTGHENVVQFYNAFEDDSYVYIVMELCEGGELLDRILSKKDSRYTEKDAAVVVRQMLKVAAECHLHGLVHRDMKPENFLFRSSKDDSSLKATDFGLSDFIKPGKRFTDIVGSAYYVAPEVLKRKSGPESDVWSIGVITYILLCGRRPFWDKTEDGIFKEVIRNKPDFRRKPWPTISNAAKDFVKKLLVKDPRARLTAAQALSHPWVKEGGEALEIPIDISVLNNMRQFVKYSRLKQFALRALASTINEEELSDLKDQFGAIDVDKNGVISLEEMRQALAKDLPWKLKESRVLEILQAIDSNTDGLVDFTEFVAAALHVHQLEEHDSEKWQERSQAAFEKFDLDKDGYITVDELRVHTCLKGSIEPLLEEADIDKDGKISLPEFRKLLRTASIVSFPSSSTVPTRNSLSALERVPFQRVSFQYRDVSISGRGVSIRAQVTTDTEAAPVTKVVKESKKQDEGIVVNRFKPKDPYTGRCLLNTKITGDDAPGETWHMVFTTEGEVPYREGQSIGIVPDGIDKNGKPHKLRLYSIASSAIGDFGDSKTVSLCVKRLVYTNESGEVVKGVCSNFLCDLKPGNEVKITGPIGKEMLMPKDPNATIIMLATGTGIAPFRSFLWKIFFEKHDDYKFNGLAWLFLGVPTSSSLLYKEEFEKMKEKSPENFRLDFAVSREQTNQKGEKMYIQTRMAEYAEELWELLKKDNTFVYMCGLKGMEKGIDEIIAPLAAKEGIDWIEYKRQLKKAEQWNVEVY >OIV92627 pep chromosome:LupAngTanjil_v1.0:LG18:16286330:16286884:-1 gene:TanjilG_17978 transcript:OIV92627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSNSTQTGAATSTFRGVRKRKWGKWVSEIREPGTKTRIWLGSFDTPQMAASAYDVAALHFRGREAKLNFPHLSTTLPLPLSNNAHHIRMAAHEAALRHTAEHGGGACGGSSTSVGRVRLSPSQIQAINDSPLDSPNMWMQMPDTFMVDHHHSMMFVNDYDYDYAQFEVENEWEIMHNNSLWDP >OIV93469 pep chromosome:LupAngTanjil_v1.0:LG18:3792538:3792930:1 gene:TanjilG_18685 transcript:OIV93469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVDKRNKKRVTVREGERDRLKKLKGEENGAAAGGCGSDDTVVVPTDEEVEEFYAILRRMGVAVKYFDGKRRGGKELREVLEQAEVTVVDDHRDACCFSVNKKKSDEEVIANQVLDLNAVAPEAADVGDI >OIV92803 pep chromosome:LupAngTanjil_v1.0:LG18:14605519:14621888:-1 gene:TanjilG_00937 transcript:OIV92803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSQQSNLFDTASQPDTGNDDYTFLEFNTQGEDFDYPEFRDPIRSPVSWPTPSGSLAEPSERGGGAGSDHHSDASPVSTTPGSATKGRSGSSGGGGNSQMVDALVPGMSGLNFEDTGDDDNFEYGKGDFTEHACRYCGVSNPACVVRCNVPSCRKWFCNSRGNTSGSHIVNHLVRAKHKEVCLHKDSPLGETILECYNCGCRNVFLLGFISAKTESVVVLLCREPCLSVNALKDMNWDLSQWCPLIDDRCFLQWLVKVPSEQEQLRARQISAQQINKVEELWKTNPDASFEDLEKPGVDDEPQSVALKYEDAYQYQNVFAPLIKLEADYDKMMKESQSKDNVTIRWDIGLNKKRVAYFVFPKEDNELRLVPGDELRLRYSGDAAHPAWQSVGHVIKLTAQEEVALELRASQGVPVDVNHGFSVDFVWKSTSFDRMQGAMKNFAVDETSVFAVKSVLQRPISLIQGPPGTGKTVTSAALVYHMAKQGQGQVLVCAPSNVAVDQLAEKISATGLKVVRLCAKSREAVSSPVEHLTLHYQVRHLDTSDKSELHKLQMLKDEQGELSSSDEKKYKALKRATEREISQSADVICCTCVGAGDPRLANFRFRQVLIDESTQATEPECLIPLVLGVKQVVLVGDHCQLGPVIMCKKAARAGLAQSLFERLVLLGVKPIRLQVQYRMHPCLSEFPSNSFYEGTLQNGVTVNERQSSGIDFPWPVPNRPMLFYVQMGQEEISASGTSYINRTEAANVEKIVTTFLKSGVVPSQIGVITPYEGQRAYIVNHMSRNGSLRQQLYKEIEVASVDSFQGREKDYIILSCVRSNEHQGIGFLNDPRRLNVALTRARYGIVILGNPKVLSKQPLWNGLLTHYKEHECLVEGPLNNLKQSMVQFQKPKKIYNERRLFYGGGPGIAANDNFGSGATSDRRTGRGRGSYMPPGPANGTHKPGVHSAGYTLPKAPLHPFHGGPQSQPYAIPSRRAVHGPVGAVPHVPSPGSRGFGAGRGNTGAPIGNHLPHQQSTQQSVGSIGSTFNFPALENPNSQPSVGGPLSQPEFANNMPVQGASQSFRDQFSVPGMSQDFLGDDFKSQGSLVPYNVADFSTQASQSAYAVDYATQVAQGGFPGNFLNQNSQAGYLRFGSGNDFMSQDYMAHGSPGLFTQAGFADPLQDDATQGHFNVSNPNVLQSQMNSLYSQPFAHYNTQPLNLQASQQQPQGQSSQNQKTHYNG >OIV93210 pep chromosome:LupAngTanjil_v1.0:LG18:9790518:9792237:-1 gene:TanjilG_27389 transcript:OIV93210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYKRCLEVNEFEELSLNNAKRLESNSELLSLTDNPFVKSVICGHNEDGFYNIQWYDTIQADTASEALPAGDMNVQTSGHFGSWSSEDDAGSGATSLSSVSSDCLEFDIPQKSYAPLDDIYSAPDCSPRKPVPIGPNHQAVIPVWSRKVNKIPNLGIYSVDSPSSGLVPDHAAHDNEERLMGTSVLPMPDSSLHYSSKGYKGVDGKSECNCLDQGSIRCVRHHVREARENMRKTIGMENFINLGFCDMGEEIALKWSEEEEEVFHEVVYGNPASLGRNFWKHLSAAFPSRTSKEIVSFYFNVFILQRRAAQNRSRFLDIDSDNDECDTSNPQFYGCENSEDDSGIESLDDQDVRLENLDYSDEDDGNSDDGNEFAGYNMVSITEEGDEIDQRSSKCKSNSQNESWSNPIQHLDGTQGILNDDFSANDDSCTSFECDANIDMSCCSHGLQDASSAPQATEFICEQTPCMPDKLDLCSHEVEYIYLLEPENGEDCYPGYSTRLDTNIDLLPTSNLIEEFFGIGTPDRKT >OIV92968 pep chromosome:LupAngTanjil_v1.0:LG18:13409825:13410088:1 gene:TanjilG_20630 transcript:OIV92968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQQVRASLTCFIILFFLLIATTFLVPVHSSSTKIHTSKVINENQARELQHRWQRKTWMNHGSNRGHRKHLVNPTAKNPFQARELYV >OIV93724 pep chromosome:LupAngTanjil_v1.0:LG18:192218:197418:1 gene:TanjilG_16575 transcript:OIV93724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIVALSSSSPLPWRNLFSSLPSSTPPPPTTTTTSSTATPIPIPKYPPPHKTPPPPTSPNPGFKYHRHSKYHKPVLPGEVISSDGDRSVVIGESGLSYILPGAPFEFQFSYSETPKVKPLAIREPAFLPFAPPSMPRPWTGKAPLKSSKKKIPLFDSFNPPPPGMKGVKHVEMPGPFKLGTYPKEGKTREEILGEPLKKWEIGMLVRPLLSQNRQVNLGRDGLTHNMLELIHSHWKRCRVCKVRCKGVPTVDMNNVCHHIEEKTGGKIIHRHGGIVYLFRGRNYNYSTRPQYPVMLWKPAAPVYPKLIQDAPEGLTKAEADELRLKGKNLLPICKLAKNGVYISLVKDCRDAFEGSPLVKIDCDGLDPSDYKKLGAKLKELVPCVLLSFDDEKILMWRGKDWKPRYPKPPPLFSPVEAGITEDLDNTGEIDDDNQSKHDGYIMKTSSKMLSLWNRAIESEKALLLEEFNLGPDALLQKVEEFEGISQAIEHSYPAFISSGEDGVKHSIANFGDGTENSYSSDEPDAEDDDDDDYDEYSDDDYYDDDLFDTVDSSVQPGSLAVDYIVNRLKPREER >OIV93217 pep chromosome:LupAngTanjil_v1.0:LG18:9983103:9983808:1 gene:TanjilG_27396 transcript:OIV93217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHLLTPAPATATTALYSRAQKSSLHSWKNGYFPTPRPRVCCVGHQYQHQISSISSQDHVPPPSEDLDQQPLCRRALIGLSGALVLGLSLSYEQSAIAAGRPPPPPPKEKKDPNVSGVQAKVTASKRRKEAMKEAVAKLREKGKTIDEISLPASSE >OIV93229 pep chromosome:LupAngTanjil_v1.0:LG18:10144790:10149372:-1 gene:TanjilG_27408 transcript:OIV93229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENPSSSGSRRWNKAGIGMEDNMLAMLDAFDSQDAVDDSGSDDSKPSSNSPSKLVVAEEAWSPIIICQDNVTAVSEAGDKECGRPLDPSSFQLLIEELAGVLPQTQFELSNLKGSRKTIYKGLVKDCMKVICQLSQFQNELNKYELQRSSESQLPKYCHTALFLALPEVVKNTCVSMEKLLVMIMGLDLSKKKADIEGHTTRADGTRTPVMDIILDELAYSEDTVPLFLKIFTEPRWKLEIVVQYLWKYITKPSVRTRKTSGSTYDSTFHGALRCFSNKTGTKSIIKKMGADVVQFLLAHGFQAQLSILGEGNTYAGDQEGRANALADLCQMFISAFDSLRSTNQHMEILPIGKEALFTAATVILMKS >OIV92721 pep chromosome:LupAngTanjil_v1.0:LG18:15560650:15563123:1 gene:TanjilG_25153 transcript:OIV92721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTTNPHAALLASPGMGHLIPMVELGKRLLTHHGFDVTIFIVATDSSTTTSQILKQTSNLSSLNIVVLPPIDFSSKPGPNPSLGEQVVIAMIESLPLLHSSIMSMKLRPSTLIVDLFGTCAFPMARDFHMSTYVFFATSAWFSAVTIYVPVIDKEMEERHVYSHEPLLVPGCEPVRFEDTLEPFLSLVGPVYEGYLKASQDIVSSDGILINTWQDLEPASTKAVRESSILGRFTKGPVYPVGPLVRNIEPRQEHVAVLHWLDGQPAESVIYVSFGSGGTMSEDQMKELAWGLELSQQRFVWVVRPPMEDETSGAFFDVAKGGDNAAAYLPHGFIERTKETGLVVPLWAPQAEILGHPSTGGFVTHCGWNSVLESILNVVPMVAWPLYAEQKMNASLLEEQLGVAVRAKVAEEGGTGRVVRREEITMLIRRVMVDEEGVNMRKKLEELKKSGEVALSKFGSSYESLCQMTKVCELRVQGSKAKAQGA >OIV92583 pep chromosome:LupAngTanjil_v1.0:LG18:16486054:16487601:-1 gene:TanjilG_07574 transcript:OIV92583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSTLTSSLVTSNPKTSSFHGTPIPSRITSIKSIPQQNNNNNNTNNNIISMSLSTQSYDLNSFKFQPIKESTVSREMTRRYMTDMITYADTDVIVVGAGSAGLSCAYELSKNPNISVAIIEQSVSPGGGAWLGGQLFSAMVVRKPAHRFLDELEIEYDEQEDYVVIKHAALFTSTIMSKLLARPNVKLFNAVAAEDLIVKGGRVGGVVTNWALVSMNHDTQSCMDPNVMEAKVVVSSCGHDGPFGATGVKRLRSIGMIESVPGMKALDMNAAEDAIVKLTREIVPGMIVTGMEVAEIDGSPRMGPTFGAMMISGQKAAHLALKALGKNNAIDGTYEVGVEAPDLVLASAETQDIVDA >OIV93110 pep chromosome:LupAngTanjil_v1.0:LG18:11601138:11601773:-1 gene:TanjilG_20772 transcript:OIV93110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFFTKTKSIKLRSHLDKYLIADDDCETVRQSRDGSTKRAKWTVETVEDVTNNIHRVRLKSCNGKYLTATDTPFLLGMTGNRVLQTNFENGLERKFDWEPVRDGFQVKLRSWSGKFLRANGGTPPWRNSVTHDDPFGSATQDWVLWDVEGVKLKEENEEMNELLFSESVDSSFDSSGDVSDSESMFPISVFSLNSVSSKRRNFTVQVRVLL >OIV92698 pep chromosome:LupAngTanjil_v1.0:LG18:15743160:15744422:1 gene:TanjilG_18049 transcript:OIV92698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSFKFFFFFFSIIAASGVLFGAESKTYEGDIEALKDLKNALEPSLVNPGSCLSSWDFTFDPCDNLFSDKFTCGFRCDIVVSGFNRVTELSLDSAGYTGLLSSTLNLPYLQTLDISNNYFSGQIPESLSNLTRLSRLGLSMNSFSGEIPTSIGTLFNLQELYLDNNNLEGTIPISFNGLVSLKRLELQHNNLNGYLPDLSSLTNLFYLDISDNAVTGALPAALPVSLVQVSVRNNNLSGTLTSESFKNLMYLQVVDLSSNQMSGSVPFVLFELPSLQQLTLSSNQFSSLEVPSYGYQSGLIAVDLSNNQLQGFLPSFMAFMPKLSSLSLENNVFSGLIPTQFALKTVFPEIGVSPFSRLLLGGNYLLGGVPRPLLGLKPESANVSLGDNCLYRCPLRFFFCQGGEQKSWEECKRFIPGIP >OIV93001 pep chromosome:LupAngTanjil_v1.0:LG18:13070960:13071835:1 gene:TanjilG_20663 transcript:OIV93001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCVAMLSPLLIEELCRKCKCHEPAPCRSFSECWSSCSKADGNNAIPPMKLIPLRYALWPGTSSRISFEAMCSA >OIV92976 pep chromosome:LupAngTanjil_v1.0:LG18:13319437:13328434:1 gene:TanjilG_20638 transcript:OIV92976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTVYSRTLLDAKNEQELLSGLKKEVEAGSLPPNVAAGMEELYNNYKNAVFQSGDPKANETVLSNMTVFLDLIFLDVTDPFVFQPHHKAKRKPFDYYMFGQNYIRPLVDYRNSYVGNMSLFIEIEEKLKKGHNIIFMSNHQTEADPAIISLLLEKQLPYIAENMMYVAGDRVVTDPVCKPFSIGRNLICVYSKKHMLDDPELIEMKRKANTQSLKEMAVLLRNLICVYSKKHMLDDPELIEMKRKANTQSLKEMAVLLRGGSQLIWIAPSGGRDRQDPHTGEWAPAPFDASSVDNMRRLVDHSGPPGHVYPLAISCHEIMPPPMKVEKEIGEKRLISFHGTGLSVAPEISFSETTAACESPEKAKESYSKALYDSVNEQYNVLKSAIRGKKGLEASTPKVSLSQPWN >OIV92589 pep chromosome:LupAngTanjil_v1.0:LG18:16534023:16534895:1 gene:TanjilG_07580 transcript:OIV92589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPTSVLEDLKKSNNGPDEEIYFNEEERRFPNRNSVQTNIERSLDNQRERSMALNSEGLEGLIPRARLLLTLGGTFFLAFWPFILLTVASFSALYFYFGPIFIHDGSNMHISPPQYVDPYELLEDERISQIARPLN >OIV92876 pep chromosome:LupAngTanjil_v1.0:LG18:15103796:15104974:-1 gene:TanjilG_01010 transcript:OIV92876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDVDRRMTGLNPAHIAGLRRLSVRAASVSSSSLRPRNGVVSFSSLVDKVITHLRNKGIHVQQGLTDVEFARAEAEFGFAFPPDLRAVLAAGLPIGPGFPDWRAAGERLHLRSSLDFPIAAISFQIARNAFWSKSWGPRPSEPEKALRVARNALKRAPLLIPIFNHCYIPCNPSLAGNPVFYIDENRIFCCGFDLSDFFQRESLFRSTESDPKMMKQRSVSEKSSVSSAVFSRRSLDAGGRTPRWVEFWTDAATDRRKRNSMSSASSSPERFFEMPRSETPSWVEKYIGQIGSVLKAGGWNESDVTEIVSVSASGFFEEEMVVLDNQAMLDALLLKTDRYSDSLRKAGWSSEEVSEALGFDFRPEKERKLPNNLSPQLMERIEKLAHSVSRS >OIV93576 pep chromosome:LupAngTanjil_v1.0:LG18:809428:810645:-1 gene:TanjilG_04808 transcript:OIV93576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKCDHTVWPGILGKPDLGTTGFELKRGTTNSFDAPTAWSGRFWARTGCNFDDSGHGTCKTGDCNSGEVNCNGNGAAPPATLAEFTLGSGSQQDYYDVSLVDGYNVPMLVEASGGSGACATTGCGADMNRRCPSELRVDGGDACQSACGAFGKDEYCCGGAFNSPSVCKPSVYSEIFKSACPKSYSYAFDDASSTFTCAEADYTITFCPSSFPSLKSLIESGPGSSMEEAAVTTSSWIANLATGESIITKPFSLSIYALFFVALTFIFFPI >OIV93326 pep chromosome:LupAngTanjil_v1.0:LG18:8629702:8632926:-1 gene:TanjilG_23098 transcript:OIV93326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTNLSPRRRSSYAAYSCAVISAILLLLSLSLLYSRLSPSNYPLHNTHSHTTTTTNNDDNNNDDLNTNDPIDEFDIIDDQQQQQIDDYALHQLSAHYFFDPLTSSIRSSFKKSPSIHQSRSADVYSGEDGYNSALKLILGLSAEDSRSKTAFASDDIPVDDDVRRKSVEVRGIEDALLLKDSPLRELWGDWFDKKSVFLRKDRMFRSNFDVLNPLHNPMLQDPDAIGVAGFTRGDRIVQKLWLHEFKRVPFHSKKKVSSKKGNVDSTTLPVVAAERRTLKDSYVSKKNSVSGGLNLMSETRNEIRDHIYADGSNTWGYYPGLPLHLRFKEFMDAFFRLNKCSMRVFMVWNSPPWMFSVRHQRGIESLLSHHPDACVVVFSETIELDFFKDSFVKDGYKVAVVMPNLDELLKGTPAHIFTSVWFEWRKTKLYSTHYSELVRLAALYMYGGIYLDSDIIVLKPISALNNSVGMEDHVAGSALNGAVMAFERHSPFIKKCLEEFYTTYDDTKLRWNGADLLTRVANKFLGEENNSIKQLKLKVEPSYIFFPISSGNITGYFTAPATKTEKAQQDVLLEKILQESLTFHFWNSLTSSLIPEPDSLVARLMNQACIRCLELL >OIV92608 pep chromosome:LupAngTanjil_v1.0:LG18:16413467:16414575:1 gene:TanjilG_17959 transcript:OIV92608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECFVPLRCVALALAIAMFIATPAYAQISTPCNASVLNTFFSPCSSFLTNSSANSTSSPTGECCNSIKSLTSGGMDCLCLVVTANIPFNIPINRTLAISLPRACKLPGVPVQCKTSAGSPIPAPGPASLGTSLSPVSAPSLSPEGSSVLPSPVTPSLAPQSDTATPPFSTPSSATTRSGRSDLTPSSANSSYTLIPSVVLIALAFAVLKHY >OIV92662 pep chromosome:LupAngTanjil_v1.0:LG18:16046202:16048385:-1 gene:TanjilG_18013 transcript:OIV92662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGILFSSLTHSPTPTSVGLEELPESCVANILSYMDPPQICQLATLNRTFRAASFADFVWESKLPTNYDVIVRKFVNNFPRNLGKRAIYAALCRLNTFDDGNKKFWVDKSTGKVCLCISSKGLSITGVDDRRYWNRIPTDQSRFHTVAYLQQIWWFEVDGEVEFPFPAGTYSLFFRVHLGRASKQFGRRVCNTEHVQGWDKKPVRFQLWTSDGQYVASQCFLKEPGKWSFYHAGDFTVENGNASTKVKFSMTQIDCTHPKGGLCLDSVLIYPTEFRRVKSFLNRS >OIV93633 pep chromosome:LupAngTanjil_v1.0:LG18:1440406:1440791:1 gene:TanjilG_04865 transcript:OIV93633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTKSESYFVFMNYDPEYQRLLNDRTKRRTFELDLYLSTKHNEVLARTLEPGSYKKTCSLAIVDGFSVEINEDQVIYISFFSCFLL >OIV92964 pep chromosome:LupAngTanjil_v1.0:LG18:13444835:13453266:1 gene:TanjilG_20626 transcript:OIV92964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLADIGVSATINILTAFAFLLAFAILRIQPINDRIYFPKWYISGGRTSSRSNTGNFVGKFVNLNFRTYLTFLNWMPQALRMSETEIINHAGLDSAAFLRIYTLGLKIFVPVTVVALLVLIPVNVSSGTLFNLKKELVVSDIDKLSISNVPPKSIRFFVHIALEYLVTIWVCFLLYKEYNNVSTMRLQFFVSQRRRVEQFTVVVRNVHHTHGHSVSDSVDNFFQTNHPNHYIGHQAVYNANKFARLVRKRNGLQNWLDYYQLKSERHPDKKPTVKLGFWGLWGRKVDAVEYYRRIITELDKMMILERQKIIKDPKCILPVAFLSFNSRWGASVCAQTQQSKNPTLWLTDWAPEPRDVYWQNLAIPFVSLSIRKLIISSLVFALVFFYMIPIALVQSLANLEGLEKVVPFLRPVIELQFIKSFLQGFLPGLALKLFLYVLPSILMIMSKIEGHIALSTLDRKTSAKYYYFMLVNVFLGSIVTGTAFEQLHAFLHQSPTEIPRTIGVSIPMKATFFMTYIMVDGWAGIASEILRLKEMIIYHLKNMFIVKTERDREKAMDPGSVDYKETIPSLQLYFLLGIVYAVVSPVLLPFILIFFAFAYLVYRHQMILERQKIIKDPKCILPVAFLSFNSRWGASVCAQTQQSKNPTLWLTDWAPEPRDVYWQNLAIPFVSLSIRKLIISSLVFALVFFYMIPIALVQSLANLEGLEKVVPFLRPVIELQFIKSFLQGFLPGLALKLFLYVLPSILMIMSKIEGHIALSTLDRKTSAKYYYFMLVNVFLGSIVTGTAFEQLHAFLHQSPTEIPRTIGVSIPMKATFFMTYIMVDGWAGIASEILRLKEMIIYHLKNMFIVKTERDREKAMDPGSVDYKETIPSLQLYFLLGIVYAVVSPVLLPFILIFFAFAYLVYRHQIINVYNQQYESAAAFWPHVHGRIIASLIISQLLLLGLLSTKEAAKSTPLLVILPILTYAFHKYCQSRFEPAFRQYPLEEAMAKDLLEKTTEPELNIKAYLADAYLHPIFQSFEIEEHELIEVRVDKQQTQVTSPSSNDTTSPSSSHYHHHLPSPPPSPPHDDYPGFFHHVPHYGYQYQLEP >OIV93264 pep chromosome:LupAngTanjil_v1.0:LG18:9547934:9549723:-1 gene:TanjilG_23105 transcript:OIV93264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEVDPAFIQELEHRPELYPIESEGIPVIDLSPIIHKTIEDPSAIEGLVKEIGSACKEWGFFQVINHGVPLSLRQKLEEVSRMFFAQSLEDKRKVSRNETSATGYYDTEHTKNVRDWKEVFDFVAKEPTFLPVNSDEHDEQVTQWTNPSPQYPPNFSVIIQEYIEEVEKLAYKLLELIALSLGLEAKRFEEFFIKDQTSFIRLNHYPSCPYPHLALGVGRHKDPGALTILAQDEVEGLEVKHKADQKWIRVKPTQDAYIINVGDIIQVWSNDVYESVEHRVMVNSEKERFSIPFFFFPAHDSEIKPLEELINEQNLAKYRPYKWGKFLIHRRDTNFQKLNVENLQIYHYKIA >OIV93012 pep chromosome:LupAngTanjil_v1.0:LG18:12977912:12981571:1 gene:TanjilG_20674 transcript:OIV93012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGYDREELEDYGDYDDYEDEREEAGEEYEEEYEEEEPRKPTKEEVEYLGLRQKLKESIRKQMKKESSSSLSDSSGRKKKLPYDNYGSFFGPSQPVIAQRVIQESKSFLENQHLAPRPSNSSHINKNVIKVPNGGLKSSSHSQPPPKVSEKIVKARKVKDTRDYSFLLSDDAPAPKKEPPPRNVSVHISEGRSAQVAGKSKQPMINGGKLVRGSGEDRKPVSGAGHLPLKSGSNNKLSSVRKASNASADSRKQLGSISGNGPPGPRKPLGSNSGNGPADSRKQLGSNSGNGPGRPVLPKGLPSKVPVSTMANKSSTHGMKNPVNGVQKSLPSKVHSSVPKQSVDQRKDLRVQNKPKLVPRQQVAPPKAQINKPPLKQNPKHSESQDHRPRNKVVKRRADDDGGDDVDIRSMIRSMFNYNPNKFADDDDDDNMEAGFDEILREERRSAKIAKEEDEEQLRLIEMEEERERRRRMSKKRKVG >OIV92798 pep chromosome:LupAngTanjil_v1.0:LG18:14523783:14525907:1 gene:TanjilG_00932 transcript:OIV92798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYIHREREKERVPVPSKTVLVQKRLNHVVDRSSPSTSKPINGANDSSAANKASRSASNHSNSFNEESETDSDESDVSGSGEDDTSWISWFCNLRGNEFFCEVDDDYIRDDFNLSGLSTQVPYYDYALDLILDVVSSHGDTFREERNELIESAAKMLYGLMHARFILTSKGMAAMLDKYRNYDFGRCPRLYCNGQPCLPVDPTKWEHHALGCLLLVVKQFANMVLLTTCKEFNLNHGHLFLHTPCNMQLVIHFLQVLSFTCARNSVSFKFNEESA >OIV93191 pep chromosome:LupAngTanjil_v1.0:LG18:10653757:10658658:-1 gene:TanjilG_24406 transcript:OIV93191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQTGTGTGTGTTGTGTGTGGSHSLAFRVMRLCRPSFNIDPPLRLHPSDLFLGEDLLDHPINFDLPPLFTSLTTSDHDSDPNYRNRFLLHHSSDPMGLSSLLVLPQSFGAIYLGETFCSYISINNSSNFEVTEVVIKAEIQTERQRILLLDTSKSPVESIRAGGRYDFIVEHDVKELGPHTLVCTALYNDGDGERKYLPQFFKFIVANPLSVRTKVRVIKETTFLEACIENHTKSNLFMDQVDFEPAQHYSATILKGDGHHSEKDNPRETFKAPVLIRSSGGIYNYLYQLKSAPDGSSQTKVEGSNVLGKLQITWRTNLGEPGRLQTQHILGTPTTKKDIELQVVDVPSIINLQKPFMLKLSLTNQTDREMGPFEVSLSQNGSYAERVVVINGLQSMILSPVEALGSTNFHLNLIATKSGIQRITGITVFDTKEMKSYEPLPDLEIFVDIT >OIV93602 pep chromosome:LupAngTanjil_v1.0:LG18:1092219:1096306:1 gene:TanjilG_04834 transcript:OIV93602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLVALPPWIPEDDFLLKNAVEAGASLESLAKGAVRFSRKYSFTELRDRWYSLLYDPVVSAEAAAKMVSLELAGGCGGSSSLKAGGSGSKDVSGEGASYRREVDSVRRHYNATKKRFRRQVFFDTFDRALQDEMNIENDFVSNDECLGRKYMGGGGKVSKHVGVEFGGIDNEVSLVECKLNKDVNHMDNLSYENYSGLEAVGPSHSIGDVPLWKTIEDVAVPAMPVETSVENKNHSTEEGVTLPHDLKCESANEVLKASAATFGESVKHPSDSLLNLTSEDEHIFMDIDVKDATDKPCYDNVDSLLLSSPFEIQGTDATDIRESQKDTETKGSSASELEVVANPLSFEHGDLHSTTNPGYDTQSSVAAQSPHPELSEKFVICAFNTEDPNVPSDDGTDFSVVVPLPGTLKSQPIVKEVVRPGLVPENPRKNSTSVVSGQDNNFNINPCQSKLVRPTMMLGLDGRPKQKEIDAPDSAKVYVHQKEGEHNSLPKSEAKPLSLDPDGDDDDDNDIPYFSDVETLILEMDLSPSDQDTNANIEVLIYQLEETKRTIMRLEQCFQSFMHRAIASRGAVAVLFGRKLKEYIKKSEVVLGRATVEVKVDIDLGREGCANKISRRQALIKMEANGSFIIKNLGKGSIFLNGKEIASGQTRLLSASSFIQIRDMSFVFESYDKCVRKFLENVDKDRSI >OIV93539 pep chromosome:LupAngTanjil_v1.0:LG18:2164977:2172962:-1 gene:TanjilG_28696 transcript:OIV93539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCNFSKCTECCWSTEQPQDRPLPEVHVDENEDHNEGSGLPPFHEFTFDQIKNATSGFSVENIVSEHGEKAPNVVYKGKLENQMRIAVKWFNRSAWPDVQQFLGEARAVGQLRNPRLANLLGCCCEGEERLLVAEYMPNDTLAKHLFHWETQPMKWAMRLRVALHLAQALDYCTNKGRALYHDLNAYRVLFDDEYNPRLSCFGLMKNSRDGKSYSTNLAFTPPEYLRTGRVTPESVTYSFGTLLLDLLSGKHIPPSHALDLIRDKNLQMLTDSCLEGQTNDEGTELVRLASQCLQYEPRERPNPKSLVTALIPLQKDSEVPSHVLMGIPDDNAAFPLSPLGEACQRMDLTVLHEVVEKLGYKDDEGTATELSFQMWTNQMQETLDSKKNGDAAFRQKDFKTAIDSYTQFIEVGTMISPTIYARRSLSYLMNNMPEEALADAMQAQIISPVWHIASYLQAVVLLALGRDHEAQLALKEGTSLETKKNTNK >OIV93354 pep chromosome:LupAngTanjil_v1.0:LG18:7664586:7670370:-1 gene:TanjilG_31401 transcript:OIV93354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIAKAWRFSLDLLQQQQQPNWKGKHVYWRFTTKPISLSMVCGFMLFGLGMISLLMGHMASDLEWYSQRFVRRSFYFTLDGNAHAPIDIWKSQYSKYYYGCKERGRSFAPAVLERKSNGYLLIATSGGLNQQRTGITDAVVVARILNATLVLPELDRHSFWKDDSDFLNIFDVNWFITYLAKDIPIVKRVPYKAMRSMEKPPYMMRVPRKSEPEYYLDQVLPILLRRQVLQLTKFDYRLANDLDDELQKLRCRVNYHALRFTKPIRQLGQKLVIRMRKMASRFIAVHLRFEPDMLAFSGCYFGGGEKERLELGEIRKRWTTLPDFNPDEERKRGRCPLAPHEVGLMLRALGFTNDTYLYVASGDIYGGDETMKPLKDLFPNMYTKEMLAEEELKPFLPFSSRLAALDYIVCDESNVFVTNNNGNMAKILAGRRRYMGHKRTIRPNAKRLSALLLERHKMDWDTFARKVKACQRGFMGEPDEMKPGRGEFHEYPSSCVCEKPFIDEQLSEDGHHPPKLATRNMTLEADSRIDGGKEESDLSHKGNGRRANM >OIV92638 pep chromosome:LupAngTanjil_v1.0:LG18:16218926:16220779:-1 gene:TanjilG_17989 transcript:OIV92638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEFNESASSIGFGGISQKPVTNRRLEQVFLQEFVNSDLVCNVQSREQEKKLQRVKLGDEKFNSTNLNYDEFRNIAKQEQNKGNDYAHNNITHRLEPDGSVYNYASESKGAKVVAHNKEAKGAKNILGKDHDKYLRNPCSVGGKFVVIELAEETLVDSVKIANFEHYSSNFKEFELAGSLSYPTETWATLGNFIAANVKLAQIFKLSEPKWVRYLKLSLLSHYGSEFYCTLSVVEVYGINAIERMLEDLIVSSSGGSIADKVVEHNNTSDTTSLNSEAGQNDWKGKEVEGKNHSVAADMSNNNETQKFDVEVTKSPVIELRQQLNGRVGGETVLKILMQKVRTVEMNLSLLEEYIKELNKRLGVTIPDFQKELSIISESLSQSKSEINNLMQWNTNMVKEISEVESWKNAVLSQLHELTKEKSILRIEVQKVVSDQANLENKELAVLATSLVFVCLAILKIISVLVMTFSTTCNADKVRQTIRGWVMLFVCCSVTIFILVL >OIV92786 pep chromosome:LupAngTanjil_v1.0:LG18:14447623:14448462:1 gene:TanjilG_00920 transcript:OIV92786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEHSSYESDLALLDSICHHLLDESDPLKFGAPYSNSGQEPFYYRSSSFSSLYPCLSDNWGELPLKEDDSEDMVLYGVLRDAVNVGWVPSFETGSPDSSTSSFLPPVTVKSELDIFPAMYSPPEIIATVPEIPQVKAVSVAVPPKGKHYRGVRQRPWGKFAAEIRDPAKNGARVWLGTYETAEDAALAYDRAAYRMRGSRAMLNFPLRVNSGEPDPVRVTTKRASPEPSCSSENGSPAKRKKKTVGPIVQVVKGQVGLKTGQVGNQVAQYTRGEQLLVI >OIV93518 pep chromosome:LupAngTanjil_v1.0:LG18:2525182:2527248:1 gene:TanjilG_28675 transcript:OIV93518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAGCSSATLLSPRHRLRTEVSSPAQFQRQGCNLQLPSSMNTHGLDLSSSCSFPRKDASGSQQQSLKPMAVSVEKQMEAKTRSCSLKKHNIRLPPLAAAAAATSNQTMAFAEHGEVTDEFWEKGGKGLKRLAEDGSIDESVAIRAKRKNCRIGNGNFGDILEGGDSLSLAQMGAGNFWFQHPSLPLSLNCSREEEMVYFVPSEVVSAPLPLSNNYPWGGMESAVSKITNFGEKNVIEGSQHDVKEGSVSNCSSESRSLSLRLNENASPEHEVGSGSRGPYHHEDTEVETGEEDDQENYRGFELVNFLTACVDSIGSKNVAAINHFIAKLGDLASPRGTTISRICAYFTEAFAIRVTRLWPQIFRITISKELETVVEEESDNALRLLNQVTPIPKFLHFTSNEMLLRAFEGKDKVHIIDFDIKQGLQWPSLFQSLASRTNPPSHVRITGTGESKQELNETGDRLAGFAEVLNLPFEFHPVVDRLEDVRLWMLHVKEQESVAVNFALQLHKTLYDGTGGALRDFLGLIHSTNPSVVVMAEQEAEHNDPRLETRVCNALRYYSALFDSIDHCLPQESPMRIKIEEMYAREIKNIIACEGSHRLERHECFENWSKIMVEQGGFKCMGVTEREMFQSQLLLKMYPCENYNVKKQEKEGAAGVTLSWLQQPLYTVSAWTPVDAAGTSSSFSQPA >OIV92696 pep chromosome:LupAngTanjil_v1.0:LG18:15763666:15767111:-1 gene:TanjilG_18047 transcript:OIV92696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHQPLLAQGATDLKDVVMNDAVLKSKRGRPAKGVQKTTPSLSRPKKEEDVCFICFDGGSLVLCDRRGCPKAYHPACIKRDEAFFRSKAKWNCGWHICSVCQKASHYMCYTCTYSLCKGCSKTADFVSVRGNKGFCAICMKTIMLIENTAQGNNAMCEVDFNDKSSWEYLCKVYWTSLKQDLSLTMDEILQAKNPWKGAALSCKVGTPHELYHLKDEKGSGSENSCIDTESDNSNNKKPKRSDEQIHIVDGVLNNVGCHTQDLPITRELIGGICIHKNQINPNDASPDDSTSIGVVRSEASGVALDMSSLLISTGTEQPLGDSVNDKLWHYLDPTGKVQGPFSMLQLYRWKESGHFPSDLRIWRIGEKQDFSVLLTDALDGKCSRNVSLPYNGQLLSLRPSFILDNKGNSQDIGRIASRNEIHTDGLVIEKGGEQKVDGTCTHSNGKYESIRSNGLSNEGQSGNFLRREESSKYEFFSHNHPDVYPSLPSTAFSDKFLEGLGIEANLEDSENHGLNRASEGQSNSGQSYQKQSDSEENSRQSSGQNWECPQVINPVCNASTWLAIFGEPTDCDESVSDLLAEVEAMESRGAGTESPTSIMKSGEELTDSSKTDFLSFVGGFSTMLDTGKGDALSSTGDLHFPSQSTAAAEPFRQTDAYHNPQRISGMNSSKSPEDDEVNVIDHLGGHSSHIQSTKEVLCQL >OIV93713 pep chromosome:LupAngTanjil_v1.0:LG18:274268:277030:-1 gene:TanjilG_16564 transcript:OIV93713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQTVELLKEELPVEQQPLYLSSDIKTGLVLVDLVNGFCTVGAGNLAPREPDEQISISKMVEETVRLSKLFSEKKWPIFAFLDCHHPDVPEPPYPPHCIAGTDEANLVPDLQWLEDEPNATLRQKDCIDGFLGSIEKDGSNVFIDWVKNNQIKQVLVVGICTDICVLDFVSSALSARNRGFLSTLENVIVYPQACATFDLPLHVARNNKDVVAHPQELMHHIGLYLARGRGAKIASEVLFD >OIV93041 pep chromosome:LupAngTanjil_v1.0:LG18:12602210:12606175:1 gene:TanjilG_20703 transcript:OIV93041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLCTAQSINLSPPLLLPNPKSSISIRQIPTIRIASLLSRSICLRNVLSKATTSEDSPSEASQLFDEKRDNAFALDDVEAVDKKGFNGINPKQELPVVEQEGLSLNMLDNLNIKFDADDTGSVVLYVGGVVVALWLTSAVIGAIDSIPLFPKLLEAVGLGYTVWFTSRYLLFKKNRDELNAKIEELKEQVLGSEDN >OIV92596 pep chromosome:LupAngTanjil_v1.0:LG18:16578799:16582390:1 gene:TanjilG_07587 transcript:OIV92596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKETEYYDVLGVSPTASEAEIKKAYYIKARQVHPDKNPNDPLAAQNFQASMLHFCLDLPFHEIRWRDLICKGMDSDVLGEAYQVLSDPAQRQAYDAHGKSGISTEAIIDPAAIFAMLFGSELFEEYIGQLAMASMASMDIFTEGEQFDAKKLQDKMRVVQKEREEKLAEILKSRLNQYLQGNKEDFINQAEAEVARLSNAAYGVDMLNTIGYIYARQAAKELGKKAIYLGVPFIAEWFRNKGHTIKSHVTAATGAIALIQLQEDVKKQLNMEGNYTEEELEEYMQSHKKLMIDSLWKLNVADIEATLSRVCQMVLQDNSAKKEELRARAKGLKSLGKIFQRVKSVDGNENGSVSNKTVHKLNGSETSNDACTASTSPKSSSPDFSQSPYVEAPNFAGMQFDYNFPRPTAPPGAQRPPTSKD >OIV93417 pep chromosome:LupAngTanjil_v1.0:LG18:6071848:6078470:1 gene:TanjilG_02954 transcript:OIV93417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSSSPTLTAPYGSWKSPITADAVSGASKRLGGTAVDGRGRLIWLESRPTESGRAVLVLEPENGGEPVDITPKEFGVRTLAQEYGGGAFTVSGDIVFFANYKDQRLYKQSLSSLDVPPVPLTPDYGGPVVSYADGIFDPRFNRYVSVREDRRESSLNPPTTIVSIALGSNDVQEPEILVGGSDFYAFPRLDSKGERIAWIQWSHPNMPWDKSELWVGYISENGEIYKRVCVAGSDPSLVESPTEPKWSPDGDLFFITDRKNGFWNIHKWIDSENKVSPIYTLDAEFARPLWIFGMNSYEFVQSHKQRNLIACSYRQQGKSYLGIIDGVQGSKLTVLDIPFTDIDNITSGNDCLYVEGASAVQPSSVAKVTLDVDKSKAVGFNIIWSSSLDSLKYASYISQPELIEFPTEVPGQNAYAYFYPPSNPIYRASQGERPPLLLKSHGGPTAETHGSLNLSIQYWTSRGWAFADVNYGGSTGYGREYRDRLLGRWGIVDVNDCCSCAKYLVDSGKVDEERLCITGGSAGGYTTLAALAFRETFKAGASLYGVADLKLLVAETHKFESHYIDNLVGGEKACFERSPINHVDKFSCPIILFQGLEDKVVPPDQARKIYQALKEKGVPVALVEYEGEQHGFRKAENIKFTLEQQMIFFARLIGNFNVADDITPIKIDNFDAE >OIV93490 pep chromosome:LupAngTanjil_v1.0:LG18:3324321:3328228:1 gene:TanjilG_11072 transcript:OIV93490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPQTPKLVVPIDLNKKPWEQNIPLHNRWHPQIPPVADVNTGQVFRVEMVDWTGGTIKDDNSALDIKHIDLSTVHYLSGPIRIMDSDGILAKPGDLLVVEICNLGPLPGDEWGYTGTFDRENGGGFLTDHFPCATKAIWHFEGIYAHSPQIPGVRFPGLTHPGIIGTAPSMELLNIWNERERDVEENGIESFKLCEVLHSRPLANLPSTNGCHLGTIQKGTAEWEKIAKEAARTIPGRENGGNCDIKNLSRGSKIYLPVFVEGANLSTGDMHFSQGDGEVSFCGAIEMSGFLELKCEIIRGGMKEYLTPMGPTPLHVNPIFEIGPVEPRFSEWLVFEGISVDESGRQHYLDASVAYKRAVLNAIDYLSKFGYSKEQAYLLLSCCPCEGRISGIVDAPNAVATLAIPTAIFDQDIRPKNNKVPVGPRLIKKPDVLKCTYDGNLPITRNPSAT >OIV92719 pep chromosome:LupAngTanjil_v1.0:LG18:15574025:15576184:-1 gene:TanjilG_25151 transcript:OIV92719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGAGCFPSKNKLPTVTVDSPRATPATSRDASNPSDVTPHTDQTPNAVQETAPFNSVDAKLKIFIVFYSMYGHVEGLAKRLKKGVDGVEGVEGVLYRVPETLPNEVLAQMKAPPKDDTIPEITAADLAGADGLLFGFPTRYGSMAAQMKGFFDSTGQLWKEQKLAGKPAGFFVSTGTQGGGQETTAWTAITQLAHHGMLYVPVGYTFGPGMFKMDSIRGGSPYGAGVFAGDGTREPSETELALAEHQGKYMALVVKKLAA >OIV93056 pep chromosome:LupAngTanjil_v1.0:LG18:12367159:12369006:-1 gene:TanjilG_20718 transcript:OIV93056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTDEKRIEDELSYPIMVAERVRLAVQTANSFKLECSQVWKHVDRLLLMFHTVVRFAIATTAAAAPPLYERPIRRIAAETAKNIDRAYALVRKCNRRSIFRRIVNMVTAADFRKLLCHLDASASDMKWLISILDTDGGGGIDLSLPPIASNDPILSWVWSFIATIQMGQLKDRIEAATELASLAKDNDRNKMMIVEESGVPPLLKLLKEVASPAAQIAAATALCHLANDFERVRVIVNELGVPIIVQVLGDSHMRVQTKAANLIARMTEHDPVAHKFFARENAVRPLVMLLSFDMLVDDQVEKLDKQNIHSLVQINNEMENKSLGGRLSNRRLGNSYSNSYSRVEGSSRGWNHRKDRENEDPVLKLQLKISCAEALWMLARGSMSNSKKITETKGMLCLAKLVEKEQGELQYNCLMTIMEITAAAESNPDFRRAAFKANSPPAKAVVEQLLRITKEVDSPMFQIPAIRSIGSLARTFPARETRVTASLVAQLNNRNVDVAAEAAIALAKFACPDNFLSVEHSKAIIEFSAVGALMRLLRANEVTQLHGLVLLCYLALHAGNSESLEEARVLTVLEGADRALIAHHPELRELVPEAIAHLNLYHAGKNYQMISYFP >OIV92834 pep chromosome:LupAngTanjil_v1.0:LG18:14813636:14814112:-1 gene:TanjilG_00968 transcript:OIV92834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSALSPNDPLLSNPFSAAFDGGYTPWDCPEFFLAPKPVTKPVTSSTGSDDPDQTHAKEKPDSDYSNRGVTIVEERKRRRMVSNRESARRSRMRKQRHLENLRNQLNLFRVENRELNTQLQILLNHCDRVRTENNWLRSERTLLCQKLSNISQFMAFE >OIV93194 pep chromosome:LupAngTanjil_v1.0:LG18:10630237:10635641:-1 gene:TanjilG_24409 transcript:OIV93194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEVRIIAKNFMDMVASMPAMKLDKLYENGFICEAILRSLPPLAKKYVLQLLHIDVPVSAKLLEEWVLPDGFSKHRVSIDRLVQLRVFVEAVDRKNEKTYKVTPTFQRSLQKLLVHGGTLPREPMPSNITVRLPTLEDLEAWALEQWECFLLQLISSSQVEKPSNISSSLMKVFQRRLLSQRDRDAPKLTESGFQFLLMNTNAQLWYIIREYISNSEERGVDAADLISFMLEISFHVIGEAYNINTLTDFQRSIIKDLADLGLVKLQQGRKESWFIPTKLATNLSVSLADSSSRKEGFVVVETNFRVYAYSTSKLHCEILRLFSRVEYQLPNLIVGAITKESLYNAFDNGITADQITGHIVFQIISFLQQNAHPRVAQRAPSVPENVTDQIRLWESDLNRVEMTESYYYDEFPSRDIFEGACDCAREWNGLLWEDSKKMHMVVKTEVHPYVRDFLRRQK >OIV93158 pep chromosome:LupAngTanjil_v1.0:LG18:11111875:11117839:1 gene:TanjilG_20820 transcript:OIV93158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIGAERMCMPSPTIDQFVNAIKQIVIANKRWVPSVGKGSLYIRPLLMGTGSVLGLTPTTEYTFLIFTTPVVKSYHKGPLNLVVKDGLYRAVSGCGGTGGIKSITNYSPAYKAGNEARAGGFSDVLYLDSATGKFIEEVSTCNIFLVKGNAISTPKAEGTILPGITRKSIIDIAVDLGYEVEERAIPVEELLQGDEVFCSGTAVVVNPVFSVTYNNTKVKYKTGSGTVSEKMYETLVGIQTGHIDDTKGWTLQIN >OIV92938 pep chromosome:LupAngTanjil_v1.0:LG18:13648847:13650504:1 gene:TanjilG_20600 transcript:OIV92938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALYSALQFSVCTARVLNSFTYPFMLTLLETYRFNKREDDFPSLREYNDYLEEVEDMTFNLIEGIDVAAIEAKIAIYQEENAEQIMINRARKAEELAAALAASKGQPAQTDNDVGINQNSQTGFGAVPQGQYAPTFAGQPRPTGMAPQPLPLGGSDMLGYAGDDEETMKLRAERGARAGGWSPEISKKRALEEAFGSMWVC >OIV93666 pep chromosome:LupAngTanjil_v1.0:LG18:1928410:1933681:1 gene:TanjilG_04898 transcript:OIV93666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISNSSSFDCLGLEGVGKNNDNLVKDHVGIAESDNVRQKNLFCQLLKVYREVNSSGGIVRPVSVLLGDGQVLDLYKLFSLVKERGGYAAVSRKGSWGFVTKELGLDLEVLASVKLAYDKYLNDFEAWLKKTFEEKIIKNRNHGYGWSFNPFPMEIEKELSVLLCLDLKEKDDELDIKEKDDELDIKEKDDQRDMKEKDDKLGIKEKDGELDIKEKDDELDIKDGDNEIELKSKKIRRFIDLLVNHKNETELLDINNQNNICEDVHVDGNEKLCSGDKHDLATLDKEDVEKEYNNRKRKRESLCGMLNWMKHIAKHPLVPVTPPLPKPSKWKEYKGDNLFSMLLRAREVLLLKQCVEPNGGPASLQNQKMHPSMYEDEVARDHHSTARLKCSGRHATSVKSRLCSCCNSRSADENRLTTSVNKEAEKCPPKETAKVDLLTAKIMAKKSGDTVCEKGNVSIGPRYQAEVPEWTGVVSESDSKWIGTQVWPPPEQDLEPATETYFNGREREDKCSCEVIGSVQCHRFHIAENRLKLKLELGPLFYHWGFDRMGEEVSLQWITEEEKKFKDLLRSHAPIWNNTSIYFPKKKRRNLVNYYFNEYVIQLRSYQNRVTPNNVDSDDDEAEFGSFGHGFGMEALMVDDVLQECSVNKQCTDFEY >OIV93297 pep chromosome:LupAngTanjil_v1.0:LG18:9046153:9046593:-1 gene:TanjilG_13124 transcript:OIV93297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQPPALEQVQCFGRKKTAVAVTYCKRGRGLIKINGSPIELVEPEILRFKAFEPILLLGKSRFAGVDMRIRVKGGGHTSQIYAIRQSIAKALVAFYQKYVDEQSKKEIKDILVRYDRTLLVADPRRCEPKKFGGRGARARFQKSYR >OIV93058 pep chromosome:LupAngTanjil_v1.0:LG18:12351420:12357439:1 gene:TanjilG_20720 transcript:OIV93058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPKPLDYESINENVKKAQYAVRGELYLRASELQKEGKKIIFTNVGNPHALGQKPLSFPRQVVALCQAPFLLDDPNVGLLFPADAIARAKHYLSLTSGGLGAYSDSRGIPGIRKEVAEFIHKRDGYPSDPELIYLTDGASKGVMQILNTIIGGLQDGILVPVPQYPLYSATIALLGGSLVPYYLEETANWGLDTNELRRSVAQARYKGINVKAMVIINPGNPTGQCLSEANLKDVLRFCYEENLVLLGDEVYQQNIYQDERPFISAKKVLIDLGPPLSKEVQLISFHTVSKGYWGECGQRGGYFEMTNIPPETVDEIYKVASIALSPNVSAQIFMGLMVNPPKPGDISYDQYFRESQGILQSLRRRARIMTDGFNSCRNVVCNFTEGAMYSFPQIKFPPRAIEAAKQAGKVPDVFYCIKLVEATGISTVPGSGFGQKEGYILIHLFSYPMPFLKSDPPHAYSHGFAFDKIVI >OIV93329 pep chromosome:LupAngTanjil_v1.0:LG18:8339008:8341650:-1 gene:TanjilG_23265 transcript:OIV93329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEDQEMERFGMDNDFEGGEWIDGEYYYRKRKEKHAQTREDVLYGVFADSDDDDDEYSSKKRRKDRGLSKKQDLTKPVNFVSTGTFMPSQDTDKNSKEQVEKDNCVSEDRPGVGLGMGSGSISRSGLGFNSSYTANGSDRNNDFNENDDDNFLPTVPTAFGKKIKEGAMRREKEREREKVDKRRGQHQSLGKDVDVGKFEKHTKGIGLKLLEKMGYKGGGLGKNEQGIVAPIEARLRAKNTGIGFNDSKEIMPLQPALKVENNSLPGINQSTAGRTKDRPWSKHARLKKKKTSKAEEEEDYITAQELLESKQEQDSEVVQKVYDMRGPQVRVITNLSDLNAEEKARENDVPMPELQHSIGLIVRLAEADIQEIDRNLRRERETALSLKKDKEKLEIETAFKKKQVDIMEEILGVLDQMEKENNIGTLTLDSLAQSFSDLHKRYADTYKLCNLSCIACSYALPLFIRVFQGWDPLRNPYHGIELVSFWKTLLQEEDCLDIWDASSPYTQLVSEVVLPAVRISGINTWQARDPEPMLRFLESWEKLLPSSVLTTILDNIVMPKLSSAVEAWEPHHETIPIHTWVHPWLPLLGHKLEDVYQGIRFKLSTVLGAWHPSDGSAYAILSPWKPVFDPASWEQLMLRFIVPKLQLVLQDFQVNPASQNLDQFFSVMNWASAIPIHLMVDMMEKIFFAKWLQVLYHWLCSSPNFEEVTKWYLGWKELIPKELLANESIRYQLNCGLDMMNQAVEGMQVVQPGLKENISYLRVLEQRQFEAQQKAAANAQHQAAASLGSAVNVDGVASMQSHELTLKEVIEAHAQQHGLLFKLKPGRMHNGHQIYGFGNISIVIDSLNQKVYAQNEDSWSLESLQGLLELNNKSHSKRR >OIV92634 pep chromosome:LupAngTanjil_v1.0:LG18:16238466:16241294:-1 gene:TanjilG_17985 transcript:OIV92634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIAVPLKEVSFRIEPLKAEAPISSIFSLYTFRALMAAPDGEALDFEPEDDDLMDEDGAVDATDASPRAPPLPKLKSAITSSTSLSAPMKTKGRGFRQETDPNRNTRLAASDFDSLTTEGGPGPQRSIEGWIILVTGVHEEAQEDDLQNAFGEYGEIKNLHLNLDRRTGFVKGYALIEYERAEEARNAIENLNGSELLTHTVGVDWAFSSGPINDSIRRKNARSSRGERRSRSPRRRY >OIV92797 pep chromosome:LupAngTanjil_v1.0:LG18:14520383:14521556:1 gene:TanjilG_00931 transcript:OIV92797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNREIERVGVGIDRRKRINEVLDNHLHRSSTSVSTSKSIKSLISTNNINININTHDSPYNNFNALENGAEESETESEESEVSGSDEDDTPWVSWFCNLRGNEFFCEVDDDYIQDNFNLCGLSSQVPHYDYALDLILDFESSNDDMLIKEENESIESAAGMLYGLIHARYILTTKGMAVMLDKYKNYDFGRCPRAYCSGQPCLPVGLSDIPGSSTVKLYCPRCEDVYNPQSKYHSILR >OIV92998 pep chromosome:LupAngTanjil_v1.0:LG18:13102278:13103870:-1 gene:TanjilG_20660 transcript:OIV92998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALETLPSNEFSNFIIYDTIFATPFSSHNMSEASFLIEHDSVKYHEQHHDPNAFDNYAFGARKCQSGEPEAVGRRQTFARQGRKKRRRKARVCKNKEEAEAQRMTHITVERNRRKQMNEHLAVLRSLMPESYVQRGDQASIVGGAIEFVKELEHLLQSLETKKMHLLDKEVPQAIEDTAISKTMAPPFAQFFVHPQYTWSQAPNKHTSKNKAGAIADIEVTLIEMHASIRILSQRRLRQLSKLVAGFQTLYLTILHLNVTTTDPLVLYSVSAKVEEECQLGSVDNIATAIHHLLIVIDQEASLCC >OIV93728 pep chromosome:LupAngTanjil_v1.0:LG18:162587:165425:1 gene:TanjilG_16579 transcript:OIV93728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSATHDVQSLLSSPDRDFLIRNNNDQVKVDSLKGKKIGIYFSASWCGPCRKFTPFLVDVYNELAPKGDFEIIFVTADEDDESFNAYFSKMPWLAIPFSDSDTRNSLDELFHVKGIPHLVLLSETGEVVTDSGTEVIREYGVEAYPFTSARIQELKDQEEEARRNQSLTSILTSRSRDFVISSDGKNVLVSELEGKTVGLYFSLTSYKACSDFTPQLVGVYEKLKANGENFEVVVIPLDNDEESFKEGLQSVPWLSLPFQDKSSEKLVRYFELSALPTLVIIGPDGKTLHSNVAEAIEEHGIAAYPFTPEKFAELVELEKAKEASQTLESILVSGDLDFVIGKDGVKIPVSELVGKNILLYFSAHWCPPCRAFLPKLTEVYHKIKAKDSAFELIFISSDKDQDSFDGYYAEMPWLALPFGDSRKAFLSRKFKVSGIPKLVAIGSNGTTVTKEARDLVALHGADAYPFTDEKIKEIEAKYEETAKGWPEKVTHESHEHELVLTRRRIYTCDGCDEEGNIWSYYCDECDFDLHPKCALEEKVENKDDDAKEDEKSKDGWVCDGEVCFKA >OIV93634 pep chromosome:LupAngTanjil_v1.0:LG18:1459500:1460243:1 gene:TanjilG_04866 transcript:OIV93634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHPLGSSSVYLNQIDMLQSFYKESLLNVSFVSSTNTTCSISTWKKKVYRGVRQRQRGKWVSEIRLPQNRKRVWLGTYDSPEPAAFAYDRAAYKLRGEYARLNFPNLNDSKVICNLLGFEDSMKLNALKSSVDAKIKAIWHKEKREKAKKKSDAKKLGSCDNIMDKNCYGDSEKEQKRESMSLSCSSSSLMLSESSFCDGLTNEFLSPSVSNESSIMVPEELGMEDFCSLERMPSFDPELIWEVLGN >OIV93407 pep chromosome:LupAngTanjil_v1.0:LG18:6338387:6340309:1 gene:TanjilG_02944 transcript:OIV93407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTAAEPISPSNTRLGWIGTGVMGQSMCAHLIRAGYTLTVFNRTPSKAQPLLDLGAHQAHSPQALASQSDVVFSIVGYPSDVRSVLLDPTSGVLSGLLPGGILVDMTTSEPSLAVEIAAAAAEKNCHSVDAPVSGGDRGAKNGTLAIFAGGDKDTVHRLEPLFSLLGKVNYMGGSGKGQFAKLANQITIASTMVGLVEGMVYAHKAGLDVGLWLDAISTGAAGSKSLDLYGQRILKRDLDPGFYVNHFVKDLGICLKECQNMGIALPGLALAQQLYVSLKAHGEGNLGTQALILVLERLNNVSLAPAASSS >OIV93582 pep chromosome:LupAngTanjil_v1.0:LG18:899964:907603:1 gene:TanjilG_04814 transcript:OIV93582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVEAKNSLDLNTQIYPETVVSTQKEDVGVSLSLTNAVIPQQPVLKKPTRQWAAWTRQEEESFFTALRHVGKNFEKITCRVQSKNKDQVRHYYYRLVRRMNKLLGPGLCLDAKNSKDTNAAMLRWWSLLEKYSCKASKLHLKPRRFKIFVEALEHQLSKDRKKNVRKRSLPGKNSPAPNIASNQSRLSENDAGAVNMVLVDSQNILKLGPSKPSVKRNANIGVNRSNTKGDSIIMKPSRQRKKSGMITTAAYKKWEKAAIAGVSLVADAAEHLERAAIVKEAEHDQEGPGEKCSGPVDHVLLSLPTFPQNHFVDNNVQNSMKLKLQLFPIDEPTRRALEMDKHNPYLELTLSNRKKISSILEHLNRKWGSSSIAIGELVLFPYGIQRENLVNYQRWTQESTLSAADIYSMIGSPAIFRLRYGWFSNTELGLFNRQLPVASGCMLGQTNINVDITKGQTVNPASLLMQSSNNHCMELSEDRGTSMIRNCALTTTSTDLPNTKDDSVYLNTSSKESCDPTINLSWHGEGVRDGTLTRQSEKMVELKPSSGTGQSAGEWADSLTNISVGDLLSGVSQDLGNCIDPPIAENCNGLQQIPFCSDSFDAVIAAHISRHQDKMGQPTHMPSIWDAEETCDAFLFKRNPVPQEDGPCLSPFASLKSEKVTRRSSEKLAELSLEKERLVDDVAQAEPMDSSESDADIQDHLPKDFNALADIYWPDSLGPLDLDIPSTKYHSEDLILGDSLSGLNRLIASSLDAFQNCSFFGIDKKEAPSIVEARETAALSDFKIGSSI >OIV93031 pep chromosome:LupAngTanjil_v1.0:LG18:12700041:12704325:1 gene:TanjilG_20693 transcript:OIV93031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMLRSSQPQFIQYDTASATTPSHYFLDNNYANGWGNQKSQVLYGVQMEQNDCNKGQAPPQSMAELPSSLNNPPPQAVPKLEDFFADSSSSMMRYSDSQTETQDSSLTHMGHICEHGGSAAYFGGNDHQDLKAIAGFQAFSTNSGSEVDDSGSIGKSQPTCNEFGTHSIESSAHELAFSVNCGGANGTLSLGVAQSSEKAIVAADSDSTKKIADTFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQARKGRQGGYDKEEKAARAYDLAALKYWGPTATTNFPISNYSKEVEEMQHVTKQEFIASLRRKSSGFSRGASIYRGVTRHHQQGRWQARIGRVAGNKDLYLGTFATEEEAAEAYDIAAIKFRGANAVTNFEMNRYDVEAIMNSSLPVGGAAKRLKLSLESEQKQQSLSNAQQHQHQQQPHCGNMNNSIDFSAIHQPIASIPYGVPYDSSTEYYHHNLFHHFYPNANAGTAESVVTSTGANGLNALPASAAPEFYIWPHQSY >OIV92668 pep chromosome:LupAngTanjil_v1.0:LG18:15997590:16006598:1 gene:TanjilG_18019 transcript:OIV92668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIEQDIESCGSRAVQSSQYAHSRHQRHKLEVYNEVLRRIQDSDCDEAHVPGFDDQLWLHFNRLPARYALDVNVERAEDVLAHKRLLKLAEDPANRPAFQVRLVQVYPFGSATDSMHSDPSEKEDAQSSLNYSFKQGIHPPPTFGSSSNLEALALQTTKNNIEDGDTAMGVTPYFPRPMHEITFSTIDKPKLLSQLTSILGEMGLNIQEAHAFSTTDGFSLDVFVVEGWPNEETEELNSVLEREILKVKEQYTSNQGILPAANEQYQARMEPSPHFIQIPSDGADVWEIDTNQLIYGNKVGSGSFGDLYRGTYCSQDVAIKVLKPERISTDMLKEFAQEVYIMRKIRHKNVVQFIGACTRPPNLCIVTEFMSRGSLYDYLHKQRGVFKLPSLLKVAIDVSKGMNYLHQNNIIHRDLKTANLLMDENELVKVADFGVARVQTQSGVMTAETGTYRWMAPEVIEHKPYDQKADVFSFGISLWELLTRELPYSHLTPLQAAVGVVQKGLRPTIPKNTHPRLSGLLYRCWQQDPMQRPNFSEIIEILQQITKEVNDAKTDGYKDKPSHGLLSSFRRSNH >OIV93727 pep chromosome:LupAngTanjil_v1.0:LG18:167461:169549:-1 gene:TanjilG_16578 transcript:OIV93727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLTFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIANKVASYTDILFSCAKFD >OIV93478 pep chromosome:LupAngTanjil_v1.0:LG18:4235551:4236276:-1 gene:TanjilG_18694 transcript:OIV93478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELHGSETDTSTNSTTSPLPSTFTITKPNTSFPDPLQKNQKKRPRDNSSKHPVYRGVRMRAWGKWVSEIREPRKKNRIWLGTFATPEMAARAHDAAAMVIKGGSAILNFPEIAGSLLRPDSNSPRDVQAAAAKAAAMEVLESQTTLHLSSSCASLRSSNSFCRSSEEELGKIVELPHLGTSFESLDLGNNELVFFDTVEGWPYSHPWYHNIYDGGDNISVQDYYSESVMLCGFEGSFWEH >OIV92978 pep chromosome:LupAngTanjil_v1.0:LG18:13308731:13310090:1 gene:TanjilG_20640 transcript:OIV92978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSTSKDAQDLFRALWSSYSAAPTNLKIIDLYVIFAVFTALIQVAYVALVGSFPFNSFLSGVLSCVGTAVLAVCLRIQVNKENKEFKDLAPERAFADFVLCNVVLHLVIMNFLG >OIV93510 pep chromosome:LupAngTanjil_v1.0:LG18:2818162:2822132:-1 gene:TanjilG_21763 transcript:OIV93510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFCTSCGIKLYSGLKLQSTSLFSASKPNVTAEFYGRVHKSLQLRYPNQKPARAQIHMMPIGTPRVPYRTPGEGTWQWVDLWNALYRERVIFIGQNIDEEFSNQILATMLYLDSIDNAKKLYMYINGPGGDADDIQNEANELLRIRDYLFKELANKTGQPVEKITQDLSRMKRFNAQEALEYGLIDRVVRPPRIKADAPCKDAGTGLG >OIV92901 pep chromosome:LupAngTanjil_v1.0:LG18:15311035:15312042:-1 gene:TanjilG_01035 transcript:OIV92901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIHILFISFFLLFSSYTSAQRFKEAPKFYNSYNCPSIDLTCSPHEVHVAMTLDVTYLRGSVAAILSVLQHSSCPENIIFHFVTAASKISSTTVLNRTLSTSFPYLNFHFYPFDDDSVAGFISTSIRSALDCPLNYARNYMANLLPPCVKKIVYLDSDLVLVDDIAKLAATPLSSDAVLAAPEYCNANFSFYFTPTFWSNPSLSLTFAGRRACYFNTGVMVIDLERWREGDYTRKIEEWMELQKRMRIYELGSLPPFLLVFAGNIGPVDHRWNQHGLGGDNFRGLCRELHPGPVSLLHWSGKGKPWARLDANRACPLDALWAPYDLLETRFSLEA >OIV93047 pep chromosome:LupAngTanjil_v1.0:LG18:12510570:12516064:-1 gene:TanjilG_20709 transcript:OIV93047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNETLASGKAKVLLLDDDTDGKRENEGVFGRVWVESKKLWNIAGPVIFNRVANCTMIIITQAFAGHLGDLELAATSIAVNVILGLDIGLMLGMSSALETLCGQAFGAKKHYMLGVYMQRSWIILLISSVVLLPWFLFTTHILVLFGQPQEIAELAGVISMWLIPTHLAFAFFFPLHFFLQSQLKNKVIAWVSLVALVSHAITSWLVLYKFELGVISVVAAANVSWWIMVLGYFGYIVWGNCPSTWTGFSLAAFSGLWEFTTLSVASGVMICLEVWYDKVLMLMTGILHNAKTSVEALTICLSINIWQMMFPLSFLAAVGVRVANELGAGNGKGAKFATKVAVMTSFIISVFFWLLIMNFRSKFAYMFSSSEVVIEEVNKLSPLLGFTILLNSVQPVLSGVAVGSGWQKYVAYINLGCYYGIGLPLGYLLGFVFNLGVEGIWAGLIFGGTAVQTLILTVITIQCDWDKEAEKARLHLRKWEGSNKRTEE >OIV93619 pep chromosome:LupAngTanjil_v1.0:LG18:1259506:1262889:1 gene:TanjilG_04851 transcript:OIV93619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMEEGDDQFYDTRDEFCSVSYVDSDFSEVKESSFGSNGYATRYQVWTNNIESVHQRRLNFVRWIGLESDSDVNSMNGDEDLTDPCCGVDRITASSGAVLGNSGVVDGSSQIVLDSLSNEAAIIENRRVEENFACMIKNLDDGTQYVVDKLGQDGMLSTLRVVGSNQLISLEEFQRNIVQTPLVWRHLQRNAENPRFLGDVKKKTTRVWLKKLRSVACVVNDQGLDETNDEDFGSVDRSGIQRVRVYSYKKQIKELTSLHTEQEFKAHKGVILTMKFSLDGKYLASGGKDSIVRVWKVVEDERSSKLDIRDNDPSSTYFKMNSFSCLVPVDVDKEKLIKTDNLKRSSGSTCVIIPPKTFRISEKPLHEFCGHSDDILDIAWSKSGFVLSSSVDKTVRLWQVGIDRCLRVFSHNSYVTCVNFNPVNDNIFISGSIDGKVRIWDVICCRVVDYIDIREVVTVVCFHPHGKGTIVGTMTGNCRFYDIEAVDPVYSLLGGSGGVMADDQQPDNHLQLNVQLSLRGKKKTPGRRITSLEFSPNDPSKLLVSSADSHVCILSGVDVVYKFKGPRIAGQMHASFTSDGKHVVSVTEDSNVCIWNYTGQDRSTSKAKKIWSSETFLSHKAAIAIPWCGIESTTGTLLSPSLKHNFNQRLTPSLPDFLFSNRGFLSELLPKVSPTWPEETLPGSTESFVAPTMCKSEYKFLRSACKGMSNSHLWGQVFVTAGWDGCIRVYQNYGLPIRG >OIV92724 pep chromosome:LupAngTanjil_v1.0:LG18:13926733:13931108:-1 gene:TanjilG_00858 transcript:OIV92724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSSSYARSSTFLYLILAVLIAKASFCFSAKVYVVYMGSITDQDSDDIVKENHQILASLHSGSIEQAQASHIYSYRHGFRGFAAKLTDEQVSQVSNMPGVVSVFPNSIRKLHTTRSWDFLGLANNNEAAEVVGHSIKNQTNIIVGILDTGFWPESPSFRDTDMPPVPPGWKGQCQIGEAFNASSCNRKVIGARYYAGGYEAEKGSDEDVSYKSPRDSLGHGSHTASTAAGRYVENMNYNGLAAGGGRGGAPLARIAVYKACWKSGCYDADLLAAFDDAIRDGVHIISLSVGGESPQADYFQDAISLGSFHAARNGVLVVASAGNEGNPSSATNLAPWIVTVAASAIDRDFTSDIILGNGVNITGESLSPLGLNASTRIISAADAFSGDFTPYQSSYCLDSSLNATKTKDVVLVCRHAEKSTKSKLEKSKIVQKAGGVGMILIDETKTGIAVPFVIPSAIVANIRGEQILSYIKSTRNPVSRIFGAKTVLGTQPAPRAATFSSKGPNTLTPEILKPDISAPGLNILAAWSPVAAAGNPKFSVISGTSMACPHISGIAALVKAVHPSWSPSAIKSAIMTTATILDNHQEPIKADPDGAIANAFDYGSGFVNPTRALDPGLIYDSQPEDFVAFLCSLGYDKKSLRLVTRDNSTCDGAFKTPSDLNYPSIAVPNLKDKFSVTRVVTNVGEATSTYEVAVVPPAGVNVTVVPNRLVFTTIGQKIKFTVKFKVAAPSNGYSFGLLSWKNGKRQVNSPLAVRVAPPSFGSFR >OIV92730 pep chromosome:LupAngTanjil_v1.0:LG18:13981869:13984762:-1 gene:TanjilG_00864 transcript:OIV92730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKTYEEFTARYYGLCTIGGILSAGTTHVALTPLDVLKVNMQVHPIKYYSISSCFTTLMREQGPSALWRGWAGKFFGYGAQGGCRFGLYEYFKQVYTNILVDQNRNIVYFLSSASAEVFANVALCPFEAIKVRVQAQPCFAKGLLDGIPKLYASEGIQGFYRGLVPLLGRNIPFSMVMFSAFEHSVDFLYRNVVKRKKEDCSKLQQLGVTCLAGYAAGSIGSFISNPADNVVASLYNRKADSLVLTVRKIGLANLFTRSLPIRMLLVGPSITLQWFFYDTIKILGGLLKAFQRPGYFPPNPYFTHSLTPIPPPLVLRST >OIV92717 pep chromosome:LupAngTanjil_v1.0:LG18:15593633:15595015:1 gene:TanjilG_25149 transcript:OIV92717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGAMLFIKEDLGISDTEQEVLAGIINLCALVGSLAAGRTSDYIGRRYTIFIASILFMLGSIFMGYGPNFPVLLLGRCVAGLGVGFALMIAPVYSSELASAKSRGFLSNLPELCIGIGILLGYISNYLMRQFSLKLGWRLMLGIAAVPSLALALGILAMPESPRWLVMMGKLENAKKVLLQVSNSEQEAEHRFKDIKHAAGLDENTPDDITVNKVTQKNHTGEGVWKELLVKPTPCVRWMMIALVGLHFFEHATGIEAVMLYSPRIFKKAGVTSKDKLLLATIGVGITKVFSLIASTFLIDKMGRRRLLLISTAGMIFALGVLGFSLTMADKSSEKLLWTLTLSIVATYTFVAFFNFGLAPVTWVYGSEILPLRLRAQGVSIGVAVNRAMNAAISMSFITIYEAITIGGTFFLFAGIAIIAWIFFCVFLPETKGKALEEMEMLFTKKSSTNVAAQTDEMS >OIV93063 pep chromosome:LupAngTanjil_v1.0:LG18:12287227:12298112:1 gene:TanjilG_20725 transcript:OIV93063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRFWITFLRSVELFVSSCVHLLYGFYIFSSAVAGDLSQALNEYFQKSKVNNIEVKVGNLVNGDERENNANDLPPIVLVHGIFGFGKGRLGGLSYFGGAEKRDERVLVPDLGSITSVYDRARGLFYYLKGGQVDYGEEHSKACGHSQFGRIYEQGHYPEWDEDHPIHFVGHSAGAQVVRVLQQMLSDKAFKGYENTSEDWVLSLTALSGAFNGTTRTYLDGMQAEDGRTLKPVCLLQICRIGAIIYDWFDIPWLKNYYNFGFDHFNMSWKKIGLWGLVDCLLGNAGPFASGDWVLPDLTIQGSIRTNYHLQTFPNTYYFSYATKRTTKIMGVTVPSSILRIHPLLFIRVFQMSQWRHPLDVSPPYKGYRDEDWLDNDGALNTISMTHPRFPIEHPSRLVENDVDCQHLQPGICSAVAGDLSKALTEFFHKPNTNVEVKGERDPNSHDLPPIVLVHGIFGFGPYGKGWFPRGLGGLSHFLVAEKKGQRVLVPDLGSLTSVYDRARELFYYLKGGHVDYGEEHSKDCGHSQFGRIYERGHYPEWDEDHPVHFVGYSAGAQVVRVLQQMLADKAFKGYENTSENWVLSITSQSGAMNGTTRTYIHGMQAENGRKMTPICLLQLFRIGVIIYDWFDISWLKNYYNFGFDHFNMTREEIGICGLVQCLLGHVGPFASGDWILPDLTIQGSIRTNYHLHTFPNTYYFSYATRRSWQIMGITIHSSIPRVHPLLYLGVLQMRQWRLPPYVSSPYKGYRDEDWHDNDGTLNTISMTHPRFPVEHPSHSLEKGSEFQPLQPGIWYYKLVEGDHTPFIANEKAGQQFDLIYDTIFERCRKYVVLRKKPPIEDNNVHH >OIV92980 pep chromosome:LupAngTanjil_v1.0:LG18:13297095:13299319:1 gene:TanjilG_20642 transcript:OIV92980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKEGSLWDDSALINAFDHAISTYKKMDSNKNKHSSAEPERVMDSGTGLNDSSVEYPYYHTTRDVDKKSNVPATDAPDSGENTYASKLEENHLAESRVDLPHLDSTSSQDIQNVQNSYAYAQGADDYNQLVAKYYELEDKKLKVLEQLNQYGCSNYQYVATASGADVPHSNSQDYSNSAYQFSDPNVVCTCCSCYSQCLPAPCTSVPGCFLGASRAGKLCDSHSVEMDHKMLSPCEDDEIHKMAMGAVEKALSSIRTVSGDSSANEEKENNSFEPEQINGSETDLTAVFNAWYSAGFYTGKYLVEQSSANKRQK >OIV93226 pep chromosome:LupAngTanjil_v1.0:LG18:10071913:10076061:-1 gene:TanjilG_27405 transcript:OIV93226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSGKVRVAMGFHNSPSPSPAEVMSPPPQKKQPPPPSTANSGTKSSSHKSSFSRYFPRTSAQVQPKPPDVTELLRLVESLRDSESRLKTELLEYKLLKESIAIVPVLENEIEERESEIERNRKRIEEVEDENERVKNELRELKLRMEEEKQENEKKTKALENEIAELKKTASSSSSSSSNRVDALESEEHSSASQRFVEVTVRSSVFKSLKKTTSDNGSVNSKREVIVETERPRHSQCNSDELADSTDSVLNGVVRSRAPRVPKPPPRPSSQSSGSSEETEHVIPQPPKNLSAPPPPPPPPKPASKAPPPPPPPKMGKAAPAKVRRVPEVVEFYHSLMRRESQSRRDLNSGATEVPATANANARDMIGEIENRSTHLLAIKTDVETQRDFIRYLIKEVEGAAFTNIEDVVPFVKWLDDELSYLVDERAVLKHFDWPEQKADALREAAFAYCDMKKLESEASSFRDDPRQPCGTALKKMQALLEKLEHGIYNISRMRESATKRYKVFQIPVDWMLDNGYATQIKLASVKLAMKYMKRVSAELETVGSGPEEEDLILQGVRFAFRVHQFAGGFDVETMRAFQELRDKARSCHVQCHNQQQ >OIV92695 pep chromosome:LupAngTanjil_v1.0:LG18:15772318:15777268:1 gene:TanjilG_18046 transcript:OIV92695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQQKPPRRCEGTAMSAIILDLRPGLGIGPFSLGMRISEAFAQIEQQPKIYDVVHVKYYNEEPLKMDIVISFPDHGFHLCFDPWSQRLRLIEIYDVKRLQMRYSTSLIGGPATLATFIAVYALFGPTYPGVYDGERGFYTLFYPGLSFAFPIPSQYTECCHNGGVELPLEFPDGTTPVTCRVSIYDSSSGKKVGVGSLMDKASAPPLPVGSIYMEEVHVKLGEELYFTVGTQLIPFGASPQDVWTELGRPCGIHQKQVDQMVIHSASDLRPRTTVCGDYFYNYFTRGLDILFDGQTHKIKKFVLHTNYPGHSDFNSYVKCNFVIYGSDSVGGSFQEVHNNKQRAITTSTKWDHVKEILGGCGRAAIQTQGYGSNPFGSTLVYGYQNIAFEVMKNGYIATITLFQS >OIV93315 pep chromosome:LupAngTanjil_v1.0:LG18:8478049:8479320:1 gene:TanjilG_23087 transcript:OIV93315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGTLNSIPCKCMFLFVFILILRVLLLPSLPGFGGVEWSNFVFIRNHSPDSIGVRQDKFLEVPQIVWGLNNQKIAFARACLTAKLMNRTLLMPSLSASLFYKEIDLLQPISFDKVFQFDKFNALCSGVVQLGRYSDVLNRTRVLVMQKGSGRKWTVERDLDQLRQHSIGSFDDHEIIRIEGKNPFLWHDHWPVKDYAKVFECLVLVDEIAKEADRVVSRIRAVGGGKMELKNDGSSFQPLPYVAVHMRIEIDWMIHCKKLEQRLQTNQICSSKKEIVERVKNIAGLKTPVVLYLAVADQLLKNSSVLEGWQEGFLPFEKKKLSVDAIYSKYPYLIQSAIDYEVCLRADIFVGNSFSTFSSLIVLERTQKMIKIGVEDMCQTDVRWPSYAYNIAGESNGPMRWNTNMSDSSLKAISYGTDHIAC >OIV92683 pep chromosome:LupAngTanjil_v1.0:LG18:15895774:15897410:-1 gene:TanjilG_18034 transcript:OIV92683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METPSSTRRIITRSQALASSNNIPVSRMIEDFEKNPSKSKEKNNGCALMDITNDSPIAGLANGGNLETPLSSMVKQRGSRMKITPGSGEALLRGQVKNLLQKVEEEVVFSKICLQNRPFLQLMNSSMLLGAPTPANTPQIANFSGGEMGSVTPSTIVQEQLIPQVLNHMFDEKKNEDDSEKSVITRSLLLDFSEKCDASECLSELSYDDASVWSIQVNASTHNEDFDDDNVEEIAEDDYEEEYYDGDYGGLLLDELCEGLNKVSMNERLGPKFEGKHTRYVYNSDDEIVKEEEVENSSDVGVLCLKGMPTPKGKHLRFSEEE >OIV93429 pep chromosome:LupAngTanjil_v1.0:LG18:4765501:4765860:-1 gene:TanjilG_10061 transcript:OIV93429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFNTRFKQKPPWPWLSITTSIGILVIALLVGHIFHATMNLVVKVEDDYREIIELKK >OIV93283 pep chromosome:LupAngTanjil_v1.0:LG18:9224018:9224671:1 gene:TanjilG_23124 transcript:OIV93283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHSSTLLTYDQELSVIVAALTNVVIGSTSNVIHFHSPETSPVATATVGTSFDQRFEMEICQECNIVGCLGCNLFPKEEEKQKSAEKKYRGVRHRPWGKWGAEIQDPRLRTRVWLGTFNTAEEAARAYDKANIQFRGSQAKLNFPLPEKESVNVRVETEEGVKGENVDKGMEVDTFGNKESEFWESIGEADIQKHMMMGKDFAGDSSESAARNTFSS >OIV93108 pep chromosome:LupAngTanjil_v1.0:LG18:11626371:11631464:-1 gene:TanjilG_20770 transcript:OIV93108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTMTGEKKLQSFCLKPEGECECECECECVDAMGTCMSTQQQRGSVGGMLSSTSRRQTRKRRIGGLRRRVSSRSSKGSLDKADDSSVSPTFQAGNIDESWFDSIEEFGSDRDDDFQSVLEDLVSLNGIEGGSISSVRSSRDAYHISSYVQHSGVDVNDTRSKFVGNEVNEAVFLDEISSIDSRSKKDDGILDNCGTLPNNYLSCLASPVPSFDERRSSSSSPPSARKKAPMKPSFNSKEGHENAALFSSKTLLERPVAGSQVPFCPIDKKILDSWSQINPSTFKVRGVNYLKDKIKEFAANYSAYYPFGVDVFLSPRKLDHIARFVELPAISSSEKFPPLLVVNVQIPLYPASYFQGKTDGEGVSFVLYFKLSESYSKELPLHFQENLSRLMDDEVEKVKGYRVDTIVPFRDRLKILGRVVNVEDLNLSAAQRRLMQAYNEKPVLSRPQHEFYFGENYFEIDLDMHRFSYISRKGFEAFMDRLKMCTLDGNKEEELPEQVLCCIRLNGIDYMNYQELVLPEDAL >OIV92606 pep chromosome:LupAngTanjil_v1.0:LG18:16422548:16425383:-1 gene:TanjilG_17957 transcript:OIV92606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRKLFNIKTKSEEDSPHPTEDSVSYNKGGDVEYRRQNSFSEREPSMIKKSKTEKFSRKPERVRQAKMNLDHPRVIDVQNYSIFVATWNVAGRSPPSNLNLDDWLHASAPADIYVLGFQEIVPLNASNILGAEDNGPAKKWTALIRKTLNNLPGTSGGGGYYTPSPVPQPVAESNADFEGSTRQKNSSFFHRRSFQTSSDSWQMDNDLPTVQPELDRRFSVCDRVIFGHRQSDFDPSLRWGYRPSDYSRASDYSRPSDYSRWGSPDDDNGLGDSSSTVSFSPMSYCGPASNEDGYGMPGNSRYCLVANKQMVGIFLTVWVRSELKDHVRNMKVSYVGRGLMGYLGNKGSISVSMSLHETSFCFICSHLTSGQKEGDELRRNSDVMEILKKTRFPRVHGADNEKSPETILEHDRIIWLGDLNYRIALSHRSATALVEMQNWRALLENDQLRLEQKKGHAFVGWNEGKIYFPPTYKYSTNSDRYSGGDMHPKEKRRTPAWCDRILWYGEGLHQLSYVRGESRFSDHRPVYGTFWAEVESIHGGLEKNMGCSHSRIEVEELLPCTGGYTELSFF >OIV93411 pep chromosome:LupAngTanjil_v1.0:LG18:6272052:6277433:-1 gene:TanjilG_02948 transcript:OIV93411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVAASAIYFLNLRGDVLINRLYRDDVGGNMVDAFRTHIMQTKELGVCPVRQIGGCSFFYMKISNVYIVIVVSTNANVACAFKFVVEAVALFKSYFGGAFDEDAIRNNFVLIYELLDEIMDFGYPQNLSPEILKLYITQEGVRSPFSSKAADKAVPNATLQVTGAVGWRREGLVYKKNEVFLDIVESVNLLMSSKGSVLRCDVTGKVLMKCFLSGMPDLKLGLNDKIGLEKESELKSRPTKSGKTIELDDVTFHQCVNLTRFNAEKTVSFVPPDGEFELMKYRITEGVNLPFKVLPTIKELGRTRMEVNVKVKSVFGAKMFALGVVVKIPVPKQTAKTNFTVTSGKAKYNASIDCLVWKIRKFPGQTESTLSAEVELISTMTEKKPATKPPIQMEFQVPMFTASGLRVRFLKVWEKSGYNTVEWVRYITKAGSYEIRC >OIV92904 pep chromosome:LupAngTanjil_v1.0:LG18:15325738:15327711:-1 gene:TanjilG_01038 transcript:OIV92904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKKSLVQRFFNITKISSQIRNKCRISSSSVHGRTTSSNPIQSDIEPEPGHNALFRRFIHKRPVSQPELRSAAFSGNLMEKLRDMDITRTRIRLEGLTPPVEKVDTMEEGVTVEDARKVLKAVQVELVKSKLREIQKTCIPVSEFFRICSENCSDLDQAAKIATMLDESAAVIILGDVVFLRPEQVTKAIHSLLPQQGRKVDESARKEFKEMEKLKSAIDTRANTLVRRELWGGLGFLVVQTMALMRLTFWELTWDVMEPICFYLTSTYFMAGYTFFLRTSKEPSFEGFYQTRFSTKQKRLMRVHNFDTVKYNELRAACSPSTTSNFDHSPQHN >OIV93521 pep chromosome:LupAngTanjil_v1.0:LG18:2467810:2473944:-1 gene:TanjilG_28678 transcript:OIV93521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATVSSNRYSDDGATNRTPILGNSGSSSDESNRFSRRGIRQAARFLRQASGQRMMREPSMMVRETAAEQLEERQSDWAYSKPVVVLDILWNFAFVVTGATVLILSVNETPSMPLTVWVIGYALQCILHVVCVCIEFKKRRRTRREESNAVAVQDGVDGSVDLSSGSGQYQLMSQLDEEGTSMAKRLESANTMFSFIWWVVGFYWVSAGGQDLAQDSPLLYWLCIVFLGFDVFFVVFCVALACFISIAVCCCLPCIIALLYAVADQDGATKKDIEHLSKYTFRRISNDAKLAGNPEGPAGGIMTECVANSPTEHDICDGDAECCICLSEYEDGAELRKLPCGHHFHCTCVDKWLHINATCPLCKYNILKCTEEV >OIV93285 pep chromosome:LupAngTanjil_v1.0:LG18:9125106:9131092:1 gene:TanjilG_23126 transcript:OIV93285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLVSNQRGSRTETTQEGLPVTVSSGGGAVPKKKWSNLMPLFVILVVIAEIAFLCKLDMVKNASMVDTFADLFYRSRVVVEGGVDGDGDDDSRLAVISSVRNSVEESCEEWLEREDGVTYLRDFTKDPVIVSGADQDWKSCSVGCKFGFNQDMKLDAVFGEPQAGVASILRSMESAEYYHVNNIDTARRKGYNIVMTTSLSSDVPVGYFSWAEYDIMAPVEPKTESALAAAFISNCGANNFRLQALEALENANIKIDSYGACHRNHDGRVNKVETLKRYKFSLAFENSNEEDYVTEKFFQSLVAGTVPVFVGAPNIEDFAPYPGSVLHIKKLEDAESIAKTMKHLAENPEAYNQSLRWKYEGPSDSFKALVDMAAVHSSCRLCIHVATTIRENEEKSPAFKKRPCKCTRGSETVHHIYVRERGRFETESIYLRSSNLTLEALKSAVALKFKSLNHVPIWKPERPEILRGDNELKIYRIYPIGLTQRQALYSFSFKGDEDFRNHLDRNPCAKFEVIFV >OIV93270 pep chromosome:LupAngTanjil_v1.0:LG18:9369547:9370908:1 gene:TanjilG_23111 transcript:OIV93270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQSFLLMLSNLINLHNSLDPTTSLLTDTLSSTTATTTSSSPSSSTSPTSLLSSSSVAPLLFFTIASVLSYIASTQSPTPSTTTTPPPTTTTTTSSSSYSVSAFRALSTEHIWSLEPPLRDAQWRSLYGLSYPVFTTVVEKLKPHIALSNLSLPSDYAVAMVLSRLAHGLSAKTVANRYSLDPYLVSKITNMVTRLLATKLYPEFIKIPVGRRRLVEITQAFEELTSLPNMCGAIDTTPVKLHSTSSNRIPLTYRCRFGYPSVLLQVVSDHKKIFWDVCVRAPGGTDDATHFRDSVLYNRLTSSDVVWDKVINVRGHHVRPYVVGDWCYPLLPFLLTPFSPNGMGSPAQNLFDGMLMKGRSVMVDAIGLLKGRWKILQDLNVGLNHAPQTIVACCVLHNLCQIAREPEPELWKEPDESGPQPRVLDSEKSFYFFGESLRQALADDLHQKLSSR >OIV93124 pep chromosome:LupAngTanjil_v1.0:LG18:11472143:11472409:1 gene:TanjilG_20786 transcript:OIV93124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTRLFFLAFFIALSLFNIGNVQANRKLLAPTTPSGFGNIPALKFPPFPPVTEWPEYRLPPPIFNIPDFSSIPSFFSPPAATTTTKP >OIV93365 pep chromosome:LupAngTanjil_v1.0:LG18:7479193:7486988:1 gene:TanjilG_21076 transcript:OIV93365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRKCSHCSHNGHNSRTCPNRGVKLFGVRLTDGSIRKSASMGNLSHYAGSNSPGVETTDHGAAAVADGYASEDFLPGSSSTSRERKKGVPWTEEEHRRFLLGLQKLGKGDWRGISRNYVISRTPTQVASHAQKYFVRQSNVSRRKRRSSLFDIVADEAAEAPIVEHDFLSTNQFEAETEGNDPLLGPPTLDEECESMVSTNSNDGEPAGPEPSNPDDNSQSSYPVMYPAYYPPFFPFPLPYWSGYSPAEPVKKEETHEVLKPTAVHSKSPLNVDELVGMSKLSLGETIGNSGPSTLKQKLQEEGPSRQSAFHATSAATACGVPWTEEEHRRFLLGLQKLGKGDWRGISRNYVISRTPTQVASHAQKYFVRQSNVSRRKRRSSLFDIVADEAAEAPIVEHDFLSTNQFEAETEGNDPLLGPPTLDEECESMVSTNSNDGEPAGPEPSNPDDNSQSSYPVMYPAYYPPFFPFPLPYWSGYSPAEPVKKEETHEVLKPTAVHSKSPLNVDELVGMSKLSLGETIGNSGPSTLKQKLQEEGPSRQSAFHATSAATACGSSNINGSVIHAV >OIV93717 pep chromosome:LupAngTanjil_v1.0:LG18:244967:246828:1 gene:TanjilG_16568 transcript:OIV93717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALFWALALASLCYPLASINAQTQASAPSSKLPITTPNAPSKLPATTTLGAPSKLPIIISPSVAKQPPFVAATPTASSKPPTASINTAAPSKLPTATSPSIAKQPPIAAATPTSSWRPAVAVSPTSSEPPRTASQPPTIATVKPISPTYAPVKSPLPKIASPVLAPVKLPFPKVTPTLSPKAPYPKFPPPQPPTKAPSPKIPPPQPPKKAPSPKIPPPPQPPKKAPSPKIPPPQPPKKAPSPKIPPPHPPKKAPTSPPPVLSPLLSPSLSPSNVSPTPAKETPAPAPTHKKKSPKASPVPSPLSNSPTPAPAPILDTPAPSPEDDEPEPPPHKHKKRRHKHKHKRHHALSLAPAPTTIIRRSPPAPLTDDATTTTDSQESPAPAPSLNVNGAELYNEQGRNIRAMIGLAIAILLSFI >OIV93637 pep chromosome:LupAngTanjil_v1.0:LG18:1489588:1493797:1 gene:TanjilG_04869 transcript:OIV93637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSPPSKRRRHTKDAEFESSSTIQNSSPVVIFAHGAGAPSSSDWMQRWKTMLKDALQAVDVVTFDYPYMSGAKKRAPPKAEKLVEFHSNIVKEAATKYPGHPLILAGKSMGSRVGCMVAATLKDINVSAVLCLGYPLKGINGAVRDETLLQLTVPTMFVQGSKDNLCPLEKLEATRKKMKPCNELHVIDGGDHSFKIGKKHLQANGSTQDAAEAVALQAIAAFISKIEKLAIVWTEDSGINGAVRDETLLQLTVPTMFVQGSKDNLCPLEKLEATRKKMKPCNELHVIDGGDHSFKIGKKHLQANGSTQDAAEAVALQAIAAFISKSLE >OIV93659 pep chromosome:LupAngTanjil_v1.0:LG18:1799063:1801281:1 gene:TanjilG_04891 transcript:OIV93659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHHSCCNQQKVKRGLWSPEEDEKLIRYITIHGYGYWSEVPEKAGLQRCGKSCRLRWINYLRPDIRRGRFTHEEEKLIINLHGVVGNRWAHIASHLPGRTDNEIKNYWNSWIKKKIRKPWVSSNTNVSKYVDYSKLDFNSNQLDHYANCKQDNLTTKLPQVQETLFSSTCPLFMFDTSSLDHGTTTLMDNNIRPYLFQDAVGLSTETWNLSHHQQVQEPFTIGLDNTNYLPPLIENVENMVPIEVQSCSMNEQGDVALESLQRQELNEWVETQQQQCPNFFFCWDNVEGQLGGEELAPISSNMITNTLPSFP >OIV93144 pep chromosome:LupAngTanjil_v1.0:LG18:11259322:11262270:-1 gene:TanjilG_20806 transcript:OIV93144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAATALIRSLRRRDVATCSFSAYRSLASSTKTAYVGCRWQSLVRQFSTKPAGNDIIGIDLGTTNSCVANAKVIENSEGARTTPSVIAFNQKGELLVGTPAKRQAVTNPSNTLFGTKRLIGRGFDDPQTQKELKMVPFKIVRAPNGDAWVEANGQQYSPSQTGAFVLTKMKETAEAYLGKSVSKAVVTVPAYFNDAQRQATKDAGRIAGLDVQRIINEPTAAALSYGMNNKEGIIAVFDLGGGTFDVSILEMSNGVFEVKATNGDTFLGGEDFDNALLDFLVSEFKRSENIDLSKDKLALQRLREAAEKAKIELSSTSQTEINLPFITADASGAKHLNITLTRSKFEALVNHLIERTKTPCKSCLKDANISIKEVDEVLLVGGMTRVPKVQDVVSEIFGKSPSKGVNPDEAVAMGAAIQGGILRGDVKDILLLDVTPLSLGIETLGGIFTRLINRNTTVPTKKSQVFSTAADNQTQVGIKVLQGEREMAADNKSLGEFELVGIPPAPRGLPQIEVTFDIDANGIVTVSAKDKSTGKEQQITIRSSGGLAEDEIEKMVKEAELHAQKDQERKALIDIRNSADTTIYSIEKSLGEYREKIPSEVAKEIEDAVSDLRTAMSGDNADEIKSKLDAANKAVSKIGEHLSGGSKGGSSAGGSQGGDQAPEAEYEEVKK >OIV93074 pep chromosome:LupAngTanjil_v1.0:LG18:12142709:12159042:-1 gene:TanjilG_20736 transcript:OIV93074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLTFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIANKVFSCGHNQKHAKQQKQQNHHHNMRPKEVNIEFGFDKKIAACKPNGHGHVLI >OIV93088 pep chromosome:LupAngTanjil_v1.0:LG18:11908307:11909197:1 gene:TanjilG_20750 transcript:OIV93088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRGKLEVDIDLKSSADKFWETIRDSTTIFPKAFPHDYKSIEILEGDGKAAGSIRHITYAEGSPIVKSSKEKIEAADDEKKTVSYAIIDGDLLQYYKKFRGQISVTPNENGTSEVKWSAEYEKAAHDVPDPTIIKDFAVKNFLEVDAYIQNA >OIV92800 pep chromosome:LupAngTanjil_v1.0:LG18:14539027:14540424:1 gene:TanjilG_00934 transcript:OIV92800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNVMALQPQYPSNLFLLNSSKNVQHGHQPQTDFNQTHMLFNTGATSSRKRGREAAVAGVNQMDPNFVNPLFSLQSQSQPPQQHNAVSTGLALSFGDQHQQLHNLPQHSSHSSPFFSHFSQLRNSQIKQHKDDIDQFLQAQGEELRHELAAKRQKHYQTLLNAAEESVARRLREKEAEVEKATRKKAELEARAAQLIVEAKIMQARVKAHEATAASLQAQLHHAIVMSHEKSVVVEDAESAEDADSAYVDPGRVMVSGPKCRGCDIRVASVVVLPCRHLCVCIECENHFRACPVCLTVKSSSIEVCLS >OIV93406 pep chromosome:LupAngTanjil_v1.0:LG18:6342454:6347182:-1 gene:TanjilG_02943 transcript:OIV93406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKVAVGAAVVTAAAVCAAAALVVRHRMRCSGKWARAMAIVKEFEEKCGTPTLKLRQVGDAMDVEMHAGLASEGGSKLKMLISYVDNLPTGDEEGLFYALDLGGTNFRVLRVHLGGKEKGVISQEFEEVSIPPHLMTGSSNGLFEFIASALAKFVASEPDGFLPPNGKQRELGFTFSFPVRQTSISSGTLIKWTKGFNIEDAVGEDVVGELTKSMEKIGLDMRVTALVNDTIGTLAGGRFYNQDVIAAVILGTGTNAAYVERSHAIPKWHGLHPKSGEMVINMEWGNFRSTHLPVTEFDQALDTESLNPGEQIFEKLISGMYLGDIVRRALLKLAEEADFFGDTAPPKLRVPFIIRTPDMSAMHHDTSPDLKVVGNKFRDVLEISNTSLKMRKVVVELCDIVANRGARLAAAGILGILKKIGRDTAKAGENSKSVIALDGGLFEHYTKFRTCLESTLKELLGDEAADTIVIEHSNDGSGIGAALLAASHSQYFEVES >OIV92885 pep chromosome:LupAngTanjil_v1.0:LG18:15177327:15178488:-1 gene:TanjilG_01019 transcript:OIV92885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMAQVSVKTRARAALAMEEVTAATSTAPTSKRRKINDTNEEQKKSSSNGTKSGATVVSPVTEEGCSSPTSDEELQASCCSSNGSVDEEKMKLLDLEVESAQVETSTYNCGEQTERRTMSLGENSQEAETNSHRSYSTVQIMPTELELEEFFTIAEKDIQKRFQDKYNYDIVKDVPLEGRYEWFQRKP >OIV93710 pep chromosome:LupAngTanjil_v1.0:LG18:281939:311208:-1 gene:TanjilG_16561 transcript:OIV93710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSNNVIGAINFVAVLLSIPILGAGIWLTTEPADSCVKTLQWPVFVLGVLIFVVALAGFIGAFWRIPRLLIFYLIAMLVLILLLACLVVFIYVVTVRGHGNIEPNRSYLEYHEDDFSGWLQRRVRSSYKWDKIRSCLSSTSICAELNQSYRIAQDFFNAHLTPMQLIVDNGIVKVTLSIPEGYVIGISYNGIDNILNTEDREQNRGYLDVNWNNVPSKDSKYQRIFGTQFSTIIANNDVVEVSFLRTWKSSMNGASVPFNIDTRYIFRRGDSGFYSYAIFDRPEGLSAVEVDQIRIVFKLQKNRFKYMAIADKRQRKMPTLKDRETGQTLAYPEAVLLTKPSDPKFIGEVDDKYQYSSENKDNYVHGWIDVDSNASIGFWMITPSNEFRNGGPIKQDLTSHVGPITLSMFVSTHYAGKEATMAFKEGETYKKVFGPVFVYLNSASTTKQSLSLWSDAVQQKSKEVEKWPYDFPHSKDFFPSNKRGTIMGRLRVQDSSIKVVRGANNAYVGLALPGDAGSWQKESKGYQFWTRADQVGNFAIKNIVPGVYNLYAWVPGFIGGDIDFKDLLYNPPRNGPTLWEIGIPDRSAAEFYVPDSYPNLVNKLYQGESKEKFRQYGLWSRYTDLYPKHDLVYTIGVNNFTKDWFYAHVPRDTGKNTYLPTTWEIIFEHQHDIIGGNYTLQLALASAAEAELEVRFNNPSVNRPHFSTGLIGGDNAIARHGIHGLHRLFSIGVPSITSNSPQQETNVGYDSENVENKAPKFITSVSNPFVKHCVKLRNSSSYRRSHASALVIGSIPIRFQESLQDETVRMSCLIIPDKAEIPNGLDNSAASIVRVSSVVMKKLSALQSTESVDAIALMKIPASFLGVEGNLKNADFPKWFPLARRILVLDGIQDPGNLGTLLRSAVAFRWDGVFLLPGCCDPFNEKALRASRGASFQLPIVSGSWNHLEALKEEFQMKLLAGHPDHEGLGKRVCSLTPTFCDSVSDTPLCLVLGSEGSGLSEKSLQACELVSIAMAGEYESLNVSVAGGIFLYMLQPKNR >OIV93702 pep chromosome:LupAngTanjil_v1.0:LG18:367834:368103:1 gene:TanjilG_16553 transcript:OIV93702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFRLPGIRRASFQAASKAVEVPKGYFAVYVGENMKRFVIPISYLSHPSFQELLNQAEDKFGYDRPTGGLTIPCREDAFLDLISHLNRL >OIV92599 pep chromosome:LupAngTanjil_v1.0:LG18:16455196:16457232:1 gene:TanjilG_17950 transcript:OIV92599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFSVAVGTLGIVLMTFDGTHEKRLEEFREYYRFGLWWLALGVASSIGLGSGLHTFVLYLGPHIALFTIKAMQCGRVDLKSALYDTTQLKRSPSWLDKDCSQFGPPLFQSEYGSRVPLSSILPQVQVEAVLWGLGTALGELPPYFISRAARLSGREVDAMEELDSDDRGIMAAQLNQIKRWFLSHAQYLNFLTILVLASVSMDVMLHFQHDLFFFIE >OIV93153 pep chromosome:LupAngTanjil_v1.0:LG18:11175732:11178475:1 gene:TanjilG_20815 transcript:OIV93153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSQARDQQCHNLHFFKVILESNIRDGDLRVPKGFVKRCWQEITNPVFLRLPNGTERRVYWIKKDGDVWFSNGWKEFAQYLSLEVSQFLVFRYEGKSRFYVIIFGKSALEIKYPLPENEEVSNGSDYSLKIVDDFDIINCKRHKSPKPCSQAPKKLKTNPKEEHEYYSSHAETRLQKWKSHNAGLSNAKNNGGSSRDLYERINAFHKKVNQNFYSENHWFTCTLQKTCFQRDLLVIPKDFARTNLHKKEGEATLSLMKDGKGRTWDVMIKHYSRNRLSAFVGGWINFVEDNNLKIGDVCVFVLNKCKKVSFQVVIFPFEDDTSLLHFTEQKGFSNRPSSCLKDPEAPKANIRVSSINHFTIHIKDSSYLTSIPMSFMRNYVTLGGKKAKLRVGKRTWDVKVQYYQTRTYARFTDGWRDFTKECNLNVGDSCLFEIIDEENFELNVSIMKYTH >OIV93057 pep chromosome:LupAngTanjil_v1.0:LG18:12362933:12365446:1 gene:TanjilG_20719 transcript:OIV93057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPASTAADFSSLPYDVLIKIAASFDHPNLLSASLVCWSWCEALQPLREAMLLLQWGKRFKHGRGGVRQNAEKALESFLKAAARGSALAMVDAGLMYWGKGERDKAVGLYIKAAELGNHAAQCNLALSYLQAKPPNTEQALKWLYKASFGGNVRSQYQLALCLHRSVGVRSNMKEAVAKWYLKAAEGGYVRAMYNISLCYSFGEGLALSRQQARKWMKRAADRGHRKAQFEHGLALFSEGDMMKAVVYLELATRAGETGAAHIKNLVLNRLSAASRDHAMSLADSWRPLPSS >OIV93133 pep chromosome:LupAngTanjil_v1.0:LG18:11371597:11380069:-1 gene:TanjilG_20795 transcript:OIV93133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASITHTAPNLNFPNQKLSFPLTLTKPSLLQFQPQPNSQSLCRCLNSSSSGGDDKGGNPRWDSLLHDFVTNAIKQFDSYINSLTNRNAAAEKGEPEDSGDDDENEWDWDRWRVHFKEIDEQERIVSVLKSQLNRSVYLEDYKDAARLKVAIAAAATNDSVGRVMSHLNVEILTHMRAIKEERYTDAAFLRDKAGAGLVGWWSGISKDVNDPHGLIIHITPEHGRYVARSYSPRQLATSAAGVPLFEFFLTKDKRGAFKSQVVYLKRRGSFQGSPTISSKPLDAADRLSSVKPTEDQSQLFVVSTEDLEGGDDRNDGSDPTEGMPGFQNVLKDMIPGVKVKIYAVTTPEKVDKDIISKVIEQIIEEEKDEDEDEDEEDENEDESEDENEEKDDIESLELEDMKSETGQGVNDEIDISAGPGTFEREEQNDIAVKVVIGGLVQKLSSNLSARDLLRVPARLEMKGRCSFSFTVEKDVNQQDGDNKGKFASDESTMFQGRRRVGHVMFDLAKFIGRGKVPSKVLREVGELINLTLSQAQNHQPLSGTTNFNRIEIPASLDPLNGLYIGAHGLFSSEVIQMRRRFGQWQEDGGAKESSDLEFYEYVEALKLTGDPYVPAGQVAFRAKIGKRYQLPHKGLIPEEFGVIARYKGQGRLAEPGFQNPRWVDGELVILDGKHLKAGPVVGFVYWAPEYHFLVFFNRLRLQQ >OIV93505 pep chromosome:LupAngTanjil_v1.0:LG18:2689432:2689686:1 gene:TanjilG_21758 transcript:OIV93505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIKVKGVLITVDLNPLGARIQHKVHEEILHFVTLKNIHHVSNETYSGSVSSSLEFISIAKMLEARNYNNAERVHIVYSLSKEL >OIV93499 pep chromosome:LupAngTanjil_v1.0:LG18:3582981:3585172:1 gene:TanjilG_11081 transcript:OIV93499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKFNSRSKPSPLLSNGCIFLGGALSTLLLVWGLCSFIIPIPNSDPKLDLVATKLKSLNCTTDTITIVAPDTLHDALERTFYDDPEMAYTMDNPMKNWDEKRLEWLQHHPSFLAGARERVLLVTGSQPSRCRNPIGDHLLLRFFKNKVDYCRLHNYDIFYNNVLIHPKMNIYWAKYPMVRATMMAHPEVEWVWWVDSDAVFTDMEFKLPLNRYKNHNLVVHGWEELIHLNRSWTGLNAGVFLMRNCQWSLDFMDAWTSMGPQSPEYEKWGEVQRSTFKDKVMPESDDQTALAYLIAVEKDKWTNKIYLEDNYYFEGYWLEIEKTYNNISKKYNELERQVKGLRRRHAEKVSETYGAMREGYLNNIGQWRRPFITHFTGCQPCNGHHNPNYAAEDCWNGMERALNFADNQVLRKYGFVHNSLMDKAVSPIPFDYPAV >OIV92687 pep chromosome:LupAngTanjil_v1.0:LG18:15842028:15843100:-1 gene:TanjilG_18038 transcript:OIV92687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMETQQPNEDGGSSGKGGFMSRQSSTRWTPTTDQIRILKDLYYNNGIRSPSAEQIQRISSRLRQYGKIEGKNVFYWFQNHKARERQKKRFTSDIPMQRVGSTNAAVSAWKHDEPPIHAKYSNISTGVSSTPSSSAGIVTMGHMGNYGHGSVPMEKSFRDCSISAGGSSGHVGGAINHNLGYFGMEQYSSPYTFLEKTRPSGETMEEEEEQQVVDGSPEIQTLPLFPVHCEDIHGYCNLRSNSSNYVSGWYQTEDGFMNGSRASMELSLNSYTRKSPDYS >OIV93423 pep chromosome:LupAngTanjil_v1.0:LG18:5911741:5916807:-1 gene:TanjilG_15058 transcript:OIV93423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPESELSWLCHLVDESLRPYTEPHTAVSISKENENQILLNSSQVVTKIQMRIREFDSHGKDTVAKPAVCGSNRHTCDHQCLHKIVAEMVILLTVKSEFVQHVAVNALVLMSQFLSTTGNNWDGFIHMLCFFLETAISRILACSSAHSTGDLNGDFDSSDIDFLMQDGLRNCDWSTVAGITQVLHVICKGLKDDYDDKLVKVYYDSVNSCLLKVPWDLLEEYQSWDTCKEGCSMNLLHPHNFCAMEPEIKFFGTFLRLLCSLVDHTDFFEAGYDSAHKHPLLVTVINLVPRLVKWCLSNQEDSMETCIIYYLKHKLLILMIRLGSLACLDCSIRHCWLELLHNYFEELLRQPLNHFQSDQDDCLEGSPFLLSLSDGQACGMHSSHLQRQAVFLFLDCSFSMICPRGEKADHSNCSTFSSLTTKLDHFYTEKGSLELYKWTQGHLPTEISIDHEKYVEICMNFMSSFLQLYLREIHYDHQVLLDYLISKDTGISCAKYLLRCLHLICNSWKLFVNFPLFGELSNQPSCKRRKVSGNGPEFLADEMPSVENNGSIMLHIKDYKEDNEYGFEHYNINPFKKAAKCVLSLKNSVDNLHQKNLFPYNPEVLLKRKGIPWTRNYPNYMNVSSAILSLLMVIELDIRGVMPQMSREGKNVCAALQI >OIV93726 pep chromosome:LupAngTanjil_v1.0:LG18:171112:174008:-1 gene:TanjilG_16577 transcript:OIV93726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKIFFLSLLSLLVAAHGVAGSMTCDNNMGMLQELESLDIEEENEVELSDIPSWRSERGGKVLVNVDSFGAAGNGESDDTEAFQKAWNISCSTPKSVFLVPQGRIYLVNATKFIGPCAGNIIIQPCKGAPTALTIDSSSAIKGGSGYVRGVRFQNVRVENVSNPIIIDQFYCDSPSSCQNQTSGVEISEIMYQNISGTTKSDKAIKFDCSDAVPCNNLVLSNVNLEKLDGTVQTYCHSAQGFGYGVVHPSAECLNSNDKISQLHESIQDDIIHHTEL >OIV93137 pep chromosome:LupAngTanjil_v1.0:LG18:11333827:11335425:-1 gene:TanjilG_20799 transcript:OIV93137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRIMIGRSARPAPRAAPRPAPQPAKHAPPPATVQSGGGSLLGSIGSTIAQGMAFGTGSAVAHRAVDAVMGPRTVQHEVAGAADPAPAPTANTFSGDACSVHSKAFQDCITHYGSEISKCQFYMDMLAECKRNSGASLNA >OIV93009 pep chromosome:LupAngTanjil_v1.0:LG18:13010815:13011318:1 gene:TanjilG_20671 transcript:OIV93009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENMQSAAGSQHNSIKKHVDQRAPSKGHSDITTRRMKNRERQRRYRARKRLEVKIRNATVKEETKSVLEPQGNGNGSHSVIRTYCIRDWKKDARRAHVHKCEEMNGSIVTSSTLSSVSEVTCSAEEKKEERNVEREMQSGSAGINHETPRVVLGGRNWKAEARRMRN >OIV93676 pep chromosome:LupAngTanjil_v1.0:LG18:561680:568833:1 gene:TanjilG_16527 transcript:OIV93676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEYPEELRTPPLTLASILGYPELHSLISTHLLSCQPPINTLALPHFSKINFFSNKTHQSYDPSTTVVGGILKRDWLLKHRTQIPALVAPIFPSQHVFGDPAQWLQLCSDLDSIKTVIRGRNIKLAVVIVQTNAQDEVSDDRMIALRKRAEVDAKYVITLNPNDNSELKQSLNSLANIFSELASTYYREEGRRIKQRIEKKNVSSVELIVRYCFKVAVYAEFRSDWAEALKYYQEAYHTLREIVGVTTRLPAVQRLVEIKSISEQLHFKISTLLLHSGKVTEAVTWFRQHKNAYRRLVGAPEATFIHWEWMSRQFLVFGELLETSAITTQSFSPVVSSSKPLSDWEYYPAYYYQLAAHYLSEKRAALELAISMSEISSEIDSGADSVVPSVYVGQFARLLEQGDNVDMLPITDEEYTRYAVSEGRRFRDSLEIIALQKKAYESYSSMKIERMSSYCGFQMAKEYFTEGDIDNAKQIFGNIASLYRKEGWVTLLWHVLGYLRECSRKNGTVKEFLEHSLEMAALPITSDIGVQRDTGPAGPANLLQRETIQKEVFDLVSEASELATNENLSNFKFSGGESFQLEVDPVSPLRLVMFASVAFHEQAIKPGTSTLITVSLLSHLPITVEIDQLEIQFNQSDCNIFIANAQKPRLVEVCGNQQHRVETVSSLSLESNKWLRLTYDIKSDQSGKLDCLAVLAKIGPHFTICCRAESPASLENLPLWTLEDSVQTVPLKDPVLMLSGQKSTQVEEQDPGVDLHLDASGPALVGEIFMVPVTVISKGHDVYSGELKINLVDVKGGVLFSPRDSEPYSTDSHHVELLGVSGPEGEDDSQLDPDKIKKIQQSFGLISIPFLKNGDSWSCKLEIKWHRPKPIMLYVSLGYNPYRDESNTQMVHVHKNMQIEGHTAIVLNHHFLMPFRRDPLLLSRNKQALESDQSESLPLNQKTVLIVSAKNCTELPLRLKSISIEAEDDVGERTCSIQHANVELSDPALIVPGQEFKKVFSVSSDLNILKLKLGTMVLRWRRDLGVEEKSASAGTLSWVVTKQKLPDMNVELPPLIVSLECPPYAILGDPFTYYIRILNQTQLLQEVKYSLSDAQSFVLSGYHNDTLHVLPKSEHILSYKLVPLASGIQQLPTFSMTSVRYSAAYQPSNPSNSVFVFPSKPQFKNAASTNLMVESVAVVE >OIV93403 pep chromosome:LupAngTanjil_v1.0:LG18:6455307:6458344:1 gene:TanjilG_02940 transcript:OIV93403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFIVALALLFGLLLALLLIPRHHKSGQKGNAHSTLNNKDKESKSFSKSEVSLHHKRTDCWIIIKNKVYDVTPYVEEHPGGDAILAHAGDDSTEGFFGYASVPFYPLFVRRNSSGNPSEWYRHISKGGWPFSTRDNGWSVSDCSAEGLKVAILLSNMPFETVGKAIETEQLYDAVNYILSLQNPSGGFASYELTRSYSWLEKINPTETFGDIMIDYQYVECTSAVIQGLALFREKCPQHLNMEIQTCMAKAGNYIQTIQLPDGSWSGSWGICYTYGTWFGINGLIAAGKTYQDSHCIRKACEFLLSKQNPCGGWGESYVSCQNKGQRDPWLLHRAAKVLINSQMENGEFPQQEIVGVFCKNCTISYSAFRNIFPIWALGEYRSRVLLSPNKDEDHQNYI >OIV93296 pep chromosome:LupAngTanjil_v1.0:LG18:9039870:9043723:1 gene:TanjilG_13123 transcript:OIV93296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHKPWHWRKRSMEKTIFAMDKDVSPSRIIEEEVHELSIDKETGCGRSSRSLNQKSVTILLDCHDEDDPSSKHAQKSQQEIRGKETAKQEAESSEDLYKEVSAEIVTPPTDTKLWEPLQPLNCVQEEQGQSLSGAISNLSTEREKIRNEFEEKLKEQSKKIHALTAENTCLTNALLAKEKSIVDLLKCKQQSDAEFGTLMARLDSTEKENAFLRYEFHMLEKELEIRKEEMDYSHRYADESHKKYVENAHKASKLEAECQRLRLALHKRPPGPAGLVNMKNEVGMMRRETDMRRRKSNPARDLIYKNNDIRNSTEVSEKTIGLMMNHLQDLDEENKALKRILNQKNTELDSSRVMYVETTSRLSQAEILLRKLFENQKSMELERCYPNTTSTELPLISNFDLVGDDATISSGSWLNAANSELKHLRASEGTNHKNNKAIEVPDMSLMDDFVEMEKRAIVYVDRPKREYCSDVSGRELVPVEQDLGFSERKQEMQFKYTTAENSFDWLQVVLNAILEEKRISKRSHDELFEDIKIALGYINYPTASKTVKHQNSRHPGETDSCSVDSFSGVAELKGNQHFDSSLRKSVHKIIKLIEGIAPKSFICNNYPDCLEENQHSNTSQSLASKEYFVHVFQWKLSDLNPLLHQLVHTCKDLLTGRADYENFIEEVAFSLDWSINNCATSTNSTIAREKIKKHFSCHLSQSENENQIDFDDKQYFHSPQVAYPEDQCMVFNTENNQYDLREENIILKEDLRNTKSAKEDLEAKLLLVTDERQNLTKQFQEAQNSIKGLESEIEILEESKRIIEDQIEKQKLINEDLDTQLTIAHAKLNGIFQKVSSLEVELDDKKNSCEELEATCLELQLQLESIVKKDSPAYGRHEVEKIYQTGWEITSASSKLAEYQETIINLGKQLKALASSSETVLLDRVVSTTSTVAMANPTQKNNLLKRCSLLNHMQAEDDAKAGGSHESVENEETKSTNGSQKPLLQSENDSAMQTPKIMVNAPGTGLSSDQNDRSNAAGSLAIVSSKKQGSFGFLRKLLLRRKKRKGKPKHD >OIV92649 pep chromosome:LupAngTanjil_v1.0:LG18:16157382:16160415:-1 gene:TanjilG_18000 transcript:OIV92649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYYFAVMNVVLLLWCAMFGHNGVVVNAGDQVSTKASYNYQDALSKAILFFEGQRSGKLPSNQRVKWRGDSALSDGKAENVDLSGGYYDAGDNVKFGWPMAFSVSLLSWSAIEYESKLSSVKQLSYLQGAIRWGADFIMKCHTSGSTFYTQVGDGNADHQCWERPEDMDTPRTLYKISSSSPGTEAAADAAAALAAASIVFKKSDAKYSSNLLAKSKSLFELADKYRGSYSASCPFYCSNNGFQDELLFAAAWLYKASGDSKYLNFAITNSGQSQAMNEISWDNKFVGAQMLLTEEFYRGNQRFNMLKSHADQFVCSVMPGSSSVQIKTTPGGLLFIRDSCNLQYTTASTMMLFIFSNILDKNKVAGLNCGSAHFTTSQIRAFGQKQVDYILGNNPQRMSYMVGFGNKYPTQLHHRGASIPSYNTQRSKVGCQDGQSKYFQSSNKDANVHVGAIVGGPDGNDQFSGQRSDHSHSEPTTYINAAFIGAVAPLIGGGQKSSS >OIV93112 pep chromosome:LupAngTanjil_v1.0:LG18:11592641:11592961:-1 gene:TanjilG_20774 transcript:OIV93112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGESNIYLYHYQDKEIGDMRLLLKQKFFNLSIPCLLQTVLAFSCFSMKDKNPSLYPKNHIHAMQQPFMHDLLFQHCTLKSYVLNNDTTFQNHTSLKETPESIVPP >OIV92821 pep chromosome:LupAngTanjil_v1.0:LG18:14715424:14724809:1 gene:TanjilG_00955 transcript:OIV92821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLKETLGDLNRDSFVPLLSKLIGESKYVQNNPPDLIPQEDRVVNHVLDALRPFSTTTGGGPLILNHVAYFPGRGNLIVQYPGTVPGKILSFVGCHMDVVTANPNDWDFDPFSLSIEGDKLKGRGTTDCLGHVALVTELMRKLGQTKPKLKSTVVAVFIANEENSAISGVGVDALVKDGLLDNLKQGPLFWVDTADKQPCVGTGGMIPWKLHVTGKLFHSGLAHKVNITVTDRERGKGKGFEIPNPISMADLKETLGDLNRDSFVPLLSKLIGESKYVQNNPPDLIPQEDRVVNHVLDALRPFSTTTGGGPLILNHVAYFPGRGNLIVQYPGTVPGKILSFVGCHMDVVTANPNDWDFDPFSLSIEGDKLKGRGTTDCLGHVALVTELMRKLGQTKPKLKSTVVAVFIANEENSAISGVGVDALVKDGLLDNLKQGPLFWVDTADKQPCVGTGGMIPWKLHVTGKLFHSGLAHKAINPLELAMEALKEIQLRFYRDFPPHPQEQVYGFATPSTMKPTQWSYPGGGINQIPGECTISGDVRLTPFYNVKNVMEKLQEYVDDINKNIEKIESRGPVSKYVLPDENLRGSLTLTFDEASSGVACDLNSRGFHVLCKATEEVVGHVKPYSITGTLPLIRDLKDEGFDVQTCGYGLMATYHAKNEYCLFTDMSQGYGVFVSIISQLED >OIV93569 pep chromosome:LupAngTanjil_v1.0:LG18:742136:746306:-1 gene:TanjilG_04801 transcript:OIV93569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSWDHFGEIANVAQLTGIDAVRLIGMIVKAASTARMHKKNCRQFAKHLKLIGNLLEQLKISELKRYPETREPLEQLEDALRRSYILVNSCQDRSYLYLLAMGWNIVYQFRKAQNEIDRYLRLVPLITLVDNARVRERFEVIDKDQCEYTLDDDDQKMQTVILKPEPDKGDAVVLKKTLSCSYPNFSFTEALKKENEKLQLELRRSQANMDIQECEFIQRLLDVTEVAACSLPEKFSPEKSCKKVENSNFAYFDDNHGKGHSSDTKVHTKSDNVLATGLSVSEKEMSAGGSYQQEDWHTDLLACCSEPYLCIKTFFYPCGTLSKIATITTNRPISSAEICNELMAYSLVLSCCCYTCCIRRKLRKMLNITGGYIDDFLSHLMCCCCALVQEWREVEIRGVYGPDKTNTSPPPLQYMES >OIV92715 pep chromosome:LupAngTanjil_v1.0:LG18:15605655:15611825:1 gene:TanjilG_25147 transcript:OIV92715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMFGPSLVVKCKACDNGALGLEPPPSSPPPSEPPPSSPPPKEPPASSPPPKEQSPPPKEQSPPPKEQSPPPDQNSSPPPTPPSSDKSPPPPSPSNIPTPPSSNPTPPSSNNGSPPPPASIGHSPPSPSNGSPSPPQNKSLPSNNNSTPSPPNPNGGGNNTSKAIVGAVIAAALIILIMVIVCVIYCRRKKKRKQMYYYGERDEKGNHYYNNSGQHQGGYYGGPPHPDHVVGVQSGMAPPNSGWGATPPPMVNSAEMSSNFSAGQQPLPPPSPNLALGLKGTFSYEELAAATNGFNNQNLIGQGGFGYVHKGVLPNGKEVAVKSLKAGSGQGEREFQAEIDIISRVHHRHLVSLVGYCISGGQRMLVYEFIPNNTLEYHLHGKGVPTMDWPARMRIAIGSAKGLAYLHEDCHPRIIHRDIKAANVLIDDSFEAKVADFGLAKLTSDTNTHVSTRVMGTFGYLAPEYASSGKLSEKSDVFSFGVMLLELISGKRPVDLTNTMEDSLVDWARQLLTHGLEEDPGNFGELVDPFLEGNYNPHEMARMAACAAGSIRHSARKRLKMSQIVRTLEGDVSLDDLKEGMIKTGTTNVTSSSGEIPYDTMQYNHDIQQFRKAVFSSEVGTSSGSSSEMSRIQETPRKLN >OIV93077 pep chromosome:LupAngTanjil_v1.0:LG18:12109102:12113857:-1 gene:TanjilG_20739 transcript:OIV93077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGESYEEAIARLTKLLSEKAELGGVAAAKIKQLTEELETDGSKPFNPDERIRTGFVHFKAEKFEKNPDLYGELAKGQSPKFMVFACSDSRVCPSHILDFQPGEAFVVRNIANMVPPFDKTKYSGAGAAIEYAVLHLKGYSKGYAIQVENIVVIGHSCCGGIKGLMSIPDDGTTASEFIENWVQICNPAKSKVKVEASSLSFSEQCTNCEKEAVNVSLGNLLTYPFVRDGLVKKTLSLKGAHYDFVNGTFELWDLNFKLLPPVSV >OIV92679 pep chromosome:LupAngTanjil_v1.0:LG18:15918671:15922328:1 gene:TanjilG_18030 transcript:OIV92679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLEATMICIDNSEWMRNGDYSPSRFQAQADAVNLICGAKTQSNPENTVGVLTMSGKGVRVLVTPTSDLGKILACMHGLEIGGEMNLAAGIQVAQLALKHRQNKKQQQRIIVFAGGPVKHEKKMLEMIGRKLKKNSVALDIINFGEEDEEKTEKLEALLAAVNNNDTSHIVHVPAGPNALPDVLISTPIFTGDGEGGSGFAAAAAAAGGVSGYEFGVDPNLDPELALALRVSMEEERARQEAAAKKAAEDAAKQEKGGEQQASSQDATMTERASAATSDAENKTNDLMDDENALLEQALAMSMDDPATSHDVKDTDMSEAASVDPELALALQLSVADSTKDATSQSDMSKLLADESFVSSILASLPGVDPNDPSVRDLLASMQNQSEPQQKDDEKPSSNEEEKK >OIV92888 pep chromosome:LupAngTanjil_v1.0:LG18:15213243:15214518:1 gene:TanjilG_01022 transcript:OIV92888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMRSMTSSATSSSRGIAAIVGVGPNLGRSIARKFAHEGYTVAILAQRLSRLAEEIAREKMSQVFAIRIDCSDTKSVREAFESVLSLGFVEVLVYNAYHQPLSNNCWNPISFQHLTLDNFQKSLAVSSLGAFHCAQRVLPAMVERGKGTILFTGCSASLNGITGYSQLCCGKFALRALAQCLASEFQPQGVHIAHVIIDGVVGPPRQRGSIGDKQSSEGTMDPDAVAQTYWQLHVQHPNAWTQEIDLRSSVSRFL >OIV93201 pep chromosome:LupAngTanjil_v1.0:LG18:10571886:10574690:1 gene:TanjilG_24416 transcript:OIV93201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANRSRYLLKALTRQATTFLFRNTHPSLQSLSFSSHFPNPSTSLPIFTKPLSAFAQCSNSRHFSSDKTSNHSNKVEDRSDQEEEDEDEEDFEDEEDYESGEEGEGYDDENASVSSRKKVYTEEEKEAEALDIGYKVVGPLHNDDRVFKPYEPVFAVVQIGSHQFKVSNRDSIFTERLKFCEVNDKLILNKVLLVGSDSQTIVGRPTVPGAAVHAVVEEHALDAKVIIFKKKRRKNYRRTRGHRQELTKLRITNIEGIEKPQNVVVEKPSKAAKGEQNKVPVTA >OIV93176 pep chromosome:LupAngTanjil_v1.0:LG18:10855890:10856135:1 gene:TanjilG_20838 transcript:OIV93176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDKTLYKQMIGCLRYVCNTRPTISYGVGVVSRHMESPKKSDLLAAKRLLRYVKGTIDFGLMLSNKLCRLNQTMLGFSDVD >OIV92936 pep chromosome:LupAngTanjil_v1.0:LG18:13657105:13658205:-1 gene:TanjilG_20598 transcript:OIV92936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEAEPVLFRKSLSRRRMLRPGVGTDDRGWTPLHVHARKGDLKLVKKLLDQGMDVNVTAWGPKSKGVTPLHLAAEGGHLEVMDELLERSANIDARTKGACGWTPLHIAAKERRRDTVKFLVENGAFLPPDINDSRFNPPLHYCPGLEWAYEEMMRLKHEDLSASETSSTSES >OIV93014 pep chromosome:LupAngTanjil_v1.0:LG18:12950605:12953774:1 gene:TanjilG_20676 transcript:OIV93014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPLLVTEEEGQNKVSSMVASASSQSLDCFSQTGVELKERNYMGLSDCSSVDSSSPTVPSFSDEKKGILNLKATELRLGLPGSQSPERITDLYSLSSAKLDEKPLFPLLPMKDEICLSSQKTVVSGNKRGFADTMDGFPQGKFTGNTGMGVMLSPRPSGAQPRTVQEIPNKVLQERPCAANDSSISSMAPASKAQVVGWPPIRSFRKNSMATTSKNNEVDGKPGPTALFVKVSMDGAPYLRKVDLRNYTTYQELSCALEKMFSCFTLGQCGSHGAPGKEMLSESKLRDLLRGSEYVVTYEDKDGDWMLVGDVPWEMFIDTCRRLKIMKGSDAIGLGNFFSAAAPRAMEKSKSRS >OIV92818 pep chromosome:LupAngTanjil_v1.0:LG18:14698190:14703166:1 gene:TanjilG_00952 transcript:OIV92818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSRQQPPHHSNLMVGPTSYPPNTTIPSTMPTATFPFSSMPHHHHHHSTAPSNNNNPPSPEPLNNNNNNNSVAPYEDGSSSALKPFGLTATDSGKKKRGRPRKYSPNAGNIALALAPSTDQHVSSPPPHGGGATPSTKTLAKKHRGRPPGSGKKQLDALGAGGTGFTPHVILVEPGEDIAAKFVEFSQQGPRTVCILSARGAISNVTLRQPTTSGSTVTHEGLYEIISLSGSTPLSEKSSSGYGKTDGLSVSLAGPDGRVLGGLVAGMLKAASQVQVIVGSFIAEHKKSSSNNLKSGPPVPSPQMLSFGVPATPTSPTSQGPSSDSSDDNDNGPSNKGPGLYNNATQPVHNMPPYHHRLWAGQTQQ >OIV93723 pep chromosome:LupAngTanjil_v1.0:LG18:199649:202783:1 gene:TanjilG_16574 transcript:OIV93723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLWSDSTREGFGLFRRVLKRTCSMFLAMDMKRLAFSEPFLSHRRKVFSGFSLGVAVSLIFLTLLFFCYSLRSPKFEVFLSGFDSVGPNLPFSSCPFNNNNQSSSESKPSSVSFIENGNNASMHAQKTNVNNDVSVSDKGDFFDPNIVSDKSGVENSSRIAKDVGLHDGQRRVASKSNLSGKGTQKTSEGDLLKNNNAGNSSYKGKHGRDLSKKNNVTVTQNDSKVKKVQVGLYEKCDIFDGNWVRDDSKPYYPSGSCPFIDRDFDCYLNGRPDSEYVKWKWQPKGCNIPSLNATDFLERLRGQRLVFVGDSLNRNMWESLVCILRQSIRNKKHVYEISGKSQFKKKGVYSFRFEDYNSSVDFVNSPFLVQESTFESKNGSFETLRLDLIDQTTTKYQDADIIVFNTGHWWTHEKTSKGEDYYQEGNHVYPRLKVLDAYTRALTTWAKWVDRKIDASQTQVFFRGYSITHFWGGQWNSGGQCHNETEPIFNESYLQKYPSKMRAVEHVIENMKTPVIYMNVSRLTDYRKDGHPSLYRKEYKTAATHDSAALYEDCSHWCLPGVPDTWNELLYVSLLKYGKRTWKS >OIV93171 pep chromosome:LupAngTanjil_v1.0:LG18:10940952:10941610:1 gene:TanjilG_20833 transcript:OIV93171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIDPMTHSPRVDLLDLSSILSSSLYGSSQMNFQMLFGMQPMVNPELLKLASSLFSSHQSQDLNMTCPQNGIKRTSTLNTPSGSRPFTRSQLIESNVNTYPSNLNDFGSQQHSQINDWHSNGIASSSAIADNYYGSDYYHTSIMDPLISETSAFYSNNSNLNFRFASVLSTPSSSSPKPLNSNSTYINGSSTVD >OIV92737 pep chromosome:LupAngTanjil_v1.0:LG18:14039146:14049192:1 gene:TanjilG_00871 transcript:OIV92737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIIRPLPKSLRSSVRSGIFIFDSTRVVEELVFNSLDARATKVSVFVSVGSCYVKVLDDGGGITRDGLELAGERYATSKFLNLDDLNVTTGNFGFRGEALASISEVSVLEIVTRTCGRPNGYKKILKGCKCLYLGIDDDRKEVGTTGWPKSQGSHPVRLWSQMYAAILLQAEGLFLVDWKLFHLYAFITCPNKVLQSIKKCVMRLALVRPNISFKVVDIERENELFCTHSASSPLSLLTNGFGMEISTSLHDIEVEHDIMKLSGYISGPCNTLNMKFVCKGPIHKLLSQLAIRFEDLNSQGTDDELQNKKRSRFQQCPAYILNLSCPRSLYDLTFEPSKTYVQFKDWAPILDFIEKAIKRFWEENVVCGESSNGASYRLQEDQPWITEVNVISAEADISKVGNQKSKDCLDLFLSTSDKLTEDICHQTNREDVRTSLGYLHRGTEMFREQQSNRDFLCQAGYSSNLLDVSYDKCLSTVNRKHNSLLMHDNTCLAQGDYFVDGKFPAAEESFYESVPLDAPSYSHGKKNYDNLLDGSYAKCMSSVKRKHNNLLMYDKTALSQGHNFLDGKFPAAESFYDNVPFDSPCSSHGKKFLKVDDDVINESLKGDLLYDCHGFGYDVHINRNFQKPFLTRCSSRGSILHEKGLFVNDENEIPIDSFWSKQNMREDCGKDLYSSPYPEVVKKLKVSRFSDFLLGEFAEESCLPSDSYYTKTQMGSTGSDDQLLKSEWDPVYQEPSSQVNASGVDHITDGNDLGGVFRHYERVNHPKFLDDEQNECDFSYNMSRKANQHHCTSTSSANTEFDFDGAVGFNKMFNRLVEWPDCSDICSTKRTDILNEVPDWLLPEFCVEICQSRKKSKSKRDHFGHADLENNRERYRRSFSAPPFHKSKRRFFSLNQPSEMIAKRPDQMSNAAFNHREAVAFKYPRQSPRSLQTSTEDLLLQNFKTDEKQRSDVMGKTQVNDVGEIDLFEELMLKEVQESLDNRTKWRKSPPQTPKNDKLVDVQCQNNILDITSGFLHLAGDSLIPETISKKCLDDAKVLYQVDKKFIPVVAGSTLAIIDQHAADERIRLEELRQKVLSGEAKAITYLDAEQELVLPEIGYQMLHSYCEQIKDWGWICNIHTQNSESFKRNLDILHRLPMVVTLVAVPCILGVNLNDIDLLEFLQQLAETEGSSTMPPSVIRVLNSKACRGAIMFGDSLLPSECSLIVEELKNTSLCFQCAHGRPTTVPIVNLEALHNQIAKLGLMNKCSNDMWHGLHRHKVCVERATKRLCSARGS >OIV93715 pep chromosome:LupAngTanjil_v1.0:LG18:262893:267485:1 gene:TanjilG_16566 transcript:OIV93715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLMGSISHSISTFPPMGSSYGPHNLHSTCLQFSVPHKSRFIFNSTKNQTLPTTSIDLTDPDWKTKFYNDFESRFRLPHITDVFPNASSIPSTFCLKMRTPLHDHHHHATSSHYSSDQDWNGYINNNDRVLLKTIHYSSPTSAGAECIDPNCTWVEQWVHRAGPREKIFFKPEEVKAAIVTCGGLCPGLNDVIRQIVITLEIYGVKKIVGIPFGYRGFSDKELTDVPLSRRVVQNIHLSGGSLLGVSRGGPGVSDIVDRLEERGINMLFVLGGNGTHAGANAIHNECRKRQLKVSVIGVPKTIDNDILLMDKTFGFDTAVEEAQRAINSAYIEAHSAYHGIGVVKLMGRSSGFIAMQASLSSGQVDICLIPELPFNLHGPHGVLSHLKYLLETKGSAVVCVAEGAGQDLLQKTNVTDASGNIVFGDIGVYIQQETKKYFKEVGVHADVKYIDPTYMIRAVRANASDGILCTVLGQNAVHGAFAGYSGITVGLCNTHYAYFPIPEVISHPRLVDPNSRMWHRCLTSTGQPDFI >OIV93708 pep chromosome:LupAngTanjil_v1.0:LG18:319847:320592:1 gene:TanjilG_16559 transcript:OIV93708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIKGLFGRYERWNPVHPTSGAFWGMGVGIGCGVGWGPGFGPEVIGYVGAGCGIGFNVGVTLAGFGIGLPANIIYEAPYNAIMATRSSALELARSNGLLSRTDTIADIWFRNFPCVSDLQREACGKFFSFKQKHLSLKGIDFCDMKDSLASHATSAFNSIQASHTKLFGSRRGISLF >OIV93463 pep chromosome:LupAngTanjil_v1.0:LG18:4503763:4504234:-1 gene:TanjilG_21024 transcript:OIV93463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAALKVMSRLSSRLHHLSHTKLSKQSSLSLHLKPNPSTSTPRVSPISRLPVELGSLDSMLPLHSAVASSRLVSSLSIDSLAWGLVPQDWSRT >OIV93706 pep chromosome:LupAngTanjil_v1.0:LG18:326574:346483:1 gene:TanjilG_16557 transcript:OIV93706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRNSATSFFLLPPPPPPPLAGARRFSPTVHVTGRGGVRFHGPLLLTTDIDQNEVVFENCVTRNLPPALTLEEGLEKIKEALQLLKASPSPSSTGFLRFQVAVPPSPKALSWFCCQPESSGVFPLIFVSKNMDNPTFKALHVNGSRGVFGIGTAVSFAHSSPGNQSLIRRHISTDSTHIVAYGFMDINLDHELVSMTYEDHSFCFFIPQIELDEMETVSILIVTLAWDDFSPSTFEEALHSLEVSLNQVISHICSTTDAQKSKCTTAALRKLNLVEDKSISRVYMNTIAPGERESVGNIMELKESPSSSQFCVRLSATLTVSNNMLDHANKLLHSLNKSANINAVWASLIVEECSRLGLTYFCVAPGSRSSPLAVAASSHELITCISCFDERSLAFHAVGYARGSHIPAVVITSSGTAVSNLLPAVVEASQDFVPLVLLTADRPPELLDCGANQAIDQVNHFGSFVRFFFNLPPPSDQIPARMVLTTLDSAVHRATSSPCGPVHINCPFREPLESSPIGWMSSCLKGLDFWRTNAEPFTKYIHMPLSQTCINGHGEIVEVLNLIQRANRGLLLYGAIHTEDEMWAALLLAKHLRWPVVADILSGLRLKKLSTSFPDIERNFIFVDNLDHALLSDSVKGWLEIDFVIQIGSKITSKRICQVLEECAPFSYIMVDKHPFRHDPSHIITHRIQTTIVDFVACLMKATVPRTVCMWGTSLQLLSKMVDWEIQFQINAECSLTEPYVAHVISKSLSSESALFLGNSMPIRDADMYGHSWSICNRSVTSVMLNLDIPVNLIRVAANRGASGIDGLLSTAIGFAVGCNKKVSFVIGDISLLHDTNGLAILKQSIKHLHVKTKAELEEALCVVQHEKMDCLVEIESSIEANSNFHSVLKKFALQTAQHTRRFLSVPFSRGSTGDELYLNRIRKVECLKYRIALSAPPTSASDYNNLKELYREGFILSIILADGSVGFGEVAPLEIHRENLVDAEYQLRFLIHVMEHVDIGGFLSLLKGSFSYWIWNELGILPSSIFPSVRCGLEMAILNAIADAKGSNMLNILHPPINENDKCQSSLEAQICALIDSNPSPTEVANVAATLVEEGFSAIKLKVARRGNPVQDAILIQEVRKKVGGQIIIRADANRKWTYEEAMEFSSLVKDCNLQYIEEPVQNEDDILKFCEESGLPVALDETVDKIQENPLEKLAKFTHPGIVAVVIKPSAIGGFENAALIAQWAHRLGKVAVVSAAFESSISLAAYTLFASYLELLSLSTFKVLDDRKVPSVAHGLGTYRWLKEDVAANPLLIGCSPHSGFVGASVADASRLLHDFQVNQNVISNIIAEKQVRRYQLKVEHNSFSCSFEVFETGQKTNDNVLVFLHGFLGTGEDWITIMKTFSGSSRCISFDLPGHGKSVVDCAKDTGEEPLWSMEIIADVLQKLIHQITPAKVTLVGYSMGARIALYMALRFTSKTMGAVLISGSPGLKDKLSRKIRAAKDDSRARSVIAHGLQPFLNSWYAGALWKSLRSHPHFNRIIASRMQHDGMQSLAKMLSGLSIGRQPSLWEDLPNCKVPLLIVHGEMDMKFKKIAEAMMKTLCSDLGSKHEEGNGIHEVFEVPKCGHAAHLENPLPIIDALRQFMTRL >OIV93578 pep chromosome:LupAngTanjil_v1.0:LG18:836595:838770:1 gene:TanjilG_04810 transcript:OIV93578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEILRETVSALEKEESSEEAQAQLDIWKYIFGFVEPAVVKCAIELGIAEAIENNGGPMTFSELSSTLGCDPSLLNRIMRFLTHRNIFKAIPTSTSTNHGYSYAQTPLSRRLMRNDKHSMAAFILLETSPVMLAPWHSLSACVLANGNTSFEKAHGQDVWKYAAENLDHSNLINDAMACHANLTVPAIIEDYSEVFDGINSLVDVGGGNGTAMSIVAKACSWIRAINFDLQHVIDKAPEIERVEHVAGDMFVQVPKADAAFIMAVLHDWGDEECIQILKKCREAIPKENGRVIIAEVVIEEGGNKERLKDTRLMLDMVMMAHTNLGKERTLKEWDHVIKMAGFSTYTVKSIKNIVNYVIMAFP >OIV93401 pep chromosome:LupAngTanjil_v1.0:LG18:6507714:6510214:-1 gene:TanjilG_02938 transcript:OIV93401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFRPGRSSGNGLTINMTRVQFSEQQFMKWVEFVGGLKHTVFGSAKNKLFPSFTLKVSKNPSKGDFSSIQAAIDSLPFINLVRVVIKVHKGVYKEKVNIPPLKSFITIQGSGADKTIVQWGDTAQTPGPKGQPLGTYGSATFAVNSPYFIAKNITFKNTTPIPDPGAVGKQAVALRISADTAVFQGCKFLGAQDTLYDHIGRHYYKDCYIEGSVDFIFGNALSLFEGCHVHAIAQNIGALTAQGRGSLLEDTGFSFVHCKVTGSGALYLGRAWGPFSRVVFAYTYMDNIIIPRGWYNWGDPNREV >OIV93504 pep chromosome:LupAngTanjil_v1.0:LG18:2663660:2663997:-1 gene:TanjilG_21757 transcript:OIV93504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNIPVTYAGGVTVMVDLERIKTAGMECVDVTVRSALDIFGGNLAYKEVVAWRAQQKASMV >OIV92639 pep chromosome:LupAngTanjil_v1.0:LG18:16214377:16216429:-1 gene:TanjilG_17990 transcript:OIV92639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVADVALRPICIESVHWQNQQLGLGSYPSESILIYLTVNGVVTPMRVLESDSIASVKMRIQTCKGIGGNKKQKLVFGGRELARNDSLIKDYGVSAGNVLHLVLRLSDLIFIVVRTTYGKEFEFQIDRHRNVGYLKQHIKKKGKGFVDSEDQELFRCGEKLDDQKFFNDICKSDDDDVIHLVIKESAKVKATPIRKDEDVELSVVAATTSDSVSVGENQIQIPAGVDFWLEPIIVNPKINFFPFLWDMINSTFDGLQKGNHPIRSSEGTGGTYFMQDSTGMEYVSVFKPMDEEPMAVNNPRGLPVSSNGEGLKRGTKVGEGAFREVAAYVLDHPKAGRRMVSGEAIGFAGVPPTAMVKCLHKAFNNPEGYDCSSNYIKIGSLQVFMDNDGNCEDLGPGAFSVEEVHKITVLDIRMANADRHAGNILFKREAGGKTSLIPIDHGYCLPEKFEDCTFDWLYWPQASQPYSPETVDYIKSLDAEEDIELLKYYGWDVSLECARTLRVSTMLLKKGVERGLSPYAIGSIMCRENLNKESVIEEIICEAQESLLPGYEESEFLESVSQIMNSRLEKLRK >OIV93548 pep chromosome:LupAngTanjil_v1.0:LG18:2063620:2068582:1 gene:TanjilG_28705 transcript:OIV93548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSWWGMSSCKESKRTTNRESIFCTIQRKFRNASEDRRNGKSGGTKRHQRKSATGDGYRSLVSSRSTSPSALLSRSKSFEERSVHSQSIPSPKFKTSELQREALYASLKGDSNDKERDIVTTSVSSDNSINTGDSSDSHIFSHSASDCENGSKANTNSSYRMVQKDQSHITVQETSKASSKASPQVCNSKHLHTSTKEGHLYLQDQQIKSGTKCLSSALHSSRSCPSSSSLGHNSSHNYASGESSRQIFWQPNKCSSECSPKPSHTMKSLSPSSKICRASTTPMRQRARNAIEESDTRHPEDVKQQTHPLPLPLITITSPSPFSPSYSAPSTHSAARSPARRQNPTSPGSHWKKGKLLGRGTFGHVYLGFNSQSGELCAMKEVPLFYDDPKSMESVQQLEQEIALLSRFRHPNIVQYYGSEMVDDKLYIYLEYVSGGSIYKLLQQYGEFSEIVVRNYTRQILFGLAYLHATNTVHRDIKGANILVDPNGWIKLADFGIAKHISAHSCPFSFKGSPYWMAPEVAAMFKIGISKDNPEIPDHLSEDGKDFVRLCLERNPENRPSAAQLLEHPFVKNASPERPIVTNDSSDTQVAIINAAIGPTKHNSSLESEVVATHPLICMRNCSISSDGHTPRNISHPISPVGSRRFPRNLQTSSMRSSSSISSPHTTSGSPTRHGGGSGAIPFHQTNQPTFSYEAMGIIQKHKHEKLRVIPQTNHACSCKDKTSSDNDTNGNHIRKIEHGNLREFHDANSNLDDRVSQLLLRDYARLNISLDAKSNSTRFNRINDL >OIV92626 pep chromosome:LupAngTanjil_v1.0:LG18:16291999:16292571:-1 gene:TanjilG_17977 transcript:OIV92626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGSTMQECFMNPTTTTTYNGVRKRKWGKWVSEIREPGKKSRIWLGSYEAPEMAAAAYDVAAFHLKGHAARLNFPELIQTLPKPSTSKPVDVQIAAQKAALQFKKPALLSADNNNNSNKSSVVPMRMRLSSTQIEAINESPLDSPKMWIQMAEALSFGFDYESMMYCNNQNVLQLSGWEEIQYESLWDF >OIV93652 pep chromosome:LupAngTanjil_v1.0:LG18:1680060:1686372:-1 gene:TanjilG_04884 transcript:OIV93652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPRHVDRERTSSSTMVDFRPQRKALLFLAYQSLGFLFGDLSISPLYVYQSIFSGRLQHVQNEDAIFGAFSLIFWTLCLFSLLKYAIITLNADDNGEGGIIALYSHLCRNAKFGLLPNHQASDEELSTYHSLGGSNRNIPPSPLKRFIEKHRKTKFALLILVLLGACMEICVGVLMPSISVLSSIEGLKIEAKITNNNMVPLISCVLLVGLFVLQHRGSHKVAFMFPPIMILWLLSIFMVGIYNVIKWNPRVYQALSPYYIYKFFLVTGKDGWANLGGVFLCVTGAQAMFADLGYYRQAPIRAAFLSKNLSAVPISFYASIPDILFWPVFVVSALAVIVSSQAIISSTFSIVQQCHAFECFPRVKAVHSRRWMPGQTYIPEMNWVLMIISLAVTIGFGDKNHIGYAYGIASLIVTFVTTWLTSLVISLVWHRSLIVSIAFFILFGSIEVLFLSSYCMKIPKGGWVPLLLSAVFMVVMFVWHYGSRKKYLFDMHNKVSMKSVLTLGPSLGIVRVPGMGLIYTELASGIPASFTHFLTNLPAFYQVVVFICIKTVPVPSVPHKERYLIGRIGPKSYRMYRCIVRNGYKDVYTHENDFENDIVMSIAEFIQLEAEGCSGSLDRSVDGRMAVVRTSGKFGTRLLMSESAGSEEGSSTSLPGALTVTSSKSPTLKKLQAMYEQQESPELNTRRRIRFELINTIYKDPRVKEELMELVEAKRAGAAYVIGHSHVKAKWNSSFVKQFAINLYSFLRKNCRSPAVGLNIPQICLIKVGMNYHV >OIV92827 pep chromosome:LupAngTanjil_v1.0:LG18:14754202:14755566:1 gene:TanjilG_00961 transcript:OIV92827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSKLVFSTLRHHLTKSTHLFRRQPFSTAAAVAESQYHEDSAGITMKGVKISGRPLYLDVQATSPVDPRVLDAMLPFYLSRYGNPHSRTHFYGWESDNAVEHSRAQVASLISASPKEIVFTSGATESNNISIKGVMHFYKDKKRHVITTQTEHKCVLDSCRYLQQEGFDVTYLPVESDGLVDLEKLRAAIRPDTGLVSVMAVNNEIGVVQPLEEIGKICKEFNVPFHTDAAQALGKIPIDVEKWNVSLMSLSGHKVYGPKGVGALYMRRRPRIRVEPQMNGGGQERGIRSGTVPTPLVVGMGAACEVSMKEMEYDEKRISALQERLLNGIREKLDGVVVNGSMERRYAGNLNLSFAYVEGESLLMGLKEVAVSSGSACTSASLEPSYVLRALGVEEDMAHTSIRFGIGRFTTEAEIDRAIELTVNQVEKLREMSPLYEMVKEGIDISKIQWAQH >OIV92661 pep chromosome:LupAngTanjil_v1.0:LG18:16051249:16055604:-1 gene:TanjilG_18012 transcript:OIV92661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSNQDTIETFMSITGLSEPIAIQKLQEHGGNLNEAVNAHFNEGDRNLSTSVNNTAVAVPEDDLMDIEDELHRPLSLFSSARNNPFSLLESTIGRGVFDTRSLLANQPPFVTHPREVREIPIEVKDGSQSSPQASYHAPAIEDVTGTVHADGPDIHGTIIINEDDDDTPPARTAYQNQQNHETLTDTSLARSARSNVPDFENLPDYSNDIEEEMIRAAIEASKRDAGENYPNHNPASQIDASESGPQSRQSVLEDPELARAVSLSLKTAEQEKAVLMQGDAGAPTVGPSKSSGVDLGEVASSGRLQTGSSSFQDEGEDLEEQPLIRSRSRLASLGSTESANNVEVIEASTAASIGPLDSSNPLQHDRNSFPDEWGGISSEEHDEAVMLEAAMFGGIPERSGYSYAYAPHEFMQNRGIYPRPTPRPPSPSLTAQRLIREQQDDEYLAALQADRDKELKAIEEAEAAREEERRKEEESHRKIQEEMELETQLAAKEVSLPPEPSSDDENVVNLLVRMPDGSRRGRRFLRSDKLQSLFDFIDIGRVVKPGGYRLVRPYPRRAFSSEESTSILEELGLTNKQEALFLELI >OIV93212 pep chromosome:LupAngTanjil_v1.0:LG18:9840433:9845173:-1 gene:TanjilG_27391 transcript:OIV93212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEGAVKEDGRGPSIWDTFSHTSGKITDFSNADVAVDHYHRFEEDIQLMKDLGVDAYRFSISWSRIFPNGSGAINQAGVDHYNKVINALLAKGIVPYVTMYHWDLPQALEDKYLGWLSNEIINDFANYAEALFQKFGDRVKQWITFNEPHSFTVQGYDTALHAPGRCSILQLCKAGNSSTEPYIVAHNVLRSHASVADIYRKKYKKVQGGSLGIAFNVVWYENETDTQKDIDAAQRAQDFQLGWFLDPLMFGDYPSSMRSRVGDRLPKFSEAEATLLKGSLDFVGINHYTTYYARDNSTNIVGAVLHDATADSSTISLPFKGTKPIGERANSIWLYIVPQGMRSLMNYINKKYGNPPVVITENGMDDPNSPFTSVKDALKDEKRIRYLNGYLSALLDSIKDGCNVKGHFVWSMLDNWEWTVGYSSRFGLYYVDYKDKLKRYPKQSVEWYKNFLKRNK >OIV92908 pep chromosome:LupAngTanjil_v1.0:LG18:15431298:15435422:-1 gene:TanjilG_01042 transcript:OIV92908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIWFITLVSLSLVFLIRALFSLLQSSTKTKITNPIPPGPPHIPIITPIKWLTKSFSEIEPTLRTLHAKYGPMVTLRIGSRPSIFIADRSLAHQALIQNGSLFAHRPRSLPVNKITSSNQHNISSASYGPTWRVLRRNLAAEMLHPTRVKSFTQTRNWVLDVLLNRLHSDSKSNDSVKVKDHFQFAMFSLLVFMCFGQRVDDEKLNDVERVQCGLLLGINRFNVLNFWPKVTKFLFQKRWREFLKLRRNQEEVLIPLIIERKKAKESGLLNSNNNPPAYVDTLLDLKLPEEGQRNLDEGEMVTLCSEFLTAGTDTTSTALQWIMANLVKYQQVQQRLVEEIEKIIEVKDNGKKEKEVINEEDLEKLPYLKAVILEALRRHPPGHFVLPHAVSEDLVFNGYLVPKKGTINFMVAEIGWDPQVWEDPMAFKPERFLNEDCSEVEAFDITGTKEIKMMPFGAGRRICPGYNLALLHLEYFLANLVWNFDWKVPTGGDVDLSEKQEFTVVMKNPLRAHISPRIHH >OIV93275 pep chromosome:LupAngTanjil_v1.0:LG18:9306404:9307288:-1 gene:TanjilG_23116 transcript:OIV93275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTNDCKRCAVMEIVSSNVSKRRKTSSTSPENSVNSAGTVVSGEFRSDRYPLSSCSSAVKSGEGEVVKELNTTPLDPEVQSKGLETVSTHLNFKSYSLLSEFCGDSEETMKRSLKMPPKEEIEEFLAVAEKYEQKRFSEKYNFDIATDMPLEGRYQWVRLN >OIV93573 pep chromosome:LupAngTanjil_v1.0:LG18:783483:787088:-1 gene:TanjilG_04805 transcript:OIV93573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARYDRAITVFSPDGHLFQVEYALEAVRKGNAAVGVRGSDNVVLGVEKKSTAKLQDSRSVRKIVNLDNHIALACAGLKADARVLINKARVECQSHRLTVEDPVTVEYITRYIAGLQQKYTQSGGVRPFGLSTLIVGFDPYSGTPSLYQTDPSGTFSAWKANATGRNSNSIREFLEKNYKEVSGQETIKLAIRALLEVVESGGKNIEVAVVTKEHGLRQLEETEIDAIVADIEAEKAAAEAAKKGPSKET >OIV92677 pep chromosome:LupAngTanjil_v1.0:LG18:15928940:15931904:1 gene:TanjilG_18028 transcript:OIV92677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCCHSRIEREESVSRCKARKRYMKQFVEARHAFSAAHAMYIHSLRSTGSALLRFANVETTTTHFHHHLPPDPPLPILPSPPPPMSPSSDTWTSSVTASPLPPPPPPPVASSGWDFWDPFMQPHPPPAPPSRFAIEEEWETTTTTTGSEVVVMAPGVAASMTAPPSMVSGFSKDTKTSSELAVVASRNSKDMVEVIKELNDYFLEAANVGSHVSFLLEVPSSGFSDHNKGGKVLSYGWSLGPSLWAWGSSPSSKLNGFSKLVEGTPHVSVGNFGANSFRNGGHCSTVERLYAWEEKLYQEVKISKTVKMEHEKKVELLRKLEMKRGDYVKTEKTKKEVEKLESQMMVASQAIDSTSSEIIKLREIELYPQLIDLVKGLMCMWRSMYECHQVQKHIVQQLEYLNTIPSTNPTSEIHRQTTLQLELQVQQWHQSFCNLFKAHRDYIQSLTCWLRLSLLQFSRNLLSRTTEESKIVSLCEEWHLAVDRIPDKVASEGIKSLLTVIHAIVVQQTEEHKQKKRSDSAFKELEKKVLQLRSLECKYGPYSTHESSGTMRTKDPVAEKRVKVETLRAKAEEEKNKYEKSVRVTRAMTLNNLQMGCPHVFQGIVGFSSVCMEVFESVYNKAKLSDQEHDVKRILP >OIV93531 pep chromosome:LupAngTanjil_v1.0:LG18:2244362:2248252:-1 gene:TanjilG_28688 transcript:OIV93531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQPLRTDMNIVNAVFKLHFNVTQMLQSGVEALVLSIVPEDIGKPSTRLVEAKVNDGVCTWENPVYETVKLLQEPKTGKFNQRIYHFMLSTGLSKASFNGVVSIDFAEYVEATKPSSIFLPIKNSQCDVVLHVSIQRIKEKNDKREEEECDDANLKPNDKSLCTDLSNGDIEEKTKSDSSENVSAKSNTNKAELSADCRTSSGSDITGSSSEVNSGLNTPRDGLRNTSIHLRANTFLSDVSHTSEPEEPTVNALVSMYDIQKQSHLESFAGSELGSSADDSTNVSLDALPKEWSQQASDIEIKRLKAELAALARQAEMSDLELQTLRKQIVKESKRRQELSKEIIGLKDERDALKTECENLRSFKNRSDEAKVSNSSQLESGDLRTLVEEIRQELNYEKDLNANLKLQLKKTQKSKAELVHTVEDLDDMLEHKNKEILYLSNKHEQSQNSRELERNRSKCEKDDDDNGQKGFEELVKQHNNSEIHLLEQKITHLYGEIEMCRRDKDELEMQMEQIALDYEILKQESHGIACKLEQSQLQDQLQMQYECSSPPPYIDEFENHIEGLENQLEKQSEELSNSLATIKALETQIKRIEEDMEKQAQGFKADLDAVMHDKVEQERRAVRAEEALRKNRQKNANTAERLQEELGRLSVQMASTFDANEKPTMGALIEASELHSRKSLLEEILHEVKEEPQSIDAGYEVKLNELSNQIEIMSVQIQHMSLEIEDKSKLLQNHKKHEEQVSRDISEESQMIKAENERLKADISRFSEKLEQKQSLRTDLELMKKSVEESETLLQRGITERNELMSTISSLKKEAEQLFDELNRMRHVFNEKEAVVRFLQSELEELKAQHSDLIHSLIEDDAEKDKLGKQVFQLNGEQKKDDASTNIEKRFKDSNGHTKPSDGIKTIQKNRNTASIPRSSKETISLKEKVKVLEGVIKTKEKSLAWKHRLLHIWKRKGNSSAKLEN >OIV93534 pep chromosome:LupAngTanjil_v1.0:LG18:2203977:2205960:1 gene:TanjilG_28691 transcript:OIV93534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEENQITKGKYAADISSIKEAHTRIKSMILKTPVLSSSSLNAISGRELYFKCECFQKGGAFKFRGACNAVFSLDDEDASKGVITHSSGNHAAALALAANLRGIPAYIVIPKNAPTCKVENVKRYGGQVIWSEASMRSREEVANKVRQETGAILIHPYNDGRILSGQGTISLELLEQAPQIDTLVVPISGGGLISGVALAAKSINPAIRILAAEPKGADDAAQSKAAGRIITLPETNTIADGLRAFLGDSTWPVVRDLVEDIITVEDSEIIKAMKLCFEILKVVVEPSGAIGLAAVLSDTFQKNPAWKDCKHIGIVVSGGNVDLGILWNSLNK >OIV92983 pep chromosome:LupAngTanjil_v1.0:LG18:13241047:13246230:1 gene:TanjilG_20645 transcript:OIV92983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNRSGGDGLRVSASCGIGLHSNADVFSKRKVDKFDTSDLEWTEKIPECPVYSPTKEEFEDPLVYLQKIAPEASKYGICKIISPLSASVPAGVVLMKENVGFKFTTRVQPLRLAEWDAEDKVTFFMSGRTYTFRDYEKMANKIFAERYCSAGCLPATFLENEFWNEISCGKMESVEYACDVDGSAFSSSPTDQLGNSKWNLKNLSRLPKSILRLLEMSIPGVTEPMLYIGMLFSMFAWHVEDHYLYSINYQHCGASKTWYGIPGHAALEFERVVREHVYTHDILSSNGEDGAFDVLLGKTTLFPPNILMDHEVPVYKAVQKPGEFIITFPRAYHAGFSHGFNCGEAVNFAMGDWFPLGATASRRYALLNRAPLLPHEELLCKEAMILHTSLELEDADFPSSDLLSRNCIKVAFVNLMRYQHCARWLLMKSRASLCVSSHSHGTILCTLCKRDCYIAYVDCNCHMHPVCLRHDVESLDFTCGSMYTLYLREDITDMEAAAKMFELEDGALNEIQYDQNLYSYPLSNMFQRAEAKGYTPYCELKLDSVIEFYSSPEQSANNQECEPESQTIFGHISENLKPEVESLQSNSTLKHAVGHANSNFRTVDFEEFGDRIPNSACKSSLPPDQYHESLCKLPGDLHRVDTNPIVSNESDDSDLEMFRVKRPSALKAERRNAKGAMSSKCIERQGLKRLKKVLPEGRSRLPTDFSRTSGSSNKYSHPVNHKVVGEISSGDKFARGNGIPISIRNKKSGNEEINRQRDRPRKERLQQTYKEPHSIEIGPKRLKVRGP >OIV92590 pep chromosome:LupAngTanjil_v1.0:LG18:16536020:16538934:-1 gene:TanjilG_07581 transcript:OIV92590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPNSNLNHHILTNAHPPISSSSSSPSPYPGKHINQLSAIGNSTNIHWHSCSVEKDDRQKLLDQKGCVIWITGLSGSGKSTMACALNRILHQRGKLSYVLDGDNVRHGLNKDLGFKAEDREVAKLFADAGVICIASLISPYRRDRDACRALLPNGDFIEVFMDVPLHICESRDPKGLYKLARAGKIKGIDDPYESPINSEIVLKHGEPCASPSEMAEKVVVYLEKKGYLEA >OIV93172 pep chromosome:LupAngTanjil_v1.0:LG18:10928929:10934109:1 gene:TanjilG_20834 transcript:OIV93172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAAGGIGGGNGKEYPGNLTPFVTVTCVVAAMGGLIFGYDIGISGGVTSMDPFLIKFFPSVYRRKQGEESTNKYCQYDSPTLTIFTSSLYLAALLSSLVASTVTRKFGRKLSMLFGGVLFLVGALINGFAQNIWMLIVGRILLGLGIGFANQSVPLYLSEMAPYKYRGALNIGFQMSITFGILIANILNYFFAKLKGGWGWRLSLGGAVVPALVITIGSLVLPDTPNSLIERGNREAAKAQLQRVRGVDDVEEEFNDLVAASEASMLVEHPWRNLLQRKYRPQLTMAIMIPFFQQFTGINVIMFYAPVLFNSIGFKDDASLMSAVITGIVNVIATSVSVYGVDKWGRRALFLEGGTQMLICQAVIAAAIGAKFGINGNPGELPKWYAAVVVIFICTYVAGFAWSWGPLGWLVPSEIFPMEIRSAAQSITVSVNMLFTFLVAQIFLLMLCHMKFGLFIFFGAFVFVMTVFIYFMLPETKGIPIEEMGRVWREHPYWSRFVEHEDYGNGVEMGNGTRANKDI >OIV93053 pep chromosome:LupAngTanjil_v1.0:LG18:12392062:12401873:1 gene:TanjilG_20715 transcript:OIV93053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLLLAVTQTQSLLIPSITYHNNKTQPLIIKATQKRFPFTTTRKTVHSRRILLTSYLPKSASINGANFQNNPEASNEVNATDFFHRLRKWIQSFPSVLPGGKWWDLSDEDVEVQVLAQPVTVWRALAKMWDLVSRDRWVIFAAFTALIFAAVSEISIPHFMTASIFSAQSADVTVFHRNVRLLVLMCTISGICSGIRGCCFGIANMILVKRMRETLYSSLLLQDISFFDTETVGDLTSRLGADCQQVSRVIGNDINLILRNLLQGQHVGGLGPGARGSHPMGYQKKSARLIQEVTASANEVAQETFSLIRTVRVYGTEEEELGRYKSWLGKLADISLRQSAAYGFWNLSFNTLYHSTQVIAVLFGGISILAGHITAEKLTKFILYSEWLIFSTWWVGDNISNLMQSVGASEKVPVVQLVNFVVHPSEVVAIVGLSGSGKSTLVNLLLRLYEPTNGQILIDGIPLKNMDVIWWRERIGYVGQEPKLFRMDISSNIRYGCARDVKQEDVEWAAKQAYAHDFISALPNGYETLVDDDLLSGGQKQRIAIARALLRDPKILILDEATSALDAESEHNVKGVLRSVRSDSHSRRSVIVIAHRLSTIQAADRIVVMDSGHVLEETSLEFCKKAAEHRKAAMIAKNHKPIIMDTPANTKSNTPLKLNPTIFFFFSPFSSKSEGEEDEHMHICQNRQMYQIFWIVGGGGREKKQEEFKKVLGNEKHSQVK >OIV93532 pep chromosome:LupAngTanjil_v1.0:LG18:2215032:2216223:1 gene:TanjilG_28689 transcript:OIV93532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLVFHSNGCTVYDSNGDIVYRVDNYDRKGKREVNLMDMRGTILCTIKKKLLSFGSWNGFRCNNSNCESMKEPWFQVKRCNKMMTRKVVCEIKVDCQKYCIMRTSVKEALRIVNIFDGHIVAEAKQKYSLSGVALDNDVLTLEVVTDIDHSLVMALVMVYGLICGRM >OIV93000 pep chromosome:LupAngTanjil_v1.0:LG18:13086452:13088242:1 gene:TanjilG_20662 transcript:OIV93000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGGPDDDDDNRWPPWLKPLLKETFFVQCKQHADSHKSECNMYCLDCMNGALCSLCLNHHKEHRAIQIRRSSYHDVIRVNEIQKVLDITGVQTYIINSARVVFLNERPQPRPGKGVTNTCEVCERSLLDSYRFCSLGCKIVGTSKNFQKRKHIATMASDSEDSYCSSSSHGRHIISKVQSFTPSTPPPTSVNYRTAKRRKGIPHRAPMGGLIIQY >OIV92601 pep chromosome:LupAngTanjil_v1.0:LG18:16443181:16448735:1 gene:TanjilG_17952 transcript:OIV92601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLSNTESKPLYSWWWDSHFSPKNSKWLQENLTDIDAKVKAMIKLIEEDADSFARRAEMYYKKRPELIKLVEEFYRAYRALAERYDHATFELRHAHKTMAKAFPSHAPHYMLIDDLPCDATESHTQGVPPPVCASLKPVFEFSPIHNLSEKNTSSSEEFEDGESRKGLKHNVKSQSYSESEHTVRAESEVQNLRKALVKMQSDKDAIFLQYQKSLEKLSEMERDLITAQKDAGGLNEGVSKSEIEIKILKETLADLKSEKDELTRLEADKDAGLLQCEKYLEKISLLEAKITLSEENSRLLNKQIERAELEVKALRKSLAQLNEEKESVIILYHQCLEKISKMESEILLAQENSKWLNREVDKGAEKLKSAEKHCDTLENLNQSFQVEAENLVQKIAMKDQELLKKQAEIDKLQTQKHDEHSHFLQFGSTLQDLQKLYSQAQQEQRTLTLELQYGLQLLKDLEFSKQGFKEEIQEIVEENMTLHELNFSSTRSLKKQQMEISKLKAINDYLEGEFDLNTLESNALQRETRQIKDDIQDLNNRYQAMLEQLQTLGLNPKCFAAFVKDLQNENSKLKEVCEMERDEKEALCEKSKDIDQLLIENAFMEFSLYSLNDELDGLKAAVNKYQESCLVLHEEKSIVVAEKSTLLSQLQIITESVPTLLEKNTLLEKSLSDAENELRRLEAKSRSLQESCKFLDDEKCNILNKSSILVSQLESVEARLGNLEKKFTELEEKYYDVEKIKESTGNKVQELHASILVQQEKHSNHEHSSEARLTNLENVVNALQEEQRLRKTKFEEELDKAVNAQLEILILRSCIEDLEHKNLALLIECEENAEASKFSDKLISELENENLMQLMEVEFLLHEIKKFNKCIHQMCGALQVDQDSDHGRGTKQDEIPTSHILKNIEDLKSSLVKSQEEKHQLLVENSVLLTSLSQHLSEGEKLESDKRILEQEIENTRQQNELLQINKVELMEMNMQLRSSVANGEEKENTLKTELEALHAELIGLRTTNQVFQEQKGKLLEEKNSLLKIALDLKNALSTAEDENSAVILEVLALSNLNLVYECIVTERVYEQKTLSDHLSNLSHLNSDLKQEIFVLSNKLEVEETQNAYLIESIEKMDEELKKAKNANSHLTHQIENSENLLMRKEAELFEMEKRLKTTDILNAEFCRYNEELKMERQESRMVRGNLERQVLELSENCTNHKKEIEQHRVREETLNTQLRDRTDEVELWEAEAAAFYSDLQILSISEALLEGKVTELTGVCKRLDDESASKSLVIEQMTERVKLLESEIGAYIPIITSLKEDFASLEHTSLLWTNKTRVACNGEQKGLVIETCCQENGQQNLRETRSTSIPDHVSDFLSIKARIRAIEKVMMKEIEGRVKEEHLSTNVETRAWTEGTKCSNLEVATYGNRKRVMELKELNAWKTDTENWLLMKDIPLDHNSEDDLDSKCCKRENRDTGDLIVELCETDQLCCAPPESHNSERFGNYSSELEVEKELSVDKLELSSKTRKTDITQDVKKTILERLASDAQKLAILNMTVQDLKKKKPMTEKKGSKGSESNDIEHETVKRQIEQVAESIKQLADINDRLSKDIKASSSSSAVVEHIERRRVTEQARQGSEQIGRLQFEVQNIEYILLKFADEKNNKGKNRLSRKTGVLLRDFIHIGKKKNNRRGSKNCLCGCSRPSTNED >OIV92779 pep chromosome:LupAngTanjil_v1.0:LG18:14376585:14378615:1 gene:TanjilG_00913 transcript:OIV92779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKIAFGSFDDSFSVTSIKAYLAEFHATLIFVFAGVGSAIAYNEVTKDAALDPAGLVAVALAHAFALFVGVSIAANISGGHLNPAVTFGLAIGGNITILTGLFYWIAQLLGSIVASLLLSFVTSKSIPTHAVAPGVNALGGVVFEIIITFGLVYTVYATAVDPKKGSLGTIAPIAIGFVVGANILAAGPFSGGSMNPARSFGPAVVSGNFAENWIYWVGPLIGGGLAGLIYGGIFIGSYAAVPATETYP >OIV93730 pep chromosome:LupAngTanjil_v1.0:LG18:146993:147787:-1 gene:TanjilG_16581 transcript:OIV93730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRSSSIMKTRMQALSILLPQTFNDSKEFTLISMKPKEDLNSNLKLEHAKENFEEQEFSFSCANPQGTIFADEIFENGQILPIFPIFDQSTLYVDTQNKETLSLRLPLKKLFIEHQNNISSELDCKPEEPLNKWTEEMTILEVDTSHERCKKSSSTGFSKQLRIRRDMKLHSNSEGDDTFILMNPSTSAPPKQVKHNDVKDKNLTKTKTKEEEHKMTFSAYEKHYRMTRTRKENAKRRSFLPYKQVMVGFFANSNIFSRNLPPF >OIV92784 pep chromosome:LupAngTanjil_v1.0:LG18:14433739:14435503:1 gene:TanjilG_00918 transcript:OIV92784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTFEKEDLGLSLSLSFTQNPSNPIHFNLVSSPYSSSPSGCNPQKPSWINDPFTSSDRDSETCKGERGSFLRGIDVNRLPSGVDCEEEAGVSSPNSTVSSVSGKRSEREPNSEENDNDRSFFRGTSDEEEARKKLRLSKLQSAVLEESFKEHNTLNPKQKLALAKKLGLRARQVEVWFQNRRARTKLKQTEVDCEFLKRCCENLTEENRRLQKEVHELRALKLSPQFYMHMTPPTTLTMCPSCEHVTAPSSAVDGSTRQHPMALTHPRPISVVSPWASATAAIPQRPFDGLRPRS >OIV92722 pep chromosome:LupAngTanjil_v1.0:LG18:15552025:15553740:-1 gene:TanjilG_25154 transcript:OIV92722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERADENLLPSVYKEVSEAFNAGPSDLGYLTFIRNFVQGLSSPLAGILVINYDRPTILAMGTFCWALSTAAVGVCQDFLQVAFWRAINGFGLAIVIPALQSFIADSYKDGVRGAGFGLLNFIGSIGGIGGGVLATIMAGHQFWGIQGWRCAFILMATLSAIIGFLVLKYVVDPRQRYTTTHDARESSGSCVSRDDSMYKGNASVTSVWIDSWAAMKAVIKVQTFQIIVLQGIIGSLPWTAMVFFTMWFELIGFDNNTSATLLSLFAIGCAVGSFLGGSLADKLSQVYPHSARIMCAQFSAFMGIPFSWFLLKVIPQSVSSYLAFCVTLFLMGLTISWNGTAANAPMFAEVVPVKHRTMIYAFDRAFEGSFSSTAAPLVGILSEKMFGYNSKSVDPIKGSSPEALALSKGLLSMMAVPFGLCCLCYTPLYYIFKRDRENARMLTVKEEEMI >OIV93459 pep chromosome:LupAngTanjil_v1.0:LG18:5697591:5700483:1 gene:TanjilG_10091 transcript:OIV93459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQHLLLFILFIISIITTPSISSTDSFIFGGCSTLKFIPGSFYENNVNSLLTSLVNSASFTTFNNFTIQGTTVSDTVYGLFQCRGDLNNDQCSRCVSRAVSQLGTLCLDSCGGALQLDGCFVRYDNITFLGAEDKTVVLKKCGPSIVLTSDALTRRDAALAYLQASGGGYKTYTGSNSGDLHGVAQCTGDLSATECQDCVSDAIGQLRTECGGSTWGEMYLAKCYARYSEGGSHSHSSNDDHNQNDDEVEKTLAIIIGLIAGVALIIIFLSFLSKLCEKHNKGGK >OIV92709 pep chromosome:LupAngTanjil_v1.0:LG18:15663852:15664487:1 gene:TanjilG_25141 transcript:OIV92709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSHVVDGCGEFMPSGEEGTIESLKCAACDYHKKEVEGESQQHASNYQTYHPNKHNNTHNIIHFPPPPPHYNDHHHNHTHLQFHTPSSSMHQQHRFSNGVGTPTSLVTPMMMPIGSGGVPPESSSEDLNMFQYNSGQILVQPPTLSKKRFRTKFTQQQKNRMMEFAEKLGWKIQKQDEQELQQFSSQVGIRKQVFKVWMHNNKQAMKKQQM >OIV93447 pep chromosome:LupAngTanjil_v1.0:LG18:5343458:5343937:-1 gene:TanjilG_10079 transcript:OIV93447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGLQLTIAELAFYQSKVYWKSLTWRVLKIALLQHFGMTRKCGLQENGT >OIV92676 pep chromosome:LupAngTanjil_v1.0:LG18:15933809:15935949:1 gene:TanjilG_18027 transcript:OIV92676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASRFIKCVTVGDGAVGKTCLLISYTSNTFPTDYVPTVFDNFSANVVVNGSIVNLGLWDTAGQEDYNRLRPLSYRGADVFILAFSLISKASYENVSKKWIPELKHYAPGVPIILVGTKLDLRDDKQFCIDHPGAVPITNAQGEELRKLINAPAYIECSSKTQENVKAVFDAAIRVVLQPPKQKKKKNKAQKACSIL >OIV93627 pep chromosome:LupAngTanjil_v1.0:LG18:1355032:1357492:1 gene:TanjilG_04859 transcript:OIV93627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVADFALSSVHKESVFLEGQQGQHCSSDPIMIYLNMDNAVTPMRVLESDSIASVKLRIQKCKGFVVKKQKLVYCGRELARNDTLIKDYGVTDGNVLHLVLKLSDLLFIVVRTASGKEFEFHIDRHRNVGYLKQRIRKKGEGFIDLDEDQELFRNGEKLDDHRVFHDICKSDGDVIHLVITKSAKVRTTPIHQDLKLSVEAPIQGERSIGQKVAKVPPDVRFWLEPIIVNPKIIFFPFLWDMINSTFDGLMKGNRPIRSSEGTGGTYFMQDSTGHEHVSVFKPVDEEPMAVNNPRGLPSSSNGEGLKRGTKVGEGAFREVAAYLLDHPKSGPRLVTGEALGFAGVPPTVMVQCLHEEFNHPDGYACSPKHVKIGSLQKFMNNDGNCEDIGPGAFPVEEVHKITVLDIRMANADRHAGNILIRKEAGGQIKLIPIDHGYCLPDKFEDCTFDWLYWPQARQPYSRETVDYINSLDVEKDIELLKYYGWDVPLQCIRTLRISTMLLKKGIERGLTPYAIGSIMCRENLNKKSLIEEIVCEAQDSMLPGMDEPTFLKTISKIMDSRLDKLAKK >OIV93673 pep chromosome:LupAngTanjil_v1.0:LG18:581393:585426:-1 gene:TanjilG_16524 transcript:OIV93673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRVWLVVLMVLTNVFSSTGIGMDNFTIPDSVNIGVLYSFNTSVGRIVKIAIEAAVEDINSDPSILSKTKLKLSLQEDSKYRGFLSIAEALQLMATHTVAIIGPQTSTTAHVISHIANELQVPLLSFSATDPTLSSLQFPFFIRTAFSDIYQMTAIADLVKFYGWREVIAVYGDDDHGRNGMGALGDKLAERRCKISFKAPMNPEATREEITDVLVQVALAESRVIVLHTSTAWGPKVFSVAKSLGMMENGYVWIATNFLSTRLDIDSPLSSDVMDEIQGVITLRMYIPDSKHKRLFTSRWQNLISGKTANGSLGLSTYGIFAYDTVYVLARALDTFFKQGNQITFSFDSKLSQLRGDNMHLNAVKIFNEGKLLCESIYEVNMTGVSGPFKYTTDGNLVNPAYEIINVIGTGTRRVGYWSNYSGLSIVPPETLYSKPPSRSGVSQKLLTVIWPGETVEKPRGWVFPNNGRLLKIGVPTRVSYRQFVSQVQDTDMFKGFCIDVFLSAINLLPYAVPYKFIPYGDGQRNPSNTELVRLITSGVFDAAVGDITITTERTKMVDFTQPYIESGLVVVAAVKTTESNAWAFFTPFTPMLWTITAIFFLVVGAVVWILEHRLNDDFRGPPRKQIVTTLWFSFSTMFFAHRENTVSTLGRFVLLIWLFVVLIINSSYTASLTSILTVQQLSSPIKGIESLINSKEPIGYLQGSFTRNYLIGEIGIDESRLVPLKTPDESTKALEKGPRKGGIAAYVDERAYIELFLSSQCGFTVVGQEFTRNGWGFAFPRDSPLAVDLSTAILELAENGDLQRIHDKWLLNRACLSQGEKFEVDRLNLKSFWGLYLLCGIACLLALFIYLIQTLNQYTKHCPMEPEPSSVQGSSRSSHFRTFLSFADEKEEIVKRRSKRRQMERISYRSTSEVGSSISNTEYYQSSLNRIDSTNEV >OIV92616 pep chromosome:LupAngTanjil_v1.0:LG18:16344531:16356463:-1 gene:TanjilG_17967 transcript:OIV92616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEKLRDLTQPIDVPLLDATVSAFYGTGSKEQRSAADQILRELQNNPDMWLQVMHILQNTQNLNTKFFALQVLEGVIKYKWNALPTEQRDGMKNFISDVIVQLSSNEASFRTERLYVNKLNIILVQILKHEWPARWRSFIPDLVSAAKASESICENCMAILKLLSEEVFDFSRGEMTQQKIKELKQSLNSEFQLIHELCLYVLSASQRTELIRATLSTLHAFLTWIPLGYIFESPLLETLLKFFPLPAYRNLTLQCLTEVAALQFGNFYDAQYVKMYNIFMVQLQSILPPTTNIPEAYANGSNEEQVHIRILESTQENISSILLGLEYLINISYVDDTEVFKVCLDYWNVLVSELFDPHRSLENPATAAGHMVGLQVPVMIPGMVDGLGSELLQRRQLYTGPMSKLRTLMISRMAKPEEVLIVEDENGNIVRETLKDNDVLVQYKIMRETLIYLSHLDHDDTEKQMLRKLSKQLCGEDWAWNNLNTLCWAIGSISGSMMEDQENRFLVMVIRDLLNLCEITKGKDNKAVIASNIMYVVGQYPRFLRAHWKFLKTVVNKLFEFMHETHPGVQDMACDTFLKIVQKCRRKFVITQVGENEPFVSELLSGLSTTIADLEPHQIHAFYESVGNMVQAESDAQKRDEYLQRLMGLPNQKWLEIIGQARQNVDFLKEQDVIRVVLNILQTNTSVASSLGTYFLPQISLIFLDMLNVYRMYSELISKSIAEGGPFASRTSFVKLLRSVKRETLKLIETFLDKAEDQPQIGKQFVPPMFDPVLGDYARNVPDARESEVLSLFATIVNKYKATMVEDVPRIFEAVFQCTLEMITKNFEDYPEHRLKFFSLLRAIATHCFPALICLSSEQLKLVMDSIIWAFRHTERNIAETGLILLLEMLKKFEVSEFCNQFYQSYFLTIEREIFAVLTDTFHKPGFKLHVLVLQHLFCLAETGAITQPLWDVATNSYPYPNNAAFVHEFTVKLLSTSFPNMTAAEVTQFVNGLFQSTNDLSAFKTHLRDFLVQSKEFSAQDNKDLYAEEAAAQRERERQRMLSIPGLIAPSELQDDMVDS >OIV93738 pep chromosome:LupAngTanjil_v1.0:LG18:68716:72016:-1 gene:TanjilG_16589 transcript:OIV93738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGNNHVSKCWITSNGSDLNKKGSNKYYRNHASLPSIHQLTHARLIYSVAPSMGHNQESHPESHFRLPAITDALRQMNLTSKFRGSEVIELQNFEPASIDDIASVHARAYVSGLEKVMSQASKEGLILIEGSGPTYATASVAASKKSNNPPTGFALIRPPGHHAVPEGPMGFCIFGNVAIAARYAQRVHGLKRVFIFDFDVHHGNGTNNAFYDDPDVFFLSTHQDGSYPGTGKFNEVGSGNGEGTTLNLPLPGGSGDAAIRAVFDDVVVPCAQRFKPDIILVSAGYDGHVLDPLANLQYTTGTYYMLASSIKQLAKDLCGGRCVFFLEGGYNLKSLSYSVADTFRALLGDPSLATEFDNPNILYEEPSTKVKQAIQRIKSIHSL >OIV93135 pep chromosome:LupAngTanjil_v1.0:LG18:11351819:11363566:1 gene:TanjilG_20797 transcript:OIV93135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVEEEVQRLREEIKRLGKVQTDGSYKVTFGTLFHDDRCANIFEALVGTLRAAKKRKIVAYDGELLLQGVHDNVEITLNRSPAAAAIN >OIV93129 pep chromosome:LupAngTanjil_v1.0:LG18:11429688:11430731:1 gene:TanjilG_20791 transcript:OIV93129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLLGFAEDRVPIPVPAYMATTPKRSNRLHNFTLPSSLKWGSQRYLRCANSSAAAHAEAEASGSRDRRSPASWSDDSTANWMMEFKTERNVRSSRMRKPRIDGGDGDNDEGIDAVRKKLVLDLKTAAEKMKDEILRKEVEDEEKEEERESSPPPPPAEQARPWNLRTRRREEIKPFFSAVGNGKRLKIEEKKPNSSSPLRNNTNCNGNGNSGGAVKLPKLRSNCEKTKQREKFSVQLSKKEIEEDFAAMVGRRPPRRPIKRPRDVQRQMDTLFPGLWLTEVTADLYKVTEASENGGGKVRKGKGKMHLSESDDEE >OIV93594 pep chromosome:LupAngTanjil_v1.0:LG18:1001510:1005419:1 gene:TanjilG_04826 transcript:OIV93594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTLTVEPEPSQGLERLPYKPDGYNYWTWRGHKIHYVVQGEGSPIVLIHGFGASAFHWRYNIPELAKTHKVYALDLLGFGWSDKALVEYNAMVWKEQVVDFLKEIVKEPSVLVGNSLGGFTALVAATGLPELVNGVVLLNSAGQFGDGSKEQKTFEETSLQKFILKPLKEVFQRVVLGFIFWQSKQPNRIVSVLKSVYKDSSNVDEYLVESITRPAADPNAREVYYRLMTGFMMNQSKYTLDAVLSELSCPLLLLWGNLDPWVGPAKANRIKDLYPKTTLVNLQAGHCPHDEIPELVNKALLNWLSNLTPEEAFLQTV >OIV92658 pep chromosome:LupAngTanjil_v1.0:LG18:16075911:16081210:1 gene:TanjilG_18009 transcript:OIV92658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVEDREERVYEALLDRCRSMEKSQAKLREQFHELLQNKRDEDTHKNLLSCFFFSTSIYESIMKCMGHALHIYKPSSREIIYWNHTAENLYGWKDYEIIGQRFDEFLISEEYYASLQKIMERLMRGVPWSGQFPLKKRSGEVFMAMVTKTPLYEDGELVGVITVSSDAAVFNFIHSENLRTCQFGVYGQPEVQRLNSKRIQWPPRPLIASSVSNLASKILQRRHADDTISRNTSADADDEKLEQCSIYETKYDSRHHHSENTTSREASEKDESTAEFGRPSKIAARFLAKLQIGGSRKLRKDNGSIKSNCTNENSGSSEVNNDHDLSGDFLAFTPQRCVANGENKEVNLHKLNSLFDMKKADTKVCAHKTSSVFKDSSVKRFSMERDGSLGSPISQDPLLRFHCQFDYPKSLEPEATSKVIEDVVQKQQEGLQLPSSGESTGSHESSSSKWDESNTVRCEIRWEDLELREEIGQGSYAVVHHGIWNGSDVAVKVYFGNGYAQETLQDYRKEIDIMKRLRHPNVLLFMGAVYSPERLAIMTELLPRGSLFKILHNNNQTLDIRRRLRMALDVARGMNYLHHRNPPIVHRDLKSSNLLVDKNWTVKVGDFGLSKLKNATLLTTKSGRGTPQWMAPEVLRNEPSNEKSDVFSFGVILWELMTQSIPWKTLNFLQVVGVVGFMDRRLDMPEGLDPDAASIIQECWRSDSDKRPSFQELIQRMMFLLNKVTAGSIRRI >OIV93188 pep chromosome:LupAngTanjil_v1.0:LG18:10722753:10723727:1 gene:TanjilG_20850 transcript:OIV93188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESENQKLLQSYPYFWGYTPEQDYYTQQGIISSKSHFTTPRGLTLFTRSWLPQTTPPRGIIFMVHGYGNDISWTFQSTSIFFAQMGFACFALDLQGHGHSQGLKAFVPNVDLVVHDCLSFFTHIKQSNTTFMGLPCFLYGESMGAAISLLIHFAEPQGFKGAILVAPMCKISDKVRPRWPIPQILTFLAKFFPTWAIVPTPDLMYKSVKVDHKKVIADMNPMRYRGKPRLGTVVELLRVTDLLNKKLCDVSVPFIVLHGSADVVTDPEVSVELYEKARSEDKTIKVYDGMMHSLLFGETDENVQIVRNDILSWLNDRCNGRTVE >OIV93289 pep chromosome:LupAngTanjil_v1.0:LG18:8886081:8893110:1 gene:TanjilG_13116 transcript:OIV93289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCCQCNKNLNELMTQHKYNCKSCGCWLCGECIRRDCGLVPNPGSDNNTGIRKTVSSCKFCSGDPNRKSSEKVHPSASPQESPRQSPEPHSPCFSVESERISSPLDSELFRRNHFESYLQYQDCRYYPHSMTNRSVTSSSTHPSSVSTHQSTLRSDEEGTEDSGKHFVSPSRTYCDNYSDVDSSSISARHEIYNYNSVGPNPSKSPSMIGFTSSKVDQLPVQKEQENSPTSGTQYDAPTGKQSMAVLRKPEPGIEDAYNTAYFSDDLSIFRNQNDNSQRPLDFENNGLIWFPPPPDDENDDADGDFFAYDDEDDDIGDSGAFVSSSSLSNVFPAKEKHNEEGKEPLKAAIHGHFRALVSQLLVGEGIKVGSESDSEDWLDIVASLAWQAANFVRPDTRKGGSMDPGDYVKIKCIACGRPSESTLIKGVVCTKNIKHKRMTSQYKKTRLLLLGGALEYQKVPNQLASFNTLLQQENDHLKMIISKIEALRPNVLLVEKSVAPYAQEYLLAKEISLVLNVKRPLLECIARCTGALITPSVDNLSKARLGHCELFRLQRMVEDHESANNMNKKPSKTLMFFEGCPRRLGCTVLLKGTCRDDLKKIKHVVQFSIFAAYHLSLETSFLADERATLPKMIVRPSTHMPENETADTDLKLESFGSAQEHHDDLSLNSHTCTTVDYRLENLLSDSYCNNLTSDLTVEPDYLNQCHESEGDPISNRRDLLHPELQEAVVQEERGYGEVAEPMKDKINEEFSSEYFSATDCHQSILVYFSSHCVSKGAACERTRLLRIKFYGSFDKPLGRYLRDDLFDETSYCQSCKEPPDAHVLCFTHQQGNLTINVRRLPSVKLPGEKDGKIWMWHRCLRCPHVDGVPPATQRVIMSDAAWGLSFGKFLELSFSNHATANRVASCGHSLQRDCLRFYGFGSTVAFFRYSPINVLSVHLPPSMLEFAHIQYEWIRKEAGELFSKVETLYVEISAVLERLETKITSPGTGNELSHTIDIFNHILELKDMLQRERANFHSLLQSAPETPQQGKVALDILELNCLRRSLLIGSHVWDNRLNSLDSLIKRSSSSKVDPASELCAEVKELRVDSFHKDRSSDSGLEQNNPQPLKLLESDENVSRMPGEQDDTLEPHASEAFTSYDLDGDEVHSDGAFAANKTLSQSFPQEESNLSEKIDSAWTGTDQSPSKVEPLHTFQPNVVQAGSLSRANQNGNPPFRRLRQPVRVQSFDSALRVQERIRKANPLSQLSQVRSFHASGDYRNMIRDPVSNVSETNYQMLPWETQKLNLILSSTPSFISSVSHIADGARLMVPRTHNSDRVIAVYDNDYSSIISYALSSKEYEDWVSDKSDVHDGSWDVSKRNKEDSATSRFSAWASMDLDYINYGNYGSEDAASSIGSLLKDTKKPLHLQVSFGDDSSGGKVNFSVTCYFAKQFESLIRKCCPNAVDFVRSLSRGQRWSAQGGKSNVYFAKSLDERLIIKQVTKTELDSFEEFAPQYFKYLMDSMNSGGPTCLAKILGIYQVIVKYPKGGKETKIDLMVMENLFYNRKISRVYDLKGSERSRYNSDTTGRDKVMLDMNLLEALRTKPIFLGSRAKRRLERAVWNDTSFLASVDVMDYSLLVGVDDERKELVLGIIDFMRQYTWDKHLETWVKASGILGGPKNAAPTIVSPKQYKKRFRKAMTTYFLTLPDKWSS >OIV93628 pep chromosome:LupAngTanjil_v1.0:LG18:1359299:1365944:-1 gene:TanjilG_04860 transcript:OIV93628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLISLVNKIQRACTALGDYSETTALPTLWDSLPAIAVVGGQSSGKSSVLESVVGKDFLPRGSGIVTRRPLVLQLHKIEEGSREYAEFLHLPRKRFTDFAAVRNEIQDETDRETGRTKQISTVPIHLSIYSPNVVNLTLVDLPGLTKIAVDIESMVRSYIEKPNCIILAISPANQDLATSDAIKISREVDPTGERTIGVLTKIDLMDKGTDAVEILEGRAFKLKFPWIGVVNRSQQDINKNVDMIAARRREREYFASTPEYGHLAHRMGSEHLAKMLSKHLETVIKSKIPGIQSLISKTIAELEFELARLGKPAAIDAGGKLYAIMEICRTFDQIFKEHLDGIRPGGDKIYNVFDNHLPAALKRLQFDKQLSMENIRKLVMEADGYQPHLIAPEQGYRRLIESSLTTIRGPAVAAVDAVHSMLKELVNKAISETLELRQYPGLRVEVSSAAFESLEKMREESRKATLLLVDMESSYLTVDFFRRLPQDVDKGGNPTHSIFDRYNDSYLRRIGTTILSYVNMVCATLRHSIPKSIVYCQVREAKRSLLDHFFTDLGKMGSTQLSSLLNEDPALMERRISLAKRLELYRSAQDEIDAVAWSK >OIV93165 pep chromosome:LupAngTanjil_v1.0:LG18:11035500:11039897:-1 gene:TanjilG_20827 transcript:OIV93165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKKKLNGSTMTLKDFHGGSIPSDLPLPSAPGVTVRTADRSGYDRSSSWGAPMGRTDHWSRPHTSPAIRHYDERTPFFPHTPLIGRNFDEDERKPLDGGSAPRRTTRDDSIRAMPSRVDVKPMYGVGGSSLGRQLAPVSHVGTVNSYSARLTQAVHVGVNSQSVGGKSSNMEHGNAGGGGHTNVWAMRKEAASSVEPEQSSWSRPNAVSKLENASALEKVSSGRWQSKAVHHQTDPEVVRSSEVENGPCASVNGYNAYNREDAVGEREYYDAMLARHAERDLGMDSLMRGGRSELLDYERYGIPKYSEVRPRSIAHHANGVQLAQNGGKLRGSELQHPVPSEPNERPKQKLLPKVKPLERKEPYVTENAQVQGHANLVKPVSNGNENGKEAGQRLKLNLKPRSQPLEQLEGNAERERNALFGGARPRELVLKERGVDDAAINSYDVVDHSHRVGHNILRTEKPTDRSVQTRYGEKTDDVLHDQGTGRKPERKEQRVDAERVHAQRNWHGDNRRNVKETDRPQAIERPKSPETWRKPVNEPKSSPGGAGVRYGRAASAVELAQAFSRSVSDPKVNDRVSDQRGLNIGRMQVPFSRLVSPTSRPQINGY >OIV93692 pep chromosome:LupAngTanjil_v1.0:LG18:410270:420058:1 gene:TanjilG_16543 transcript:OIV93692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLNLSANYDLDASMDRSGSFRVFEPSERQDVGQESSRREQNDTEDVRFDGQLITASSNSGSRRGESVNKWMAFAMAPKIDDRNSSAKFISGDENITKDNNLRTNQNGKSSSGHRQILTEESIDERTDEWGIVVNSESFKTTASSFDGDRIKKLSNRFGESARTSGESNYESESSSGVIPRVSQELKNALATLQQTFVVSDATKPDCPIMYASSGFFSMTGYSSKEIIGRNCRFLQGADTDTNEVAKIRDATRNGKSYCGRLLNYKKDGTPFWNLLTITPIKDDNGNTIKFIGMQVEVSKYTEGLNEKALRPNGLPKSLIRYDARQKETALCSMTEVVQTVKDPKSVVRARNDDTSNNLVEQDKVNVDFVLPKSVETRNTSTPGRKPSSTNIQSDVSRLSSTQDRKSKSSRKSGRNSSTSFKRKSLSSARGEEETPIVEPEVLMTKEIERSDSSERSQRERDIRQGIDLATTLERIEKNFVISDPRLPDNPIIFASDSFLELTEYTREEILGRNCRFLQGPETDMSTVDKIRDAIKEQREITVQLINYTKSGKKFWNLFHLQPMRDQKGELQYFIGVQLDGSDHVEPLQNRLSERAELQSTKLVKATAENVDGAVRELPDANLRPEDLWAIHSQPVFPLPHKRDNPSWVAIQKIAARDGKIGLHNFSPIRPLGCGDTGSVHLVELQGTGELYAMKAMEKSVMLNRNKVRICKRVHRACIEREIISLLDHPFLPTLYTSFQTSTHVCLITDFCQGGELFALLDKQPMKLFNEESTRFYAAEVIIGLEYLHCLGIIYRDLKPENILLQKDGHVVLTDFDLSFMTPCKPQVVKQPLPSNRRKSRSQPLPTLVAEPVAQSNSFVGTEEYIAPEIITGAGHTSAIDWWTLGILLYEMLYGRTPFRGKNRQKTFSNILHKDLTFPSSIPASLQARQLINALLQRDPGSRLGSKAGSSEIKQHPFFRGINWPLIRNVSPPPLEVPLELIGKDSIAKDVKWEDDGVLVNKLDDMEIF >OIV93547 pep chromosome:LupAngTanjil_v1.0:LG18:2084350:2087893:1 gene:TanjilG_28704 transcript:OIV93547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKSPSVANTGCTWPMCRIFNFSDNHSKTRLVSGNGNLRSSSDLIGTVDEKYPNIGVSSGRRYLSCKSISNVKNDQVTDPRNEVTEMIIDQRFANKKFLGKDGAGYQPDELLDAVQILYSNKELFLKLLKDPNSVLVKQIHELPSSQAFSHSSFSHNVQHVKPSNLKFGRIKRKSRYVVKVRREKQQQRTTNGVPYRFTCGCHGIEDGKKVNELKIAGRSSPNCVHSSTKKGLNSYLDWRKKDKLNKVNDSELCTRHEEVASFGESSSNSRNTDNPLNPSEQDILKNLHVEGSKSLSEMLNFGVEEFKRRTNSLGRTSPLPDFKSFRSLPRHCEHDSVTEGMKNDHQVLIRTKLGLRKEGENSFFSSSGQKTNDQPEAIVKIPSQSSQIFDSDISIRKSPHGHNIHTHYDITRGSGFKDTPTQNFDINNALGGNGTILDSYPKSHIFSFSSEVASSSPSPSQRIEDNINMRNKIEHPSTEPVVKNFTKEVVTNSKMTLHKPDKSPVIHFEDLMRPSLDLTNNLTSSKDILDYVMEIIHSLSLKCDELFMKCESSNQMLDLSTFDELNRLLTSQLSSNKILLHCVIETLIEVYKNNGFPPKRLDIQAYIVNIVMVKEIAALINLHYVPHPSPITVEQLVEKDFAKCGSWLNIKVGIEDIMIEVEEDVLEKMVLEIVSEMDIRSIIHCNQ >OIV93082 pep chromosome:LupAngTanjil_v1.0:LG18:12034832:12040581:-1 gene:TanjilG_20744 transcript:OIV93082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSGIEETRVRLLDSCSNDGSSESRWVDGSEVDSMEAPPSWSNEGSREGYGSLKRRLVKKPKRVDSFDVEAMEISRIQDHHSKDDSLLPTLALAFQTLGVVYGDMGTSPLYVFADVFSKVPIESDVDILGALSLVMYTIALIPLAKYVFIVLKANDNGEGGTFALYSLICRYANVGLLPNRQQADEYISSFRLKLPTSELERALKIKDTLEKKKSLKTLLLLLVLLGTSMVIGDGILTPAMSVLSAISGLQGEIESFGTGEVVIISIVVLVALFSIQQFGTGKVGFMFAPILALWFFSLGSIGLYNMVKYDITVLRAVNPAYIYYFFKKNGKRAWSALGGCVLCITGAEAMFADLGHFSVRAIQIAFTCVVFPCLLLAYMGEAAYLMKNPASYSNIFYDSVPASLFWPVFVIATLAAMIASQAMISATFSCIKQAMALGCFPRIKIIHTSRKFMGQIYIPVINWFLMVMCIIIVAIFQRTTDIANAYGIAEVVVMMVSTILVTLVMLLIWKTSLFSAFCFFLIFGSVELIYMSSVLTKIAEGGWIPLAFAIFFLSVMYTWNYGSVLKYQSEVREKISLDVMLDLGSNLGTVRVPGIGLLYNELVQGIPSIFVQFLLSLPALHSTIIFVCIKYVPVPVVPQEERFLFRRVCPKDYHMFRCVARYGYKDVRKEDHHAFEQLLIQSLDQFLRREAQETALESNLVEDIDSVSMISKDSDLPADSVTEELRIPLMLGQNPKEPGTSTFQDHAPALPSSYMPSDEDPSLEYELSALREATASGCTYLLGHGDVRAKKNSLFIKKLVINYFYAFLRKNCRGGTANMKVPHTNIIQVGMTYMV >OIV93259 pep chromosome:LupAngTanjil_v1.0:LG18:9596105:9599502:-1 gene:TanjilG_23100 transcript:OIV93259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSNTAGKVIRCKAAVAWEAAKPLVIEEVEVSPPQANEVRVKILFTSLCHTDVYFWEAKGQTPLFPRIFGHEAGGIVESVGEGVTDLKPGDHVLPVFTGECKECQHCKSEESNMCDLLRINTDRGVMLNDGKSRFSINGKPIYHFVGTSTFSEYTVVHVGCLAKINPAAPLDKVCILSCGISTGLGATLNVAKPTKGSSVAIFGLGAVGLAAAEGARLAGASRIIGVDLNSTRFIEAKKFGVNEFVNPKEHDKPVQEVIVAMTGGGVDRSVECTGSVNAMISAFECVHDGWGVAVLVGVPNKDDSFKTHPINLLNERTLKGTFFGNYKPRSDLPSVVEMYMNKELELEKFITHRVPFSEINKAFEYMLKGEGLRCIIHMTE >OIV92778 pep chromosome:LupAngTanjil_v1.0:LG18:14368091:14372007:1 gene:TanjilG_00912 transcript:OIV92778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVAKMGRFSLLSTNLSLLPQPNNNVNSKQHNHVVCCRQQRKPISWSVVCGLMLFGLGLISLLTGHMASDLEWYSQRLVHPTFYSRLDGSYRAPIDIWKSHYSKYYYGCSQRGRNFPSAVSERMSNGYLLIATSGGLNQQRTGITDAVVVAHILNATLVVPELDHHSFWKDDSDFINIFDVDWFISYLAKDVTIVRRVPDKFMRSMEKPPYTMRVPRKSDSEYYLDQVLPILLRRQVVQLTKFDYRLASNLDNELQKLRCRVNYHALRFTEPIQELGQRLVMRMQKMARRFIAVHLRFEPDMLAFSGCYFGGGEKERRELGEIRKRWTTLPDLSPDGERKRGKCPLTPHEVGLMLRALGFTNDTYLYVAKGEIYGGDETMQPLKDLFPNMYTKEMLAEEELVPFLPFSSRLAAIDYIVCDESDVFVTNNNGNMAKIIAGRRRYMGHKRTIRPNAKKLSPLFMGRHEMEWDTFARKVKASQKGFMGEPDEVRPGRGEFHEYPSSCICQRPLVDLELTTKAETRVNGSRRISS >OIV92767 pep chromosome:LupAngTanjil_v1.0:LG18:14265379:14269063:-1 gene:TanjilG_00901 transcript:OIV92767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTAITRRHVAVIGAGAAGLVTARELRREGHEVVVFERGNQIGGTWVYNPNVESDPLGLDPNRNVVHSSLYDSLRTNLPRECMGFRDYPFIKRDEKGRDSRRFPSHREVLMYLQDFAVDFGIGELVRLETEVVLVELGEGGKWRVKSKPLKLNNEYVVEIYDAVVICNGHHVQPRIAHIPGINAWRGQQMHSHNYRTPKPFKDQVVVIIGGAASAVDLSREIATVAKEVHIADRSIKEDKLGKVPGHDNMWLHSTIESAHGSPVVFQDGKAVNADFIVHCTGYKYDFPFLQTKGAVTVDDNRVGPLYQHVFPPELAPWLSFVGLPWMVAPFSLFERQSNWIARTLSNRIALPSKEKMTEDVEAFYSSLEASGTPKRYTHKMPDLQWDYDNWVSDQCGFPAIEEWRVEMYNATSKNRVLRPESYRDVWDDDDLADQAQKDFGNYLIHQVPKP >OIV93032 pep chromosome:LupAngTanjil_v1.0:LG18:12674644:12677658:1 gene:TanjilG_20694 transcript:OIV93032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKVEVVLKLIQEDGDSFAKRAEMYYKKRPELINFVEESFKAYRALAERYDHISTELQNANNTIASAFPDQVPFMDEDDDDNASPRSSRKQPPEGFKTQPPKVPKPPHKDLKTIITTVTATRKFQSKKPNTATVANLTAPKSGLSRKEALEEVEKLHKQILELQTVKEFVKSSYDSAIAKYWETEQQIKELQERVSILQDELGEGIVIEDDVARRLMAQAALKSCQETLAQLQVKQERSLDGTRIESTRIKDIKAKLFSLMVEFQYDQKNSKEPRVKRDVKKVAETKDFEEEMGRSTQQTHELISLKENIKGHLGDELNSSLSVTEMAQKIDELVNKVISLETSFSSQTALMNRLRTETDVLQDQVRILESDKESLTNDKNKLNDQLREMEGKLYDVEDLNQIVDDQNNNLQTHFTEACSNLELLSEKVQNVQLNEEVKVSDTPHTQTDSSGQAEPKQEQEGHVALNQDEELKVTDLVGVMNSDKELKVAGLTEDVANSDKELKVAGTSEDGVTDKDLTVAGTLVDNVTLDDDLKVTGSPENGVKTDNELKVTSLLENDVKSGDEVKVANSLEKEEAAPVENKSPEELEEREQKLDHSYSGEKATIALNITIENQEVSEHPRSNRADSSSESFGEQRENDIKKTAFETDNTLKVDPQERGAAQEDEPDWQKMFLNGMQDREQVLLNEYTSTLRNYKDVKKRLAEIEKKNQDNDSSLQLKEMKNANALKDEEIRLLRQKLGLLQRSFEENEDMAEDNMVELLKMEHPESTTSAIEEKFRSNIDELLEENLTFWIKFSTSFTEIHKFETTIMDLIREVSKVEEKVTSSAEGSSSIKFAIQSDARPIYRHLREIQTEIAAWLEKCAMLKEELQCRFSSLCNIQEEITTALKDSAEDEDFKFTSYQAAKFQGEVLNMKQENNRVADELQAGLDIVTSLQIEVEKALLKLNEKFGLSTSKRQENGQLRQSESKARVPLRSFIFGDKPKRPSIFSIHRKLHALKAHNNA >OIV92720 pep chromosome:LupAngTanjil_v1.0:LG18:15566371:15572807:-1 gene:TanjilG_25152 transcript:OIV92720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTETLSALRVNMYLNETCAADSFPASVEVLAEQELSDQWKLMRLGEDPPVDIWMADNLPKDASIGVDTWCISIDTAQRWERAFSGKQQKLVETTKNLVDEVWINRPPAEINAVTIQPLKFAGRSVGDKLKDLRKKLVQEQARGIIFTALDEVAWLYNIRGSDVAYSPVVHAYAIVTSNSAFIYVDKQKVSAEVKSHLEENGIEIKDYTAVSSDVALLAYNKPAAGPTANGALAEVTKEAGKTSSETNSSINSNHQAEEKSNDLIWADPGSCCYALYLKLNADTVLLQQSPLALAKALKNPVELEGLRNSHIRDGAAVVQYLVWLDKQLQDNYGASGYFLEGNAVKKENHLQSLKLTEVTASDKLEGFRASKEHFRGLSFPTISSVGANAAIIHYKPQAETCAELDPDKIYLFDSGAQYLDGTTDITRTVHFGKPSAHEKACYTAVLKGHIALGNATFPNGTTGYSLDILARIPLWKYGLDYRHGTGHGVGSFLNVHEGPHLISFRPQVRSVPLQSSMTVTDEPGYYEDGNFGIRLENVLVVNEADTKFNFGDKGYLSLEHITWAPYQTKLIDLNLLLPDEINWLNSYHKTCRDILAPYLDEAENAWLKKATEPIGV >OIV92725 pep chromosome:LupAngTanjil_v1.0:LG18:13937239:13941117:-1 gene:TanjilG_00859 transcript:OIV92725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLQELFYVASMPVIKILIVTGIGSFLALDNINLLGKDARIQVNHLVHYVFNPALVGSNLADTISFENFVVLWFMPVNILGTFIIGSALGWILVKITRPPENIEGLVIGACSAGNLGNLPMIILPAICKDKGSPFGDPDVCHKYGMGYASLSMAIGSIYIWSYVYNIMRISSSKVHKEGLASSASINIQASGEILESFPDEFLEPQNSAKDVIDDAYTIMLSSEESEDMDKVSISDKIKHNLRMILFNPNFKGIFAPSTLGAIVGFIIGLVPQIRTFMIGSDAPLHVLEDSVSMLGDAAIPSITLIMGANLLKGLKGATTPMWTIIGIIIVRYILLPILGIGIIKWATHLGLVQPDPLYQFMLLLQYALPPAMSIATIAQLFGAGESECSVIMLWTYVLASLAVTFWSTYFMWLVS >OIV93625 pep chromosome:LupAngTanjil_v1.0:LG18:1339329:1341457:1 gene:TanjilG_04857 transcript:OIV93625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENNQNSFWQFSDQLRVHNSNLSNLSLNESIWSNNYTSKNERRNFDIKVGGEINSLGTNNNNNFKSISKASNSSDFNDGWKHMSSMTNNINGSFFTMDGGASINGGFNKGIYSKPSYGNLNMNINVKGQKGKGENEFFHQNKSSKKNSNSNKKNVDNNNDSNNNKKYKTLPPAESLPRNETIGGYIFVCNNDTMAENLKRELFGLPPRYRDSVRAITPGLPLFLYNYSTHQLHGIFEAASFGGSNIDPSAWEDKKCPGESRFPAQVKVITRKIFEPLEEDSFRPILHHYDGPKFRLELNVPEALSLLDIFADQDINDTFKAIPA >OIV93622 pep chromosome:LupAngTanjil_v1.0:LG18:1298041:1300747:1 gene:TanjilG_04854 transcript:OIV93622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMEVTHILLNAQAVDGAVRKEAEDSLKQFQEQNLPVFLFSLAAELASDEKPAESRKLAGLILKNALDAKEQHRKIEFVQRWMSLDPTVRSQIKAFLLRTLSTPSLDARSTASQVIAKVAGIELPHQQWPELIGSLLSNLPQLPAHAKQATLETLGYICEEVSPDVVDQDHVNKILTAVVQGMNSTEENDVRLAAIQALYNALGFAQANFSNDTERDYIMRIVCESTLSPDVKIRRAAFECLVAISSTYYEKLAPYIQDIFNITAKAVKEDEEPVALQAIEFWSSICDEEIDILEEYEGDFTGESDVPCFYFIKQALSFLVPMLLETLLKQEEDQDQDERAWNIAMAGGTCLGLVARTVGDDIVPLVMPFIEENISKPDWRQREAATYAFGSILEGPSPDKLVPLVNMALNFMLTALVNDPNNHVKDTTAWTLGRMFEFLHGSAMDAPIINQANCQQIITVLLQSMKDVPNVAEKACGALYFLAQGFEDAGSASSPLTPYFEDIVQSLLAVTHREDVVESRLRTSAYEALNEVVRCSNDETAPFVVQLAPVIMLELHQTLENHVAADERQNELQGLLCGCLQVFIQKLGSSEQTKYHLMQYADHIMSLFLRVFASRSAAAHEEAMLAIGALAYATGSDFAKYMIEFYKFLESGLQNFEDYQVCAITVGVVGDVCRALEDKILPYCDGIMTQLLKDLSSNQLHRSVKPPIFSCFGDIALAIGENFEKYLLYAMPMLQGAAELSANTAGADDDLLDYTNSLRNGILEAYSGIFQGFKGSPKTQLLLPYAPHVLQFLDTLYTEKDMDDGVTKAAIGVLGDLADTLGGNAGPLIQQSVSSKEFLKECLSSDDHLVKESAEWAKLAISRAISF >OIV93443 pep chromosome:LupAngTanjil_v1.0:LG18:5242436:5247568:-1 gene:TanjilG_10075 transcript:OIV93443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQGTPGGLNRQGGLPGDRKPDGADKKDKKFDPPAPPARVGRKQRKQKGPDAAARLPTVTPVTKCKLRLLKLERVKDYLLMEEEFVANQERLKPQEEKAEEDRSKVDDLRGSPMSVGNLEELIDENHAIVSSSVGPEYYVGILSFVDKDQLEPGCSILMHNKVLSVVGLLQDEVDPMVSVMKVEKAPLESYADIGGLDAQIQEIKEAVELPLTHPELYEDIGIKPPKGVILYGEPGTGKTLLAKAVANSTSATFLRVVGSELIQKYLGDGPKLVRELFRVADDLSPSIVFIDEIDAVGTKRYDANSGGEREIQRTMLELLNQLDGFDSRGDVKVILATNRIESLDPALLRPGRIDRKIEFPLPDIKTRRHIFQIHTSRMTLSDDVNLEEFVMTKDEFSGADIKAICTEAGLLALRERRMKVTHADFKKAKDKVMFKKKEGVPEGLYM >OIV93076 pep chromosome:LupAngTanjil_v1.0:LG18:12124075:12124842:-1 gene:TanjilG_20738 transcript:OIV93076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTVSDEFTEASGNFEHDLLAKLRIQDDTENKEKHEDEEEEDDDEEEEDDDEEEFTFMFTNPDGSPISADDAFENGQIRQVFPVFNRDLLLSDSYNSGLQSPIKKVFVHKIDNNPSPTVAEGSESGPESELTVPSEFYCEWTPKTAVKSNSTGLSKLWRFKEHKLRSNSDGKDTLVLFHPPQAKAEKGSSSEVRNVMVKKVKAKTTSSSTAHEKHYVMYRAKKENEKHKSYLPYKQDLFGFFTYANGLSRNVHPY >OIV93370 pep chromosome:LupAngTanjil_v1.0:LG18:7338306:7338989:-1 gene:TanjilG_21081 transcript:OIV93370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPHNTYESDLALLDSIRRHLISESEPKFKDPNNVSGNNPFFSLSSSFSSLYPCLTDNWGELPLKEDDYEDMVLYSVLHDAVNVGWIPSFETGSSESNVSGQMVVKSEPDVMSPMTAPEVITKAVPENGKHYRGVRRRPWGKFAAEIRDPAKNGARVWLGTFETVEDAALAYDRAAYRIRGSRALLNFPLRVNSGEPDPVRVTSKRYSSESGSSSSSMKKRRKIVAP >OIV92825 pep chromosome:LupAngTanjil_v1.0:LG18:14746911:14749199:1 gene:TanjilG_00959 transcript:OIV92825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRECISIHIGQAGIQVGNACWELYCLEHGIQADGQMPSDKTIGGGDDAFNTFFSETGAGKHVPRAIFVDLEPTVIDEVRTGTYRQLFHPEQLIIGKEVVDLCLDRIRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTVYPSPQVSTSVVEPYNSVLSTHSLLEHTDVAVLLDNEAIYDICRRSLNIERPTYTNLNRLVSQVISSLTASLRFDGALNVDVTEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSVAEITNSAFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTIQFVDWCPTGFKCGINYQPPTVVPGGDLAKVQRAVCMISNSTSVAEVFSRIDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAEANEGDEGEDGDEY >OIV93261 pep chromosome:LupAngTanjil_v1.0:LG18:9556633:9557693:-1 gene:TanjilG_23102 transcript:OIV93261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSTLFRPSYVPFLLQPKDLRSNITPSIRHTSTIFCSSRNQSYIPKLEPFSRTKFDRVVKDPPLIQKSEKEIADYCSTLEGEECCSCWQAYFELKDLEKESPKADIEGLILQTGGIKSLIGCLHGVAIMHKMKNNKFNLANNVKSESEAHRSYHKPDGLPKSADELIEEEEGRMADSPYTRLLRTMGSFPAWYSPTPDHETD >OIV93024 pep chromosome:LupAngTanjil_v1.0:LG18:12771442:12772524:-1 gene:TanjilG_20686 transcript:OIV93024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMSQDETLPKQAATTKDHDINNQLGSNSGSSKSSTITKRPQEQILKCPRCDSPKTKFCYYNNYSLTQPRHFCKTCRRYWTKGGALRNVPIGGGCRKNKKVKSSRLSCDSKDSGGGTSSSEHYHQGGGLKFLHSLSSPPVHDFQVGGLTLPFLHHPPIPTTTSIYNNQFSSFGDVSNAPSFNLDPSSGTTNPSSSNLLGLYYPFNGANSAIQGDMSSMNLNNNLASSIESLSFITQDLHWKVQQQRLAMLFGGENQKEGNLEINQNQRPEPILFQNLEISKPETFPIEISSRKEGPSGGGDIPTEWFFGNSSASVTTTPTSTTSGGGHGHDNDNGNNWNSNVHAWGDVNVQQRQQYSSLP >OIV93209 pep chromosome:LupAngTanjil_v1.0:LG18:9779613:9781046:-1 gene:TanjilG_27388 transcript:OIV93209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEERNFVCKYCSKRFPCGKSLGGHIRTHITEERNNNNKNKNNNNGAECDNLNFDAAVRRKKKRDLWSEDGYGLRENPKKTMRSVNSNGTLEQQQEEVVVEKFCKECGKGFSSLKALCGHMACHSQKQKKLVLDSESDTEEICDVSKNPRRSKRMRFKAISLGNNQSYSSSVPLVNGSSSVSEVEQEQEEVARCLMLLSKDCSHKGPFALVNESSENNSVVVEAEKSSHVDMRFCIKNGNKFVSNGYETYDPVENKVLKLKSTDNSDSGYYRYGPQAKLAKSDVANDEFKSKFENYNVESRKMLNMNKGVSKYTVTSFKKSVKEDLNYDRTNGTTRKFDSKNIANYDSFDHSKKDTEYSFGNDEIYETDEKGWKYESLAYDSTDESDESSSDTDSLPIPKSHSKNVFNGKNTSKAKKKLKSKKSKEFECPICYKIFKSGQALGGHKRSHFVGGSEESSMVINQGLCFIDLNLPAPVDE >OIV93592 pep chromosome:LupAngTanjil_v1.0:LG18:991446:992171:-1 gene:TanjilG_04824 transcript:OIV93592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMMKRVFEVLIVVVVILSPCLVNGRNDSQVMKNGTNSITIAPEVGHGNHSLVEDVGGRKINSYDKVLAGRKFNSRNGGGVGGGVGNGGGGGGGGGGGGGSGGGGGGGGGGNGKGHGRGEGGGKGHGGGGGSGNGGGGGGGGGGNGKGHGWGGGSGSGGGGGGGGEGGGGGGEGGGGGGGGGSGGGGGGGGGGGGGGGWGWGGGGGGNGGGYGNVRCWVWGCGKEKKLEGKSNIYSSNSKG >OIV92872 pep chromosome:LupAngTanjil_v1.0:LG18:15062492:15065247:1 gene:TanjilG_01006 transcript:OIV92872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWYLMLDPNTKCKAPFITFIFLFLSLFLTSSITACSNGNCQVMEACSSATDCAPTLYCSNCPALERKQFLCTRGQATFPTSFVNGLPFNKYSWIMTHNSFSILDAPPLPGVQRLTFYNQEDTVTNQLRNGVRGLMLDMYDFENDIWLCHSFQGQCFNFNAFQPAINTLKEVEAFLAENPTEIVTVVIEDFVHTPKGLINLFINAGLDKYWFPMSDMPKEGEDWPTVTEMVQSNRRLLVFTSDASKEAEEGIAYQWRYMVENEFGDNGIRRGSCPHRKESKPLNSRSASLFLQNYFPTYPVEADSCKEHSAPLVDMVNTCYRAAGNVFPNFIAVNYYMRSDGGGVFDIVDKINGRALCGCNTVTACQVGAPFGSCNNITVPTTSPVTNTAGSFTGYVQFSRSASAVHSPNCALLMTIILYILAMAFKRSL >OIV92671 pep chromosome:LupAngTanjil_v1.0:LG18:15962774:15964225:-1 gene:TanjilG_18022 transcript:OIV92671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFSPNSCPLRSPLFGSFGNFVERVKQIGTLAVSAIIGNIFSAILTFCFALVGTLLGAMTGALIGQETESGFIRGAGVGAISGAVFSIEVFESSLVLWKSDESGIGCLLYLIDVIASLLSGRLVRERIGPAMLSAVQSQMGAVETGFDEVQNIFDIGGSKGLSGDSVEKIPKIKITSDNNIDGSGERVSCSVCLQDFQHGETVRSLPHCHHMFHLPCIDKWLLRHASCPLCRRDL >OIV93278 pep chromosome:LupAngTanjil_v1.0:LG18:9248431:9249474:1 gene:TanjilG_23119 transcript:OIV93278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPRISFSYDFVDAQQALKHQNIYSEAPVSSNFEFSVKNNGMISADEVFFQGMMVPLKTNCSKKMTLRDELLNNDDDLPRLPKSSSRWKERLGFRKGASKKDKNKNDDEFVQSPTFQQGDTTVSKKDNMELFYEGGVSCRGIK >OIV93742 pep chromosome:LupAngTanjil_v1.0:LG18:32436:37405:1 gene:TanjilG_16593 transcript:OIV93742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDSVSIKVVPYQNINKEVELVDIDEPRHRIDLNSPSYSSSSSSPPYATSTSTTTTTTTKKKDISLVNLVLSCTVAAGVQFGWALQLSLLTPYIQTLGIGHAFSSFIWLCGPITGLVVQPCVGIWSDKCTSKYGRRRPFILVGSLMISVAVILIGFSADIGYVLGDTHEHCRTFKGTRTRAAVVFILGFWMLDLANNTVQGPARALLADLSGPDQRNVANAVFCSWMAVGNILGYSSGASGMWNKWFPFLTSRACCEACGNLKAAFLVAVVFLTLCTLVTLYFADEVPLTAIQHHHLSDSSPLLDEQQNGLEFSKSKHLSDINEFNGKLTEDHGEKNPEIKHEHLKSGEDHAENFMDGPGAVLVNLLTSLRHLPPAMHSVLVVMALTWLSWFPFFLFDTDWMGREVYHGDPKGNSSEVDLYDQGVREGAFGLLLNSVVLGISSLLIEPMCKRMGARLVWAVSNFIVFVCMAATAIISLISARDYSRGIEHVIGASEAIKIASLVVFVLLGFPLAITYSVPFAVTAELTADSGGGQGLATGVLNLAIVVPQMIISLGSGPWDALFGGGNIPAFVLSSFCALAGGVVATLKLPNFSSNSFKSSEFHFG >OIV93255 pep chromosome:LupAngTanjil_v1.0:LG18:9720742:9722762:1 gene:TanjilG_26010 transcript:OIV93255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGHKRSHCSVDQSSYTSIASKRQKADVSITTKERKEKLGERIVALQQLVSPYGKTDTSSVLKEAMEYIGFLHQQVKVLSAPYLESSPKTEMQGMEPCSMRSRGLCLVPVSLTMGVADSNGADIWAPIKTTSPKFEKDGDSQFY >OIV93223 pep chromosome:LupAngTanjil_v1.0:LG18:10053018:10054733:-1 gene:TanjilG_27402 transcript:OIV93223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNTKKRKTEDNGDGTVAESPPKSPSTIATAALTSDDIRKILQPFTQDQLLDLLQSASLRHPDVLDSVRTLADRDPALRKLFVRGLSGETTTDNLRTVFSAFGELDEAIVIMDKTTGKSKGYGFVTFKHVDGAIIALKEPSKRIDGRMTVTQLAAASASSTTTDVSARKVFVGNVPFDISPERLLEHFLAYGEIEEGPLGFDKASGKTRGFAFFVYKTEEGARASLSETAKTIDGHQVICKLAVDNKKAKPGGIPGQTPPSGFAGNGMTFQQQQQQQLQPSMHAPMLGSQYGGNANNYGVQSSAPSYGNPVPASGAGYGHGVGSAYGNLQFSGPVSGDYGTRFPPNSAGAPSGGFPGGVHYGLPPNSQHPQPMPMQRPPQGGMYQGVPPYY >OIV93461 pep chromosome:LupAngTanjil_v1.0:LG18:4612010:4615435:-1 gene:TanjilG_21022 transcript:OIV93461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NDGDYSYPHPEYAEIVVVRHGETAWNAERRVQGQLDIELNEAGRQQAVAVADRLSREPKISVIYSSDLQRASETAQIIASRCGWPEVIKDYDLRERHLGDLQGFIYPELAKTHPVAYKALESENEDQEIPGGGESLVQLFDRCTSALLRIGRKHKGERVVVVTHGAFIRSLYKWALPKAGHAGDIHNTSINVFHFSGEDIWTLKVWGDVSHIHQAGSLLSFGGAIAYS >OIV93574 pep chromosome:LupAngTanjil_v1.0:LG18:788667:791880:-1 gene:TanjilG_04806 transcript:OIV93574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQNPNQKNSLYPEIIDSDPNPNQISSSNLYPSIDVNELCENLFPETNTIVSPSAPPPATEQVLITVPGAILHLIDKEYSVELACGDFSVVCLHQGQNVIAVFARVADEIQWPLAKDEAAVKVDDNHYFFSFRVPDQDPDKEGSDSSDEEGEEDRKKKKENKVPSFLSYGLTIASKGQEDMLKELDKVLSECSSFSLQKVSEKAKKKGEALDDSMAKEISPSDLKSEKNKDLMAEKSAAYWTTLAPNVEDYSGTAARLIATGSGQLIKGILWCGDVTVERLKWGNEVMKKRMSSTEQKEISPETLKRIKRVKRVTKMTENVATGVLSGVVKVSGFFTSSVANSKAGKKFFSLLPGEVVLASLDGFSKVCDAIEVAGKNVMSTSSTVTTELVNHRYGEEAAKATTEGLGAAGHAVGTAWAAFKIRQALNPKSVIKPTTLAKSAAKAAAAAAELKAKKS >OIV93178 pep chromosome:LupAngTanjil_v1.0:LG18:10837046:10840627:-1 gene:TanjilG_20840 transcript:OIV93178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGPSTIFNYESLFGCNIFCLVTLFLISFYLYQSSIQPLPKSFSFQNRLLSERLGDLSAKGWNQLGGSGPVQPWKQVPSPFNSISSPNLHPDVSSIVDLSHNFDKMYGNEKLPFTSMEKKNKDLSGTSSWSATLGSREMMLMNGMRNEDKSSSCLNICQQLPSLKEDSALRPFGLSVPYASPNERNSQVGATKSHPQQSPPSCPGKQFSGTSHLPLDTSGETQAHNGWPHVDTLGRNQLFPQYWPRCTELELQQLSIDDAGRNGRLVLPKNCAEAYFPPISQPEGLPLKILDTKGKEWVFQFRFWPNNNSRMYVLEGVTPCIQSMQLQAGDTVTFNRLEPEGRLVMGFRKSSSATPSDQTSALGFDLMWTRKGRCMQLLIKQGEEFGAHWVGITLAKALGHTNSDSAASVRITRSRTKLGLAASVRNTQACCIRQGQHGHDGHFGPSDFTKMAR >OIV92856 pep chromosome:LupAngTanjil_v1.0:LG18:14963968:14965096:-1 gene:TanjilG_00990 transcript:OIV92856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRSCVLSLALICIVVAGVGGQSPAAAPTTSPATPATAPSTPKSPAAPVAAPKAAATTPAASPKPESPATSPTKSVAPAPVVIKAPASSPPAVTPVSSPPVPVPVSSPPVPVPVSSPPAPVPVNSPPPANPPASAPPAPVPVVAPIAEVPAPTKSKKKTNKGKKHHAPAPSPSLLGPPAPPAGAPGPSEDASSPGPAVENDQSGAETMRCLKKVLRCIVLGWATLVLFF >OIV93492 pep chromosome:LupAngTanjil_v1.0:LG18:3341207:3346096:1 gene:TanjilG_11074 transcript:OIV93492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQYGPRVVVPIDLKKKPWEQKFPLHNRWHPDIPTVEVVTTGEVFRVEMMDFSGGGITKNYTAHDIKHADLSIVHYLSGPIRIMDSDGILAKPGDLLVVEICNLGPLPGDEWGYTGTFDRENGGGFLTDHFPCATKAIWHFEGIYAHSPQIPGVRFPGLTHPGIIGTAPSMELLNIWNERERDVEENGIESFKLCEVLHSRPLANLPSTNGCHLGTIQKGTAEWEKIAKEAARTIPGRENGGNCDIKNLSRGSKIYLPVFVEGANLSTGDMHFSQGDGEVSFCGAIEMSGFLELKCEIIRGGMKEYLTPMGPTPLHVNPIFEIGPVEPRFSEWLVFEGISVDESGRQHYLDASVAYKRAVLNAIDYLSKFGYSKEQAYLLLSCCPCEGRISGIVDAPNAVATLAIPTAIFDQDIRPKNNKVPVGPRLIKKPDVLKCTYDGNLPITRNPSAT >OIV92899 pep chromosome:LupAngTanjil_v1.0:LG18:15288519:15291454:1 gene:TanjilG_01033 transcript:OIV92899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADIRLNRVNQGPTKIKNVPIAVTPEGFWCCPSPVVFQKSFKAQHPLNKPKPSSPPSKTSSAQKKSVSVSERKTVPTPSRLGVSDSQQCSDPERDPASTSVVATERTPGPKIETLPKKLAVEFGEPGTCNVKVILLGKQGFCVKLSVHRDVLTEKSSFFADKLSEQSGLSSFQIDDCEDVEIYVETVGLMYCNEMKQRLMKQNVSRVLRILKVAESLGFSSCIQSCLEHLEAVPWVGDEEEEKVVSTVLQLQGEGIRVNPVLKRVSSDISNTSNDTLSQIFELVLKSNEERSRREMRSIVLKLLREKNSLCSSTGSPDICDEMIYRSCRSCLDSLLSLFKQATEPDSGDIPNGSREHVVKHIALEADNLSWLLEILVDKQAADEFALMWANQQELATLHGKLPVVSRYHISCISGRLYVGIGKGELLPSKNTRQLLLQTWLQPLINDYNWLQHGCRSFDRKLVEEGIGRTILTLPLEDQRSILLSWVGSFLKSGDGCPNLQRAFEVWWRRTFIRPYVESQGNGTSDN >OIV93655 pep chromosome:LupAngTanjil_v1.0:LG18:1727294:1730658:1 gene:TanjilG_04887 transcript:OIV93655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDNVLDDIIRRLVAAKNGRTVRQVQITEAEIRQLCTSSKEIFLSQPNLLELEAPIKICGDVHGQYSDLLRLFEYGGYPPEANYLFLGDYVDRGKQSIETICLLLAYKIKYKENFFLLRGNHECASINRIYGFYDECKRRFNVRLWKAFTDCFNCLPVAALIDEKILCMHGGLSPDLKNLDQIRNIARPIDVPDQGLLCDLLWADPDKDLDGWGENDRGVSFTFGADKVAEFLEHLDLDLVCRAHQVVEDGYEFFAKRQLVTIFSAPNYCGEFDNAGAMMSVDETLTCSFQILKSSEKKGKIGFGNNTSRPGTPPHKGGKA >OIV93640 pep chromosome:LupAngTanjil_v1.0:LG18:1528234:1530809:-1 gene:TanjilG_04872 transcript:OIV93640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIDYLKHGFGYKHKNLILKLVVITFFFGLAFKLVFFHSLPQKFVPLLEHTFPEKTTIVPEHQNTLVSEHVPENEDQSTNTEKCDYLSGDWVPNPKGPLYSENCNHIEPYQNCLKNGRPDREFLYWKWTPRKCDLPSFDPYKFLNAMRNKTWALIGDSITRNHVKSLMCMLSTVGQSVVVYEEKGFKSKTWHFSSFNFTLSVIWSPFLVEAGIVEDINGVPNSEIELHLDKLSSKWKNQFMNFDYIILSCGKWFLRSAIYYENDTILGCHSCPKSNLKELEFTFAYRKAIKFVLNYIVSSHHKGMIFYRTFTPDHFENGEWSNGGTCNRTKPVKEGEMEIKYLNKMLRDIELMEVENAVIEASRNGVNLKVVDFVPLSLLRPDGHPGPYREFHPFDKKDKNGNVQNDCLHWCLPGPIDSWNDILMEMVVNG >OIV92883 pep chromosome:LupAngTanjil_v1.0:LG18:15165415:15166447:1 gene:TanjilG_01017 transcript:OIV92883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPRISFSNDFVESKQPMKQDRSFNNRSETPTPVVSSDFEFSSSSMINYSMMSSADELLFKGRLLPYKDNNNNQMHRATTTLREELLVDDDDDDGIESQGFSLRPQKGSSTRWKGFLGLRKSHIGSKNKTDKSEASSDKGVEVTRSALEGSPLSQEMLNEGGSS >OIV92923 pep chromosome:LupAngTanjil_v1.0:LG18:13770479:13777118:1 gene:TanjilG_20585 transcript:OIV92923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKNIMSIRKRGGKDHTVVELGNIDTQRLLLNGGGEREKQQSFAINSLSQRQMKSLTALCDTILPSVNDFVRVSDDESVANFYRTSASMAGTHERVGGVISERLKHPSTGLLKLVLWLLSTWFGTIILCGMACFSTKFPFFHCYPDLSLHKRQQIMNSWSLSYFPHLRMFFRTVKLLTLLVFFTQIDESEDNPSWKAIGYCGPDPEFKAQLKNHFLHGTSEGGQEKKEDDGDAAELAGPLYKGLVHLNYPRDITADALRRCGFAVSVTRRKTKAAGSLSSPSLVIQCDVVVLGSGSGGGVVAGVLAKSGYKVLVLEKGGYYARNNLSLLEGPSMDQMYLNGGLVATDDMGVFILAGSTVGGGSAINWSACIKTPEHVRKEWCDSNELELFDSKLYKEAMDVVCEKMGVQSEIGDEGFNNAVLRRGCQEMGYPVNNIPRNSPPDHYCGWCCMGCKDGNKKGTSETWLVDLVKSGNGAILPGCEAIKVLHKKKNGSDRKIARGVAFEYEYNGTKDICVVESKVTIVACGALRTPTLLKRSGLKNANIGKNLHLHPVTMAWGYFPDEPASEVWPEVTKKSFEGGIMTAMSPVVAEFDKSGYGAVIQTPALHPGMFSIVMPWISGTDIKDRMRKFSRTAHIFALARDKGSGTVNSPSCISYQMEDTDEENLKKGIDKVLRILATAGAEEIGTHHNKGRTLKVKEASYNEFEKFVKEESSRPLRDLSTPLCSAHQMGSCRMGSNPKNSVVNETGETWEMEGLYVADSSVFPSALGVNPMVTVQAIAYCTAQSVLDALRRKRNR >OIV93038 pep chromosome:LupAngTanjil_v1.0:LG18:12626133:12627278:1 gene:TanjilG_20700 transcript:OIV93038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAITISKLITHLMLWRLVGFISSIVGFTCYALSPSFHDLFGKRNPFKMVIYTVFSSTISIFMLLVKTCRWEILKSFLLKAHVGFLVITLTSMYSYWEDRSEEGKDEKGFGRVMNLTSTASFALMAMSLSRQLQLGFEVGIFNFFIGCFLVTVMKMNLKLAPLAAFFCYLLVNIRSFSEFILEMRGHGPKEEHADEFIDTGQDTNNRDGKYAWVYCFPYFLGERKEWDDLEEGFVSKEEGDDLEEGFVSKEEFHMSKEQPKEAVQTHLCISLVKSTKPTWTNLTEGATIATEATLTNLTEGATIVTEPAWDNLIEGETIVTEPACDNLIEKPNLESRHDRDTLIVTPSHVSTHDRDILFVVHDHISGHDRDTITVGTTTHQE >OIV92854 pep chromosome:LupAngTanjil_v1.0:LG18:14944951:14953213:1 gene:TanjilG_00988 transcript:OIV92854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSITSVELNFLVFRYMQESGFTHSAFAFGYEAGINKCPIDGNLVPPGALVTFVQKGLQYLEMEANLSNCDADLDEDFSFLQPVDLITKDMHELRKMINERRKKAQKDRDKESGKEHGGERVRVREKERHEREKECEKDRAKVESRKEQERSPENQINRQMVIDQEDNVTVNHEENGAFGGPEPMDISMISTSQSCEFPSSDVTILEGHTSEVCAGAWSPKRSLLASGSGDSTARIWTIADGRLKSGSQKGPLNVSVLKHVSGRTIESRNDVTSLDWNGDGTLLATGSCDGQARIWTSSGELRTTLSKHKGPIFSLKWNKKGDYLLTGSCDKTAIVWDVKAEEWKQQFEFHSGAMLDVDWRNNVSFATSSIDNMIYVCKIGENRPIKTFSGHQGEVNCVKWDPTGSLLASCSDDITAKIWSMKHDKYVHDFKEHSKEIYTIRWSPTGPGTNNPNKKLVLASASFDSTIKLWDVELGKLINSLNGHRDGVYSVAFSPNGEYLASGSADKSMHIWSLKEGKIVKTYTGGGNVFEVCWNKEGDKIAACFNNNVVCVLDFRM >OIV93142 pep chromosome:LupAngTanjil_v1.0:LG18:11296247:11297148:1 gene:TanjilG_20804 transcript:OIV93142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLKKGTLTWNVRYSIARGLASAVLYLHEQWKQCVLHRDIKASNVMLDSNFNAKLGDFGLVRLIDHEIESKTTALAGTLGYMPPEAATREKASGELDIYGFGVVALEIACGRKAIGSSLIQEQKYLVEWILELYGKGDIFKAAYPSLCRDFDENEMKRIMIVGLWCTQKDYLLRPTMRQVFQVLNFEIPLPILPSQMAVPNYNTSLNLMPSMTHAFENNQTMPSTSSNSSFTASSQSSTTFDVIFPSAALLHGHTC >OIV93374 pep chromosome:LupAngTanjil_v1.0:LG18:7308382:7311085:1 gene:TanjilG_21085 transcript:OIV93374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCFPCALAHVLVFILAYCIHSLRKGIGSSFIFFSVCSDYLFKLLLIGDSGVGKSCLLLRFADDSYLDSYISTIGVDFKIRTVEQDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIVVYDVTDQESFNNVKQWLSEIDRYASENVNKLLVGNKSDLTANKVVSYETAKAFADEIGIPFMETSAKNATNVEQAFMAMAAEIKTRMASQPMNNARPPTVQIRGQPVNQKSGCCSS >OIV93323 pep chromosome:LupAngTanjil_v1.0:LG18:8609756:8615437:-1 gene:TanjilG_23095 transcript:OIV93323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQRTPLDLRIHHSGSTQSEESALDLERNYYGHPNPSSSLLPLQPFAAGAQHSESNTAYFSWPMLSRWNDAAEDRANYFGNLQKGVLPETLGQLPTGQRATTLLELMTIRAFHSKILRRFSLGTAIGFRIRGGVLTDIPAILVFVAHKVHRQWLNHVHCLPAALEGPGGVWCDVDVVEFSYYGAPAQTLKEQLYTELADGLRGSGSSIGSGSQVASQETYGTLGAIVKSRTGNQEVGFLTNRHVAVDLDYPNQKMFHPLPPSLGPGVYLGAVERATSFITDDLWYGIFAGTNPETFVRADGAFIPFAEDFIMNNVTTSVKGVGEIGDVNVIDLQSPINTLIGRQVIKVGRSSGLTTGTIMAYALEYNDEKGICFLTDFLVVGENQQTFDLEGDSGSLILLTGHNREKPRPVGIIWGGTANRGRLKLKVGQPPENWTSGVDLGRLLDLLELDLVTSNEALQAAVQEQRNGSAAGIGSTVGESSPIIRITEKLEESLEPFCLNIEKVPVEDEPSNPSLRPYEFHIKNEIETAPNVEHQFIPTYADKSPVHQSYTKEDTEFKSLSELKNGPNEDNFVSLHLGEPEAKRRKQSNSSFSIKELK >OIV93405 pep chromosome:LupAngTanjil_v1.0:LG18:6416190:6417522:1 gene:TanjilG_02942 transcript:OIV93405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLGYLGDLELAGGSLAIAFANITGYSVLSGFAVSTRVGNELGANRAYKAKLSAVVSIFMAAVMGFSAVVFATAMRHRWGRMFTGDEDILSLTAVVLPILGLCELGNCPQTVACGVVRGTLRPSVAVNVNLSAFYLVGMPVAVGLGFLGFGWAWVFGLWLGWLLAQVCCAGLMLCVIGTTDWDFEARRAQQMMLANESNGDVGIMDGQEKQLTNVVTLTS >OIV93607 pep chromosome:LupAngTanjil_v1.0:LG18:1148121:1150182:1 gene:TanjilG_04839 transcript:OIV93607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIPVIDFSNLNGDKRGETMALLHEACEKWGFFVIENHEIDIELMEKVKELINTYYEENLKEKFYQSEIVKKLEKQQNLSDIDWESAFFIWHHPTSNINQIPNLSKDLCKTMDKYIAQLIELAEKLSQLMSENLGLDKNHIKQLFSGSNKGPAIGTKVAKYPQCPHLDLVRGLREHTDAGGIILLLQDDQVPGLQFFKDGKWVEIPPSKNNAIFVNIGDQVEVISNGLYKSIVHRVMPDMNGSRISIATFYNPNGDAIISPAPRLLYPTNFSFGDYLKLYGNTKFGEKGPRFETMKNMTNGHGH >OIV93181 pep chromosome:LupAngTanjil_v1.0:LG18:10824883:10825524:1 gene:TanjilG_20843 transcript:OIV93181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTGMEVKLWNDKREREMYDNFAELYAIIKATERLEKAYVRDIISPQEYELECQKLIAHFKTLASTLKDTVPSIERFADTYKMECPSAINRLVISGVPATVEHRAAAAATTSTSAAIVAECVQNFITSMDSLKLNMVAVDQVYPLLSDLHGSLNKLSILPPDFEGKTKLKEWIARLSKMSAADELTEQQARQLHFDLESSYNSFVAALPNAGT >OIV92644 pep chromosome:LupAngTanjil_v1.0:LG18:16184675:16188784:1 gene:TanjilG_17995 transcript:OIV92644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAREDEMEIDGYQGNETTLPHEFNLNYLKLYYGKLFPHSDLFKWMSYGNDGKHPGCDPSYLSRREFSYTLDNDIYVRFQSFHNAAELENSIKDKCPFKIDIGPVYSVDPSKRHAYAQSGDNVFTPVERELIFDIDISDYDDVRYCCSGADVCLNCWPLMTIAIKVIDTALRDDFGFKHILWVFSGRRGVHCWVCDGKARKLTNEQRSSIADYFRVYKGNENSYKKVSLTGHVLHPFLAGAYSEVLKEFFETKLLTSQNLLSSEERFEKILEMIPDQSATAELRGKWQENRRSSSAKDDINVVRWEQLKQLLQSGKYKAQRLHRCVEEIVFSFTYPRLDMEVSKHMNHLLKAPFCVHPKTGRVCVPINPNHCEEFDPTIVPTLSQLLEELNREGFTADVDGEWNKTSLGSAIRLFRSLFLQPLLEACKKDIETSYNAKLKQSKDLHSW >OIV93352 pep chromosome:LupAngTanjil_v1.0:LG18:7639814:7643631:1 gene:TanjilG_31399 transcript:OIV93352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGDLFLPWKFGSWHGLELENVKENELNLVSSLPAIPQPPTPHEPIEFLSRSWSISASEISKALSEKQKLSLDQNNPHMLQEAILAPQLVTGKIIPYTNPRKMSTIGKWFHQKQHGNTNMTVKKKDRTRLENASVHSAVSIAGLASALASVASSSEDYSSSSCDTKLNLALASATQLLASYCIEMAEVAGAEHDLVASSVKSAVDIHTPGDLMTLTAAAATALRGEAALRSRLPKESKRNATISPYDKGITQTHWLPAFEGQVWQHHPPCEGDLLQLTRKGVLRWKHVSVYINKKCQVKVKIKSMHIGGAFSKKNRCVVYGVCDKDGTWPYRKEREGSEEFYFGLKTAQGLVEFKCMSKLHKQEWVDEIETLLHQVNTLEATHHCMEFFSIN >OIV92669 pep chromosome:LupAngTanjil_v1.0:LG18:15978379:15980619:1 gene:TanjilG_18020 transcript:OIV92669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNKLRSIGEQGKHVFNQNLQIIHLGKLGKVEDATRVFSHIFHKNLVTYNSMISVFAKNGRISDARQLFDQMTRRNIVSWNTMIAGYLHNNMVEEANQLFEKMPQRDNFSWALMITCYTRKGELEKARELFDLVPDKLDSACWNAMIAGYAKKGQFNDAEKMFEQMPRKDLVSYNSMLAGYTQNGKMHLALQFFERMAERNVVSWNSMVAGFVNSGDLNSAWQIFEKIPYPNSVSWVTMLCGFARHGKITEARRLFDRMPSKNVVSWNAMIAAYVEDLQIDEAVKLFMKMSDKDCVTWTTIINGYIRVGKLDEAREAYNQMPRKDIAAETALMSGLVQNGRIDEASQMFSRMSTRDAICWNSMIAGFSQGGRMDEALDLFRQMPIKNTVSWNTMISGYAQSGKMDRAAMIFQAMGERNVVSWNSLIAGFVQNNLYLDALKSFVLMGQEGKKPDQSTFACALSACANLAALQVGKQLHQCILKSGYVNDLFVSNALIATYAKCGRVQSAEQVFKDIECVDLISWNSLISGYALNGYANEAFKTFEQMLSEGMAPDEVTFIGMLSACSHAGLANRGLELFKSMINDFDIEPLAEHYSCVVDLLGRMGRLEEAFDIVRGMKVKVNAGLWGSLLGACRVHKNLELGRFAAERLLELEPHNASNYITLSNMHAEAGRWKEVERVRVLMREKKAGKLPGCSWIELQNEIQSFLSHDSAKLRHENTQITLNTLSAHMRDKCNISDIKSTFDIL >OIV93282 pep chromosome:LupAngTanjil_v1.0:LG18:9227623:9230303:1 gene:TanjilG_23123 transcript:OIV93282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAGYFIDCGGTKELTVDNILYISDGSYINVGNTTIINEPNILPTLTTLRYFPDTSAKKYCYSLPVIKGSKYIVKTIYYYGGFDGGKQPSVFDQIIDGTRWSIVNTTEDYAKGLSSYYEVVVKSLGKTLSVCLARNEDTGSSSPFISALEVKILDDSLYNPIDFTKYALVTAARHTFGGEDIISYPNDKFDRMWQPFKDENPVVGSHSNITSSDFWNLPPTIAFTNGITTSRGKKLEIQWPPVFLPSTYYYISLYFQDNRGPSPYSWRVFDVSINDHTFFTSLNATAKGVTVYSAQWPLSGQTKLTLTPASGEPVGPVINAGEVFQILPLAGRTLTRDVIVMEDLARSFENPPSDWNGDPCLPKGNSWTGVSCSYHDLIARVITVWLGGNKISGALPDMSGLHELQTLHLENNKLDGPVPPSLKKLPKLLEINLQNNNLQGEVPKN >OIV92858 pep chromosome:LupAngTanjil_v1.0:LG18:14973762:14975406:1 gene:TanjilG_00992 transcript:OIV92858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANNIGIMDSAYFVGRSEILAWINSSLQLNLSKVEEACSGAVHCQLLDVAHPGVVPMHKVNFDAKNEYEMIQNYKVLQDVFNKLKITKHIEVSKLVKGRPLDNLEFMQWMKRYCDSVNSGLHHNYNPVERRELCKGGRETTKKSAQTQTSTKGSTTSRTHSTHNARRNEVSTAANATNQAPKATSTRPSSAGGPAYNEQITELKLSVDSLEKERDFYFAKLRDIEIICQIPEIEQSPVVAALQKILYATDDDGTAVAEARAMISGEQKEAERLMSPIAEVSEEKSCSETLKRKSINNLDFDAAGITNLSPRQRISDVSDGHCSESPLMTC >OIV93136 pep chromosome:LupAngTanjil_v1.0:LG18:11339755:11344903:-1 gene:TanjilG_20798 transcript:OIV93136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSFSFIFFTFLLLNSPISHAVDNPIKTIVILVMENRSFDHMLGWMKKLNPEINGVTGKESNTLSASDPNSKRFYFDNKSEYVVADPGHSFQAMREQIFGSENTSPDPAPMNGFVQQAFSMDNTSKMSVEVMNGFEPDKVPVYKALVSQFAVFDRWFAPVPASTQPNRLYVHSGTSHGATSNKAGLLAKGYPQRTIFDNLHDAGIDFGIYFQDIPATLFYKSLRKLKFVSKFHPYGLSFKSHAEKGKLPGYVVIEQRYTDTKLFPGNDDHPSHDVYEGQKFVKEVYETLRASPQWNQTLFLITYDEHGGFYDHVPTPVNGVPSPDGIVGDPFNFTFNRLGVRVPTIAISPWIEKGTVVHGPNGSPTPTSEYEHSSIPATVKKLFNLPSFLTKRDEWAGTFEGIVQTRKEPRTDCPEQLPNPVKMRMSEANEDRSLSEFQQEMVQLAAVLKGDDIFTTYPNTIGKQMTVKQGRDYMHVAVKRFLEAGRLAKKMGVDEEQIVQMKPSLTSRSSKPSNTNP >OIV93494 pep chromosome:LupAngTanjil_v1.0:LG18:3543608:3547247:1 gene:TanjilG_11076 transcript:OIV93494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSHHFTFILLLSLFTAKVITIQLNEYESHTSRRILHQPLFPVSSALPPTTDSPPLPPPPDTPSSPDIPFFNEYPTAPPPPQPEQQLPSTPAGAIATNPTGMQQTKPTKKVAIAISVGIVTLGMLSALAFFLYKYRTKHSVETIQKEKKLVRENSNYVPETSNNSSQPPPQSSFLYIGTVEPNRTSLSEPDRTGNVGNRSLFRKLDNSVKLSDRYRPSPELQPLPPLNKPSDNENHLPPAASSSSSSDEESRVRRFHSPSLSHEEDSYYCTPVSSRHSYRDNGNTSAALVTGAPVVPFSKRTSPKSRLLASSPDRRNIMIPSIKNSSQPPSISPAPPPLQPRRPKFLEPPPALDLRHLHSDESMTSLHLPPPPPPPPPLPPSRRAMPRRKVWSPVRSTISSIAASRKQQQSWSPSQEGAASAYSTNSVTKAASVETDDMDEGKPKLKALHWDKVRATSDCATVWDQLKSSSFQLNEDMMESLFGCNSTNSAPKETVTRKSVLPPVEHENRVLDPKKSQNIAILLRALNVTRDEVSEALLDGNPESLGAELLETLVKMAPTKEEEIKLKNYDGDLSKLGSAERFLKTVLDIPLAFKRVEAMLYRANFEAEVNYLRKSFQTLEVASEELKNSRLFLKLLEAVLRTGNRMNVGTNRGDAKSFKLETLLKLVDIKGTDGKTTLLHFVVQEIIRSEGSGGESANENSQNQTSSEFNEDEFKKKGLQVVAGLSKDLGNVKKAAGMDSDVLSSYLLKLEMGLDKVRLVLQYQNPDMQENFFNSTNIFLNDAEEKIARIKADEKKALFLVKEITEYFHGDTAKEEAHPFRVFMIVRDFLNILDQVCKEVGRIQDKTVIGSDRSFQIAASASLPVLSRYHGRQDRSSDEESLSP >OIV93733 pep chromosome:LupAngTanjil_v1.0:LG18:119668:124874:1 gene:TanjilG_16584 transcript:OIV93733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLRISRLLSRSSSSSSFFSLGGNGKLGRRVSKYSTSAAATIEEPIKPSVEVQHTQLLINGKFIDAASGKTFPTLDPRTGEVIAQVSEGNGEDVDRAVAAARKAFDEGPWPRMTAYERQKIMLRAADLIEKHNDEIAALETWDNGKPYEQSAKVEVPMLVRQIRYYAGWADKLQGHTIPADGALHVQTLHEPIGVVGGNGKLGRRVSKYSTSAAATIEEPIKPSVEVQHTQLLINGKFIDAASGKTFPTLDPRTGEVIAQVSEGNGEDVDRAVAAARKAFDEGPWPRMTAYERQKIMLRAADLIEKHNDEIAALETWDNGKPYEQSAKVEVPMLVRQIRYYAGWADKLQGHTIPADGALHVQTLHEPIGVVGQIIPWNFPLLMFAWKVGPALACGNTIVLKTAEQTPLSALYVANLFLEAGLPAGVLNIVSGFGPTVGAALASHMHVDKLAFTGSTETGKAVLQLAAKSNLKTVTLELGGKSPFIVCKDADVDQAVELAHLALFFNQGQCCCAGSRTFVHESIYDEFVAKAKARALSRVVGDPFKSGIEQGPQMTRLYPNNFVFDPNNQIDSKQFDKILGYIKSGVENGATLEAGGDRFGNKGYYVQPTVFSNVQDNMAIAKDEIFGPVQSILKYKDLDEVIHRANNTAYGLAAGVFTKDIDTANTLTRALKAGSVWVNCFDIFDAAIPFGGYKMSGQGREKGEYSLKNYYQVKAVVTPLKNPAWL >OIV93536 pep chromosome:LupAngTanjil_v1.0:LG18:2191850:2192134:1 gene:TanjilG_28693 transcript:OIV93536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQQFYMLLHVPYKHEEDDVMGSVFIGGDMGSERIGIVAMRDDLRSFSARLKNHGFSEDDNVVATRTVDEEDGGFNFGSNGIVGTWQVMKNLHL >OIV93491 pep chromosome:LupAngTanjil_v1.0:LG18:3333266:3333543:1 gene:TanjilG_11073 transcript:OIV93491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLDSLQADSDHGVSTNTSWVMASTVDEFMDSSAISEMRLSPSDETGVLVGQFCPWDFNPH >OIV93553 pep chromosome:LupAngTanjil_v1.0:LG18:634830:639403:-1 gene:TanjilG_04785 transcript:OIV93553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDENSTESMSSSESVNINIRCSNGSKFSIQIPLHSTVLLFKNLISHNSNIPSQQQRLIYKGRILKDDQTLISYGLEADHTVHLVRGFAPANTTDGSGTNTSGPNTTTNNARGSSANEGGGLGGVDFETMFPGFNAIGGNGLFGEGFPDLEQMQQPLISNPNLVREMMNMPAVQNLINNPEIVRNLIMSNPQLQELMDRNPELAHILNDPSTLRQTLEATRNPEIMREMMRNTDRAMSNIESSPEGFNMLRRMYENVQEPFLNATTMAGNTGSNNTAISGNQGRGPSTNPSTTSSEATAGSTLPNTNPLPNPWSSTGTIGAQNNTRRSAIGGDGRQQTPSSLGGLGLPDLQSMLGGGTMPDAASLTQLMQNPAISQMMRSVLSNPQTLNQIIGANTQQQGMPDLSSIREVMQNPEFLRWFSSPESLQQLLSFQQAFMSQLGQQQSTQESGQAGGGDTGSRNNLGLDMLSSMFGGLGAGSLAVPNRSNEPPEQLYASQLSQLEEMGFFDTQENIRALIATSGNVHAAVERLLGNSGQ >OIV93561 pep chromosome:LupAngTanjil_v1.0:LG18:692757:695129:1 gene:TanjilG_04793 transcript:OIV93561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGRLPPLPSSGGGGVDPFATWYGNIDYLLNISVISALCSLFIKLRSDHRHMPGPAALITKLLAVIADTTQFLLFEGGSCAILLSIAALALLVLLLVNLYAGSAILDDQFSNTTINHIEKGSALLWIHFLFTVVMVVLVHFGISVAEEEWLRITSLRDGYGNLSDLTVNSTAIFTIMVQGLPKIIGADRVVLQEYFQYRYHRKVYKVIVLMDLCALDDLAMELLRVRDKILWLMASIDSRVLPDDAEDDGSVQGASCGLWAWVVYCWRMLKDICADIMARFGYTDEERLKKLQELRAELETELAEYKEGRAPGAGVAFVMFKDVSPLAVINAVKSAGRIFNAEAMDNAQLWLAWVQSSSWVAILIFQFLPNVIIFVSMYIIILSVLSYFSNFERHLTVSREQRAELLKLVCFFLVNLILLRGLVESSLESTILKMGQCYLDGQDCKRIEQYMSASFLSKSCLSSLAFLITTTFLGISYDLLAPIPWIKRKIQKFRKNDMLQLVPEQSEEYPLEHQDNDNIQRPLMHDGAYDTSNGDNIEGQDLFVYPITGSSPAPKQAFDFAQYYAFNLTIFALTLVYCSFAPLVVPVGAVYFGYRYVVDKYNFLFVYRVGGFPAGNDGRLIDTVICIMHFCVDLFLLAMLLFFSVQRDTTKLQAIFTLGLLVVYKLLPSSNDSFQSSESTLSDGFQTVDNVVDGPIDYEVFSQPRFDWDTSQG >OIV93477 pep chromosome:LupAngTanjil_v1.0:LG18:4150025:4153881:-1 gene:TanjilG_18693 transcript:OIV93477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METGENNNSNARGIISEDSPWFSQFRNACNPWMARYAYALIFLVANLLAWAARDYGRTALTEMERLKGCNGGKDCLGAEGVLRVSLGCFVSFSYQIFYIIMFLSTAGTSKLNQARDRWHSGWWPVKIVLWVAMTVIPFLLPSALIQIYGDVAHFGAGVFLLIQLISIISFITWLHNCCESEKYATRCHIHLMLFATIAYVICLVGIILMYIWYAPKPSCLLNIFFISWTLVLLQLMTSVSLHPKVNAGILTPGLMGLYVVFLCWCAIRSEPAGESCIRKSDSAPKTDWLSIISFVVAISAIVIATFSTGIDSKCFQFRKDDKPPAEDDVPYGYGFFHFVFATGAMYFAMLLIGWNSHHSMRKWTIDVGWTSTWVRIVNEWLAVCVYLWMLVAPIIWKCRQTGSV >OIV92909 pep chromosome:LupAngTanjil_v1.0:LG18:15458619:15458831:-1 gene:TanjilG_01043 transcript:OIV92909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEKLKRKITCQAYSSYHNHTLNYKREKFTMTTVSPYCTSSLGTTTTTHENEITMITTTSPMMTIFTAQM >OIV92680 pep chromosome:LupAngTanjil_v1.0:LG18:15915083:15916032:1 gene:TanjilG_18031 transcript:OIV92680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSTHLVTTFLFLFFFQFLKGSYSTTFNIVNKCSYTVWPGILTGGGTPPPSTTGFALAPGDSNTIAIPTAWSGRVWGRTLCSQDSITGKFSCITADCSSTTVECAGSGATPPATLAEFTLNGAGGLDFFDVSLVDGYNLPMMVEPQGGTGAGNCTATGCAVDLNAGCPPELRVVNGGNGDESVACKSACEAFGDPQYCCSGAYATPDTCKPSSYSQFFKSACPRAYSYAYDDGTSTFTCSSADYLITFCPSPNPR >OIV93258 pep chromosome:LupAngTanjil_v1.0:LG18:9683487:9689335:1 gene:TanjilG_26013 transcript:OIV93258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKEGKSHQKKKQDKYSYDQDALDNMDDEIDAFHKQRDIVPLDVNDEDGDSDEDDELPIFDIKDDDDDEEDDEEDDEEDDDDDEDDDNEDDDDANDDVAKMIRQRKFLRAKFGEGDDEIQDDDDEDDEEDDNKLTFGGKRFSHGAENRNFEIQSSDDEAPKEEEELALQIQREKARSLTMEDYDLGDISVDKDNEKFTSKDASDKDKGAIESPDRDMTFKLEDLNALSKEEQLNILSRSAPELVGWLSELKETHKQLECKINPFLSKVKKGEIGIEGGVQYFELKQILLLSYCQAITFYLLLKSEGQPVHDHPVIARLEEIKILVDQIKQIDSKLPVELEDILTRSDELEGVVKPDNENAPSNTNSFTKNQEQPLVSDGLPDEAVPQKLVEIQKMESSKDNTQKARKDKHQKDSVSVQSLEMLKVRASLEEKLKQKGLYSSIAPKPSSTRKRSRPVNGQLETYDDFDDDAADVKGAARLVNGSSKVSKILNASLKKTKVVSGDDDLPKRDDIGERRRKHELQVLAGAGVKNEDDDVADDDNEMNDVGSDEVSDEEDDVENEDSENEFYKQVEQQRAEKLAAKAASYSRNPAAPFSQPETVEGKRYISTQMLKNRGLTRSRNKDKKNPRKNYKLKHQKAVKNRKGQVQSIRKPTAPYGGELSGINANVSRSIRFKG >OIV93160 pep chromosome:LupAngTanjil_v1.0:LG18:11066041:11071986:1 gene:TanjilG_20822 transcript:OIV93160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRNQNKPPRSPSNKKGGRTEELFVDKRGRIGAEKMEQRGAVGKARAPLSSMKVRSDTNAGSEVGSNEGMECSVVEFTKEDVEALLNEKRKKGDPFDNKKKQELMAAYIKRLKLCVRWFIKVEEGYVQEKEKLQSELESLEKKCSYTEIEMKNKMEELNETISDLRRTITSLEERIAKEESDKLEAINCYKKEKEARSVAEKVRDEKSAELEKVLDQKSVAEQQAISNEDLYKRSQEYNMSLQQYNSRLQSDLDTSNEAHKRLEIEKATIVESLSIVRGHNKALQDQLVSLKVSQDEAIKQKETSKNELICLREELKQIRDERDRQQGQIKALVGEVAKYKEYTGKSFAQLDTFIIKANALEVTCSSQKEQILQLQQLLFAEKEKLKMADLSASTSRTVFEEQRRLICELQDRLADKEIQLVEGEKLRKKLHNTILELKGNIRVFCRVRPLLPEDGTGSDMVVSYPNSTESLGLGIELVQSGQQYPFTFDKVFDHEASQHDVFIEISQLVQSALDGYKVCIFAYGQTGSGKTYTMMGRPDAPDLKGLIPRSLEQIFQTSQSLKDQGWKYKMQASILEIYNETIRDLLASNRSSGMDQARTENGVPGKQYTIKHDANGNTLVSDLTIVDVCSVNEISSLLQKASQSRSVGRTQMNEQSSRSHFVFTLRICGINENTEQQVQGVLNLIDLAGSERLSRSGATGDRLKEAQAINKSLSSLSDVIFALAKKEDHVPFRNSKLTYLLQPCLGGDSKTLMFVNVSPDQSSTSESLCSLRFAARVNSCEIGIPRRQTQTST >OIV93220 pep chromosome:LupAngTanjil_v1.0:LG18:9996659:10001065:-1 gene:TanjilG_27399 transcript:OIV93220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKNQAYKAMQRARVGGGTAGPDEVEDGMVDGSFHSPAWHAARLASLNTSHTVTWEEYKKKQKEEEMRKGELEADADRMMREYRAQLDAERAHKLSHGRNHSSSKSKHGKDKRDMISKKHSSKKRKHSRRSSASSSSSSSSYSSSSEEERSSRRSKSRSKRSKKEKKAKSRQKSSGTGSDDDGPVPLSKFFGSVKS >OIV93162 pep chromosome:LupAngTanjil_v1.0:LG18:11058431:11058649:-1 gene:TanjilG_20824 transcript:OIV93162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFIHFCTVAWFNSSGMAKYLAFTCNNGMTTACRKFLKLEKKNRNTSGLFEHLEEASYYWKELYGHLDNPDE >OIV92942 pep chromosome:LupAngTanjil_v1.0:LG18:13606101:13615124:1 gene:TanjilG_20604 transcript:OIV92942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLSRFFYKRPPNGLLEFIDRVYVFDSCFCTEVLPEGMYQLYLHEIIIELHEEFPESSFLAFNFNEGEKRSQFAEILAEFDVTVMEYPKQYEGVPILPLSLVQHFLRLRYLQYVARRNITPEWPPPERALSLDCVILRAIPSFDAQNGCRPMVRIFGRNLHSKGGLKTHMIYSMLNKKKSLKHYRQADCDVIKIDIQCFVQGDVVLECVHMDLDLEREVMMFRVMFNTAFIRSNILMLNAENLDILWDSKERYPKGFRAEVLFGDVESISPTRAPTAILNGEEKGGLPLEAFSMVQELFNGAEWDESGDAAAWLLHQLNVLNDVKEFSKLQGKGSWYSSPTDSDDENNESSTADSSDDTFDVISKTSSSSSSDPSKLLTSEISDSAHLAFDRDGVNHGSLTSRTLDQSLTDNVSSPHYAPSKEYSLRSFPPSPSPIPATQSHLSSPPQAPPPPPPPPPFSNKELSLTLSNNAPPPPPPPPPPPPPLSNGVNLLSSPLPPPPPPFPHSSTTNNKTLPPPPLLSMGHNISKASKMPPPLPPSPLGRNINNATIPTPPPPPPRPTQPGSAPPPPPPPPPSISRIANNAPTPPPPPPRPVQPGSAPPPPPPPPPSISCIANSAPTPPPPPPRPIQHGSAPPPPPPPPPSISRIANSAPTPPPPPPRPMQQGSAPPPPPPPPPSVSRIANSAPPPPPPPPRPVSTSSTSPPPSGSRQTGSVPPPPLQPPPRPVSTGSALPHGARQTGSAPPPAPPPPPGSRQTSSAPPPPPPPPPGIRIGSAPPPPPPPGIRTGSAPPPPPPAPTGPNRMGSAPPPPPPPAPRPPGAPPPPPGRGAMPVPPPPPAGRAPGVPPPGKTSLAPTNVGKGRGIGGTAVAPKRTLLKPLHWVKVARAAKGSLWADSQKQDDESRAPDIDISELESLFSAVSISDASSTKGGGRRGTNINKPVKVQLVDLRRAYNCEIMLSKIKIPLQDMLNAVLALDSSVLDIDQVENLIKFCPTKEEMEMLKNYTGNKEMLGKCEQFFMELMKVPRVEAKLRVFAFKTTFSSQVNDLRVNLNTINDATREVKESVKLRQIMQTILTLGNALNQGTARGSAVGFKLDSLLKLSDTRARNNKMTLMHYLCKLLAEKMVELVDFDKDLVHLEAASRIQLKALAEEMQAVSRGLEKVVQELTISENDGAISTGFRTVLKNFLNIAEADVRSLISLYAEVGRNADSLSQYFGEDPARCPFEQVTQILVVFVKMFKKSREENERLADAEKKRLEREAMKEKTANNTNPKKTAFDRNAKTS >OIV93389 pep chromosome:LupAngTanjil_v1.0:LG18:6976837:6977058:-1 gene:TanjilG_24109 transcript:OIV93389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMDPCLRKQYSPGAARKIAKLADNCLKKIPEDRPSMNQIVETLKQALQYSDSSSSSQNPDDSSRSNVVRKGK >OIV93557 pep chromosome:LupAngTanjil_v1.0:LG18:656535:657407:1 gene:TanjilG_04789 transcript:OIV93557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNKCPIRSISLPSRSHPSTIRIEEELRKFKSWETTSTSTSDSICTGLTLLEDLYVGLDDILNMASTQHVINHHKGEKCVEEVLDGSLRILDICGITRDTMLQIKENVQALHSTLRRRKGDSSIEKSVGEYNFFTKKMKKNVNKLVSSLKQMDNKFGESLLLDFDQHFSCVIRVLREVISMNLFLFQVIFSFLTMSSSKSKPTKWFMVAKLMHKGGIACEDNSQNINEMQCVEEVLSTLLNEGNNGEKMKATHERLKDLENAIEGIENALESIFRCLIKSRSSLLNIISQ >OIV92912 pep chromosome:LupAngTanjil_v1.0:LG18:13833852:13836252:-1 gene:TanjilG_00089 transcript:OIV92912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPKPIIVTAPPASSPPLPLKTIETPQQNLKPTTLTKTKPSEQPILIKRTSPQVSVVGLIFNTFDHIINNFIDPPVKPSLDPKHVLSNNFAPVTNELPPTECEIIHGTLPPCLDGAYIRNGPNPQFLPKGPYHLFDGDGMLHCLKISQSKATLCSRYVKTYKYNIENEAGHPLIPNVFSGFNSLVGSAARGSLTAARVITGQYNPSNGIGSANTNLALFGNNLFALGESDLPYKVRVTSNGDIETLSRHDFDGKLGMNMTAHPKSDPETGETFAFRYGPVPPFLTYFYFDSEGRKKPDVAIFSMTRPSFLHDFAITKNYAIFADIQIGMNPLNMISGGSPIGSDPSKITRLGFLPRYATDESKIKWINVPGFNIIHAINAWEEDDGKTITLVAPNILSVEHTLERMELIHAMVEKVKINLDTGIVTRQPLSARNLDFGVINPSYTGKKNQFIYAAVGDPMIKITGVVKLDVSKGEVGCRMYGEGCYGGEPFFVAKDEGEEDDGYLVSYVHDEKKGESKFLVMDAKSPELEVVAAVKLPRRVPYGFHGLFVRDSDLRRVSLS >OIV92992 pep chromosome:LupAngTanjil_v1.0:LG18:13144758:13146434:-1 gene:TanjilG_20654 transcript:OIV92992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRPPCCDEIIVKKGPWTPEEDEKLSDYINKHGQGSWRTLAKRAGLNRCGKSCRLRWTNYLRPDIKRGKFTEEEERLIINLHSVLGNKWSKIAGHLPGRTDNEIKNFWNTNIRKKLLKMGIDPETHKPRTDFNHIMNLAQLIGMSNFGNPMSAWSNPLGFHADVTQLAKLQQLQNLLQIINNNNSFVNMGNPSFSGNPSLNPLDAFLNGTNIIQTQEPAFSRGQEYANPGLFSQTHSDSSKSWTDVEGGSTSHQEFDYNKVSSNTSLENQAQTPLPALVAFSPKMETFNQKDNGYEKAQAPIQSPSNTFFNDWEEFLDNERSDSCWKEILDLTSSSTSPISW >OIV93603 pep chromosome:LupAngTanjil_v1.0:LG18:1102732:1108004:1 gene:TanjilG_04835 transcript:OIV93603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTPNSKPKLVQGSLGYVLEDVPHLSDFIPDLPTYSNPLQDNPAYSVVKQYFVNVDDSVPEKIIAHKDSARGVHFRRAGPRQRVYFESDEVQAAIVTCGGLCPGLNTVIRELVCGLHNMYGVKTVLGINGGYKGFYAHNTITLTPKNVNDIHKRGGTILGSSRGGHDTTKIVDSIQDRGINQVYIIGGDGTQRGAAKIFEEITRRGLKVAVVGIPKTIDNDIPVIDKSFGFDTAVEEAQRAINAAHVEAESIENGIGVVKLMGRYSGFIAMFATLASRDVDCCLIPESPFYLEGPGGLYEFVERRLKENGHMVLVIAEGAGQELFTVSMQTMDASGNKLLQDVGLWISQNLKDYFAKEKIMPINLKYIDPTYMIRAVPSNASDNVYCTLLAQSAVHGAMAGYTGFTSGLVNGRQTYIPFYRIGEGPNKVVITDRMWARLLSSTNQPSFLISKGGNEDKREEAPLDKLNGHFSDKK >OIV93279 pep chromosome:LupAngTanjil_v1.0:LG18:9238344:9240288:-1 gene:TanjilG_23120 transcript:OIV93279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATFTLIQRARTTMLSSSFKSRKHGNHYNPTMTMMALCTSAVPNEYQRFPQQQSPPSDSTPFYPQTQTNTNQWQNQQPQRHPYPPQNQSHVQHGNSPPLPNQFSHGYQNQNPNERNPNQWNHQVHNNYSHNRAPPISQNQNFQPPSSQNPIFQTPISQNQDFQTPTSQNHNFQSPISQNPNFQTPNTPNRWSNQDTNQWNTQSQNPNQLRPPPQFQNPNRLNNQASIQGQAQVAAPSPPPQPPSITDLMRLCQDGKVKEAIELMEKGVKADSNCFDLLIDLCGKSKSLEDAKKVHDYFLQSTYRSDDKLNNKVIEMYGNCKSMTDARRVFDHMPNRNMDSWHLMIRGYTNSTNGDEALQVFEQMNELGLEITSETLLAVLSACASAESVEDGYLHFESMKSKYGIEPGVEHYMGLLDVLGQSGYLKEAEEFIEKLPFEPTVSVWETLKNYAYIHGDIDLEDHIEELIVSLDPSKAVANKIPTPPPKKYTAISMLDGKNRIIEFKNPTLYKDDEKSFTTSRDGR >OIV93704 pep chromosome:LupAngTanjil_v1.0:LG18:356876:364259:1 gene:TanjilG_16555 transcript:OIV93704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPGRYGMHQGWDDNNSAPEGYAAVHEPNFRVGGSYDERRFIDERYPRDAGYRRNSFHRDILDREAYLPPGPAVGEWSQSKRRGYDEDYPLDKESRRFQRPYHESYNQVDAFEDREIDTYPERDKFQDGYASIDNYGDRGYDKPARFAGHDRNDYEYDDYGYKSRISHHRREDSHERDYDHGRHSYDSDYERGSRRDSNRKQHESRDRERDKRDLSREKDLSPHRRHERSRSRSRSQSNSRSLSRSQSRGYDYDDHPRSKSPRGRSHGRSYREDSYVDSRYDRSERHRDRDDKHHREHYSVAPSATIVVKGLSQKTTEEDLYQILAEWGPLRHVRVIKERNSGISRGFAFIDFPYVGAAQGMMEKLGDDGLIVDGRKLFFEYSSKPTGGPGPDGAIKSGHNHKSMTLPSDWICTICGYINFARRTSCYQCNDPRTEDAPAADISSSNSTALGKKGLEAGPTHVLVVRGLDENADEGMLRYEFSKHAPIKDLRLVRDKFTHVSRGFAFVHFHSVEDATKALVATNGTTLERNGQILRVAYAKSIMGPGSGASQSSSLAAAAIEAATFSQQYDTVGWAPKEYNPDDKQSAGPEQTGTEVGAPQSGFVWDEASGYYYDAASGFYYDGNTGLYYDGNNGIWYSYDNQTQQYIPCTDQNQNKTANNLSEPSKASDGSSSKKVVISATATTVASVEKPASLADAVQAAAAAALAAEKKEKEKSKEIKLASKSSILANKKKMNNVLTMWKQRSHEGQTTRVALEDNQLSVSADDKYSSGHSAMNKLKNETIARESNASSTLGIHTSVTQAVALDSQPQPRPVSNSLGGSLMGVIRGSGRGVVKSDTYSGSAAVASSMSGSLANIDAQTISTPFRTDVSALSSHTPSVASISGRRRFSEMPNSASTHKEQSQTTYRDRAAERRSLYGSSSSVGNDMSDLEIGGSNREFASRKGDPMPFPPGVGGGRVVGDVNLDTFEVITADKAIDENNVGNRMLRNMGWQEGLGLGKDGSGMVEPVQAQAMENRAGLGSQQKKLDPSLEVQAGDSYKMLIHKKALARFREM >OIV92770 pep chromosome:LupAngTanjil_v1.0:LG18:14297743:14305502:1 gene:TanjilG_00904 transcript:OIV92770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPLCCISLESPRIGNRSPELDAAPLSRTRSVPTGISYGNAGQESEATVAGVLYKWTNYGKGWRFRWFLLRNGVLSYAKIRHPENLNLLSPSHDLRLIGQISSHRLARINAAAATRRKHHKTPGVVHLKISSFRESKSDDRRFYIFTAMKTLHLRTDSRKDRVEWIQALLSTGAVYPLQTLSNHLTVAPTGIINVSTERLKKRLLEEGTADNLVHECQQIMLSECDQIHGQLELLCQERSTLLDTIMHLEAANIEPVVSAIHGGEYSLTKSKLSNLGRGKYSECSTTESSDDIEKQEMEEVSDEDEISYYDTREYFTEPGFRYGSTRDTDQVDMENIQPEKVVYNYRHPEIERRRLLPDPVEKERGVSLWSMIKDNVGKDLTRVCLPVFFNEPISSLQKCCEDLEYSHLLDQAYEYGKSGNNLVRALNVAAFAISGYASSEGRHCKPFNPLLGETYEADYPEKGIRFFSEKVSHHPTLIACHCEGRGWKFWADSNIHSKFWGRSIQLEPAGVLTLEFDDGEIFQWSKVTTKIYNLILGKIYCDHHGNMDIRGNRQYSCRIKFKEQAILDRYPHQVHGFVEDVTGKKVATLFGKWDDSMYYVNGDVNVKPKDFTSSNASLLWKRTLPPTNVTRYNLTSFAITLNELTLGLKVKLPPTDSRLRPDQRHLENGEYEKANLEKQRLEKRQRMSRTIQESGWKPRWFQREGDNETFRYVGGYWEAKEQGKWDGCSNIFGECKEDIVDLSGAS >OIV92612 pep chromosome:LupAngTanjil_v1.0:LG18:16390754:16394678:-1 gene:TanjilG_17963 transcript:OIV92612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKSRSSLPETPNKATPATPSKATPAASKKALPATANKGLPATPSKASTATPRVSKLGKGVSKPESESTSPLQNLCLSSERSPSSLNSKLTESPQSLNSKSTERPSRSLTLKPSVERKSPRPTSTPPDKQPPRAAKSSELQTQLSLAQEDLKEAKEQLIQAEEDKAKAIDELKEARKVADEASEKLREALVAQKRAEEDSEIEKFRAVELEQAGIEAAQKKEEEWQKELESVRGQHALDVSALLSATQELQRINQELAMTCDAKDQALIHADNATKVAEIHMEKAEVLSSEVTQLKALLDSKLETEASENKNVLELQKEVEALKQELEKAKGFEKKLTEKDTYIEQLNVELEAAKMAESYAHSLLEEWEKKVEQLELRVEEANKLERSASASLVSVMKQLEGNNDLLHDAESDISSLKEKVSLLEMTIGTQRGDLEGSERCLLVAKEESLEMSKKVECLQSELVIVKEEKAQALSNEKLAASSVQTLLKEKNKLINELEVCRDEEEKSKKAMESLASALHEVSTEARDAKEKVIANHAEHESYVTQIEDLKLGLKATNEKYESMLDDAQHEIDLLTSGIENSKNVIENCKEEWEQRELHLVSCLKQTEEENSSLGKEVNRLISLLKETEEEASAKREEESQLKENLKEVEAEVIYLQEAVEEAKAESMKLKESLLDKENEFQNIFQENEELRSRELASIKKVEELSKLLEEATTRNQIEENGDLTDSEKDYDLLPKVVEFSEENGHRGEDISKVKLSFSANVEEPKQSSQEESVVLNDDKSEEVESPKPEKVNGKVNEVDGSKEKDEKVVVEFKMWESCRIEKKEYSSERESKEEVESKNVDEGFDKINGDGASVTEKESVGDGDDDDVSAPSKQQEQQLKKKKPLLGKLGSLLKKKSGSNHK >OIV92775 pep chromosome:LupAngTanjil_v1.0:LG18:14343595:14347993:1 gene:TanjilG_00909 transcript:OIV92775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAHSASYLRWIVVLLLVSSCIEATSFSKVKHKNAYATMMYVGTPRDYEFYIAVRVLIKSLSALNVEADLVVIASIDVPHRWTQALEQEDGAKVVRVENLDNPYKHQNNFDRRFKLSLNKLYAWSLVDYDRVVMLDADNLFLQKTDELFQCGQFCAVFINPCVFHTGLFVLQPSMEVFKEMVHELENGKENPDGADQGFISGYFPDLLDKPMFHPAPNGTKLNGSYRLPLGYQMDASYYYLKLRWSIPCGPNSVITFPGAPWLKPWYWWSWPVLPLGLHWHEQRRQTLGYFAEMAVMLIQSAIYLGIIAMTRLVRPSLSKLCYRQSDKSINLVHNSLKLVALWCIVAAYVIPFFIIPYTVHPLLGWSLYLLGAFALCSIVINTFLLPMLPVLVLWLGIVGTLMVMAFPWYPDGVVRALAVFGYAFCYAPILWASMVKITAGLQLSLEREAFLPRLGEPWPPSSFKLY >OIV92754 pep chromosome:LupAngTanjil_v1.0:LG18:14159717:14168073:1 gene:TanjilG_00888 transcript:OIV92754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVWDEVPGRVRVAVRLRPRNAEESVADADFADCVELQPELKRLKLRKNNWDADTYQFDEVLTEFASQKRVYEVVARPVVEVVLDGYNGTIMAYGQTGTGKTYTLGRLGEEDTAARGIMVRAMEDIFANVSFETDSVSVSYLQLYMETIQDLLDPTNDNITIVEDPKTGDVSLPGATIVEIRDQQSFIELLRLGEAQRFAANTKLNTESSRSHAILMVHVKRSINGRDATLSTENGNHQHMVKSLKLPVVRKGKLVVIDLAGSERINKSGSEGHTLEEAKSINLSLSALGKCINALAENSVHVPFRDSKLTRLLRDSFGGTARTSLIITIGPSPRHRGETASTIMFGQRAMKVENMVKLKEEFDYKSLSRRLDIELEKLIMEHERQQKAFEDEIERLATEAEHRISEAERNHSDSLEKERMKYQKDYMESIKKLEEQLVINHRKNDDLHKKPSGEIPKVSAEELGDLKRMLQKETLSRKAAEGEVNNLKIKVAELKMSEASGKTEMLKLHKMFEDEVRQKEKLEEEIAILQSQLLQSSLETHETRREVGRAGFEKEVESLDSLISQVKLQQHASGNGEKPSMAKLFEQVGLHKILSLLEAEDADVRIHAVKVVANLAAEETNQGKIVEAGGLTSLLTLLKSSQDETIHRVAAGAIANLAMNETNQELIMAQGGISLLSMTADNADDPQTLRMIAGAIANLCGNDKLQTKLRSEGGIKALLGMVRCRHPDVHAQVARGIANFAKCESRASSQGTKSGRSFLIEDGALQWIVQNANNESSSIRRHIELALCHLAQHEVNARDMISGGALWELVRISRECSREDIKTLAHRTLISSPTFQTEMRRLRINY >OIV92756 pep chromosome:LupAngTanjil_v1.0:LG18:14184038:14186812:-1 gene:TanjilG_00890 transcript:OIV92756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAHCNYLSTLPATNLKSRSNHSVRLNHTLTLKSPKNSWMGTKLCVGVKPHVLVCTRRPFSAIVSFSLPTANPERVSPPQELPKWSSKSIKSFAMGELEARKLKYPTTGTEAFLMGLLIEGTNLASKFLRAHGITLFKVRDETVKLLGKADLFFFSPEHPPLTEQAQSALDWAIDQKIKSDDGGEVTTAHILLGVWSEVDSPGHKILSTLGFNDEKAKELESSISKPGIKDD >OIV93303 pep chromosome:LupAngTanjil_v1.0:LG18:9090976:9098664:-1 gene:TanjilG_13130 transcript:OIV93303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHLISELKSHVKKVLAGGGVEAVKRNKSRNKLLPRERIDRIIDPGSSFLELSQLAGHDLYEEPLFSAGVVTGIGPVHGRLCMFVANDPTVKGGTYYPVTVKKHLRAQEIAAQCKLPCIYLVDSGGAFLPKQADVFPDRENFGRIFYNQAVMSSEGIPQIALVLGSCTAGGAYIPAMADESVMVKGNGTIFLAGPPLVKAATGEEISAEDLGGATVHCKTSGVSDYFAQDELHGLALGRNIIKNLHVAGKDDFTNRLQNINYDFKEPLYDANELRSIAPSDLKQQFDIRSVIARIVDGSEFDEFKKLYGTVLAGGGVEAVKRNKSRNKLLPRERIDRIIDPGSSFLELSQLAGHDLYEEPLFSAGVVTGIGPVHGRLCMFVANDPTVKGGTYYPVTVKKHLRAQEIAAQCKLPCIYLVDSGGAFLPKQADVFPDRENFGRIFYNQAVMSSEGIPQIALVLGSCTAGGAYIPAMADESVMVKGNGTIFLAGPPLVKAATGEEISAEDLGGATVHCKTSGVSDYFAQDELHGLALGRNIIKNLHVAGKDDFTNRLQNINYDFKEPLYDANELRSIAPSDLKQQFDIRSVIARIVDGSEFDEFKKLYGTTLVTGFARIFGQLVGIIGNNGILFNESALKGAHFIEICTQRNIPLVFLQNITGFMVGSRSEAAGIAKSGAKMVMAVSCAKVPKVTIIVGGSFGAGNYAMCGRAYSPDFMFLWPNARISVMGGAQAAGVLAQIEKANKKKQGIQWNKEEEEKFKAKVVEAYEREGSPYYSTARLWDDGIIDPADTRKVIGLCISASLNRAAQETKFGVFRM >OIV93668 pep chromosome:LupAngTanjil_v1.0:LG18:1975889:1978894:-1 gene:TanjilG_04900 transcript:OIV93668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGHEESSTFGPLAAMSSRNMSSSSSAFFSANQSPFFSPRTSSCHLSESIRPDAPSPSVRFHVDAASPSTSSLIQEPKSVVNVACTLSDVPASPVDVNSGDSQKLDRISSSVGISSSNVSSYCPPHDDAYSGQKEKRSKKSRNQRASSTPGSRSLSSYRLKSCDVFIGLHGRKPPLLRFANWLCAELEIQGISCFVSDRAKCRNSFNLGIAEKAMDSSSFGIVIITRKSFKNPYTIEELQFFSGKKNLVPIYFDLAPADCLARDIIEKRGELWEKHGGELWSLYGGLEQEWKDAIHGLSRVEEWKLEAHDGNWRDCILRAVTLLATRLGRRSVAEHLTKWKEKVKEEEFPLTRNENFIGRKKELSQLELMLFGDVTGDSQQDYIELKARPKRKHLTIGRGKSNVIDERWRERHIGNGSKEEKEPVIWKESEKEIEMQSIEFPQRNYNSRFKRSGKYTKRKEGMNILYGKGIACVSGDSGIGKTELVLEFAYRFHQRYKMVLWLGGESRYIRQNYLNLRSLLEVDVGVENSLEKTKIKGFEEHEKEAISRVRKELMRNIPYLVIIDNLESEKDWWDHKLVMDLLPRFGGETHVIISTCLPRVMNFEPLKLSYLSGVEAMSLMLGTGKDYPVAEVDALRIIEEKVGRLTLGLAIVGGILSELPITPSRLLDTIKRMPLKDMPWSCKEAQSLRQNSFLLQLFDVCFSIFDHADGQRSLATRMVLVSGWFAPGAIPVSLLALAAHKTQEKHKRNRFWRKLLRPLTRGFTYSYTKKTELEASSLLLRFNIARSSTKQGYIQFSELIKLYARKREVTRAAQAVVQAVISQGSISQNMEHLWTACFLIFGFGHDPPVVALEVSELLYLVKKLVLPLAIHTFITYSRCSAALELLLLCTNALEAADQAFVTPVDKWLHKSLCWRTIQTNAQLNPCLWQELALCRATVLETRAKLMLRGAQFDIGDDLIRKAVYIRTSICGEDHPDTVSARETLRKLSRLIANVQIHASA >OIV93117 pep chromosome:LupAngTanjil_v1.0:LG18:11542056:11542964:-1 gene:TanjilG_20779 transcript:OIV93117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSNYNIAIVLFSAVLVLQFLATPTVTELVKEQPLVLKYHNGQLLKGRITVNLIWYGSFSPIQRSIIVDFINSLSSTSSLLPSASSWWKTTEKYKGGSSALVLGKQFLHPTYTLGKNLKGKDLLALASKFNELLSITIVLTAKDVAVEGFCMNRCGTHGSTRPVSGKPKSAYIWVGNSETQCPGQCAWPFHQPLYGPQTPPLVAPNGDVGVDGIIINLATLLAGTVTNPFNNGYFQGPATAPLEAVTACTGSFGSGSYPGYPGRVIVDKVTGASFNAHGVNGRRFLLPAMWDPQTQACRTLV >OIV93332 pep chromosome:LupAngTanjil_v1.0:LG18:8311816:8313683:1 gene:TanjilG_23268 transcript:OIV93332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCIAVFMWQAHPKYPFLLLHNRDEFHSRPTEPLAWWEDGTILGGKDMVGGGTWLGSTRDGRIAFLTNFRELDSLPHPKTRGDLTLRFLQSNKSPQEFAEEVLKEAHLYNGFNLILADICNSTMIYVFNRPTCDQVSLTRVTPGIHVLTNGTLDAPWPKAERLRHNFEELIDQHSENEFPIKEMVEKLMTDTTKDEESMLPGIHPPARELPLTSIFVEANFPMGHYGTRSSSAVFVKSNKEVSFYEKYLDQEKWKDRMVTYHINDK >OIV93465 pep chromosome:LupAngTanjil_v1.0:LG18:4485024:4487221:-1 gene:TanjilG_21053 transcript:OIV93465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNERASGSSSSSRKGKKSNSEKPKQPQRGLGVAQLEKIRLHGQMDCDGYQHPSIHRTYPSNFNNDDPRMQIAYSPIPASSFSYSSPSTSYSPPYGFQSKIVMGIPEYEKLNMRYGDSQPTNTARWEHSNAIMENQSPAQSNITIPFLNLHDLQDMDTRQQRSGSGRSRSQNSESSDTQELDLELRLSL >OIV93688 pep chromosome:LupAngTanjil_v1.0:LG18:452001:452312:-1 gene:TanjilG_16539 transcript:OIV93688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPTLVAEAGTCFSKSKHFVGPCSKNANCAGVCKSKKFSGGRCIGSKCLCTRQCPKDSKNDGPPPPNQDGEPTTPPPNQDGEPTTPSPNLVDESTTAAPSKSH >OIV93604 pep chromosome:LupAngTanjil_v1.0:LG18:1110051:1112688:-1 gene:TanjilG_04836 transcript:OIV93604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTRIFGKPKQEANALTTLDKLSETLEMLEKKENLLVKKVAAEVEKAKEFTRAKNKRAAIQCLKRKKLYEQQIEQLGNFQLRIHDQMIMLEGAKATTETVDALRTGAAAMKAMSKATNIDDVDKTMDEINEQTENMKQIQEALSAPIGAAADFDEDELEAELEELEGAELEEQLLQPTTTAPAAPVHVASGLQPTRPVPSKPTPEEDELAALQAELAL >OIV92635 pep chromosome:LupAngTanjil_v1.0:LG18:16229577:16236425:1 gene:TanjilG_17986 transcript:OIV92635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRNDSKYFSTTKKGEIPELKEELNSQYKDKRKDAVKKVIAAMTVGKDVSSLFTDVVNCMQTENLELKKLVYLYLINYAKSQPDLAILAVNTFVKDSQDPNPLIRALAVRTMGCIRVDKITEYLCDPLQRCLKDDDPYVRKTAAICVAKLYDINAELVEDRGFLESLKDLISDNNPMVVANAVAALAEIQENSTRPIFEITVPTLSKLLTALNECTEWGQVFILDALSRYKAADAREAENIVERVTPRLQHANCAVVLSAVKMILQQMELITSTDVVRNLFKKMAPPLVTLLSAEPEIQYVALRNINLIVQRRPTILAHEIKVFFCKYNDPIYVKMEKLEIMIKLASDRNIDQVLLEFKEYATEVDVDFVRKAVRAIGRCAIKLERAAERCISVLLELIKIKVNYVVQEAIIVIKDIFRRYPNTYESIIATLCENLDTLDEPEAKASMIWIIGEYAERIDNADELLESFLESFPEEPAQVQLQLLTATVKLFLKKPTEGPQQMIQVVLNNATVETDNPDLRDRAYIYWRLLSTDPEAAKDVVLAEKPVITDDSNQLEPSLLDELLANIATLSSVYHKPPEAFVTRVLSSAQRTEEDDPEGSETGYFESSANPANGVTSPPAARQSTPASTISAPATPPSAALVPDLLGDLIGMDSNSIVPLDQPATPTGPPLPILVPASTGQGLQISAQLIRRDGQIFYSLLFENTTQVPLDGFMIQFNKNTFGLAAAGALQVAQIQPGTSARTLLPMVTFQNMSQGPPSSLLQIAVKNNQQPVQYFNDKFSLHVFFSEDGRMERSSFLETWRSLPDSNEVSKDFPTIVLSTAEATLDRLAASNMFFIAKRKNANQDVFYFSAKLPRGIPFLTELTTAIGNPGVKCAIKTPNPEMSSLFFEAVETLLMG >OIV92947 pep chromosome:LupAngTanjil_v1.0:LG18:13574390:13575847:-1 gene:TanjilG_20609 transcript:OIV92947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRSRTTTNRQDSIAMGEFNGDGYVTVGEIPSPRANHMKKVSLLPLVFLIFYEVSGGPFGIEDTVNAAGPLLALLGFLVFPLIWSIPEALITAEMGTMFPENSGYVVWVSSALGPYWGFQQGWMKWLSGVIDNALYPVLFLDYLKSGIPALGGGLPRVIATWGLTIVLTYMNYRGLTIVGFFAVFLGVFSLIPFVVMGFLAIPDLKPKRWSVINLNDVDWSLYLNTLFWNLNYWDSISTLAGEVDNPKKTLPKALFYALILVVLAYFFPLLIGTGVVPLKRELWTDGYLSDIAMIVGGVWLRWWLQGAAAMSNMGMFVAEMSSDSFMLLGMAERGMLPEFFMKRSRHGTPLIGILFSAFGVILLSWLSFQEIVAAENFLYCFGMILEFIAFILMRIKHPNLSRPYKIPGGTAGAIVMCIPPTILICIVLALSSLKVMVISLIAVAIGLVLQPCLKYVEKKRWMKFSHSSELPAFENEESTHSLVD >OIV92915 pep chromosome:LupAngTanjil_v1.0:LG18:13857857:13861745:-1 gene:TanjilG_00092 transcript:OIV92915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPRWSLLITAITILLLSPPATSQPKTPKQNSRALSNESYVYGFFNESYAYGSLTGIFDVKGNAAINLNALQITPDSAGNISHVNKYGRVFLKRSFKLWDDENGDFNGKLASFNTSFLFNFYKVNHTPGEGMAFVIAPSSAIPDNSYGQYLGLSNSTTDGKSSNKFVAIEFDSFKQDFDPDDNHIGLDINSVRSNMTVSLSKFGFQLAQNTHDWVVWVEYDGERKEINVYMAKQANRFAPIVAKPTQPVFSSSLDLKGLVNQKSYFGFAGSTGLSYELHCVLRWNISITSFPEDNIKKKCLSSSDPQIMGTLKSLPGTPREFRYKELKKATNNFDNKNKLGQGGFGVVYKGTLAKEDLEVAVKMFSRDKMKSTDDFLSELTIINRLRHKNLVRLLGWCHKNGMLLLVYEYMPNGSLDRHIYGQQGSTIETPLSWKLRYNIISGVAHALNYIHSEYDQKVIHRDLKASNIMLDSDFNARLGDFGLARAIENEKTSYAELEGVQGTIGYIAPECFHTGKATCESDVYGFGAVLLEVVCCQRPWTSNEGYQLLVDWVWFLYREGRILEAIDPKLGNDYEVEEAERVLKLGLACSHPIASERPKIQAVVQIISGSVPVPNVPPLKPSFVWPALDFASLVGDSTSTTITDSQFNTRSSSMQLKSFDVSSKA >OIV92610 pep chromosome:LupAngTanjil_v1.0:LG18:16399948:16406697:-1 gene:TanjilG_17961 transcript:OIV92610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLESGTCNVCSAPCSSCMHLNHALKRPKDEDFFDENCPLGEANNNHCSEDEGNVSSRRSRACEGSQHAVSETSNIIRITSSHNSLSENAESGQTLSNKYKDSKSVEVPDENNSCISIASYTYLLNNSHTRNNDVGSSQRLYVQSRSDKFLSGDPSLMHRERDLCSHVPEMSECSVENSNSSLTKKREPIVDFGEKSIANKDSLVDCTAKVSPKVCPKSEADSDNDVCDVKDEDRDGSLRDRHHEKTEELVKSPGMQEPQSEHESDRSSDPMEHDVQSCDICGDAGREDQLAICSRCSDGAEHIYCMRVMLQKVPEGDWLCEECQCEEETEKQRQDVEEKKSLKFSSTSQVSRKRISENIEIAPTAKRQALESRSTSPKMSSPKKLAQLSRESSFKSLDKGKVKPCHEMPTHNHSGGDDKEIARSLSIGPRGQPSKSTLLKSSSFNNSNSKPRVKLLDEVAPQKPKRGGEHTSKTTEMSARMTSKSTLFKSPSLGHSNATESKVKMISPTKSATSQELKGSRHSKESGGFDRKLPSRIDRSVACSSMATSVVSTPKGDQKLKPRGETIEPSAVNNNRDLKANLDGKFSTLSKSTSNISRKSLEPQVSSETMSTSGDEALQEVLPQSPGTANQDEKTRDSSRNRMTIAVTAASKSPFCHKCKEFDHSPDCCTAGSTHEPGAELSVTAFCNSKEEMHKGNKLKEAIQMALLRRPEIYKKKEVPSQTDELSTLGTDPDCEIRSQDQVLVSGTLMNSISADETHQQQEIPENSTSGSSKCLSANDLKQLNSCPTDFCSQPGSDSVGLAAGKPVVRDLSNKALAISSVLSRMSAIPEYDYIWQGVFEVNRNGKPLDSCNGIQAHLSSCASPKVLEVVSKFLPKLPLDEVSRLSTWPSHYERHYKGLLDHMIINDLALKGKFDGVELLIFPSNQLPENSQSWNMLFFLWGIFRVTRTDHLDSARNICVPSLNAVPAEEDSSTAVLTLSEMHSSPKPVDEVPIASGKACNEFPSSTSVDQGQITVCMNVDINDLKHLGSQGNLEKQDSRINSQSTSAVPTSSTLLFQEMKSTGSCQKDGTLEHQQCRESNPPEAFGASVSSRIVETKTDCDISVSEERVSASNIGRDNISKRINSNEDQQSPKRKQKKICHYIDLEVTIGDQEEGAANNISEDNISVRIDCDDNQQVPKRKQKDDCCIDLEATIGLRGEGAASNIGKDKNPEKMVRDEDQQCPKRKQKENDHHFDLEATLPEDPTIEEVNCQLPNDKVVQYVDPPDTVLQAPAIIFQKVPLNEVNGKAEDGGSSSKKLKTGLGGIYVSCISGGRDSFNDKFTSLANDIGTGSSVEDEGCEKACDEKITCGDLGLIEKTLFPVSGSQLDLNSISLKGPHEYRDGFPNLDLALGGKKEPLPPPKGMLSFLFREVDKKDIQEKHPDVPAEPQEEDVDAASLSLSLAFPSSNKERKKTVSKEEVLPDGQHGVNTPPFLLFGRFTDK >OIV93101 pep chromosome:LupAngTanjil_v1.0:LG18:11722675:11732353:1 gene:TanjilG_20763 transcript:OIV93101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRSRDDGFMSSQLKRPLLSRGEASGQPQMVNGGGQKLTTNDALAYLKAVKDMFQDKKDTYDEFLEVMKDFKAQRLDTTGVIARVKELFKGHRELILGFNTFLPKGYEITLPLDDEQPPQKKPVEFEEAINFVNRIKTRFQGDDRVYKSFLDILNMYRKENKTITEVYQEVAALFQDHHDLLDEFTHFLPDTSAAASTHYVTARNSMLRDRSSAMPTVRQMHVDKRERIMASHGDHGLSVDRPDPDHDRGLMRAEKEQRRHLEKEKDHREERDRRERERDDKDYEHDGGRDRGRFSHKWKSDHRAEDSGAEPLLDTDENFVKYSQELAFCEKVKEKLRNPDNYQEFLKCLHIYSKEIITLHELKSLVGDLLGKYPDLVEGFNDFLVQSEKNDGGFLAGVMNKKSLWGEGQGSKPMKVEDRDRDRDRYRDDGVKERDRECRERDKSTAIANKDVSGPRMSLYSSKDKYWSKPINELDLSNCEQCTPSYRLLPKNYPIPLASQKTELGAEVLNDHWVSVTSGSEDYSFKHMRKNQYEESLFRCEDDRFELDMLLESVNVTTKRVEELLEKINRNILKGDSPIRMEEHLTALNLRCIERLYGDHGLDVMDVLRKNAPLALPVILTRLKQKQDEWARCRADFNKVWAEIYAKNYHKSLDHRSFYFKQQDTKSLSTKALLAEIKEISEKKHKEDDVLLAIAAGNRRPILPNLEFEYPDPGIHEDLYQLIKYSCGEVCTTEQLDKVMKIWTAFLEPMLCVPFRPLGAEDTEDAVNATNSSVKSGITWVNGRDGSPGVGASAMSPKHLNTSGNGDGCMPLDQTNSSKVCQSNGDKGVKEDECLDSDRSVRKTETLGSNMLNDKVNISAFTPDELSRVQKQDHSGELLVNTNVSPASGMEQCNGRTDIDNASGLAATPSRTGNVTVEGVHDLPSSEGGGSKRPGTSTNGVINEGTQVNRYQEGSVQHFNGEREEGELSPNGDFEEDNLGVYRDGDLDVVHKAKNGGVSRQYQNRHGKEVFDEVRGENDVDADDEGEESPHRSSEDSENVDVSASESADGVECFREEHEDGEHDNKAESEGEAEGMADAHDVEGDGTSLPFSECFLLTVKPLAKHVPLVLHEKERNSQVFYGNDSFYVLFRLHQTLYERIQSAKINSSSAERKWRASNDTSSTDQYDRFMNALYNLLDGSSDNAKFEDECRAIIGTQSYLLFTLDKLIYKLVKQLQAVATDEMDNKLLQLYAYEKSRKPGRFFDVVYHDNARVLLHDENIYRIEYSPGPMQMSIQLMDHGHDKPEVTAVSVDPNFSAYLHNNFLSVVPDNKEKSGIFLKRNKRRYAYDEEFSSQVMEELKVVNGLECKIACSSSKVSYVLDTEDFLVRMRRKRKALHLKSSCHEQAKSSNIFSRRVQRLRKLFTRP >OIV92890 pep chromosome:LupAngTanjil_v1.0:LG18:15230176:15234518:1 gene:TanjilG_01024 transcript:OIV92890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTLIVHDSDRYDFVRDIGSGNFGIARLMRDKHTKELVAVKYIQRGDKIDENVKREIINHSSLRHPNIVRFKEVILTPTHLAIVMEYASGGELFERISNAGRFTEDEARYFFQQLISGVSYCHAMQVCHRDLKLENTLLDGSSEPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLLKQEYDGKIADVWSCGVTLYVMLVGTYPFEDPREPKDYRKTIQRVLSVQYSIPDFVHISSECRHLISRIFVFDPAERITIPEILKNEWFMKNRSAEMMDEKMTSNEFEEPDQPMQSIDTIMQIISEATIPAAGTYSLDQFMADNMYMDDDMDDLDSDFELDVDSSGEIVYADVPYS >OIV93685 pep chromosome:LupAngTanjil_v1.0:LG18:474627:481188:-1 gene:TanjilG_16536 transcript:OIV93685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFHKNDDDDDDPLTTLIIPSSTTTNTLSFNENNNNNMNMNMNMNMIMPINPLISRSSSFNDFNNNNNNNNNRRRRATSEDSLSTISTSTLPKSSFQNHLSSHTLFFTRLGFNFLTYLGVGYKWITRFLALSCYAVLIIPGFFQVGYYYFFSSQIRRGIVFGDKPRNKLDLYLPKSNDGPKPVVAFVTGGAWTIGNKAWGSLLGQQLSERDIIVACIDYRNFPQVTISDMVADASRGISFVCNNIAEYGGDPNRIYLMGQSAGAHIAACALVEKAIEEAGEGESSSWSLSQIKAYFGLSGGYNLYKLIDHFHSRGLYRAIFLSIMEGEESLHRFSPEVTVQAPNFANAASLLPPVVLFHGTGDYSIPSDSSKSFSETLKKVGVRAETILYEGKTHTDVFVQDPMRGGKDDLFDDLVAYIHAGDAEASAKDAAAPPRRRLVPEFMLRLAHIVSPF >OIV93595 pep chromosome:LupAngTanjil_v1.0:LG18:1011508:1012214:1 gene:TanjilG_04827 transcript:OIV93595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEPVIPGCFLRARAIGLMPMIDQGEKDDKIIAVCADDPEFRHYWDIKELPPHRLAEIRRFFEDYKKNENKKVDVEDFLPAEAAIEAIKYSMDLYGTYIVESLRQ >OIV92889 pep chromosome:LupAngTanjil_v1.0:LG18:15224625:15225902:1 gene:TanjilG_01023 transcript:OIV92889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSNSPCAACKFLRRKCTQECVFAPYFPPDNPQRFAYVHKVFGASNVAKLLNELSAAQRDDAVKSLAYEAEARLRDPVYGCVGLISVLQHRLKQIQGELTNAKKELATYIGPQALQGIPPAAVFQHLHAAAANPFGPSVFASMPSTHGGQMVMRDPQPSPQQMFGDPQLAAAVAAREQRDMLRGFEHQQQQLQQQQHQQEFLRFNSGGFDLGTVPDDGFCQHVSPSAPVSQNLIGLSPSLALGSFENHFHHMQQQHQGEPHANNAHVHSHHIPLEAQLLLTPRQEKQAQQQTQQPQLSHHHQQQHLDRKERGLPC >OIV93232 pep chromosome:LupAngTanjil_v1.0:LG18:10217957:10220576:1 gene:TanjilG_27411 transcript:OIV93232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSNRHWPSMFKSKPYNQWQHEINSSLISNGCHRSTYNTSAAGCDERSPEPKPRWNPRPEQIRILEAIFNSGMVNPPRDEIRKIRMQLQEYGQVGDANVFYWFQNRKSRSKNKLRNLQNSKNQTTQQAPQQNLASISQITAPSSSSSSEKTSPKNQILPTKGFSIGFSHNNEGVVVPNSSTPSVNQTYFQTFNETNLLPPPSSEPFFFPLHQNGQGILDNNTNNNNNGLATQGFCFSDHSNVVQVQPYHSMLHVNQQNVGPCTSIRNYENSLKKDQDQDKGMKLMHQLPQQSFTLTSTTPTNLTAIVSPPINNASVTASSTIAQLQGVGDATGTMKYLVFINDVAFEVPVGPFNVREAFGMEAVLLNSSGNPVPTDEWGVTLHSLQYGAYYYLIT >OIV93011 pep chromosome:LupAngTanjil_v1.0:LG18:12985891:13003863:1 gene:TanjilG_20673 transcript:OIV93011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVGVGEASFISLAAPFIDDNAPVAQKTAWLATFYMCIPAGTALGYVYGGFVGSQFNWRVAFWGEAILMLPFPILGFVIKPLQLEGFAPLESKQTLTSVETNVSETGDDGMPAADQPFTRGSKSTSKLGDQFTRFSKDMQVLLHDQVYVVNVLGYISYNFVIGAYSYWGPKAGYSIYHMSNADLVFGGITIVCGILGTLSGGLILDRMNSTISNAFKLLSGATFLGAIFCLIAFCFRSLYVFIALFSIGELLIFATQAPVNYVSLRCVRPSLRPLSMAISTVSIHIFGDVPSAPLVGVLQDHIHDWRKTALSLTSVFFLAAGIWFIGTFLKNADIINEDEEGQSATSIREKTKPLLEGNNDAENYCQP >OIV93384 pep chromosome:LupAngTanjil_v1.0:LG18:7016758:7018380:-1 gene:TanjilG_24104 transcript:OIV93384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAPASVILRLLLKKGCVPPMNLLSLIVLHLVKTEIGTCLASNFLTQLCDFYNCLNDKKSQHAVMVKPDTLIFNLVLDACVRFKLSLKGLCLVELMPLTETVADAHSIVMIVKILEMNGLRDEMMELKHRIDRVLATYVGHYRQFYDSLLSLHFKFNDIDAAAKLVLDMNNLHYCHINGVDRKDLQKLCFIAIGSHNLKNGLKIHVEPELLQRDSVLKVEEGREDLIFYRCGNLVLSNRALAKFIGGYKEEGRISELSKLLIGIQSELYSVAGSSLCSDVIGACIRLGWLESAHDILDDVEATGSPLGRETYVLLLSAYHKGRMQREANALLRQMKKVGLDEELLDNSIDEHLLCVETSNPLGKSDLAAALAQILKDGDRKVCPLVYEFNSSIFFFCKARMIEDALKAYRRMCKMKIQPTYQTFAFLLRGYSSLSMYREITYLWGDIKRFMRSGSLVANRDLYELLLMNFLQGGYFERVMEVIGLMKDHNMYTDKWIYKNEFLRLHKNLYQSLKTSNTITEAQSKRLEHILEFKKWVAID >OIV93663 pep chromosome:LupAngTanjil_v1.0:LG18:1873412:1873843:1 gene:TanjilG_04895 transcript:OIV93663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFPVGYSEFFFPKLILQILSLFSFIRKLISTFFQYIGLQHFIEPDTSGSYNPNRVPEFNSVHALFIREILPVVRFGELVGPPDSCAVCLSEFEEDDEIRRLSNCTHVFHRGCLDRWMGYDQKTCPLCRTPFMPHDTTKGIVW >OIV92792 pep chromosome:LupAngTanjil_v1.0:LG18:14477779:14479886:1 gene:TanjilG_00926 transcript:OIV92792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSFTSYFDSRNRWNYDTLKNFRQISPVVQNHLKQVYFTLCCAVVAAAVGAYLHVLWNIGGFLTTVGCVGSMIWLLSTSPHEEQKRVSLLMASSLFEGASIGPLIDMAIQIDPSLIFSAFVATSLVFACFSGAALVAKRREYLYLGGLVSSGLSVLFWLHFASSIFGGSIALFKFELYFGLLVFAGYIIVDTQEIVEKAHFGDLDYVKHALTLFTDLAAIFVRILIIMLKNSAEKNEKKKKRRD >OIV92625 pep chromosome:LupAngTanjil_v1.0:LG18:16294512:16296093:-1 gene:TanjilG_17976 transcript:OIV92625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFDEAPPGDGKSGEKIFKTKCAQCHTAEKGAGHKQGPNLNGLFGRQSGTTPGYSYSAANKNMAVTWGEKTLYDYLLNPKKYIPGTKMVFPGLKKPQERADLIAYLKESTAQ >OIV92956 pep chromosome:LupAngTanjil_v1.0:LG18:13519494:13522292:1 gene:TanjilG_20618 transcript:OIV92956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVPNTPPAMMFAASQPLFTVAQWQELEHQALIFKYLKAGLTVPPDLLVPIQKSLELMMSQQKHPSLGYYRNGKKIDPEPGRCRRTDGKKWRCSKVAHPDSKYCDRHMIRRRCRSRKLVESESQSSSVATTGTTSTVSAATGTFQNLPLHTNGTRQGFTIGNGNSNNSTMNMMEPLPLPTEVSSKELRFGLSSDTEEHNFFQKDLGPMRHQGYDFTLDTSEDTWSLMSQIPRHLISESRSRSTMANNCFHQQTMRDAELLNLYNVGRSKELVFNGQLSSPGCLKQEYQPPQSLFSDSHLKMDLSSSAIDYRPIIDFNCNSDAN >OIV93544 pep chromosome:LupAngTanjil_v1.0:LG18:2124454:2128191:1 gene:TanjilG_28701 transcript:OIV93544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGCNKSPKSDKPSIKYRSKQYRGSSIEADISCVDYKPCLSNNESKKSYRSSELKSHEILSTPQLISAIGRIWDSASRPLSFFLPEAKVNEDDQGFQKDKIFDIIDEKRNVRTFIFDNTNYFPISSSIVQEKLDFPKVTQKMSAIKSFSDSEDYVHFLFQRFGNVGGNNSNKNRKDVQIGSGEITFRSGNVYWWMSRNAAKGLADHLKVTEPENTKTQSPVDEECVSVDTITPAVDDKSDECNAEGLPLSNDAIPETRKVSPLCSDYFLQAVPDTKADIDYCQTLSSSIYADYHINYIDTCNSASTLCQHKIDNNELLEVQKKHFLDVTDEEPKVQIFSATPQKPQFPLAKQEHAFSGALAGVCVSLCLHPVDTVKTVIQSCRAEQRSIFYIGKSIVSDRGFSGLYRGITSNIASSAPISAVYTFTYESVKAALVPYLPKEYYSFAHCVGGGCASVATSFIFTPSERIKQQMQVGSHYRNCWDALVGILRNGGFPSLYAGWGAFYTYESLKQVMPSSIQHNTFQTLVCGGIAGSTAALCTTPFDVIKTRLQTQVPGSRNQYDSVFHALYKISKSEGLKGLYRGLTPRLIMYMSQGSMFFASYEFFKRIFSLEEGVSQHNGKVAAS >OIV93202 pep chromosome:LupAngTanjil_v1.0:LG18:10562073:10570716:1 gene:TanjilG_24417 transcript:OIV93202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSRILSLSLQIPRSSLPLTQTHTFSLSIPKTKTLPSLISFRHPFSHSTSASKTTNPSSYSSPLSQNDTALFIPPGIEPSDVTDSAILPCSNIVVGPYAGDAKVKEVEFIKSSARARDCPVDDRPEFAILGRSNVGKSSLINSLVRRKEIALTSKKPGKTQLINHFLVNKSWYLVDLPGYGFAKAPEAAKMDWSSFTKGYFLNRSTLVGVLLLIDASVPPQKIDLDCANWLGRNKIPITFVFTKCDKMKVTKGKRPDENIRDFQEFIRENYKQHPAWIMTSSVSGVGRDELLLHMSQLRNYWDQ >OIV92986 pep chromosome:LupAngTanjil_v1.0:LG18:13196356:13198734:1 gene:TanjilG_20648 transcript:OIV92986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLWEITLGTAYFLGLKRTYRLAIKIQRKIVRPKYPKIRQFLHRRTRAIFDVAISVHRTIQERDIEVGRNLGNFILRWLDRVKPSAHIRGPPSGPPNGSSSEKMTKNITGTSSNNKPPGYSGFFKKDSNKHLFTSWPKPFPTISRMVRQPNSAGTTIHGRRFSVYAPEAFQSNYRANWSEGVIRKDIMQFLQN >OIV93109 pep chromosome:LupAngTanjil_v1.0:LG18:11616612:11621432:1 gene:TanjilG_20771 transcript:OIV93109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSPFTTPRGSSSSIGNAGELEVNANLTLSDKLRVFKSSTFDPNAYVATKSRSMNEKEIRHLCAYLVDLKKASAEEMRKSVLANYSAFIRTSKEISDLEGELLSMRNLLSTQAALVHGLAEGCQLSSLIAGNEDSDMDYILDEKTDLSQTEKWLIGYLETLEVLLAEKRVDEAMAALEEGEGMAEEISEQRTLSPSLFQALLDAITEHRQKLADQLAETICQASTRTAEIRSTALALKKLGDGPRSHTLLLHAHQEKMKRSMQSLESTSSGGVGAYTATLSQLVFSTISQAASDSLTVFGEEEPAFTSELVTWAVRQAEQFAVILKKRILASTAAAGGLRVAAECVHVCLSHCYLLEASGLALSPVLLKYFRPFVEQAMNTNLKRIEQTSAALAAADDWFLAYAPIGRNVSSLPPVSSLSNLTSSQPKLSSSAHKFNSMVQELFEDVGPLEVLQLDGLAFEGLLQVFSSYVNLLINALPATAVTENLEGSGSKIVKIAETESQQIALLANAILLADELLPRAVVKLSCSTRGDESHRRGSDKQQRLPEQRELKKRLQREVDHLRDSFCRQHALELIFTEEGDARLNALVYLSLDAKEEQPEWFPSPIFQELFAKLTRVASITTDMFVGRDRFATVLLMRLAETVVLWLSDDQAFWDEVETGPTPLGPLGLQQLYLDMQFVMIFSSQGRYLSRHLSQAIKTIIGRAIDAVAATGLDPNSVLPEDEWFVEVSQIAIKILTGKSAFDNLEGDDGSPVASY >OIV93347 pep chromosome:LupAngTanjil_v1.0:LG18:8162628:8163310:1 gene:TanjilG_23283 transcript:OIV93347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSPERACHLAKQAFDEAIAELDTLSEESYKDSTLIMQLLRDNLTLWTSDMPEDGAEERCLKLIEVAQVPELLVACIIKLLVVELIINMMHSDLLKVGLTKVKRSYAAEELKTEEAKPAEPEVCV >OIV92762 pep chromosome:LupAngTanjil_v1.0:LG18:14215683:14219132:-1 gene:TanjilG_00896 transcript:OIV92762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEAKAKGNAAFSSGDYATAIRHFSDAIALSPTNHVLYSNRSAAYASLQNYTDALTDAKKIVELKPDWSKGYSRLGAAHIGLGQHSDAVSAYKKGLEIDPNNDALKSGLADAQAVASRSRSGPPPSGSPFGEAFSGPEMWAKLTADSATRIYLQQPDFVKMMQDIQKDPNNLNLYLKDQRVMRAIGVLLNVKIQTANDDFDIPEAEASLSSSPLQSEKKRAVEPEPEPQPEPMEVADEEKEVKERKVQAQKEKEDGNAAYKKKDFDTAIQHYSKALELDDEDISYLTNRAAVYLEMGKYEECIKDCDKAVERGRELRSDYKMIARALTRKGNALVKLAKSSKDYDPAIETYQKALTEHRNPDTLKKLNEAEKAKKELEQQEYFDPKLADEEREKGNEFFKQQKYPEAITHYTESLRRNPNDPRTYSNRAACYTKLGAMPEGLKDAEKCIELDPTFVKGYTRKGAVQFFMKEHEKALETYREGLKHDPDNQEMLDGISRCVQQINKASRGDLSPDELKERQAKAMQDPEIQNILQDPVMRQVLVDFQENPKAAQEHTKNLMVMNKIQKLISAGIVQMK >OIV93228 pep chromosome:LupAngTanjil_v1.0:LG18:10138557:10139948:-1 gene:TanjilG_27407 transcript:OIV93228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPKDAAFWKAEGTVMNLFKRCSTMRHLKELHGHIIHTNFHQNNLVLGKIVLFCALSGNMNYAVSIFDRIQNPDSFLWNTMIRGFGNINQTQKAIHFYHMMKNADKDSFTFTFLLKIVRSITLGKQLHCNILQLGLTNHTYVSNSLIHMYGMLNDIETAHQLFEEMQHNAAADLVAWNSIIHCHVYCRSYTEALNLFTRMLQRQVQPDDATLVVILSACGAIGALDFGRWIHSSFCMHQQEITTSVSNSFVDMYAKCGAMEEAYQIFSKMRSRKNIVSWNVMILGLASHGNGEEALALFREMLKENVERPNDVTFLGVLCACSHGGMVDEGRKYFDIMIREYNIQPTMKHYGCMVDLFGRAGLLDEGYRLIKEMPVECVNAIVWRTLLAACRIHGNVELGEKVRKHVLELEPDHSSDYVLLANMYASTGRWNEMSKERRSMQERGIQKPEPGNSSFINREILM >OIV92962 pep chromosome:LupAngTanjil_v1.0:LG18:13466084:13469783:1 gene:TanjilG_20624 transcript:OIV92962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISSEELSAKLVEPETGDTPTVTQTQFHSLFQCLIIQLHWILSLGLLLLIDAILKKVFKAASIEFPSALFGMFCIFVVLVLLDFTVPSIALSLMNFFEPGLTFIHRWLPLFYVPYLAVLPLSVKEIPPSAAIKIALIIVGGWLATLCVTAYTALAVRKAVKTELLDAEPVEKPPPFSSIEIWAWTAVFLISFVSSLFFPMALGTRARTCLPFLLASTVLGYMVGSKLPSNVKKIVHPILFCSVSAILTAFVFGYISKSGLDPILGYYLTKSSSNPGAGDILVGFLGSVILSFAFSMFTQRKLMKRHAAEIFTSVIISTIFSLYSTALVGRLVALEPSITVSILPRCITVALALSIVSFFEGANASITAAMVVVTGLVGANFVESTLDKLRFRDPIARGIAAASSAHGLGTAALSAKEPEALPFCAIAYALNGIFGSILCSIPAVRQSLLAIIG >OIV92917 pep chromosome:LupAngTanjil_v1.0:LG18:13870725:13872193:-1 gene:TanjilG_00094 transcript:OIV92917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRKNGRIGSRKHARKIPKGVIHVQASFNNTIVTVTDVRGRVISWSSAGTCGFKGTRRGTPFAAQTAAANAILTVADQGMQRAEVMIKGPGLGRDAALRAIRRSGQADTIGIAMRRVLLGEIEGTCITRAKSEKIPHEYSTLVEPINLCIGLEIARNRGYRIKTLTKFQDRSYPIDAVFMSVRNANHSIHSYVNGNEKQEILFLEIWTNGSLTPKEALFEASRNLIDLFIPFLHADEENLNFENNQHKVNLPPFTFDDLFDKLRKNKKEIALKSIFIDQLELSPRIYNCLKRSNIHTLFDLLNNSQEDLIKIEHFRVEDVKDILDILEIEKHFT >OIV92672 pep chromosome:LupAngTanjil_v1.0:LG18:15957961:15960794:-1 gene:TanjilG_18023 transcript:OIV92672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAITGNHSSDDHQSRRHVSSPWNQVVRVESETAVDAPSSSSAVTAVVEPYSSDDVTVDESSCSAESSDNSGASSGGSVKRPVWNKPSSNGAASLEVTPPVMDAVFWPSLSASARASTKPEVSSSNGLLNGSSSVPQFQGTRNTPSSSQRQVSGNVSVNNMVPTHHKLFKHNSSNASTSGGHPQQSAAQVLTAATGSHNSSPRDHTQRSGFVSNDHPQQRNSYRNRNGGPHQRGNDAHHRSYGNRRGQEWNTDRHFNGRETHIPPRAAPRFIRPPSSSAQFIHPPVPPMRPFGSPAGFPELAPAVVFFPGPPPPPDSLTGVSFMAQMPPLFFTGPDPQLHAKVVNQIDYYFSNENLVKDTFLRQSMDSQGWVPIKLIAGFNKVMQLTDNIQLILDAVWTSSVVELQGDKIRRRNDWRKWIMPPPVQLPNVTESQTLGTSNHDMLAEQVQNIALESPNYDGVGGLDVVHDASQHRPSFGNLNNPLQFSTTEGSGQVGVQGSDHSISARN >OIV93273 pep chromosome:LupAngTanjil_v1.0:LG18:9335336:9338715:1 gene:TanjilG_23114 transcript:OIV93273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDVSSFAKDVFLVKGLKNSTLVWRLVVLTFAMVIQNPCPEPNIEPWEIPYVHYPNPKSYSRAECACNPVRYFAILSMQRSGSGWFETFLNSHDNISSNGEIFSVKVRRSNMSTIGETLDTIYRLDWVSSASKNECTAAVGLKWMLNQGLMQNHEQIAEYFRIHGVSVIFLFRRNLLRRMISVLANEYDRNAKLLNGTHKSHTHSAKEAEILAKYKPKINATLLIPNLRQVNDTTTKALEYFKSNRHIILYYEDVVRNHTKLLEVQDFLKVPRMDLKSHQVRIHKGSLSSQVENWNDVSKALTGTPYESFLHEDDHRK >OIV93170 pep chromosome:LupAngTanjil_v1.0:LG18:10971842:10973206:1 gene:TanjilG_20832 transcript:OIV93170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSHHLPEFDTDSVTSSPRSDHFHEPPPRVRFMCSFGGKILPRPHDNQLRYVGGDTRIVAIHRSISFSALLLKLFKLSGMTNITVKYQLPNEDLDALISVTTDEDVENMMDEYDRVARNQNSRSVRLRLFLFPETEPEPSRTNSISSLLNGSANRENWFLDALNGGVSGALERGRSEASSMLSEVPDYLFGLDHNSDETQQQREPRPNNRPVLQDNVSNSDPGSPAPIVSSPFCSSSSAPSIPNLPPVKTKLSNSFTESRGSQVEAETGGQVNPNTYPVNQVVHYAPDAAYSGHSVQTIPVYYYPGPVQPGTVPVQPVPMQAPYPYVQQQPYHHAVGPVQVPYGYHHMVPGSGQIYGNGPGIRHLTPEHPYSPSAAVVHSGMNQHLYQAAAPNSGAVPIYSGMTMRGDEQNEGGAEFDGSGHQLVK >OIV92925 pep chromosome:LupAngTanjil_v1.0:LG18:13748367:13750903:1 gene:TanjilG_20587 transcript:OIV92925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVSHTLVQRTTSLVSLYTQNPTLTFHVKRVSVLGYKKSCTMTLSSSAASTPHVASNHVITQKESTGKVEDIEEGIEKVIYRFRFMAIFGVFGSLIGSFLCFIKGCTLVAQSFWDYFVNHSRIILLLIEAVDLYLLGTVMLVFGMGLYELFVSNLDSANSLPNQKPSDRSNLFGLFTLKERPKWLDIKTVNELKTKIGHVIVMLLLIGLFDKSKKAAIHTPVDLLCFCASVLLSSTCLFLLSKLNEG >OIV93703 pep chromosome:LupAngTanjil_v1.0:LG18:366207:366485:1 gene:TanjilG_16554 transcript:OIV93703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFRLPAIRRASLSANQASSKCVEVSKGYLAVYVGDKKRRFVIPISYLNQPSFQDLLSQAEQEFGYDHPMGGLTIPCSEDIFRNTTSCLNRL >OIV93252 pep chromosome:LupAngTanjil_v1.0:LG18:9747045:9747512:1 gene:TanjilG_26007 transcript:OIV93252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKSTQIATSQVLTPSSNKNKKLRKSTSLKIASTFTRVCLCAPIYSYNEVSKAKVPPRRSNTYPRSKPPLQTTTHERIPIHSARLSKEGGRVVQGESLTGDVLMWRFGIEEEAVMPTRRINQMEAITKSSTRRRKKLKPSPLSRMVMANEIGQFN >OIV93690 pep chromosome:LupAngTanjil_v1.0:LG18:432880:433155:-1 gene:TanjilG_16541 transcript:OIV93690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPALVAEAGTCLSKSKHFVGSCWRNANCAGACKSEKFFGGHCKGFQCLCTTKCPKDSKNNGPPPPNQDGERTPPPNQDDQSTTPHTTKSS >OIV93175 pep chromosome:LupAngTanjil_v1.0:LG18:10878642:10881998:1 gene:TanjilG_20837 transcript:OIV93175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECNNRRKTESPEQNQCLNQATNIQHEALECVFGVLDSRKDRSAVSLVCKEWYRVESFSRRNVFIGNCYSVSPEIVARRFQHIRSVTLKGKPRFSDFNLVPPNWGANIHPWLVVFAKKYPFLEELRLKRMTITDESLEFLALNFPSFKVLSLLSCDGFSTDGLAAIATHCKNLTELDIQENGIDDKSGNWLSCFPENFTSLEILNFANLQSDVNFDALEKLVVRCKSLKTLKVNKCVTLEQLERLLVRAPQLGELGTGSFSQVLGTYKYLELETAFKNCKNLHTISGFWVVAAQYYPALYPVCTNLTFLNFSYAPIDCDDFAKILVHCPKLQRLWVMDTVEDKGLEAVGSNCPLLEELRVFPSDPFELGYPRGVTETGFIAVSEGCRRLHYVLYFCCQMTNAAVATVVQNCPNFTHFRLCIMDPGEPDYVTHEPMDEAFGAVVKTCTKLQRLAVSGYLTDLTFEYIGKYAKNLETLSVAFAGSSDWGMQCVLEGCPKLTKLEVRDCPFGNSALLSGLEKYESMRSLWMSDCKVTMNGCRLLAKEMPRLNVEVIKHGIDNGYPNRLYVYRSVAGPRKDAPAFVRTL >OIV93538 pep chromosome:LupAngTanjil_v1.0:LG18:2181491:2185487:1 gene:TanjilG_28695 transcript:OIV93538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEREAMAFSGGKGSYYLHREGVAGSGGGFHAPPPGFRALSNTGIQAQSNVARGDGGSSGSTFLAVEPQFRPSFSHGGINVGGVSSGGVLPLTSSAENVKKKRGRPRKYDSTDGAVSLKLSPFAGTANSSTPSSTTPSEKRARGRPPGSGRKQQLASLGEWMNRSAGQAFSPHVISIGVGEDIVAKLLSLSQQRPRAMCILSGTGTVSSVTLQRPASTDASNTFEGRFQLLCLSGSYLVTEDGGPSDRKGGISASLSSPDGHVIGGSVAALIAGSPVQVVVCSFLHGSKTKTKQETVTKDDDSSEPQHSDKLPSPATAPPTQNYIPSATGMWPGPRSEDVKSEQMQTGIDLTRG >OIV92974 pep chromosome:LupAngTanjil_v1.0:LG18:13354894:13359256:1 gene:TanjilG_20636 transcript:OIV92974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYKVPKYGSSSKGRKITQLNSDLDHEGDNGNVNHATYTVHIPPTPDNQPMEISLERSPSRRVEDRYASSSTFNGGFNHITQAHLKEKVTETDYSHPQMNVAKGLSCTVPGCDGKLFIDEGGMDMLYCDCYDKICRDCYSDALRDGEGICPRCKEPFKDLDTHLADMANDQGLPMPSSAGVSKPERSLPLVKSGLLVKSGPLVKSGPLARNQPNDQNLFMNQTNEFDHDQWFFEGKGSYGFGNAMWPKDCENDGSSGSDWMAVDPNAFQEKQWRPLTQKVKISAAILSPYRLIIFIRLVVLAFFLTWRVRNPNDDAKWLWGMSVVCEIWFAFSWLLDQLPKLFPINRAADLEVLKEKFETPSPVNPAGKSDLPGVDIFVSTADPEKEPPLVTANTILSILAVDYPVEKLSCYVSDDGGALLTFEAMAEAASFANVWVPFCRKHDIEPRNPESYFSLKRDPYKNKVRSDFVRDRRQVKREYDEFKVRVNALPDSMRRRSDAYNAREEIKAIKLWRENGNTEPMENMKFPKGTRSNSTDMDLTEVDVRLPMLVYVSREKRPGYDHNKKAGAMNALVRASAIMSNGPFILNLDCDHYIYNSEALREGMCYMMDSGGEKICYIQFPQRFEGIDPSDRYANHNTVFFDVNMRALDGLQGPVYVGTGCLFRRTALYGFDPPRVKEETDCFGRKKKSSSVSSAPEAVDVEDQSLRNGSIDEEDMNIALIPKSFGNSSLFIDSIRLAEFQGRPLADHPSIKYGRPPGALIGPREPLDAPILAEAISAVSCWYEDKTEWGDRVGWIYGSVTEDVVTGYRMHNRGWRSIYCVTKRDAFRGSAPINLTDRLHQVLRWATGSVEIFFSRNNALVASSRMMFLQRIAYLNVGIYPFTSIFLIVYCFVPALSLFTGQFIVQTVQITFLIYLLGITVTLVLLAILEIKWSGIDLEEWWRNEQFWLIGGTSAHLAAVLQGLLKVIAGIEISFTLTSKSGGDDVNDEFADLYILKWTSLMIPPLTIMMVNVIAIAIAAIRTIYSNNTHGWSSLLGGVFFSFWVLAHLYPFAKGLMGRRGKSPTVVYVWAGLISITISLLWVAISPPSGSSEIGGSFQFP >OIV92582 pep chromosome:LupAngTanjil_v1.0:LG18:16484493:16485080:-1 gene:TanjilG_07573 transcript:OIV92582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTKIKGIYKSFKYITQIFVAKERDMEIGYPTDVKHVAHIGWEAPSGSGPSWMNEFKTAPDFSTSIGSFSARRDLNPPPNYEEPPSGRVAKKPNRKKIKSTSPKSKPIIQV >OIV93253 pep chromosome:LupAngTanjil_v1.0:LG18:9741686:9744655:1 gene:TanjilG_26008 transcript:OIV93253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHCEKSTVKVEPFLEEQINTAKVFEDMEVDIVSWTNKGDLAANKNEDPDATDYSSSFANTTSDTENCSRLSDTEVESEFIGDNGSSCTLGAFGYAFQMRWTELRIKEIESQALKYSKELAEYDKGKHTEPDHFTLEDFGSKSLPFSSNQCRRMAKKRRKRKKVEDTTDMTSYTSHHNLFSYLEHKKSDPDGCLADDSGNPVITEVHADPTNRFGLNDNQTFFEFGDADASLEQLLWEIDSAHARVHKLKNQVDEVMSKNASKFSSSENLSLLPHGDVQSSSAHSPTISAENGNAVSVRDIYNSNQHVADFDLGDFIMPDSAVSSYGAVTVVPDIIESTVGLLSAADITLHPPLTGDLCENMVDNILIHEAAETEEHTFLSATHNPIEKVQDIVKTEAEENLHPASNSVTDFNVATNSAAVSQEQSTLKPCLYKDVNVPKNKRKRGERKAGSGAWSKKCSGEPDSQ >OIV92855 pep chromosome:LupAngTanjil_v1.0:LG18:14955472:14957415:1 gene:TanjilG_00989 transcript:OIV92855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDMEQGREINAQSVMEQLAEAFLELEASKEAFECKIEWAEIEQHFHNLEATWKKKLEELEAKEREYEEEESGMHKVLAERQAAVASKEQDLSDRVQDLKDAAIATIAEARVNHQTIAFDNVDDGESKYNKVSGSLGDTAFQEEDFPPKSGKNYDGVAVEFRPRPELTHFCEQMDAKSLLTFIMENENNLSVIREEMSVALESATEPARLVLDSLEGFYPINDTAELKDNMDAALQGMHRSCTIIMEALANLFASADSGADPFLNPEFKQQAKAIADEWKPKLASANIDAANGNSLEAEAYLQLLSTFRIASEFDEEELCKLVIAVARCKRAPELCRSIGLTHKVPAIVELLIKDGKTIAAVDFIHAFQLEESFPTVHLLKEYLKNIRRNSQVKAGNVDDVNDANARELAALKTVINRIKEYKLESDYPLDSLLKRVGQLEKSKSTDRKISGEFNKRPQSKKPRTSAGYFSSRSSGGRAASSGVIGRQRPPVRAAYSGLQNRYPSQVIYAQPPNTALPNQGHYVGSSL >OIV92914 pep chromosome:LupAngTanjil_v1.0:LG18:13854650:13857218:1 gene:TanjilG_00091 transcript:OIV92914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKSIPVIDLEKISEEEECKKVREACEKWGCFRIINHSIPETLMTEMKRVIGTLLDLPIEMKKRNIEVIAGSGYMAPSKVNPFYEALGLYDLASSQALFEFCNQLDASPHQRQVMETYGKATHDLAVIIGQKMAQSLGILCADFEDWPCQFRINKYNFTPEAVGSLGVQLHTDSGFLTILQDDINVGGLEVMDSSGSFVPIPPLPGSLLANLGDIAHVWSNGRFCNVKHRVQCKEATTRLSIATFMLASRKGNVEAPTELVNHDHHRLYQPFIYEDYRKLRISKKMHAGEALELLRIA >OIV93524 pep chromosome:LupAngTanjil_v1.0:LG18:2392887:2393609:-1 gene:TanjilG_28681 transcript:OIV93524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKVNFVKNGEVRLPPGFRFHPTDEELVVQYLKRKVFSFPLPASIIPEVDVCKSDPWDLPGELEEERYFFSTKEAKYPNGNRSNRATNSGYWKATGLDKQIVTSKQGVGMKKTLVFYTGKPPHGSRTDWIIHEYRLLNIYTTLSQIPMQNWVLCRIFLKKRGTTKIDIGKFNHNKKNKVRRNNTSKLVFYDFLAQKDNNTSSSSSDGSGITQVSTSINELDKQHEESSSCNSFPYSRRKP >OIV93387 pep chromosome:LupAngTanjil_v1.0:LG18:7000484:7001949:1 gene:TanjilG_24107 transcript:OIV93387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKRTKKAGIVGKYGTRYGASLRKQIKKMEVSQHSKFFCEFCGKYAVKRKAVGIWGCKDCGKVKAGGAYTLNTASAVTVRSTIRRLREQTEG >OIV92859 pep chromosome:LupAngTanjil_v1.0:LG18:14977340:14978840:1 gene:TanjilG_00993 transcript:OIV92859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLTISRLFRLLYSKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIYVVDSNDRERISEARDELHRMLSEDELHDATLLVFANKQDLPNALSVAEITDKLGLNSFRQRRWYIQATCATSGQGLYEGLDWLSSNISSKAK >OIV93741 pep chromosome:LupAngTanjil_v1.0:LG18:46878:48342:1 gene:TanjilG_16592 transcript:OIV93741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHHQDDHHHQPPLLNSILTSSTPQYYNNHGGAHAFLGKRSMSFSGIEVGRGGEDGNNNNNNNNNGEEYMSDDDGSMAGGEKKRRLNMEQVKTLEKSFELGNKLEPERKMQLARALGLQPRQVAIWFQNRRARWKTKQLEKDYDLLKRQYNAIKADNEALQAHNQKLQSEILALKSREPTESINLNKETEGSSSIRSENSSEIKLDMSRTTLAIESPQQQRILFPSSSVRPDLPHFQTTNSKIDHMVKEESLSNMFCSIDDQSSLWPWLEHQSFN >OIV92728 pep chromosome:LupAngTanjil_v1.0:LG18:13960618:13964324:-1 gene:TanjilG_00862 transcript:OIV92728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPVRSNPVTKTHNHHSPPNQKQQPPLPLYVTNAVFFALFFSVAYFLLHRWRDKIRTSTPLHFLTPSEIAAILSLLASFFYLMSFFGISLIFHPSTTATPRTTSDDEDNTDITGCTKPRTPLNKPVQEQKPELINSDDDEVVNSVVSGSIPSYSLESRLGDCKRAAAIRRTAVERVTSRSLEGLPVEGFDYESILGQCCEMPIGFVQIPVGVAGPLLLDGKEYTVPMATTEGCLVASTNRGCKAIYVSGGASSVVVRDSMTRAPVVRFGSAKRAAQLKFYLEDPENFDSLSVVFNKSSRFARLQSIKSAIAGKNLYIRFSCGTGDAMGMNMVSKGVQNVLDFLQSDFPDMDVIGISGNYCSDKKAAAVNWIEGRGKSVVCEAVIKEEVVKKVLKTSVEALVELNMLKNLTGSAIAGALGGFNAHASNIVSAIYLATGQDPAQNVESSHCITMMEAVNDGKDIHISVTMPSVEVGTVGGGTQLASQSACLNLLGVKGASKDSPGANSRQLATIVAGSVLAGELSLMSAIAAGQLVKSHMKYNRSSRDISQIVS >OIV93571 pep chromosome:LupAngTanjil_v1.0:LG18:761870:771341:1 gene:TanjilG_04803 transcript:OIV93571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKRNTVVLLSSDDEDDSINRSKHGYKTKPKSKKSTTSRGRKKPRVSTSETRFSNLHQINLLDEDFDEVFTGSKVSADTQRSSTLEQWVDKYKPRSLEELAVHKKKVEEVKTWFEERLKASKGVYRNNVLVISGQAGVGKSAAIHVIASHLGAAVCGWNTPTPVIWQEHLYNSGAGAQYTSKLGEFENFVERIRKYGIIPTSFAGESKPSVILLIDDLPMTNGKAAFGRLKDCLQLLVYSTQIPTAILFTDYGNTDSADYNARCLEELQLSLESSGACKVAFNPITTNSIKKALSRICQMEHCDVSADHVDHIAKASGDECNKPDRSNDGYYLNYGRDETLSLFHALGKFLHNKRETRFSIEYDQDAFLIQERFSRLPLKMDVPEKILCQSHAQPGLVTGFLHENVLDFLDDEAIDDAWTLSSYLGDADILLANLRGMLSCDDGAGSVLQSAAASVAVRGVLFGNSQPLSSRWHTIRRPQLWHVEKASLHKKNEMLRLRFPVCKCSSSYHMSVMATEYMPILKWLGNRASVVYHEPHQELLKNMDMEDVDFDQMSLDEQVGYSDDDIEDCSNTLFVSFFASVASAVFRRLPPSPRFSLPSSLQVLNFHFALGSENVKTRPDYREMMTSYMVLQPLVIASCPSRTTMTPILRHDWFSGKKEVLMGRMTIKCMANPRRVKMVGKQIQRELSDMLLTDKVLQYAVLPEASLGADRYLSSLTTITDVQVSTDLQVVKVYVSVFGDERGKDVAIAGLKSKAKYVRNKIKDGKSTEGQNEQLDTLADEDDDDLDGEDPDEGMFQSSYSSSTLDNHIRMVCVS >OIV93378 pep chromosome:LupAngTanjil_v1.0:LG18:7194007:7196550:-1 gene:TanjilG_21089 transcript:OIV93378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTTTTTMVSLSPPTHFSSPYSKPNNLIHTNFITLRPNNKNNNRPLFLFKALTSDDNNGVGASATTAVEPPLVELSPTNGSVAAAAAVEVVEEEKKVVSGFTDPRWVEGTWDLKQFKKDGVTDWDAVIDAEARRRKWLESNPESTSNDKPVVFDTSIVPWWAWIKRFHLPEAELLNGRAAMVGFFMAYFVDSLTGVGLVDQMGNFFCKTLLFVAVAGVLLIRKNEDLDNLKKLFEETTFYDKQWQATWQDENSSTSKKE >OIV93481 pep chromosome:LupAngTanjil_v1.0:LG18:4268195:4268723:-1 gene:TanjilG_18697 transcript:OIV93481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVKSGKKMKVAECPHGQNVQNCRTSKLAGEEEGDEVIQRLTIFPLPLGPGDSISVAKSCANTKYVSLSPSASSTAPPPLPNRNPCTACLFPHPTTAAVSSYALSSVTIIQILLLLRRRRTSSRSCGSDGTMKRSTLIHL >OIV93114 pep chromosome:LupAngTanjil_v1.0:LG18:11585807:11587722:1 gene:TanjilG_20776 transcript:OIV93114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSAARALLGSGGRNYHHHFSTAAVVKQNGGGAFGNSYWRKMSSLPDIKDQEDSEDKKKEVNNNNNNAVISSYWGITRPKIQRDDGTEWPWNCFMPWDSYRADVSIDVTKHHEPKTIGDKFAFRTVKFLRVLSDLYFKERYGCHAMMLETIAAVPGMVGGMLLHLKSLRKFQHTGGWIKALLEEAENERMHLMTMVELVKPSWHERLLVITAQGVFFNGFFVFYLLSPKTAHRFVGYLEEEAVISYTEHLNAIESGKVENVPAPAIAIDYWRLPKDATLKDVVTVIRADEAHHRDVNHFASDIHHQGKELKEAPAPVGYH >OIV93025 pep chromosome:LupAngTanjil_v1.0:LG18:12767635:12769656:-1 gene:TanjilG_20687 transcript:OIV93025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNQSEKQVSQLSDKLKGKEETCSTLQQKVKELEKKLKEQIQSETASYQQKVLELEKKLKDELQRSESQTAILKDKLKELERKLKEQDQSSELSFYCQQVKELETKLKEQDQSSELSLLRQHVKELERKLEEQEQSSELSLLRQQVKELEDRYREREQQWQQTHCLVEAAKATPDIGKGCKTSEECPSEIDPHILKSSNSTNRQINQGSTLFKGNDSAHQIKSKRVFRSNDIENNYGMPSLHNRKVIRKSDPPMAGRGVRPTTRSVTTTQPPLSHKRASTSRDVQGIKERDSKKKIWNR >OIV92944 pep chromosome:LupAngTanjil_v1.0:LG18:13586608:13587132:-1 gene:TanjilG_20606 transcript:OIV92944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDGNGSENIIVPLVAMSLAALIFFIYHLIAIFLWNQNHQHQQQSLQAAAALSQNHVISYPLAHLIPIHKYHKKGKVDGDEGGDSTCAVCLGEFEEGQELRTLPECMHSFHVPCIDMWLYSHTSCPICRAIAIAIVTPFPAMFQLAPLPDMGYGHNHMNPHHSINIMQFDSVRS >OIV92870 pep chromosome:LupAngTanjil_v1.0:LG18:15049392:15049826:1 gene:TanjilG_01004 transcript:OIV92870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSPTIFWPKAPNKVRNYELPSLKLVLGTSIYSTPVAAARAYDTAVFYLRGPSARLNFPDLLTRENGAVLANSDMSAAFIRKKATEVGARVDALQATHHHRHVAVPPELISDAGDNRSSKFANLVDLNKIPEPENSDYELGGRE >OIV92886 pep chromosome:LupAngTanjil_v1.0:LG18:15196492:15199864:1 gene:TanjilG_01020 transcript:OIV92886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLRTAVLMFSMACSIFIFSECLKQISTHARTKFLELNVIEKPSQSIVIPYLHYPEPVSFSRKECARNPVLFFVILSNQRSGSGWFETLLNSHINVSSNGEIFSVIRRRKNASSILHTLDKVYNLDWLNSASKNECSAAVGLKWMLNQDHVDVSSEYYELVQIKTFSGSMQGLLEHHKEIAEYFDHKRVSIIFLFRRNLLRRMVSLLANSYDRYAKLLNGTHKSHVHSAEEADILSKYKPTINTTSLLDDLKDMEMRAAKVLEYFNSTRHIILYYEDLVRNPNMLKDVQQFLGLPQMELTSRQVKIHRGPLSDHIQNWDDVNKALKGTVYESFLEADY >OIV93416 pep chromosome:LupAngTanjil_v1.0:LG18:6158915:6165128:1 gene:TanjilG_02953 transcript:OIV93416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFFSKNATLRSREDPPISLHGSKTPDPGNGDSVVEQDNKVPAFKEYSLDELRRATNEFSRSNIVSESGEKAPNVVYKGKLENNQLVAVKRFSKLSWPDVQQFMAEAAGVGKVRHKRMVNLIGCCAEGDERLLVAEYMPNDTLSKHLFHWEKQPLPWEMRVRVGYHVAEALSHCSMLNRKLYHDLNAYRVLFDEDGDPRLSSFGLMKNSRDGKSYSTNLAYTPPEFLRTGRIIPESVIFSYGTVLLDLLSGKHIPPSHALDLIRGKNVLLLLDSSLEGQYANDDATKLIDLASKCLQFEARDRPDFKFLLSEVAPLQKQKEVASKVLMGLTKNTNVLPTMLSPLGKACARMDLTAVHDILLKTGYKDEEGAENELSFQEWTQQVQDILNTKKFGDIAFRDKEFKDAIEYYSKLVVMMSVPSATVFARRAFSYLVTDQAELALRDAMQGQVCIPDWPTAFYLQALALSKLGMDTDAQDMLKDGAAFEAKRSNSWRN >OIV93495 pep chromosome:LupAngTanjil_v1.0:LG18:3549192:3551771:-1 gene:TanjilG_11077 transcript:OIV93495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQKCNVSLFDTKKNIPAPPPLPRSMATAFPCKVENNNPPARMHSNVSSATRDLWQRVYNEGYKADVYISTDNGGIIYAHSIILATASPVLKGMLKQANRHHHWRPISIFGVPHDAVRVFVRYLYSSCYEKEEMKQFTLHLLVLSHVFVVPQLKHECQQKLELDFLTIDNVVDFFQLSLLCHSPRLVLICHRKILQNFKAVSESEGWKVMKQSHPVLEKELLESLVEEENRKTKNMRRKNERVIYGQLYEAMDALVHIFSDGCRTIGPHDKDFKANQAPCSYAACKGLELLVRHFAGCKLRLPGGCVHCKRMWQVLELHSRLCVDPDQCRVPLCRKFKQRISRQGKKDNVKWKILVEKIFRTRGIVLAPCFQRQ >OIV93206 pep chromosome:LupAngTanjil_v1.0:LG18:10523108:10523500:-1 gene:TanjilG_24421 transcript:OIV93206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPPLRIEELLSTTLPQEQHGEIRHKSVLGSILQKNNKIPIGSMAETHTMATHNHIPSNKGVGAKICTFYGRENHTIEICYFKHGSHLPSNLGKGKLNPPSTLVFVKNIPHQHQVPQSEIKRRKLMRKLS >OIV93696 pep chromosome:LupAngTanjil_v1.0:LG18:381092:386037:-1 gene:TanjilG_16547 transcript:OIV93696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAILLATPSSLSSSSSSLSLSFLNLKSLQSISSFRTSSSSSSSSSLFAINNFTPKCSIFYTSPIPIRGFGSKTSLLEVGRGNYGSYKSREISTKSSGTQTGGTSSITQTVFGLVHLIVSLGLILAMDKFLKQAFVAASIKFPSALFGMFCIFSVLIILDSTVPSAAKALMNFFEPAFLFIQRWLPLFYVPSLVVLPLSVKDIPAASGIKISLIVVGGWLATLCVAGFTAIAVRKAVNTQLVDAEPMGKPSPFSTIEVWAWTAVLIVSFVVALFYPTALGTSARTCLPFLLAATVLGYIVGSGLPSNVKKVFHPIICCALSADITAYAFAYLSKSGLEPILGYYLTKVSSNPGAGDILMGFLGSVILSFAFSMFKQRKLVKRHAAEIFTSVIISTVFSLYSTALVGRLIGLEPSLTVSILPRCITVALALSIVSLFEGANPSLTAAVVVVTGLVGANFVQGALDKLSFRDPIARGIATASRYVL >OIV93295 pep chromosome:LupAngTanjil_v1.0:LG18:9020213:9026924:-1 gene:TanjilG_13122 transcript:OIV93295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIAHDHEPPPSPSFDGTSIAAETLANSPSSSSSCSSPSSSSSSKGKEIEATASSTAPPPHAAAKYDDDDEEEEDVCRICRNPGEADNPLRYPCACSGSIKFVHQDCLLQWLNHSNARQCEVCKHPFSFSPVYADNAPARLPFQEFVVGMAMKACHVLQFFLRLSFVLSVWLLIIPFITFWIWRLAFVRSFGEAQRLFLSHLSTAVILTDCLHGFLLSASIVFIFLGATSLRDYFRHLREIGGQDADREDEVDRNGARMARRPPVQANRNIAGDGNGEDAAGAQGIAGAGQVIRRNAENVAARWEMQAARLEAHVEQMFDGLDDADGAEDVPFDELVGMQGPVFHLVENAFTVLASNMIFLGVVIFVPFSFGRIILHYLSWFFSTAAGPILSVVAPLTDTSLSLANITLKNVLTSVKNLSSDAQESGSVGQVAEMLKLNANGSSEMSNNISAVSADLLNGGSIATSRLSDVTTLAIGYIFIFSLIVCYFGVVALIRYTKGEPLTMGRFYGIASIAETIPSLFRQFLAAMRHLMTMVKVAFLLVIELGVFPLMCGWWLDVCTIQMFGKTMAHRVQFFSASPLASSLIHWVVGIVYMLQISIFVSLLRGVLRNGVLYFLRDPADPNYNPFRDLIDDPVHKHARRVLLSVAVYGSLIVMLVFLPVKLAMRMTPSIFPLDISVSDPFTEIPADMLLFQICIPFAIEHFKLRTTIKSLLRYWFTAVGWALGLTDFLLPRPDDIGNQENGNEEPVRQERLQVVQAGVHDQGVIAFAGDDFNMVINAAGELNAGDDYDNEEQSDSDSYAFVLRIVLLLVIAWMTLLVFNSSLIVVPISLGRALFNSIPRLPITHGIKCNDLYAFIIGSYVIWTAVAGVRYSIEQIRKRRASVLFGQIWKWCAIVMKSSALLSIWIFVIPVLIGLLFELLVIVPMRVPVDESPVFLLYQDWALGLIFLKIWTRLVHSSKLAPIYLTKPSSFIWVMLDHLMPLMDESWRAKFERVRENGFSRLQGLWVLREIVLPIIMKLLTALCVPYVLARGVFPALGYPLVVNSAVYRFAWLGCLSFSFLCFCAKRFHVWFTNLHNSIRDDRYLIGRRLHNFGEHVQRATEGGASLGTQDILLGTNLIQQDREADVGLRLRHINQQAH >OIV93601 pep chromosome:LupAngTanjil_v1.0:LG18:1080613:1080984:-1 gene:TanjilG_04833 transcript:OIV93601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLVEVMREKKGITELPLMMLMTPGMGVRVTPKGGGGGGGGGGGKIEEKKVEKTAFDVKLDAFDAASKIKVIKEVRTFTSLGLKEAKDLVEKVPTVLKKGVTKEEAESIIAKMKVVGAKVSME >OIV92723 pep chromosome:LupAngTanjil_v1.0:LG18:13924819:13925250:1 gene:TanjilG_00857 transcript:OIV92723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDPRIPLSKEIMNNKPKKKKSSSQQASLYQLQGSNVKEDLLVPMSLKSGHKGSKRHLMTEVSPSFQKQEGSNSDSLPDSSAGGNEYRTLRRKYLMLEDESFALGKELRDVEDEVKTLEDEKIALLDQLVVMEGLVEPSEMHS >OIV93729 pep chromosome:LupAngTanjil_v1.0:LG18:150208:158562:1 gene:TanjilG_16580 transcript:OIV93729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLKKEKNDTKNDSSSSSGIAKFSASKTSKNNPKKHFNNSNNDSPSPKDSPPTPNPNDKSVSPERAPLKPPPSPPPPPPVLLKSPPPPSLPPPSLPPPSQPPLPSLPPPSSPPPPPLPSPPPPLPASPPPPPPSPPQRAPPQLSPPPPRSQTNNSSSPSPAQNKPPPPPPPPSPPSRKDAPSNDSSTKSPFSAVVPTPPGSKNQTTQGGGGGSGSHAKSGGSSSSSQASSGGDNSPGKYVGYALAGVFVLGLVALALFFTYRRKKTKGDSFVATYIHAKSGTNMQYYAQKPKSPHGNNYGNGSMKHIGGGSLDTAQPKSAQIAFTYDMVMEMTNAFSSENVIGEGGFGCVYKGWLLGGRAVAVKQLKAGSGQGEREFQAEVEIISRVHHRHLVSLVGYCISEQQRMLIYEFVPNGTLHHHLHGSGMPVLDWAKRLKIAIGAAKGLAYLHEDCSQKIIHRDIKSANILLDNAFEAQVADFGLAKLADVGNTHVSTRVMGTFGYMAPEYATSGKLTDRSDVFSFGVVLLELVTGRKPVDQTQPLGDESLVEWARPLLIHAIETHDISELTDPRLQKHYVESEMFRMIEAAAACVRHSAPKRPRMVQVVRALDSGDDIFDLTNGVKYGQSTVYDSGQYDKDIMLFRRIANGSFVDSDSDMYSIEYGYSRQTSGTQHAWMRSGSSGESESKAFNSHQSSSG >OIV93697 pep chromosome:LupAngTanjil_v1.0:LG18:375756:378502:-1 gene:TanjilG_16548 transcript:OIV93697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPIQESPKACDSPGNVGSPKPSKNFIDTTAPIESVKDAVSKFGGNVYWRGRRTQSMEKSKLEGLNFGKPDTAEELENTKKLIEELKVKLENVEREEGEAKEEVEIVSLKIEEMEQDIVNEASIEAKAQVEVEKARHAAAVSDLKFIRRELDSLHKEYDSMVSGRDIVVKRADEAVAASKEVEKELGDLTAEFISTKESLDFVRAAHLDAEEQGLGVMDEECHNLKVEFEQAEEEFQRLNQEVLSARVLKSKLDASSSLLRDLKDELSAYMESKMKDEGDKERKKELEEVKLNIEKATAEVKNLREASASLQLNLQQEKSVLTNLRQSEETASAAVVTLQEELEKTRSAIAFLKMKEEEAREMMIELPKKLQQAEQDADEAKALAQTAQLELVEAKEEAEQAKARASTLESRLLTSQKEIKAAKVSEKLAKESIKALEKSESSRNNNDTNSSVTITLDEYHELSKRSYKAEEQARARVAAANSQIDMAKESELRSLEMLEELNEELAVRRESLNIATENAEKARQGKLALEEELRTWRAEQEQQMSDITTSEAATEPVHDLLSSKGKAPLNNAETGSAPDTKSKKKKKSLFPAKVVMFFSKRKTHPTK >OIV92808 pep chromosome:LupAngTanjil_v1.0:LG18:14641874:14646145:-1 gene:TanjilG_00942 transcript:OIV92808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEEQSSVILNTSSRFSPPQGVKLSYGTAGFRADASLLQSTVYRVGILAALRSLKTKSVIGVMITASHNQVSDNGVKIADPSGGMLSQHWEPFADALANATSPHQLVKLINEFVEKENIPFDGIRPAEVLLGRDTRPSGDDLLEAARQGVTSIIGAVALDMGILTTPQLHWMVRARNKGLKASEQDYFEQLSSSFRYLLDLIPSERSKLGGANEKLVVDGANGVGGVKLKALEKLLSGLVIEVRNSSEDGGVLNDGVGADYVQKEKVVPHGFGSKDAGIRCASLDGDADRLVYFSVQPESNGTIDLVDGDKILSLFSLFIREQLSILNEKEDIKNLHQARLGVVQTAYANGASTGYLKQLGLEVELTPTGVKYLHEKAAEFDIGIYFEANGHGTVLFSEYFTGWLEARSNELSSGSKGSEAEKAALRLLAVSKLINQAVGDALSGLLLVEVILQHMEWSIHRWNDLYHDLPSRQLKVKVADRTAVVTANAETVVVSPPGLQEAINEETAKYPHGRSFVRPSGTEDVVRVYAEASTQETADSLANSVAKLVDRFLG >OIV92776 pep chromosome:LupAngTanjil_v1.0:LG18:14350833:14357564:-1 gene:TanjilG_00910 transcript:OIV92776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNPPFHMEDNTDEDFFDKLVEEDDDDLGPLKSSGHDEGNDSDGAKAFENLGISDGDDAFQNSDAVESGIEPNEGVGSVKLDGGLVGGHEQEGNLVLSSTSVGRDSKTDPPGNNGWGSEVTPASAVRKSDIITGPVIKEVGWNSFYADSNGGTGFGSYSDLFNELGDQSGDFPGSNDLNSQVNPADEVHNDSMNTSVNYLQYQGGQGYVASFKNHTNGHGDDLNAPVNYVQSQEGQAYDASVEKPTNGQDLSSSQNWEDLYPGWKYDYNSGQWYQIDGYNAAATTQGSFDANTAVGWTGASDGKTELSYLQQSAQAVTGTSAEIGTTESITDWSQVSQGNNGYPEHMYFDPQYPGWYYDTIAQEWRSLETYNSSVQPAVQGLENGHASGSTVSHIDNNLVKEYRHAGIYASQDIGGQAVDGSWSGSYGANHQQGFDMYTTEAPVQSGDNVTSGGNQPFTHSYGSSISMNKGHQNTSSSFGSVSLYNHDHGLANGTIEPQSFIPRGDVQQINYANTKFDEQKKFSNGFAESQMPFTYSQQSIQGGHQHSYAPHVGRSSAGRPPHALVTFGFGGKLIIMKDDSLLSSSYGSQSAVQGSVSVLNLMEVVMGSVDSSSIGNATGDYFRALSQQSFPGPLVGGSVGSKELYKWIDERIARCESPDTDYKKGERLRLLLSLLKIACQHYGKLRSPFGTDTTLKENDTPESVVAKLFASAKMSGTQYGMLRHCLQNFPPDGQLRATASEVQNLLVSGKKKEALQCAQEGQLWGPALVLASQLGEQFYVETVKQMALRNLVAGSPLRTLCLLIAGQPAEVFSTDTSVSVHPGASNLPQNSAQVGSNTMLDNWEENLAVITANRTKGDELVIVHLGDCLWKERSEITAAHICYLIAEANFESYSDSARLCLIGADHWKFPRTYASPEAIQRTELYEYSKVLGNSQFILLSLQPYKLIYAYMLAEVGKVSDSLKYCQAVLKSLKTGRAPEVETWRQLISSLEDRIRTHQQGGYAANLAPAKLVGKLLNFFDSTAHRVVGGLPPPAPSSSQGAVHGNEQHYQPMSNSQSTMAMSSLVPSASMEPISDLTADNNRMAKPNRSVSEPDFGRSPRQEMTSAGKQGKAQASGSTSRFSRFGFGSQLLQKTVGLVLRPRHGKQAKLGDTNKFYYDEKLKRWVEEGVDPPAEEAALPPPPTTAAFQNDSTEYKLKSALKTEGSPSNEGSNIRSLTPEHSPGIPPMPPSSNQFSARGRLGVRSRYVDTFNQGGAKTANSFQSPSVPHVKPALAANAKFFVPAPVPSNDHTMEAIAESNQEDSADNEDPSTSATNNWSYQSPKHTSSTSMQRFPSMGNISRQGAATNDSNFHSAQARRVASWSGGLSDSFSPPKMGEIKPLGEALGMPPSTFMPDESSLMHTPIRRSSFGEELHDVEL >OIV93618 pep chromosome:LupAngTanjil_v1.0:LG18:1242527:1250761:-1 gene:TanjilG_04850 transcript:OIV93618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSEMENTESSIIAKRKEPEPEPESNSPINPKRPRTSSQSRTCVHEVAVPSGYLPNKDEPLHGTLSNPLYNGPMAKSYPFTLDPFQQVSVACLERNESVLVSAHTSAGKTAVAEYAIAMSFRDKQRVIYTSPLKALSNQKYRELSGEFNDVGLMTGDVTISPNATCLVMTTEILRGMLYRGSEVLKEVAWVIFDEIHYMKDRERGVVWEESIIFLPPAIKMVFLSATMSNATEFAEWICNIHKQPCHVVYTDFRPTPLQHYVFPIGGSGLYLVVDENEQFREDNFLKMEDTFAKKKLEGNKGFKSNGRGGKGGSGGGGSDIYKIVKMIMERKFQPVIIFSFSRRECEQHAMSMSKLDFNTQEEKDTVEHIFKNAILCLNEEDRSLPAIELMLPLLQRGIAVHHSGLLPVIKELVELLFQEGLVKALFATETFAMGLNMPAKTVVFTAVKKWDGDSHRYIGSGEYIQMSGRAGRRGKDERGICIIMVDEQMEMNNLKDMVLGKPAPLVSTFRLSYYSILNLMSRAEGQFTAEHVIRNSFHQFQYEKTLPDMGQRVSKLEQEVALLDASGEAEVSEYHKLKLELAQLEKKMMEQIIRPHMILSCLVPGRLIRIREGGTDWGWGVVVNVVKKPSSGYIVDTLLHCSHGSNENSTRPKPCPPRPGEKGEMHVVPVELPLISTLSKLRIFIPPDLRPSEARQNILLAVEELGNRFPEGFPKLNPVKDMKINDPVIVELVDQIEEVEQKLFSHPMHKHQDMDQIKCFQRKAEVSHEIQQLKTKMRDSQLQKFREELKNRSRVLKKLGHIDADGVVQLKGRAACLIDTGDELLVTELMFNGTFNDLDHHQVAALASCFIPGDRSSESQIQLRTELARPLQQLQDSARSIAEIQHECKLEINVNEYVESTVRPFLMDVIYSWSKGASFGDVIQMTDIFEGSIIRSARRLDEFLNQLRAAADAVGEVDLEKKFAAASESLRRGIMFANSLYL >OIV93342 pep chromosome:LupAngTanjil_v1.0:LG18:8219195:8220016:1 gene:TanjilG_23278 transcript:OIV93342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDYNWWQKENIWLEIGVGITDSSSVITISVYFPRSSPLRTRIRFDERGFWNPNLNLFNEEKISLMGPCSRSCFGGQTSMLCCNLDVQLLNS >OIV93291 pep chromosome:LupAngTanjil_v1.0:LG18:8923747:8927265:-1 gene:TanjilG_13118 transcript:OIV93291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGELSAGNHSSDDILSIIHDITGMCSANTPSAADAMFRKDCIDLVRRISLLTYLFEEVKELNKIVEFASTSSTTTDDYDSWSSDMVLALHSAKRLLSIARNFRFNCSFVDLVRTQLRRATDKHGFMISKMPSHELPQSLAQEISQVHGKSWDSEISLLERSRSIPASTDVSSSNVNVPESQENSESKCLSGVKKPEAIVIPEDFLCPISLELMRDPVIVATGQTYERSYIQRWIDCGNITCPKTQQKLQHLTLTPNYVLRSLITQWCIDHNIEQPTGLTNGKIKKSDGSFRDVTGDVEAIEALVRKLSSRSIEERRSAVSEIRSLSKRSTDKRILIAEAGAIPVLVSLLTSEDVLTQDNAITSILNLSICGNNKGLIMLAGAIPSIVQVLRAGTMESRENTAATLFSLSLADENKIIIGASGAIPALVELLQNGSPRGGKDAATALFNLCIYQGNKGKAIRAGIITSLLKMLTDSSKSMVDEALTIMSVLASHHEAKVAIVKASTIPVLIDILRTGLPRNKENAAAILFALCKRDTRCCRTAF >OIV93147 pep chromosome:LupAngTanjil_v1.0:LG18:11225528:11226973:1 gene:TanjilG_20809 transcript:OIV93147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENYPYPPYPESHESSPRSRDTDFENPPPWDDQQPPPNYKAKFMCSYGGKIQPRTHDNQLSYVGGETKILAVDRHIKFPSFISKLSTLSNITPEQLALKYQLPDEELDALISVTNDDDLDHLMHEYDRLYRASSRPARMRLFLFTNPTPTPTTPPVTTASFSSECDRFVEALNSGGLPVQPEPIKPQPLMHGNVDFLFGLDKTVAPPPPIAAVKFHDPVPDPVAPDPVDRRVGSDANVNPIEIQRQLQQMRIAESEQQYRRRSEDGFAGGFTGGSGDYYMQKVPEKVSPSNSPVSVPHHGGFYPERQLSGVGFQPTVTTAHGGVDQHVYMVSAPGPYYHAPHAPVVRPSVTQGYYAVQRMGSDGYREQTMYGGVAPPKTVFSSVAPSGLAPGQQVRGSTYAEGYGVIRQAGVPDNSAATYAQMTYDSASGRQVYYNAPAGVMHAPPFQGASPAINATDMRSGGVSSGQDVKVVNKVNQGPV >OIV93096 pep chromosome:LupAngTanjil_v1.0:LG18:11791744:11793009:1 gene:TanjilG_20758 transcript:OIV93096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLLQCPSCCNLDNKESQPHSHNHLNSESSTSSSLSSQPSLPSVPSLTSQPQTQTTHHKLLTTIKGHSSPIFSLALHGKFLYSGSSNSEIRTCINNNDNNNTVVAISNGAIKCLIVLGDKLFSAHQDHKIRVWKIETNSSPNQRYKCIATLPTFNDRFSKLFSSKNYVKVRRHKKYTWVHHVDTVSALALSSDGSLLYSASWDRTFKIWRTSDFKCLESVRNAHDDAINALVLSNDGVVYTGSADKKIKVWKSSDTDKNHQKHHTLLGTLEKHKSAVNALALSTDGSVLYSGACDRSILVWENKSANNNDIGSGNNDFNIVLVGALRGHTKAILCLVVVADLVCSGSADNSVRIWRRGIERNYSCLAVLDGHRKPVKCLAMAVESNNGGPNDDDDNSSYLVYSGSLDCDIKVWQIRIPSLF >OIV93667 pep chromosome:LupAngTanjil_v1.0:LG18:1958892:1962144:1 gene:TanjilG_04899 transcript:OIV93667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPSKRRDMDLMKLSVIFFKLMMSDYKVEMIDDGMQEFFVEFHGPKDSPYEDGVWKIRVELPDGYPYKSPSIGFVNKIYHPNVDEVSGSVCLDVINQTWSPMFDLVNVFEVFLPQLLLYPNASDPLNDDAAALMMQDSAAYEQRVKEYCQKYAKAEDVGATKEESSSEEELSEEDYSSSDDDDDAIAGKPDP >OIV93085 pep chromosome:LupAngTanjil_v1.0:LG18:11989819:12000321:-1 gene:TanjilG_20747 transcript:OIV93085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRAIRACKTAAEERGVVRKECAAIRASINENDQDYRHRNLAKLMFIHMLGYPTYFGQMECLKLIASPGFPEKRIGYLGLMLLLDERQEVLMLVTNSLKQDLNHINQYIVGLALCALGNICSAEMARDLAPEVERLLQFRDPNIRKKAALCSIRIIKKVPDLAENFINPAIALLREKHHGVLITGVQLCTELCKISTEALEHIRKKCTDGLVRTLRDLTNSPYSPEYDVAGITDPFLHIRLLKLLRVLGEGDADASDSMNDILAQMAENTSKILDAGAFQWYWSEDDYVMVGQVATKTESNKVAGNAILYECVQTIMSVEDNGGLRVLAINILGRFLSNRDNNIRYVALNMLMKAVTVDAQAVQRHRATILECVKDSDASIQKRALELVYVLVNETNVKPLAKELIDYLEVSDYDFREDLTAKICSIVAKFSPEKIWYIDQMLKVLSEAGNFVKDEVWHALIVVISNASELHGYTVRALYRAFQTSAEQEILVRVTVWCIGEYGDMLVNNVGMLDIEDPITVTESDAVDVVEIVIKRHASDLTTKAMALVALLKLSSRFHSCSARIKEIIVAFKGDFVLELQQRSLEFNSIIAKHQNIRSTLVERMPVLDETTFVGRRAGSLPGTASTTTAPSVSLPNGVAKPVAPLVDLLDLSSDDAPAPSSSGGDLLHDLLGVDLSPASQQSGAGQTLKNGTDVLLDLLSMGSPSAPSTSSTVDILSSNASNGAPVSPLNDLSSLSLSSRATSNAGAAPIMDLLDGFPPSPSTENNGPVHPPITAFENSHLRLTFDFSKQPGNPQTTIIQATFMNLSSDTYTDFVFQAAVPKFLQLHLDPASSNTLPAAGNGPIVQNLKVTNSQHGKKSLVMRIRIAYKINGKDTLEEGQINNFPRGL >OIV93090 pep chromosome:LupAngTanjil_v1.0:LG18:11884564:11887070:-1 gene:TanjilG_20752 transcript:OIV93090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTSSKPAAKVLWGELEEDDGEDLDFLLPPKHVIGPDQNGLKKVIEYKFNDEGNKVKITTTTRVRKLANARVSKRAIERRSWLKFGDAVHEDVGSRLTMVSTEEIVLERPKPLGSKAEEPKASGDPLAQFQKGAVLMVCRTCGKKGDHWTSRCPYKDLAAPSEGFVDKPPTSDAAAAAPGSTKGAYVPPGMRAGAERTGSDMRRRNDENSVRVTNLSEDTREPDLLELFRPFGAVSRVYVAIDQKTGTSRGFGFVNFVNREEAQRAINKLNGYGYDSLILRVEWATPRTT >OIV93520 pep chromosome:LupAngTanjil_v1.0:LG18:2489349:2495634:-1 gene:TanjilG_28677 transcript:OIV93520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKVQWFWISVLGSVILRFILFYSSKNLNLSSRPEIATPLTSLRRLAEGYWLKQSSMSPYSGSMYHGSPLLLTLLGPLTVTRIEGQPDHLLCGFVFVIADVICAMLIRATGETLQAAYSSRLKLLGLHHLSQNSGEVLPSGDLAALVYLWNPFTIVACIGLSTSAIENLMVVLSLYGACKRLAPLAAFGWVMATHLSLYPAILLIPVILLFGYGLDAPPRKLFLQRKKFEVDNSSSSDNNRLEEGVRNQLSMLNVFSWKSVSHFLFWTLLWSSYVLVLCSIYLRQHGGLQELFKRTYGFILTIPDLSPNIGVFWYFFAEVFDFFRNFFLIVFHGNILLMMVPLALRLNHRPCFLAFVYIVISSMLKSYPSVGDSALYLGLLGLFAYELRDMQFSFFLISGYVGASLLSPVMHNLWIWRGTGNANFYFGTAITYACFQIILVVESVSAMLNHDRMLKKLSTAKLVKT >OIV92979 pep chromosome:LupAngTanjil_v1.0:LG18:13300516:13304552:-1 gene:TanjilG_20641 transcript:OIV92979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSFLSVPAVSGGPIPNALFSLKTPSIQTLSISTHTPSPTFHFNPNRLAIRSSYADAGVRDDSRSSTIDVVADVRSERIVVLGGSGFVGSAISKAAVSKGIEVISLSRSGRPTYPDAWVDQVTWVSGDVFNVNWDEVLVGATAVVSTLGGFGSEEQMKRINGEANVVAVNAAKEYGIPKFILISVHDYNLPQFLLTNGYFTGKRKAESEVLSKYPGSGIVLRPGFIYGKRRVDGFEIPLDLVGEPAERILKAIENFTKPLSSLPASDLLLAPPVSVDDVASAVINGVTDDDFFGVFTIDQIKEAAEKVRA >OIV93177 pep chromosome:LupAngTanjil_v1.0:LG18:10845493:10847223:1 gene:TanjilG_20839 transcript:OIV93177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIGIGIGGDVEEPKAARQHRKKRALKNKGLSVTFNEKDLNDYVTGFHKRKKKRRKEAQKQQEDALRRKRTEQRKKRKLERELVQGGGVIPTADEPENDEAEAVVESIAETKTYENADLKVTVVTSEISPEEESYPNERKEPTLPIQPVVADKKQVVPINNRKPFKKAVKHWSRPKPASKRDKKKGNKRGKK >OIV92851 pep chromosome:LupAngTanjil_v1.0:LG18:14930815:14934096:1 gene:TanjilG_00985 transcript:OIV92851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLWSVFGEYELASYGIGRATMVMTLCYGYGTALFAAPVLGVLSDLIGHKKVCLVFCILHFFTALWKRTLEQPSIFMTSICLSLANTIFSFSFETLMVTQHEKQGHRLDSLNDTFWLMTFFESACFIASQIFANWLVGNNMEKTSAPSSAIIFLATTCFTFLTKGWTETPGTTSLKEYSLSFYEYIFGDKRIWLLTWAQTCLHFSIGIFWILWAPTVVADGREVQLGLLYPCFLGSRMLGSTAFPCLTSGPSSFRTEDCLVYAYIILALLLSIVAYDYQEIGVLVTLFCLFHACVGFILPSLARLRTMYVPNELRGGMMGLSLAPANAAILLSVVQGGYYRNVSNAVLMAFGVLGLLLAAGCMHSLKKWGKQPYHNWHKQ >OIV92648 pep chromosome:LupAngTanjil_v1.0:LG18:16162320:16164449:-1 gene:TanjilG_17999 transcript:OIV92648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPNAAKAFVRVWKVMEMCYQILLQEKRVTQRELYYKLLCDSPHLFPSQTYVNRTIQDVVALLRCSRYSLGIMASSRGLIAGRIILQEPGKEDVDCSICGSSGHTISGDFNLLQKLTLCSDARYIIIVEKHAIFQRLTEDRFFHQIPSILITAKGYPDMATRFLVHRISRVFPDLPILCLVDWNPAGLAILCTFKFGSIGMGLEAYRYACNVKWLGMRGHDLDMVPDQSLVPLKPKDLQIAESLISMGILQENYKEEVALMVRSGRRAEIEALYFHGYDYLGKYIAKKIVQSDYI >OIV92666 pep chromosome:LupAngTanjil_v1.0:LG18:16012348:16017839:-1 gene:TanjilG_18017 transcript:OIV92666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRKTPESPALHDVVSPLHSKRKKPIPNAVSSESLSAVNTVTLIPSHRKTAKSSTVNPDASFVGNPIPATDAFSKWPHRYPSKGKKNDSGGSLNEVTSENGAFKAKNHYRQARVDGVIYNLYDNAYVKAEDDKPDYIARIVEMFETADNEQYFTAQWFYRAEDTVIKVHGDLVDKQRVFISEVKDENPLDCIVSKVKIAKITPNMDFAKKEKAIGSCDLYYDMQYTVPYLTFSNLDNETTPKVESDVSSTISSESGSNGFVGDISMVNGEGCGSEKADLAEWSLLDLYSGCGAMSTGLCFGASISGIKLVTRWAVDINEHACKSLKLNHPETQVRNEAAENFLNLLKAWHNLCGDFKLLGSKRSDPDPDMDEDELNDEVEDMKNEASENQSDSEEFEVEKLLAVCHGDPNNVKKPGLYFKVRWKGYGPSEDTWEPVDGLRDCKEVLKDFVERGYKKKLLPLPGDADFICGGPPCQGVSGFNRFRNTQAPLEDVKNKQLLVYMDIIEFLKPKYVLMENVVDILRFAGAFLGRYAIGRLVAMDYQARMGMMAAGSYGLPQFRMRVFLWGALRTHKLPPYPLPTHEVVSRGFVPAEFEEITVAYDSKDTCQLGDALFLEDAISDLPPVANDESKDERKYGAAPRTEFQRYIRLKRNEMVGNIHPSQNQSGRILYDHCPLKLNQDDYDRVCQIPKNKGANFRDLPGVLVKDNKVEWDPKVDRVLLASGKPLVPDYAMTFVRGTSPKPFGRLWWDEIVSTVVTRAEPHNQAILHPAQDRVLTIRENARLQGFPDCYKLCGTVKERYIQVGNAVAVPVALALGYTFGLACQGLSNDKPLTTVPFKYPTCLSLSSSAPIHSNDD >OIV93677 pep chromosome:LupAngTanjil_v1.0:LG18:555031:557459:-1 gene:TanjilG_16528 transcript:OIV93677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIRRRPITTVPPPNAVAESPLKTQKLKFDHNHDSQTQIQPFVPLYLTNALFFGLFFSVSYFLLHRWREKIRTSTPLHVVTASETAAIVSLVASVIYLLGFFGISFVHTFSSQASSNEKLYNDDEIITKEDSRKPGPCPAALTESFITTTLPKKVIDNNIEISHSSLIEEDEEIVQLVVSGSLPSYSLESKLMDCRKAAVIRREAVQRLTGRSLKGLPVEGFDFGSILGQCCEMPIGFVQIPVGVAGPLLLDGKEYTVPMATTEGCLVASTNRGCKAIHVCGGASSVLLRDGMTRAPVVRFATAVRAAQLKFYLEDPLNFDTLALTFNKSSRFGRLQSIQPAIAGKNLYIRFRCSTGDAMGMNMVSKGVQNVLDFLQNDFPDMDVIGISGNFCSDKKAAAVNWIEGRGKSVVCEAIIKEEVVKKVLKTSVEALVELNMLKNLTGSAIAGSLGGFNAHASNIVSAIYIATGQDPAQNVESSHCITMMEAVNNGKDLHISVTMPSIEVGTVGGGTQLASQSACLNLLGVKGASKESPGSNSRLLATIVAGSVLAGELSLMSAIAAGQLVKSHMKYNRSSNHISNIVS >OIV92693 pep chromosome:LupAngTanjil_v1.0:LG18:15797032:15800409:1 gene:TanjilG_18044 transcript:OIV92693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPLFILILLCAPFLSCAVTVSDIEALTSFKLNLHDPLGALTGWDPSSPAAPCDWRGVACNNNRVTELRLPRLQLAGRLSDRLSELRMLRKLSLRSNFFNGTIPSSLSKCTLLRYVFLQDNSFSGNLPAEIGNLTGLLIFNVAQNQLSGGIPGELPLSLKYLDLSSNAFSGDIPSIIANLSQLQLINLSYNQISGEIPARFGELQQLQYLWLDHNLLEGTLPSALANCSSLVHLSVEGNALGGVIPSAISALPKLQVLSLSQNNLTGSIPASIFCNVSLYATSLRIVQLGFNDFTDFVEPETKNTCFSVLQVLNIQNNRIKGKFPLWLTVVSTLSVLDVSSNALSGEIPPEIGHLTKLEELKMANNSFSGTIPVEIKKCGSLRVVNFEGNEFSGEVPSLFGDMTGLKVLSLGGNHFSGSVPVRFGNLSSLETLSLRGNSLNGSMPEMIMGLSNLTTLDLSGNKFTGQVSASIGKLNRLMVLNLSGNGFSGNIPASLGNLFRLTTLDLSKQNLSGELPFELSGLPSLQVIALQENKLSGDVPEGFSSLMSLQHVNLSSNAFSGKIPQNYGFLHSLVVLSLSDNHITGVIPSDIGNCSDIEILELGSNSLTGVIPTDLSRLARLKVLDLSRNNLTGDLPEDISKCSSLTALLVDQNHLSGSIPGSLSDLSNLTLLDLSANNFSGEIPSNLSMITSLMYFNVSENNLEGEIPQTLGSRFSNPAAFAENKNLCGKPLDSKCDDMKNKDRKRLIVLIVIIASGALLLALCCCFYIFSLLRWRKRLKQGVSGEKKRSPARASSGASGGRASSENGGPKLVMFNTKTTLAETIEATRQFDEENVLSRTRFGLVFKASYNDGMVLSIRRLPGGTLDENIFRKEAESLGKIKHRNLTVLRGYYAGPPDMRLLAYDYMPNGNLATLLQEASHQDGHVLNWPMRHLIALGIARGLAFLHQSSLIHGDMKPQSVLFDADFEAHLSDFGLERVTIANPGEASTSTSVGTLGYISPEAILTGEVTKESDVYGFGIVLLELLTGKRPVMFTQDEDIVKWVKKQLQRGQITELLEPGLLELDPESSEWEEFLLGVKVGLLCTAPDPLDRPTMSDVVFMLEGCRVGPDIPSSADPTSQPSPA >OIV93276 pep chromosome:LupAngTanjil_v1.0:LG18:9275046:9278327:-1 gene:TanjilG_23117 transcript:OIV93276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGAEIKGGNIYWGRKEVSDFKGIVVIFAWVSVPHTLLPDFIDLYSSLGWNSLVCYAHYLSAFNDESAMSLAFCVTEELIEELRIRPCPVVFAAFSAGSKACLYKVFQLIDGGCEAPTYMHNYQLLRKCISGHIYDSGPLDVTSDFGFRFSLNPSIAKLPGPSKFVSWVAKSVASGLDALYLTRFESLAAEHWQALYSSVNFGAPFLILCSENDNLVRYQSINDFAQRLRSLNGDVNLVNLSSSSHVGHFKDHPIQYRAAVSHLLEKATSLYSHNVIRERERTGMAGMQDEISDIIYDLQKVVINSNQSFRRVALGPSDHFYLPSSEGHKNDRESGFPQDEHKEKAVCLPSSPSISAHSVLGQFLFDVCVPKNVEGWDVKSPGNLNGRLCASAPRHSPFSGIKRFRRSRL >OIV93100 pep chromosome:LupAngTanjil_v1.0:LG18:11738674:11743936:1 gene:TanjilG_20762 transcript:OIV93100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSRIASSSSSSSILKPISAALSLRRPLSSDTTLTIETSIPFTAHNCDAPSRAVETSPSELLTFYRDMAVMRRMEIAADSLYKSKLIRGFCHLYDGQEAVAIGMEAAINKKDCIITAYRDHCIFLGRGGNLVEVFAELMGRKDGCSKGKGGSMHFYKKDSGFYGGHGIVGAQVPLGCGLAFAQKYSKDENVTFALYGDGAANQGQLFEALNISALWDLPAILVCENNHYGMGTAEWRAAKSPAYYKRGDYVPGLKVDGMDVLAVKQACKFAKEHALKNGPLILEMDTYRYHGHSMSDPGSTYRTRDEISGVRQERDPIERIKKLLLSHEIATEKELKDTEKEVRKEVDEAIAKAKESPVPEPSDLFTNIYVKGYGVEAFGADRKEIRATLP >OIV93450 pep chromosome:LupAngTanjil_v1.0:LG18:5470026:5471730:-1 gene:TanjilG_10082 transcript:OIV93450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERGGASERGGAGERGGFGRGFGGGRGGRGGDRGRGGRRRGPRREEEEKWVPVTKLGRLVKDGKIRSLEQIYLHSLPIKEHQIIDTLVGPSLKDEVMKIMPVQKQTRAGQRTRFKAFVVVGDNNGHVGLGVKCSKEVATAIRGAIILAKLSVIPVRRGYWGNKIGKPHTVPCKVTGKCGSVTVRMVPAPRGSGIVAARVPKKVLQFAGIDDVFTSSRGSTKTLGNFVKATFDCLLKTYGFLTPEFWKETRFSKSPFQEYTDLLARPTTKALILEQEEKAVEA >OIV92805 pep chromosome:LupAngTanjil_v1.0:LG18:14627131:14630095:1 gene:TanjilG_00939 transcript:OIV92805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVSALAKYKLVFLGDQSVGKTSIITRFMYDKFDNTYQATIGIDFLSKTMYLEDRTVRLQLWDTAGQERFRSLIPSYIRDSSVAVIVYDVASRQTFLNTSKWIEEVRSERGSDVIVVLVGNKTDLVEKRQVSTEEGEAKSHELNVMFIEASAKAGFNIKALFRKIAAALPGMETLSSTKQEDMVDVNLRSSAGNASQPQSGGCAC >OIV93067 pep chromosome:LupAngTanjil_v1.0:LG18:12202765:12206916:1 gene:TanjilG_20729 transcript:OIV93067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKKIREYDSKRLVKEHYKRLSGNDLPIKSAQVTESTDFTELQEREPWLSSSKLVVKPDMLFGKRGKSGLVALNLDLAQVASFVKERLGKEVEMSGCKGPITTFIVEPFIPHNEEFYLNIVSERLGNSISFSECGGIEIEENWDKVKTVFVPTGVSLTSETIAPLVATLPLEIKGEIEEFVTVIFNLFQDLDFSFLEMNPFALVDGKPYPLDMRGELDDTAAFKNFKKWGNIEFPLPFGRVMSPTESFIHGLDEKTSASLKFTVLNPKGRIWTMVAGGGASVIYADTVGDLGFANELGNYAEYSGAPKEDEVLQYARVVIDCATANPDGQTRALVIGGGIANFTDVAATFSGIIRALKEKESKLKAARMHIYVRRGGPNYQKGLAKMRELGEEIGIPIEVYGPEATMTGICKEAIQCITASA >OIV93613 pep chromosome:LupAngTanjil_v1.0:LG18:1202310:1207187:-1 gene:TanjilG_04845 transcript:OIV93613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDSTAKFHGMIDLNEHNNYYDISQGFYRKLGEGTNMSIDSVGSLQTSNGGGSVAMSVDNSSVGSNDSHTRMLDHQGLKRRANDNYSVVHSVNHRGRVTHALSGDALAQALMDSSSPTEGLDNFHDWTIDLRKLNMGEAFAQGAFGKLYRGTYNNEDVAIKILERPENDPSKAQFMEQQFQAEVMMLATLKHPNIVRFIGACRKPMVWCIVTEYAKGGSVRQFLMKRQNRSVPLKLAVKQALDVARGMTYVHGLGLIHRDLKSDNLLIFGDKSIKIADFGVARIEVQTEGMTPETGTYRWMAPEMIQHRPYTQKVDVYSFGIVLWELITGMLPFQNMTAVQAAFAVVNKNVRPVVPNDCLPILREIMTRCWDPNPDVRPPFTDIVGMLERAETEILTTVRKARFRCCITQPMTAD >OIV93193 pep chromosome:LupAngTanjil_v1.0:LG18:10639470:10641838:-1 gene:TanjilG_24408 transcript:OIV93193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCRASDYPREYDGACLQMRMSYSPAAHLFLFLVQWTDCHLAGALGLLRILIYKVYVDGTTTMSIQERRASIREFYVAVIYPSLMQLQKGVTDTEDKKQKAVCMEKYHRRDDEDYRQCSDIDIEREDECGICMETNSKIVLPNCNHAMCLKCYREWRTRSQSCPFCRDSLKRVNSGDLWVFTDKKDIVDMATVTKENLRRLFMYIDKLPLTVPDSLFYTYDSHLR >OIV93471 pep chromosome:LupAngTanjil_v1.0:LG18:3887913:3892003:1 gene:TanjilG_18687 transcript:OIV93471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEAAASITTEATKKKNEPWLIVGLGNPGKKYAGTRHNVGFEMVDAIAEAEGISMTSVSFKAIFGKGYIGDLPVILAKPQTFMNSSGESVGAIVSYYKIPLKQVLVIFDDLDLPFAKLRLLPKGGHGGHNGMKSVINHLKGNNGFPRLRIGIGRPPGKMDPVGFVLRPFTKQEREELDFTLQHGLEAVRILLLEGFDKSATVVNSAKKIEQIG >OIV93151 pep chromosome:LupAngTanjil_v1.0:LG18:11197909:11199843:-1 gene:TanjilG_20813 transcript:OIV93151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSASGSVQKSEEEWRAILSPQQFRILRQKGTEYPGTGEYDKFFGEGVYTCAGCGTPLYKSTTKFHSGCGWPAFFEGLPGAINRHADPDGMRIEITCAACGGHLGHVFKGEGFATPTDERHCVNSISLKFSPADS >OIV92741 pep chromosome:LupAngTanjil_v1.0:LG18:14076377:14078587:-1 gene:TanjilG_00875 transcript:OIV92741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKASSDAKPADSRLKRKGAAVGRKQTKKAAKDPNKPKRPPSAFFVFMADFREEFKKENPNNKSVAAVGKACGSKWKEMSDAEKAPYVAKAAKKKEEYEKTLRAYTNGLASGKEEEESDKSKSEVNDEDDDDENVDSKGWVQGSSNANTAIIPLLIHAWETKCLNLSYHDSSMLVG >OIV92824 pep chromosome:LupAngTanjil_v1.0:LG18:14740434:14743405:-1 gene:TanjilG_00958 transcript:OIV92824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPNSDASERIARIASHLNPPNLKMEDNESCSLRIGNCRSKGGAFGFKVAILGAAGGIGQPLSMLMKMNSLVSVLHLYDVVNTPGVTADISHMDTSALVRGFLGQKQLEDALIGMDLVIIPAGVPRKPGMTRDDLFNINAGIVKTLCEAIAKCCPKAIVNLISNPVNSTVPIAAEVFKRAGTYDPNRLLGVTMLDVVRANTFVAEVMGLDPREVDVPVVGGHAGVTILPLLSQVKPPCSFTPKEIEYLTDRIQNGGTEVVEAKAGAGSATLSMAYAAVKFADACLRALRGDAGIIECAYVDSKVTELPFFASKVRLGRGGVEEFLPLGPLNDYERVGLEKAKKELATSVEKGVSFARK >OIV93381 pep chromosome:LupAngTanjil_v1.0:LG18:7076404:7076740:-1 gene:TanjilG_24101 transcript:OIV93381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGDVPEDANEHCPGPQSESAGKSDACEGCPNQQICATAPKGPDPGTLSLSLSLSFSSSYVCMLQLNSSPPHLPDG >OIV93040 pep chromosome:LupAngTanjil_v1.0:LG18:12606826:12607578:-1 gene:TanjilG_20702 transcript:OIV93040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAITISKLITHLMLWRLVGFISSIVGFTCYALSPSFHDLFGKRNPFKMVIYTVFSSTISIFMLLVKTCRWEILKSFLLKAHVGFLVITLTSLYSYWEDRSEEGKDEKGFGRVMNLTSTASFALMAMSLSRQLQLGFEVGIFNFFIGCFLVIVMKMNFKLAPLAAFFCYLLVNIRSFSDFILEMRGHGPKKEHVDELVETNQDTNSEDDLGYYDYEDNIETMEDYYYEDRFETTEDYDYEDHFETTEDYDY >OIV93380 pep chromosome:LupAngTanjil_v1.0:LG18:7091893:7096630:1 gene:TanjilG_24100 transcript:OIV93380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEERYEPLKELGSGNFGVARLAKDKKTGELVAVKYIERGKKIDENVQREIINHRSLKHPNIVRFKEVLLTPSHLAIVLEYASGGELFERICTAGRFSEDEARYFFQQLISGVSYCHSMEICHRDLKLENTLLDGNPSPRLKICDFGYSKSAILHSQPKSTVGTPAYIAPEVLSRKQYDGKIADVWSCGVTLYVMLVGAYPFEDPEDPRNFRKTIGRIIGVQYSIPDYVRVSAECRNLLSRIFVADPAKRVNLPEIKQNPWFLKNLPKEIIEAEKGYEGIHKDQQSQSVEEIMRIIQEAKTPGLGLKASDGGQAATGSMDVEDDVDEEVDEEEVDVSVDYANV >OIV92749 pep chromosome:LupAngTanjil_v1.0:LG18:14128125:14129749:1 gene:TanjilG_00883 transcript:OIV92749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPALALRVESLATSGIQSIPKEYVRPEEELSNIANVFEEEKKKEGPQVPTIDLKEMESEDEEVRAKCREKLNKAAEEWGVMYLVNHGIPNEVINRLKEAGEAFFSLPIEEKEKYANDQGSGKIQGYGSKLANNASGQLEWEDYFFHLAFPEDKRDLSIWPKTPSDYIEVTTKYTRQLRELTTKILGALSLCLGVEEDRLEKEVGGMEDLLLQLKINYYPICPQPELALGVEAHTDVSLLTFLLHNMVPGLQLFYEGKWVIAKCVPDSILVHIGDTLEILSNGKYKSILHRGLVNKEKVRISWAVFCEPPKDKIILKPLSELVTETEPAKFTPRTFAQHIQQKIFRKNKEGLLRKTIQEEPQTTEHTETIQEDSAKCAPQ >OIV93321 pep chromosome:LupAngTanjil_v1.0:LG18:8571507:8571872:1 gene:TanjilG_23093 transcript:OIV93321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEVAELKRVCWLEVHGKFDTRKLSPGILYEVSFVVMLKDPAQGWEVPVNVRLVLPGGKKQEHKEKLIDKLRMRKIEIPVGEFVASAGDNGGEMEFSLYEYEGGMWKQGLVIEGVTIKPKN >OIV92807 pep chromosome:LupAngTanjil_v1.0:LG18:14638269:14640223:1 gene:TanjilG_00941 transcript:OIV92807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSANSLIDSIKSSLTKLAPLEAVLFDIDGTLCDSDPLHYYAFREMLLEIGFNGGVPITEEFFIDTVAGKHNDDIALALFPDDLQRGLKFVDDKEALFRKLAAEQVKPLNGLDKVRKWIEDRGLKRAAVTNAPRANAELMVSLLGLSDFFQAVIIGGECEHAKPHPDPYLKGLEALKASKDHTFVFEDSVSGIKAGVAAGMPVVGLATRNPEHLLMEAKPAFLIKDYADPKLWAALEELDKAGAH >OIV93454 pep chromosome:LupAngTanjil_v1.0:LG18:5531359:5534984:-1 gene:TanjilG_10086 transcript:OIV93454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFLARKSILALRNRQLAVAGQGLHNSQNYALKLNAHSFSTKLEDEEREQLAKEISKDWSTVFERSINTLFLTEMVRGLMLTLKYFFETKVTINYPFEKGPLSPRFRGEHALRRYPTGEERCIACKLCEAICPAQAITIEAEEREDGSRRTTRYDIDMTKCIYCGFCQEACPVDAIVEGPNFEFATETHEELLYDKEKLLENGDRWETEIAENLRSESLYR >OIV92751 pep chromosome:LupAngTanjil_v1.0:LG18:14137413:14140168:-1 gene:TanjilG_00885 transcript:OIV92751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKLNQINLSHALLCVILCFLLSLTVSSAETEKEILLQFKGNITDDPFNSLSSWISSGNPCNYSGISCNLEGFVERIVLWNTNLAGVLSPALSGLKRLRILTLFGNRFSGNFPAEYADLHTLWKINVSSNLLSGSIPEFIGDLPNIRFLDLSKNGFTGEIPSALFRYCYKTKFVSLSHNNLVGPIPESLVNCSNLEGFDFSSNKLSGVVPSRLCDIPRLSYLSLRNNALSGSVQEHISACQSLKLLDLGSNRFTGFAPFSILVMQNITYFNISYNVFTGQIPDITDCSESLEIFDASGNYLDGVIPSSITRCKSLKVLYLELNRLKGSIPVDIQNLQRLLVIKLGNNSISGTIPRGFGNIELLEVLDLHNLNLVGEIPVDINNCRFLLALDVSGNSLEGEIPQTLYNLTNLESLDLHQNQFNGSIPPSLGNLSRIQFLDLSHNSISGSIPPTLGNLRSLTNFNLSFNNLSGIIPQIETIQHFGPSAFSNNPLLCGAPLDTACSANGNGSPSAPRTGKTKVLGVSVLVAIVAAAVILTGVCLVTIMSIRARSQKNDDQIMIVESSTTVGSSESNVIIGKLVLFSKSLPSKYEDWEAGTKALLDKESIIGGGSIGTVYRTDFEGGISIAVKKLETLGRMRNQEEFEHEIGRLGNIQHPNLVGFQGYYWSSSMQLIISEFVPNGNLFDNLHGIGYPGTSTSSGNRELNWSRRFRVALGTARAIAYLHHDCRPPILHLNIKSSNILIDDKYEAKLSDFGLGKLLPILDNYGLTKSHNSVGYVAPELAQSLRQSEKCDVYSFGVILLELVTGRKPVESPSSSEVVVLCEYVRGLLETGSASNCFDRNLLGFSENELIQVMKLGLICTSEDPVRRPSMAEVVQVLESIRNGSESH >OIV93072 pep chromosome:LupAngTanjil_v1.0:LG18:12167416:12169857:-1 gene:TanjilG_20734 transcript:OIV93072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGGNNGNPVLPVFLDETQFRYQTNAANQLQLFGNLQAGSGVDPVNYFGNEHMSSMIQPNKRSREIEDISKQQKLQISLNYNICQDDGNRPGSIPSRNPVSTGLRLSYDDDERNSSVTSASGSMSAPPSVMLSLGDNIRTELDRQQDELDQYIKLQKEQLSKGVRDMKQKHMAFLLTSIEKGVSKKLREKDVEIETMNRKNRELAERIKQVAIEAQNWHYKAKYNESVVNVLRNNLQQAISQGVEQGKEEGFGDSEVDDAASYIDPNNFLSIPGAPTKSTFKSYQGMENLSCRACKTKEVSMLLIPCRHLCLCKNCDGFINVCPVCQLIKTGSVEVYLS >OIV93430 pep chromosome:LupAngTanjil_v1.0:LG18:4770495:4770830:-1 gene:TanjilG_10062 transcript:OIV93430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGAALLAVVAMAHELGANRLPQHGLAVTVWRRTWSLHGSNVMVRSGSCALMAELPCSMALVAAMVPPRGQLEVLEG >OIV92959 pep chromosome:LupAngTanjil_v1.0:LG18:13483062:13497082:-1 gene:TanjilG_20621 transcript:OIV92959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKVVYEGWMVRYGRRKIGRSFIHMRYFVLESRLLAYYKRKPQDNQVPIKTLLIDGNCRVEDRGLKTHHGHMVYVLSVYNKKENYHRITMAAFNIQEALIWKEKIEYVIDQHQGAQPSSGNKYISFEYKSGMDNGKTTSSSDRESQFSAQEDEDEAHPNLSRRTTIGNGLPESIFDWTREIDSDLSNQNINDQAFSRKHWRLLQCQNGLRIFEELLEVDYLPRSCSRAMKAVGVVEATCEEIFELVMSMDGTRFEWDCSFQHGSLVEEVDGHTAVLYHRLQLDWFPMFVWPRDLCYVRYWRRNDDGSYVVLFCSREHENCSPQPGCVRAHIESGGFNISPLKPRNGRPRTQVQHLMQIDLKGWGVGYMPSFQQHCLRQMLNCVAGLREWFSQADERNAPPRIPVMVNMSSASVSSKKTLKPNDSSVHPTSLDQLNSASRNSAYQDEYSDEDEDFQIAEPEQEAYPIGLENDVRRTAVLEEEPVDEIDLSSFSGNLRRDDRDNARDCWKISDGKNFRVRSKHFCYDKSKVPAGKHLLDLVAVDWFKDSKRMDHVAKRRGCAAQVAIEKGFFSFIINLQVPGSTHYSMVFYFVTRELVPGSLLHRFVDGDDEFRNSRFKLIPSVPKGSWIVRQSVGSTPCLLGKAVDCNYIRDPKYLEIDVDIGSSTVANGVLGLVVGVITTLVVDMAFLIQANTPDELPEKLIGAVRVSHLELKSAIVPKLDPESS >OIV93265 pep chromosome:LupAngTanjil_v1.0:LG18:9521785:9524958:1 gene:TanjilG_23106 transcript:OIV93265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGSAMNIGPGMDLPIMHESDRYELVRDIGSGNFGVARLMRDKHTDQLVAVKYIERGQKQVCHRDLKLENTLLDGSPAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEILLKKEYDGKLADVWSCGVTLYVMLVGAYPFEDPDEPKNFRKTIHRITIPEIRNHEWFLKNLPADLVDGNAMNNQLEEPDQPTQSMEAIMQIISEATIPEAGAQSHNQYLTGCLDIDEDMDDDDLESDPDLDIDSSGEIVYAI >OIV93238 pep chromosome:LupAngTanjil_v1.0:LG18:10298244:10301659:-1 gene:TanjilG_27417 transcript:OIV93238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISDENLPLNFCHVQKWLLIINRLHMFLHSTALFFMFYYRLSFLFQNQVSRTSYLLPWLLVFASEIILSFIWILGQAYRWRPVSRTVFPERLPEDDKLPAIDVFICTADPIKEPTVVVMNTVLSAMSLDYPADNLHVYLSDDGGSPLTLLGMRVAWKFARWWLPFCRRYRIKSRCPKAYFSGLENDDGDFARSSVYMADKQKIKEKYEAFKEEIKTLREDSAFSRDSPGINNVQDHPSVIEVIQEDNNDDVDNVKMPLLVYVSREKRTTHHHHFKAGALNVLLRVSSVMSNAPYILVLDCDMFCNDPSSARYAMCFHLDPKISSSLAFVQFPQKFHNISKNDIYDSQLRSLFTLQWQGMDGLKGPVMSGTGFYIKRISLFGNFTIKGAELLQLKDYFGSSNEFIKSLNQNYTSNFASSQHTRLEEGHLLASCKYEIDSKWGKEVGFLYDSVVEDFLTGFFLHCKGWTSVFCEPSNPQFLGTATTNLNDVLIQGSRWYSGLFENGISRFSPLIYGPPRMPLLQSLCFAELTYFPLYCLPLWCFAIIPQICLLNGIPLYPKVSDRFFGIFLFIFLSSLLKHLQEVFLTGGTMHKWINEQRIWMMKSITCHLYGCLDAVLKKIGIREASFLPTNKVEDDEQSMLYQMDKYDFQASNTFIVPMLALLSINVSCFIGGVYRVSLVGDWDKMFIQLFLAAFIITVNYPIIEGLVVRKDKGCISKLVATHVILATMILLEICALLRNV >OIV93120 pep chromosome:LupAngTanjil_v1.0:LG18:11520826:11521902:-1 gene:TanjilG_20782 transcript:OIV93120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLHHRKLASDSSFDCGYYCNNEQACSLKKNCDDCIKNCNINNTTPSQSKHFTIPTYLIIIFALVATALIVLCCYAIYVKFFSHRNRSRRRILSQQQENHDDEFLDEEEQHGPMVDHPIWYIRTTGLNQSIITAITVCKYKQGEGLIEGTDCSVCLSEFQEDESLRLLPKCNHAFHLPCIDTWLASHTNCPLCRAPIVTNAARIPSMESNVPDSSSIENSHMGSLENSDDGGSEIVNSDGVLSNGAEEEEEVEVEDGIRVVNMQQPRRSVSLDSSAAAKINLALATVLSMECSNGNSKRVGGNVNMVSGVKGSSSSRTRYLQSAPSSMKRSRSFNGKHLLSKYSRSQKKPNAPPVRSF >OIV92613 pep chromosome:LupAngTanjil_v1.0:LG18:16373554:16389654:1 gene:TanjilG_17964 transcript:OIV92613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMSTSASTSIVSLHHSKTTINASSSRFRNNRFFLSPTQYPRLHKAVNGGSSLRANKGDAWKHYISILGEPVTQSLFLPHRSFTSCYLVSRKKRHTSLARFIPGAFLHKSACQFSKSKLDHVSRVQLPHATVGPDEPHAAITIWTDGIAKKQDSSLFVSEIEQIEGFLSSELPSHSKLHRGQLKNGLCYLILPNKVPSNRFEAHLEVHAGSIDEEDDEQGIAHMIEHVAFLGSKKREKLLVTGARSNAYTCIHHTVFHIHAPTSTKDSDGDLLPFVLDALYEIAFQPKFLASRIEKERHAILSELQMMNTIEYRVGCQLLQNLHSENKLSKRFPIGLEEQIKKWDADKIRKFHERWYFPANATLYIVGDIDNISKTVYQIEAILGQTGVESEKSLVAIPSAFGPMASCLVPKLSVGLGGNSSERSANIDQSKVVSKERQTVRPPVKHNWSLPKSSTDLKPPQIFQHELLKKFSISMFCKIPVNKVQTYGDLRNVLMKRIFLSALHFRTSTRYKSSNPPFTSVELDHCDSGRTGCTVTTLTITAEPKNWQSAISVAVQEVRRIKEFGITQAELTRYLDALLKDSEHLAAMTDNVSSVDNLDFIMGSDALGHKVMDQRQGHESLLVVAETVTLKEAILGQTGVESEKSLVAIPSAFGPMASCLVPKLSVGLGGNSSERSANIDQSKVVSKERQTVRPPVKHNWSLPKSSTDLKPPQIFQHELLKKFSISMFCKIPVNKVQTYGDLRNVLMKRIFLSALHFRTSTRYKSSNPPFTSVELDHCDSGRTGCTVTTLTITAEPKNWQSAISVAVQEVRRIKEFGITQAELTRYLDALLKDSEHLAAMTDNVSSVDNLDFIMGSDALGHKVMDQRQGHESLLVVAETVTLKEVNSVGAKLLEFVADFGKPTAPVPAAIVACVPRKVHIEGTGETEFKISSTEITDAMKAGLNQPIEPEPELEVPKELIQSSMLKELKMRCKPAFIPISPEINSTKLYDEETGIFRRRLTNGISVNYKISKTETQSGVMQLIVGGGRAAESFDSRGSVIVGFRTLSEGGRVGNFSREQVQLFCVDHLINYSFESTEEFISMELRFTLMDNGMRAAFQLLHMVLEHSVWVDDAFDRARQLYLSYYRSIPKSLERSTAHKLMVAMLDGDERFVEPTPKSLENLTLQSVKDAVMSQFVGDNMEVNIVGDFTEEEIESCILDYLGTAQATRNHKIEQEFNPPLFRPSPSDLQSQEVILKDTDERACAYIAGPAPNRWGFTVDGEDLLETINNALPSNDDQSRSVAKQTQGGPRRSLRGHPLFFGITMGLLAEIIESRLLTTVRGSLGLTYDVSFELYLFDRLKLGWYMISVTATPGKVHKAVDACKNVLRGLRSNKITERELDRAKRTLLMKHEAKIKSNAYWLGLLAHLQASSVPRKDISCIKDLTFLYEVATVEDIYLAYEQLKVDENSLYSCVGIAGAQAAQEIADPLTHLPRSDHGNNLQQIVAAASMFNTFTNPSDNTNNVLWLLHSHATQLAKLHLLHNILQVTGPTPSSILEQLNNPFEVLGLNIYLSSKVQTLCNGSIGFSSQNQLPNLHDLEALQHLNGGRNNSCIDESQMFDEQLGFISVAPTPECSTVKQNENTVNPTTTLEMWDDFMDEDASDAYSSNFLPPLVLGIY >OIV92623 pep chromosome:LupAngTanjil_v1.0:LG18:16306057:16308118:-1 gene:TanjilG_17974 transcript:OIV92623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNQHGYVPPAYIPLGQSDSEAEAPCGDFVTHFCCHLCAICQEYREIRERAGDYEFTDMKLAVVTAPPIQTMQSDSDSKQ >OIV92674 pep chromosome:LupAngTanjil_v1.0:LG18:15946881:15947891:1 gene:TanjilG_18025 transcript:OIV92674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTPNKITLTSSDGESFEVDEAVALQSQTIKHLVEDGCADNGIPLPNVTSNILALVVKYCNKHVEPSDSDKKSNDQDLRAWNADFVKVDQATLFDLILAANYLDIKSLLDLTCEAVAEMIKGKSPEEIRRKFNIQNDFTPEEEDEIRRENQWAFE >OIV92841 pep chromosome:LupAngTanjil_v1.0:LG18:14858014:14860785:1 gene:TanjilG_00975 transcript:OIV92841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAPKQKWTAEEEAALKAGVGKHGAGKWRTILTDPEFSSILRMRSNVDLKDKWRNINVTAIWGSRQKAKLALKKNLPTPKIDNNHFSAIVQHDGEVAVIKPLAVSGGPSPKSKEQISRLDTLILESIIKLKEPKGSDRAAIAAYIEDQYCSPPNLEKLLSTKLKHMVESGKLMKVKRKYMIPSNLSSSEKRRCSSSLLLEWRSKDSPKTEKTGVNNLSKSQIDVELSKIKGMTAQEVAAAAAKAVAEAEAAIAEAEAAARVAEAAEAEAEAAQVFAKAVKRAFKCKKRSPW >OIV93583 pep chromosome:LupAngTanjil_v1.0:LG18:908640:911353:-1 gene:TanjilG_04815 transcript:OIV93583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVRTLVGLVEEKILESSFIRDEDERPKVAYNDFSNEIPIISLAGIDDVDGRRPEICKKIVDAFENWGIFQVVDHGVDIKLISEMTRLAKEFFALSSEEKLRFDMSGGKKGGFIVSSHLQGEAVRNWREIMTYFSYPIKQRDYSRWPNKPIGWRNVTEQYSEELMSLSCKLLQVLSEAMGLDKEAVTKACIEMDQKVVVNYYPKCPQPDLTLGLKRHTDPGTITLLLQDNVGGLQATRDNGNTWITVQPLEGAFVVNLGDHGHYLSNGRFKNADHQAVVNSNSSRLSIATFQNPAPEATVYPLKVREGEKPVLDEPMTFAEMYRKKMNRDLEMGMMKKLAKEIQFQELKKNAKVEAKRLDQILA >OIV93502 pep chromosome:LupAngTanjil_v1.0:LG18:3710569:3711564:1 gene:TanjilG_11084 transcript:OIV93502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDGSGGSGGSGGSGGATNANASRHQYFCYQCNHTVSITPSSDLACPDCNSGFVEEVENPIPQPQPFSFTYEFGGGGDDLPSLFGGRSPFGDADAFNPLVFLQNYIQTLRAGGANVQFVIDNSASGLPANLNLGDYFFGPGLEQLIQHLAENDPNRHGTPPASKKAVEGLPEIAITEELLDSDSSQCAVCKDTFELNEIAKQLPCKHIYHSDCILPWLELHNSCPVCRYELPTDDPDYEQRAQGGAGAVEGAVQINQNQDQSAAGDSSQSQLQSPREHRFNVALPWPFRQFYGDTSNVGTGNDNNTGGESNPGSRGNQNFESGTGQEDLD >OIV93650 pep chromosome:LupAngTanjil_v1.0:LG18:1658996:1659511:1 gene:TanjilG_04882 transcript:OIV93650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSSSPTNPRQRVHVPCKRLVFYFHDIIYNGHNFKNATSTIVGAPSWANKTILANQNHFGDLVIFDDPITLDNNLHSPQVGRAQGFYIYDKKEIFTSWLSFSFVFNSTHHKGTLNFAGADPLMNKTRDISVIGGTGEFFMARGVATLSTDAFEGEVYFRLRVDINLYECW >OIV93284 pep chromosome:LupAngTanjil_v1.0:LG18:9191747:9195394:1 gene:TanjilG_23125 transcript:OIV93284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWPYIGETPQLYSQDPNVFFASKQKRYGEIFKTHILGCSCVMLASPEAARFVLVTHAHLFKPTYPKSKEKLIGPSALFFHQGNYHTHIRKLVQSSLSLQTIRKLIPDIENEVISSLESWVSGENVINSFQEMKKFSFNIGILSVFGHLDNNYREKLKENYNIVEKGYNSFPTRIPGTAYSKALSARKRIRKIISEIICKRKEQRLMENDLMGHLLNYKDENGQMLRDDQIADNVIGVLFAAQDTTASVLTWILKYLHDDQKLLEAIKAEQMEIYEANQGGKIPLTWGQTRNMPITYKVILESLRMASIISFTFREAVVDVEYKGYLIPKGWKVMPLFRNIHHNPEFYPAPHNFDPSRFEIAPKPNTFMPFGNGVHSCPGNELAKLNMLILIHHLVTKFRWEVVGYQSGIQYSPFPVPLHGLPTRFWKNE >OIV92928 pep chromosome:LupAngTanjil_v1.0:LG18:13716087:13720297:1 gene:TanjilG_20590 transcript:OIV92928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSGSKATSSCSSSSCSGSFQKGRSKRYRGFQSYCLGTTSGSHDSDNDEVCEQNKVNGSDVTYTRGNEIDSDVVKIDSFRKVKSDEMSCIPPNLDLDEWDDTASRTGSSSAHASSTQSLNPSSRFFSRFSLIPGNISFRLSRTTSLGSSRPCPVSSASPSIFNNEGEHNLHPRHPASLINRNEPQQGSDPLNASFVNQDPIQCHEDASNNLRSIASTVGSPHNLQRSPAIYPIQDVVTDGDGTREVLDLDLFAPRIHNESENVETRHSNRRNGVREHVERNVSFSRTLSVGRLRDRVLRRSTLSDFTFCPLQQEREARDARQDNGRQAGERDTRVSPSGDLPSTMSSSLFTFQDYEVETSHSRGTRYQDLLEHRSNFLERRRRIRSQVRALQRLGGRFENLSGHERSCILSNQHRNGHCTCRISSHERSTNSNDDTNASASISRIVMLAEALFEVLDEIHQQSVVLSSRPSVSSIGSVPAPNEAVESLPVKLYTKLNKHQEDDAQCYICLVEYEDGDSMRILPCRHEFHRTCIDKWLTEVHRVCPLCRGDICVSDLMSMEN >OIV92633 pep chromosome:LupAngTanjil_v1.0:LG18:16242275:16245100:1 gene:TanjilG_17984 transcript:OIV92633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTFCSSSLLASSFAPSLNITTPSFSKSHTTPLFSVTNASVTIQQQPKVALIRIGTRGRYSLLPHSYQSFNLFYPLYVSDHLGKLDFEFTSYPLNPLALAQAYETREKLIASHSELAEDGAIQIIIIKTTGDKILSQPLADIGGKGLFTKEIDEALLNGDIDIAVHSMKDVPTYLPDKTILPCNLPREDVRDAFISLNAKSLADLPPGSVIGTASLRRKSQILHRYPSLNVQDNFRGNVQTRLKKLNEGVVQATLLALAGLKRLNMTEHVSSTLSIDDMLPAVAQGAIGIACRKYLVSLNHEETGLAVVCERAFLQILDGSCRTPIAGYACRNEDGNCLFRGLVASPDGTRVLETSRVGPYAVEDMIEMGKDAGKELLSRAGPGFFTS >OIV93184 pep chromosome:LupAngTanjil_v1.0:LG18:10786547:10794811:1 gene:TanjilG_20846 transcript:OIV93184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVCTVMLFIVYRTAKYQYYEEEVTVYSDRNLLAVPVGITQKDNVDAMVQKILISEFFPRWFAKRFLHPDIVSVYDYIFLWDEDLGLEHFSPSRYIEIVKEEGLEISQPALDPDSTDIHHRITVRARTKKVHRFVEGMAPVFSRSAWYCAWHLIQIKIDHTICNLTFSFSQNDLVHGWGMDMKLGYCAQRHHSGALDMRTEQRGSKKRPEKDMVKTVKVVQNQWQSV >OIV92971 pep chromosome:LupAngTanjil_v1.0:LG18:13381103:13387558:1 gene:TanjilG_20633 transcript:OIV92971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNSEMDKTPKEKESKTPPPTSQEQSSTTGAGTFNPDWSSFQAYSHMPPHGFLASSPQAHPYMWGVQHIMPPYGTPPHPYVAMYPHGGIYAHPSMPPGSYPFSPFAMPSNGIAEASGNTPGSMEVDVVKPPDVKEKLPIKRSKGSLGSLNMITGKNNEHIKTRGTSANGIHSKSGESASEGTSEGSDADSQNDSQLKSGGRQDSYEEEPSQNGSSAHASHNEELNAPHTMANQTMSIVPISAGGAPGAVPGPTTNLNIGMDYWGAPTSANIPAMRGMAPSPAVAGGVVTAGSRDSVQSQLWLQDERELKRQRRKQSNRESARRSRLRKQAECDELAQRAEALQEENASLRSEVSRIRSGYEQLLSENAALKERLGEPCNDDPGHGRNDQHVDNDTQQNGQTEAVQGGH >OIV93415 pep chromosome:LupAngTanjil_v1.0:LG18:6175252:6175488:1 gene:TanjilG_02952 transcript:OIV93415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNKSLSKQESKELARESLIAISNASPETVLDSNSALETKTSDVVAVTNLEGDDKFRSELISISYAESLNVKSTSMGV >OIV93409 pep chromosome:LupAngTanjil_v1.0:LG18:6318663:6318926:-1 gene:TanjilG_02946 transcript:OIV93409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAMILLYDGGDDNTPMRQCGNGDNVLGFLSGSYLRGQVNPVLPGWIGFQTARNGSMR >OIV93039 pep chromosome:LupAngTanjil_v1.0:LG18:12613677:12614633:-1 gene:TanjilG_20701 transcript:OIV93039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLKGFAEGGIASIIAGCSTHPLDLIKVRMQLQGEPNPNPVHNLRPALAFQNGSSIHVSPTPQTPQIKPRMGPIAVGVRLVQQEGVAALFSGVSATVLRQTLYSTTRMGLYDVLKQKWSTGGNMPLSRKIEAGLIAGGIGAAVGNPADVAMVRMQADGRLPPAQRRNYKSVVDALSTMVKREGVTSLWRGSSLTINRAMLVTASQLASYDQFKEMILEKGLLRDGLGTHVTASFAAGFVAAVVTNPVDVIKTRVMNMRVEPGAEPPYSGAMDCALKTVRAEGPMALYKGFIPTISRQGPFTVVLFVTLEQVRKLLKDF >OIV93200 pep chromosome:LupAngTanjil_v1.0:LG18:10585343:10589674:1 gene:TanjilG_24415 transcript:OIV93200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDALSSDSDWEAFSDSSSSEDQEENDFIYGGHAQNILSNLEQSIGKIDDFLSFERTFVQGDLVCALSDQSGQMGMVVSVDVFMDLENVHGKVLKDVSSKKLSKIRSISEGDYVTKGSWLGQVKRVVDKVTVLFDDGAKCDITASERENLLPLTHNFPEDSEYPYYPGQRVKVKSTSAYKRDRWLCGTWRDNQDEGTVCSVEAGLLYINWIASVPVGCDLSVNAPPCWQDSKNLTVLSCFSHANWQLGDWCMLSVADQKEQIIQDAPTSDLRMEHSMERGNERRNFSSYIGELFIIGKIKTKVDIVWQNGEYTLGLGPESLLPVNIINNHEFWPHQFVLEKGASYDPLKPRSQRWGVVQCVDAKEHIVKVQWKNASISNPDNLDTGKMEETVSAYELVEHPDYSFCFGDIVLKAAQKQLDYQAEKDNAKSVIDLNVQAALRDRNRKGYQDELPDNRFLCCIGNVIGFKDGEVEVKWATGFTTKVTPYEILRIDEHEGSNVEQLMQGMIEHGNLPSEQKGKDMSNCDGIRENYEKYPLECSSFSLPQAALELLSSIKTSILQTLGGTSLSGAVSSVPTFEEDNGSDFIDKQNLETSDHSTDSHRNTQLQSTEDCTSYPQVIRIHEKIGFPFSSDSNSSNQFKQFDVIENCSDHYFLDEGEGLKLSQIKRSWVKKVQQEWSILQKNLPETIYVRVFEERMDLMRTAIGGASGTPYHDGLFFFDIHFPPEYPNEPPLVHYNSGGLRLNPNLYESGKICLSLLNTWTGTGTEVWNPGASTILQLLLSLQALVLNDKPYFNEAGYDQQIGRAEGEKNSVSYNENAFLVTSKSMLYLLRKPPKHFETLVEEHFTKRSQHILLARKACLEGAPIGCAFGSGKTEHENQKGTSTGFKIMLAKIFPKLVEAFSDKGIDCSQFVDMHKYLSC >OIV92831 pep chromosome:LupAngTanjil_v1.0:LG18:14781627:14786599:1 gene:TanjilG_00965 transcript:OIV92831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESAAVVAGIKLSFPICPSLHPSLPNLSRTFNPSLSFPLKLQNQFPLTSHNVLQSASTLRPSATLSNNSSETIEVAEIDWDNLGFGLQNTDYMYIMKCTQGGTFSKGEIKRFGNIELNPAAGVLNYGQGLFEGLKAHRKQDGSILLFRPEENAERMKTGAERMCMPSPTTEQFVQAVKDTVLANKRWVPPPGKGSLYIRPLLLGSGAVLGLAPAPEYTFLIYVSPVGNYFKEGLAPINLIVENNLHRATPGGTGGVKTIGNYAAVLKAQSAAKANGYSDVLYLDCVHKRYLEEVSSCNIFVVKGNTISSPAIKGTILPGITRKSIIDVARSQGFQVEERLVSIDELLDADEVFCTGTAVVLSPVGSVTHLGQRVSYGEGGTGAVSQQLYTALTRLQMGLIEDERNWTVELK >OIV93348 pep chromosome:LupAngTanjil_v1.0:LG18:7951028:7951569:-1 gene:TanjilG_08761 transcript:OIV93348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKLCAVNVLFPILAMALVIQVAYGGGERSLRQEGTYGNKEECPCYNNWKTKEGAPKCP >OIV93143 pep chromosome:LupAngTanjil_v1.0:LG18:11265835:11268913:-1 gene:TanjilG_20805 transcript:OIV93143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSIVRECRRRLNLPSCIWMSNMSRSLRSDTALEAIAKASEDKVSNIVLYNYPSFSGAFSALFAHLFHTRHNLSSLFLPFSSVQSLAFRVEDLCIDGLETCYLLDFIPSKEFLFELSQKSKCKIIVFDHRKSVLRHVPSADDCPENIMIHVNLEKSSCSAVYEYFSRKHAEIKMSDGVVSSLLNSKDKDRVELVLKYIEDGDLCQWRLPGIKAFNIGLNEWRPKFNCVSNPHMFNQLVELSAESLIAKGNSILSSRQNAASKLLGKVFRVQLGRGFYGECLGVRADGNPNLSDELGKLLSVKSAAAGLRPIGAVIFMQRNNLKMCLRSTDSATDTSEVAKAYGGGGSPNSSSFIIRMDEYNQWISVNSSRG >OIV93215 pep chromosome:LupAngTanjil_v1.0:LG18:9953163:9954233:1 gene:TanjilG_27394 transcript:OIV93215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVECMKTIAKLDLELTVEERNLLSVGYKNVIGARRASWRIMSSIQQKEESKGNENNVKLIKNYCQKVEEELSKICSDILEIIDKHLIPSSTSGEATVFYHKMKGDYFRYLAEFKTDQERKEAAEQSLKGYEVCVFLGINKGILKNKGIP >OIV93087 pep chromosome:LupAngTanjil_v1.0:LG18:11957783:11959698:1 gene:TanjilG_20749 transcript:OIV93087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEHLTPMAVTHLLQHTLRSLWEAQGAYDRSRGNRRNWILVWEDGFCNFAASAAAPEINTTGDCPTSSVYGNCDQFQPYQGLQPELFFKMSHEIYNYGEGLIGKVASDHSHKWIYKEPNDQEINFLSAWHNSADSHPRTWEAQFQSGIKTIALIAVREGVVQLGAVNKVIEDLSYVVLLRKKFSYIESIPGVLLPHPSSSAYPYKVQGYSVPEQWHFQGNLAAAPTELYSDHYNMQPLKITPSMSSLEALLSKLPSVVPPPQPPLTQPQSHVLVSSQRPLEFMGMQKVAKEELDAEVYRPELDMGESSISMPGYHHQHHFHQQHDQNVTSSSGANNGY >OIV93286 pep chromosome:LupAngTanjil_v1.0:LG18:8804499:8808400:1 gene:TanjilG_13113 transcript:OIV93286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITWNDLYSVLTAVVPLYVAMILAYGSVRWWKIFSPDQCSGINRFVAIFAVPLLSFHFISTNNPYAMNFRFIAADTLQKIIMLFALSIWTNFTANGSLEWMITIFSLSTLPNTLVMGIPLLIAMYGDYSGNLMVQVVVLQCIIWYTLLLFLFEYRGAKLLIMEQFPETAASIVSFKVDSDVVSLDGRDFLETDAEVGDDGKLHVTVRKSNASRRSFMMTPRPSNLTGAEIYSMSSTPRGSNFNHADFYSMMGYQARHSNFGATDLYSVQSTSRGPTPRPSNFEENGAAMGQNMSSPRFGFYPAQTMPASYPVPNPEFSSSFSKSVKNLQPQTQQQPQLQPQLVQNNTKSSHDAKELHMFVWSSSASPVSEGAGLHVFNGAELGASDQGAKEIRMVVAEENPQTEANKVITEAEFVAEELKFPTKETEQAVELEGEKEGPNGLNKLGSSSTAELHPKAAAAAGTGLTKYMPPASVMTRLILIMVWRKLIRNPNTYSSLIGVIWSLVAFRWHVHMPKIIEKSISILSDAGLGMAMFSLGLFMALQPKMIACGNSVATFAMAVRFLTGPAVMAAASIAVGLRGNLLRIAIVQAALPQGIVPFVFAKEYNVHPAILSTAVIFGMLIALPITLVYYILLGL >OIV92819 pep chromosome:LupAngTanjil_v1.0:LG18:14704671:14705063:1 gene:TanjilG_00953 transcript:OIV92819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCLVMPISLIHRRSTGSRFGYIPLNDDALDQESNHPMKVIVGKEKKVFLVDPFILQEYPFQLLMNISMKKEPEKKDRFHFTRSHSQKRVIFVDVDVLLFEHMLWLMHNDASSLSQLNLKDIIDFYAQDM >OIV93007 pep chromosome:LupAngTanjil_v1.0:LG18:13016270:13018330:-1 gene:TanjilG_20669 transcript:OIV93007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLWIDDTTTTSSDLPYLWPPPTPSLPQPPPPPPLQQPLSNQDTLQQRLQTLTEGHTTTHVWTYAIFWQSSYNHSSSSTLLHWGDGYYKGTDDDKSKHNNTKKTSSAEQDHRKKVLRELNSLINGSSTENNIVYDEVTDTEWFFLVYMTQSFVDGSGLPGQALSNSNPVWVSGSDRLAGSVCERARQAQVFGLQTIVCIPVADGVVELGSTEFVFQNHDLMNNVRFLFNFNNNDNNRDMGSWPFTASTTTTSKDQCGNDLSLWLNDAEFRDSVNVNTNNTVVVPATTGNFSVSVSVSIPSLNNSHSNNNKAISKKTHFETSSTSTLTKTPSAINLPNKCHGQKNQQQQQGLFFRELNFSAYGFEGNSKKKSYTGANGNSSHFFSGQSQFAAAPTGAGENSKKSMSPTSRSSNDDGVLSFTSGVVLPASISNMRSGGGDSDHSDIEASVVKDPESSRVVELEKRLRKRGRKPAKGREEPLNHVEAERQRREKLNQRFYALRAVFPNVSKMDKASLMGAISYITELKSKLQNLESDKDGLQKQLDAAKKELEKNTKDSGASPPLDKDLKMLNNVGSYKLIDLDIDVKIIGWDVMIRIQCSKRNNPAVRLMMALMELDLDVHHASVSVVNDLMIQQATVKMGSIFYTQEQLCAALSSKVGDGK >OIV93472 pep chromosome:LupAngTanjil_v1.0:LG18:3903369:3908912:-1 gene:TanjilG_18688 transcript:OIV93472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQDRSKGIGVVLDHVHEGVDENLSILVEGVAIDAVDGGSLGGIACISGEVGTSNETGSGSGLNKELTEGAEEVKDRDEVKISDTSTVVDQGGSNNSNHLVNQEVLETVSVIEFIQNEYVNGENRKLEAKVTESGLSKVPVKTPKGSSDVNSCVIDINCGSHKGLSESSDGEKICRICHLASGRPSDATSVGIANDDSNADLIQLGCACKDELGIAHIHCAEAWFRIKGNRVCEICGETAKNVVGFADPGFMVEWNERRLMDNESNSSGRVFVHQQVNPLHYREVTSFTSDLPDCSFIGIFICASEKKLSNPMREIKVQKLVLNISVGESGDRLTRAAKVLEQLSGQTPVFSKARYTVRSFGIRRNEKIACYVTVRGDKAMQLLESGLKVKEYELLRRNFSDTGCFGFGIQEHIDLGIKYDPSTGIYGMDFYVVLERPGYRVGRRRRCKARVGIQHRVTKDDAMKWFQVKYEGVILNKSQNIS >OIV92664 pep chromosome:LupAngTanjil_v1.0:LG18:16029747:16031445:1 gene:TanjilG_18015 transcript:OIV92664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSSDSSSPNNSLHSFTQNPPTSDCDQTIQDQTIRGVVIDDTTKEGGTNAEDGKKEGSENIIANEPSRVLYTGECSGGGKTMAKKDKGKKSVVGEKRKFIPINDFFIDLRDGLSLPRELHQEGGNGIKRVKLHEGSSSQAKLRGMDQKGLMHQSESKFDYKSMPQEEGGKISENVNVEEGSPNEAARPKMELDLNKKIEDEEDIEEKLKMEMEAQKEIKMEVAHSEEKNEANPSDEVIVSAATAAEVETALKYDAAATEKLEVDGEPAEEPLNTQKKNDEVEVEAEPAEADLIEQQNDAADRIVRDIDLNELPPEYEEDN >OIV93414 pep chromosome:LupAngTanjil_v1.0:LG18:6176879:6178774:-1 gene:TanjilG_02951 transcript:OIV93414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWASSTANALKISSASRTHLSYASSLSRCFSTVLDGLKYATSHEWVKHEGTVATIGISDHAQDHLGEVVFVDLPEPNGQAAKGSSFGAVESVKATSDIYSPISGEIVEVNSKLTETPGLINTSPYEDGWLIKVKPSNPSELDSLLSPKEYTKHCDEEDASH >OIV93476 pep chromosome:LupAngTanjil_v1.0:LG18:4004179:4006983:-1 gene:TanjilG_18692 transcript:OIV93476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDSKSTGKVIKKQDSKKISSLSYQPPRKQNRKGENPTRLISTLDQSSDFGRSNSWICKNSACRAVLSIDDTFCRRCSCCICHLFDDNKDPSLWLVCTSESTQGDSCGLSCHIECALEREKVGVIDHGQLIQLDGGYCCASCGKVTGILGCWKKQLNIAKEARRVDVLSYRIYLCYRLLDRTSKFKELHQIVQEAKVKLETEVGPVNGVSVKMARGIVSRLSIASDVQKLCSLAIEKADSWLTTVQNVNTDSREGSLPAACKFVFEEVTASSVKIILIEISNVSSEGIKGYKLWYYKSGEELHTKEPVSVFPKSQRRILISNLQPCTEYTFRIISYTDSNDLGHSETKCFTKSIEIIQKNPSSSVVMNQRKYNLQTRGSSSGSKVELHPTMEDSGFKVRDLGKILRLAWAKEQACFEEFCCADKKICGGENEMVKPKTPQEKLPTVSRDLDLNVASVPDLNEELTPPFESSRDEDNGCSSLQAVEADDDAASLDIEKNGLARSHGSGDSQTWARGPAGEVAAVDSRLDICRKRLASRNEETHDCDSTLINDSPLCRSDGSITLDENFEYCVKVIRWLECDGHIKQEFRLKLLTWFSLRSTEQERRVVNTFIQTLIDDPSSLAGQLVDSFSDIISNKRPRNVFCNKAVTSN >OIV93205 pep chromosome:LupAngTanjil_v1.0:LG18:10525088:10527004:-1 gene:TanjilG_24420 transcript:OIV93205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGATSMARGGVIKRFLPGFRREVGFDQQHETSYGDVEFEFLDDIGEMSSLANSASSDEYQPNEIMEFEEDDGERFDSNDVDNRSFWDNQHQLLQASICRTSSLESRIRNATKEAIQEIKSTETACSCSRQMASATSCRSCFMREVSMRLQNAGFDNAICKTKWKSSPNIPSGEHTFLDLIDTTSSKRGDVIRVIIELNFRAEFEMAKASEDYNRLVRRLPELFVGKVERLSNLIKILCMAAKRCMKENKMHMGPWRKYRYMQAKWLGPCERTTSTTKVSMRYSERMPKPKAKASMLTVDLLEKLPNVHYTAVEVV >OIV92773 pep chromosome:LupAngTanjil_v1.0:LG18:14318990:14323001:-1 gene:TanjilG_00907 transcript:OIV92773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNAKGKGKYKDIVIGGDFGSSNRTKSFYPPIETHWSLPNSEGKKTSLSPIKALLSYPLMKLRRSKSMQLILEGARDSKDAQIVEDFRKMLLVEGLLPPQHNDYHTLLRFLRMRDFDMSAAKDMFLNYLKWREESRVDMLPKEFKFTEYTEVKKIYPHGFHGVDRDGRPVYIERIGMVDANKLMQVTTIERFIKYHVSEQEKTLRVRYPACSLASKRHIASTTSILDVNGVGMSNFSKPARYLFMEIQKINSDYYPETLNQLFIINAGSGFRMLWKAVKTFLDVRTAAKIQVFGSNYLSALLEAIDPGNLPTFLGGNCTCSDYGGCLMSDRGPWKSPELLEMIQAISMREGNGENGDVVSEDPLTPKKVDIQNKNECRTSLALEKTTCTLALQKINGLEAAIGDTKNIIKKLEDSLQDTKMALKELAQHIEELKT >OIV93611 pep chromosome:LupAngTanjil_v1.0:LG18:1192261:1192479:1 gene:TanjilG_04843 transcript:OIV93611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRISLKAKGKNSKGSKGKEDRTISESVKEWSTWALRKAKVITHYGFIPLVIIVGMNSDPKPQISQLLSPV >OIV92894 pep chromosome:LupAngTanjil_v1.0:LG18:15257970:15259385:-1 gene:TanjilG_01028 transcript:OIV92894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGIITGSSRKGLVFTDENSVNVRRYGKLKKEKDDFDGYNSDNNPRKNSPVMTMDAPHLDPQMIADNSPYDSTLSPSSKSPWSSHMNEESSTSNNEALVGSLVREEGHIYSLAATKDLLYTGSDSKNIRVWKNQKEFAGFKSNSGLVKAIVIAGEKILTGHQDGKIRVWKVSTKNETVHKRVATLPTLRNFIKCSMKPSNYVEVKRHRQVLWIKHYDAISCLSLTEDHSLIYSASWDKTFKVWRTSNFKCLESMTAHDDAVNALVVGFDGLVFTGSADGTVKIWRREVQGKGTKHFFSQTLLKQECAVTALVINEEGSFLYCGSSDGLVNFWVRDTNLEHGGVLRGHKLAVLCLATAGNLVFSGSADMGICVWKRSTLNNEHTCINVLSGHTGPVKCLAVEKDHDAMCNERRWILYSGSLDKSVKVWKVSENAATGHGGHNNHLAPRLSVDSYTRELPRVSSLRKMGSRRY >OIV92640 pep chromosome:LupAngTanjil_v1.0:LG18:16212196:16213164:1 gene:TanjilG_17991 transcript:OIV92640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYHRKSVAASLVQGVYVLEKDRQERRQGANALAPPWWVFFNFQLHSTLVDDVDSSIFGAIYEFNPSSSKCNDNLHRSPRYVIAFRGTITKADSVSRDIELDMHFVRNGLHQTSRAEIAIQAVRNMVASLGDTHIWLAGHSLGSAMAMLTGKTMAKSSIFIESFLFNPPYVSAPIERIKDKKVKHGLRIASSVITAGLTIAMKAKQHKKGLSSSFDSFDSLSAWVPCLFVNPSDPICSEYKGYFEHRRKMEEIGAGSIERLATQNSIGCLVMSAFGKESEPLHLIPSASLTVNLSPSRDFREAHGIHQWWKPDLCLESKLYKY >OIV93030 pep chromosome:LupAngTanjil_v1.0:LG18:12708261:12712363:-1 gene:TanjilG_20692 transcript:OIV93030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKENHKKENFSSDDDSSPRGVFETPVSGTESDNSGSSSDRCVFPSECSPPAETADTRRVGGFEGHMQQWKSMIDVLTFKSVRKFTAFPMLSANHDVSKKGSTKKLARIRSAEDTIDIGAIPTKPSWRNFDYAELAAATNDFSDENMIGKGGHAEVYRGCLPDGQVVAVKRLMKNEKDPGDRAGDFLSELGIIAHINHYNATHLVGFGVERGLYFVLQFAPHGSLSSVLFGSECFEWKKRFKVAIGVAEGLLYLHQDCPRRIIHRDIKASNILLNDNYEAEISDFGLAKWLPGKWSHHVVFPIEGTFGYLAPEYFMHGVVDEKTDVFAFGVLLLELITGRRAVDSDSRQSLVIWAKPLLETNNIKEIADPRLEEEYDPTEMNHVMVTASMCIHHVSSMRPYMNHVVKLLKGETPIELRQSSGQSKSLLLEACDLEDYTCSNYLKDLNRHKQLLME >OIV93382 pep chromosome:LupAngTanjil_v1.0:LG18:7066336:7073984:-1 gene:TanjilG_24102 transcript:OIV93382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGDVPEDANEHCPGPQSESAGKSDACEGCPNQQICATAPKGPDPDLVAIAERMANVKHKILVLSGKGGVGKSTFSAQLAFALAAKDFQVGLLDIDICGPSIPKMLGLEGQEIHQSNLGWSPVYVESNLGVMSIGFMLPNPDDAVIWRGPRKNGLIKQFLKDVYWGELDFLIVDAPPGTSDEHISIVQCLDATTVDGAIIVTTPQQVSLIDVRKEVSFCKKVGVKVLGVVENMSGLSQPITDLKFMKLTDSGEQNDVTEWVMEIIREKSPELLSLIACSEVFDSSGGGAVKMCSEMGVPFLGKVPLDPLLCKAAEEGRSCFADTRCVSSAPALKKIIDKLMETNGLSMLVSNGV >OIV93045 pep chromosome:LupAngTanjil_v1.0:LG18:12544855:12547517:1 gene:TanjilG_20707 transcript:OIV93045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQPTPEPERKPNPKDSSHAPNGEAVKKVSPGSESQPSPEISTERTASPRTAKKSVRWSPDLVTESTFESTPKESQSNPYFYYSFSSSASSSSVSEKVDIVKNVLGRWGRKVGEATRKAETLAGNTWQHLKTNPSFAEAAMGRIAQGTKVLAEGGYEKIFLRTFDTVPGEHLQNSFACYLSTSAGPVMGVVYISTAKLAYCSDNPLSYTTDDQTEWSYYKVVIPLHQLKAVNPSSSRVNPAEKYIQVISADNHEFWFMGFLNYENAVECLQTAFQAN >OIV93042 pep chromosome:LupAngTanjil_v1.0:LG18:12583436:12585464:-1 gene:TanjilG_20704 transcript:OIV93042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDSLDPATSSLLCCEDNSTCFDDDDLEFNDVVGSRILPFWAHTNLNSFNQCPSFVLQSEETVRVMIEREREHLPRDDYLNRLRSGDLDMSVRREAIDWICKGHAYYGFGYLTFCLAVNYLDRFLSAYELPRGKSWTGQLLAVACLSIAGKMDEIKVPQSIDLQVGEPNFFFDGKTIQRMELLVLCTLRWKMHALTPCSFIDYFLSKITYEKQPTKPFISTSIQLIVSIIRGIDFLEFRPSEIAAAVSIYVTREAQAKDIDKAIVGFSIVEEERVLKCVELIRDLSFNVSANLGSSNNYAPCVPQSPVRVLDTRCLSFKSDELTLGSCPNTSLNIPDTKMEKSDRPSYNGAFSSL >OIV92777 pep chromosome:LupAngTanjil_v1.0:LG18:14363015:14363308:-1 gene:TanjilG_00911 transcript:OIV92777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSCSRIAQRTITSLKSNTASSSSSSSFFKSTFTKSTSTPRSFILTHKNPISPQLGSLHSMLPFHTAVSSATMTSCLAANSTTLRSPLCFYTSLGP >OIV93626 pep chromosome:LupAngTanjil_v1.0:LG18:1343512:1350233:-1 gene:TanjilG_04858 transcript:OIV93626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNILSPPQLHINKPYHFTHRHGTFKKQFHSNRNRNVLQRSSPFTVLCNSNPSEDDFVSRVLKENPSQVQPKFLIGEKFYNLKEKQDFGKKSNVGIFDVLKRLKPLRKSDNVEQNKDLEEKKDSVYLKDLLKEYKGKLYVPEHIFGTKLSEEEEFDKNIESCPKMSIEEFAKALSKDKIKLITSKGDNGSYYRDFIVELKEIPGDKSLHTTKWVLRLDKNEAQSILEDYTGPRYEIERHTTSWVGKMPEYPHPVASSISSKVMVELAAVTFFVASAAILVGGFLAAAVFAATSLIFVATVYVAWPIAKPFLKLFLGIALAILERVWDNLVDFFSDGGIFSKIYEIYTFGGISSSLKALRPIMLVVLTMVLLVRFTLSRRPKNFRKWDLWQGIDFSRSKAEARVDGSTGVKFCDVAGIDEAVEELQELVKYLKTPELFDKMGIKPPHGVLLEGPPGCGKVNRPSVIFIDEIDALATRRQGIFKDSADEMYNAATQERETTLNQLLIELDGFDTGKGVIFLAATNRRDLLDPALLRPGRFDRKIRIRPPSAKGRHDILKIHASKVKMSETVDLSSYAQNLPGWSGARLAQLVQEAALVAVRKRHNSILQSDMDDAVDRLTIGPKRVGIELGYQGQCRRATTEVGVAITSHLLRRYENAIVERCDRISIVPRGQTLSQVVFHRLDDESYMFERRPQLLHRLQVLLGGRAAEEVIYGRDTSKASVDYLADASWLARKILTIWNLENPMVIHGEPPPWRKNVRFVGPRLDFEGSLYDDYNLIEPPLNFKMDDEVAQRTEELIREMYGKTVSLLRTHHAALLKAIKVLLNQKEISGEEIDLVLNNYPPQTPLHLLDEEEDPGSLPFITEPVLDLEYALQTQSKEETT >OIV93164 pep chromosome:LupAngTanjil_v1.0:LG18:11041124:11045521:-1 gene:TanjilG_20826 transcript:OIV93164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKKKLNGSTMTLKDFHGGSIPSDLPLPSAPGVTVRTADRSGYDRSSSWGAPMGRTDHWSRPHTSPAIRHYDERTPFFPHTPLIGRNFDEDERKPLDGGSAPRRTTRDDSIRAMPSRVDVKPMYGVGGSSLGRQLAPVSHVGTVNSYSARLTQAVHVGVNSQSVGGKSSNMEHGNAGGGGHTNVWAMRKEAASSVEPEQSSWSRPNAVSKLENASALEKVSSGRWQSKAVHHQTDPEVVRSSEVENGPCASVNGYNAYNREDAVGEREYYDAMLARHAERDLGMDSLMRGGRSELLDYERYGIPKYSEVRPRSIAHHANGVQLAQNGGKLRGSELQHPVPSEPNERPKQKLLPKVKPLERKEPYVTENAQVQGHANLVKPVSNGNENGKEAGQRLKLNLKPRSQPLEQLEGNAERERNALFGGARPRELVLKERGVDDAAINSYDVVDHSHRVGHNILRTEKPTDRSVQTRYGEKTDDVLHDQGTGRKPERKEQRVDAERVHAQRNWHGDNRRNVKETDRPQAIERPKSPETWRKPVNEPKSSPGGAGVRYGRAASAVELAQAFSRSVSDPKVNDRVSDQRGLNIGRMQVPFSRLVSPTSRPQINGY >OIV92963 pep chromosome:LupAngTanjil_v1.0:LG18:13457704:13460879:1 gene:TanjilG_20625 transcript:OIV92963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQQNDQSSQLYTKLHDLESGVPPILTSTMHSSVFPCVLKTLNCLFSLGLIFFIDGFLKKVFAFVEVELFGDLMGMIFILILLITLYWASPSSASLFASLFEPGVFFIHKWLPLFYAPYLVILPLSLKDIPSSSGINVYLALGLGLVASLPVIGYIAILVTENLKTGFEESEDMENPSPDSAQPEQSLSTIYGEPMGKSSTFSSIGVWAWTGIFHVSFVASLFYPTALGTKARTCIPFLLASSVLGHIVGSRLPSKVKKVFNPVIFCAASTILTAYAFGCFTKSGLDSVLGHYVTNSSSNPGAGDILMGFLGPVLLSLPFCMFQTQLVERHYSKILTSMIIFQVNVMLSVFLVGPLLVLEPCLTDSILPKCLTVLLALFVISLFKGANSSVATASFVVSAVFGANYVQSTFLDSITGMPPFSVSDFGIAPSPAQEPRTLVTIIAYVLKCLVFVGERMNGVFG >OIV92629 pep chromosome:LupAngTanjil_v1.0:LG18:16261066:16262964:1 gene:TanjilG_17980 transcript:OIV92629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSMRCCANYVPLSPITFLQRSAILYPHRLSLVFGDYVSYTWSLTLQRCTKLASSILNLTLSPLPVVAVLAPNIPAMYELHFAVPMAGAVLCTLNTRHDSSMVATLLKHSEAEILFVDYQLLDTAQGALEILSKTTTKLPQLVLILECGQTSPHISAPPRTLIYENLIAEGNLEFEVRRPKDEWDPIALNYTSGTTSSPKGVIYSHRGAYLNALAGALLNEMKSMPVYLWCVPMFHCNGWCLPWTIAAQGGTNVCQRNVTAEGIFDNIFRHKVTHTGGAPTVLNMIINSPLEVRKPLPGKVSVMTGGAPPPPEVLFRMEELGFNVIHSYGLTETYGPGTVCTWKPEWDDLPRDAQAKVKARQGVHHLGLDGIDIKDPVTMKSVPADAKTIGEVMFRGNTVMNGYLKDLKATQDAFKGGWFRSGDLGVKHPDGYIELKDRSKDIIISGGENISSIELEGVIFSHPAVFDAAVVGRPDEYWGETPCAFVKLKEGQSATAEEIMQFCQNRLPRYMAPRTVVFTDLPKTSTGKTQKFVLKEKAKAMGSLSKKKSSRL >OIV93168 pep chromosome:LupAngTanjil_v1.0:LG18:10984128:10985229:-1 gene:TanjilG_20830 transcript:OIV93168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHACDDRLYMSLDELLDFNGENGSNRKMMGKKNYDNGETTVFKSKNLETERRRREKLSSRLLMLRSLMNKLTIVDDAITYIKVLQDKVQNLSKKLYEMDATLEAEIPEPKILEIDAAQEMKNWGIQEEVRVTQIDGNKLRVKMVIEKKRGRLGKLMEAMNGLGIEFIDTNVTTIKGAFLITACIKGMDGETLEAQQTEEVLIDINSAT >OIV93239 pep chromosome:LupAngTanjil_v1.0:LG18:10303182:10306076:-1 gene:TanjilG_27418 transcript:OIV93239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWCNESDDEERGIKLMAPTIIPRTSNNSVANETKPTQIRTITCPSCGYSIEFQDQGGILDLPGLPAGVKFDPNDHEILDHLEAKVLSNVPKLHPLINEFIPTLEGENGICYTHPEKLPGVSKDGQIRHFFHRPSKAYTTGTRKRRKVHTDEEGIETRWHKTGKTRPIFVGGVVKGFKKILVLYTNYGRQKKPEKTNWVMHQYHLGTNEEEKDGELVVSKIFYQTQPRQCGNSIIKDPFENQGLDHQSVHDDNIMHKNNAALGDYYNPSFINYDHVESSLQLIPNLVMQGASSSFIRLATMDANKGRLDRKY >OIV93694 pep chromosome:LupAngTanjil_v1.0:LG18:398707:399858:1 gene:TanjilG_16545 transcript:OIV93694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSIIKDAFDLVTKTRNLSSSKTDKVIDAIGLEIEIALNTMRYTEHGLDCKAVLNKLKNRLLGIAPLSRMEDIQKGLNAQLSKHGKLLEKYFNPNISKAYENFHIDTHTLNQIISNHFYHEGFFDVGDHFVSVVGEPESAAIMKSSFLEMYQILEAMKDKNLEPALNWAATNSDKLAQSDSDIVLKLRSMEFMKVIQNGSRDEAFDYARTYLSPFASTHTADIQNLMICLLWIGKLDQSPYNELTSPSNWERLAEDLKRQFCNLLGKSYTSPLRVTVAAGVQVLPIILKFLTIMVEMKQDWHSMNQLPVPIDVDCEFQFHSIFVCPVSKIQATDDNPPMLMPCGHVLCKQTILMMSRNSTRVFKCSYCPSDIDSAQCRQLHL >OIV92982 pep chromosome:LupAngTanjil_v1.0:LG18:13266395:13271031:1 gene:TanjilG_20644 transcript:OIV92982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELFPAQPDLSLQISHPNTKPTSSWKRSTTDEEVDLGFWKRALDSRKSLSSSMSKTDATCFDLSLSYPTRASSNTNNNINPFENKNINNANTTNNNNINNPFLSFHQNHYFHQQHPLVFQPQQLQQQQHQSLSQELGFLRPIRGIPVYQNPPPTMTFPQLQHHRHLLDVSSTAPSPISNTTTTSSFHHSQALMRSRFLSRFPAKRSMRAPRMRWTTTLHARFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQMYRTVKTTDRAAASSGHSDVYDNGSSGDNSDDIMFDINSSRRSDISIKQGKPNVNQDKEYHGLWSNSSREAWLQGKPKSDSVRNVPSLEKNTDPKCLSYERISDRSSSSNNSGSSPKKLNLDLEFTLGQPL >OIV92774 pep chromosome:LupAngTanjil_v1.0:LG18:14328892:14330268:-1 gene:TanjilG_00908 transcript:OIV92774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLPLTHSLSITQFNATHHLLKSTSTRSLARFHRHHNHHHRRHQSHQLSLPLSPGSDYTLSFNLGSQPITLYMDTGSDLVWFPCSPFECILCEGKPNPTKPHNLTLSQSHTVSCQSHACSAAHNHVPNSNLCAIARCPLDSIEVRDCSSVTCPPFYYAYADGSLIANLYRSNLSISSLRLNNFTFGCAHTTLAEPTGVAGFGRGVLSFPAQISTLNPKLGNRFSYCLVSHSFEGERVNPPSPLILGRYDNAGGEEVEFVYTSMLRNPKHPYFYCVGLVGISVGKKTIPAPEMLKRVDYKGSGGVVVDSGTTFTILPASFYNSVVDEFDNRVGRVHVRASEIEKKTGLGPCYYLDRVSEVPTVSFHFVGNGSSVVLPTRNYFYEFLDGSDGVRRTRKVGCMMLMNGGDETELSGGPGATLGNYQQQGFEVVYDLEKQRVGFARRHCASLWDSINHVKN >OIV93473 pep chromosome:LupAngTanjil_v1.0:LG18:3942755:3947954:1 gene:TanjilG_18689 transcript:OIV93473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACHSNFSCSAFSSFLITNNTNHHPFSEFKFNSPTSNTSSSSSSSSRSYTRRWFNPLRRRNSDNSSEIQRHWIEAPNQSHASPERFTVASYNILADRNAKQHSDLYINVPSRYISWDHRKTVISNELFGWDPDILCLQEVDKYVELSDILVKAGYTGTYKRRTGDTADGCAMFWKADKFRLLEEEGIQFKDFGLSDNVAQLLVFEMCESESRRLIVGNIHVLYNPNRGEVKLGQIRFLLSRAQALSDKWGSAPIVLAGDFNTTPQSGIYKFLSSSELNILLYDRKELSGQKSCRPAQVLGEEKETLRPFILPDGLLKCWTDEEVKVATGDSKRYLAVHSLKLNSSYATLNGSTSTMRFSGEPLATSYHSKFLGTVDYLWYSEGIVPTRVLDTVPIAELVRTGGLPCKTAKNIHLCGLLPPSLPVFSRNRSLGLKVYFLFWYKNIGLAESRDNDKVVALRPRGHEFKS >OIV93235 pep chromosome:LupAngTanjil_v1.0:LG18:10241257:10247136:-1 gene:TanjilG_27414 transcript:OIV93235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKISKSTCKSTSHRLFKDKAKNRVDDLQLMFLDLQFARKESRTVDAAVLEEQVHQMLREWKAELNEPSPASSLQQDGSLGSFSTDICRLLQLCEEEDDASSPLAAPKPEPKPEPNDQTPQTGAKVVFQEGQQQHDFPLVDECKHSTSAVQTVAANNSDGPALEYHQFDLHQDFDPNFYTAFNGTGYFEEDAIPHISSYLPSICPPPSAFLGPKCALWDCPRPAQGLDWCQDYCSSFHAALALNEGPPGMAPVLRPGGIGLKDNLLFSALSAKAQGKDVGIPECEGAATAKSPWNAPELFDLSVLEGETIREWLFFDKPRRAFESGNRKQRSLPDYSGRGWHESRKQVMSEFSGLKRSYYMDPQPLNHFEWHLYEYEISKCDACALYRLELKLVEGKKNSKAKVTNDSVADLQKQMGRLSAEFPPDNRRPAKGRAKINAKVGMGSVYSTSNRVAPLNGTYEYGLAAPYDYLVENMGDYYGT >OIV93089 pep chromosome:LupAngTanjil_v1.0:LG18:11889178:11892130:-1 gene:TanjilG_20751 transcript:OIV93089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIIQSGWQYLITHFSDFQLVCLGGFFLHEGVFFLSGLPFIFLERVGWLSKYKIQAKNNTPAAQEKCIARLLLYHFSVNLPILLFSYPVFRYIGMRSSLPLPSWKVVLTQIILYFILEDFIFYWGHRILHTKWLYKHVHSVHHEYATPFGLSSEYAHPAEILFLGSATIFGPAITGPHLITLWLWITLRVLETVEAHCGYHFPWSLSNFIPLYAGADFHDYHHRLLYTKSGNYSSTFTYMDRIFGTDIGYRKLKALKNTGVEDSNQQKRQ >OIV93500 pep chromosome:LupAngTanjil_v1.0:LG18:3586022:3588213:1 gene:TanjilG_11082 transcript:OIV93500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKFNSRSKPSPLLSNGCIFLGGALSTLLLVWGLCSFIIPIPNSDPKLDLVATKLKSLNCTTDTITIVAPDTLHDALERTFYDDPEMAYTMDNPMKNWDEKRLEWLQHHPSFLAGARERVLLVTGSQPSRCRNPIGDHLLLRFFKNKVDYCRLHNYDIFYNNVLIHPKMNIYWAKYPMVRATMMAHPEVEWVWWVDSDAVFTDMEFKLPLNRYKNHNLVVHGWEELIHLNRSWTGLNAGVFLMRNCQWSLDFMDAWTSMGPQSPEYEKWGEVQRSTFKDKVMPESDDQTALAYLIAVEKDKWTNKIYLEDNYYFEGYWLEIEKTYNNISKKYNELERQVKGLRRRHAEKVSETYGAMREGYLNNIGQWRRPFITHFTGCQPCNGHHNPNYAAEDCWNGMERALNFADNQVLRKYGFVHNSLMDKAVSPIPFDYPAV >OIV93180 pep chromosome:LupAngTanjil_v1.0:LG18:10827164:10828078:-1 gene:TanjilG_20842 transcript:OIV93180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDLFSSSFKKYSDLKQQAYVDDVEAGKETINLDKFFEDVENVKEDMRTVEKLYRKLQEANEESKTVHNAKTMKDLRSRMDKDVEQVLRKVKLTKGKLEALERSNAANRNLPGCGPGSSADRTRTSVVGGLGKKLKDMMDDFQGLRARINLEYKETIERRYFTITGEKADEETIENLISSGESESFLQRAIQEQGRGQIMDTISEIQERHDAVKEIEKNLIELHQVFLDMAALVESQGQQLNNIESHVAHASSFVRRGTEQLQEAREYQKNSRKWTFYAIILGIVLVIVLLFPLLTSLIPHLLI >OIV93046 pep chromosome:LupAngTanjil_v1.0:LG18:12527706:12528131:1 gene:TanjilG_20708 transcript:OIV93046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKKVPARAVPAMTTLLRENADEGVSDGGGCGAGPSGPGAAAPGPDAGELEVGALDGVEAGVGDGGEVVVGAGEGACVGGGGGGVAVGAGAGAEFGGGDGGVAVVGGVVGGGVVVVGGWVGAALGPCAMHEVAKSPKIINT >OIV93586 pep chromosome:LupAngTanjil_v1.0:LG18:940006:942274:1 gene:TanjilG_04818 transcript:OIV93586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSNKHGSSSSGGGGVEVRASHILIKHEGSRRKASWKDPEGRVIKNTTRESAVSQIKVIRDDIVSGKVKFEDVAARCSDCSSAKRGGDLGPFGRGQMQKPFEEATFGLKVGEISDIVDTDSGVHIIKRTK >OIV92907 pep chromosome:LupAngTanjil_v1.0:LG18:15409148:15410264:-1 gene:TanjilG_01041 transcript:OIV92907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTLCSEFLSAGSWRKLKKTVIVKDNGRKEKEVITEQDLEKLPYLKALILEALRRHPPGHFLLPHVVSEDVVLNGYLVPKKGTINFMVAEIGWDSQVWEDPMDFKPERFLNDDCSEVRVFDLTGTKEIKMIPFGAGKRICPAYKLALLHLEYFVANLVCNFDWKVPKGGDVDLSEKQEFTVVMKNPLRVHISRRTHH >OIV93005 pep chromosome:LupAngTanjil_v1.0:LG18:13029460:13032962:1 gene:TanjilG_20667 transcript:OIV93005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCIAKPPPTESKEGKDQMNPPPPLAPDPVSQSLDSVGGASASGDAPMLLTVAPPSGDHIYLSDHLKEAHYYSPFQSSLKDNYSSWPSWQGSDEKSQVWDPEQNRTNDQNWLHCTGLIAPSRIEDLPSRVGAGLLNLGNTCFMNAILQCFTHTVPLIEGLCSSSHTFPCDCYHNEFCVICALRAQIELSLAASGRIVSPETFVQNLTYFSSSFTRYQQEDAHEFMQSALDKLDWCFLNLKENNKSFEDANLVEKIFGGRLISKLCCSNCGHSSNTYEPLIDMSLEIENVDSLPSALESFTKLEYIEANFKCDGCKKEVSLEKQLLLDQTPSVAAFHLKRFKTDGTFVEKLDKHVDFPLELDLQPYSVSNQNSIEPMKYDLYAVVVHLGLSATSGHYYCYVRSAPDVWHRLDDSQVTRVSADYVLSQEAYILFYAQHGTPWFSSIMESRMQCLDPSIWNTSPKSVLDRVDSSHKSNPIITARDEASESKESSEKEFDYSCKVRLELLKVNDTAVASHGCEQFPSKPNQEIVGLYVSALVDENSYVESGGADKNRCCQVVFDFGKNNDGFSHQTPPSLPNHDSPVRSYHNTRNHLKQNSGSGKRPKSTANSEREAAMRYARKLRRSRRDAIYELLVRSGKVLNKRKEVDSPLCTEDSNHSVPKKSNHASVMCPVAAGGSSQ >OIV92584 pep chromosome:LupAngTanjil_v1.0:LG18:16488592:16489773:1 gene:TanjilG_07575 transcript:OIV92584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTRLNVILVIATTLLVLAGCSFQSYEAAESKGSFDDNFSIMWSQDHFTTSKDGQIWYLSLDNDTGCGFQTKQRYRFGWFSMKLKLVGGDSAGVVTAYYMCSENGAGPERDELDFEFLGNRTGEPYLIQTNIYKNGTGNREMRHQLWFDPTQDYHTYSILWNTHQIVFFVDRVPIRVFKNNGKANKFFPNEKPMYLFSSIWNADEWATRGGLEKTNWKLSPFVSSYKDFSVDACQWKDPYPDCVSTTTNNWWDQYSAWHLSADQKNDYAWVQRNLVIYDYCNDSQRFPTLPEECSLSPWD >OIV92871 pep chromosome:LupAngTanjil_v1.0:LG18:15053669:15060621:1 gene:TanjilG_01005 transcript:OIV92871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTREADDASPAVKDVLLVIDSLKKHVAAERVVSVKKRMEENRQKLVGVTNHLYNTSMDRRTCNITDSHSSLDLLEKRQKEAMDMHNGVHESNGDTESNGYHEDSHGSTAVLLGSNVAVKNAVRPIKLPEVKRLPPYTSWIFLDRNQRMTEDQSVVGRRRIYYDQNGGEALICSDSEEETMEDEEDKREFLESEDYILRMTVREIGLSDIVLDLLAQCFSRTTTEIKARYETLSNEDNAGGGSKNANTEENSKCGNSFLEKDLEAALDSFDNLFCRRCLVFDCRLHGCSQDLVSPAEKQSMWTPTDTESAPCGPNCFQLVLKSERFSKAPSTSQAGSEEKSSGGSSSRKKSSARRQMKCSQSENASSNAKNISESIDSENGPGWDAVSASHLAPPTTKSVGRGGIGKRNSKRVAERVLVCMQKRQKKTVASDSDFIGGALDQSSDDMVTTDSPVMSSEDNLRKEELVDENICKQEPTDNKSWKALEKGLLEKGMEIFGRNSCLIARNLLNGVKTCWEVFQHINCEEGKMSGPGGDAENYFLEGYSKGNNEVRRRSRFLRRRGKVRRLKYTWKSAAYHSIRKRITDKKDQPCRQYNPCGCQTACGKQCPCLLNGTCCEKYCGCPKSCKNRFRGCHCAKSQCRSRQCPCFAADRECDPDVCRNCWVSCGDGTLGIPSQRGDNYECRNMKLLLKQQQRVLLGRSDVSGWGAFLKFVLDAYRKGDKLKFANHSPDPNCYAKVIMVAGDHRVGIFAKERIGAGEELFYDYRYEPDRAPAWARKPEASGSKKDDGAPSSGRAKKLA >OIV93304 pep chromosome:LupAngTanjil_v1.0:LG18:9102645:9104736:-1 gene:TanjilG_13131 transcript:OIV93304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFRSYSDNPNGDGMNGKPPENVSLMRQSSIYSLTFDELEHTMGGFGKDFGSMNMDELLKSILTAEEAQAMASSGAGGGGDCFNPSVNLQRQGSITLPRTLSQKKVDEVWRDLIKNESSNVGSSLPQRQPTLGEITLEEFLARAGVVREDMPQPQPQQIGRPNNNAWFGDFSRPNNSNTGLILGFQQPNTSNGNLSNNRILDGTNLVPEQIPPSLSLTSNHSKQHQQPPLFPKPANVAFATVSAPMHLLSNAQLAGPGTRGGVIGFQGPSMNGTLAQSRGLQGAGMGGLITANVTAPGASPARKISPDVIANSNVDTSPLPPVPYVINRGRKCSAVEKVVERRQRRMIKNRESAARSRARKQAYTFELEAEVAKLKELNQELQRKQAEIMKTQQNQDLDPSYRPWISKRQCLRRTLTGPW >OIV93593 pep chromosome:LupAngTanjil_v1.0:LG18:997802:998404:-1 gene:TanjilG_04825 transcript:OIV93593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANNGELGVHRILYSHNYGFIPTTLCQDNDPIHVLVLMQEPVIPCCFLRARAIGLMPMIDQGEKDDKIIAVCADDPEFRHYWDIKELPPHRLAEIRRFFEDCILLYLHVKEQLY >OIV93169 pep chromosome:LupAngTanjil_v1.0:LG18:10975179:10982250:-1 gene:TanjilG_20831 transcript:OIV93169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFNNLSPFFMLLLLTSCYHSLDTITTTHPIKDGDVLVSNNRGTFALGFFSLGNSSSRYVGIWYNKVPQQTVVWVANRDYPLHDTSGNLVLTQNASSNNSVIWQSFDYPGNTLLPFMKLRLDRKTKLNRFLRSWKSPTDPGTGNMTCMIDPSGFPQLFLNKNNSPLWRGGSWTGQRWTGVPEMTNNFIFIVSFIDNADEVSITYGVKDPNVFSIMVLEETGHLRRLTWQAREHRWFEIWFAPKEECDNFRRCGSNTNCNPYNADKFQCECLPGFEPRFEREWYLRDGSGGCVRKNNVSTCRSGEGFVKVARVKVPDTSMARVDEKLSLKECREKCLSDCSCAAYTSSNEISESGCVSWHGNMEDTRTYSEVGQSLYVRVDKLELAKYAKHPYGSLGKKGIIAISSVSICLLVFMVVIFWYWFVKARNQWQRRDRKYSFRLTFEDSPSLHEFNSTQNSDLPFFDLSSIAAATDNFSTDNKLGQGGFGSVYKGQLSNGMTIAVKRLSKYSGQGIEEFKNEVVLISKLQHRNLVRILGCCVQGEEKMLIYEYLPNKSLDSFIFDETKRSLLDWGKRFDIICGIARGILYLHHDSRLRIIHRDLKASNVLLDSAMNPKIADFGMARIFGGEQIEGNTNRVVGTYGYMSPEYAMEGNFSIKSDVYSFGVLLLEIVTGRKNSGQYEDITSTNLVGHIWNLWREGKAMEIVDQSLGESCSDHEVERCIQIGLSCVQDYALDRPSMSIVVSMLSNIESTLPTPKYPAFIFKKTPHDSSNPSTSEGIYSVNEDTVVN >OIV93075 pep chromosome:LupAngTanjil_v1.0:LG18:12128464:12134866:1 gene:TanjilG_20737 transcript:OIV93075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNNSSNGLPPSSSGDASPPPTPPSPPDDSEPPQSSPPKSPPPSQPPPPPPKSSPPPSPSQSPPPPSSSPPPESSPPPQQSPPPPPKSSPPPTPINSPPPKNSPPPKNSPSPTTSPPRPSSSPPTPPPSSTPPLPSTPSTPPSPSHTASPSPSPSQQSSPPQPLTPPPPSNTSPPTPTPTPTPTLTPPAPAPNVTPATAPPPGSVINPPSVSPSGSLSKPSDTPSSSPSPSSSRGASHNNTGKIVGLTLGGVAVLAVVALLLLLLFRRNKKRGNHYALPPPNKFNHMNGGADVRYYVEEHGVGNGSQDKFYSTQLASPGTNYSDPASQPMGQILFSYDKVLEITDGFSSKNVIGEGGFGCVYKAFMPDGRTGAVKVLKAGGGQGEREFRAEVDIISRIHHRHLVSLIGYCISEQQRVLIYEFVPNGNLSHHMHGSGVPVLSWEKRMKIAFGAAKGLAYLHEGCNPKIIHRDIKSPNILLDDAFEAQVADFGLARLTDDANTHVSTRVMGTFGYMAPEYATSGKLTDRSDVFSYGVVLLELITGRKPVDPTQPVGEESLVEWARPLLLRAIETGDFSELVDPRLEGNYADSEMSKMIEATAACVRHSAPKRPRMVQVARALDTRDQYDLSNGVKYGQSTVYDSSQYNEDLMRFKRMANGSFGDSELDMCSTDYSSKDMSGPQYKWSQRTSSSGSEAKAYYSLSNSAAENRS >OIV93195 pep chromosome:LupAngTanjil_v1.0:LG18:10623114:10628814:1 gene:TanjilG_24410 transcript:OIV93195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMSLEDCNQICYKDPVLKDRQWSAYIDRSPGAVSYSEECFHACVSGCGYKFEVEIDEVDKVCPNRPPKPEPEPVQKPKPQPVDPIDPPGDISATSA >OIV93327 pep chromosome:LupAngTanjil_v1.0:LG18:8650660:8655928:-1 gene:TanjilG_23099 transcript:OIV93327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRGKQLEHGDGEAVIIGGMVLDIHATPSTHANPRTTTPGKVYYVHGGVARNVAECMSKLGAKPYMISALGFDMAGNLLLKQWNSAGLSIEGILKDKDIETPTVCNIFDVNGEVAAGVASVEAIEKYLTPDWILRFKSTLNSAPVLMVDANLSYPSLEASCKMAADLKCPVWFEPVSVTKSRRISSIVKYVTFASPNEDELIAMANVLSGGDEFSPFKEKLNKNTLSTVSLFQMLKPAIWVLLEKGIKVVLVTLGSDGVFLCSKGGPNCVKIPVDKTNQCGFGGQLYKTVMQLCPPSRYSGFSEYDRSSHLFAMHFPSVPASVVRLTGAGDCLVGGALTSICAGLDIMQSVSVGIAVAKAAVEVEANVPSDFSLAAIADDAKSVYSGAKVIFHQSML >OIV93458 pep chromosome:LupAngTanjil_v1.0:LG18:5667196:5668530:1 gene:TanjilG_10090 transcript:OIV93458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLFTIPRSFRLLEELERGEKGIGDGTVSYGMDDADDVYMQSWTGTIIAPPGTVHEGRIYQLKLFCGQDYPDNPPSVRFQTRINMTCVNQETGVVEPHLFPMLANWQRECTMEDILMQLKKEMTSPQNRKLAQPPEVAVSVG >OIV92993 pep chromosome:LupAngTanjil_v1.0:LG18:13137570:13140155:-1 gene:TanjilG_20655 transcript:OIV92993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKENDSVQVQQHAPIASKFPLNFWESMVASTVLLGFGLGLAGVYLTLPDSDYSFLKLPRTLEDLQLLRDNLEIYTSDYTAQVLVGYCMVYIFMQTFMIPGTVFMSLLAGALFGIFKGVALVVFTATAGASSCFFLSKIIGRPLLSSLWPEKLKFFQNQVARRRKSLLNYMLFLRLTPTLPNTFINFASPIMDVPYHIFFMATVIGLIPAAYVTVRAGLALGELQSIGDLYDFNSIATLFLIGIVSVTPTLMSKDE >OIV92846 pep chromosome:LupAngTanjil_v1.0:LG18:14888123:14889512:-1 gene:TanjilG_00980 transcript:OIV92846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSGTFISLSSLMCEESEASFFEDDENTSIDSLYNPSFVLDDEEEYIEYLFTQEMGFGSQSHHVLSSNHVCSKRLWLRSARLDAIHWFFNTQVKIGFKVHTAYLSMTYFDQFLSKRSIDESKPWAIKLLSVACLSLAAKMEEQNVPPLFEYPTEEYRFESKVIKNMELMILSTLEWKMGSVTPFHYLHYFVSKFFPESRPEIIIIKATEHIVTMVKDINLMDQRPSIIASAAVMAAFDATLTRNGMDYRLSAISSWGNIERQKRNIVKTPSSNLLSTHSSSTCVVENPFDTSSGTKRKLTFENGENSPRQKLHRP >OIV92879 pep chromosome:LupAngTanjil_v1.0:LG18:15127834:15134040:1 gene:TanjilG_01013 transcript:OIV92879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPTAGTKFVSVNLNKSYGQHSSSYGSNQNRANGHGGMVVLSRPRSSHKASGAKLSVPPPLNLPSLRKEHEGFDSLGSGNAPSGVAGSGSGSRQVSSVMGWTKPATIALQEKEHFAEPAMDGFSRGSSVYMPPSARSVVTVGPVTPALVQSQGNEQGRKQKEYFPGPLPLVPLKPRSDWADDERDTSHAFTDRNRDHGFSRNEAYWDRDFDMPRVSVLPQKPAHNFDKRGQRDRGSERNAWDRYAGSEQHNRSRVDSVQTSVSRSSFALGGKGLPINDPLLNFGRERRSLPKSEKSFLVDPFTKDFGASDFDRGDAILVGVVKKKKDVLKQSDFHDPVRESFEAELERVQRMQEQERQQIIEEQERALELVHREEEERLRQAREQEERQRRLEEETREATWRAEQEQIENLRKAEELRLAKEEEKQRIQIEEERRKQAAKQKLLELEQRIARRQVEAVKGGSQSPISADEKIPGFVKEKDASRATDVSDWDDSERMVERIITSASSDSSSVNRPLDTGSRTHFSRDISSNFVDRGKPVNSWRNIYENGSSYAPYLPEHDNGNNNYGPRRDSSIVGKPFMRKDYYGGAGLISSRNQNKGGISGSHLDEYAQLQGQRWNQSGDGDQFSRNTEIDSDFHDNFVDRFGDGWSQSRSRGNSFPIYPERSYPNSESDGPYTNLGRSPYSVRQPRVLPPPTLASVPRSHRIRNEYPGPSAFLDNEIRYDQAASGGSTIPTEVVDALQETTDNKDRDTAHRCDSQSSLSVSSPPSSPAHLSHDDLDGSGDSPAILISEESKNGALSAPENVSTLINVRAQNVNAVVSSCAVSAAVDEEWTTENHEQFQEQEEYDEDEDYQEEDEVHDNIDLNRELKELSLEEKGSPDMMDNLVLGFDEGVQVGMPNEEFERTLKDEETTFMALQDSISTLDEHVSFDNACNDAKVPQPVDDSSQVNLNNNSSVFEESENMGPNVSTSGAIAIAPHSSVGPNVMSSVAAPSQAEPIKRQFGLFSGPSLIPSPVPAIQIGSIQMPLPLHPPVGAPFSHMHPSNPPLFQFGQLRFTSPISQGIMPLGPQAMSFVQPNTASEFSFNHNRGGQVSVQNSPDTSDRFIQNESMPYSVDNQQGITRHLSRGSLPSENAENINGLKKGTNSIVIVTGLPVDTQRSQNSVGNTSPATANESNGQPLARDASIHSVPKEKDFMDSKAHHPVSVGRGRRYVFSVKTPGSRSSGPAATNHSDSRGFLRRPHRNIQRTEFRVRETAKKRQSCSSVLSDQENAENINGLKKGTNSIVIVTGLPVDTQRSQNSVGNTSPATANESNGQPLARDASIHSVPKEKDFMDSKAHHPVSVGRGRRYVFSVKTPGSRSSGPAATNHSDSRGFLRRPHRNIQRTEFRVRETAKKRQSCSSVLSDHFGSDNNSNINGRGAGISGGTGPRKTSADKLGKQTVESTTENSQQIDSRSRTDKVDGKESTKTRSISHFGHSNLNRTLCSEEDVDAPLQSGIIRVFEQPGIEAPSDEDDFIEVRSKRQMLNDRREQREREIKAKSQSTKMPRNSRSTSQNAAALVNNTRGSISTGETANGARAHLVATEGRIMVKAHVSSGFDSSLSSQPLAPIGISPLKIGAHSDLRSQTSRTLKTSLPAVGGGKGSGPDVDDAQTSLGSWSHVQISHSQQVMALTQTQLDEAMKPQQFNSQTPLGDLNSAVNESNLPTSSILTKGKTFSSASSPINSLLAGEKIQFGAVTSPTVLPSSRRSVSRGIGPRHSSRSDGQVSHNLDGSENDSSPFFNKEKHNNESHGQLEDCEAEAAASSVAVAAISNDDIVGNGLGTCSSSG >OIV93366 pep chromosome:LupAngTanjil_v1.0:LG18:7456511:7457365:-1 gene:TanjilG_21077 transcript:OIV93366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAPVKSQPLHNFPLSFLKWGSTTTAKNNHPNSNNHHHHRFRRPTSSSDLPPSLSPDHPSDADSEPPRFGPRTSRNNRFAFRSSPKQLSNNDQTEDEASETKTEGAVAEAEEAIQKPWNLRPRKQSAAPVVAIGVKNGNGEVRERVHSAAENNAGTVKSLRVRGDTSMVMQCGERKKELKKNKFWIALSKEEIEEDIFVMTGSRPNRRPKKRPKNVQKQMDFVFPGLWLVGVTADAYKVEETPSKVLP >OIV93445 pep chromosome:LupAngTanjil_v1.0:LG18:5308869:5311455:-1 gene:TanjilG_10077 transcript:OIV93445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKSASEFSVAPFLNKCYEMVDDPFTDDIISWTKTSNHSFVIWDMTKFSLTLLPSYFKHNNFSSFIRQLNIYGFRKVDTDCWEFANDNFVRGQKHLLKNIRRRKHPHIVDQQKPLPQLENSDKPSSQEAANHDLRKDIENLKSDKNSLVQEFVKLRKCQESAESKMLLLGGRLEGMEECQQQMFSFLAMVVQSPGFMVKLFQPQENNWCKSEPGNMLDQGKQDDFPAVSDGTIIKYEPPVGEKRKPIAPLSPRFVKQPEPEIFADGLKDYCISSEFLKVLMDEKLSPLDNHSPFLLPDLPDDGSWEQLFRGSPILENIDDFNQESKEPTASGMDIETTMSETSNEESQTFESLIEELEKTQKSRLELCSDGVHLEELQSLESLTEQIELLLSETDNDEEGTRK >OIV93071 pep chromosome:LupAngTanjil_v1.0:LG18:12181184:12182932:-1 gene:TanjilG_20733 transcript:OIV93071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTMNHLFDLPDQICYIQCGFCTTILMVSVPCSSMSMVVTVRCGHCTSLLSVNMMKASFVPLHLLASLSNLEPKECSPEEDMNKTLNNSNASMMTYSDCEEEDVIPINNVVNKPPEKRQRTPSAYNRFIKEEIKRLKAEHPDMAHKEAFSTAAKNWANSPPTQCKGDEESCSQTEPLLDLDSHLDPNDAEVNEEGQGFRGRKVPRNSILGRMPFN >OIV92932 pep chromosome:LupAngTanjil_v1.0:LG18:13687721:13690669:1 gene:TanjilG_20594 transcript:OIV92932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEPSSKSKPWRPFTANCCTSEDQTIFRNFSRCKTSRSDFSKNIAPLPSFRRLSFSDLSRSSSIRINEDLAQSFGADLYDFQLSELRAITQNFLSNFLLGEGGFGTVHKGYIDDNLRQGLKAQPVAVKLLDIEGLQGHREWLAEVIFLGQLRHPNLVKLIGYCCEDEERLLVYEFMPRGSLENHLFRRLTSLPWATRLKIAIGAAKGLSFLHGAEKPVIYRDFKTSNVLLDSDFTAKLSDFGLAKMGPEGSKSHVTTRVMGTYGYAAPEYISTGHLTTKSDVYSFGVVLLELLTGRRSMEKARPKAEQNLVDWSKPYLNSSRRLRYIMDPRLAGQYSVKGSKEMALLALQSISLNPKDRPRMATIVETLESLQQFKDMAVTSGHWPAASKSTRNGASNKVKNRAVANHKQPSPPVSSKKT >OIV92864 pep chromosome:LupAngTanjil_v1.0:LG18:15010925:15014073:-1 gene:TanjilG_00998 transcript:OIV92864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLFALQQNYLNRRSNSFRGSLESSAEATVKSPASVFWLVLHAVCCLISLVLGFRFSRLVFFFLFSTSSTNIYTVPFRTASEIAVDSNPVATVENHANRTITGTPLVAGGTTSRVVVGRHGIRIRPWPHPDPVEVMKAHRIMERVQREQKSLFGVKNPKTVIAVTPTYVRTFQKLHLTGVMHSLMLVPYDLIWIVVEAGGVTNETASIIVNSGLRTVHVGFRQSMPNSWEGRHKLESRMRLHALRIVRKEKLDGVVMFVDDSNMHSMELFDEIQSVKWIGAVSVGILVHSTNADEASSLIQREGKVEAMMPVQGPACNATNQLVGWHTFNSLQYKGRSAVYIDDRAPVLPRKFEWSGFVLNSKLLWKDGEDKPEWIMDLDALDGEIESPLSLLKSTSVVEPLGSCGRKVLLWWLRVEARSDSKFPAQWIIDPPLDITVPSKRTPWPDTPPELPSNEKVLIGTQEQTSKHSTKTKTPRSRRSRSKRKHDIKVISVQVSTHSEEH >OIV93496 pep chromosome:LupAngTanjil_v1.0:LG18:3554308:3555798:-1 gene:TanjilG_11078 transcript:OIV93496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMSQIQYLIALFLIIASWSSQVLSRKLQDTFLSERHELWMAKHDKVYKDAVEKEKRFKVFKENVEYIESFNAASNKPYKLSINQFADQTNDEFKAYRNSLKSLHGLMTIIGTSFKYENVTDIPVAIDWRKRGAVTPIKDQGQCGSCWAFSTVAATEGIHQLTSGKLVSLAEQELVDCDRKSEDHGCEGGYMEDGFEFIIKNGGITTEANYPYTASDGTCNTNKEKSHIVTIKGYEKVPPNSEDALLKSVANQPVSVSVDAGGNFQFYSSGIFEGECGTELDHGVTAIGYGIGDDGTKYWLVKNSWGTSWGEQGYIRMKRDINAKEGMCGIAMDASYPTA >OIV93083 pep chromosome:LupAngTanjil_v1.0:LG18:12013932:12020863:-1 gene:TanjilG_20745 transcript:OIV93083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLETGSRQNSAKKESWKTVLILAYQSLGVVYGDLSTSPLYVFRSIFSENINHTETNEEIYGVLSLVFWTFTLVPLLKYVLIVLKADDNGEGGTFALYSLLCRHARVSSLPNCQVADEELSEYNKNGFAPERSIASKLKSTLEKHKVLQRILLVLALIGTSMVIGDGVLTPAISVFSAVSGLELSVSKEHHRYIEVPSACIILIGLFALQHFGTHRVGFLFAPIVIIWLFCIGAIGVYNIFHWNPHVYKALSPYYIFLFFKKTQNGGWMALGSEAMFADLGHFSQLSIKIAFTSVVYPSLVLAYMGQAAYLSRHHHVQYEHHFGFYVSIPEKLRLPILVIAILAAVVGSQAIITGTFSIINQCSALSCFPRVKVVHTSSKIHGQIYIPEINWVLMLLCLAVTIGFRDTKRMGNAAGLAVITVMLVTTCLMSLVIVLCWHQHVLLALGFVFIFGTIEALFFSASLIKFLEGAWFPVALAFVFLTIMYVWHYGTLKKYEFDVQNKVSINWLLSLGASIGIVRVRGIGLMHTELVSGIPAIFSRFVTNLPAFHQVLVFLCIKHVPVPRVRPEERFLVGRVGPRDFRLYRCIVRYGYRDFHKDDIEFENDLVCSIAEFIRTGSTETSSNNEFEKDDKMTVVGTCSTHSVWMSEDNVDDVDNIDAAGPSELKKIKSSAGTSELMETKSPTETSELMEIKSPVKSPQMIRKRVRFFVPESPKIDSAATRELEELTEARECGVAYIIGQSYMRAKPGCSMLKKLVINYVYEFLRRNSRAPSYIAFTSVVYPSLVLAYMGQAAYLSRHHHVQYEHHFGFYVSIPEKLRLPILVIAILAAVVGSQAIITGTFSIINQCSALSCFPRVKVVHTSSKIHGQIYIPEINWVLMLLCLAVTIGFRDTKRMGNAAGLAVITVMLVTTCLMSLVIVLCWHQHVLLALGFVFIFGTIEALFFSASLIKFLEGAWFPVALAFVFLTIMYVWHYGTLKKYEFDVQNKVSINWLLSLGASIGIVRVRGIGLMHTELVSGIPAIFSRFVTNLPAFHQVLVFLCIKHVPVPRVRPEERFLVGRVGPRDFRLYRCIVRYGYRDFHKDDIEFENDLVCSIAEFIRTGSTETSSNNEFEKDDKMTVVGTCSTHSVWMSEDNVDDVDNIDAAGPSELKKIKSSAGTSELMETKSPTETSELMEIKSPVKSPQMIRKRVRFFVPESPKIDSAATRELEELTEARECGVAYIIGQSYMRAKPGCSMLKKLVINYVYEFLRRNSRAPSYVNAAHASSLEVGMMYQV >OIV92995 pep chromosome:LupAngTanjil_v1.0:LG18:13124375:13127234:-1 gene:TanjilG_20657 transcript:OIV92995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSFEPSTHTVCVMDASGQLGFTLVQTLLQRGYTVHASIQNHGEELLNGISADPNKLKIFRSDPFDYHSIIDALRGCSGLFYSFEPPLDQPDYDEHMADVEVRAAHNVLEACAQAETIDKVVFTSSATAVIWREDRKTMDLDLDERHWSDVNFCRKFKLWHGMSKTLAEKTAWALAMDRGVNMVSINKGLLMGHDLSIKNPYLRGAAEMYEDGVFVTVDLEFLVDAHICVYEDVSSYGRYLCFNHIINTHDDAVQLARQLTPTASSSLPQSVDHGKSFIEQRISNKKLNKLMVDFQT >OIV93391 pep chromosome:LupAngTanjil_v1.0:LG18:6905961:6906983:1 gene:TanjilG_13843 transcript:OIV93391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSQTIGTDTQTPPTKPKSLSFINAALKPHSTTTTIVPPPSSTVVSYKACLKNHAASIGGHALDGCGEFMPSSSTNPTDPRSLKCAACGCHRNFHRHDPREPPPNTPTFLTCFYSTTPSSVTPTVPPLQQPLPQLIPHRAMTPSTSPSLSSSPSHSYSPISSTPSSPPPLSHLPPSYPAPHMLLAFGNNNNNNAYSIDHQNRNFHSSSLVLKTETINLGCKKRYRTKFNQEQKEKMYGFSEKLGWRMQKGDSGSVQEFCNEIGVPRGVFKVWMHNNKNTSRKKSENGIRQIGNNNGGSEDGDGDGINNSFNINSSSNNDIQRNEDNCVDVHVSFNASSS >OIV93094 pep chromosome:LupAngTanjil_v1.0:LG18:11831021:11831755:1 gene:TanjilG_20756 transcript:OIV93094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKVDNGSFVRKRLRLFGFELNLSKNNESCVKEPAERDEGVNSSNSYSSRGDKTAQEKSLERDQDERKFECQYCFKEFTNSQALGGHQNAHKKERMKKKRLQLQARKASIKYYLQVSQTNHGFAYNHGSKSNTPWFYDPSSYNNNSEFTFCEESQTCLNSKDQNTNFVSSDQKYSNWYSLPPHVPSKQDNSCMFTFSNIDNNRPFISMPHHFPASNQSHSKTLDLQLCLNLESNTRSISKKGVI >OIV93689 pep chromosome:LupAngTanjil_v1.0:LG18:444631:444942:-1 gene:TanjilG_16540 transcript:OIV93689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPTLVAEAETCLSKSKHFVGPCSKNANCAGVCKSEKFSGGRCIGSKCLCTRQCPKDSKNDGPPPPNQDGEPTTPPPNQDGQPATPSPNLVAESTTAAPSKSD >OIV92897 pep chromosome:LupAngTanjil_v1.0:LG18:15269794:15270297:-1 gene:TanjilG_01031 transcript:OIV92897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMMMNIGAMVCAISPPFIQTQRLIVRNTTSSTKPDRVSALQATVPSGRRQLLFLLTASTALTSREAVTLAQDIPLFGIRKSLKKVEKQAEEIVKEGFEAADKGLETAEKGLKTAERGIETVEKEVSSAVNFGGLAQAGVVAGAELLGVLVALAVANGILGSEAQRS >OIV93441 pep chromosome:LupAngTanjil_v1.0:LG18:5192276:5196128:1 gene:TanjilG_10073 transcript:OIV93441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKEKDTNHNPSNVVAKLMGLEEFPGEETNLAMERRSIKKDYPQHLYGLHLGSPFNKEMPMLHEFHHASTENVFYKDIYEMWLQKSRRIRSYVRDKKNETQESELEDVVGGKIALIHQKYMDAKRMSSDERLRNSKRFEDAMDVFCSNSVIKLLDEVHCDPASPTETNNISLLKPSNIVDNDNAGMRKKSSGMIMKPENVDENKNSAHSSSESKEVIDEFPVQCTRIVALNPSCGEINELVFPTTPSSRNFQSEPETLCSSILSNVGDESSYKKAEHDDLCAGGNVYSHESVVYKEAKKRISERWTMMASNIKLVQEQKHMKRNSTLGEMLSLSHIKKSEAKFVSSSDSSIDEEINIRCSPKTLPTMSCYVTVSSSDDDVGIGHGSKLVTKSKSVKLSLKGKVMSFLFSMNKKPTKEKSSISQSSVTETSVSSVNSPELLSDDVFQSFNRFEECSVQSVCGSLRKISSDSVSNGKQHGRITPKFGLTVSKTMVPEISSETQDQPSPISVLDTPFEDDNAAHDSLDYMKGGHLGSRVHYPKSNLIDRSPPIESIARTLSWNDSCSEAASSYSFKPMTLYSLNTKIEEQEWLFLVEKLLSASGLDDQIQFNSFNTIWHSLESPLTPSLRDKYAYSFNGKDPLHEAMRRKMRSSQKLVFDCVNATILELTSDYGSEKYFSKNKTHNAAHIVQDGAFSPYLVDHIVAQMKKLIASEMRYVWGDCEDSNRLVVENVVRKEVVGIGWDEVMGLEVDILEREIERELIEELVEDAVANFIGRA >OIV92609 pep chromosome:LupAngTanjil_v1.0:LG18:16411457:16412154:-1 gene:TanjilG_17960 transcript:OIV92609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMGFIMVVVAVLCAGAAAQSSCVNTLVSLSPCLNYITGNSSNPSSGCCSQLSTIVRSSPQCLCQVLNGAGSSLGININQTQALALPAACKVQTPPTTQCNAASPASTPVGTVADTPADSPNSVPSGTGSKTIPTTENGSSAGNSINLSIPLLLILAATYVSTLTTY >OIV92975 pep chromosome:LupAngTanjil_v1.0:LG18:13340556:13345195:1 gene:TanjilG_20637 transcript:OIV92975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCMVSLLASKFAFFPPSPPTYQLKKREDGKLTVVSKVSPAVVIPNAADDNSLDVLLVDTKHGNKIVAFYLKNPYARLTLLYSHGNAADLGQLYDLFVQLKVNLRVNLMGYDYSGYGASTGKPSESSTYADIEAIYGCLETEYGVSQEEIILYGQSVGSGPTLHLAAKLPRLRGVVLHSGILSGLRVLCHVNFTFCFDIYKNINKIKKVKCPVLVIHGTEDDVVNWLHGNRLWKMAGESYDPLWIKGGGHCNLELYPDFIRHLCKFIQEMENLTTEKRLIKIRQSVNLQSSVQCLAAATLSVQDQVATA >OIV92743 pep chromosome:LupAngTanjil_v1.0:LG18:14083909:14087327:1 gene:TanjilG_00877 transcript:OIV92743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYQMLLLKDYLRDDLSSCSSSGFKSLPRRQCCPQPLFSSSSTKSVLQKASESVLNTIKSLTSRSSSLSRKQRCKAKKGGDVLSKSLSRRLMNKSFWRIRALSMGSNISKWTQSHFTCSLTTVKDFTEMEGSVTTTNTFSSSHSTRPNEEKEQFSPVSVLDCPLFDDDEEITSPFDSTPCSGLEGTKHKHVKKSQRFNRVGSLKPVALEKRMVWSIMHEDEAILYNHHIKPSPTLLPNIMCKSDMVCDNIEQNAHDLVNHVKMSIPSSNSLRSETEKLLFDFFKQSISDNNNDIEHSMKQREYLSKIAEDWISGQPHQKQNKQCLSLRIGDEEIQHLVMELEVDFSSSLVNELVLELIK >OIV92702 pep chromosome:LupAngTanjil_v1.0:LG18:15714350:15715956:1 gene:TanjilG_18053 transcript:OIV92702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGIFSLGGGGGGSGGGGRENNNNNQQQQPTDFLPPPPEALFWYNNNKNVEDVRGFELWHHQQQQQQRPIIHQDLYSLGVGPSRSSDAVASSGSGGGGISCHDCGNQAKKDCPHMRCRTCCKSRGYHCQTHVRSTWVPASKRRQRLQQQEQEPNTERDHHNPTSSIPLRSNPSISPTGLEHANFPAMVSSSAEFRCVRVSSMDVTEGQYAYQTAVNIGGHVFKGILYDQGPDHSTSSHTGGGENSSTGVLRDGEVQFSNLIAATTTITTTAGALVDPSFLYPTQVNTFMPPSGRQFFPHPRS >OIV92801 pep chromosome:LupAngTanjil_v1.0:LG18:14546994:14547704:1 gene:TanjilG_00935 transcript:OIV92801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATYSKRHCFLKDDDGLVSLADTNVGFFCHYPYHYQHGFVSTTLGYTYPIYSSTSARFYDARFEDHVPHFLQACFICKKPLGNNRDIFMYRGDTPFCSEDCRQEQIYMDETKEKNRNLSSMKTLRQKEQKKSASSNKTQDYSFRAGAVAAT >OIV93123 pep chromosome:LupAngTanjil_v1.0:LG18:11501152:11504952:1 gene:TanjilG_20785 transcript:OIV93123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVTDGKLRFCIDRGGTFTDVYAEIPGQPDGRVLKLLSVDPSNYDDAPVEGIRRILEEFTGEKITRNSKIPTEKIEWIRMGTTVATNALLERKGERIALCVTQGFRDLLQIGNQARPNIFDLTVSKPSNLYEEVVEVEERVQLAQAKEEEENQDASSALVKGISGELIRIVKPPNEEALKPALKTLLEKGISCLAVVLMHSYTYPQHEQQVEKLALSLGFRHVSISSALTPMVRAVPRGLTASVDAYLTPVIKDYLSGFISKFDEGVGKLNVLFMQSDGGLAPESSFSGHKAILSGPAGGVVGYSQTLFDLETDKPLIGFDMGGTSTDVSRYAGSYEQVLETQIAGATIQAPQLDINTVAAGGGSKLKFQFGAFKAGPESVGAHPGPVCYRKGGELAITDANLILGYVIPDYFPSIFGPNEDQPLDIKSTREEFEKLARQINAYRKNQDPSVKDMTVEEIALGFVDVANETMCRPIRQLTEMKGHETKNHALACFGGAGPQHACAIARSLGMKEVLIHKFCGVLSAYGMGLANVVEEAQEPYSAIYGTDSIIEASQREGVLLAQVKQKLQNQGFKEENILTETYLNLRYDGTDTAIMVKRQIAEDGKPSDYATEFVRLFQQEYGFKLQNRNIVICDVRVRGIGITNMLRPRAIEPASGKPIVQGYYKVYFGNGWQKTPLYNLENLGYGHTMPGPAVIMNGNSTVIVEPNCRAVITKYGNVKIETDSPLSSVKISDKVADVVQLSIFNHRFMGIAEQMGRTLQRTSISTNIKERLDFSCALFDPSGGLVANAPHVPVHLGAMSSTVQWQLNYWGDNFNEGDVLVTNHPSAGGSHLPDITVVTPVFYSEKLVFFVANRGHHAEIGGITPGSMPPFSKSILEEGAAIKAFKLVEKGVFQEEGIIKLLQVPGSDEQGNKVPGTRRIQDNLSDLRAQVAANQRGIALVQELIEQYGLETVQAYMNYVQMNAEEAVREMLKSVGQKISSETNENSVTIEEEDYMDDGSIIHLKLSIDSNKGEAVFDFGGSSAEVYGNWNAPEAVTAAAVIYCIRCLVDVDIPLNQGCLAPVKIHIPEGSFLSPSDSAAVVGGNVLTSQRITDVIFTAFQACACSQGCMNNLTFGDDTFGYYETIGGGSGAGPKWDGTSGVQCHMTNTRMTDPEIFEQRYPVILHKFGLRENSGGDGIHRGGDGLVREIEFRRSVIVSILSERHVHAPRGLKGGKNGARGANYLIKKDKRKIYLGGKNSVEVLPGETLQILTPGGGGWGSPL >OIV93333 pep chromosome:LupAngTanjil_v1.0:LG18:8306335:8308291:1 gene:TanjilG_23269 transcript:OIV93333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGGLYGDLPPPSSAEDDKSINSSNVWSTTTKMAPATLRKPATVFTPPLTVLRSQSKSKNPNPTKTVVSATPVVSVSLPDNVVHQQALVGVQSTVIEEYDPARPNDYEEYRREKKRKAREAEVARELERRRQEEEERERIERDSINNVSKDSSRLNISGEEAWRRRAAMSGGGGSSTAAVPRSPSPPSNVDGFSIGKSETGGLGLGAGGQMTAAQRMMAKMGWKAGQGLGKQEQGITTPLMAKKTDRRAGVIVNASDNSKVEKKAVKSANFHGVPTRVLLLRNMVGPGEVDDELEEEVGSECAKYGTVTRVLIFEITEVNFPVDEAVRIFVQFERSEETTKALVDLDGRFFGGRVVRASFYDEEKFNKNELAPMPGEIPGFF >OIV92630 pep chromosome:LupAngTanjil_v1.0:LG18:16256636:16259203:1 gene:TanjilG_17981 transcript:OIV92630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDILNFMLNLLVPPASLMMLAFSWPALCFLNACEWLYNSLYGEDMDSKVVIITGASSGIGEASSHHYSYVYFCIYNWNENTLEKKVERNLTEKNWENMAGFGMCQKQIAYEYAIRRANLMLVARRENRLIGIAENARRMGARNVMIMAADVVKEDDCRRFINETINIYGRLDHLVNTVSLGHTFYFEEVTDTTVFPVLLDINFWGNVYPTLVALPYLHQSNGRVIINASVESWLPLPRMSLYAAAKAALVNFYETLRFELKDEVGVTIATHGWIGSEMTSGKLMLEEGAEMQWKEEREVHVTGGAVEEFARLIVSGASRGDAYVKYPSWYDIFLLYKVFAPRILNWTFQLLISHHGTRRFSSYVGTGRPLEGIGRPMLEGTSPTNIPSLTFSGHLSQPPTHAQNEIKSSTYF >OIV92997 pep chromosome:LupAngTanjil_v1.0:LG18:13112494:13113396:-1 gene:TanjilG_20659 transcript:OIV92997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSKPDDSESPPSSSYNSPPTLIALALALVVVCFVGFSIVYFCRCYLTSIFNAWAIQRSTSLGGSLVNNVSSSPNRGLDPSVLHTFPTFPYSSVKDLRKEKYSLECAICLLEFEDDSVLRLLTVCCHVFHQECIDLWLCSNKTCPVCRKELDSAIVEVRNSQERGEDNAADVEQERRGDVCIDVKEGEDHLRHSDNDDNDNNETIPSSRNAQTRGEHMFTKSHSTGHSIVMIRGEDEGNDNDKYKLRLPEHVAVLKIIGGGGHNHSKSCSSYKDVTRHVAPCSNCGYVETVSGCSSSKG >OIV93513 pep chromosome:LupAngTanjil_v1.0:LG18:2878239:2881638:1 gene:TanjilG_21766 transcript:OIV93513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPYNSLPEATAALGRNLTVAETLWFNYSAKKSDYFLFCHNILFLFIIFSLVPLPLIFLEIKRVLPFDKYKIQFNVRLSFKEMFKCYKDVMFMFFFVVGPLQLVSYPSIKMIGISTGLPLPSWWVVLLQLLVYFMIEDYTNYWIHRFLHNKWGYEKIHKVHHEYQAPIGFAAPYAHWAEILILGIPSFLGPAIVPGHMITFWLWIALRQIEAIETHSGYDFPWSLTKYIPFYGGADYHDYHHFVGGQSHSNFASVFTYCDYIYGTDKGYRFQKKLMGMRESTNGTRQNGRSHETNGTNQNGRSHETNGTNRNGRSHEIQDYKTD >OIV93453 pep chromosome:LupAngTanjil_v1.0:LG18:5525203:5528383:-1 gene:TanjilG_10085 transcript:OIV93453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMFFDGYPYHGTSFEQTYRCYPASFIEKPQLESGDKIIMPPSALDRLASLHIDYPMLFELRNGAAERVSHCGVLEFIAEEGMIYMPYWMMENMLLQEGDIVRVKNVTLPKGTYVKLQPHTMDFLDISNPKAILETTLRNFSCLTTGDSIMVAYNNKKYYIDIIESKPANAISIIETDCEVDFAPPLDYKEPQKVVTPISTGKKAQEAVETPAEPEPKFNPFTGTGRRLDGKPLSYLSPPVSSTGSKDKKPDAPNVNPRSSAASSSQSNAQQSQGKLVFGSNANRAKETGKAKESKPEPPKEEKEEPKFQPFTGKKYSLRG >OIV92735 pep chromosome:LupAngTanjil_v1.0:LG18:14025431:14029427:1 gene:TanjilG_00869 transcript:OIV92735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCSSSSGSEEDDEGFDSYRKGGYHAVRVADQFAGGRYIAQRKLGWGQFSTVWLAYDIQTSSYVALKIQKSAAEFVQAALHEINVLSSIANGDSSNSRCLVQLIDHFKHTGPNGQHLCMVLEFLGDNLLRLIKYNHYKGLPLSKVRAVCKCILIGLDYLHRELGIIHTDLKPENILLFSAIDPSKDPFRSGVSPILEKPEGNTNGGFTSLIEKRLKIRARRAVAKISLKRGSMGGIEEAPKFGRNIDGIDMRCKIVDFGNACWADKPLAEEIQTRQYRAPEVILKAGYSFSVDMWSFACIAFELATGDVLFTPKGGGQGFCEDEDHLARMMELLGKMPRKIAIAGAQSKDFFDRHGDLKRIRRLKFWPLDKLLMDRYKFTEKDASEFSEFLLPLLDFAPEKRPTAQKCLQHPWLNCKESTPNETINESSVEKVNIGMSNLKIKVGK >OIV92866 pep chromosome:LupAngTanjil_v1.0:LG18:15032419:15033632:1 gene:TanjilG_01000 transcript:OIV92866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVELMMGYINDNLAAKSEENAVQEAASGLESVEKLIRLLSQTKTQQQQQFQNSSSMQIEMDSVADVAVSKFKKVISLLGHNRTGHARFRRAPLPPHNPQKQQQPLEHDRVYHATPLQQIPPTIQTIVPKNGAIIERKDSSKTLNFSYSSAANSFISSLTGDTDTKHPCSSSPATAFQITNLNTGKPPLSSSSFKRKCSSENMGSGKCGSSSSRCHCSKKRKMRLKRVVRIPAISLKMSDIPPDDYSWRKYGQKPIKGSPHPRGYYKCSSVRGCPARKHVERALDDPSMLVVTYEGEHNHSLSAAEATNLILESS >OIV93671 pep chromosome:LupAngTanjil_v1.0:LG18:593307:597523:-1 gene:TanjilG_16522 transcript:OIV93671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSVNDSTQLADVKNLQDDVTSDNAVLEDEKKTISKLESENALKVDEFGRYLKEGPADSESDDSRYRRTGRLNRRDRSHSRSRSPPQRRSRRRRSPRGIRGRRSRSRSRSPRRRRSRSKSPIIRRSGEFGNVKRDKSQCFDFSRGRCYRGASCRYIHHESNRNATSRRFKNNNDLEVDSHENNSGVNEGLKNIASNISDYEHGGVRSQDVDLFQNVNGHEVEPRKEDSVRHAVVCSTSGFGSHLVSNDPNNFERFRKVAPEVQETLVVREEHKTLANNNDSSQNALNSHQPHMSMSNVSDSSFDKKSTISATANMVSNSDPIPYVLPSTQQQSAPSSVGICLSSEQPPLHFEAHQEMRPHSSSSVEVPLHTYQLPPPPPPPVASHSQGENNVNVPQIPMEYGVTQQSAFFPFQFTTRGQFEHYPAPFHTQNFQFNLPPNRTSLPLPPPPPLPPPLAVKSSGFSSGVAEPYISEEFNQRQLHSTNFASQTSMAHAMPSHSQSSESFDQAHTLMQDRSRTFMLKDASSPKHLPQGNSASQSLSGSNLHRDDRHKQLSMQDSSSQQQQSIYNFPYSASEIKLGVPGENLTVSRFPSGVLDKNHSTSLPAFGGSQISAHYNPYASTFEQPLSSKFSSSIFRHENDIIHNNNYGSSVLNHAPITREGVGTGGGSRQSASSPKSARADGQMLPRSDGNLYDPLFDCIEPSSPSLKKFNFDKNQEVIGESNISPRPKSSSMSLDTEEKNKHEDVASSTSQSNDEYGDTTDAEVGAVENESLSNHVDVAKMTTGGVEINQVKSPGKRKKSKDSRSMKLFKISIANFVKEVLKPSWQQGNMSKVAFKTIVKKTVDKVSGAMKDHHVPNSQVKISQYIDSSQQKLTKLVMVSPPHALSSSQELHHETPCLPLANVLESMSVSPVPYV >OIV93631 pep chromosome:LupAngTanjil_v1.0:LG18:1417578:1418082:1 gene:TanjilG_04863 transcript:OIV93631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRTSQRSSDSPTRDPKVLSIECLRGSYKADEWTHDMLQTGDIVEEIRIGTFTNSIIRSIKDPNYVLDFLDRYETEYFSMNDSPDRYNLFKRCFRVLEILGSSSSTIS >OIV93199 pep chromosome:LupAngTanjil_v1.0:LG18:10590411:10592186:-1 gene:TanjilG_24414 transcript:OIV93199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAKQYEEQVEATKLPPKSAEYEALVRAGPNLRPLQQIHAHLFVSGCHRSRALITKLLILSCAAGSINYTRRIFHHFLHPDSFLFNSLIKSSSKLGFSLDALLFYRRMLTSKVAPSSYTFTSVIKACADLSALKIGRVVHSHVLVNGYGSNSFVQAAMVTFYAKSGALDVARKVFDEMPERSVVAWNSMISGYEQNGLANEGVVLFRKMLELGVRPDSTTFVSVLSACSQLGILDLGYWVHEYIISNGIHVNVILATALINMFSRCGNLSRAHAVFDSLDEGNVIAWTAMINGYGMHGYGVQAMEIFNRMKAYKLTPNSVTFVAVLSSCAHAGLINEGREVFASMRQKYGLVPGVEHHVCMVDMFGRAGLLSEAYQFIKELGPQELVPAVWTAMLGACKMHKNFDLGVEVAEHLISLEPENPGHYVLLSNMYALAGKMDRVESVRNVMIQRGLRKQVGYSTIDVNNNPYLFSMGDKSHPETNEIYHYLDELIWRCKEAGYVPIPESAMHELEEEEREFALRYHSEKLAVAFGLMKTTHGMTLRIVKNLKICEDCHSAFKFISIVTNREIIIRDKLRFHHFKEGSCSCLDYW >OIV93241 pep chromosome:LupAngTanjil_v1.0:LG18:10322808:10326398:-1 gene:TanjilG_27420 transcript:OIV93241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPILFLFLLSILSSPLTILSLNQEGLSLYQFKLLLQDPDSRLSSWNPLDPTPCNWYGVTCATATATTVTTLDLSNTNIAGPFPTTILCRLPNLTSITLFNNSIDQTLSSDINLCYNLVHLDLSQNLLTGPLPSTLPQLHNLRYLDLTGNNFSGPIPETFGTFQKLEVISLVYNLLDGTIPPSLGNITTLKMLNLSYNPFFPGRIPPELGNLTNLQVLWLTQCNLVGLIPDSLGNLKKLQDLDLALNDLYGSIPSSLTELTSLKQLELYNNSLSGELPRGMGNLSSLRLLDASMNHLTGKIPDDLCSLPLESFNLYENRFDGELPASIANSPNLYELRLFGNRLTGKLPERLGKHSPLRWLDVSSNQFYGPIPATLCDFGVLEELLVIYNLFSGEIPSSLGSCQSLMRVRLGYNRFSGEVPSGVWGLPHVYLLELAENSFSGSISKTIAGAANLSLLFLSKNNFSGQIPDEVGWLEGLVELSGSDNMFSGSLPESVVNLRQLGILDLHNNKLSGELPKRIHLWKKLNELNLANNEISGKVPDGIGSLSVLNFLDLSNNKFSGNVPLGLQNMKLNQLNLSYNHFSGELPPLLAKDMYRTSFLGNPGLCGDLRGVCDGRSEEKSVGYVWLLRIIFVAAILVFFVGVVWFYFKYKSFKDARAIDKSRWTLMSFHKLGFGEDEILNCLDEDNVIGSGASGKVYKVVLGNGEAVAVKKIWGRVKKEVESGDLEKGGRVEDNSFEAEVDTLGKIRHKNIVKLWCCCTTRDCKLLVYEYMLNGSLGDLLHSSKGGLLDWPTRYKIAVDAAEGLSYLHHDCVPPIVHRDVKSNNILLDGDFGARVADFGVAKVVETTTIGTKSMSAIAGSCGYIAPEYAYTLRVNEKSDIYSFGVVILELVTGRRPVDPEFGEKDLVKWVCTTLDQKGLDHLLDSRLDLCFKEEICKVFNIGLMCTSPLPINRPSMRRVVKMLQEVSTKKQTKPAKKDGKLSPYYYDDASDHGSVA >OIV93654 pep chromosome:LupAngTanjil_v1.0:LG18:1710990:1714399:1 gene:TanjilG_04886 transcript:OIV93654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQNETPEFYQNVVVMRHAERIDKFDPLWVSKATRPWDPPLIEHGRVRAFTAGRNFRHNLEFRFHRVFISPFLRCIQTAVEVIAAISAAVDEPTALTGDGIAIDPSKLKVSVEYGLCEMMNTQAIKLDVVPKDGNWGFDISACEAMLPAGTVDNNVERVYKELPQWEQPVLHAWARYEQIVKDLADKYPTENLLLVTHELRRPIFKKDKSFIPAKFEVLTQTGQSGLSYFMPSPLSNGNLV >OIV93647 pep chromosome:LupAngTanjil_v1.0:LG18:1625852:1628800:1 gene:TanjilG_04879 transcript:OIV93647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDSDVSKQIDQMVRFIHQEAEEKANEISVSAQEEFNIEKLQLLEAEKKKIKQEYERKEKQVEIRKKIEYSMQLNASRIKVLQAQDEVVNSMKNATAKELLTVSNHHYIDFTHHDHAYRNLIKDLIIQSLLRLKEPSVLLRCREHDLHLVKDVLESSAEEYAEKANVDAPEIIVDENVFLPPAPSNDNIHEPHCSGGVVLASRDGKIVFENTLDARLDVLFRSKLPEIRKQLFGQVAA >OIV93248 pep chromosome:LupAngTanjil_v1.0:LG18:10472271:10472693:-1 gene:TanjilG_27427 transcript:OIV93248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLIPNFGGRRSNIFDPFSLDIWDPFKDFQFPNSVSGSFPSENSAFVSARVDWKETEEAHVLKADIPGLKKEEVKVEIEDDRVLQISGERNVEREDKNDTWHRVERSSGKFFRRFRLPENAKLDQVKAAMENGVLTITVPK >OIV93249 pep chromosome:LupAngTanjil_v1.0:LG18:10471392:10472170:-1 gene:TanjilG_27427 transcript:OIV93249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SSSTSSSSFSSISYPHLHPLPHPHPHPHPHSRPQSRMSPIFHILRKKINKKNRTFSKVPHYSFTFLYKYITCLLPHRQTKQNNTIHCVYTSNNFNSQFHIEKMSLIPNFGGRRSNIFDPFSLDIWDPFKDFQFPNSVSGSFPSENSAFVSARVDWKETEEAHVLKADIPGLKKEEVKVEIEDDRVLQISGERNVEREDKNDTWHRVERSSGKFFRRFRLPENAKLDQVKAAMENGVLTITVPKEEVKKPHVKAIEISG >OIV93497 pep chromosome:LupAngTanjil_v1.0:LG18:3557973:3559130:-1 gene:TanjilG_11079 transcript:OIV93497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLMDHQNVVSLRHCFFSTTSRDELFQRMPLVYVKLYTYQGTSIYSYCTRSLPQGCKASKSFDIWSAGCVLAELLLGQNQVDLLVEIIKVLGTPTREEIRCMNPNYTDFRFPQIKAHPWHKIFHKRMPPEAIDLASRLLQYLPSLRCSALAGAAPELINRLIPEHLRRQTGLGFSHPAGI >OIV93371 pep chromosome:LupAngTanjil_v1.0:LG18:7325546:7326721:1 gene:TanjilG_21082 transcript:OIV93371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKAQRFLITDVLFDVLTRIPVKALLGLKCISREWHRIISSRSFIRAQLENNKLVLTGFILQEKFMWCNEDIKTVSYIPVGTTTTIGDSKMQHQRVFHFLPEDVVVLASCKGLVCCRSCFPSEEPTIYVCNPSNKQWIKLDWNGCEKNESIALAFDLDSSFDNFTKFKLVRVKQIVICEDEYEEMYLEFELYSSETGAWWKSNETCQCGNNLIKNKGIYIGGVLHWLTDGDQVLTFDVDKELSWLISVPVPAFEFETVPEACIGESEGKLHYVLISDVGLHVWCLEDYYESKWTLKHYKSLEEIQGKYPNFFFNLKNRVLERVDVELSPWMNPLSFKDGILLMKVCADLFLYDIENNKIAQTCTLQDLNTKSMSCPTVLPHSLSLVPLTHA >OIV93161 pep chromosome:LupAngTanjil_v1.0:LG18:11061063:11061359:-1 gene:TanjilG_20823 transcript:OIV93161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLESILSSPHTLRSSSFRKQFTKNEFGSWSTLFQRHRYLLSALTLLAILCTVYLYFAITLGEASDPCFGLNGPQKASCHVEYLKAEARGKLKNLRHF >OIV93530 pep chromosome:LupAngTanjil_v1.0:LG18:2295655:2297404:1 gene:TanjilG_28687 transcript:OIV93530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALMELCDLIALNPPQFHDKLSWICDKCPSPEYLSAGSPRVSRSQLNAVLAVARFLSKSPDSADLRSKSVALEFLRSIPHSFTQSFWPQPFNAEFVSSFFADFLGYISKATESSSVFAEEVAGFSGEVVLSAIGNGNGNEQQQSPIARAFLIALSQNSIPISSSDADKLVTCLIEQFAFPIAVPGMPSPVHIDVSSSQSSPLSVNHQSQIIGSPVNEAMSNVSGSSSGVMSNGGSHMWKSNADQLALNLGLNDGAASSGPQVASFEEESVGMLEKQEIAFKLIAHILEKVQIEPALLEQVRLIGKKQIQSMSAFLKIRKRDWHEQGSLLKARINTKLSVYKAAVRLKIKSLAALDSSDPKSVKRLVYEAVAVLIDAAEACLLSVWRRSRMCEELFSSLLMGVAQIAISRGGQPLRILLIRLKPIVLNVCAQVEK >OIV93079 pep chromosome:LupAngTanjil_v1.0:LG18:12085213:12087134:-1 gene:TanjilG_20741 transcript:OIV93079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIGFATVAGEAKNPFTPKAYLIRYWDKEIRNNLPKPSFLFSKASTLNAVDAAAFAKLAAVDGGAALSTRLPEFCAAAKLLCFPDLRPSLEKHDKDSNFVSYQDKNFTNYGTSRPGGLDSFKNYSDGSNIPVNDFRRYSRNSAGHNDSFTSYAGGGNVAEQTFHTYGTGGTGGAGDFKVYSDHSNVPDLRFTAYSSDANGRTQSFTAYSEDGNSGEQSFSSYGKNGNGPENKFSSYGKGSNVVGSGFSNYAETANGANDSFTSYGFDMNNPTINFNNYADDGNGVTETFTSYRDQSNVGADSFTSYAKNSNAAEIGFSNYGQSFNEGTDKFTGYGKGATGESKVGFTTYGVNNTFKEYNKEDVSVSFSRYTKSSSSGSVSQRAASVSGSLVNKWVEPGKFFREKMMKEGTVMPLPDIRDKMPQRSFLPRSILSKLPFSSSKISELKKIFKAYDNSSMEKMMKDSLGECERVPSPGETKRCVGSMEDMIDFATSVLGRNVVARTTENVNGSKKNVMVSNVKGINGGKVTQSVSCHQSLFPYLLYYCHSVPKVRVYEADLLDPSTKSKINHGVAICHLDTSIWSPTHGAFLALGSGPGQIEVCHWIFENDLTWTIAD >OIV93672 pep chromosome:LupAngTanjil_v1.0:LG18:590772:591854:1 gene:TanjilG_16523 transcript:OIV93672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLMLFTVAFLTYYCMMLLVKTRRKIEESFNGFSKIHSFGDLGFAIYGNIGKLCVDAMIVLSQSGFCVSYLIFITTTLAYLVSDIGSISILGLTPKVLFLWGCLPFQLGLNAIPTLTHLAPLSIFADVVDITAKGVVMVEDVLAFMKNKPSLETFRGFSSFFYGLGVAVYAFEGVGMILPLESEAKDKDKFGGVLGIGMLLISLLYGAFGALGYFAFGEETQGIITTNFGQGLTSILVQLGLCINLFFTFPLMMNPVYEVIERRFFEFRYCLWMRWLMVLGVSLMALFVPNFADFVSLVGSSICVVLSFVLPALFHYIVFKDELGWKCMVRDGAIVVFGLILTVTGTWSSLREIIAPKV >OIV92930 pep chromosome:LupAngTanjil_v1.0:LG18:13701637:13703001:-1 gene:TanjilG_20592 transcript:OIV92930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNTNKEFEASKYGKFEIKLKRKSVVKAVNHSPNPFSVPLSNLDLLSGRFPVTYIYFYHKPKVENFRTFVESLKSSLAQVLSYYYPFAGQIVQNPITFEPEIICDNKGALLIQAHVDIPLKKLDFYNLNETFQEKIVSIQPDFPLQIQVTNYTCGGISIAFSFDHALGDASSFGKFITSLCEIAQNKPISCIPNHTRHLPPRSPLKYQPSLDQTFIKCTKEEINNIPMSHISLKRLYHINASSINMLQRLASNGSTKRTKIEAFSAYVWKIMASSIDQSHEKCKMGWLVDGRERISKGKSSMSNYIGNVLSLAFREASIQELKEASISDIGSIVNESISKVNNEAHFLDLIDWVECNRPGLMLAKTVLGQEGPTLVVSSGRRFPVTEIDFGFGSPLLGTVYTSIERSGVGYMNQRPSAKGDGSWTISAILWPKLATVLENDSIFQPMSASYLNL >OIV92772 pep chromosome:LupAngTanjil_v1.0:LG18:14314632:14317682:-1 gene:TanjilG_00906 transcript:OIV92772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTAELLSIEPHELKFVLELQKQISSSLQLSNNTDSYVAFKVKTTNPKKYCVRPNTGIVLPRSTCDVIVTMQAQNEAPPDLVCKDKFLLQSIKTHDGATTNDISAEMFNKELGHVVEESKLRVVYVAPPQPPSPVREGSEEGSSPRASVSENGNANGADSTTAKELISRLTEEKNNAIQQNNMLRQELVSISFWTWKTSVECVGLWLLGELFINDTRYPGHARITPGLYRLCMSGEYYSGLLPDLNWRSGG >OIV93646 pep chromosome:LupAngTanjil_v1.0:LG18:1617564:1618605:1 gene:TanjilG_04878 transcript:OIV93646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTSPRPRHWPQLFYVVAFCLVAISVAADYNSYYGSKPTNYSPPKHAQYPTYHHKLPLKHVYKSPPYAYKSQLPLPHVKKSAYVYKSPPPPSPSPPPPYLYKSPPPPAYVEKSPYVYKSPPPPSPSPPPPYLYKSPPPPAYVEKSPYVYKSPPPPSPSPPPPYLYKSPPPPAYVEKSPYVYKSPPPPPSYLYKSPPPPSHYVHIPSYHIPDVHNHPRPSPPPPYLYKSPPPPAYVEKSPYVYKSPPLPPSYLYKSPPPPSHYVHIPSYHIPHVHKSPPPPPYVYKSPPPRTHHADIRTHHIPYLYKSPPPPTPVY >OIV92747 pep chromosome:LupAngTanjil_v1.0:LG18:14117480:14119724:1 gene:TanjilG_00881 transcript:OIV92747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLTTTVPVLPSKLNKPSLSLQQLSPFPHRRRLNKKNQTIVPVARLFGPAIFEASKLKVLFLGVDDNKHPGKLPRTYTLTHSDITSKLTLAISQTINNSQLQGWYNKLQRDEVVAQWRQVKGKMYLHVHCHISGGHFLLDLFARLRYYIFCKELPVVLRAFVHGDGNLFNNYPELEEALVWVHFHSNIQEFNKVECWGPLKEASAPSSSESRSSKEGNSSMQSTPTSSNEDFEDLAMPQSCKEACECCFPPMRLSSIPWSWSWSEEVGHSVGTLETLICTG >OIV92906 pep chromosome:LupAngTanjil_v1.0:LG18:15338475:15341528:1 gene:TanjilG_01040 transcript:OIV92906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKLFKVKEKQRELAENASGGAPIKKQSAGELRLHKDISELNLPKSCAIQFPNGKDDLMNFEVTIRPDDGYYLGGTFLFSFNVSPIYPHEAPKVKCKTKVYHPNIDLEGNVCLNILREDWKPVLNINTVIYGLYHLFTEPNHEDPLNHDAAAVLRDTPKLFESNVRRAMAGGQEMGSVINIGIYLLVSNFVLVSPLHFEEYSQIPECLYMHIRPSFEKPRG >OIV92960 pep chromosome:LupAngTanjil_v1.0:LG18:13478291:13480994:1 gene:TanjilG_20622 transcript:OIV92960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKSKVLIVGGTGYLGKRLVKASLSEGHETYVLYRPEIGVDIEKVQLLLSFKEQGCKLVSGSFNDHISLVNACKLVDVVICAISGVHIRSHQILLQLKLVDAIKEAGNIKRFLPSEFGTDPARMGHAMEPGRITFDDKMEVRKAIKEAGIPFTYISANCFAGYFLGGLCQPGFIIPSTHSVVLFGDGNIKGIYVDEDDIAIYTIKTIEDPRTLNKTVYIRPTENILSQREVVEIWEKLIGKELQKSSISAEEFLSLMKGQSYEEQVGMTHYYHVCFEGCLTNFEIGEEDVEASNLYPQIKYTTVHDYMKRYL >OIV93140 pep chromosome:LupAngTanjil_v1.0:LG18:11308912:11309742:1 gene:TanjilG_20802 transcript:OIV93140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFDFLFNFLTEFGCGYVLLGCFSIILKCIGMFLMFLFCFKVLRFVWYFKSLFRFQNDFGGIPRIRLCLGNAVWKVYGSKVEPLENAGDDDSLKLNSPTKNVSDASSNNAREKGNVNSEEGSEVKDDTHESEREVVNEDEVFDVMVLRKLVKTERQKADAAIAELEKERTATASSAEEAMAMILRLQREKSTAEIQASQFQRMAEHKLDYDQDVIESLEWMITQHESQRSYLEEQIGIYRKELKQHLSDDELKQLEFDINRDGSGVPSSEIESQAL >OIV92950 pep chromosome:LupAngTanjil_v1.0:LG18:13559883:13563313:-1 gene:TanjilG_20612 transcript:OIV92950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVNLTSGAITAMCSDDSSTSSEDLKPVLQVIDLKQVQSQQNTATERFRLVLSDGSLYQQGMLATQKNELVRSGRLQKGSVVKLTQFICNVVQERKIIIIIELDVVLEKCDLIGEPVAAPRSIPARPATGQPGVAAKPNVAGPPVDHPKVSYPTSAAEPVRYGASNAPTTYSKVESGITLPRSTPYSGSSGDQNMSFRGPQSDPSRPMQNSYARPPQPMYRQPPPVYNNRGPMARNEAPPRIIPISGLNPYQNTWTIKARVTAKGELRHYNNARGDGKVFSFDLLDSDGGEIRATCFNAVADQFYNVIEPGKVYLISRGSVKPAQKNFNHLNNDQELTLDITSIIQQCPEDDSSIPKQTFNFRSIIEFERLENNSIVDVIGVVCSVSPTASIMRKNGTETQKRTLQLKDMSDRSIELTLWGNFCNSDGQRLQDICDSGAFPILAVKAARVNEFSGKSVGTINTSQLFVEPDFPEAYRLKEWFEKEGRNVPTISLSRETASFGRTDARKTICQIKDEKLGTSEKPDWISVCASVSFIKVDSFCYTACPIMIGDRQCNKKVTNNGDGKWRCDRCDQSVDACDYRYILQFQIQDHTGLTWVTAFQECGEEIMGIAAKDLYYLKFEEQDDEKFAEIIRKVLFTKYVFKLKVKEETFSDEQRVKSTAVKADKLNFASESRFLLDLIEKLKVEKAEGTNPNSLMNNGGPGSIGTGQTMPPAYSNPTMSTTSGSRDYGMPANQVGQYGNQYNNSSYASAVAPGSYMSSCNNCGGSGHSSAQCPSIGNRPGPSVGGSYSNRVPAAGGSGGVSGECFKCHQTGHWAKDCPGFSAAPAYGNNNVMQQGRYGIPQKQQYGGY >OIV92931 pep chromosome:LupAngTanjil_v1.0:LG18:13692525:13696987:-1 gene:TanjilG_20593 transcript:OIV92931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGQSRKWMILVAVIWIQAFTGTNFDFSAYSSTFKSVLKISQVQLNYLATANDLGKVFGWSSGLALMYLPPSLVMFISAFMGFIGYGLQWLLINNFITLPYFLVFLLCLLGGCSICWFNTVCFVVCIRNFPVNRPLALSLTVSYNGVSAALYTLAATSIDPSSDAVYLLLNALVPLLISFVALVPILRQPPLEPLRPYTARKNSLNFLILNILAIFTGIYLLVFGSSASNENTARLYFGGATLLLISPLCIPGIIYARDWFHRAVHSNIRVENSGFILVHVDDLELHKELLTRQNSIVSNGEGHNLLSDNGSMLRAKSSGVCCEGLLDWDQLAMLGEDHPAGVLVTRLDFWLYYVAYFCGGTIGLVYSNNLGQIAQSLGFHSNISTLVTLYSSFSFFGRLLSAGPDYIRNKFYFARTGWLTIALIPTPIAFIMLAASDSAVAVYTGTALIGLSSGFIFAATVSVTSELFGPNSFSVNHNILITNIPIGSLLYGYLAAVVYDANAYSVPGNMMPNSPVCMGRQCYFWTFVVWACVSILGLASTTVEAETDSPWHPLKPGKEILVKFLPDLLIFITC >OIV93302 pep chromosome:LupAngTanjil_v1.0:LG18:9085922:9087451:-1 gene:TanjilG_13129 transcript:OIV93302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNESNANMLQCPLNQQLRISSDGPVSILWDIENCSIPTDVRPEDVAGNIRMALQVHPIIKGAVMMFSAYGDFNAFPRRLREGCQRTGVKLIDVPNGRKDAADKAILVDMFLFALDNPPPSSIMLISGDVDFAPALHILGQRGYTVILVIPAGVGVSSALCNAGKFVWDWPSVARGEGFVPPSKALFPPRGSSIELAGYLMGCHISDNSDGQNEEEAILYRGMSQNYYNSRDFSMVSQSLAEYNYGTPNMSCFPTTVRSHSLPPGLNDVSVGHMPSNDNNDDVLWVQPGDLNGLKGQLVRLLEISGGCMPLARVPAEYQKMYGKPLYISDYGAMKLVNLFKKMSDTLAVEGKGHRKFVYLRNWKACPSAPPLVLAKKNKKRKGVQEESANSFAGGCSSDELSDEERAVIGEHGERSCIGKGNQVRATRSEVDDRILEQFKYELQEILVSYSCQIFLGCFEAVYQQRYKKELEYQRFGVDKLEDLFAIVSDVVVLHEEPLSKRKFLAAVGC >OIV92919 pep chromosome:LupAngTanjil_v1.0:LG18:13814616:13818926:-1 gene:TanjilG_20581 transcript:OIV92919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDRLTRIAIVSNDRCKPKKCRQECKKSCPVVRTGRLCIEVTQASKIAFISEELCIGCGICVKKCPFEAIQIINLPKDLDKDTTHRYGPNTFKLHRLPVPRPGQVLGLVGTNGIGKSTALKVLAGKLKPNLGRFTNPPDWQEILTYFRGSELQNYFTRILEDDLKAIIKPQYVDHIPKAVQGNVGQVLDQKDERDAKAELCADLDLNQVIDRNVGDLSGGELQRFAIAVVAIQHAEIYMFDEPSSYLDVKQRLKAAQVIRSLLRPNSYVIVVEHDLSVLDYLSDFICCLYGKPGAYGVVTLPFSVREGINIFLAGFVPTENLRFREESLTFKVAETPQETAEEAQSYSRYKYPTMTKTQGNFRLRVAEGEFTDSQIVVMLGENGTGKTTFIRMLAGLLKPDAIEGGSDVEMPEFNVSYKPQKISPKFQSTVRSLLHQKIRDAYTHPQFISDVMKPLLIEQLMDQEVVNLSGGELQRVALCLCLGKPADIYLIDEPSAYLDSEQRIHAAKVIKRFILHAKKTAFVVEHDFIMATYLADRVIVYEGQPSIDCTANSPQSLLSGMNLFLSHLNITFRRDPTNFRPRINKLDSTKDREQKNAGSYYYLDD >OIV92802 pep chromosome:LupAngTanjil_v1.0:LG18:14550044:14603156:1 gene:TanjilG_00936 transcript:OIV92802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEDQVAYLLQRYLGNYIRGLNKEALKISVWKGDVELKNMQLKPEALNALKLPVKVKAGFLGSVKLKVPWSRLGQDPVLVSLDRIFLLAEPATQVEGCTEDAVQEAKKNRIQEMELKLWEKSQQFKSEMNKSWLGSLISTIIGNLKLSISNIHIRYEDCESNPGHPFSAGVMLDSLSAVTVDDTGKETFITGGALDRIQKSVELDGLAVYLDSDIIPWHVNKAWEDLLPSEWFQVFKFGTIDAKPVDYSLRKHSYILQPVTGKAKYYKLRLNEVADSNQPLQKAVVNLDDVTISLSKDGYRDITKLAENFAAFNQRLKYAHHRPLVPVKADPRSWWRYAYRAVSDQMKKASGKMSWEQVLRYTSLRKRYISLYAMLLKSDPSQVTISGNKEIEELDRELDIGLILQWRMLAHKFLEQSAESDLNLRKQKVGKSWWSFGWTSQSPKEESEEFNFSEEDLNQLNEIIGYKEGDEGQSAISTKADVLHTSLVVHMNHNASKLIGEANESVVELSCEDLSCSIKLYPETKVFDIKLGSYQLSSPKGLLAESATSYDSLVGVFCYKPFDDKVDWSMVAKASPCYMTYIKESIDQIVKFFESNTAVSQTIAVETAAAVQMKFDEVKRTAQQQMNRALKDQARFSLDLDIAAPKITIPTDFCPDNTHATKLLLDLGNLLIRTQDDHQQGSTEENMYLRFDLVLSDVSAFLFDGDYHWSQFSKNKSAHSTNSGYLPIIDECVIILQLQQIRLERPYYPSTRLAVRLPSLGFHFSPARYHRLMQVIKIFEGKDGDSSEFLRPWHQADLEGWLSLLTWKGLGIREAVWQQRYFCLVGTFLYVLESPDSRSYKQCTSLRGKQAYQVPPELVADAEHVLVVCSPARSSSNVVEDSNALIFRCESEDSLKTWHSRLQGAIYYASDLASISGLSETSSDHDDTELEHDNQDVLDVAIAERLFVTGVLDELKVCFSYSYQSDQSLMKVLLNEERHLLEFRAIGGQVELSIRDNDIFIGTILKSLEIEDLVCSTQRSQPCYLARSFVGTADAHSLLYNTTREVVDSSGLIPSETDDMFYEAPETLTDSGDYPMQSPGGTSEYPSSSNSEIKFKYSSLSPPKFSRITGLLPSDAPSTSTKETELTDTLESFVKAQIVIYDLSSTRYNNTDKQVIVTLATLTFFCRRPTILAIMEFINSINIEDGSVATSSDSSSTAIMKNDESRDIGDLNATIVEEHAVKGLLGKGKSRIMFNLTLKMAQTQILLMKENETKLACLSQESLLTDINVFPSSFSIKAALGNLKISDDSLPSSHLYYWACDMRNPGGRSFVELEFTSFSNVDEDYDGYDFSLFGKLSEVRIVYLNRFVQEVVGYFMGLIPTSPKSVIKVTDQVTNSEKWFSASEIEGSPAVKFDLSLRKPIILMPRRTDSLDFLRLDIVHITLKNTFQWIGGSKSEINAVHLETLTVQVEDINLNVGTGADLGESIIQDVNGLSVIIHRSLRDLLHQFPNTEVIIKIDELKAAVSNKEYQIIAECAVSNFSEVPDVPPPLSEPSSMISNDGTGDNVPEVMDNVDSTTTDVEASILLKISVSIYLVELSLYTGITRDASLATVQVSGAWLLYKSITAGKGFLSATLQGFSVFDDREGVGQEFRLAIGKPQNVGASPLDAFSYYQNQELGDSRITKGNNCEPVTTMLIVDMKFGPDSTFVSLCVQRPQLLVALDFLLAVVEFFVPTVSSMISSEENNKFHMLETIIMDQSIYKQPSTEFSLSPQKPLIVDDESFDHFIYDGDGGTLYLKDRQGFNLTAASSEAIIYVGSGKKLQFRNVVIKGGQHLDSCVFLGANSSYSALKDDHVYLEGLDESSQPRSSRGSVDEEPHQNTAVNNSTELIIEIQAVGPELTFYNTSKDIGESLSLSNKLLLAQLDAFCRLVLKGNNTEMSADVLGLTMESNGIRILEPFDTSVKYSNASGKTNIHLSVSDIFMNFTFSILRLFLAVEDDILAFLRTTSKKMTIVCSHFDKVGTIKNSDTGQTYAFWRPHAPPGFAVLGDYLTPLDKPPTKGVLVINTNSITVKKPISFNLIWPPLTSLGSQGEEMDNSDLLCKNEEDSCCSIWFPEAPKGYVALGCVATHGRTPPPLSSAFCIPSSSVSPCSLRDCITIGTTDVCSSSVAFWRVDNSVGTFLPVDPNKLSLMGKAYELRCIKYDFLKVSSTALSNLDSRSPSGGNQTSQSNQSGDGNSNRRFEAVASFQLIWWNQGSTSRNKLSIWRPVVPMGMVYFGDIAVKGFEPPNTCIVVQDSRDENIFKTPLDFQLVGQIKKQRGIEGLSFWLPQVPPGFVSLGCVACKGKPKQGEFGSLRCVRSDLVAGDKFLEESVWDTSDTKYATEPFSIWSVGNELGTFIVRGGLKKPPRRFALKLADSSLPSGSDITVIDAVIGTFSTALFDDYGGLMVPLFNVSSSGITFSLHGRTEYLNCTASFSFAARSYNDKYEAWEPLVEPVDGFLRYQYDLNALGTASQLRLTSTRDLNLNISVSNVNMIIQAYASWNNLTHAHECYKNRDAFSPTSGGNSIIDTLQRRNYYIIPQNKLGQDIFIRATEARDLQNITRMPSGDMKAVKVPVSKNMLDSHLKGKLCKKIRTMVTIIIAEAQFPRVEGSDSQQYTVAVRLSPNQSLPTDALVHQQSARTCGRRAHPLLPSDLELVKWNEIFFFRVDSLDYYSFELIVTDMSKGVPIGLFSTSLNQIARTIKDSSSPQNFASQLNWIDLSAENSTDAYYKSPRKLRTAVLVHSSEVENNNQPSNYGEHKSGFIQISPSKEGPWTTVRLNYAAPAACWRLGNDVVASEVRVKDGNRYVNIRSLVSVRNNTDFVLDLCLTSKNSYEKMNLLENSNNSVSIQTESNRVQMDEFYETEKLTPHKDWVGCSGSPGQHFSETGKSHQVIAPSAILLLFIYIFTYVIQAFPEIDLPPGWQWVDDWHLDINSTNTSDGWIYAPDVESLSWPESFGPRESPNSARQRRWLRSRKLVADDLNNEISVGLLQPGETTPLPLSGLSQTVQYFLQLRPWTSANPSEYSWSSVVDRPSYPEDAGMGKQCSNLCVSALSESEELLCCSEIHGTSVGFHKLWFCVSIQATEIAKDIHSDAIQDWCLVIKSPLLISNYLPLAAEYSVLEMQSSGHFLACSRGVFLSGKTVKIYSADIRSPLFLSLLPQRGWLPIHEAVLISHPQGVPSKTIGLRSSISGRVIQIILEQNYDKERPLLAKTIRVYAPCWLGAARCPPLTFRILDMSGKRRIPKIASHSLSDKKNGLIIEEITDEEIYDGYTIASTLNFNTLALSVAIAQSGNEHFGPVQDLYPLGDMDGSLDIYAYDGDGNCLRLFISTKPCPYQSIPTKVISVRPFMTFTNRLGQDILIKLSTEDSPKVLHASDSRISFVCRGIGGPEKLQVKLDDTNWSFPLRISREDTISVVLRTHDGTLKFLRTEIRGYEEGSRFIVVFRLGSTDGPMRIENRTANKVLHIRQSGFGEDSWIQLQPLSTTNFSWEDPYGDKFLDAKLGADDITAIWKLDLGRSELCSAEFGLQCHVIHGGDIMVVKFRNNRMLNSSSNEELRDPMPSGSRGVSGVQAEMQNSATPFELLIELGVVGISIVDHRPKELSYLYMERVFLSYSTGYDGGRTSRLKFIFGYLQLDNQLPLTLMPVLLAPEQTSDVQHPVFKMTVTMQNENKDGIQVYPYVYIRVTEKCWRLDIHEPIIWAIVDLCNSLQLDRLPKSSTVTVVDPEIRFDLIDVSEVRLKLSLETAPGQRPHGVLGIWSPILSAVGNAFKIQVHLRRVMHRDRFMRKSSVVPAIGNRVWRDLIHNPLHLIFSVDVLGMTSSTLASLSRGFAELSTDGQFLQLRAKQVRSRRITGVGDGIMQGTEALAQGVAFGVSGIVRKPVQSAQQNGLLGLANGIGRAFLGFIVQPVFNNKTTFHRIRNPRAIHANGILREYCEREAIGQMVLYLGEARQQFGCAEIFKEPSKFALSDYYEEHFTVAHQRIVLVTNKRVMLLQCIAPDKMDRKPCKIMWDIPWDELMALELAKAGSNQPSHLILHLKHFRRSEIFVRVIKCNIVDEFEGREPQAIKICSVVRKTWKAYQSDMKSLILKVPSSQRQVHFAWSEVDSRQPRTSNKAIISSREISSYSTVSDDRRFIKHSITFSKIWSSEQEYKGRCSLCRKQTSQDGGICSIWRPVCPDGYACIGDIARVGIHAPNVAAVYRMIDGLFALPIGYDLVWRNCSDDYVTPVSIWHPRAPDGFVSPGCVAIAGYTEPELDLVHCVSESLVEETEFEEQKVWSAPDSYPWACHIYPVKSDALHFVALRQTKEDSDWKPKSVRDVPPNQLQSP >OIV92813 pep chromosome:LupAngTanjil_v1.0:LG18:14665879:14668997:1 gene:TanjilG_00947 transcript:OIV92813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACCCKGFWECLLKLLNFILTLTGLAIVGYGIYLLVVFTKASGDTPAIPPVSDDRDLIRLGRPMLMAVSLSDSILDKLPKAWFIYVFIGIGVVLFVISCFGCIGAVTRSGCCLSFYSVLVVLLILAELGSAAFIFFDKSWKEEIPVDKTGAFDLIYGFLRENWNLVKWVALGIVIFEALLFFLALVVRAANRPADYDSDDEYINPRQQARQPLLNKPAGPATGVPVAGTIDQRPSRNDAWSTRMREKYGLDTSEFTYNPSESQRFQQVNSQPTEERSRCTIM >OIV93190 pep chromosome:LupAngTanjil_v1.0:LG18:10659773:10662112:-1 gene:TanjilG_24405 transcript:OIV93190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSFDTFSVDDLNNSDHLHVVDDDTYSGYGSYSAFSGVEHTSAAVSPEIFGFTDPDPSFSQSPFEPVHVSEHGNGNGNGNSYDDGVFVSDGPVLPPPGDMEPEEGYVLREWRRQNAIQLEEKEKREKELRAKIIEEAEDYKVAFYEKRTLNVETNKVQNREREKLYLANQENFHKEASKNYWKAISEIIPREVPNIEKKRGKKDQDKQPSVKVIQGPKPGKPTDLSRLRHILLKLKHTPPAHMIPPPAAPAKDSKDGKDGKETAPKANGSAPEGAPESQPKDAANNSTGDVPQKEVPATEE >OIV93102 pep chromosome:LupAngTanjil_v1.0:LG18:11706360:11718501:1 gene:TanjilG_20764 transcript:OIV93102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRSRDDGFMSSQIKRPLLYRGEESEQPQAVNGGGQKLTANDALTYLKSVKDMFQDKKDTYDEFLEVMKDFKAQRTNTAGVIARVKELFKGHRELILGFNTFLPKRYEITLPPEDDQPPPKKPVEFEEAINFVNKIKTRFHGDDLVYRSFLDILNMYRKENKSITEVYQEVAALFQGHSDLLVEFTHFLPDTSEAASTRYAPARYSMLRDRSSTMLTMRQMHVDKRERTMASHCDRDLSVDRADLDREKGLARAEKEQRRHVEKENDRREERDQRERESDNRDNRHYHSRNMEQFSHKGKSDHRDEDSGAEPLLGANENFGLRPMSSTFDETNSLKSKYSQELAFCERVKETLRSPDDYQEFLKCLHIYSKEIIARHVLQSLVGDLLGNSPDLMEGFNEFLVQSEKNDGGFLAGIMNKKSIWSEGHGLKPMKVKDTDRGRDRCRDEGMKERGRECREKDKSTAIANKGVSGSKTSLFPSKDKYLSKPINELDFSYCDQCTPSYRLLPKNYPIPPASQKTELGAEVLNDHWVSVTSGSEDYSFKHMRKNQYEESLFRCEDDRFELDMLLESVNVTTKQVEELLDKINKNTIKGDSPIRIEEHLTALNLRCIERLYGDHGIDVMDVLRKNSPLALPVILTRLKQKQDEWARCRADFNKVWAEIYAKNYHKSLDHRSFYFKQQDTKNLSTKALLSEIKEISEKKHKEDDVLLAIAAGNRRSILPNLEFDYPDSDIHEDLYQLVKYSCGEVCTTEQLDKVMKIWTTFLEPVFCVPSRPSGAEDTEDVVKAKNNSVKSVTACVAQSDDNPGVGATIMNGDECINGDECMPSDQSNCSKAWQSNGDSGVKEDKCLASDRIVCKTETFGSVVQHGKMNFSAFTYDESSGVNKQDPSGERLVNGNVLSASGMEQSNGRTKIDNALGLTATPSRPSNFAVKGGLDLPSSEGDDSTRPGTSTNGAITEGSEVQRYQDESVRHFKNEREEGELSPNDDYEEDNFRVYENGGLDAVHKGNDGDVSRQRQNSHGEEVCGEARGENNADADDEGEESLHRSSEDSENASENVDVSGSESADGEECSREEHGDGERDEKAESEGEAEGMAGAHDVEGDGASLPFSERFLLTVKPLAKHVPMLLHEKERNSQVFYGNDSFYVLFRLHQTLYERIRSAKINSSSAERKWRASNDTSSTDQYDRFMNALYSLLDGSSDNTKFEDDCRAIIGTQSYLLFTLDKLIYKLAKQLQVVASDEMDSKLLQLYTYEKSRKPGRFVDIVYHENARVLLQDENIYRIEYSPGPMQLSIQLMDHGLDNPEMAGVSVDPSFSSYLHNDFLSVVPDKKKSRIFLRRNKRRYAPSDEFASQAIAGLQVINGLECKIACNSSKVSYVLDTEDYLYRMKKNRRVLHQKSSCHEQTKSSNICARRQQRYHKLFSIT >OIV93610 pep chromosome:LupAngTanjil_v1.0:LG18:1186497:1189300:-1 gene:TanjilG_04842 transcript:OIV93610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYENNNWIWERVYYYPYVFGCFMVTAALLGLSTSFFGGIGVHLPLPCSLSNFGIFHKKKSGKRRVRVYMDGCFDLMHYGHANALRQAKALGDELVVGLVSDEEILANKGPPVLSMEERLALVSGLKWVDEVITEAPYAITEEFLNRLFHEYKIDYVIHGDDPCLLPDGTDAYAAAKRAGRYKQIKRTEGVSSTDIVGRIMTSLRDPKVCEDHNGTDEKPQAENRSKSSHISQFLPTSRRIVQFSDGKAPGPNARVVYIDGAFDLFHAGHVEILKRARELGDFLLIGIHSDKTVSEHRGNRYPIMHLHERSLSVLACRHVDEVIIGAPWQITKDMITTFNISAVVHGTVAEKSLHSESDPYEVPISMGIFHLLQSPKDITTTSVAQRIMANHEAYMKRNAKKTLSEQRYYEEKIYVSGE >OIV93113 pep chromosome:LupAngTanjil_v1.0:LG18:11589995:11590408:1 gene:TanjilG_20775 transcript:OIV93113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGWIKALREEAENERMHLITMVELVKPKWHERLLVLIVLYIFSPKLARRIVRYLEEEAIHSYPEYLKDIDSGAIENVRSSLSSHCNRLYWRLPKDAKMRDVVTVIHADEAHHWDVNHFALVSVIHHLSECHSSLK >OIV93010 pep chromosome:LupAngTanjil_v1.0:LG18:13007094:13008101:-1 gene:TanjilG_20672 transcript:OIV93010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNNNSRNNDSLGVNKTGKNIRKSPLHQPNFGNNAAKQQPQPQVYNISKNDFRDIVQQLTGSPSHHSQDHPPRPPNNPHKPQSMRLQKIRPPPLTPINRPHMPSQMPVYTAPPPIPYNNAIPRPPAQFGQPSPTPLQPLTPGDLWANTTESPISAYMRYLQNSMNEPSPWGNQVQPQPYPHQHPQPHPHTQSQIPGNGQPQPPSSALLPNPPMPVFPSPRYNGPIPPMNASYHPPMPSLPSPQAIGPPLLPSPTSQFLLPSPTGYMNLLSPRSPYPLFSPGIQFPSPLTPKFPFSPIAQSGILGPGPQHPPSPGIMFPLSPSGFFPMPSPTWRDH >OIV93740 pep chromosome:LupAngTanjil_v1.0:LG18:56112:58833:1 gene:TanjilG_16591 transcript:OIV93740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKLCGCLFDLFVVLLITPSCCIAQITASENRIVFEIQKILEYPQVLEGWTNWTNLCFLPPSTSLNIVCSSDGHITELTIIGNNSSPSSHSLKPNQALSGTFSIDSLFTLITKLSNLKSLSLVSLGLWGPLPSKINRFLSLEVFNISSNFIQGEIPSSISSMKNLKSLVLADNLFNGSVPDLQGIASLQELNLSSNKLRSQIPLQLIHFNNNLHILDISSNQIFGNIPSSLFSLPSLQHLNLASNQLSGNLSDKIACGSALTYVDISHNLLVGKLPSCVASLVSSKGGTVLYSWNCLSSGKRLSGQQQQQQQQHAYSYCNKEVALAVKPTLPKKVKKESGGMTLGLVIGIIGGVVGIAALLALFIVFIIFRKSKAQRSDPPKIDRSMDHKFSAHATSLRPIDARRVPQTMRVGALGLPPYRIFTSEEIEDATNNFDPSNLIHEGSQGQDAPPQTTSTTVFIVYEFISNVSLKDQLTDWKKRDMLKWPQRMAMSIGIARGVQFLHTGVAPAIYGNNLSIENILLDDSLNAKVSRYSIPFTSNQIGSTNKAEKEDIYELGVILLELITGRRIESSSELEELKDEVERGSSEAQSVLRSAIDPSLRGTYAYESMRTAVQITINCLSKFYTNRPSIEDVLWNLHYSMQVQESWTSSGNLSTKF >OIV93661 pep chromosome:LupAngTanjil_v1.0:LG18:1819237:1821644:-1 gene:TanjilG_04893 transcript:OIV93661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERQVCDEKSRDMGSSIGICYSDISSNNPTIHQTHLVNQIQGFVSDPEIFNLSTNMDMIGFSKNHIHQQSFFNKLGQQQHQGPSLSSNTMNESCNTFYHHEYNNKPGFTSGNISETTSSDNHLILSAHDSAAWQENRTSLLVDDSSLRYVFPSEGNNHNHTQSQGLSLSLSSNNIGLQSFEVRNQQHQPDFASSSSSRDGFYPKSSQQQQQQMLQQGANIYQGHFMLKNSKFLVPAQELLNEFCSLETKENDIVKQKSDQKNKQSWKEDSGKNKHSLTSLEFLELQKRKTKLLSMLEEVDRRYRHYRNQMKSVVSSFEAVAGSGAAIVYSTLALKAMSRHFRCLKDGILDQIQETRKAMGEKEQTSPCTTKGETPRLRILDQTLRQQRAFQQMNMMETHPWRPQRGLPERSVSFLRAWLFEHFLHP >OIV93455 pep chromosome:LupAngTanjil_v1.0:LG18:5614436:5619011:1 gene:TanjilG_10087 transcript:OIV93455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSKAIMSSLTHMRPLTCAASASSSYPSRLVPYAPDLIKWVTKEGGFLHPAVKIAHSHHSNGLGLVTNQQIPTGTDLIILPHHLPLSFRSFDSDQSQDSVDSVLAQLAHRIPEELWAMKLGLKLLQERAKVGSFWWPYISNLPETYSVPIFFPGEDIKNLQYAPLLHQVNKRCRFLLDFEQEIKRALVNLTPDKYPFGDQEVHASSLGWAMAAVSSRAFRLYGNKCPDGVHIEVPMMLPLIDMCNHSFSPNARIVQERDTDNMKMQVVAEREIKEDDPLLLNYGCLSNDFFLLDYGFVVDSNPYDHIELKYDIALLDAASMAAGVSSPNFSAPVPWQELILSQLNLAGEAPDLKVTLGGHEIVEGRLLAALRVLLANNMETAQNYDLNTLKSLSAEPPLGVANEKAVFRTLVALCVIALEHFPTKIMDDESLLKQGVSGSTELAIQFRIQKKSVIVDVMRSLSRKLKLLSSKETVTDEG >OIV93397 pep chromosome:LupAngTanjil_v1.0:LG18:6706742:6712840:-1 gene:TanjilG_02934 transcript:OIV93397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRNVTSHLRQRLRAVRAGSCNRVPARFASSSSVATKQSSRGLGSLLGWLTGDRSSSVPPLDYPLPDVKLPPTLPDYVEPGKTIITTLPNGVKVASQTSPAPGASVGLYVNFGSIYETPLTFGATHLLERMAFKSTKNRSQFRVVREVEAIGGNVQASASREQMGYTFDALKTYVPEMVELLVDSVRNPAFLDWEVNEQLLKVRDDIGEASKNPQDLLLEAIHSSGFSGALANPLLASESAINGLNGTILEEFVAENYTAPRIVLAASGVEHGELLSIAEPLLSDLPSGPHLEEPKSVYTGGDYRCQTESGMTHFALAFELPGGWDNLKDAMVLTVLQMLLGGGGSFSAGGPGKGMYSRLYLNVLNQYPQVHSIAAFNNIYNNTGIFGIQVTTGSDFVSKAVDIAANELLGVATSGKVDQVQLDRAKSATKSAILMNLESRMVVSEDIGRQVLTYGERKPTEDFLKAVEGVTLKDITSLSQKLISSPLTMASYGDVLYVPSYDSVSRKFR >OIV93325 pep chromosome:LupAngTanjil_v1.0:LG18:8625286:8627807:-1 gene:TanjilG_23097 transcript:OIV93325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQSALDEISNSGAFVRTASSFRQIISRDSNSQFPAESGRYHLYVSYACPWASRCLAYLNLKGLHKAISFSSVKPIWGRTKDSDEHMGWVFPDSPNEVPGAEPDMLNGAKSIRELYEIASTNYTGKYTVPVLWDKKLKTIVNNESSEIIRMFNTEFNNIAENPTLDLYPTNLKAQIDETNEWIYDSINNGVYKCGFAKKQEPYNEAAKQLYEALDKCEEILSKQRYICGNALTEADVRLFVTLIRFDEVYAVHFKCNKKLLREYPNLFNYTKDIFQIPGISSSVNMQHIKLHYYGSHPSINPFGVVPLGPDIDYSAPHDRDRFST >OIV93350 pep chromosome:LupAngTanjil_v1.0:LG18:8125159:8129940:-1 gene:TanjilG_08763 transcript:OIV93350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGAGTFVQAIRRLFNPQQRSSSSSSSSSQQHVSNNNNAIFVNDLSSQLPTNPNQETDLALDGDFDYSALKHIKVPTCNLFAPDFSMDPHKKGAQEVEFFTEYGEASRYQILEVVGKGSYGVVGSAVDTHTGEKVAIKKINDVFEHVSDATRILREIKLLRLLRHPDIVEIKHIMLPPSRREFKDIYVVFELMESDLHQVIKANDDLTPEHHQFFLYQLLRGLKYIHAANVFHRDLKPKNILANADCKLKICDFGLARVSFNDAPSAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAEMLTGKPLFPGKNVVHQLDLMTDLLGTPPAESIARIRNEKARRYLSSMRKKQPVPLSEKFPNADPLALSTLERLLAFDPKDRPTAEEALADPYFNGLSNIDREPSTQPISKLEFEFERRKLTKDDVRELIYREILEYHPQMLQEYLRGGDLTSFMYPSGVDRFKRQFAHLEEHYGKGEGGTPLQRQHASLPRERVVSSKDESNQNNDFEKPTGSNLQSPPASDATDAGNTQNGHSKPSNSARSLLKSASISGSKCIGVKQSKDQEAESITEIRDEAVDELTENLASLHT >OIV92699 pep chromosome:LupAngTanjil_v1.0:LG18:15736243:15738963:1 gene:TanjilG_18050 transcript:OIV92699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTSSASSRKNLSKIASNRLQKELFEWQVNPPTGFKHKVTDNLQRWVIEVAGAPGTLYTNETYQLQVDFPENYPMEAPQVIFLNPAPLHPHIYSNGHICLELYDFMSLEDWSNHDPEQTPFCLDCAGFSSQHTGQVGSCFHIEPMQMGRNLRSIKFFLPGESEPRHKGNGMDACLVTWRLWVQILKTASSLVSVTLYILYDSWSPAMTVSSICISILSMLSSSTVKQRPEDNDRYVRNCRNGRSPKETRWWFHDDKV >OIV93620 pep chromosome:LupAngTanjil_v1.0:LG18:1268840:1277423:1 gene:TanjilG_04852 transcript:OIV93620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLLKVATCNLNQWAMDFDFNANQIKNSISKAKQSGAIIRLGPELEITGYGCEDHFLELDTVNHAWECLKDILVGDWTDDIVCSIGMPIINGSERYNCQVLCLNRKIIMIRPKMWLANDGNYRELRWFTAWKKRDELVDFQLPHEISEALGQRSVPFGYGFVKFRDTAIAAEVCEELFTPIPPHSELALNGVEVFMNASGSHHQLRKLDLRLRAFISATDTRGGVYMYSNHQGCDGGRLYYDGCASVVVNGDVVAQGSQFSLKDVEVVVAQIDLDVVASLRGSVSSFQEQASCKTKVPSVEVPYSLCQPFNLKTRLSIPLKIKYHSPEEEIAFGPGCWLWDYLRRSGASGFLLPLSGGADSSSVAAIVGCMCQLVVKEIANGDEQVKADAIRIGNYKDGQYPTDSKEFAKRIFYTVFMGSENSSDLTRSRAKVLADEIGSWHLDVSIDVVVSAFLSLFQTLTGKRPRYKVDGGSNIENLSLQNIQARIRMVLAFMLASLLPWVHSKPGFYLVLGSSNVDEGLRGYLTKYDCSSADINPIGSISKQDLRAFLRWAAIHLGYSSLADVEAAPPTAELEPIRSDYSQLDEVDMGMTYEELSVYGRLRKVFRCGPVSMFQNLCYRWGATLTPSQIGEKVKHFFKYYSINRHKMTVMTPSYHAESYSPEDNRFDLRQFLYNARWPYQFQKIDKLVGELDVKEVVQGSGDHETVAAASDVGGMGVAAAGSGNPNVGL >OIV93615 pep chromosome:LupAngTanjil_v1.0:LG18:1215639:1216046:-1 gene:TanjilG_04847 transcript:OIV93615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGETLEFLSTGWKGHPEKFIFDRMVKYSSEIFKTSLLGEPIVVFCGASCNKFLFCNENKLVTSWWPDNVNKVFPTSLQTSSKEESKKMRKLLPQFLKPEALQRYVSVMDVIAHKHFGSFWENKTQVTVYPLAKR >OIV93412 pep chromosome:LupAngTanjil_v1.0:LG18:6253390:6267015:1 gene:TanjilG_02949 transcript:OIV93412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVPLAPYPTPPPSAANGAQSQLVCSGCRNLLLYPVGATSVCCAVCNAVTAVPHPGTEMAQLVCGGCHILLMYTRGATSVKCSCCHTVNLALEANQVAHVNCGNCIMLLMYQYGATSVKCAVCNFVTSVGILEALL >OIV93300 pep chromosome:LupAngTanjil_v1.0:LG18:9060664:9063919:-1 gene:TanjilG_13127 transcript:OIV93300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQNPTLATTLFSLLLLLHSSLALKEGQTCVSNKNCDKGLYCETCVANGNLLPRCTRIQPIIPTSKVKGLPFNHYSWLTTHNAFALLDQKSEIDSLILAPTNQQDTITDQLNNGVRGLMLDLYDFENDIWLCHSFGGQCYNYTAFQPAINVLKEIQAFLEANPSEIVTIIIEDYVTSQKGLTKVFDAAGLRKYWFPVSRMPKNGGEWPTIDDMVQKNQRLVVFTSKKAKEASEGIAYEWQYLVENQYGDDGMKAGSCPNRAESTPLNTASRSLVLVNFFRSVPDVTKSCEDNSAPLLSMINTCYQEAGKRWPNFIAVDFYKRSDGGGAAEAADVANGHLVCGCKNISSCKANMTFGACDILPEAEAIPPRASPPHASSFGFRNCKPLHLLWLFATTLLVAMLLSL >OIV93674 pep chromosome:LupAngTanjil_v1.0:LG18:578395:579057:-1 gene:TanjilG_16525 transcript:OIV93674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRTLRILHSINSTTASPPPETVSAESDYVVILAALLCALVCVVGLIAVARCAWLRRGSGTGATANSPAPALANKGLKKKVLNSLPKFTYLESDAGGDKRNKWVAISECAICLSEFSAGDEVRVLPHCGHGFHVACIDPWLGSHSSCPSCRDLLAPARCRKCGHFPAVSAGTVAIDVSHETELKSVDCDNNSSVANDNCDAFGKVLSHHHSHSVNNGFLA >OIV93364 pep chromosome:LupAngTanjil_v1.0:LG18:7491082:7504620:1 gene:TanjilG_21075 transcript:OIV93364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGVDHLAPERNKSEFDVDAMKIVWAGSSHVLEVSERMARLVASDPVFRKDNRSMLGRKELFKNTLRKATYAWKRIIELRLNEEEASMLRSFVDEPAFTDLHWGMFIPAIKGQGTEEQQRKWLPLAQKMQIIGCYAQTELGHGSNVQGLETTATFDPKTDEFVIHSPTLTSSKWWPGGLGKVSTHAIVYARLITDGHDHGVNGFIVQLRSLDDHLPLPGITVGDIGMKFGNGAYNTMDNGVLRFDNVRIPRDQMLMRWMGEGNVELKRQICDKTSIKESDSVFCTHFQLQSQCAYQFTSIDSVDDCLHFELTRVSQVTREGKLVQSNVPRQLVYGTMVYVRQTIVADASVALSRAVCIATRYSAVRRQFGSHNGGPETQVIDYKTQQARLFPLIASAYAFRFVGEWLKWLYMDVMQRLQASDFSTLPEAHACTAGLKSLTTSATADGIEECRKLCGGHGYLCSSGLPELFAVYVPTCTYEGDNVVLQLQVARHLMKTISQLGSGKKPIGTTAYIGRLEQLMQYRSDVQKAEDWLKPDVVLGAFESRAARKSVAVAQNLSKFSNPEEGFQELSADLAEAAVAHCQLIVVSKVVHCTRLPPSGVWGGWMYAALPSQSNRVARHLMKTISQLGSGKKPIGTTAYIGRLEQLMQYRSDVQKAEDWLKPDVVLGAFESRAARKSVAVAQNLSKFSNPEEGFQELSADLAEAAVAHCQLIVVSKFIEKLQKDIPGKGVKKQLERLCSIYALFLLHKHLGDFLSTGCITPKQGYLANEQLRSLYSQVRPNAIALVDAFNHTDHYLGSVLGRYDGNVYQMLYDEAWKDPLNDSVVPDGYQEHIRPMLKQQLRNARL >OIV93334 pep chromosome:LupAngTanjil_v1.0:LG18:8292794:8300044:-1 gene:TanjilG_23270 transcript:OIV93334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLRTETTLLIFPLLALFFCVPFSYSSIPNDPFLGISPQDEKYYKSSDVIKCKDGSAKFTKEQLNDDFCDCLDGTDEPGTSACPGGKFYCGNAGHVPVYLFSSRVNDGICDCCDGTDEYDDKVKCPNTCWEAGKVAREKLKKKIDTYQEGVKLRKQEIEQAKLAMEKDQAELSKLEKEESTLKGLVQQLKEHKEQIEKAEEKERLQKEKEEKQKREAEEKANGVNIKAGEEDTGHGNEDGKHLEVEDNDVTDNHDKTGTLDDSSADQVEAGEKLANAHDNDDGATDSPGHEGSLLDEEEEIAKEADGESGFKSDADDNVKVEKKDSSDEIINEGHDVSENTEGLSKEELGRLVASRWTGENTDKQSAEADTTSDNEDLEDIPDETHNVEHDGYASDTDNDSSKYEEDEIDEDFREDEHDDHSSSYKSDTETEPDLSDDITTDNPSWLEKIQKTVRNIFQAVNLFQTPLNQTDAAHVRKEYDESSTKLSKIQSRISSLTQKLKQDFGPAKEFYSFYGRCFESKQNKYTYKVCPYKQASQEEGYSTTRLGRWDKFEDSYRVMVFANGDKCWNGPDRSLKVKLKCGLKNEVTDVAEPSRCEYGINGVTTKAGVVEFGTARKARRVVNNQDGLNQYEDLQATKEVPLKFCMVELTQI >OIV92752 pep chromosome:LupAngTanjil_v1.0:LG18:14145943:14147426:-1 gene:TanjilG_00886 transcript:OIV92752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTYYDGGRRGDEVDPDQIHTHHDNGDYVKLMWDPLVSQPESTVVEFSPAARRASFWYWVKVVASLICLILLAFVGFKWVGPFFIEKVIIPLMNWVRNTFTIPELAILVVASVALFPTILLPSSPSMWLAGMTFGYGFGFLLITSAVAVGVSLPFFIGSVFLHHKIEGWLEKYPKRASILRAAGGGNWFHQFKAVVLIRISPFPYILYNYCSVATNVKYGPYLLGSLVGMLPDIVLSIYT >OIV92804 pep chromosome:LupAngTanjil_v1.0:LG18:14623932:14624528:-1 gene:TanjilG_00938 transcript:OIV92804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASAPVDPHDKMRARDVNKVARGEQAPRPAHEYGTVSPPPPPSSTNTSNNNKVHKCGAPEKDGELRGSSYQHCYVKYVEYHRCINQKGEKAPECNKLDTYVKSSCPTQWIAEWDRERLDGKFPEAI >OIV93294 pep chromosome:LupAngTanjil_v1.0:LG18:9005917:9010368:1 gene:TanjilG_13121 transcript:OIV93294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQVQAQNVVQGPNGGAGAGAENQLVTTSLYVGDLDPSVTDSQLYDLFNQLGQVVSVRVCRDLTTGRSLGYGYVNYSNPQDAARSLDVLNFTPLNNKSIRIMYSHRDPSIRKSGSGNIFIKNLDRAIDHKALHDTFSSFGNILSCKVATDSSGNSKGYGFVQFDNEESAQKAIEKLNGMLLNDKQVYVGPFLRKQEREVSVDKVKFNNVFVKNISESTTDDELKKVFGEFGPITSAVVMRDGDGKSRCFGFVNFENTDDAAQAVDALNGKKFDEKEWYVGKAQKKSEREQELKIKFEQSVKEAADKYQGANLYVKNLDDSIGDEKLKELFSPFGTITSCKVMRDPNGISRGSGFVAFSTPEEASRALAEMNAKLVVSKPLYVTLAQRKEDRRARLQAQFAQMRPLAIAPSAAPRVPLYPPGGPGIGQQIFYGQGPPAMIPSQPGFGYQQQLVPGLRPGGAPVPNFFLPMVQQGQQGQRPGGRRVGGVQQSQQPVPLLPQQMLPRGRVYRYPPPGRGIPEVAGGMFSVPYDVSGVPIRDAGLSQQIPIGALASALANASPEQQRTMLGENLYPLVEQLEPENAAKVTGMLLEMDQTEVLHLLESPEALKAKVGEAIEVLRNVAQQQAGGAADQLSSLSLNDNLVS >OIV93320 pep chromosome:LupAngTanjil_v1.0:LG18:8560861:8567673:1 gene:TanjilG_23092 transcript:OIV93320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSEEEDDFPSIETIIPQSKLDSLYQSHTEKGIRKLCCELLDLKDAVENLSVNMHSKFLAFLRISEEAVEVKHELVELKKHISAQGILVQDLMTGVCQELEEWNKSNKVVTEIEHEPEISKLLEPLSNEINDKKAMFLEDIDVLVAEHKFEEALEALDAEERNSNVFKCTGNNSSDEVSTYKSAFLERKAVLEGQLVGIAEQPSVSYPELKKALHGLMKLGKGPRAHQLLLKFYGSHLHKRIEALLPSSSLCPETFPSTLSKIVFSVLSLTTKESALIFGDNPVYTNRIVQWAEWEIEYFLRLVKERAPSSETVSALRAASICIQVSLNHCSILETQGLKMYKLLLVLLRTYVEEVLESNFRRARRVVLDMAESAEGFPLSPQFASSLSAIITNSSNSMLVKTGMRFMCIVEEILEQLTPLASLHFGGSVLSRISQLFDKYMDVLIKALPGPSDDDILPGLKEAVPFRAETDSEQLAILGIAFIILDELLPNTILSTWMQQGESKEPNSGIKENVLNANSSVELKEWRKHIQHCFDKLRDHFCRQYVLNFIYSGEGNTRLNAHIYLNDNRDDLYWDSHPLPSLPFQALFANLQQLATVAGDVLLGKDKIQKILLARITETVVMWLSDEQEFWGVLEDTSAPLQPLGLQQLILDMHFTVEIARYAGYQSRHVHQISSAIIARAIRTFSARGIDPQSALPEDEWFVETAKSAINKLLLGASGSEPSDIDEDHVIVHDEVVSDSDTVSSLSTMDSNESFASASMAELDSPSYLSD >OIV93159 pep chromosome:LupAngTanjil_v1.0:LG18:11078125:11079661:-1 gene:TanjilG_20821 transcript:OIV93159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIHTIICLILITMAFNPYNAKQTQPISEIITAKPFINKIYQWGSRAQIFQETQLHISGPMVVAAVLCFIASSISSAGGIGGGGLFIPILTIVAGLDLKTASSLSAFMVTGGSLANVMCNMCVTSPKFGGKSLIDYDIALLSQPCMLLGVSIGVICNLVFPEWLITILFALFLTWSTSRTCNSGLKFWKIESENVRKDGFKEVENGSSEASNGVVQVNKENERLETLEEPLLGPEESGKLRFPRFKFGVLVLVWFSFFFLYVLLGNKYRQSMIPIEPCGVGYWIISSVQIPLTVVFTAWIVHRKETHQDQNLIQGSNQSNKLIFPMMALLAGALGGVFGIGGGMLISPFLLQVGIAPEVSIIKLNMY >OIV93018 pep chromosome:LupAngTanjil_v1.0:LG18:12876978:12882407:1 gene:TanjilG_20680 transcript:OIV93018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPQQELEWMKAQKIAISVDLVDVAKKQLEFLAAVDRNRHLYDGPALERAIYRYNACWLPLLAKHSESRITEGPLVVPLDCEWVWHCHRLNPVRYKSDCEELYGRVLDNFDVVSTVQGICCRQTKEIWTKLYPNEPYNADLINLSEEDISERTATLAKYTKYDLISAARRQSPFFYQVSRPHMKNDLFIEEAVARYKGFLHLIKTNKEKGLKRFCVPTYDIDLIWHSHQLHPVAYCKDLNEALGKVLEHDDTDSERTKGKKLDTGFSGTTKQWEDTFGTRYWKAGAMYRGNAPSPITSNPSSYSMIRKKVVSSNEHPQEIELPDRKVVEVLLEFVGVKNLPEGQEGGLYVLFSKSQPDAFFDVKRRLSILSESGEKQVASFQCEPTGELHFELMSHSSSKLPIIRSTKTLGSVSFSIKEYLNPLSKLNVEKWLELLPSSGTMNSKSILLRVGISFTVPVPGPYALEMTNSRPFSKNTCFFKLPGRPQGGKRWTDVTDETGTRFISLQMRDLKNNKNTENPRKEVVALTKSEETCTLAESKENGWSIMDDLWLFHLPNKSSNDGHLFELTGPKMVKVFSGRKLDYESRHYGKQGNETDFLTAVEFSTEDPYGKALALLDLKSRLVLAREKWMVLPGIILAFIASYMMKMEGYEGIITKSKDLNVNEHEEKESEELNGVELTFEPNLIEGVTKKIGTFSGGCGSGCGGGCGNAVQSGGCGSGCGAGCGGGCGNIVKSGGCGGCGAGCGGGCGNIIRSGGCGSGCGGGCGNIVRSGGCGGCGGGCGGGCGNILESGGCGGCGGGCGGGCGNGNMTKSGARDGGCGGELVRVNVAYEHLNGESVPA >OIV92765 pep chromosome:LupAngTanjil_v1.0:LG18:14259573:14261378:-1 gene:TanjilG_00899 transcript:OIV92765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAESNKWRKLLSATLATAVIAFSSDISALADLNKFEADVRGEFGIGSAAQFGSADLKNAVHVNENFRRANFTAADMRESDFSGSTFNGAYLEKAVAYKANFTGADFSDTLMDRMVLNEANLMNAILVRTVLTRSDLGGAIIEGADFSDAVLDLTQKQALCKYASGTNPVTGVSTRTSLGCGNKRRNAYGSPSSPLLSAPPQKLLNRDGFCDDSTGLCEAK >OIV93624 pep chromosome:LupAngTanjil_v1.0:LG18:1322457:1324901:-1 gene:TanjilG_04856 transcript:OIV93624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPTVAAPKRETDPKKRVVITGMGLVSVFGSDIDTFYNKLLEGESGISLIDRFDASNFSVRFGGQIRDFSSAGYIDGKNDRRLDDCWRYCIVAGKKALDDANLGHEVLQTMDRTRIGVLVGTGMGGLTAFSSGVEALMQKGYKKITPFFIPYSITNMGSALLAIDTGLMGPNYSISTACATANYCFYAAANHIRRGEADIMVVGGTEAAIIPVGVGGFIACRALSQRNEDPKKASRPWDKDRDGFVIGEGSGVLIMETLESATKRGATIVAEYLGGAVTCDAHHMTDPRSDGLGVSSCIIKSLEDARVSPEEVNYVNAHATSTLAGDLAEVNAIKNVFKNTAELKMNATKSLIGHGLGAAGGLEAIATIKAINTGWLHPTINQDNLESDVTIDTVPNIKKKHEVNVGISNSFGFGGHNSVVVFSPFKP >OIV92783 pep chromosome:LupAngTanjil_v1.0:LG18:14414338:14420213:-1 gene:TanjilG_00917 transcript:OIV92783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERNLNGSTVSSISSNARSSSSTLQPNYNSRLVQETLEHLASIDLIELCKEAKVERCRATRDLRSCGRYVHHVLNSCGHASLCEECSQRCDNCPICRIPIPKTGARLRLRLFYECIEAGLISRRCDERFQEIEDGEKRLTADVQCLYSLFDVALENNLVSLICHYITDVCMDETAVSSDPVLAFLLDEVVVKDWCKRTFKNILIELQGIYNLDVVGMKGRLSSLMKISLYLKGITNVLEILESSFKATLLAQLHDLHHLHESIIKAKQHLEIIIWCTRHQYLEDVKSRFTDSSSWASVVRKRKSEAVRRAWPDAINQSVESTGHNGSLFIEDALNNLDLEESLVQEVGEGLEVSSLQMDGAPFLRSKTDQVLGCYPFNNLRTAADLLFLHGSSDTVIAKQAIFLYYLYDRHWTIPDGIWRHIVEDFSATFSISRHSLLESLTFYLLDDHTEEALQEACRLLPEISGPALHPKIAEVLLERGSPDTALMVLRWTGRDSGPLMISLRDAVTAVRVRVECGLLTEAFMHQRILWTRVKEKHFNKGALGGTSDKLKGQCSNWVEWVEALVTEICCLCIRRNLADRMLELPWNSDEEKYIHKCLLDYAIEDPQGTTGSLLVVFYIQRYRYSEAYQVHIRLEKIEQDFISKGSVSQEFLPRLETAIRWRANLINRSLELLPEIEQHKLRSGKLTEDAVISHEEVEIPVKFDVPPIQDSRSTSLLIPSSANSSSMLHNDHTTGLLSSSALGTSTKLGIPFPTTGPELGNFGSPSYHHEGLITSNERVTNNRGKIGKILRYDNTPTPRNRRIRFTNGSPLKGVNRTSPSSSQENKVDKIPPGVEHNLLFGNYQTTPHGKAKAKHDTRSGRSYSKDFANDLRNMSSWNVKAHKDDISWNVESTGGPMEVSQSYMEKKLDMGENINGGPRWRSDETSDEDEEQALEKAMDIAHYATPTRTTRRSRFAMR >OIV93050 pep chromosome:LupAngTanjil_v1.0:LG18:12431087:12431245:1 gene:TanjilG_20712 transcript:OIV93050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKHHIDNSWSWIHDINGKYSVIIAYQTLRKWKEAVVLLSSKDFRIVRLRQR >OIV92663 pep chromosome:LupAngTanjil_v1.0:LG18:16034672:16044069:1 gene:TanjilG_18014 transcript:OIV92663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMERADSVQKLYTRMRLWEFPDQYVIEPTDGSSGSSLAVSRTDGSMNLIDEVPECSSLRVPKIYTIFGVIGILRLLAGSYLLVITERECVGSYLGHPIFKISSMKIFPCDHSLKSTPAEQKKTEMEFSALLNVAEKTSGLFFSYETNITLSAQRLNDLGDESRLLPLWRQAEPRFLWNNYMLEALIDNKLDPYLLPVIQGRTRMWRRGADPDGYVANFVETEQIMQFNGYTASFVQVRGSIPLLWQQIVDLTYKPKFELLKLEEAPRVLERHFLDLRKKYGAVLAVDLINKHGGEGRLCEKFGNTMQHVAGDDVRYVHFDFHQVCGHVHFERLSILYEQISDFLERNGYLLLNEKGEKMKEQLGVVRTNCIDCLDRTNVTQSMIGRNMLECHLRRLGVFGAEETISSHPNLDESFKILWANHGDDVSIQYSGTPALKGDFVRCGHRTVQGILKDGVNALLRYYYNNFSDGTKQDAIDLLQGHYIVSVGRDTAASSQKGGLEAIASFPLALGLVLTGFFFATMSLGQVRYDFRHFFLSLMWASISIGIAAFVRANGRVFCNRPRLHKP >OIV92913 pep chromosome:LupAngTanjil_v1.0:LG18:13846037:13851943:-1 gene:TanjilG_00090 transcript:OIV92913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCTSVLSSLFTPSPSNSSPLRHRSPPPPHFPTTHFHTLNSKLVQTIHSNTTPFNPFRLSALPLFTAIGGGNNNNNNNNNNNNNNGGGWGYPFDSDDSSNPHHTLFFSLLLLSSFFSFSQLLLANFAKAKTSSLSDPTIWEVKGGNFTKLIPDYFNDAFIPSNPNLFTELSSLNTSQVPNFILFKCRDLFIRLMLPEGFPNSVTSDYLEYSLWRGFQGIASQVSGVLATQALLYAVGLGKGAIPTAAAINWVLKDGIGYLSKIMLSNFGRHFDVNPKGWRLFADLLENAAFGLEMCTPAFPFLFVPIGAVAGASRSAAALIQASTRSCFFAGFAAQRNFAEVIAKGEVQGMASKFIGIVLGIGLGNSIGSSTPLYLACFSVVTWIHMYCNLKSYQAIQLRTLNPYRASLVFSEYLLSGQAPPVKEVNDEEPLFPAVPILNETFSNKAQSIALSSEAKDAASVIEHRLQLGSKLSEIVNNKEAALTLFKLYKNEGYILSEHMGKFCVVLKENCSSLDMLKALFQVNYLYWLENNAGIRGRGIIDDSKPGGRLHISLDYVEREFIHVRNDGESVGWVTDGLIARPLPNRIRLGDLASSLST >OIV93221 pep chromosome:LupAngTanjil_v1.0:LG18:10012226:10015669:1 gene:TanjilG_27400 transcript:OIV93221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFLSVTFILFFISLSSSTTLHRRILHHPLFIPPPQPPSSSPQQQPTHQHQQPKLPFSSSSSSSSSTSSAQRPFFPYYHTPPLFPSPPTIPSTFPANISLLFPHPNSATNNRHVATVVLSVSLSLLSLAILAAVAAFVIHRRRLRHSTFTPKDKDFRSDSRRLFPPNTITSDDLDQKACLDILTDSAAGSSSSSNGSRGYRKLEDSPELQPLPPLPRHSFRPWHSEVEEEDNEEEEEFFSPRGSSGRKQLQSPPSPIVHGETSSLSFKDFQFGSKSFTSRTPSYPRSNSLTNSNPSSMSCSPSPTRINPLPCHNPNPVSSSQSPSFSSLSSSPKPREDLSPSLDFSAQGKSSPVRICPTPPPPPPLPRLLETPVMAQQSQHVGIGDTDNKNVNIETLKPRLKALHWDKVKASSDRVTVWDRLRPSSFQLNEDMIETLFMVNNSKENPSLAVRDNARRQTVHFSPLPPENRVLDPKKSQNIAILLRALNVTIDEVCEALREGHYDTLGTELLECLLKMTPTKDEESKLKEFQDDSPYKLGPAEKFLKVMLDIPFAFKRVDAMLYIANFDSELEYLKKSFETLEALSLPCIQINSKKLSFSSNHEFTLPQLNMVLLVACGELRNSKMFLKLLEAVLRTGNRMNVGTNRGDAHAFKLDTLLKLVDIKGTDGKTTLLHFVVQEIVRTEGSNISGSIYHKASDNIEQYTLQDEVDFRKLGLQVVSGLSGELTNVKKAAAMDSDMLSSDVAKLARGIEKVVQVVKLNEESPTKETSRKFFDAMKGFLKRGEEEILRIQVQEKNAISSVKEVTEYFHGNSSKEEAHPFRIFMVVRDFLSILDGVCKEVGKVNERTLVGSRQSVMHANSILPTIFPMIIGKQQSDSSESD >OIV93251 pep chromosome:LupAngTanjil_v1.0:LG18:9754525:9757553:1 gene:TanjilG_26006 transcript:OIV93251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWSKREPSSIEKIFADFEREQQILTVRPPTPSEQPKTQPFVLPRAASPQPPSPRPLSPRLTSPKAAPSRMVHRPKEVGYRPEPTLRNQHDSATKVQAAFRGYMARKSFRALKGLVRLQGVVRGQNVKRQTVNAMKHMQRLVRVQSQIRSRRIQMLENQARYQAEFKNDNASTLGKLSEAHGNWDDSLLTKEEREARLQRKVEAILKRERAMAFAYSHQLWKGTPKSNQTPVSDMQSGGFRWWWNLLESQVPAASNGQERQVLKNFKLTPPRPYSEQKTSPRPTSSTPLQHHFAFDNIDTPTPKSTKSTIVTSSKPTRTPPYRTPQGNTSKYSKPRAIGSHSPFDMLLKDDDSLTSCPPFSVPNYMVPTVSAKAKARTNCNQRERFGGTPTSESSQRRSSFPLSEGIGSFKWTKGSLFSNKDSSSKYQSFETLGNMSVDSTVSMPATVGRKPFTRFV >OIV93719 pep chromosome:LupAngTanjil_v1.0:LG18:235658:236158:1 gene:TanjilG_16570 transcript:OIV93719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGIATAEICDTNATHITNGELRILHPDFNIYGQSRAFSGPIVTLKVFEDNVLVREVLETEGEGKVLVIDGGGSKRCALLGGNLGQLAQSKGWSGIVVNGCIRDVDEINECGIGVRALASHPLKSNKKGNGEKHVPVYVGGTFIRDGEWLYADNDGILVSKFELSI >OIV93570 pep chromosome:LupAngTanjil_v1.0:LG18:757732:760047:1 gene:TanjilG_04802 transcript:OIV93570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASRFIKCVTVGDGAVGKTCLLISYTSNTFPTDYVPTVFDNFSANVVVNGSTVNLGLWDTAGQEDYNRLRPLSYRGADVFILAFSLISKASYENVSKKWIPELKHYAPGVPIILVGTKLGKCILVDLRDDKQFFIDHPGAVPITNAQGEELSKLINAPAYIECSSKTQQNVKGVFDAAIRVVLQPPKQKKKKSKAQKACSIL >OIV92689 pep chromosome:LupAngTanjil_v1.0:LG18:15834336:15836306:1 gene:TanjilG_18040 transcript:OIV92689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAVKFFLRDDCPAKVGHYSQNTLQAKTLTMFPSSTNDCNDLPPGFEGNYFLSKSKADISHIPQVKWECAPQFTFTSGLPIAAGEFSREKVDQNLREAKVFEALYPRSSAIPPSPSVSFEVEQEDYDDNLTPLIPITEPIEEEDSADVTPEMAVTNLLPQIFQQYTSATSPIIQQCNTSSTVPSSVCGGPLPKISPGLVQDLTAAAVATISKSNDQGSLIDMDVLVKIFNDPILIENLIKEHTTAAAATVSASSNCVGIPTSRLDPATSVPVSKPATPPSTMVSLPSMSNQATPPVSFLTPTHAKHAHPPVSALTSALHNPATASFTPHTPPSPSPAVDAPVNHRHVSKNVHHVPDGVRRAASLPSVPSIELSTVPTLPASTVPYQSSIGSAYAVKKDANYYKNLIKQHGADKKVTYDSKIGIHHNNFEDFKSVKNIKTGVMISKIQKPCIYFKSQRGCRNGSHCPYLHDISDQWRVSNVIETPNAKGFKRGPEIKYEMPVQSRVCNVQVAPNAKRFKLGPEIDG >OIV93562 pep chromosome:LupAngTanjil_v1.0:LG18:697712:701244:1 gene:TanjilG_04794 transcript:OIV93562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGLASWSCYGLGTFGFNIHHRFSDPVKGIMGIHDHEFPHKGTPQYYAVMIQRDRIFRGRRLAADHHHLLTFAAGNRTYQIDAFGYLHFANVSVGTPPLWFLVALDTGSDLFWLPCNCTSCVHGLKTESGEVIPLNIYDLDGSSTSKNVLCGSSTCEQIQDSQCRSTSNSCPYQVNYLSANTSSNGFLVEDVLHLITDDDQTKASDTRITFGCGKAQTGAFLKGAAPNGLLGLGMESLSVPSILSKEGLTSNSFSMCFGSDGFGRITLGDSGSSGQGKTPFNLRASHPTYNITITQIIVGGDASDIEFHAIFDSGTSYTYLNDPAYTGIAENFNSLIEAKRYSSQSSDSDLPFEYCYEISSNQPLQVPFLNLTMKGGDDYYVTDPLVPISIENEGDFLCLAIQKSDSVNIIGQNFMTGYHIVFDRDNMNLGWKKSNCYDVLSDSSPINRSHSPAVSPAMAVNPVSTPNPSSNPERVSPNSSFRMKPSLAFMIALFSLIAIY >OIV93402 pep chromosome:LupAngTanjil_v1.0:LG18:6500801:6501199:-1 gene:TanjilG_02939 transcript:OIV93402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQTLKSDFGQEAVQAKQTPDQTKFMTKLTKSRAIWSGQMHQDVGQEHQGAERPGLTETGRTRLGVGVVHMPAGRGQALSQALGAQSGQLGVATLAQP >OIV93413 pep chromosome:LupAngTanjil_v1.0:LG18:6222798:6227557:-1 gene:TanjilG_02950 transcript:OIV93413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSNSREKNMRSNPRARSSSSSSSAANPGLDLDNPISKSIAESGMQPLNYDSSLQNPNPNNCYDQTGSGYCTEEQLEEFLMLKLEFVYNEAISKLVGLGYSESASLKAVLSNGHCYGGKDLLGNILSNSIKALNSCNDDQKEPKTVFCDLKQLEKYALTGLVCLLQQLRPNLSKGYALWCLLMSDLHIGRAAAIKIPVPGHGYPVAVPADLASAAAAVTSAAAAAALGGRRSNSAGGMIAPCQSQFHGRWQVAGSGSSSGGGSGGGSGGGSGSSEAPEMNFQLQREIEIPKRFGLTPSMMSALKRNVAMFAAGCRANSKQLQPLTKGISGSSSTVSNLAFPAVPVMPNEKSGVGQCLDDQDIIKDLVSKLFDLSLNEMGLVTEDQKNQVIINLLHQIKDLEKQVVERREWAQEKALQAARKLTSDMTELKTLRMAREEIQRLKQGKQPLDDSTMKKLSEKENALRCASVELDRANAVARKLEQENAEIRAEMEASKLSASETVIACKEVAKREKKLLKKLQAWEKQKAKMQKEITDEKEKIMQTEEELDQIRQIKKEAEHPRPCDQAIKARTSPAPTVATVGQSTVKLQGSVVSAWEQGHTFLWQWLLVIKWKEELKAKENAIGLVEEERRSKEADESNNKRKIEALRVKIEIDFQRYEDDVRRLEQELSCLQAAAKSAELQLNASPESGSEGPKTETVAKLLEELDNLEDFSEKDEVNGGRECIICKKDEVSIVFLPCAHQVICASCGDLYGKYGQAACPCCRVPIEQRIRVFGASS >OIV93336 pep chromosome:LupAngTanjil_v1.0:LG18:8261794:8264717:-1 gene:TanjilG_23272 transcript:OIV93336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADKRPKTKIVCTLGPASRSVPMIEKLLIAGMNVARFNFSHGSHEYHQETLDNVRIAMENTGILCAVMLDTKGPEIRTGFLKDGKPIQLQQGNEITISTDYTIKGDENTICMSYKKLAEDVKPGMVILCSDGTISFKVLECDTKLGLVRCRCENSCTLGERKNVNLPGVVVDLPTLTEKDKEDILGWGVPNKIDMIALSFVRKGSDLVEVRKLLGKHSKNILLMSKVENQEGVANFDEILANTDAFMVARGDLGMEIPIEKIFLAQKVMIYKCNIQGKPVVTATQMLESMIKSPRPTRAEATDVANAVLDGTDCVMLSGETAAGAYPELAVRTMAKICLEAESTLDYGLVFKRIMEHSPVPMSPLESLASSAVRTANSAKAALILVLTRGGSTAKLVAKYRPAMPILSVVVPEISTDTFDWSCSDEAPARHSLIFRGLVPILSAASARASHAETTDVEIEFAIQHAKTKGLCKDGDSVVALHRVGASSVIKILTVK >OIV93204 pep chromosome:LupAngTanjil_v1.0:LG18:10550013:10550534:-1 gene:TanjilG_24419 transcript:OIV93204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGKLFLFKGEVDVEKRFDMEEDWCKYFFSSIRRWNPKEGAKERMIWVRCIRVPIHAWTVEFFRFLAEKIRVFIKVDDKTLNIERLDFGRVLIATSQWSNVEVNQRVLIEDLIFDLRILEEEPRTLCFHLAVAGLNTGNKGASEETDSEDEEWWPDCNSGGTVNPFRWRKKMT >OIV92580 pep chromosome:LupAngTanjil_v1.0:LG18:16475207:16480713:1 gene:TanjilG_07571 transcript:OIV92580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQSPDVQLREMSAFALGRLAQDAHNQAGIAHSGGLMPLLKLLDSKNGSLQHNAAFALYGLADNEDNVSDFIRVGGIQKLQEGEFIVQATKDCVAKTLKRLEEKINGCVLSHLLHLMRDSEKAFQRRVALALAHLCSPDDQRKIFIDHHGLDLLIGLLGSSSPKQQLDGAVALWKLANKAMTLSPIDAAPPSPTPQVYLGEQYVNNSSVSDVTFLVEGLDLLIGLLGSSSPKQQLDGAVALWKLANKAMTLSPIDAAPPSPTPQVYLGEQYVNNSSVSDVTFLVEGKRFYAHRICLLASSDAFRAMFDGGYREKDARDIEIPNIRWEVFELMMRSNNLFIYTGSVDVTLHIAQDLLRAADQYLLEGLKRLCEYTIAQDISLENVSSMYELSEAFNAISLRHACILFILEQFDRLSARPGHSHLIQRVMPEIHSYFVKALTKTNSRIQP >OIV93725 pep chromosome:LupAngTanjil_v1.0:LG18:177828:179684:-1 gene:TanjilG_16576 transcript:OIV93725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEVTIHVTGFKKFGGVAENPTETIVNNLKGYVERRGLHGGVNLGTCTVLEVAGDGALQLYQTMESVISKTDDITNANVVWLHLGVNSGAQRFAVERQAANEATFLCPDELGWQPYQVPIVLEDGGISRKRETSLSVEAILKTLKKGAYDVMISDDAGRFVCNYVYYHSLRFAEQKGSKSLFVHVPLFSRIDEETQMKFTASLLEAIASAC >OIV92892 pep chromosome:LupAngTanjil_v1.0:LG18:15247911:15250086:-1 gene:TanjilG_01026 transcript:OIV92892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKEKRHFVLVHGSCHGAWCWYKVASLLKSAGHQVTALDMAASGIHAKQVQELNSIKQYFQPLIQFLASLAQEERIILVGHSMGGVCISLAMEMFSNKIAVAVFVTAFMPAHNLSFQNLVHEYSQSLESNLDTETMFDEGHNDHPNGSYIFGPQFLASNLYQLSPLEDLTLAMSLVRPTRIFGDEERVEEETRVTREKYGTVAKVYVVCEQDKVLKPNFQVSMIERNPTNDVKVIPDADHMPMFSKPHHLFSHLQDIANTYY >OIV92595 pep chromosome:LupAngTanjil_v1.0:LG18:16558677:16575684:-1 gene:TanjilG_07586 transcript:OIV92595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMGILLWEKQDNKEKAAQHFIISAKLNPNNGQVFKYLGHYYSSIISSDTQRAIKCYQRAVALNPLDSNAGLHQNKWPEAVQSLQYAIRGYPTSPHLWEALGLAYHRLGRFTAALKSYARAIELDNTMLFAFIQSGNISLTLASFRKGVEHFRQALEISPQSVPAQYGLALALLGLAKDCINLGAYQWGASLLELYYKEGEKQLAKQVFDRARSIDPGLALPWASMSAESCIRLIYYICGLDAVITNILKMPKELFQSSKVCFVMLAINALDGNNRLGFVVTTTRYFLKYHEEIARMHFLIALGKLRAREERFPHHLCVILKRLTHVALANELYSKTEMLYQYRHFQLLLCASEISLQCGDHITSISHAKKASELVLPDDYLFFAHLLLCRVYAMKGDHLNFKNEFVQCLELRTDCHIGWICLKLMECRYELQMSSNVIDLNFEECVQKGGNSLNMWMAVYNLVRGMISLQNRDLFSAEEFMGQACSLTGFESCLFLCHVNDEVAHQLGFEKVSEEFISECKSKAVLFKHIKTGAEVISVSNHDENKVFGIVFRTPPTCYPVASTNTKDFYNLVDVYLDAVFFPRCVQDLHTFQQEGWHFELNNPSEDITYKGVVFNEMKGVYSQPDNILGRAAQQALFPDTTYGVDSGGDPRVIPNLTFHEFKEFHRKYYHPSNSRIWFYGDDDPNERLRILSEYLDMFDASSAPNESKVESQKLFSKPVRVVEKYPAGEGSDLKKHMVCLNWLLSDKPLDLETELTLGFLDHLLLGTPASPLRKILLESGLGDAIVGGGVEDELLQPQFSIGMKGVSEDNIHKVEELVIHTLKQLEKEGFDEDAIEASMNTIEFSLRENNTGSFPRGLSLMLRSIGKWIYDMNPFEPLKYEKPLQDLKSRIAKEGSISVFSPLIEKFILNNPHQVVVEMQPDPEKAARDEATEKETLQKIKASMTTEDLAELTRATHELRLKQETPDPPEALKVVPSLSLQDIPKEPIHVPTEPIIAGDGHEGLDLCPAKPIDWEKDWRNIGLSIHIICAGYLEYLRTLEVRVDQDWTAISSSLEEIRKSVFSKQGCLINITSDGKNLANIEKVVSKFVDMLPTSSLIATTTWDVRLPLTNEAIVIPTQVNYVGKAANIYDTGYKLNGSAYVISKYISNTWLWDRVRVSGGAYGGFCDFDTHSGVFSFLSYRDPNLLKSLDVYDGTGDFLRGLEMDDDTLTKAIIGTIGDVDAYQLPDAKGYSSMLRHLLGIKEEERQRRREEILSTSVKDFKEFINAMEAVKDKGVVVAVASPDDVDAANKDHGGKNPVFQEKFVFPLIEGLREFIVLVWNSNTLSFDDFIGTGKIQLNKVLSQGFDDSSWPLQTKTGRYAGEVKLILHYANANHMKSELNHAPSAPPYVPPTTPPPPLYSMPPPPPHSTSYPPSPYPTPHSSPYPTPHSSPYPTPQLHLHSHPSPYPTPQSHSHLHPSPYPTPHPHPHPHPQPSPYPPSYPAASSPHSSAYPPSPYPPNSAYPPPPYPPPSAYPPPPHGM >OIV93590 pep chromosome:LupAngTanjil_v1.0:LG18:981940:985222:-1 gene:TanjilG_04822 transcript:OIV93590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSISTGITLTPSICFRPPLSPLRSRRFPVMPFAVDGKNKQVPKFLIDQRTSFSSSILKWNRGQVQLVKSSMDASFGDMANESSAVFPRINVGDPYKRLGISREASEDEIQGARNFLIQKYAGHKPSVDAIESAHDKIIMQQFYDRKNPKIDIKKKIREVNQSRLVQFVRGRFQTPSTKFIIKTSLAFLLLGVLTVLFPTEEGPTLQVALSLIATLYFVHERLRSKFRSFLYGVGAFIFSWLLGTFLMVAVIPPIPILKGLRAFEVITSLITYLLLWVSSTYLK >OIV93243 pep chromosome:LupAngTanjil_v1.0:LG18:10379680:10389760:-1 gene:TanjilG_27422 transcript:OIV93243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGDDVSEGDINHKRGGSDENSNENNNGSHSKFANSNEGQSKPKRQMKTPFQLETLEKAYAMETYPTEKIRLELSEKLGLTDRQLQMWFCHRRLKDKKDLAPKKPSRNVVEPSPEPFAEDLGLEPESGNDYGSRSGSGSSPFTPSEFRSVVPQTGYYESPQAVLELRAIACVEGQLGEPLREDGPILGVEFDPLPPDAFGAHIAVTEQKRPTLGYDSQMYEKHDVRTNKAMARTFHEYQYPPKQPSIRSDAFGQYSHQHHLHDPMEGPARTPSFAHGDEQVPRIHATHGPHVRLPSQPDKEVITYPSPPQENNVTPQRESFTNLDSGVTITHYTDHQIADPESSHAVSDVQNFHDNAMRIERKRKSDDARSARDVEAHEMRIRKELEKQDNLRRKSEERIRKEMERQDRERKKEEERLMRERQREEERTKREQKREIERREKYLLKENLKAEKMRQKEELRKEKEAERRKAALEKATARRLARESMELIEDEQLELMELAASNKGISSIIHLDLQTLQNLESFRDSLSAFPPKSVKVKNPFAVQPWIDSEENVGNLLMAWRFFIAFADVLELWPFTLDEFVQAFHDYDSRLLGEIHIALLKVIIKDIEDVSRTPTGLGMNQIGTANSGGGHPEIVEGAYAWGFDIRNWHKHLNQLTWPEIFRQLALSAGYGPQLKKGSLTWPCANDKDEGKSIEDIISTLRNGSAAENAVAKMRHRGLLAPRKSRHRLTPGTVKFAAFHVLSLEGSKGLNVLELAEKIQKSGLRDLTTSKTPEASISVALTRDTKLFERVAPSTYRVRSTFRKDPVHAESILSEARKKIQIFENGFVAGEGADDVEREEDSESDEVDEDPEVDDLVNPASAKRTSDQSADFSSNGNENLGHNVELIQDEFHKDLPCFPESVSRNADCPVAVVGQPAAFEDLNAGNVEENTEIDESKCGESWVQGLTEGEYSDLCVEERLNALVVLVGMANEGNSIRVVLEDRLEAANALKKQMWAEAQIDKVRSKDDYHSKSDFPSINGNTVETQFTCPAVEGNQSPLLDINIDNNNNEALSLTPENQKATPAAQILSFQPLLIQDPCTSQDNPQAQLSLQYSKRSRSQLKSYISHIAEEMYVYRSLPLGQDRRRNRYWQFVACASCNDPGAGRIFVEYLNGKWRLIDSEEAFDALLNSLDSRGIRESHLRIMLQKIENSFKENVRKNTHVKVGSIGETSIKNEADETDLSPDRHTGFDSPSSTLCGLNTDTSETSLSFKIELGKSESEKKAALKRYQDFQKWMWRECYKSPILSAMKSGKKRCKPQTRLLKALLAYIEACVPPKALNSTWTEDIRRRWGLKLSKSNSVEELLQILTLFERALQRNFLSSNYSTTEELLGSSSLSQSAGDASADPESVALLPWVPQTTPAVCLRLFEFDASISYILVEKPESFLEKEAREYMKLPSRYSPFKSGRVVEPSDLDHDEFMKVKSAHMKIVQSGNKRGRGTHDKGRGKKQSKGMHHSKQDTGLHTVNVTENLSQRLKQQGRGSQGKGGGRGRRTVRKRRVEKRAVEDLLLSPRDTTSQSSKIGREPLRNIYEEWVDDDEKRSSMSPIHIGLSADVSNSTEDVESDENVEAVESDDNGQAVEYDQGNWEIGFNGNPSRWSRDLVGMSDEDMEASEDGNNVIEDDDDDSEADIMSEDSDGVANGVVNVQGSDSSESEQSSD >OIV92838 pep chromosome:LupAngTanjil_v1.0:LG18:14834690:14836042:-1 gene:TanjilG_00972 transcript:OIV92838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMYQPCKRDTVVVGYEGGGDGQILDLESAVKDGVLGGVDGGVVGTGVCKKLDLSEMIEELDLSEVPTVFICPISLEPMQDPVTLCTGQTYERSNILKWFSLGHFTCPTTMQELWDDSITPNTTLYRLIYTWFSQKYLLMKKKSEDVQGRASELLETLKKVKGQARVQALKELHQVVSAHSTARKTVIDRGGVSIMSSLLGPFTSHAVGSEVIGILVSLTLDSESKKNLMQPAKISLMVDILNEGSIETKINCTRLMEYLIDDKDFRSEIISSHSLLVGLMRFVKDKRRSNGICHGLSLLKTISLHQEVRNLLVSIGAVSQLVELLSGLEPDCLELALCVLDALASIPEGRVALKDCSNTIPIMVKLLMRISENCTQYALSILWSVCKLAPDECSSVAVDAGLAAKLLLVIQSGCNPVLKQQSAELLKLCSLNYSDTIFISKCKLTRTFQ >OIV93546 pep chromosome:LupAngTanjil_v1.0:LG18:2089604:2094097:-1 gene:TanjilG_28703 transcript:OIV93546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRQLCLAVRSIQWIYAIFWSGSDTNPRVLSWGEGYYNGDIKTRKTNQGLELNSDQIGLQRSEQLRELFRSLKTTETTKKPSATLPPEDLTDTEWYYLVCMSFVFNIGQGLPGRTLANDRPIWLCNAHSTDCILFSRSLLAKTVVCFPFMEGVIELGTTDLVQEDLSLIQQIRTSFLNILEVDVSKKAGPTLNTRNDEDVECAAFGQNAYNVKSTAEVGNEVINTTSPNISDALQANQPAEEALMVETWQVMDDELSNCVHNSMHSSDCISQTFVSPEKIASVANGENTNDHYAEDLQKFNNPKMTLVDPQSDDWRYQSVLSTLLKSSDQLTMGTRFQNFHQESSFVSWKKGEPIDCRRPRAGKSQNLLKKVLFEVPQMHLEGLLESQEDNDYKDGTRPDVDENGTNHVLSERRRRAKLNERFLTLRSMVPSISKDDKVSILDDAIEYLRKIEKRIRELEAQRDIIDIEARTKRSPQDMVERTSDNYFNKIDTNGKKPLGKKRKVCDIDAAHVEINSDAFKGSSANDVTVSVSGNEVVIEMKSLCRQGRVLEIIEAVSSLNLDLNSVQSTEADGNVYLKIKSKGELGTTVRLLRSGHEVMDFKSWEQPLHLWSKAAYIYPPQTLLSGILVHWAALLHYRSYYPKFGIYVQFQGPTIASAKKIKQTLQRVAPKS >OIV92987 pep chromosome:LupAngTanjil_v1.0:LG18:13192462:13193009:-1 gene:TanjilG_20649 transcript:OIV92987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRQSLGSPSPKLHNHGVINEEEEQEQEKVEELPKTQRLSSPPHNFLHLIPILTLLCFFLLYLFSHPLSPSELDQFTRFNHHHNNLETTKEIRVIDKHYVDGKRGDVLVLGTLRNLQHIRLHRKLADF >OIV93398 pep chromosome:LupAngTanjil_v1.0:LG18:6591135:6591958:-1 gene:TanjilG_02935 transcript:OIV93398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWQTYVDEHLMCDIDGTGHHLNASAIIGHDGSVWAQSSSFPQFKPQEITGIMKDFDEPGHLAPTGLHLGGTKYMVIQGEPGAVIRGKKGSGGVTIKKTGQALVFGLYEEPVTPGQCNMVVERLGDYLIDQGL >OIV92686 pep chromosome:LupAngTanjil_v1.0:LG18:15849674:15851780:-1 gene:TanjilG_18037 transcript:OIV92686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGDDFDMSILKSQLNQPPETKEQETERSDSQVDILETKLMEVKACIQGSEDKAKKELEVLWRRVKIAATLLTYLKSKAKLMAIPHLAHSSCGIKQVEGIGLVDKNGIPLSGWSRNVDLSLFDDVDEESLIRISHQHDSLDEQDAAYISETLKSVHMVADVMEALVKRVLLAESETAIEKEKVSLSREEITRKSSQLENMSKKLEGLEHFALSTSSVLNEIRQRVEDLVQEAIRQRERASDNEEELNRVKQEFQSLKSYVISLTTVRETLLSSEKQFQNIERLFDQLVEKTTQLEGEKMQKETEVQKLMEENVRLIAQLDKKEAQLLALNEQCKLMALSASNM >OIV93084 pep chromosome:LupAngTanjil_v1.0:LG18:12003307:12006660:-1 gene:TanjilG_20746 transcript:OIV93084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKLKLQFVLGFTLLLLLESASSAPSTTSPAKIVTGFLSNSVPAITKWVWSLKATTKTAVSGKSMMKFESGYNVETVFDGSKLGIEPYAVELLHNGELLILDSANSNIYRISSSLSLYSRPKLVTGSAEGYSGHVDGKLREARMNHPKGITVDDRGNIYVADTMNMAIRKISDSGVTTIAGGKLNRGWGHVDGPSEEAKFSNDFDVVYVGSSCSLLVIDRGNQAIREIQLHFDDCAYQYRSGFPLGITMLVLAGFFGYMLALLQRRLSTIVASQDAQGPEMSDISSSPYQKPSKSYRPPLIPTEDEPDKQEEGLFGSIGKLLANAGASVVEIMGGLFPGFRTKPQSYQFQSQPLFQEPQKQVKAWPTQESFVIPDEDEPPPSIDTRTPTPHKTYPFMSKDAEKMQQLWQSRAFYSGWDGNLQQQQQQHQQQQHQQQQQQKHHHRHQYHSSVPHTYYEQSHEATNEILFGAVQEQDGKQESMVIKPVNYGDSLYDHHNIRSRTNSTGYIHKY >OIV92643 pep chromosome:LupAngTanjil_v1.0:LG18:16189500:16191757:-1 gene:TanjilG_17994 transcript:OIV92643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENNTTTNIIITKSIHHNYPNNINNNIKKRKIPSSSESLVDVIPTLLSQSHGFISVIGRRRLMEDAVKVVPHFMLYGGCYYDFFAVYDGHGGTLVAEACRERLHLLLAEEVKMEKEELLNWDKVMGSCFFKMDMEIGVGDEDGKGGNTMGSTAAVVVVGKEEIVVANCGDSRAVLSRGGVAVPLSRDHKPDRPDEKQRIEDAGGVVIDWNGNRVLGVLATSRSIGDHCMKPFVISEPEINVYERTELDEFVVVASDGLWDVVSNKCACEVVRSNINAHMKKKKKKNEKEECITSYAAESAALLAELAMARGSQDNISVIVIQLNTIILPK >OIV93246 pep chromosome:LupAngTanjil_v1.0:LG18:10462883:10464210:1 gene:TanjilG_27425 transcript:OIV93246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAVAARSFIRSAASRTANLAASSRPTTTRSPFRIPNQTSLSNRTFRSPAVLSFCVESMLPYHTATASALLTSMLSSCPRSYGWTLEGLKKTR >OIV92796 pep chromosome:LupAngTanjil_v1.0:LG18:14514129:14518686:1 gene:TanjilG_00930 transcript:OIV92796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFHSKATKQFNMQEDPIILASETVFTVSEVEALFELFKGISGSVIDDGLINKEEFQFAIFKSRKEENLFANRIFDLFDVKRKGVIDFGDFVRALNVFHPNAPQQVKIDFSFRLYDLDNTGFIERHEVKQMIIALLCESEIKLTDEMIETIINKTFLAADPNQDGKIDKSEWQNFVTENPSLLKIMTLPYLRDITTSFPSFVFNSKVDDDIGA >OIV92361 pep chromosome:LupAngTanjil_v1.0:LG19:2498377:2501830:1 gene:TanjilG_09959 transcript:OIV92361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGDSYAPSHSLEKQFEAFRTELEESGTLRERIRSVVSEIESTTRVMYATLLLVHQSRSISELLEKAKSQIVVLKEKYKQLAQILGGCSGQYYRYYGDWRSETQSVVSILTFIHWLETGSLLEHKEAEEKLGLNNSEFGLDVEDYLIGVCFMSNELPRYVVNQVTAGDYDCPRKVLKFLTDLHAAFRLLNLRNDFLRKKFDGMKYDLRKVEEVYYDVKIRGLTPNGESVGDKGIEG >OIV91603 pep chromosome:LupAngTanjil_v1.0:LG19:17420831:17421304:-1 gene:TanjilG_09015 transcript:OIV91603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSLYLVITTIFFIMISTCLAQNSPKDFLEVHNQARKEVGVGPLSWNKTLEAYAQNYANGRVKDCQMEHSNGPYGENLAEGYGDMKGSDAVKFWLTEKPNYNYESNSCVNDECGHYTQIVWRNSVYLGCARVKCDNNWVFVICNYSPPGNYMGERPY >OIV91813 pep chromosome:LupAngTanjil_v1.0:LG19:14256838:14258929:1 gene:TanjilG_14392 transcript:OIV91813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKICFVSKDLVLSWTILQLLLLVLTLQLPLTAFGKTENNIKSADFLSPKFELDPGSVANTLFYDIDFPKGHVAIKSLDAEIVDEAGNPVPLYETYLHHWVIVKYLHPKNVSTKSENVSWVRNSGLCQHETLMQQFGVGAETRKTNTQVPEPFGVEIGNPTKIPKGYEEKWFLNVHAIDVRGVEDRVGCLECRCDLYNATTDEDGKPLSPGYVGGMSCCTDGRKCRLKNAFLGPKRTLYLKYTITWTKWNKFIVPVNVYILDVTDTFKISDKSNGLISSQHQCHTEYQVEACGKKHKDGSGCIDVKKNRLQFQKGGYVIYAVSHLHRSGIGSTLYGQNGTVICSSKPTYGTGKEAGNEEGYVVGMSTCYPKPGSVKINDGEIVTMEINYSNTKMHSGVMGLFYIMLAEDLPSHHHHHRHHHHHLL >OIV91781 pep chromosome:LupAngTanjil_v1.0:LG19:14680907:14682948:1 gene:TanjilG_14360 transcript:OIV91781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGDEGVEMVVDSKDLQQQSKAFDKLTDRVEDRQLDSTRVQEAMASIAASAEADWNAMRLREKELAAVKINAADVDIIANELELDKKVAERTLREHKGDAVAAIRHLLH >OIV91896 pep chromosome:LupAngTanjil_v1.0:LG19:13990920:13991394:1 gene:TanjilG_17888 transcript:OIV91896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVHDDCKLKFMELKAKRTYRFIVYKIEDKQVIVEKLGEPTQGYEDFAASLPADECRYAVYDFEYLTEGNVPKSRIFFIGWSPDASRVRSKMIYASSKDRFKRELDGIQIELQATDPTEMDLDVFKSRAN >OIV91535 pep chromosome:LupAngTanjil_v1.0:LG19:17931668:17938866:1 gene:TanjilG_08947 transcript:OIV91535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQSLELLLIQFLMPDNDARRQAEDQIKRLSKDPQVVPALLHHLRTAKTPNVRQLSAVLLRKKITGHWSKLSPQVKLLVKQSLIDSITMEHSPPVRKASANVVSIVAKYAVPSGEWPELLPFLFQCTQSPQEDHREVALILFSSLTETIGSAFQPHFSDLQALLLKCLQDETSNRVRVAALKAVGSFLEFTHDGDEVIKFREFIPSILNVARQCLASGEEDVAIIAFEIFDELIESPAPLLGDSVKSIVQFSLEVCSTQSLESSTRHQAIQIISWLAKYKSNTMKKHKLIIPILHVLCPLLAESTNENDDDDDLAPDRAAAEVIDTMALNIPKHVFQPVLEFASVSCQNANPKFREASVTALGIISEGCLEPMKNKLEPVLHIVLGALRDPEQVVRGAASFALGQFAEHLQPDIVSHYETVLPCILNALEDASDAVKEKSYYALAAFCENMGEEILPFLDPLMGRLLTALQNSSRNLQETCMSAIGSIASAAEQAFLPYAERVLELMKNFMVLTKDEDLCSRARATELVGIVAMSVGRTGMEQILPPYIEAAISGFGLEYSELREYTHGFFSNVAEILGDGFAQYLPHVVPLAFASCNLDDGSAVDIDEGDDEIANGFGGVSSDDEAHDEPRVRNISIRTGVLDEKAAATQALGLFAQHTKISYAPYVEETLKILVKHSAYFHEDVRLQAITALKHILTAAHAIFQSQNEGAAKAKEILDTVMSIFIKTMVEDDDKEVVAQACTSVADIIRDYGYATLEPYLPQLVDATSLLLREESACQHIESDSEIDDDDSAHDEVLMDAVSDLLPAFAKSMGVHFAPIFAQLFEPLMKFAKASRPSQDRTMVVACLAEVAQNMGSPIADYVDRVMSLILKELAASEATNRRNAAFCVGELCKNGGDSALKYYDNILRGLHPLFGESEPDDAVRDNAAGAVARMIMIHPESIPLNQVLPVFLRVLPLKEDHEESMPVYTCVSTLVFSSNPQIHSLVPDLVNLFAQVAASPVETSEVKALIGSAFAHLISLYGQQMQPLLSNLPPAHANALSAFAPRS >OIV92475 pep chromosome:LupAngTanjil_v1.0:LG19:1008826:1012469:-1 gene:TanjilG_02238 transcript:OIV92475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSVTSKSIDFVGKNLVYGGCFSNASFDRYTVRNYAKVNSKGFLGRGHGASNSICCKKRSTQCRVSSIMNIVESTLNGGTQAIQGLTGLDLKNYSETPISSTQLFDVVADDLQMLNKNLQSIVGAENPVLMSAAEQIFSAGGKRMRPALVFLVSRATAELLGLTELTAKHRRLAEIIEMIHTASLIHDDVLDESDLRRGKETVHQLFGTRVAVLAGDFMFAQSSWYLANLENIEVIKLISQVIKDFASGEIKQASSLFDCDVKLDEYLIKSYYKTASLMAASTKGAAIFSGADNIISEKMYEYGKNLGLSFQVVDDILDFTQSAEQLGKPAATDLSKGNLTAPVIFALEKEPKLRDIIESEFSETGSLAEAIELVKGCGGIERAQELAKEKADLAIQSLQCLPQSVFRLALEDMVAYNLQRIA >OIV91880 pep chromosome:LupAngTanjil_v1.0:LG19:13895261:13895710:1 gene:TanjilG_17872 transcript:OIV91880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQEKKKRAREDKGGNEIVGFSFETNNNAKKRDLVISFMKDNEEDFWDSNVNLAFGVFDFPWLKDGVISKSEKLEGFEDNFMTSLRGYGIELFDENHYLCETLEVSMSPILESKVVEDLWKPFQINNSLELEDEDVNNCTWNSLFNHPL >OIV92407 pep chromosome:LupAngTanjil_v1.0:LG19:1531706:1537329:1 gene:TanjilG_23007 transcript:OIV92407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGVSLGLRTGSNGSLQQLQNGNVLQQQPMALSPRLVRRPSKTLLYNPREKERVCPFIICRHIGRGSVAMLLMIALGFSAFVFGCFTLYKGANIPGEIEDIESYAITRYEILKPQRVEHKSQDSRSFRGLSFTNKDKWVVRPPLAPQPPASSKSEGRKGHFPTKSHQCDHFAFPPPPPADRRRPGPRPCPVCYIPVEQAIASMPSSPSESPLLHTLTYVHDENSIKSEPHGGSDFGGYPSLEERDAAFDIKESMTVHCGFVKGSRPGFRTGFDFDEEDLLELDQYHDVIVASAIFGNYDVIQQPKNISSEAKKNVPFYMFIDEETEMFMKNASILGSSRRVGLWRIFVIRNAPYADSRRNGKVPKLLLHRLFPNVRYSIWIDGKLELVVDPYQVLERFLWRTNATFAISRHYRRFDVFVEAEANKAAGKYENASIDHQIQFYQHHDGLTHYSKAKLPITSDVPEGCVIIREHIPITNLFTCLWFNEVDRFTSRDQLSFSTVRDKIMAKVDWGINMFMDCERRNFVIQAYHREVLEQMPPPPPPPPPSPAIVIHRPRLPALPFTNKPQTKKSPRRGKGDKRSSSKHRYKVGRVVMNIN >OIV92576 pep chromosome:LupAngTanjil_v1.0:LG19:43537:43713:1 gene:TanjilG_02339 transcript:OIV92576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSKEAVIVVSALAFAWLAIELALKPFLHSTRSNIDPTQDPDDVTQPYPPKEEEGEL >OIV91715 pep chromosome:LupAngTanjil_v1.0:LG19:16428307:16430027:1 gene:TanjilG_26568 transcript:OIV91715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMNQGHGAEELFQAQSHLYNYTYNYIGSMCLKSAVHLGIPNIIHNHGKPITIPQLVSALKIQPTKSNHVYRIMRLLVHAGFFTTSKIVQDDKEEVVYDLTPSSRLLIKDNITSLSPFVKAMFHPALVHSSEFLGEWFHTNEVTPFHTAYGMSYWDYLSQNQEFNSLFNEAMISDSGMMNLVIKDCKSVFEGLNSLVDVGGGKGAVGKIVSEALPNLQWTVLDLPHVVENLQNTNNLKFVGGDMFQSIPSADAILLKLVLHAFSDEDCVKVLKKCREAISIKGKEGKVIIIDIVINEKNDNHELVQSKLYFDVLMMVVVTGREREEHEWEKLFLESGFSHYKITPIFGMRSLIEVYP >OIV92154 pep chromosome:LupAngTanjil_v1.0:LG19:6032943:6035594:-1 gene:TanjilG_18726 transcript:OIV92154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEKQHRHEEESSPLLNETKPFSPHLGWTADGFPTGPGSVIGQPMPTARAPWSSSLCSCLGQTDHFCSSDLEVCLVGSVAPCVLYGGNAERLGSVPGTFVNHCLPYSGLYLIGNSCFGWNCLAPWFSYPSRTAIRHKFNLEANFFFGSCEALDRSCGCCGSFLKDDAQREQCESACDLATHVFCHTCALCQEGRELRRRLPHPGFNAQPVLVMIPPTEQTMGRGGA >OIV91589 pep chromosome:LupAngTanjil_v1.0:LG19:17541153:17544220:-1 gene:TanjilG_09001 transcript:OIV91589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPPPFSVSTDSFASTHCIVPLTISKIFGPSVKLGKIEHTHLRKLTPLRRDQGFALKASKRSMGSPELTQQLNNNKLETLSRTEDGQDIFNDLKDRFLSFKNKYTENNDQFEKLAKAQAPKFMVIACGDSRVCPSTILGFQPGEAFMIRNVANLVPPFENGPTETNAALEFAVNTLLVENILVIGHSCCGGIRALMSMKDDENASSFIKSWVVVGKNARIKAEATASNLSFDEKCTHCEKESINISLSNLLTYPWIEEKVAKEKLSVHGGYYNFIDCSFEKWTLDYRGTKVEERGIIATKNKVFWS >OIV92283 pep chromosome:LupAngTanjil_v1.0:LG19:4386926:4391726:-1 gene:TanjilG_00301 transcript:OIV92283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPSTSSNAFSFLSKGWREVRDSADADLRLMKDRANSFKNLATSFDRELENFFNSAAPPPPFSVPAMRSSAPAEIEFVKNLQPKLSEIRRAYSSPDFSKKVLEKWKPKAQIRIDLSAIRKAIVSEVEEEEGEGDEVVEFDREKRGRKLSLKEFWDWGEWKGESEAPDWEPIRKLKSRFKDLEKNGEFLEKFKSSLKSMCRDPQESKEVPPLDVPELLAYFVKQARPFLDQLGVRREICDKLVESLYSKRKNQLLLQSLSREESAVVGNGNIDDELDLRIASVLQSTGHRYDGGFWPHPAKHDASDNERHVAIVTTASLPWMTGTAVNPLFRAAYLSQSAKQKVTLLVPWLCKSDQELVYPSNVTFTSPEEQEAYIRNWLEERVGFKADFKISFYPGKFSKSRRSILPAGDTSQFIPSKDADIAILEEPEHLNWYHHGKRWTDKFNHVVGIVHTNYLEYIKREKNGALQAFLVKHINNWVTRAYCHKVLRLSASTQDLPKSVICNVHGVNPKFLIIGEKIAAERELGQKAFTKGAYFLGKMVWAKGYKELIDLLAKHKTDLDGFKLDVFGNGEDANEVQSAARRLDLNLNFQKGRDHADDSLHGYKVFINPSISDVLCTATAEALAMGKFVVCADHPSNEFFRSFPNCLTYKTSDDFVAKVKEALENDPYPLTPEQRYQLSWEAATQRFMEYSELDRILNNEKDGAKSSTHNRKLITKSVSMPNLTEAVDGGLAFAHYCLTGNELLRLCSGAIPGTRDYDKQHCKDLNLLPPEVENPIYGW >OIV92267 pep chromosome:LupAngTanjil_v1.0:LG19:4072377:4074928:-1 gene:TanjilG_00285 transcript:OIV92267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESSFFFTANSLIAKIDSYHIYQASLDIGVYNDLQSFKESLLSMKYWVPKVDLEKQQEYSMSELLQQIKVVLYDAENVVDEFHIKLGMGNYCPPMMSIVDTQEDQRKRSIKSSDYTIPDVMIGREEDKEIIIKLLMHQNPCGYDHQSLTVIPIVGMGGLGKTTLAQSVFIDQRIDQSFSCKIWVSVTDGFNIKQVIAQIIQCITGTLVEVEEQQINQLRDILPKQKFLLVLDDVWNEFWNLISTCIKGSQILVTTRSHSIASMMGTIRSHALKGLSMEDSLSLFQKYAFKEGEEIKFPDLIKVGRGIVNKCGGVPFAIRSMGSMLFSKYDIKLWELIRDGEFWHFAGAKFILHSLRLSYLHMPSHLKQCFELFSLYPDDFVFHSSEVASLWAALGLLPSPNKDETLIDVANQCLLKLMSRCFLHNFFNFGTSYYFQVHDLVNALARSIVKDECCMVSPNIQYVPKNVQHLSFAKDDLLDKYFTPNSLMVRTILFPIEGVGASSEAFLNTCVSRYRYLRILDLSDSTYETLPSSIGKFRHLRFLSLERNEKIKRVPDSICKLYNLQVLNLVGCTKLENFPKGLRNLISLRQLGITTKETVLPENDIANLKSLEILNIESCENLESLFIGIKLLTLRTLTVTKCESLKSLSLDINHFPQLETLLVDNCGYLELIKGPDDQSSNLRLKAIRLHSLPQLLTLPSWLQESTNTLLSLLIVDCKNLEVLPEWLSTFSSLGSFGMTNCPKLTFLPNDIHRLTALGYLRIEGCPKLCRKCQPQVGEYWPKISHINQIFIDEPEDLKEDAEEERLEVEQ >OIV92203 pep chromosome:LupAngTanjil_v1.0:LG19:4515943:4520541:-1 gene:TanjilG_31122 transcript:OIV92203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNIKLPEPPETSDGAIRRAVVIGNGFAGAENQCIGLVRALGLSHHHFLFRVTRPREGINRLLHWLPVSIHKKLDSVIRRFCGIQKSNRVSHFSTAKIGISNVLEADAHQIAAMARETFHKDGPLLVVASGRDTISVATSIKRLAPENVFIVQIQHPRFLLNRFDLVITPQHDYYPLTPHAQRQIPWFLRRWVTPWEPPGRNVVLTVGALHQADSTALRVAASTWHDEFATLPKPLLVVNVGGPAGNCPYGVDLAKQLVVMLQNVLWSCGTIRISFSRRTPEKISRILVKEFATNPKVQIWDGEGPNPHMGHLAWADAFVITADSVSMLSEACSTGKPVYVIGAELCTWKFADFQNTLQKQGVARPFTGKENITESWSYPPLNDTAQAARQVIAALAQRGWTIHA >OIV91856 pep chromosome:LupAngTanjil_v1.0:LG19:13599809:13601769:1 gene:TanjilG_17848 transcript:OIV91856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTSRRVADRKVARFERSALKKSSKKGYPVGLLLLAFFVFVVLGSSLFQIIKNGTTRRIV >OIV92532 pep chromosome:LupAngTanjil_v1.0:LG19:372211:382732:1 gene:TanjilG_02295 transcript:OIV92532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTPVNIIVGSQVWVEDPEIAWIDGEVIEIDGKNAKIITTNGKTVVAEISRIYPKDTEAPPAGVDDMTKLAYLHEPGVLYNLACRFSLNEIYQYKGAAFGELSPHLFAVADTCYSRFGKFVEIQFDKNGKISGAAIRTYLLERSRVCQASDPERNYHCFYMLCAAPPEDLKKYKLGDPRQFHYLNQSNCYEVSNVDDAKEYLETRNAMDIVGINQDEQDAIFRVVAAILHLGNINFMKGKEFDSSKLKDDKSLFHLRIVAELLMCDEKALQDSLCQRVIVTPDGNITKPLDPDAAALSRDALAKTVYSRLFDWIVDKINSSIGQDSNAISIIGVLDIYGFESFKINSFEQLCINLTNEKLQQHFNQARLQLLNTHVFKMEQEEYTKEEINWSYVEFVDNQDVLDLIERKPGGIIALLDEACMFPKSTHETFAQKMYQTYKAHKRFSKPKLARTDFTINHYAGDVTYQADYFLDKNKDYVVAEHQALLWASKCNFVANLFPPLPEETSKQSKFSSIGSQFKQQLQSLMETLSTTEPHYIRCVKPNTVLQPGIFENFNVLNQLRCGGVLEAIRISCAGYPTKRTFEEFLDRFGMLAPDVLDGSDEKKASIAICDKMGLKGYQMGKTKVFLRAGQMAELDARRAEVLAKAARRLQRQIRTHLTRKEFITLRKATIHIQKTWRAKLARKLYSDMRREAASIRIQKHVRAHRTRMYYMSLQASAIVIQSGMRALAARNEYNYRRRTKASIKVQARWRKAVALFDYKQQKKATITLQCLWRAKVARKELRMLRMAARDAGALKEAKDKLEKRVEELTWRLDIEKHMRLDLEEAKGQEIAKLQNALQEMQNQLDEADAKIILEKEAAKIAIEQAPPVIKEVPVVDNTKLELLTNKNEELEIEVEELLKKIKEFEVRFSEIENENQARLKEAEEAHLKAIQLQETIERLESSLSNLESENQVLCQQALEESKKEELSEEIKVLKDQISHLESENEFLRSQAAAAEAAASVEQKVHPEKIAADQVQEVAVLEQIEQRVISDNLTAQIKNLDNGNQTEEELHARKETRVPVSFLTKQKSLTDRQQESYDTLLKCLTEDKRFEKNRPAVACIVYKALLHWRSFEAEKTHIFDKIIHTIRSSIESQEGMNDLAYWLSTTSTLLFYLQCTLKASNSTRAVSRNRNSPATLFGKMAQGLRSSSMGIGFSSGYSGMVDKPNEHSKVEAKYPAILFKQHLTAYVEKIYGMVRDSLKKEISPFLNLCIQAPRSIRTRSIRGSSRNIHSNIVAKQQELHMHWKSIVNKLEHSLSTLSNNYVPSIITRKIFSQVFSFMNVQLFNSLLLRRECCSFSNGEYLKAGLHELELWCLKATDQFAGSSWDELKHIRQAVGFLVLHQKAQKSLEEITNELCPVLSIPQIYRIGTMFWDDKYGAQGLSQEVISRMRVLMTEDSINIPNNSFLLEVDSGIPFLMEEIFRSMSDICLSDMDVDPPTILRQRSDFQFLLQQMDNDSQ >OIV91592 pep chromosome:LupAngTanjil_v1.0:LG19:17527135:17529276:1 gene:TanjilG_09004 transcript:OIV91592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGAVSSDFVDESSSSVVEDGDDLKSRILRLRLPKRSATNILQKWVLEGNPPITAPQLRDISKDLRRSQRYKHALEISEWMVTNEEYELSDSDYVVRIDLMTKVFGIDTAERYFESLPLAAKTKETYTALLHSYAGAKLTEKAEELYQRIKDSDLSFDALTYNEMMTLYMSVGQVEKVPLVVEELKQQNVAPDIFTYNLWISSCASTLNIDEVRRILDEMSCEAGSNESWIRYLNLANIYVTVGHLDNAGATAIVETEKRITQSQWITYDFLIILYAGLGSRDKIDQIWNSLRMTKQKMTSRNYISVLSSYLMLGHTKEASEVIDQWIHSTTTNFDIVACDRIMAAFTDIGLTEVANNLNMILIEKNVNPGNN >OIV92330 pep chromosome:LupAngTanjil_v1.0:LG19:3144808:3145080:-1 gene:TanjilG_10540 transcript:OIV92330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMPSEYATSSDSKELGIKNVEEDNEEGVCSSGCVTPKAKRFRIPEVLTCPPAPKKRRVISKYSAKRSRKFFASPDIELFFFSALRNVSA >OIV91974 pep chromosome:LupAngTanjil_v1.0:LG19:11920650:11921807:1 gene:TanjilG_09515 transcript:OIV91974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSILADLSFRMYSEPDRFKIDHFDRLPDPLILLVFNKVGDVKALGRCCVVSRRFHSLVSQVDNVIVRVDCVISDDDSNSSDSSADKANGAFSTLFRLVFGGIVKPLQALGQLLGPNRTALVSGSSSALPSSLAVGASQEDGGADQGGVTHHSPTQVLKNFCEIRLLRIELPSGELGIEDGVLLKWRADFGSTLDNCVILGASSVIQPKTGNAGDDDNYNGDDVDDNGSIPDSFYTNGGLKLRVVWTISSLIAASARHYLLQPIISEHKTLENLVLTDADGQGVLHMNSDQLEELRVKPLSASLASKRTLVPALNMRLWYAAHLELPDGVVLKGATLVAIRPTEQSPKKEPSDVSWVSTAFEEPYRTAARMLVKRRTYCLEMNSF >OIV91864 pep chromosome:LupAngTanjil_v1.0:LG19:13703394:13707118:1 gene:TanjilG_17856 transcript:OIV91864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEDNVEGNKEETKEKKKEEETQEIVLKVDMHCQACARKIEKALKGFEGVEEVSVDSNTSKVVVKGRGADPIKVCERVQKKSGKKVELISPLPKPLVEKKEEIKEPQPQEKKEEVSPSVVTVVLKVGMHCEACAQVIQKRIRKIQGVESVETDFGNDQVIVKGVVDPSKLVDYVYKRTKKQASIVKEEEEKKEENKNEEKHKESEESKGEDNNNNNNNNKADIKRSEYWPSRHYVDYAYAPQIFSDEESKCMLYYVTIALYYLYVLEAN >OIV91786 pep chromosome:LupAngTanjil_v1.0:LG19:14633244:14635521:-1 gene:TanjilG_14365 transcript:OIV91786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQRSAMDKDIEVDIESGMPLIGDDSNKVSTPGTSREGKTSLSKISGGFVGGSVKGDDMPSLFSNESDLKEVCVEAVQELNNSKTGQDPVRHPEKFPVKEKRKKANNKKAAKPPRPPQAPTLDAADHKLIREITELAMLKRARVERMKALKKMKACKSSSSSNSSIWAMIFTVVFVIVIITQGLSSGKSSPESFEGSPLSTSGTDGGLISVQYQLNPSASDSDAPGSMSHNFVQQVTDSDLPEKLRRDSG >OIV92465 pep chromosome:LupAngTanjil_v1.0:LG19:1099866:1113651:1 gene:TanjilG_02228 transcript:OIV92465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGFQGEHMNGNMYNGNNSSSFPGRVERLVRGRKLRKNSKASLSNESPDNNNNDSNNNIINGVTESLDHDLRLREANSTTHTSHFERFLEGAAAARAFTDGYEREDGKPFRQRLLVVANRLPVSAVRKGEDSWSLEISAGGLVSALLGVKEFEAKWIGWAGVNVPDEIGQEALTKALAEKRCIPVFLDEEIVHQYYNGYCNNILWPLFHYLGLPQEDRLATTRSFQSQFAAYQKANQMFADVVNEHYEEGDVVWCHDYHLMFLPQCLKNHNSKMKVGWFLHTPFPSSEIHRTLPSRSELLHAVLAADLVGFHTYDYARHFVSACTRILGLEGTPEGVEDQGKLTRVAAFPIGIDSERFIRALYLPQVQEHIKELQERFKGRKVMLGVDRLDMIKGIPQKILAFEKFLEENAYWRDKVVLLQIAVPTRTDVPEYQKLTSQVHEIVGRINGRFGSLTAVPIHHLDRSLDFHALCALYAITDIALVTSLRDGMNLVSYEFVACQDKKKGVLILSEFAGAAQSLGAGAILVNPWNITEVAAAIAKALNMPSAEREKRHRHNFHHVKTHTAQEWAEIFVSELNDTVVEAQLRTKQVPPRLPTKTAIERYLQSTNRLLILGFNGTLTEPVERKGDQYKEMEPTVHPELKQPLIELCHDPKTTVVVLSGSGRTVLDENFKEYDMWLAAENGMFLNPSKGEWMTTMPEQLNMEWVDSVKHVFEYFTERTPRSHFEERDASLVWNYKHADVEFGRLQARDMLQHLWTGPISNAPVEVVQGSRSVEVRAAGVTKGAGIDRILGEIIHNKSMTTPIDYVLCVGHFLTKQDEDIYAFFEPELPSAGVSLPRNKVTEGAKFTAERISSVKTPTHKNGLKSLQNKAQRPQANAEKKQTNHIARPPRRPTHEKTSWNVLDLKKENYFSCAVGRTQTNARYTLGSSDDVVSFLKELAAASSSNSSFSDRSLDFHALCALYAITDIALVTSLRDGMNLVSYEFVACQDKKKGVLILSEFAGAAQSLGAGAILVNPWNITEVAAAIAKALNMPSAEREKRHRHNFHHVKTHTAQEWAEIFVSELNDTVVEAQLRTKQVPPRLPTKTAIERYLQSTNRLLILGFNGTLTEPVERKGDQYKEMEPTVHPELKQPLIELCHDPKTTVVVLSGSGRTVLDENFKEYDMWLAAENGMFLNPSKGEWMTTMPEQLNMEWVDSVKHVFEYFTERTPRSHFEERDASLVWNYKHADVEFGRLQARDMLQHLWTGPISNAPVEVVQGSRSVEVRAAGVTKGAGIDRILGEIIHNKSMTTPIDYVLCVGHFLTKQDEDIYAFFEPELPSAGVSLPRNKVTEGAKFTAERISSVKTPTHKNGLKSLQNKAQRPQANAEKKQTNHIARPPRRPTHEKTSWNVLDLKKENYFSCAVGRTQTNARYTLGSSDDVVSFLKELAAASSSNSSFSV >OIV92244 pep chromosome:LupAngTanjil_v1.0:LG19:3567583:3568164:-1 gene:TanjilG_00262 transcript:OIV92244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIKNLSTWKGLVNGATGTVVELVKSVNVDGVCPDNLLPIVKFDSGKVLKIKPAEWHVMVGNKIVATRKQIPLILAWALSIHKCQGMTLDKAYINLSRAFGCGMVYTSLSRVRSMDGLHLSGFTPSKILADHKVSEFYRNFALQHNNKDLHNGRTMSTENSSSSITCASEKAGTVVTKRYFSLSEFLARRSLL >OIV92236 pep chromosome:LupAngTanjil_v1.0:LG19:4949086:4950645:-1 gene:TanjilG_31155 transcript:OIV92236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAVAARSFIRSAASRTANFAASSRPSPARSPFQLPKQSSISNRTFRSPVALSFCVESMLPYHTATASALLTSMLSACPRSYGWTLEGQEKTR >OIV91973 pep chromosome:LupAngTanjil_v1.0:LG19:11866965:11872953:1 gene:TanjilG_09514 transcript:OIV91973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGETGKRYRSRRDYDGDNKNQKRRANERDENGNDELVVYRILCPDGVIGNVIGKSGKVINSIRQETRARVKVVDPFPGAKYRVITIYCYVKEKEEFEVDDELNRPLCAAQDALLKVHSAISNAVESVGDSEKKRNDKEECQILVPSSQSANIIGKAGATIKKLRVKTKTNIKVIPKDVTDPTHSCAMEFDNFLLITGESEAVKRALFAVSSIMYKFSPRENIPLDQAIPEGPPSIIIPTDVPIYPPGGIYPAPDPIIPPRTVPQIIGATNVQDLQGYDAGNTWPLYSSTFPVVSGLGPPQSEELIVRMLCPSDKIGRVIGKGGSTIRSMREASGARIEVDDSKAHQDECLISIIASESSSDLKSMAVEAVLLLQGKINDEDDNTVSIRLLVPSKVIGCIIGKSGSIINEIRKRTMADVRISRSDKPKYADVNDELVELVGGVDCVRDALIQIVLRLRDDVLKERDIGQKTPMGAESLYPGGSVFSLPSMLPSVPHVTAPLAYDQRTKSGSGLGMLSSSSLYGYRSFPMGENDYGSMSSYAPTPYGGLLPPSTMEMLVPANAVGKVLGKGGSNIANIRKISGAIVEISDSKSGRGDRIALISGTPEQKRAAENLIQAFIMAT >OIV92493 pep chromosome:LupAngTanjil_v1.0:LG19:757565:758195:1 gene:TanjilG_02256 transcript:OIV92493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTKCSNDTNGKFICGSGDCASGQISCNGAGGIPPVSLVEFTLSGFGGNDFYDVSLVDGFNVPVSITPQKGSKGCNITSCPNDVNKVCPQDLALKGSDGSVIGCKSACLALNQPQYCCTGAFNAPDKCPPTNYSKIFKDQCPQAYSYAFDDKTSTFTCSSGGNYLVTFCP >OIV91620 pep chromosome:LupAngTanjil_v1.0:LG19:17279757:17293866:1 gene:TanjilG_09032 transcript:OIV91620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSFTNTIPFFIFWFFTSQTFFTKTSADTLTGTQTLRTNQTLLSSNQTYVLGFFTGSNSNLYLGIWYRNTTPERTIVWVANRDNPLNNSGGFLKFGDSGNIVLVNSFENTIWSSNQTSAKNPVLRLLDSGNLVIVEANSDPTKYLWQGFDHPSDTLLPGMKVGQNLDTGIEMHLTSWKVTGQDPSTGDYFTKLDYHGLPEMFILNNNQTVFRTGPWNGEGYSGMPEMHADTDSIEFSFIADADEVYYSYTIGNNSLISRLILTSDGLLQRFTMLEGTQAWSMFWYVPRDQCDDYKECGPNGICDNNASPVCKCMRGFSPKNQQAWDLRDGSDGCVRNTDLDCGNDKFWKVQYVKLPQTGTAFVNRSMTLLECEALCQRNCSCTAYANADIRNGGSGCVMWISELIDMRQYSEGIGGQDLYVRLAASDVGGSGKTSNIAEIVGITVSAIVVLLGLLGIYFIRRKRKLQSILNGNTEQIGSFHRNQDPVTNEAVFSSNMDDLELPMFDFDTLTMATNNFSEANKIGEGGFGSVYKGMLITGQEIAVKRLSKTSGQGVEEFKNEIKLIIKLQHRNLVRMLGCCIEKDEKLLVYEYMENRSLDSFLFNKDKRHLLDWRRRFDIICGIAKGLLYLHYDSRFRIIHRDLKASNILLDKEMNPKISDFGMARMFHTDQTEANTLRVVGTYGYMAPEYAMDGNFSAKSDVFSFGVLVLEIISGKKNRGFYYKNDDMNLLGNVWKQWREGTTLELIDSSIGDSYSTCEGLRCIHIGLLCVQERAEDRPTMSSVVMMLNSETTSMAQPKTPGFSIGKNHVDTDSSSTNKDQTWSVNQVTVTLLDARDNPLHNSTGSLKFGDSGNIVLVNDSGNTIWSSNQTIARNPVLQLLDSGNLVIREANSDNTNFLWQSFDFPTDTLLPGMYLGWNMDTGIEKHITSWKINGQDPSTGDYSFKMNYHGLPEIFLWNNNEIIYRSGPWNGDRFSGVPEMQPDTDSINFTFTANEHEVYYSFSIMNQSLFSRLSVTSDGELQRLTWIQSNKVWTKFWYAPKDQCDGYRECGPNGICDSNASPVCKCLRGFRPKDQQAWNLRDGSGGCVRNNDLDCKSDKFLHMMNVKLPETRNVFVNRSMTIVECEDLCHRNCSCSGYANVEITNGGSGCVMWNSELIDMRQYDEGGQDLYVRLAASDLGASHETNDRAKIVGITISSSVVLFGLLGIYFIWRKRKLQSKMNENQEQRGSLQRNQHPLMNEVVFSSNRYSGERNMDELELPMFDFNTITMATNNFSETNKLGQGGFGSVYKGRLMEGQEIAVKRLSKTSGQGVDEFKNEVKLIIKLQHRNLVRLSGCCIEKDERLLVYEYMENRSLDSILFDKAKTSLLDWKIRFNIICGIARGLLYLHHDSRFRIIHRDLKASNILLDKDMNPKISDFGMARMFHTDQTEANTLRVVGTYGYMSPEYAMDGNFSVKSDVFSFGVLVLEIISGKKNKGFYYANDELNLLGNVWRKWKEGNALELIDSSIGDSYSPSEALRCIHIGLLCVQERAEDRPKMPSVILMLNSETASMPVPRNPGFSVGRNPTETNSSSSKQDESWSVNQVTVTVLDASAKSCYSQVVPRPQQGQQVPCFFIFGDSLVDNGNNNGMLTLARANYRPYGIDFPQGATGRFTNGRTYVDALGMGSNDYLNNYFMPDFYSTNTDYTPTAFASVLLQQYARQLTQLYSLGARKVIVTAVGQIGCIPYQLARFHGNNSRCNEKVNSAILLFNNGLKKIVQNINGGQLPGAKFIFLDFYQSSQDLASNGASFGFDVIDKGCCGVGRNNGQITCLPLQQTCEDRRKYLFWDAFHPTEVANILLAKASYSSQSYTYPMNIQQLATL >OIV91915 pep chromosome:LupAngTanjil_v1.0:LG19:12965847:12967326:-1 gene:TanjilG_26034 transcript:OIV91915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTKLSITTLTVFLFLASNVRAQTKGFDVTKYGARPNRDITEALTNAWKDACGSTTPSRVVVPKGSYMLKQIDLKGPCKAPINVQVDGKILAPKNPKLLNGVDQWVKFGYINFFTLSGEGTFDGQGEMAWKHNDCGKNKNCDRLSMNFGFAFLNNSIIQDITSKDSKNFHVNVLGCNNLTFINMNINAPATSLNTDGIHIGRSTQVHIYNSNIATGDDCISLGDGSKQIRVLNVTCGPGHGISVGSLGKYPNEEPVEGLTVKNCTLKNTDNGVRIKTWPGTPIISSVSKMHFEDIIMVNVSNPILIDQQYCPWNQCTKQYPSKIKITQVSFKNIRGTSTTHEAIVLDCSSSVPCESVEINFV >OIV91665 pep chromosome:LupAngTanjil_v1.0:LG19:15526821:15535572:1 gene:TanjilG_26518 transcript:OIV91665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METTTKEIDASEIEYVTYGGEHHLPLIMNLVDQELSEPYSIFTYRYFVYLWPQLSFLAFHNGKCVGTVVCKMGEHRNTFRGYIAMLVVINSYRGKGIATELVTRSIKMMMESGCEEVTLEAEVTNKGALALYSRLGFIRAKRLFHYYLNGVDAFRLKLLFPRPELHHLSDAPFEE >OIV91732 pep chromosome:LupAngTanjil_v1.0:LG19:16626954:16629206:-1 gene:TanjilG_26585 transcript:OIV91732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATMFITRNAIFRFSKSFSFAYTPSLSLRFSQKPFRVVFSSVSNHSNWGNAAEGSRDSRGDSWVYDSTSTRREAEARENDRVKEGAYEMKERTKDYANDAKEKTKDVAGSMVDKAKQGTNRAKEYAHETKEEAKDAAGTMADKTKEYAEETKEEAKDAAGTFAEKTKEGANNATEKAKEYGHEAKERTKEGANKVADTAGYMKDKAKDGAYGAKEKTKEAAGSATETVKNVGEKAKETVKGAWEATKDTTNKIKEKVVGKDDDDDDEEKDGVVVGLDEDVVELKRGGKGYGESKDY >OIV91742 pep chromosome:LupAngTanjil_v1.0:LG19:16711482:16713281:-1 gene:TanjilG_26595 transcript:OIV91742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPYENTLPGHGLVFIFTPVTGIQGTSSAQNLGLFNLTNNGNSSNHVFGVEFDVFMNQEFDDINDNHVGIDINSLTSFVSHDAGYWPDDRKSNDESSFKELMLNNGENYQVWIDYEDSLINVTMAPVGMRRPLMPLLNVSVDLSQVFEEEMFVGFTSATGQLVQSHKILAWSFSNTNFSLSEELITEGLPSFVLPKDSIFESKAFVAGITVGVFFVICVIVLLALFLIQRMRRRARKKEEMEDWELEYWPHRMTYDEIEAATKGFCEENVIGVGGNGKVYKGILRGGAEIAVKRISHENDGMREFLAEISSLGRLKQRNLVGLRGWCKKDQGNFLLVYDYMENGSLDKRVFHCDENKMLNCEERIKIIKDVAFAVLYLHEGWDSKVVHRDIKASNVLLDKDMNGRLGDFGLARMHNHDQVPMTTKLVGTVGYMAPEVIKTGRASTMTDIYMFGILILEVMCGRRPLEEDKPPLVEYVWQLMVQGELVNALDQRIRDIGEFFNVQEVERVLNLGLLCAYPEPQARPRMRQVVNILEGKSEGEESEIENMDTYLLQQLKSRDILSEYSQYFSYASHPTFENILESSSTYLTWSKSIVEEGR >OIV91924 pep chromosome:LupAngTanjil_v1.0:LG19:12824584:12828906:-1 gene:TanjilG_00592 transcript:OIV91924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAHHNHHQPPCTRTHQIGALLLVAGTFFITRLFDQSFVPCTNLSADHHRASQNQFLGGPHSWPERGYGSQISLKIYVYDPNEIDGLKELMYGRDGKITEDACLKGQWGTQVKIHKLLLQSKYRTRKKEEADLFFVPSYVKCARMMGGLNDKEINQTYVKVISQMPYFRLSGGRNHIFVFPSGAGAHLFKSWATYINRSIILTPEGDRTDKRDTSAFNTWKDLIIPGNVDDGMTKTGATVVQPLPLSKRKYLANYLGRAQGKAGRLLLIELSKQLPDKLECPDLKFSGSNKLGRKEYFEHLRNAKFCLAPRGESSWTLRFYESYFVECVPVILSDQTELPFQNVIDYSQISIKWPSSRIGPQLLQYLESISDEEIEGIIARGREVRCLFVYSSDSEPCSAMRGIMWELQRKVRQFHQSAETFWLHNGSIVNRNLVEFSKWELPVPLP >OIV91930 pep chromosome:LupAngTanjil_v1.0:LG19:12745932:12746651:1 gene:TanjilG_00598 transcript:OIV91930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNSLPPLSPTSLSILRSPPPPAQPTLPPFMAKGRKLTTSRSERFLGTYANGYSHHSAVADSSELREEDIWSMEEDGGTNVTNGEWEPHAEINGGSISVRSRHRISGEPHRQVGGLSLAFEDPAINGTMTPATRIVHQFRSQNDGVASPRVRHVTSSLPVNVPDWSKILRVDSVGSFHEGDDDDFDEEESDMVPPHEYLARSRNAAANSVFEGVGRTLKGRDMSRVRDAVWSQTGFNG >OIV92066 pep chromosome:LupAngTanjil_v1.0:LG19:7768758:7769450:1 gene:TanjilG_08739 transcript:OIV92066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNPKRRLEKRSKVELEMGSDEIPDLTKKVPANVLSHILTFLPLDEAIRSGILSKKWKDLWRNTTHIELNEKKLIKPLSQLLISRKFVPTKDVTKGANRYALLVYRIMFHHYGDLPSFRILHLWKSLLLGEVQSWVEYVLKTREGVQKLSLECELDNGEMGEWFLFKDDIPKLNFSKGIFQSLGSLEMINYNINCSNAFVGCKNLKTLKLEKINLADRIINDILNNCVVL >OIV91824 pep chromosome:LupAngTanjil_v1.0:LG19:13028545:13033020:-1 gene:TanjilG_17816 transcript:OIV91824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTALVSKRLLRSFGSLHSVGRYCCNSPNAGFSRSHDIGSILSGERRLSAECSGLLLARSMIHQFSTSTINPRSDESSFPSDLLSKKPIAASAERAIGRCQDLLIPVTNFHNEDKGFMVLAGDVFDLPIRKDIIHRVVRWQLAKRQQGTHSTKTISEVSGTGRKPWQQKGTGRARHGSLRGPQFRGGATMHGPKPRSHAFKLNKKVRRLGLMIALSARAAEGKLRVFDDLNVPTHKTKYIVNYFNQMEGTKKLLLVDGGPIDEKLKLATQNLHYVNVLPAIGLNVYSILLHDTLVMSRDAVNRIVERMHTPINR >OIV92114 pep chromosome:LupAngTanjil_v1.0:LG19:6588896:6592102:-1 gene:TanjilG_26972 transcript:OIV92114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQPARPRADYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTIELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKILVGNKADMDESKRAVPTSKGQALADEYGIKFFETSAKTNLNVEEVFFSIARDIKQRLADTDSKSEPQTIKINQANQGAGASEAAQKSACCGS >OIV92115 pep chromosome:LupAngTanjil_v1.0:LG19:6657935:6663356:1 gene:TanjilG_26973 transcript:OIV92115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEIVVDTELDGEISANVVPAIETANKVPTYDYSSHPPLNERIISSMIRRSTAAHPWHDLEIGPGAPQTFNCVIEIAKGSKVKYELDKKTGLIKVDRVLYSSVVYPHNYGFIPRTICEDSDPLDVLVIMQEPVLPGCFLRAKAIGLMPMIDQGEKDDKIIAVCADDPEYRHYNDIKELPPHRLAEIRRFFEDYKKNENKEVAVNDFLPASTAFEAIKHSMTLYADYIVESLRR >OIV91743 pep chromosome:LupAngTanjil_v1.0:LG19:16724517:16729673:1 gene:TanjilG_26596 transcript:OIV91743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLGIRSGSYGSLEKQLQQSNVVSPIQTTRKPSKMLKEKERSLNWVFKFAGRKKVGMLFLSLISALVFIWVLYVAKGEDSQEGNNVQNISVNESMSLSNSPSMITMANIMGFQTSLVLPPPPTGYFMGYTLPPGHPCNSFTLPPPPADKKRTGPRPCPVCYLPVEEAIALMPKFPSPSPVLKNLTFVYEENLSRDGNFGGSDFGGYPTLKQRNDSFDIRESMSVHCGFVRGAKPGRNTGFDLDEDDLVAMEQCRGIVVASAIFGNFDEINEPSNISEYSKKTVCFLMFVDEITEEYLRSSGKMGISKKVGLWRVIVAHNIPYADARRTGKIPKLLLHRMVPNARYSIWLDGKLELVVDPYQILERFLWRKNATFAISKHYKRFDVFTEAEANKVAGKYDNVSIDFQIDFYKNEGLTPYTEAKLPLISDVPEGCVIVREHIPISNLFTCLWHNEVDRFTSRDQISFAVVRDKLLLRVDFHITMFLDCERRNFVVQKYHRDLLLKLAAPVSVALSPPPPPPPSPPPPLPVIEILPERTTIPSIQRGSGRHRRAGSRGHRKVVAGNRDIEPN >OIV92378 pep chromosome:LupAngTanjil_v1.0:LG19:2124318:2134221:-1 gene:TanjilG_09976 transcript:OIV92378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSVSVLFSSILHFFSFILTMESEWNHITTEKEKESIKRYLEAELCYMPSNNFYSHPHNLTIRNLAVSIIAKEIDQVPLVATACLNIAAKIRKFSDDFYLKDFDPDAIKEVELRIRNNLEGLTKPVTPFCFLDHFYPPFQRIGGFKRRCINEIIVQAKGEDDFIEYKPSDIAFHSFVAASCIAKLMMGLSSAKIPDGVSHCNDIHVKLLRLCKKKGIKIEPPELNAASSSFFSRSEEVRAAKTESKTKSVQHRTGKGKGKGKAMEILATIVEDKDESTLYDPLRYCPKRLMNFTLMWPTDDPLLVKEPVEEVPRANDEPVARVVCFSCEDSPCCSIEFLVPTSMEVDVITRYLEIESSFMPQPTFYSNPANLKIRKLAVSIIAKRGIYDFKNKTIRKMELRILDGLEWQMRPVTPFCFLDHLYPNFIDVGDFKRRCINEIIVQAQGENEFIGHKPSDFAYSCFVAATLIWDRSKFVSIELPDDNSRLHYDLATLCRKKNIMIECAEWKKASSSKTAAARPRGKSDSSSSSSAVVTLQGPSEGSSSSAGARMEEESDQQRPEGAETSEEIAAANIGTVSGQQRQEALPGPPEDVPSETESEKQRRLDRGKAVAVSEGGTDDEDREDPLAQFFLNMLERASIQGTVPAAEAAAPRRQMAFDLKWPKDDPFIDESRTPTIRHPTTQPLNDDIEYSIPTIMEAEALTSYHETESSFMPEPDYYINPANRKIRMLAVSVIATHWTRENNDAFVPYLAMNYYDRFASRNPNSTDIYDFKHTTIRRMELRILNGLEWQMRPVTPFCFLNHIYPTFLSIGGFNRRSINEIIVQAQGEDRFLGHRHSDFAFYCFLAAILIWDRRKLPSIEILGDRSHLHHHLADFCREKGIRIECVNWKNVSPLKAAAAKPRGQSDSSSSSAAVVTLQGPSEGPSSSAAVVTLQGPSEGPSSSAAATTETEPDQQRPEGTETSEEVAAADIGTESGQQRPEVAEISEDFAVADTGTVSGQQRLETESEKQRRLDRGKAVAVSEVERDDEDRVDLLAQFFQNMLEMVGTSIEGTIPAAEAAAPRRLMDFDLTWPTDDPFIDESDTPTIRRPTIRRPTRQPLNVEQERMNEEETFCQYLTCGCCNPACCIP >OIV92133 pep chromosome:LupAngTanjil_v1.0:LG19:6315336:6316834:1 gene:TanjilG_18705 transcript:OIV92133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVETGGKGCDGAMDDADAAATWEEIDVAETYLICSMYQQAASTASSNLNPCSQIEQGSDLDHVQQYLEDFLNGWNPVDPQYATLIAEPNVDCETRYGRHFVLEIDQYLQLVELYVVTLLATVLKHVDLAISWVENNASLPEENRQALLRRLHSMHSLKSTTLNSPTDNIKAYSLKELNACEGSTKALSGKHANNKKYASKEAVLKLSERIEPYLCYFRSIIFRLQ >OIV91819 pep chromosome:LupAngTanjil_v1.0:LG19:14188814:14190680:-1 gene:TanjilG_14398 transcript:OIV91819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSLSSNGISQLGIGTQDVQYGTPPPLGTGHAMGPPAYPYPDPYYRSIFAPYETQPHPPGPPQIYGGQPMVHLQLMGMQQPGVPLPTDAVEEPVFVNAKQYHGILRRRQSRAKAESENKAIRNRKPYLHESRHLHALRRARGCGGRFLNAKKDEDQQKKDSSTENSLTNVSLNSDKNDLEPSDRTS >OIV91980 pep chromosome:LupAngTanjil_v1.0:LG19:11544471:11551154:-1 gene:TanjilG_06608 transcript:OIV91980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTTEGNSVATTSANLNNDPDHLLVLVHGISGRQYLNVRLMVKQESLKINLMSAASASNAYSLTFTGIDEAGMRLADEVRQVVKQTKSLKRISFLAHSLGGLFARYAVAVLYSPDTYTSDQHVDTENSMMESSQRTTNFSNGGMIAGLEPINFITLATPHLGVRGKNQLPFLLGIQFLEKLAAPLAPYFVGRTGAQLFLTDGEPNNPSLLLRMASDCKDEKFLSALGAFRCRVVYANVSYDHMVGWRTSSIRRESELGKPPSKSLDGYRHVVDVKYCPPVPSDGPQFPPEAVKAKETAQNTHDTQNIVEYHEIVEEEMIRGLQQLGWKKVDVSFHSAFWPFFAHNNINVKYEFLHNAGAGVITHVADSIRQQETSSVLAGSF >OIV92279 pep chromosome:LupAngTanjil_v1.0:LG19:4258564:4259799:-1 gene:TanjilG_00297 transcript:OIV92279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNMSDEIDVPPFFLCPISLEIMKDPVTISTGITYDRESIEKWVFSGKNKTCPVTKQPLSDFIDLTPNHTLRRLLQAWCTMNASHGIERIPTPKPPINKIQITKILKDAYLSPHLQIKSLRKLKSIASGSETNKRCMEDAGAVEFLASVIKNNVHNNDSSSAEDEALSVLHDLHVSEAGLKSLLGFKNGEFIQSLTRVMQKGIYESRVYAVFLLKSMSEVAEPVHLFHLSTELFMELVHVIKDQISQKASKATLQTLIQMCPWGRNRIKAVEAGAVSVLIELLLDCKDRKPCEMMLVLLESLCQCAEGRAELLSHGAGLAIVSKKILRVSTLANDRAVRILLAVSRFSATPSVVQEMLKLGVVTKLCLMLQVDSGNKAKEKAREILKLHARVWRDSPCIPSSLLSSYPAYV >OIV91923 pep chromosome:LupAngTanjil_v1.0:LG19:12834297:12836366:-1 gene:TanjilG_00591 transcript:OIV91923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATISTSNSTPIPFFVLIFLILTTFITLTFASESSSYEEKEPFVGVNIGTDISNILSPQNLVSFLTQQKITHIRLYDANPNILEALSGSNIRVIISVPNNQLLGLGSSNITSSSWIHKNVVVFYPKTLITGISVGDEILTTVPSLSTLLLPAIQGLYNALVSSNLHKKIIISTPHAASIIQNPFPPSQAFFNQTLSSVIIPLLNFLSRTGSPLMLNLYPYYVFMQNKGLVPIENSLFKPLLPNKEMVDPNTLLHYSNVLDAMIDAAYFSMKNLNFTDVVVLVTETGWPSKGDSKEPYATKENADTYNSNLIKRVFDRSGTPLHPEITSSVYIYELFNEDLRSPPVSEANWGLFYGNATPTYLLHVSEIGTFMANDTTNQTYCVAVDGADSKTLQAALDWACGPGRVNCSEIQPGESCYQPNNVKSHASYAFDSYYQTQGKGPGACDFKGLGMITTSDPNLLWSSSATRVAVIVVDGNWLLPHYPQ >OIV91802 pep chromosome:LupAngTanjil_v1.0:LG19:14432067:14432249:-1 gene:TanjilG_14381 transcript:OIV91802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYDLCVHHVLQILSKWCAFHNSIGKYGNKTRGSLIPSTFCLGHGVPTQDPTGTAFKSKF >OIV92202 pep chromosome:LupAngTanjil_v1.0:LG19:4509839:4512691:-1 gene:TanjilG_31121 transcript:OIV92202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQQSKKLTPNLDEQSTKVLNLTVLQRMDSFIDQILFTAAHVSFYDFNLQTNQWSRKDVEGSLFVVKRNSQPRFQFIVMNRRNTDNLVENLLDFEYELKKPYLLYRNAAQDVNGIWFYNPDECEEVANLFNRILNAYPKAPPTTTIPSSKTVFEELEPVSVITENHLDSSSAAASANDSHEDPVFTNFFTTSKVTGHYTSNVENFGQPYHSATATSAPSVLLPLAPSVQIPSASRSTSSISGSPLDSLETINRGNQVTNLIKPSTFFPSSSSSSLLIPPISTHVPPTAALNTLNPTRQYGTPMLQPFPPPNPPPSLAPISSSTPNKPVISRDKVRDALLSLVQVLRDFIILVLFLHIS >OIV92164 pep chromosome:LupAngTanjil_v1.0:LG19:5849893:5852560:1 gene:TanjilG_27661 transcript:OIV92164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMITWITESSTPPTIQYGTTPSANSFNNTGVTGSYDYITYKSGEIHYVIIGPLEPNTIYYYRLGDSPKGYSFKTTPSQFPIKFAVAGDLGQTEWTKSTLEHINKSNYDMLLLPGDLAYADCVQNLWDSFGRLVDPLASQRPWMVTQGNHEVEKIPLLHSEPFTAYNARWKMPYEESGSDSNLYYSFDVAGVHVIMLGSYTDFDRESNQYKWLQKDLENVIRVKTPWVVVLVHAPWYNSNNAHQGESESDDMKASMEDLIYQAHVDVVFEGHVHAYERFTRVYKDKGDNCGPVYINIGDGGNREGLATKYIDPQPEISLFREANFGHGTLDVFNATHALWSWHKNDNDEPVFNDSIWLRNLFLNPACKI >OIV92144 pep chromosome:LupAngTanjil_v1.0:LG19:6167465:6170605:1 gene:TanjilG_18716 transcript:OIV92144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPQPLLLLLQARLESSKLSEDINSSLDLVKVFDLKGNEIPISDLWKDRKAVVAFARHFGCVFCRKRADYLASKKDIMDSSGVTLVIIGPGSIDQAKAFAEKTKFKGEIYADPAHTSYEALKFVSGALTTFTPNAGLKIIQLYMEGYRQDWKLSFEKDTVERGGWQQGGIIVAGPGKSNISYIHKDKEAGDDPDIQDILKACCS >OIV92159 pep chromosome:LupAngTanjil_v1.0:LG19:5950768:5951505:1 gene:TanjilG_18731 transcript:OIV92159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKQFYFHNMLIMIILFQTISAQLAPAPAGPTNITQVLEKAGQFTTFIKLLKASQVDDRINAQLNNSNQGLTIFAPTDNAFSSLKPGTLNSITTQEQVQLIQFHILPTLYSISQFQTASNPLHTQAGSSDDGEYPLNVTTSGNQVNITTGVVDTTVSNTIYSDSQLAVYQVDKVLLPLALFSAPPTAAPAEAPAPTKPKKNVQASDAPSGSDTSVDASSAVELNSLTMKSVTFIVAIVVVVVMTV >OIV91708 pep chromosome:LupAngTanjil_v1.0:LG19:16394197:16396641:1 gene:TanjilG_26561 transcript:OIV91708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSLLVPSVSLPVGKRRAISIGKRFRVKAMRAVVQRVASASVEVDGRIVSEIGPGLLVLVGIHHSDSDSDADYICRKVLNMRLFQNENNGKTWDHSVIQKNYQVLLVSQFTLYGFLKGNKPDFHVAMPPQQAKTFYASLVDRFRNAYNPDAIKDGVFGAMMKVNLQFPNSFVWVPLLLQYLNNAYVKNPHTVMTGRNTVDAAES >OIV91644 pep chromosome:LupAngTanjil_v1.0:LG19:17102743:17107528:1 gene:TanjilG_09056 transcript:OIV91644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAHYDTNPFAEEEVNPFSNPGIVAHATNSRLAPLNPEPAGYNYGYGVTIGIPLDPSMDLKKKEKELQAKESELRRREQDVKLKEEAAARAGISLDEKNWPPFFPIIHHDIANEIPIHLQRLQYVAFTSLLGLVLCLTWNILAVTAAWIKGEGVKIWFLSIIYFIAGVPGAYVLWYRPLYRAFRTGSAFNYGWFFMFYMLHIGFCILAAVAPPIVFEGKSLTGILSSIDELNDHAVIGILYFIGFALFCLEILISIWVIQQVYMYFRGSGKAAQMRHEAARGAVRAAF >OIV92282 pep chromosome:LupAngTanjil_v1.0:LG19:4364958:4367864:1 gene:TanjilG_00300 transcript:OIV92282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIESTTQGNKVGCFAHLGKFLMDLPRNFKSKVVNFARSLKKLGQDDPRRVIHSLKVGIALTLVSLFYYSRPLYDGFGVAGMWAVLTVVVVFEFTVGGTLGKCLNRGCATLLAGALGVGAKHLATTLGKRGEPIILGGLVFILAAGATFFRFCPKIKQRYDYGITIFILTFSLVTVSGYRVEQIFELAHQRLATVLIGATACMVISIFICPVWAGEDLHKLVASNIEKLANYLEGFEGEYFQCMEDNEKTKKSVLEGYKSVLNTKANEESLANLARWEPGHGGFRLRHPWKQYLEIGALVRQCAYRLETLNTYLYPQIQASFEFKCKIQEPCTKMSSESSKALKAISSSMKTMTDPSAAKCHIENSKAATKDLKIALETILLEDVEVLAIISVATVAAILEEITKSVDKIYESVSQLSHLAHFKSVESNVSPEKPPLGPLLHRGIINPVVDIYNSDHVEIMIQDIITTDSPEKLNAPEKIIPTCKASIICH >OIV92387 pep chromosome:LupAngTanjil_v1.0:LG19:2061626:2065057:1 gene:TanjilG_09985 transcript:OIV92387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESFKVLVLCTLLFHFTPSINTLQKIAPGQSIKGDETLVSPSETFEAGFLSLRDQYFGIWYKGLSPRTVVWIANRDAPLGNSSGVLNVTDGGNIVILDGTGFAVWSSNTTIIVKKPLLKLLDNGNLVVVEENNPEKFLWQSFDLPGDTLLPGMKLRSNLVSGDYTSLISWRDTQDPSSGLYSYHIDTKGLPQVVITKGGVLLFRPGSWNGNILSGIASKNLYKSFNFSFELTDKEVSYGYELLNQSIVSRYMLTSTGLVERFIFRDQVKGWQLFLAGPADQCDNYAICGANGNCDVTNSPTCECLKGFIPKSQAKWNSQIWSDGCVRRVDLACGKSDGFLKFTGLKLPDTSTSWFDKSLNLEECEKLCLKNCSCAAYANLDVRNGGSGCLLWFNNLVDMRKLTSGGQDFYIRVAASELDHNNGVKKKLAGILVGCAMFILTLIGLVVIILRRKKLKKEGRNQIINWKNHTDNKDKEGIDIPIFDLSTIANATSNFSISNKLGEGGFGPVYKGTLKNGKEVAVKRLSDNSGQGPKEFINEVELIANLQHRNLVKLLGCCIHDDERLLIYEFMINRSLDYFLFDENKRSLLHWPQRFQIICGIARGLLYLHEDSRLRIIHRDLKTSNILLDENMNPKISDFGIARIFGEDEAEGKTKRIVGTHGYISPEYATRGFFSVKSDVFSFGVIVLEIVSGRKNRDFLHHSDLDLLGHAWRLWGEERPLELLDESVGDTSTVSEVVRCINMGLLCVQERPDDRPDMSGAVLMLNGEKPLSRPKEPAFYPHQFGSSSRNCELPSTNEMSITLLDASTLITFNYIQSFEEYEPKQKERIMRGSD >OIV92325 pep chromosome:LupAngTanjil_v1.0:LG19:3073056:3084534:-1 gene:TanjilG_10535 transcript:OIV92325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAISGVVSRQLLPACGTLCFFCPAMRARSRQPVKRYKRLIADIFPRNQEEGPNDRKIAKLCDYAARNPLRIPKIVTALEQRCYKELRNENFRSTKIIMCIYRKFLSSCKEQMPLFASSLLTIIDTLLDQSRQDEMRIIGSHILFDFVNNQSDGSYLFSLEGIIPKLCQLAQEIGDDERARASRSAGLQALSSMVRFMGEHSHISIEFDNIVSVVLENYGGPKENSADLDHEEQGSENKWVQEVMTNEDHISPLFDVKKRNPSWSTIVNGNGEVIVAEEDAKNPAFWSGVCLHNMANLAQEGKNTHVLLSMLIKHLDHKTVLKEPNMQLDIVEVTTSLAQYAKVQPSVSIIGALSDVMRHLRKSIQCSLNESNLGTDVIDWNKNFRETVDKCLVQLSNKVGEAGPILDVMAVMLENISTITTTSRTTVHAVYRTAQIAFPEALFHQLLQAMVHPDHETRAVVHRIFSVVLVPTSVFPRPYAKTLGLPRTLSRAVSVFSSSAALFEKLRLEKRSSSENLHQYDKENTSGEIKPANSNGGILNKLKSGYSRVDSVNNPPLLTVDEVTATNDNRNMDFASLRLSRHQITRLLSSIWAQSISPENMPENYEAIAHTYSLVLLFSRAKNSFHEVVVRSFQLAFSLWNMSFKDGPLPPSRRRSLFTLATSMILFSSKACNILPLAHSAKELLTERKVDPFLHLIEDYKLQAISSAPENPTINYGSKEDNDRALDTLRELFNFTHQTQEAFASEIIKSLEVFSKTDLSSIREQLLEEFSPDDMSQLVSRLAMNVPEKDASVNSIDDDSIHDSFETQMKHNPGLSTETPNLLSANQLLELVLDPFHQPGRISVSTAFNMPYEDMADNCEVLLMGKQNMSRLMSTQLKQECLLDSLLPNHDNEIENMGSSSHIDAGFQFQKVGNPFLDENIVLDLYKPTSEPVPMLCASESQNLPQLFKLPASSPYDNFLKAAGC >OIV92345 pep chromosome:LupAngTanjil_v1.0:LG19:3308542:3312058:1 gene:TanjilG_10555 transcript:OIV92345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTFCTYFFILSLTFTIFNLTSQSSTPEKSTLLTFKASIQDSNNALSTWSNTSSNHYCNWTGISCSNIEPFSVTSINLQSLNLSGDISSSICDLPNLSYLNLADNIFNQPIPLHLSQCSFLESLNLSSNLIWGTIPAQISQFGSLKVLDFSRNHIEGNIPESLGSLKNLQVLNMGSNLVSGNVPAIFGNLTKLEVLDLSQNPYLVSEIPEDIGELGSLKELLLQSSSFQGEIPGSLVGLHSLTHLDLSENNLTGLVPKSLASLKNLVSFDVSQNKLSGPFPNGICKGLVINLSLHTNTFTGSISNSSISECESLERFQVQNNGFSGDFPIELFSLPKIKLIRAENNRFSGQIPESISKAVFLEQVQFDNNSFSGKIPQGLGFVKSLYRFSASSNHFYGELPPNFCDSPVMSIVNLSHNSLSGQIPQLKKCRKLVSLSLADNHVTGEIPTSLSELPVLTYLDLSDNNLTGSIPQGLQNLKLALFNVSFNQLSGEVPYSLISGLPASFLEGNPDLCGPGLPNSCSDDYMPRHHNSGLTILTCALISLAFVVGSGIVVGGFVLYRRSCKGNGKEVGVWRSVFFYPLRITENDLLIGMNEKSSLGKAGTFGKAYVVSLPSGELVAVKKLVNFGNQSSKSLKAEVKTLARIRHKSVVKILGFCHSDESVFLIYEYLNKGSLGDLISSQDFQLNWGVRLRIAIGVAQGIAYLHKDYVPHLLHRNVKSNNILLDANFEPKLTDFALDRVLGEAAFQSTLDSEGASSCYNAPEYGYNKKPSEQLDVYSFGVVLLELVSGRQAEATESNNASLDIVKWVRRKVNITNGVQQVLDPRMSHTCHQAMIGTLDIALSCTSVVPEKRPSMIEVIRGLQSLESRTCIANLHDSNEEHSIPV >OIV92258 pep chromosome:LupAngTanjil_v1.0:LG19:3939813:3942748:1 gene:TanjilG_00276 transcript:OIV92258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFEESLTFNGRELDTILSFKSPIADMENHLLGKPIGTKKMCTSDDLSRTYSNLSEKSFHSPVPQNERDQAALKLQKVYKSFRTRRQLADCAVLAEQRWWKLLDFAELKRSSISFFDMEKPETALSRWSRARTRVAKVGKGLSKDEKARKLALQHWLEAIDPRHRYGHNLQFYYVKWLRCDSNQPFFYWLDIGEGKEVCHERCPRSKLQQQCIKYLGPAERKVYEVIIENRRLFYKESGEPIHTTGDAKWIFVLSTSKTLYIGQKNKGTFQHSSFLAGGATLSAGRLVVEDGLLKAVWPHSGHYLPTQENFEEFMSFLKAHNVNLADVKKSPREDEEDIAKMNKDILFRGDPSETELAENFETKSSSTLSQVLTDLRNEDCDAKPNSDPPLSRLSRVGLNIPRLEIPKRDNIFDIFGVKQAHPPNCDVPSPDFASECGYETAEESLIDEEDFMVPKSNLFVEDQAEEGQNPIPKEYIMKRIDSHKGMKSYQLAYHLSTRWTTGAGPRIGCLRDYPPELQFRILEHQNLSPRTRTTAPSPRIPSPLRFGPNNPPHSARGRL >OIV92067 pep chromosome:LupAngTanjil_v1.0:LG19:7773987:7778809:-1 gene:TanjilG_08740 transcript:OIV92067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMISRDDVRVLSPCQFPNSSSGNKKIDKVPLNLPHSNDFGVGKNHKFNGCGIVVHDNPMQSSQMDVNPVMQSATENPPFSDTKGSEDSEHLLVGGRLGKSLKRARTKSENLKERRKQFIPVSSASETDGLMRELRRQKNHSSADGFVRPSSTDHNNLVGSCSNLDLKSGKGSGALFPANPDDSHASTNICSFCQSSNISEATGVMLHCANGYMVTGDKAMQPNDIHVHKICFDWAPQVYFVDDVVKNLKSEVARGAKLKCSKCGLKGAALGCLVNSCRKTYHAPCAMGISTCRFDHESFLMLCPAHAHVKFPNEKLRSKKQPTQKLPGLSHLPSHESNPLLALQDDSKKLVFCGSSLPDDGKVLLVNFSDKVGAAVTKYWTPNVTHVIAATDENGACSRTLKVLMAILNGQWILKMDWVKAGMERMTMVEEEPYEISLDNQGCHGGPKAGRLRALANEPKLFSDLKFYFSGDYMTTYKEDLEDLVELGGGTVLRSKEELEARRHECVAEPSKMLIVYNIDPPEGCNLGEELSILWQRLNEAEELAANTGYQVINHTCILECIAASKYPF >OIV91944 pep chromosome:LupAngTanjil_v1.0:LG19:12155077:12157992:1 gene:TanjilG_23205 transcript:OIV91944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFSVIGCSQLKLGKSDLLYRELGFCNLKNNCRILNNRVCFGNNMRLKKEGIRFTLKALHSEPVIEKKRSGLGKKSKMVNGVKLFVGLPLDAVSSGCKSNNNARAIAAGLKALKLLGVEGVELPIWWGIVEKDAMGKYDWSGYLAIAEMVQKVGLKLHVTLCFHGSKKPHIPLPKWVSQIGESHPSIFFTDRSGQHYKECLSLAVDNLPVLDGKTPVQVYQSFCESFKSSFSPFMGSTITGISMGLGPDGELRYPSYHGLPSDNKTRGVGEFQCYDQNMLSFLKQHAEESGNPLWGLGGPHDTPTYDQPLHSNNFFKDGGSWESKYGDFFLSWYANQLLTHGDRLLSLAASTFADTGVTVYGKIPLMHTWYGTRSHPSELTAGFYNTVNKDGYEPVAKMFARNSCKMIIPGMDLSDANQANGTHSSPEMLIAQIMTACKNHGVKVSGQNISEFGALEGFNQIKKNLSRDKVLNLFTYHRMGAYFFSPEHFPSFTEFVRCLNQPKLHSDDLPSEEEEDTESSVKSEESSVNMQTA >OIV92404 pep chromosome:LupAngTanjil_v1.0:LG19:1507686:1509424:1 gene:TanjilG_23004 transcript:OIV92404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGTINLEKKWLLPLIITSAICLFFLAASFNMGLVSTNHSINSLFFFLPSFPHSNQTSSVSVETKVLITPPPAPAPAPARPAIPRFAYLISGSKGDLEKLWRTLLALYHPLNHYVVHLDLESPPDERLDLLNRIEKHHVFTEVGNVFVIKKSNLVTYRGPTMVSNTLHACAILLKRSKEWDWFINLSASDYPLVTQDDLLYTFTDIDRSFNFIDHTSQLGWKRERRGKPIIIDPGLYLTTKSDVFWVEPKRALPTAFKLFTGSAWVVLSHEFVEYVIWGWDNLPRTLLMYYTNFLSTPEGYFHTVACNAPEFANTIVNTDLHYISWDTPPKQHPHILNINDTDKMIESGAAFARKFTQDDPVLDVIDKKLLHKRRGLFTMGGWCIGKPKCSEIGDIYKLKPGPGAQRLQRLLAGLTSTAKSGQNQCI >OIV92006 pep chromosome:LupAngTanjil_v1.0:LG19:11452406:11454891:-1 gene:TanjilG_07745 transcript:OIV92006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAADSPKTDSDSEFESDYTVNLVADVNSATNSSNAVVRAAPSSAPSVCLVRFAGDSAGGALMGSIFGYGAGLIKKKGFKGSFVEAGSYAKTFAVLSGVHSLVVCILTRLRGKDDVINAGVAGCCTGLALSFPGSKVPISVIWNRKFRDRNCYDSPGAPQALLQSCVTFGAFSFIIEGLNKQQPALAHPILRKTSVHNNTRPPLALRLQLPLPDEMKGAFSLFYESLKSCRKGTFPTSH >OIV92471 pep chromosome:LupAngTanjil_v1.0:LG19:1047252:1048881:1 gene:TanjilG_02234 transcript:OIV92471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSSSSTTLPYGLKYHVFISFRGPDTRRNFAGHLYYALHSEEIKVFFDDEELQKGEEINSALDKAIQESWIALVVFSINYASSRWCLEELAKVMEYSKDKNMLVLPVFYKVNPSDLRHEKGNYAEALAKHECRFEKWKIDRWRLALHKAAELSGWHLSDQMYEHKVIGEIVDYVSKRISSLHGDDDKSIDQHDTIWRGEKIEMFLLPGTEDRIVVYFTSLRGIRKTHEDCSKVRMILNNLGVSVDERDINLNASYRNELQNALGKINVSLPQVFIRGKYVGNADIMEKLNESGVLEKLLKVVGGR >OIV92061 pep chromosome:LupAngTanjil_v1.0:LG19:7621502:7621816:1 gene:TanjilG_08734 transcript:OIV92061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQDFTDFNSNPSNPYFLSPSENPTMVLVSQSLNGKNYHSWCRAILCTLNGTDATTWFSHSYNIQWSTPLLNPSSGWIMHEVWKDLHDRFSQGDFFRISTLLE >OIV91986 pep chromosome:LupAngTanjil_v1.0:LG19:11700635:11701000:1 gene:TanjilG_06614 transcript:OIV91986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRSQKSDFGQEAVQPKHAPDQTKFMTKLTRSRAIWSGQMHQDVGQMHQDVGQEHQGAERMHQSTRRGINPDRGTKPSLTEAQGPDLIRALGAGPGLTETGCTRMGVGVAHRPVGRGTQAS >OIV92240 pep chromosome:LupAngTanjil_v1.0:LG19:3456081:3467830:1 gene:TanjilG_00258 transcript:OIV92240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTVKKKLSKPQKPTTKKNIKKSKTIIPKHDSTSESEQEDVLLKNEQEVVDNGSDSDSEFSSDGDDPLVDDFLQGSDDEEEKASGLDSSSGLDSDSDDEDIETKSRAIDEEREREKEDADAEMQLNIKEESDEFRLPTAEELEEEALRPPDIANLKRRIEEIVRVLSNFKTLRQDGSSRKEYIEQLKKDICSYYGYNEFLIGALEEMFPVVELIQLIEAFEKPRPMCLRTNTLKTRRRDLADVLINRGVNLDPLSKWSKVGLVVYDSQVPIGATPEYMAGFYMLQGASSFLPVMALAPQEKERVLDMAAAPGGKTTYVAALMKNTAKGFTGMGFWLASQFTSSALLGVFLCRYPSLMAGSHWDKDNRLPKVLGHNTVDRVLLDAPCSGTGVISKDESVKTSKDLEDIQKCARLQKELILAAIDMVDANSKSGGYIVYSTCSIMVAENEAVIDYALKRRDVKLVPCGLDFGRPGFTKFREQRFHPTLEKTRRFYPHVHNMDGFFVAKLKKMSSSSKPSANSSEPLEKEEEGIELVKEEKASNGGKENGKDSPESKSKKETKKKFAPKQSNDIKENGEESSESKSKKEKKRKFPPKPSNDIKDNGKESSESGSNKRKKRQLPSKEEISEAREEKRNALRKNKTKGGKQQNSK >OIV92423 pep chromosome:LupAngTanjil_v1.0:LG19:1653223:1655898:1 gene:TanjilG_23023 transcript:OIV92423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVEEKDLLSELSLPPGFRFYPTDEELLVQYLCRKVAGHYFSLQIIAEIDLYKYDPWVLPSKAIFGEKEWYFFSPRDRKYPNGSRPNRVAGSGYWKATGTDKTITTGGRKVGIKKSLVFYVGKAPKGTKTNWIMHEYRLLDSSRNNASAKLDEWVLCRIYKKNSSSEKSNTNISTNEYSNESSSNSSSSNIDNILESLPEIDDNRFLMTQHQNLTAVDWTNPAVMNSVTEFVNSGNQTMMNYGNDLCVPTLMEEDVQSKIQQRFQSFGFFQQEGFNMNEFSNNIDSDGFRYPVHPVGFGFRQ >OIV92285 pep chromosome:LupAngTanjil_v1.0:LG19:4428801:4432286:1 gene:TanjilG_00303 transcript:OIV92285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHHRHLLLFLLLLLPSLSLSTTDPNDVAILLQFKKTLKNPELLPWPESNDPDPCGSPQWKYIFCDGNRVSQIQVKNLNLSGPLPQNFNQLSKLNNLGLQNNHLNGPLPTFRGLSNLEKAFLDFNDFDSIPFDFFDGLTSLDEFALDYNNLNVSTGGWNFPPLLENSTQLRKLSCTSCNLVGSVPEFLGKMNSLTDLKLSGNNLSGEISASLNGSGLQILWLNNQMGNGISGTLDVVTTMVSLESLWLHGNKFTGSIPERVGDLVSLKDFNLNGNQLVGLIPDALGNLQLDNLDLNNNHFMGPIPNFKATNVTYEFNDFCETKPGVPCAFEVMALLGFLNGLNYPSNLVNSWIGDDPCKGEWLGINCNANGKVSMINLPNMKYNGTLSPSVANLGSLVEIRLGGNNLSGIVPSNWTSLASLNLLDLSGNNISPPLPKFSNTGLKFVTDGNPLLNGNSEAPTSGSESPSSGGSRNAEPSPKSTPEDSNSNSSGSLETKNSKRKVLVSIVAPIAGVTAAAFLLIPLYAYCFRRRKVSFKAPSSLVIHPRDPSDSDSTVKIVVANNNNGSISTITGSGSGSINSSTTGSCHTIESGNLVISIQVLRNVTKNFAPENELGRGGFGVVYKGELDDGTKIAVKRMEAGVISSKALDEFQAEITVLSKVRHRHLVSLLGYSTAGNERILVYEYMPQGALSQHLFHWKRLKLEPLSWKRRLNIALDVARGMEYLHTLAHQSFIHRDLKSSNILLADDFRAKISDFGLVKLAPDGEKSVVTRLAGTFGYLAPEYAVTGKITTKVDVFSFGVVLMELLTGLVALDDDRPEESEYLASWFCHIKSDKEKLMAAIDPALDLKEETFETVSVIAELAGHCTAREPGQRPDMGHAVNVLAPLVEKWKPFDDDTEEYSGIDYSLPLNQVVKGWQEAEGKDISYVDLEASKSSIPARPTGFADSFTSADGR >OIV92090 pep chromosome:LupAngTanjil_v1.0:LG19:7414028:7415789:-1 gene:TanjilG_27245 transcript:OIV92090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAQENAAIISNTWRMRAKDPKRYSLSSSSWRIMEWEAEYTKFQLETPSKKRYIPPKKVKNKKGPDTTNFICKGCADHKVLYPSGIYSQNLKSLFSSLFSQASQKSFTATTSSNGGGGCGGGRGTVITRVYQCRGDLINLDCDTCVCKILDMLGKLCGADMTAMRVQLSGCYLLY >OIV91692 pep chromosome:LupAngTanjil_v1.0:LG19:16267171:16267404:-1 gene:TanjilG_26545 transcript:OIV91692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSADLAIAKIGYEIDKLFGRHKSFSNSQMTQNLCQYKFYPTYGSTKVVQMKVEDVKCNEAASRSIAETRKELHIR >OIV92543 pep chromosome:LupAngTanjil_v1.0:LG19:305083:308241:1 gene:TanjilG_02306 transcript:OIV92543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKFKPFMNKEPAGLEGRSIDVGNVKIHVQKAIAEGGFSCVYLARDAVHMSKQYALKHIICNDEESLALVKKEISVMKTLVGHPNVVTLLAHTIFDMGRTKEAFIVMEFCEKSLVTVLESRGSGYFDEKQILLIFRDVCNAVFAMHCQSPPIAHRDLKAENLLLSSDSVWKLCDFGSTTTNHKRFEKPEEMGIEEDNIRKYTTPAYRAPEMWDLFLREVINEKVDIWALGCLLFRICYFKSAFDGESKLQVLNGNYRIPDLPKYSSSITDLIRDMLQAKPADRPDITQARALLDWPFISINLGASLATNKSPPMPRRDPPPPPASGEPRNTSQLSLASGGSGSGGPLGAFWSSQHAKDSLVSEEKRKPIFDDEQSSHHISLKHDVIRPDNDQSPKNIVSGNRVVNTQTHTVKSNTHGKPHKPDTRSSKDFEINFFQDKDHTSEGRKSSVENTATFQDRTFNSFVAEFDNSKFNSGLGNKTEKEEALEAEIEKLKEQLKETKLEKAEITSKYEKLSAICRSQRNELHDLKQALAARTPSPSKGGLRTSPGTTSPAYVTEKIGMVEELKQNKTEWKTPTSEPKSWQAFPEEPKPLKSLSAENTSKSVRTRNGQQIKQAAQLATDFDTWGFGTDNFSAVGAGSPQMLKPGEGSNSHGFSDGKALDNKTTSQPGGWAGF >OIV91851 pep chromosome:LupAngTanjil_v1.0:LG19:13543618:13544862:-1 gene:TanjilG_17843 transcript:OIV91851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGFAERTDLRRMRREEERERRRIRDRQRRQSMTQEQREKHLARRRRNYQLRRHRAAIAYGPFIPLLHQNQSIESSAAEASTSDELQEIISNTLLDYSVLCHGIGLPQHVPNQGLETLNLRTNVDEGSSRHVATLDRNPANSSTKLRLNHVRRLARNVTCSSSDPAGIHQVAAELITKEDVSTGDYGSTAKSLRLNCIKRLARSRNSAGKETIAKKNHNLQPEGKHLSWLLRRALVKAATIS >OIV91916 pep chromosome:LupAngTanjil_v1.0:LG19:12939223:12940100:-1 gene:TanjilG_26035 transcript:OIV91916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWSRGHTIGRGSTAAVYKAESRHSGKVFAVKSAELHRSEILKREKEILSALNCPQIVSYQGFDVTFENGTHYYNLFMEYAPRGTITNVVRHDKVVEEAPLRSYTRQILQGLNYLHANGIVHCDVKGQNVLVTDQGAKIADFGCAKRVGEAAAPVAGTPAFMAPEVARGEQQGFPADVWALGCTVLEMITGKQPWQGVSDVAAVLYRVGYSGEVPVIPDYMSEEGKDFLNTNKCVGKRVLLGLKDKSRCDTW >OIV91853 pep chromosome:LupAngTanjil_v1.0:LG19:13575444:13575821:-1 gene:TanjilG_17845 transcript:OIV91853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSKSYYGFAMLLQMLNGTSVEPTRELTFFMPDDRELSSSAISADQIEEFLLKHAIPMPLYYNDLSHFPTGTLVPSGLNSKMMRIHNRGRGDFFVNNAQIVSSNVCLSSEIKCHGIDAIIEYEST >OIV92018 pep chromosome:LupAngTanjil_v1.0:LG19:11094415:11095059:-1 gene:TanjilG_20948 transcript:OIV92018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFTDDDRLIGLIISDSSGNELRELNKKVMLIGTVLLSIVILWFLALHIYERFVLRHQARRGASINHLTLTLTHAHDRTEPRNTGLDPLLIKALPMFIFKKKGFHQQQREDHADNIDDCAVCLSAFDEEEMVRLLPNCKHIFHVGCIDKWLASHTTCPICRTMAEPRLEPQPREGPNDLVLHGAPRALVLVKPIEGTSDVTTTAVPPKIIGSNS >OIV92464 pep chromosome:LupAngTanjil_v1.0:LG19:1115311:1119957:1 gene:TanjilG_02227 transcript:OIV92464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNDAVFTLFLIAIFNFLLSVQSHPAILLPSQRHRNSPVSSPASCPAPNPSLYYRPIIGILSHPGDSASGRISNATGTSYIAASYVKFVEAAGARVIPLIYNEPHSKLQKKLDLVNGVLFTGGSAKSGLYFETVRRIFKKILERNDAGDHFPLYATCLGFELLTMIISKDNNILEEFSALNQASTLQFVENANIEGTVFQRFPPDLLKKMSTECLVMQNHRYGISPGKFLANEKLSSFFEILSTCKDEDDKVYVSTVYSRNYPVTAFQWHPEKNTFEWGVPGIPHTEDAIQITQHVANFLVGEARKSINRPVAQEVLDNLIYNYSPTYAGKYGGGFDEVYIFAQV >OIV91662 pep chromosome:LupAngTanjil_v1.0:LG19:15299368:15303360:1 gene:TanjilG_26515 transcript:OIV91662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSQVKITSSSPFGCVLRDHNQVDGYRESTVFQLKMKNFIKDHLNMSTDSKASEKNNNSFKASQKNNIGSLRFMKSNDNSNKDKHESCFASLISPRHSRLLDRWVARQAREMVSSLENEAELLAMSNSDINNNNENSDMLPRIYSNSSFGDVYSETTTLGASSLVQIWEKRLNKSNRSKPNTQISTNASLEELNRGGSEAGESSNSSDDREPPGSEEPFPEWESYKISQSNQSSPQPSFYSLESAESDRVSVADIIKRLTSTKQGQSPSYSIGEENGNEGWSTCVTFSPCIGRERSSSPELPENKAFLPQITCLPRIRGRQAFHDLLQHLVRDRYGELNNLAARGAVSKFTQRGRIQSVLRLRLLQRGVAASNQSHQKSTASEVNIKPQGSAIMQLRERFNSGVDNRKTMRIEVAEPRSPPIQFDASTTTNELSKDTCSLIINNTTNHSPESTQISVSQTSVDDHNNEEARTCSDTTLQETCLEAQHIDSKETAEAIPTVTESNVNTMEDEVEISNQEYTMAETSYDETLDEDEDEEASNQHYAESSYGEIVEAIEDIFDTNYDENSYDWISEISRPRSYWEERRQEWYREMLDTESHNEDICKLLERRTVSTFLSSDFRNRMDRLMESHRGTQIHLVSRQDEEEDNQMFVSFLQERLRPASSLQEDERERVEEQEEEEETRNEEEEEPEEDIEEEEEKVEEHEGERLISNSYHEVCDYLNQSSSPIHLTTWNHKDNETGDDFDRFASISSPPSQSQSFCEESRRNSSSTNHHSIEMELIHDMRGHMEQLYREISELRKSMETCMVMQMQLKQCKNQELHIVKNEEKKSHNMAPKKGNCCICYDMKVDALLYRCGHMCTCLKCANELQWNSGKCPICRAKIVDVVRVYVDF >OIV92317 pep chromosome:LupAngTanjil_v1.0:LG19:3005358:3012737:1 gene:TanjilG_10527 transcript:OIV92317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAFSRNGVHDFNMASRKAEEAAWRRYEATKWLESQVGPLGIPNQPTERELISCLRNGLILCNVINKIHPGAVPKVVDNPVSSQSLTWDSQPLPAYQYFENVRNFLVAVEELKIPAFEASDLERDSVDMGSASKVVDCILSLQSFQELKQINNGNGSNKRIKSPLRMQSASRMHSKSTAVYPSEACRRLDLSVALEKTPPVESNNQQREAESLDSLVKLLVDRMLDAKENIDGNILASLRNEHLDPIKLFNQIVTSCGGEKLPKKFPELSLVLKDTIKERSSSQPHSTSSTTPSAPECSKCHRACIGKCTCNQQQLLEMQKKELLDLKALKLKIKSEFEEMQSQFQTFFNDLGSQVQEMSANALGYHKVVEENRKLYNMVQDLKGNIRVYCRIRPLFRADTKNIIDFVGENGSLFILDPSKTLKDGKKHFNFNQVFGPTASQDEVFKDTQPLIRSVMDGYNVCIFAYGQTGSGKTHTMSGPSNGTSKDMGINYLSLNDLFQLSNQRKDIIKYDIYVQMVEIYNEQRTVEIRSCNDDGFSLPDATLRLVKSTTDVLSLMKLGEVNRAVSSTAMNNRSSRSHSVLTVHVHGKDTSGSTIRSCLHLVDLAGSERVDKSEVTGDRLKEAQFINKSLSCLGDVITALAQKNSHIPYRNSKLTLLLQDSLGGHAKTLMFAHVSPEADSYGETVSTLKFAQRVSTVELGAARLNKESSEVMQLKEQVENLKIALANKEAQKAAFNRTKEPSTPSEKPAGMSEKTPIRHRRLSIENCSDIKLYKSVNPEDKSGIKSPSIPRSRRLSLEDKKNIKKDTVQPKVTADVSKTLHYEPGSLQRHISLQDPDSVSKSYGHFSNGNSRSELHAKAPRSPTGITYQKRIIKIDSGVQIHPLKLPQTPEPRVLDRSDADKAVNSDLPAFCNDSQTKVIGSTNGKGSNIRRSLRTIGKLINGTDKRNQQNVIEVKSPIKGTSHNDVKTPVTASETTKRRQSLTGIQVSGPNSRRSSIGGKPVLANDRDRNARTPPPVHSATKTSKRRA >OIV92007 pep chromosome:LupAngTanjil_v1.0:LG19:11472203:11473973:-1 gene:TanjilG_07746 transcript:OIV92007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELDLGKLFIGGISWDTDEEHLKEYFGKYGEVIEAVIMRDRTTGRARGFGFVVFADPAVAERVIMDKHIIDGRTVEAKKAVPRDDQHTINRQAGTSPASASPGQTKKIFVGGLPSTITESDFKNYFDQFGTIIDVVVMYDHNTQRPRGFGFITFDSEGSVDKVLYKTFHELNGKMVEVKRAVPKELSPGPNQSSLIGYNYGLNRGASSFLNNYALGYSMSPLGGYGVRMDDRFSPLTNGRSGLSPFGNNACGMGMNLDSGLNPSYGGTSNYGSNLGYGRIFSPFYNGNSSRYVSPIGYSGGNGRSDSVMSSPRNVWGNGGLNNAYDAVDPNALLGSGSGNFGVSIGNNGTNWDPSIPAQGRRAASGYATGSNAYGGGNSSFGLSGGGYGRNRGTGVIPSSTFNASTGGYEESYGDLYRSGSGSGSVYNDSTWRSATPEINDSGSFGYGLVSIASDYPVKSSEGYIGNYNVTNGQSTRGKIFWYTLIS >OIV91914 pep chromosome:LupAngTanjil_v1.0:LG19:12970841:12972407:-1 gene:TanjilG_26033 transcript:OIV91914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTKLSITTLTVFLFLASNVRAQTKGFDVTKYGARPNRDITEALTNAWKDACGSTTPSRVVVPKGSYMLKQIDLKGPCKAPINVQVDGKILAPKNPKLLNGVDQWVKFGYINFFTLSGEGTFDGQGEMAWKHNDCGKNKNCDRLSMNFGFAFLNNSIIQDITSKDSKNFHVNVLGCNNLTFINMNINAPATSLNTDGIHIGRSTQVHIYNSNIATGDDCISLGDGSKQIRVLNVTCGPGHGISVGSLGKYPNEEPVEGLTVKNCTLKNTDNGVRIKTWPGTPIISSVSKMHFEDIIMVNVSNPILIDQQYCPWNQCTKQYPSKIKITEVSFKNIRGTSATQEAIVLDCSSSVPCESVELDDIDLTFNGAITTSKFSNTKPTIKGKVPKLIA >OIV92566 pep chromosome:LupAngTanjil_v1.0:LG19:155110:156492:1 gene:TanjilG_02329 transcript:OIV92566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLFLTLFIFTFFSIITLSHQQPPPLDPAEQQSLYQVLHSINPTIPWPTLFPDDDLCLSSPHGILCDYPASNNYSHIVELNFGYVSDDTPNPPCSLNSTLHPLLLTSFPHLKKLFFYKCFNQTSQHISFPSNVTFTFSSNIEELVFIDNPSLITPFSSIVQNFTNIRRLVLIGNGIYGEISNKVDNFANLEELTLSSNNLSGVVPTSLEKLKKLEILDLSHNKLEGCVPESFGNLTNLVKLDLSNNRFCCRIPESLRSLQSLELLDLSFNLFGNFGVPLFLGEVPRLKEVYLSGNLLGGTIPEIWENLGGVNGIGFSKMGLIGNIPNSMGILLKNLTYLGLDNNNLVGPIPEEFGLLEYANEINLENNNLSGRVPSSIKDVGEKLKLEGNERLCVEDKLRCSDDNRCSFNQVKLCNKRDMDIFDAVLFSSGGVSSVLFYPLMLLLLLFMFMELLFVFTSL >OIV92311 pep chromosome:LupAngTanjil_v1.0:LG19:2934727:2935164:1 gene:TanjilG_10521 transcript:OIV92311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGNEPKETMVEVTFDRASCDVKCNCCLFEFKGILCRHSLAILSQERVKEVPCKYILDRWRKSVKRNYVYIKTSYGVQHLKPHIQRLELLCNQFNSVADFAAEFEETSSLVEATLCTLKEKLEAWASHLRKSSKVNGEEAQVTLC >OIV92084 pep chromosome:LupAngTanjil_v1.0:LG19:8271052:8279593:-1 gene:TanjilG_08757 transcript:OIV92084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGEVSTIVVSSPDYAKEVLKTHDLIFASRPPILATNILGYDSQGLAFAPYGEYWRQLRKICAMELLSSKRVQSFQPIRGEELAKLIKLIDSKEGSLINLSKEVTSTLSTIVSRTAFSRKCKNHQEFISVIKEATEVAGGFDLGDLYPSAKWLQHISGMKPKLEKLHHQADQIMQNIINEHREAKSRTIEGQHEEAEEDLIDVLLKFKDANNLTDDQIKAVILDIFGGGSETSATTVVWAITEIIKNPRIMRKAQDEVREAFDKEGNPNEGGLEKLEYLKSVVKETLRLHPPGALLLPRECTQACEIKGYHIPLKSKIIVNAWAIGRDPKYWTDPETFYPERFSVSSFDYKGTNFEYIPFGAGRRICPGIIFGLINVELPLAMLLYHFDWKLPIGMKNEELDMTETFGAAVSRRNALYLVPTAYHP >OIV92406 pep chromosome:LupAngTanjil_v1.0:LG19:1520678:1525931:-1 gene:TanjilG_23006 transcript:OIV92406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGMCCKPSAIEDSKESPRERLSSKAALESRVSRGTSSSREEVYQVKDRYDNNDARVALIDKQGSNCAIQVQVDNFERKREKMEYVIAQHPRIGSVPKATEGEQVAAGWPSWLAAVAGEAIKGWLPRRADSFEKLDKIGQGTYSNVYRARDLEQKKIVALKKVRFDNLEPESVRFMAREIHILRRLDHPNVIKLEGLVTSRMSCSLYLVFEYMEHDLAGLASHPGHKFTEAQVKCYMQQILRGLDHCHACGVLHRDIKGSNLLIDNNGILKIADFGLASFYDPNQTQPLTSRVVTLWYRPPELLLGATYYGTAVDLWSTGCILAELYAGKPIMPGRTEVEQLHKIFKLCGSPSEDYWKKSKLPHATIFKPQQPYRRCVAETFKDFPASAVELIETLLCIDPADRGTAAFALKSEFFSTKPLPCDPSSLPKYPPSKEFDAKVRDEEARRQGAARAGSKGQRHDLERRGARESRAVPAPDANAELVVSMQKRQGLSNCQSRSEKFNPHPEEVASGFPIDPPRPSHAVEVNVDPQAHQHKRASHSGPLTHRAAWAKAGKNQDDAPKISMGGDLSTMSGLIASRRSMLFDDRRERSGSSQAEAPKPVSRFPGSFKEASESLMQQDQKHHAHAPQKEEGRGSNKDPILVGYGSKGHKIHYSGPLLVPSSNMDQVLKDHDRQIQEAVRRSRLDKAKMRRLQAEGNQITNSLFVSGR >OIV92123 pep chromosome:LupAngTanjil_v1.0:LG19:6924406:6927776:1 gene:TanjilG_26981 transcript:OIV92123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSRRLRDLQSQSGNKICVDCSQKNPQWASVSYGIFMCLECSGKHRGLGVHISFVRSVTMDSWSDIQIKKMEAGGGNDNLNAFLANYGIPKETDIVTKYNTNAATVYRDKVQAIADGRPWRDPPVVKENLHNGKGKPPLGGARVSSGGGGGSGWDNWDDDGHGSRNNDIRRNQSSVDVRGGIGGGGAPARSKSSQDIYTRSQLEASAANKESFFAQKMVQNESRPEGLPPSQGGKYVGFGSSPAPSQRSSNQQNDYYSVVSQGIGKLSLVAQSAASVVQAGTKEITAKVKEGGYDHKVNETVTVVTQKTSEIGQRTWGIMKGVMAMASQKVGEFTRDNPSWNSDNWQGNENNRNGLHQEYNQENSGWNSSSRGGQPSSVGQTNTYHSNSSDDWGLKDSRKEELAKGSSPQSSNTRNSSSWDDWDNEYTVKKEPAKGPASHTSDAWAGWDDGFDSRNASNNKTVGHNGKSDSAWTGGGFH >OIV91687 pep chromosome:LupAngTanjil_v1.0:LG19:16236893:16238319:-1 gene:TanjilG_26540 transcript:OIV91687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTMGQESDYKTKLVLDICSISTRSVACVHRLVSNQDKVSFIDWYCILGVEENAGINTIHKQYHKLALLLHPDKNKHPKAEIAFKLVSEANTCLSDAAKRKAFNLKRYQNFCYECNKIPSTSCNGSSFKAWNNISSAKSSKLWKNVRDMREKLKEEAKVIEKCLQANSMSKNESPLYNPTDSINKKRFHHRFEKEIPQFNPSNYSYQGYPHSRSNGYKNSETCWYLQSEKIVHNEKGRDKYSSPIFEVKSSQRRIFTSQFAYFPSRC >OIV91809 pep chromosome:LupAngTanjil_v1.0:LG19:14288999:14291488:-1 gene:TanjilG_14388 transcript:OIV91809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPGHFHITRRHLATKYTAKITSTSPTGRILAAEVTTPPSDPRGYLLPRRDLICKATQILLQVLKTPSFSDPFSDLSDYLHSFSLTLTPLEASEILKALKNPSLALKFFHFCPSLSPNFRHESFTYNRLFLILSNSNDPLRFDHARSLLNDMDHRSIRGSISTVNILIGFFGMGEDLDRCVGLVKKWDLRFNAYTYKCLLQAYLRSYDSEKAFDVYFEMLQKGYKLDIFGYNMLLDSLAKDQKVDKAYKVYEDMKKRHCEPDVFTYTIMIRMTGKSGKTDDALALFQVMLAKGCTPNLIGYNTMLEALAKGRMVDKAIILFTKMVESDIQPNEFTYSVLLNLMIAEGKLSKIDNIVELSKKYMNKQIYAYFVRTLSQLGYASEAHRLFCNMWNFHDKGDKDAYMSMLDSLCSAGKMTEAIDLLSKIHEKGITTDTIMYNTVFTALGRLKQVPHIHDLYEKMKQDGPQPDIYTYNILISSFGRAGKVDTAVQIFEELENSNCKPDVVSYNSLINCLGKNGDVDEAHMRFKEMQEKGLNPDVVTYSTLIECFGKTDKVEMACRLFDQMLAEECSPNLVTYNILLDCLERSGRTSEAVDLYAKLKQQGLTPDSITYAVLERLQSGGHGKLRFRRQNPITGWIVSPLR >OIV91668 pep chromosome:LupAngTanjil_v1.0:LG19:16027675:16031221:1 gene:TanjilG_26521 transcript:OIV91668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHFKSHPQLNMSDDDIGESLKNKIRQHEDNLKFLHSQSNCLADSILDFQVSLGRYYSSNVTASHNGNGTVHTEEDTVEEILKIENSAASIFCWLKANNQTASLAFAKDAVGVVATLARVESDEISRTLSDFLGLQTMRAIVCYTSEGVNALEKYDPDGQINSNAGLHGLGSSIGRIVNGRFVVICLEDLRPFVGGTVANDPQKKLAIPKPKLPNRECPAGFLDYAVNMIHLDSSNLSFLTATGHGLRETLFYGLFSRVQVYETRKQMLDALPCIADGALSLDGGMIRKSGFFSLGSRNEIKVKFPLISEESDLPQDYIKAEDEVRKLKWEKYKIDADIQREQQLLDYAKTKLTSEA >OIV92303 pep chromosome:LupAngTanjil_v1.0:LG19:2877551:2880003:1 gene:TanjilG_10513 transcript:OIV92303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSKSPSLYYYQYITFLLIKIFILTHLIFIHKANSCCNNSTITSLIPPNEILSSLQTLSLEGNLSLKNNENTAKDFGNIYHFPPLAVLHPKTVSDISKTIKHVYDIGSASKLKIAARGHGHSLQGQSQAYGGLVISMESLQGGEMKVHAEEFPYVDVFGGELWINILHGTLKHGLAPKSWTDYLHLSVGGTLSNGGISGQAFRHGPQISNIFQLEVVTGKGEVITCSKDQNADLFHGVLGGLGQFGIITRARISLEPAPKMVKWIRVLYSQFSLFAKDQKYLISLENTFDYIEGFVIINRSGILNSWRLSFSPKDPLQASQFNSDGRIFYCLEIAKYFNPNETELMNQSVDHLLSRLSYIPPTLFLSEVTYVEFLDRVHASEKKLRAKGLWEVPHPWLNLLIPKSDIHDFAEEVFDKILNGINNGPILIYPLNQTRYI >OIV91978 pep chromosome:LupAngTanjil_v1.0:LG19:11518214:11518666:1 gene:TanjilG_06606 transcript:OIV91978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKVQKGQEIQGIKLFGTTIKYSEEEVKERQKGKEDETVEKKPEKIIACPRCKSMETKFCYFNNYNVNQPRHFCKGCQRYWTAGGALRNVPVGAGRRKAKPPCHGGFREGCLYETSNDDNKFGLVLDEWEVASDFRQPFTAKRLRMSSGG >OIV92043 pep chromosome:LupAngTanjil_v1.0:LG19:8715141:8716948:1 gene:TanjilG_15034 transcript:OIV92043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPQFGFGKKGKPSQDDEGVVPPNATLEITLELVSWKTVLKVTDDKKVLKKIVKEREGYECHNDGAIVKLKLIGVCIERKKIIQPPIQNQWLERENIGKKYKNGISNVRELEENGCLMLYGVDAKEMSNHFFLRTQRFAHIVYNFPHVGFHYPENSNCQIQLNKSSSSFSRLLI >OIV92514 pep chromosome:LupAngTanjil_v1.0:LG19:568506:573572:-1 gene:TanjilG_02277 transcript:OIV92514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKHQYNTTEPRNDEFHPAPQSIHQDHLDGVHINTRLSASNMPENKPILNYSIQTGEEFSLAFMRDRVNLRNPVFPNVVGNPNYATGYMELKGILGHTGSDSGSDISILTKVEKGPKDRSSYKSAQSIPRTSSNQDNNRVLQSIASSGSSGSSSMKVKVLCSFGGRILPRPGDGKLRYVGGETRIISIRKDIRWPELMHKMSSIYNEVHVMKYQLPGEDLDALVSVSSDEDLWNMMEECHELQSRRGSLKLRMFLFSINDLNDTQFGLGSIGGDSEMEYVVAVNGMDLGSRNNSILLGASSSTNHLHELDGQNIERGTSRVPEESFGVSSSSLTGNVNPSLTIQSSEPMVQTSSNAYETYPLFYNDQVIHHGETSQYPKHNYLEPSNNAPRSLGEIPVSVSTPDLVNQGTMNEGKNSDFPTIQVKRKGDSFIHSGSEGNLQGNVSEASVTIALSEGNHPTLPSTNKGKHQQFEDASSLVSTMNPTQTLKSGEDDTVPTDAFRDAQVDAESNFIDFSYLEPPPLPSRVYYSERIPRELEDLLNRSTKSDDAYGSHLLITDLLSDFNQKNPVTESSDIYHGGNLSNLNKVSGSEANTLQVDGHTDGEWFSQLKYNKVPDAAGQVNSEQKQVLSENKVYSNQDHVLSSEKETSRAKDNHNILQVDETRGTEHPSFPRVPSVGRNENKGSKLPDLNMSEVSTKESNNNIKVQEKPLVLTENRSQDVSQDSPLDAKSRTAEGDILIDIDDRFPRDFFYEMFSKAILSEDSPNVGSLSADRVGLSLNVDNHEPKRWSFFQKLAQEGFDNVSLIDQDNPGFSSGLRNVEEGNSKSQQSAPLPADGVLAGHKESYPNFGEENQKNLPVTTTTEITVFHPKHDHSQIKGNEKTNVDATIENIRPEECDYQVQDAKNETRSVDLPRQDPSFVNFDISTLQLIKNDDLEELRELGSGTFGTVYHGKWRGSDVAIKRIKKSCFAGRSSEQERLTVEFWREADILSKLHHPNVVAFYGVVQDGPGATLATVTEFMVDGSLRHVLLRKDRPLDRRKRLIIAMDAAFGMEYLHSKNIVHFDLKCDNLLVNLKDPLRPICKVGDFGLSKIKRNTLVSGGVRGTLPWMAPELLNGSSNRVSEKVDVFSFGIVLWEILTGEEPYANMHYGAIIGGIVNNTLRPTIPGYCDIEWRTLMEQCWAPNPSIRPSFTEIARRLRVMSASASQTKGHGHKASKS >OIV92174 pep chromosome:LupAngTanjil_v1.0:LG19:5517072:5520717:1 gene:TanjilG_30815 transcript:OIV92174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSGQSSGYDLSFKILLIGDSAVGKSSLLVSFISNPVEDLAPTIGVDFKIKTFTVGGKRLKLTIWDTAGQERFRTLTSSYYRGAQGIILVYDVTRRETFTNLSEVWSKELELYSTNQDCVKMLVGNKVDKDSERAVSREEGLALAEELGCLLFECSAKTRENVERCFEELGLKIMEAPSLLEEGSTAAVKRNVLKQKHEPHSSQNGGCCS >OIV92151 pep chromosome:LupAngTanjil_v1.0:LG19:6125369:6127584:-1 gene:TanjilG_18723 transcript:OIV92151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCKQHHYKEALDFYLKNPSIQLEPSTYTNLVLAWTNFRSLEYSRKIHDRILKSSYQPDIVLQNHILNMYGKCGSLRDARKVFDAMQLQNVISWTLVISGYSQNGQENDAIIMYIQMLRSGHFPDQITFGSIIKACSISGDINLGMQLHAHVMKTGFGHHIVAQNALISMYTKFGQISQASDVFTTIATKDLVSWGSIITRFTQLGYGIKDVYLFIDMLTQGVYQPNEFIFGSVFSACSSFVEPEFGRQIHGVSTKFGLGRNIFVGCSLCDMYAKFGLLPSAKVAFHQIENPDLVSWNAIIAAFADSGDANEAISFFRQMIHMRLIPDNITLLSLLCACGSPVALSITILSLLCACGSPVALNQGMQIHSYITKIGFDKDAAVSNSLLTMYTKCSNLPDAFNVFKDVSENANIVSWNAILSACLQHKQAEEAFRLFKLMLRSDYKPDSITITNLLGTGAELACLEVGNQVHCYCVKSGLVFDVSVRNGLIDMYAKCGSLKHAQDVFNSTQNPDIVSWSSLIVGYAQFGLGHEALNLFRMMRKLGVQPNEAFIKKTRFDPDITTWKTLLASCKIHGNVDIAKRAAENILKLDPSNSSVLVLLCNIHASAGNWEEVAKFRSLMKQMGLQKVPGQSWIEVKDKIHIFFSEDTSHPQRGTIYTMLEELWLLMLDDGYDPCHMLDINI >OIV92467 pep chromosome:LupAngTanjil_v1.0:LG19:1076065:1078629:-1 gene:TanjilG_02230 transcript:OIV92467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIQCNVCESAEAKVLCCPDEAALCWECDEKVHSANKLASKHQRVPLSMSSSHMPKCDICQEAFGYFFCLEDRALLCRKCDLAIHTANAYVSGHQRFLLTGVRVGLEATDLGASSSSLKSDSGDKVSDTKSASICRKVAAMPQSSDYNETVPIEAGRIEEFPPAKVSLYGGGSTAGNISQWSFDELIGLDEFIQNYNYMEGSSKADSGKLEDSDSPVLRSIEEEMKEGDEYLGHVPDSSWKVPQIPSPPTASGLHWPKVLQYSSDSAMFVPDISFSQNMQQHQNSSIFSRRRRHP >OIV91935 pep chromosome:LupAngTanjil_v1.0:LG19:12661574:12661912:1 gene:TanjilG_00603 transcript:OIV91935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQAPVSIGTRGTIGSLVMKEIEYFTKFELDRRRSSQKSQQHFVDTDRSYSMSRPSFWVILTTWKRKKRKGTSGSIPKFCSVAEVAESNQLNRIPGYSYRILKNDIHNFQL >OIV91806 pep chromosome:LupAngTanjil_v1.0:LG19:14315567:14317174:-1 gene:TanjilG_14385 transcript:OIV91806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLTYLPITLFLLLTISASSSSSAETPQTPQSVYEVFIQCLKQHTTNSSPTQLKNIVFSQTNVLFTSVLQNYIRNARFNTTSATKPLIIVTPLQESHVQGAVICAKNMSIQIKIRSGGHDYEGISYISKEPFILLDLFNLRNISVDMKQETAVVQAGAVLGEVYYKIWENSKVHGFPGGVCHSVGIGGTITGGGYGNLLRKYGLSVDRVIDAQIVDSQGRLLDRKSMGGDLFWAIRGGGGSSFGVVLSYTVKLVSVPETVTIFRVMKTLEENATDLVMQWQQVAPTTDDRLFMRLLLQPRNNTILVSVVALFLGGADEVVSIMEKEFPLLGLKKEDCNETSWIGSVIWWDNDQAFKNGAKPETLLDRNLNSARFLKRKSDYVQKPISKNDLELIWKKMIELRNIGLAFNPYGGKMANISSDATPFPHRAGNLFKVQYSVTWDDPSDFAAQNYTNEAKSLYSFMTPFVSQNPRSAFLNYRDLDIGINHFGNNSFEEGKVYGLKYFNNNFDRLVKIKTAVDPNNYFRNKQSIPVKM >OIV91696 pep chromosome:LupAngTanjil_v1.0:LG19:16299021:16302318:-1 gene:TanjilG_26549 transcript:OIV91696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSAKVKKVIMSFHKSPSHNPSSFSLSLSAYFPRSSSHSHSSDITQLLRLLHDLQHRESILQTQLLQHKLLKENDIVSKDAIIQEMELENKSLRKEINELKVKMEQDKRESQRKIKVLEDEIMELKKIKSEVFLNDDVSSTQRLQGLMEVSVRSNLINSLKKTVSCRGNQNHEQVEATVLDFERDVAETETPCDSLQLVESTHSGVTSVVRSHASLVPKPPPMRLSSLPHSTSSGLSDSTASPPPPPPAKAVNSAAPPPPPPPLKGRRAATAKVVRMPEVVEFYHSLMRRESHSRRDSNSVVAEVPATANTRDMIGEIENRSSYLIAVKTDVETKGDFIRSLIKEVEGASFTNIEDVVLFVKWLDDELSYLVDERAVLKHFDWPEQKADALREAAFAYCDLKKLESEAASSFRDDYRQPCGPALKKMQALLEKLEHGVYNISRMRESATNRYKVFKIPVHWMLDNGFMSQLKLASVKLAMKYMKRVSAKLETGGGGPEEEELIVQGVRFAFRVHQFAGGFDVETMRAFQELRDKARSCHVQCHSQQQKFLCRMAIVAANVDAECIHDSPIH >OIV91622 pep chromosome:LupAngTanjil_v1.0:LG19:17257093:17270424:1 gene:TanjilG_09034 transcript:OIV91622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDSETLSSNGFTLGFLSPPNSTNRYVGIWYMSESTVVWVANRNQPLIDSSGSFSISEDGNLVVMNGTKKVIWSSNVSNIATNSTCQLLDSGNLVLMDSAGDKVWESFKNPTDTLLPNMKITNNKNTGEKVELTSWKSPSDPSIGSFSLSIEPLNIPEGFIWNETLPYWRTGPWTGQVFLGIPMMNSSYLNGYRLDYEEDGTVYLTFTYSNESFLAVFVLDWQGKFEQRFWDHEKKEWQVGWKAQSSECDVYGICGPFGICSIESSPICTCLEGFEPSNIEEWNSNNWTNGCVNRRTELQCESAKTQNGSVNSKEDGFEKLEMIKVPQVSAFSWLKTEDSCRSQCMENCTCIAYSFDEEFGCMTWIDNLTDIQQFPSGGTDLYIRLANSELSINDNEKDSKTTIIIITITVIVGTIILVTSAYFLWKRSARKRKINGFSRFNRGETIDVNTSDMKIEVQSEVELQELLLFDTEKLAVATNNFDLSNKLGQGGFGPVYKGKLKDGQEVAVKRLSKASGQGLEEFMNEVVVISKLQHRNLVRLLGCCREGDEKMLIYEYMANKSLDKFVFGPPQHKFLDWGKRFNIIEGIARGLLYLHRDSRLRIIHRDLKASNILLDEELNPKISDFGLARIFGGHRDEANTARVVGTYGYMAPEYAMEGLFSEKSDVFSFGVLLLEIVSGKKNSSFYNNEESQSLLGFVWNLWNEDNIVSIIDEGIYDPMKERDILRCVHIGLLCVQESARDRPNMATVISMLNSEIVNLPQPKQPAFIERRNIINSLSSEEYSVTALNTISSSQLMKDPETISSNNDMFTLGFFSPQNNTNRYVGIWYKTRTLLVWICNRNQPLHDSKGSLTISEDGNLVVLNATKHVIWSTNVSNISPNSNTSAQLLDSGNLVLIENATGKAIWKSFLHPSNVFMPNMQLITNKRTGERVEGTSWKSPSDPSFGSFSLSLERPKIPEIFIWEGTRPHWRSGPWNGRVFTGIPTMQSVYNLNGFSVLVEGDYSVQLSFSPANNDMLVLYMMDWKGKIQEMIWKNDKTQWEVLFTVQETDCEVYGICGAYGSCSSKAKPICNCLRGFEPRIEEEWNRQNWTSGCVRKKSLQCENSDVNSKKDGFLKLEKVKVPDLADWSSARLEEKCRSQCLENCTCTAYSYDAGIGCLSWSGNLIDIQQFSSGGIDLYIRVANSELDKERGATFIIAAITVIVALVIIVTCAYVMWKYFGRERKKRKLSLFSCCKGSAEKTNDSIIGELSQVKLQELLLFDAEKLANATDDFNFSNKLGEGGFGPVYKGKLENGQEIAVKRLSRASGQGLEEFMNEVVLISKLQHRNLVRLLGCCREGDENMLIYEYMPNKSLDTFIFDPPEHKFLDWKNRFSIIEGTARGLLYLHRDSRLRIIHRDLKASNILLDDELNPKISDFGLARIFGGHGDQDKTRRVVGTYGYMAPEYAMGGLFSEKSDVFSFGVLVLEIVSGKRNSSFYNNDQSISLLEFAWNLWNEDRILSLIDQHICDPVDENDILRCVHIGLLCVQESARNRPNMATVISMLSSEIVNLPALSQPAYILKQNMSNSMSNEESHTWTLGCLKKTLSKALTKITVMQHSIQQARDTITPSQFITDSETVTSSDNVFKLGFFSPANSTNRYAGIWYLSDTNNIIWIANRNQPLKDSYGILKISEDGNLVVLGGKKSEVVWSSNVSSAANSSTFQAQLLHSGNLVLIDNTTGKTVWESFKHPCDAAVPTMRISANRITGERIRFISRKSDSDVSTGEFSATIERLDAPEVFFWINGTRPYWRTGPWNGRIFIGTPMMSTGYLYGWSVGYEGNDTVYLTYSFAEPSKFGILSLNPQGNLRLVRFYNQIEVLNMVLENSKCDVYGLCGAFGSCNLNNSPICSCLSGYEPRNLEEWNKQNWTSGCLRKEPLKCEKLTKNRSEVGQEDHFLKLGMMKVPDFAERLDVEESQCGTQCLKNCSCLAYAYDAGIGCMYWSGDLIDLQRFSSAGVDLFIRLAHSELGNAENHTDRQRKKRLVIALAVATATITLAICAFLAICVYRSRKKTAEHSEIQSQRMIEVPKPDKLDELPLYDFEVLETATNNFHLANTLGKGGFGPVYKGLLPDGQEIAVKRLSRASGQGLEEFMNEVAVISRLQHRNLVKILGCCIEGDEKMLIYEFMPNKSLDAFIFDPLQRKDLNWTRRFSIIDGIARGLHYLHRDSRLRIIHRDLKASNILLDGEMNPKISDFGLARIYKGEDEINTRRVVGTYGYMSPEYAMEGLFSEKSDIYSFGILLLEIASGKRNSSFRNDEESLSLLGFAWKLWNENNIKSLIDPEISASGFEKQILRCIHIAFLCVQEFAKDRPFMTTVVLMLNSENSNLPHPKQVAFVQKQNPSSLKSSQAKENHCNSNNYVTLTDIHGR >OIV92438 pep chromosome:LupAngTanjil_v1.0:LG19:1369308:1372469:1 gene:TanjilG_25168 transcript:OIV92438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEQTLIADKPNPSLIPRPPGSKSIEECQSMIHKSLRTPTVRFLREHLEKAGCGVGENFFKAIYCDSETQAQGGYVPGQGVRVCSNYTQLQEQVDRVVTHELILAFDHCRAANLEWNNCAHLACSEIRAGHLSGDCHYKRELLRGYTSLRGHGQECVRRRVMESLNANPNCAGVTGKDAMEAVWDVCYNDTQPFDRAP >OIV91822 pep chromosome:LupAngTanjil_v1.0:LG19:13008935:13013422:-1 gene:TanjilG_17814 transcript:OIV91822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQAEKLLLEAALEDPANQRFVLLSDSCVPLYNFSYVYDYVMASPRSFVDSFLDVKEGRYNPKMSPKIPREKWRKGSQWITLIRSHAEVIVDDDIIFSVFKKYCKRRPPIDSRKGKLNLKLQKQHNCIPDEHYVQTLLAMHDLEGELERRTLTYTLWNQSAAKKENQWHPMTFSYANANPQRIKDMKGINHVYYETEYRTEWCHSNSTSVPCFLFARKFSQGAAMRLLSEEVIGHFEVSAMLDTPL >OIV91842 pep chromosome:LupAngTanjil_v1.0:LG19:13453699:13455141:1 gene:TanjilG_17834 transcript:OIV91842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVEVAQQVPATVQENETTQVTKTHETIQEQPPTEVPASEQPASEVPAPEEPATEEPKVETIEEPKVETTEAPPAPEAEVQVETKDVTIETTEEAKTEAENEAPETTEEKTEEVKEEPIAEGPKETTEIESVPEPATAVEENKATEPEVEATVEVPTEKTEA >OIV92412 pep chromosome:LupAngTanjil_v1.0:LG19:1557194:1560950:1 gene:TanjilG_23012 transcript:OIV92412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRDRNENGVVHDKEEDEAPSKRDSKRARENSDLDSEIERKHRNSRRKKSRESSDDDKSHRRKRNSRRRYEDSDSGSDSSSDSDSEEDESDSSDSEYSDSGSESDGDRKRREKKRRREKEEERKRRRREKEKKRRKKEKEEERKKKEKRKKKKEKKERGKKGAVTNSWGKYGVIRETDMWTKRPEFTAWLLEVKQVNLEHLANWEEKQMFKEFMEDHNTATFPSKKYYNLDAYYRHQMEKDMKKGIKKVQAKERTVFNDEEQRRLELLQAREKHKEEQVIALKHSMESGMAQAMKEQAQLREEMAYQYKLGNFEAAAAIQRRLDPDAAI >OIV91588 pep chromosome:LupAngTanjil_v1.0:LG19:17546522:17549266:-1 gene:TanjilG_09000 transcript:OIV91588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNLQRELDDVKAEMEKLKAECLIKTEIINSLKKDRNEELLKFLETKKQSEKQAHELDLKSEEIYELKKINENLKSSLHEKEIHIAHLSSENKKIQADCADRLLKLEESSREMVLALDELTVRNNHLEQNACDSSKEISGLKERLSVVEKKYSEAEEKAQQARMLIRREDVILQLEEENRSVQDKIKWRNEQFKHLEEAHEKLQDQFRLSKEKWEKERFELLCKISSLQISLDSQTKISEGLQSRLEMCNHALAHAEGKKKLLEAEISEFKSSFKDVFAQCEEKKSEIQQLTALRNEEIAQLRDSLGEKEMLVQELEHKIVHLEQDNQELGNLLKEFREAQIQNAGANSLVSKLRNKLKRLEEVHKNCPSILKSKESQWDCQVEKMEANISTYKSTLTAKEQEIRELQMELEKCYLTIEENHMGLLVFKSELAEVYLKSAKSDKEVPTNENKGMILNSTEQLRERDNCLETIAQKHYMLEAEFEQQKERLEESSKGQLILKEQLLQLENTLKYERSVAFEIQEKLKVEIANKSDDLYQLDCEAQKCKSAAETLKVSCEELQGTCKKMETSLLSQIENEKALKLENENLLCNAKHQERKSKDLQQQITLLEMHNAEGVKEAERYKQENDELVQIVEDKDCCIKDLKKDVAIACLKQESMRKELEDVMLTKLDVEKALELEQKTLLKIKDERHQTTKHFEELATAMEQDLFDALCFSFSKQVEKWVEISMLTEALKNAEYLTKQEIEEKNMRIVELEFEMSSLRENFAHTDELLFHSKQDAEQLQASLDAMKLDVESLMDKQQTMECIITELKFENANLLQEIMKLSTEKEDMLVYVENICGRAGELSTEDVQLMETLENILKHFVDENVTTTDSGVCSKLDASTTDSVSFPPMIKKHEENIDGRLPLREMNSLQM >OIV92545 pep chromosome:LupAngTanjil_v1.0:LG19:295878:299410:1 gene:TanjilG_02308 transcript:OIV92545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEIHGSKQGQVLGMFQKLKYKAGSEIDRSEFNAQEEVVVDNYYDMVNSQKGLKEKDKIVKLPGQPHVNFSQYGGYVTIDKFAGRALYYYFVEAQHSKEKMPLLLWLNGGPGCSSLAYGAMEELGPFRVNSDGKTLKNNRYSWNYAANVLFLESPAGVGFSYSNKSSDYDSSGDRKTSTDNYVFLVKWLERFPEYKKRDFYIAGESYAGHYVPQLAHTILHNNKKSNNTIINLKGIMIGNAVINDKTDNLGMNDYLASHAIISDKAAHDMNTFCNSPSNDIPIQCQAAIEEYNRDTSFIDIYNIYAPLCNNPNLTAFPKKSSIVTDLCSGVYTHAYLNRANVQEAMHANVTKLKYEWESCSNILFSKWHDSPSTIIPLLKEFLNNGLRVWIFSGDTDGRVPVTSTKYSIKKMNLSTKTAWYPWFFNGEVGGYAEVYSGGLTFATVRGAGHEVPSYQPGRALSLIMHFLKGTPLPTTKTQP >OIV92020 pep chromosome:LupAngTanjil_v1.0:LG19:10371096:10372411:-1 gene:TanjilG_20177 transcript:OIV92020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRFFSRKGKEVAKESKSTSKDNKFQCKEGKQRCKEITLRSAPILEKMITLEEEEFDRFMFYVVKYGLQKLAQPNKMLSLDIVKQFYANSIVSGDARTNCYTWVN >OIV92558 pep chromosome:LupAngTanjil_v1.0:LG19:213956:217723:-1 gene:TanjilG_02321 transcript:OIV92558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDENISSNIVPKTKWNFPLSVFFKDARLIFKMDSLAKEILRIAFPSALAVAADPIASLIDTAFIGHLGPVELAAAGVSIALFNQASRITIFPLVSITTSFVAEEDTIEKINIKAAEKQFTEDLKAMSNEVMPDDYVLQDIEKCVSKENSETDKQTLAANGGTNELMAKKIETSYGDGANTNTNKSSLDTTSSNKSVSKTERKKRHIASASTALLFGTILGLLQAATLVFAAKPLLGAMGLKTGSPMLNPAVKYLRLRALGAPAVLLSLAMQGIFRGFKDTTTPLCVIVSGYALNVALDPVLIFYCKLGIKGAAISHVLSQYIMALALLLILMRKVDLLPPSIKDLQIFRFLKNGGLLLARVIAVTFCVTLAASLAARLGPIPMAAFQTCLQVWLTSSLLADGLAVAIQAILAGSFAEKDYDKVTAAATRTLQMSFVLGVGLSLVVGVGLYFGAGIFSKSVLVVQLIRLGIPFVAATQPINSLAFVFDGVNYGASDFAYSAYSLVMVSLASVASLFLLYKSKGFIGIWIALTIYMSLRMFAGVWRMGTGTGPWRFLRGHSLSS >OIV92502 pep chromosome:LupAngTanjil_v1.0:LG19:682751:685913:1 gene:TanjilG_02265 transcript:OIV92502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLHLFLFFTLNSSLFGLNTNVSALGNDTDYHALLKFKESISNNPLYSWNSSTHFCNWPGITCNSMHERVLMLNLEGYDLHGSISPALGNLSFLRILSLQNNGFGGKIPQEVGLLVQLQTLYLNNNSLIGEIPTNLTSCTKLENIDLSLNNLVGKLPNEIGSLRMLREFSIVTNKIEGQIPPSIGNLTSMSHLVLGDNNLEGHIPQEIGHLRKLIFLDIYSNKLSGMLPSTVYNMSSLITFSASVNRFNQSLPSNIFHTLPNLQEFDIGANQISGPIPTSITNASVLRLLDIDGSHFVGKFPNVGKLCDLRIVSASNNHLGSNSSKDLDFLSPLTNCSKLRVLSISYNNFGGVLPNFIGNFSTQMSQLYLGGNDISGKIPSELGNLNSLILLTMEFNHLYGTIPSTFGKFQKMQKLELEGNKLSGQISPFIGNLSQLFFLHLGDNMLEGSVPPSLTKCQKLQYLNLCQNNLKGTIPLEVFSLFSLTSLNLSQNLLSGNLPGEVGKLKNIEEFDVSKNHLSGKILGTIGECLSLEYLSLQGNSFHGIIPSSLASLKGLRGLDLSQNHFSGSIPEGLQHISTLEYLNVSFNMLDGEVPTEGIFRNASGFAVSGNRKLCAGISELHLPPCPAKERKFVKHHNHTRLIVVIACVVAFLLILLSCVTIYWMKKRNKQPFSDSSAINQLPMVSYKSLHDGTDGFSARNLIGFGNFGSVYKGTLESEDKVVAIKVLNLQKKGAHKSFMAECNALRNIRHRNLVKILTCCSSIDYKGQEFRALVSEFMTNGSLESWLHPAMEISDQPKALDLEQRLNIITDVASVLHYLHYECEQAIVHCDLKPSNILLDECFVAHLTDFGLAKLLSSIGVSPMQSSTVEIKGTIGYAAPEYGMGSRVSIEGDMYSFGILVLEMLTERRPTDETFKDGRNLHDYVKISIPNHLSQIMDRTILLEDSDKLTLMDRNVRECLHSLFRIALACSVESPKERMSMVGVIRELNLIKSSLLRSMNALQTQQRT >OIV92408 pep chromosome:LupAngTanjil_v1.0:LG19:1538963:1540762:1 gene:TanjilG_23008 transcript:OIV92408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVLKRAIMEQPGPPETFALQMVQEVINPPPKQSKLAQDENQFLENVLRLLLQEFVSASGEKIMQFGQSIDDSETETTQGHIPRLLDITLYLCEKEHIEGGMIFQLLEDLTEMSTMENCKDVFGYIESKQDILGKRELFARGKLVMLRTCNQLLRRLSKANDVVFCGRILMFLARFFPLSERSALNIKGVFNTTNVTKYEKEPLEGICIDFNFYQTFWGLQEYFSSPTSVNHAPAKWKKFTTSLSVVLNTFEAQPLSDEEGDANNLEEEAVNFSIKYLTSSKLMGLELKDPSFRRHVLVQCLILFDYLKAPGKNDTDLPSESIKEEITSCEERVKKLLQLTPPKGREFLCKIEHILEREKNWVWWKRDGCLPYERQPIEKKTVQGDKKRRPRWRLGNKELSQLWKWADQNPNALTDPHRVQTPSIMEYWKPLAEDMDPSAGIEAEYHHKNKRVYCWKGLRLAARQDLEGFSKFTDLGIEGVVPLELLAPDVRSKYQAKPNDRGKRSKKEETKANVHQVEENQIATPANEIDGEDVKTDTTATPMEFDATTVIGTQGGPTPDEHQKQSSDNDVGQEAGQLEADAEVEAGIIDGETDADID >OIV92210 pep chromosome:LupAngTanjil_v1.0:LG19:4589446:4592444:1 gene:TanjilG_31129 transcript:OIV92210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTELLQLPSPSTRSCVGHDALINDTNPTQQQGEEEEEEEERDNREGDQLSFLTLFIDTFKKSVIGTCTNTDFSSSSSSSMEIGWPSNVRHVAHVTFHRFHGFLGLPLEFQPQLPPTPPSASASVFGVSTESMQLSFDARGNSIPTILLLMQRRLYTQGGLQAEGIFRINAENGQEEFVREQLNRGVVPDGTDVHCLAGLIKAWFRELPTGVLDPLSPEQVMQSQSEEECAQLVRLLPPTEAALLDWAINLMADVAQLEHLNKMNVRNVAMVFAPNMTHMVDPLTALMYAVQVMNFLKTLVEKALREREESMGKSDPISNLNSFDDDGHHSSSEWILKDDSENENDSSEEDTSFVTEEPSQQSPIHVIEDGYETESGSKSLSTSEKQFPGENRLLVDSCPCNVVSQLTSSLAKENQANICKSKSLQLSTYNMVKCSKKLIELSIAGPVEKSMGSAIIGRINSRSELTEAWR >OIV91667 pep chromosome:LupAngTanjil_v1.0:LG19:15977007:15991662:-1 gene:TanjilG_26520 transcript:OIV91667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGVAGSMITYKFMEQEQEQPVSVSSKNDGTPSDAIIFFGLSLALGIACRNLLRGTRIPYTVALLILGIAIGSLEYGTHHRLGKIGSGIRIWSEIDPDLLLAVFLPALLFESAFSMEIHQIKRCIGQMILLAAPGVAVSTVCLGFVLKFTFPYNWSWKTSLLLGALLSATDPVAVLAMLKELGASKKLRTIIEGESMMNDGMAIVVYTLFYRMVLGETFNWTAIIKFLAQVSLGGVGIGLAFGIASVLWLGFIFNDSVIEISLTLAISYIGYFTAQEGAIVSGVLTVMSLGMFYSAYARTAFKGESQQSLHLFWEMVAYIANTLIFILSGVVIAESILGGDNHIFSDAIIIHRHSSTGRSAELTPETGSLFVFFTGGIVFLTMVVNGSTTQFLLHFLGMDKLSAAKKRILDFTKYEMLNKALEAFGELGDDEELGPSDWSTVKSYISCLYNIEGEHVHPHGASESDGNLDPMNVTDIRIRLLDGVQSAYSQMLNEGRISQTTANLLMPSVEEAIDLASHQPLCDWKGLKDNVHFPNYYNFLQSGMFPPKLVTYFIVGRLESACYICAAFLRAHRIARGLLHDFIGDSGVASAVINESLVEGEEARKFLEDVRITYPQTNLKKLLRNPLVKLPKSSTLHPMLGALPSLVREALVRSTRDMMKLRGLTLYKEGAKSNGIWLISNGAVKCESKMIRNNHPFYPTFSHGSTLGLFEVLTGKPYICDVIIDSVVQCFFIEADTIVSNLKIDPSVEDFLWQESAIFLSKLLLPQIFEKLAMQDLRALVAERSVMTIYIRGETIEIPPHSVAFLLDGYVKTQDIQELVTSPAALLPSHGNISFQNLTISGSTESSFSHQGSCYLVETRARVIIFDVAALEAEASLPRRSSSLLSHAMDHSHRNLGSTLMSWPEHSKQNSEGIGQQSNSLSEKAMQLSIYGSMVDVPNQSRGSSSNLVKPPLSLSYPTIGSHHDPLLVSVKSERPSTAKKDNDVRDFTGNVTNPPLQSTEQRIQHDEEDSSDDSGFEEDLIVRIDSPSGLSFHPSS >OIV92326 pep chromosome:LupAngTanjil_v1.0:LG19:3089815:3090171:1 gene:TanjilG_10536 transcript:OIV92326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTKGGSEMQPFVETPPRVNMAKANQYSHRSIETLVVVIAVITIVGVIAGMIARLCGGRHFGGNGENDIEGWVEKRCRSCIDGGLPPPPPPPPPPPPPPEEPKPAPAPAAAPAGDVSK >OIV91734 pep chromosome:LupAngTanjil_v1.0:LG19:16638911:16640846:1 gene:TanjilG_26587 transcript:OIV91734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSLVALFLLLVSFSLGVFAEELDTTTTTTTLPHSPLPSTYAPPPHHHHHHLHPLSPTPSPTYPPTHSPLHPPALPPQHHHHHHHHPPAPAPVPAKPPTHHHPPTHAPAKAPTHHHYPPSASPSTHPPLHPFHRSFVAVQGVVYTKSCKYVGVDTLLGATPLLGAIVKLECNNTRFRPLVQTVKTDKNGYFYIEAPKTITTYAFHKCKVFLVSAPTNLKPSNFHGGIQGSLLKPLKPFVSNKLPFLLFNVEPLAFEPKCY >OIV92229 pep chromosome:LupAngTanjil_v1.0:LG19:4905113:4908961:1 gene:TanjilG_31148 transcript:OIV92229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECLHRFCRECIDKSMRLGNNECPACRTHCASRRSLRDDPNFDTLIAALYPDIDKYEEEELALHEDEKTQNKLWFYLFKKIQDSIARTFQRQTEALGKRRNAKATAVAFARRSQGNYQTSHLRRKRNIRNGGEFQVSNGSEDMNSNGEGKDSSSGDEEAETKPKRGKRGAETRFPQHFATTNAYAAVDENIPELSKEIISSSSALAWGKNGHRSHNRVNGKNARNSRLYRLADHLRNSEITDDELDINIMVVSLDEESIPSMERPYLRCKSTFSVSLLCQYVALQAAVQADKVELFLVKDPQANIIRGERLVDADKDELHFLSEGRTLAELKIYDNLCLDYLVMAYKANCRTRDTVELS >OIV91751 pep chromosome:LupAngTanjil_v1.0:LG19:16785852:16787748:-1 gene:TanjilG_26604 transcript:OIV91751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVRLLSANEVSDLCLGKPAIRSISINDTVSDALSFLKTIPETYVSVWNCNHSLNHNKDCVCVGKLCMVNIICFLSKPINLKSPSIALQSPISVLVKESVDLVRHLKPNASLLEAIDAMYEGVQNVVIPIQNQNNSRKKSAEKAPSLVDSNHDNKTNYTYCWLTQEDVIRYLLNSIGLFSPASSSPINTLNVIDTQNLLAIYYEDPASSALDLLNVSLINGSSVAIVNPQGKFVGEISPVMLNSCDEGLVPPIATLSAGDLMAFIDAGGPPEDLVQLVKERLEEKNLGAALELLVGDESGISSWSSSSSSSSDEEFCSGKNWKLGGYSARVVRRSEAIVCYPWSSLLAVMIQALAHRVSYVWVVEEDGTLTGIVTFEGMLKVFREHLKTMS >OIV91594 pep chromosome:LupAngTanjil_v1.0:LG19:17505606:17516920:1 gene:TanjilG_09006 transcript:OIV91594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSKFPTSDNVCSRIKSSLVGEEGDLLSYPTQGFASIPEAIEDIPKGKMVVVVDDEDRENEGDLIMAAQFATPEAIAFIVKHGTGIICVSMKEKALDRLELPLMLNSKDNEEKLGVAFTLTDAKDGTTTGVSAQDRATTILALACNDSKATDFNRPGHIFPLKYKEGGVLKRNGHTEASLDLVILAGLNPVAVLCEIVDDDDGSMARLPKLRHFAKHQSLKIVSIFDLIRASKHIKSLPSINSIVVNGFGSDLRFVRLNSKFSSNDNIVSRIKATLVSGGGGLLSYPNSKDVEKSENLAGDESTGIEVQPDAIAFGTLAADAALTRDFSDDTNEFDLDKPTPGFASISEAIEDIRQGKMVVVVDDEDRENEGDLIMAAQLATPEAMAFIVKNGTGIVCVSMKGEALDRLELPLMVNSEENNEKLRTAFTVTVDAKHGTTTGVSAQDRAKTVLALASRDSTPADFNRPGHIFPLKYREGGVLKRAGHTEASVDLAILAGLDPAAVLCEVVDDDGSMARLPKLRKFAAQENLKIVSIADLIRYRRKRDKLVDRAAAARIPTTWGPFTAYCYRSLVDGIEHIAMVKGDIGDGQDVLVRVHSECLTGDIFGSARCDCGNQLALAMQQIEAAGKGVLIYLRGHEGRGIGLGHKLRAYNLQDDGRDTVEANEELGLPVDSREYGIGAQILRDLGVRSMKLMTNNPAKYVGLKGYGLTVSGRIPLLTLITSENKRYLETKRVKMGHIYGLEFNDQLSSNDNVDDSNAVNAL >OIV91702 pep chromosome:LupAngTanjil_v1.0:LG19:16346459:16349778:1 gene:TanjilG_26555 transcript:OIV91702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRPKIYLLGDSITEESFSLGGWGASLANHFSRTADIILRGYSGYNTRWVLKVLDKVFPTSSQGGGSGGDSETEVAPIALTIFFGANDASLSDRCSAFQHVPLHEYKDNLKAIVSFFKKRWSTTLILLITPPPIDEDARLRYPYVENPHGLPERTNEAAGEYARACIAVAAECRIPVIDLWTKMQQFPGWRKHYLSDGLHLTQSGNEVVFEEVIAKLREEALILESMQVDLPLIADIDPNDPINSFLYHESE >OIV91512 pep chromosome:LupAngTanjil_v1.0:LG19:18119752:18120469:1 gene:TanjilG_08924 transcript:OIV91512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGDEEYDYLFKVVLIGDSGVGKSNLLSRFTRNEFCLESKSTIGVEFATRTLQVEGRTIKAQIWDTAGQERYRAITSAYYRGALGALLVYDTTKPISFDNVARWLKELRDHADANIVIMLIGNKTDLKHLRAVATEDAHTYAEKEGLSFIETSALESINVDKAFQTTLAHIYHIISKKSLSSNHPSAPTSAIKEGSTITVHGSQPVSNNPCCTS >OIV92366 pep chromosome:LupAngTanjil_v1.0:LG19:2361215:2364605:-1 gene:TanjilG_09964 transcript:OIV92366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNLIFEETDVRAPEYASSHLRYAWESIRAPVIIPLLKLAVILCSTMSIMLFVERVVMATICAIVKVLGKKKYRKYNLDVMKQKLQRNKRYPKVLIQIPMYNEKEVYKLSIGAVCGLSWPSDRLIVQVLDDSTNPVLRELVELECHKWIKKGVNVKYETRRHRNGYKAGALKEGLEKQYVEDCEFVAIFDADFQPDADFLWNTIPYLLENPKLGLVQARWKFVNSEECMMTRLQEMSLDYHFTVEQEVGSSTYSFFGFNGTAGIWRIQAIKDAGGWKDRTTVEDMDLAVRASLQGWEFIFVGDVTVQNELPSTFKAYRYQQHRWSCGPANLFKKMTKEILFCKRVSLFKRLHLIYAFFFVRKIVAHWVTFFFYCIVIPACVIVPEVQLTKKIAIYIPAAITILNAISTPRSLHLLVCWILFENVMSLHRTKAAIIGLLEANRVNEWVVTEKLGNAKKQKNNARSPTSRISWFQILERIPIYNPFTLIKTIHPLEIIVGMYMLHCAIYDLLFGNDHFFVYLLLQAGAFFTMGFGLVGTVVPN >OIV91875 pep chromosome:LupAngTanjil_v1.0:LG19:13822243:13826373:1 gene:TanjilG_17867 transcript:OIV91875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQCLDGLKHLCAVVAYFLCDDDSSNQPKVLKNPQALADETVFKVNEIEALYELFKKISGGVIDDGLINKEKFQMALFKTNKKESLFADRLFDLFDKKHHGVLDFEAFALGLSVFHPNASFDDKIEFSFQLYDLNQQGFIQRHELKQMMVATLTESGMNLSDDVVESIIDKTFEDADTKHDGKIDKEEWRNLVGRQPSLLKNMTLQYLKDITTTFPSFLFHSQVDDTSQLQPC >OIV92329 pep chromosome:LupAngTanjil_v1.0:LG19:3131600:3134030:1 gene:TanjilG_10539 transcript:OIV92329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEAPAFLVDDLQNEPLNGFELKNGTCKTTTTIGDKTYVIGGADDGTLSIEVQIFDRSRGEWVHPTVHGIKPLSCKGHSAVLLKDRILILKKGSKPDDQIWFLEFDTQYVRQLQNNFGNAVVVWSKGVTGNAEKPIVISGPSGVGKGTLISMLMKEFPSMFGFSVSHTTRAPRNMEKDGVHYHFTEKSVMEKEIKDGKFLEFASVHGNLYGTSVEAVEVVADAGKRCILDIDVQGARSVRASSLEAIFIFICPPSMEDLEKRLRGRGTETEEQVLKRLRNAEAEIEQGNSSKIFDFILYNDNLEECYEKLKKLLGLDGFVTASPKSAPREIILPMNHSLSKIDDKIIINCMSSELEKESKNLIMLDVSSLKGGAPGRTRRLDFQAVSSFSDGLTGMDQFS >OIV92023 pep chromosome:LupAngTanjil_v1.0:LG19:10521003:10538169:-1 gene:TanjilG_20180 transcript:OIV92023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCMYKNPNEPIEARVRDLLSRMTLKEKIGQMTQIERTVSTASSLRDLSIGSVLSCGGSAPFENALSSDWADMVDGFQKSALESRLGIPLIYGIDAVHGNNSIYGATIFPHNVGLGATRSPFLPLNSVPNFHRWLACRDADLARRIGAATALEVKASGIHYDFAPCVAVCKDPRWGRSYESYSEDTEIVRNMTSIVSGLQGQPPQGHSHGYPFVAGRKNVIACAKHFVGDGGTYKGVNEGNTTLSYEELEKTHMAPYLDCISQGVSTIMASYSSWNGRKLHADHFLLTEILKEKLGFKGFVISDYEGLDRLCHPHGSDYRYCISSAVNAGIDMVMVAFRFKEFIEDLTFLVESGEVPISRIDDAVERILRVKFAAGLFEFPLSDRSLLDIVGCKPHRDLAREAVRKSLVLLKNGKDGSKPFLPLDRNAKRILVTGTHADNLGFQCGGWTKTWYGGSGRITVGTTILDAVKASVGVGTEVVYQKYPSKDTIEDSEISFAIVAVGEAPYAETLGDKSDLTIPFNGADIISLVADKIPTLVILISGRPLILEPWLLEKSDAVVAAWLPGTEGQGITDVIFGSHDFKGQLPVTWFRRVEQLDQQLDGVNSTEPLFPLGFGLTYN >OIV91850 pep chromosome:LupAngTanjil_v1.0:LG19:13537970:13542031:1 gene:TanjilG_17842 transcript:OIV91850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSIILNPKCSSLSPHLLLSPKISSFSLFSHSSLSTTPFRRNPLPIPNHLHMMLKSKPFSPNTLICNSQHRSLNSEPSRSSSGEIHVLVGPMFAGKTTSLLRRLQSESNNGRNVAVIKSSKDTRYGLDSIVTHDGEKLPCWALANLSSFKQKFGVDDYEQLDVIGIDEAQFFEDLYDFCREAADHDGKTVIVAGLDGNYLRRSFGSVLDIIPLADSVTKLTARCEICGKRAFFTLRKTQETEIELIGGVDVYMPVCRQHYVGGQVAMEAARHVLDSQKVECAPTHR >OIV91810 pep chromosome:LupAngTanjil_v1.0:LG19:14281920:14286341:1 gene:TanjilG_14389 transcript:OIV91810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSYHLSHLTHPELQSLKIRPRIDFSSIFTLVNPIVDDVHNRGDAAVKEFTSKFDKVELDKIVDVVSELPDPVLDPSIKEAFDVAYSNIYAFHAAQKSPERSVENMKGVQCKRVARSINSVGLYVPGGTAVLPSTALMLSVPAQIAGCKTIVLATPPSKDGTICKEVLYCAKKAGVTHILKAGGAQAISAMAWGTETCPKVEKIFGPGNQYVTAAKMILQNSEAMVSIDMPAGPSEVLVIADKHAIHSHVAADLLSQAEHGPDSQVVLVIAGDGVDVNGIQEEVKKQCDSLPRGEFASKALGHSFIVYASDMLEAINFSNLYAPEHLIINVKDAEKWESFIENAGSVFLGPWTPESVGDYASGTNHVLPTYGYARMYSGVSLDSFLKYITVQSLTEEGLRKLGPYVATMAEVEGLEAHKRAVTLRLKDIEARQVSR >OIV91948 pep chromosome:LupAngTanjil_v1.0:LG19:12205104:12205526:-1 gene:TanjilG_23209 transcript:OIV91948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASISVYLVLMIIISFMPSPLHSSYSQTIPQVPTLSTSPSTLKDPSPSSLSPFQELSPDIAPLFPSSPGDVLPTSGGSEIPTIPSNPSSNPDDMVAPGPLSAFSPFGSMSSNAPTTLVCNPAIVSFTGLASYWYMQYIRV >OIV92455 pep chromosome:LupAngTanjil_v1.0:LG19:1173715:1174038:1 gene:TanjilG_02218 transcript:OIV92455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKSCKGLATELVKCLGESDCVKVENRSIRDCAGEKCPSIPSDCVGLRETYFNCKRGQASVF >OIV92268 pep chromosome:LupAngTanjil_v1.0:LG19:4086827:4087369:1 gene:TanjilG_00286 transcript:OIV92268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNKIVLRQATSSNHRLHQLLQTQPSKHHVGEVVGGTAAECVAVCCCCPCGLVNFLILTVYKLPAGICRRMLKTRRRKRLIKEGRFPPIKRGHCSCSYCDINGLRIHPMCANDAFDIKTLHSLEPDDKDAMALEKEMWDKFYSTGFWRSSSRRESSTQTAPNNMVLVHNPHLIITPSTCA >OIV92270 pep chromosome:LupAngTanjil_v1.0:LG19:4104631:4105968:1 gene:TanjilG_00288 transcript:OIV92270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSISIFIFVLLTLLPFHSSSLSSSPPPSSSSAQINSNSILVALLDSHYTELSELVEKALLLQKLEEVVGNYNITIFAPRNNALERNLDPEFKRFLLEPRNLNSLQTLLMSHIIPTRIIADNHWPTTTTAAAAVRYETLAGEHHLHLRDNASGNKTVDSAAVLRPDDVIRPDGVIHGIDQLLIPRSVQEDFNRRRNLRAIAAVLPEGAPQVDPRTHRLKKSAPVPAGAPPVLPIYDALAPGPSIAPAPAPGPGGARHHFNGERQVKDFIQTLLHYGGYNEMADILVNLTSLATEMGRLVSEGYVLTVLAPNDEAMAKLTTEQLSEPGAPEQIMYYHIIPEYQTEESMYNAVRRFGKIRYDSLRLPHKVIAQEADGSVKFGHGDGSAYLFDPDIYTDGRISVQGIDGVLFPLEEEEEESKTEKTTATTPLVKVVSKPRRGIIIIL >OIV92071 pep chromosome:LupAngTanjil_v1.0:LG19:7852366:7852947:-1 gene:TanjilG_08744 transcript:OIV92071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDKYNIVKQHSEIWRSLRDGEFEEEEVWSIIKDNIPNYKSNDKDYSPLSVPRTLPSAATMIPRTSTNNNSACSSHEIKVLQQSVPLNIPERAMIYRNNKQNKDVSRFGHDYDLYHCFDGGEDSDDEEEELHNDNDDEYGPKVPPHEFIARRLAMSQISSFSVFEGVGRTLKGRDLSKMRNDVLLRTGFLESL >OIV91531 pep chromosome:LupAngTanjil_v1.0:LG19:17992532:17996261:-1 gene:TanjilG_08943 transcript:OIV91531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSHSQSNLSLGFNSSHDDSISLHLDPSINDPSHPIPSIPLQLLEPQSQIPQNPQFNDDDDDDDNEEFRILGHSMCLKRRRDCDSSSSSISSSKRVSNPVYDSAEIDLEARRASVRAWGNQSLQAADPDIYMIMEQEKKRQFKGIELIASENFVCKAVLEALGSHLTNKYSEGMPGARYYCGNQYIDEIEMLCCERALHAFNLDPKSWGVNVQPYSCTSANFAVYTGLLLPGERIMGLDTPSGGNTSHGYYTPNGKKVSGASIFFESLPYKVNPQTGYIDYDKLEERALDFRPKIIICGGSSYPREWDYARFRHIADKCGAVLLCDMAQISGLIAAKESLNPFDYCDIVTSTTHKSLRGPRGGIIFYRKGTKPRKRGILLSQGYESDQYDFEEKINFAVFPSLQGGPHNNHIAALAIALKQVATPEYKAYMQQVKKNAQALASALLRRKCRLVTGGTDNHLLLWDLRPLGLTGKFYEKVCEACHITLNKIAIFGDNGTIIPGGTPAMTTRGCLESDFETMAEFLFRAAKIANILQREHGKLQKTVLKGFESNRDIIELRAHVEAFATQFALPGFDI >OIV92564 pep chromosome:LupAngTanjil_v1.0:LG19:164601:164933:1 gene:TanjilG_02327 transcript:OIV92564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALSVGYYYLHIKNVLGHELKNRYNPIFVTLLSSKDRENKDKGGKLRMLKMLSGFEKVGKRLKQNLSPQQKGDWKDLFLMSLSFAVYVYISQKLVSAYFAWTSMPKQIW >OIV91682 pep chromosome:LupAngTanjil_v1.0:LG19:16184150:16186681:1 gene:TanjilG_26535 transcript:OIV91682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDSWISARHSNSSRRYHSRSDLYLGGYEDLDGGDDLRSEFLCPFCSEDYDVVGLCCHIDEEHPLEAKNGVCPVCGKKVGMDLVGHMTMQHGNFLNVQRKRRVRKAGSSSTYSILRKELREGASQSLLGGSSYIAFPNSESDPLLSSFIFNPTAVDESSSSQPYPSVGATLAKESSKDNFLDRKPQQLSEKDQEEKARRFEFVQGLLMSTILDDNL >OIV91664 pep chromosome:LupAngTanjil_v1.0:LG19:15348201:15348527:-1 gene:TanjilG_26517 transcript:OIV91664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPLLSAFNVVEEFKNDEDDTIITLYIPVNNAFADLPPSVSLQSPAADKKVVVLKFHVLHSYYHIGSLEFIVNSVQPTLATETMGVVSLTPNILHLNYYVAFNTSIV >OIV91695 pep chromosome:LupAngTanjil_v1.0:LG19:16290348:16291301:-1 gene:TanjilG_26548 transcript:OIV91695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQIEMLEAVSNECEMTWKLQWSTLPHDLLSQIIDRLGLIEFLSFHGVCKDWRIASMRSSPEEKSRDLWFLIYGEGSNCSLLSKQDKLYNIELPELVGATCLASYKGWLLLFCNGAMFFFSPFSRAKIDVPNCPITELSDHVAAFSCDPTSQNCIIAVISRTSDEELELHMLFKGSSQWSKCNFSCDKPNLNTIRGAMFHNGEFNFLDRFEGLVTFDACKNKEWNSYRLVKNDKSVKNVLDYYIQRDYCVVKDIKRKMELEDNVSITTCGTFHLRGKHNILVPGETIEAAEGSESTNNLKGVWIQPRYFHIEPNQSW >OIV92307 pep chromosome:LupAngTanjil_v1.0:LG19:2906835:2911046:1 gene:TanjilG_10517 transcript:OIV92307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGSIMGIVDAYSNGSLHHNYNGLEEKLDELRALIGKADSDPLIIVSVGAGAWGSVFAAILQDTYGQFRDKIQIRIWRRAGRSVDRGTAEHLFEVINSREDVLRRLIRRCAYLKYVEARLGDRTLFADEILKDGFCFNMIDTPLCPLKVVTNLQEAVWDADIVVNGLPSTETREIFEEISKFWNERITVPIIISLAKGIEAALDPVPHIITPTKMINQATGVPMENILYLGGPNIASEIYNKEYANARICGAEKWRKPLAKFLRQPQFTVWDNSDLVTHEVMGGLKNVYAIGAGMVAALTNESATSKSVYFAHCTSEMIFITHLLAEKPEKLAGPLLADTYVTLLKGRNAWYGQMLAKGQLSPDMGDNISGKGMIQGVSAVEAFFKLLSQSSLNVLHPEENKPVAPVELCPILKTLYKILISREQSSQAILKALRDENLNDPRERIEIAQSHAFYKPSLLGQP >OIV92175 pep chromosome:LupAngTanjil_v1.0:LG19:5491449:5511279:-1 gene:TanjilG_30816 transcript:OIV92175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKAYLRYEPAASFGVIASVESNITYDSSGKHLLSPALEKIGVWHVRQGLCTKTLTPSPSSRGPSLAVTSIVSSPSSLIAAGYADGSIRIWDSDTGTCETTLNGAVTALRYNENGSLLASGSKDNDIILWDVVGETGLFRLRGHRDQVTDVVFVSSGKELISSSKDKFLRVWDLDTQHCMQIVGGHHSEIWSIDVDRDERYLVTGSADKELRFYSIKHESVNGSSAVGSSGSSVQDKWEVLRQFGEIHRQSKDRVATVQFNKSGNLLACQVAGKTVEIYRVLDDAEAKRKAKRRVHRKKEKKHSKEVLEEGTENGDKNNENKGDNSFVAHGPTETSSPTVTVPDVFKLLHTIRASKKICSISFCPITPKNSLASLALSLNNNLLEFYSIENGEASKTLAIELQGHRSDVRSVSLSSDNTLLMSTSHNAVKIWNPSTGSCLRTVDSGYGLCSLILPSNKYGLVGTKDGTLEIIDIGSGTCVEVVEAHGGSVRSIAALPDRNGFVTGSADHDVKFWEYQIKQKPGQATKQLSVSNVGSMKMNDDVLVVAISPDAKYIAVALLDSTVKVHFVDTFKFFLTLYGHKLPVLCMDISSDGDLIVTGSADKNIKIWGLDFGDCHKSIFAHADSVMGVQFVPKTHYVFSVGKDRLVKYWDADKFEHLLTLEGHHADIWCLTISNRGDFIVSGSHDRSIRRWDRTEEQFLIEEEKEKRLEEMFEADLDNAFENKHIPKEEIPEEGAVALAGKKTQETLTATDLVIERLDIAEAENQRIAEHQEEKSNKNSTAFQANPLMNGLSPSDYVLSAFSDVHSSDLEQTLLALPFSDALKLLSYLKDWTKYSDKIELVCRIGTLLLQTHYNQLLSTPAARPVLTAFSDIFYERVKGWKDIFGFNLAAMDHIQQMMASRSDALFHDARSKLLEIRAQQSKRLEERSDMGEVKRKKKQMTTTT >OIV92069 pep chromosome:LupAngTanjil_v1.0:LG19:7810936:7835187:-1 gene:TanjilG_08742 transcript:OIV92069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPSLYRRTLPSPPAIEFSSHEGKKIFGEALSQGTMEGFFKLISYYQTQSEPAYCGLATVALVLNALSIDPGRKWKGPWRWFDDSMLDCCEPLDIIKEKGITFGKVACLAQCNGAKVGAFRSNESTIDNFRKHVISCSSSEDCHVIVSYHRGTFNQSCRHEGWSSVARFLSEDVPLLLKFEDLKDIHEVLSSVFKSPPDELRGFITWVAEVRRKEDGNLTLSEKEIGRLAIKADILEQIRKTALFRHVTRWLDSEYSCCNANANIADKDMLPELAESVCCQGADLLTGRGRLGVSAVKCCSQIEIKHLNADGKNPVSLVSGMVTHGGNSEQEVDVLVPLCRRDPSSLCHSSEGPCIGMHPSRADALTVLLLALPFHTWSGIKEEKLQVEVISLLETENLPPLLQDEHTNSFDDLDALEAAAAEEMLDWVEKWRFLECYVLGQSDFAFEELVATMSDRVDQSRLFKRVALMNRVHASGASKRAPVCLLTPSTDQSGEISTPRGLRPDPLRTREWEVQCSFE >OIV92309 pep chromosome:LupAngTanjil_v1.0:LG19:2917169:2923125:-1 gene:TanjilG_10519 transcript:OIV92309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSLVSSPFTISSSKCDQLSSLAKKHTFLHSFLPKKANYHGGSKSSVRVKCTAVGNGLFTQTTQEVRRIVPDNDLNLPRVKIVYVVLEAQYQSSLTAAVRTLNSKNKNASYEVVGYLVEELRDKENYKSFCKDLEDANIFIGSLIFVEELALKVKDAVEKERDRLDAVLVFPSMPEVMRLNKLGSFSMSQLGQSKSPFFQLFKRNKQQSAGFADSMLKLVRTLPKVLKYLPSDKAQDARLYILSLQFWLGGSPDNLQNFLKMITGSYVPALKGTKIEYSEPVLYLDTGIWHPLAPCMYDDVKEYLNWYGTRRDANEKLKSPDAPIIGLVLQRSHIVTGDDGHYVAVIMELEAKGAKVIPIFAGGLDFSGPVERYLIDPVTTKPFVNSVISLTGFALVGGPARQDHPRAIEALRKLDVPYIVAVPLVFQTTEEWLNSTLGLHPVQVALQVALPELDGGMEPIVFAGRDPRTGKSHALHRRVEQLCTRAIRWAELTRKSKAEKKLAITVFSFPPDKGNVGTAAYLNVFSSIYSVLTDLKRDGYNVDGLPETSEALIEEVIHDKEAQFSSPNLNVAYKMNVREYQKLTPYAAALEENWGKPPGNLNADGENLLVYGKQYGNIFIGVQPTFGYEGDPMRLLFSKSASPHHGFAAYYSFVEKIFKADAVLHFGTHGSLEFMPGKQVGMSDVCFPDSLIGNIPNVYYYAANNPSEATIAKRRSYANTISYLTPPAENAGLYKGLKQLSELISSYQSLKDTGRGQQIVSSIISTAKQCNLDKDVDLPDEGLELPAKERDLVVGKVYSKIMEIESRLLPCGLHVIGEPPSALEAVATLVNIAALDRPEEGISCLPAILAETQGRDIEDLYRGSDKGILKDVELLRQITDTSRGAVTAFVQRATNDKGQVVDVAGRLTKILGFGINEPWIQYLSDTKFYRADREKLRTLFEFLGECLKLIVADNELGSLKQALEGKYVTPGPGGDPIRNPKVLPTGKNIHALDPQSIPTTAAMQSAMVVVDRLIERQKADNGGKYPETIALVLWGTDNIKTYGESLGQVLWMIGVRPVADTFGRVNRVEPVSIEELGRPRIDVVVNCSGVFRDLFINQMNLLDRAVKMVAELDEPAEQNYVRKHALEQAQTLGIDVREAATRIFSNASGSYSSNINLAVENSSWNDEKQLQDMYLSRKSFAFDSDAPGVGMAEKRKVFEMALSTADATFQNLDSSEISLTDVSHYFDSDPTNLVQNLRKDGKKPSSYVADTTTANAQVRTLSETVRLDARTKLLNPKWYEGMLSSGYEGVREIEKRLTNTVGWSATSGQVDNWVYEEANTTFIQDEQMLNKLMNTNPNSFRKLLQTFLEANGRGYWETSEQNIEKLKELYSEVEDKIEGIDR >OIV92187 pep chromosome:LupAngTanjil_v1.0:LG19:5271619:5271774:-1 gene:TanjilG_30895 transcript:OIV92187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLCSNAKYSNWWRMLWFTVVWSVWLMRNEVVFRDTEFSVLNVLNSIKIRS >OIV92121 pep chromosome:LupAngTanjil_v1.0:LG19:6903607:6908130:1 gene:TanjilG_26979 transcript:OIV92121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSTIERDEEKPLVSDEPLQILQDSKNKSHKKDVHILSSAFLLIFLAYGAAQNLQSTLNTKEDLGTTSLGILYSSFTFFSVIASLVVRLLGSKNALIIGTSGYWLYVAANLKPNWYTLVPASLYLGFCSSIIWVGQGTYLTSTARRHATDYNLHEGAVIGNFNGEFWAVYALHQFIGNLITYALLNDGKEGNTNGTTLLFVVFLFVMSFGSILMCFLSKQSGNGKGENELSGADAGLYASLKSLSKSLTSALSDVRMLLIIPLIAYSGLQQVFVWAEFTKYIVTPAIGVSGVGSAMAAYGAFDGICSLAAGQLTSGLTSITSIVCFGVFAQAIVLILLLLKFSISSGWLGTLYILFLAALLGIGDGVLMTQLNALLGMLFKHDTEGAFAQLKIWQSATIAIVFFLAPHISFETVVVIMLVSLCLALASFLWLALKVAKASP >OIV92557 pep chromosome:LupAngTanjil_v1.0:LG19:220490:222092:-1 gene:TanjilG_02320 transcript:OIV92557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNHNVMHQNNVVEDHEALIYQTYPCAYYVQSPSTLSHANSADIRSNIQNDTEFTFHSPIRPDTHLLSNPTHEEDASRFALCGYSASSRGSSHSFMHHKKISYDGTTTENGDVNRLVIIDDGDGDGDDDNDDEKNDEGLFYEYYYEKRKGGWMRYFSYNNSDSCAWIWLQMSWRILVSFGVALLVFYIATKPPPPNVSIQITRIPEFKLGEGVDRSGVTTKILTCNSSMNLIIDNKSKLFGLHIHPPIMDMKFSILPFAFSHGPELYAESGITMFELELGTRNKAMYGAGRSMQDFLDSGRGLPIMIQVRVRSSFKVVPRLINPTFHHRVQCMVLLKKAYNIKHRSQAFKSTCKVVITS >OIV91714 pep chromosome:LupAngTanjil_v1.0:LG19:16425511:16426595:1 gene:TanjilG_26567 transcript:OIV91714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRKAKTKPPPKKRMDKLDTVFSCPFCNHGSSVECRIDMKNLIGEASCGICQESFSTTITALSEAIDIYSEWIDECERVNNVEDDG >OIV91831 pep chromosome:LupAngTanjil_v1.0:LG19:13264600:13266894:-1 gene:TanjilG_17823 transcript:OIV91831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSGGRRKKGSASNSNKASVDNSVAPITNGGVELDSSIFLKRAHELKEEGNRRFQNKDFVGALKQYENALKLTPKTHPDRAVFHSNKAACLIQMKPIDYETVIAECTMALQVQPQFVRALLRRARAFEAVGKYEMAMLDVQVLLGVEPNHGDTLEIAQRLRTTLGTRQEAQQDLHSRPSPAALGASAVRGAPIAGLGPSLPARPGPKKGASAAVGSVVSPNYMTENPQVVLSAENGPENKSQLPKIVLKPSIGSANSSNPRKGNQKEQLSHSAIVCRQHSQVLIRWRPLKLVYDHDIRLAEVPVNCSFRVLRELVTKRFPSSKSVLIKYKDNDGDLVTITSTDELRLAECSVDSHLMKEPEAYKSDSVGMLRLNIVEVSPEQEPPVLEEEEEKLVESEGLKGDESESHSPSESVSEIPVAKAEADKIEKDAPKEKAGTTEDTESKEVEMDDWLFEFAQLFRSHVGIDPDAHIDLHELGMEFCSEALEEIVTGGEAQDLFDKAASKFQEVAALAFFNWGNVYMCAARKRIPLDESAGEEIVAERTQVTYDWVKEKYSLAREKYEQALVIKPDFYEGLLALGQQQFEMAKLHWSFALANKIDLSGWDPTETIQLFDSAEEKMKAATGMWENMEEQRAKELQDPNTSKKEELLRRRKKQGGPTEGESSIVGQGDISAKEAAEQAAVMKSQIHLFWGNMLYERSQVECKLGMGDWNKNLDAAVERFKLAGASEADISMVLKNHHSNVDAKEGEVKGLKSHDPITLAK >OIV92127 pep chromosome:LupAngTanjil_v1.0:LG19:6363913:6366633:-1 gene:TanjilG_18699 transcript:OIV92127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRYEDRYGNTRLYVGRLSSRTRSRDLERVFNRYGRVRYVDVKNDYAFVEFSDHRDADEAIYQLDGHDVDGSRLIVEFAKGVPRGSQVPHGSREYLGWGPAPGSGCCFNCGLGGHWARDCKAGDWKNKCYRCGERDVKGIIHAHPSGHVLLVVVEAGIEDTVETAATGWPDGKMGLTTAMVLERRVEALRAHENDSRSPRDDDCSPRDDDRSRSPRDDDRSPRDDDHGPRDDDDNHHGSPKGSRTP >OIV91636 pep chromosome:LupAngTanjil_v1.0:LG19:17156431:17158074:1 gene:TanjilG_09048 transcript:OIV91636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFAHEFHRNTENLIALVDHVFDSHEGPDIECFQLHMNQSGAEEKIKKWLKICIQKGIQELDFSFFQHGYILTPEFLEIPTLKILKLFNVQIAMPPVINGCQNLHTVILRNMNLIEEQLENIMHHGKRIECLNLCSCKRIRRVSIFASNHRNFRTLKIATCPNIERVEIDAPTLQRIHYSGFIINFQFTQIVPLLNVANFTFYRSRNYWRPSTLENVAKHVLHVRVLTTSAQFQEALASRYHDGVFKESQFCFSNLKELHLIMEGAMFCNPYDIIMFLKNSPCLEMLFIDLNDYNFECGTYWEMHQRPKLNGLSHYFDKLRVIKLNGFMFLKSELQLLEILLKRSRFLEVLIFITPKNARIKIYEPLILKYRDIINSWKASPARVGVFEHANDGSGVHPSHKKDWYM >OIV92376 pep chromosome:LupAngTanjil_v1.0:LG19:2141537:2141893:1 gene:TanjilG_09974 transcript:OIV92376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKNSQAQFQDYLPVMANKLGGDGLIDELCNGFNLLMDSEKGVITFESLKRNSALLGLQDLSDQDLQSMVVEGDFDGDGALNQLEFCVLMFRLSPELMEGSHLWLEQALQGELKDFF >OIV91721 pep chromosome:LupAngTanjil_v1.0:LG19:16511655:16512674:-1 gene:TanjilG_26574 transcript:OIV91721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHVEYIAYVLVMVTLSIILIVLGVVLILVCKKKPVESKEILPVKLYAHARAYPLISIDAATDGFNQRRIVGQGRLGTVYAGSLEKQELVAVKRIHPYHVLSNAGFGFGFGFSSVLKWLSLAQHPNIVPIIGFSEAPGERVILMEFVHLQSLNFYMQHGASRLDWNKRLKIASGAARGLQYLHEVVAPSIVHGCVKSSNILIDVNFCARVSDYGLNFLAPMEKRGLVGYVDDEYWNIRGVGGGGATKESDVYGFGVVILELLSGRECEGGLLVEWALPLIKEMSFSELLDPRLVIPSDLRPLVRLAKVASVCVGNSRKCRPSMAQVATILNNLETQVCL >OIV92019 pep chromosome:LupAngTanjil_v1.0:LG19:10278246:10310640:-1 gene:TanjilG_20176 transcript:OIV92019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPINATNELSFRVGFSGHSGHLRVEPLSTVERSNPLRSIPDFIPPPAFPKETPESIKKYIEETYLQPILDPDEFSPENVGRQWEFDWFGRAEVPLEPSQPRTVVVPIWEPPFRRSNNGSVEGRWEPKFEEVDVSDLTSGAADSGPLPRTSAKDIVRGSINNRPFRPGGLGDSQALERILPEGASNGEWVHEILNGGPAQTIPPSLKQGLDLGALKSYSCSWNVYKDANSLKSSPDEKLSGLSVQFDDLFKKAWEEGVEEQEEDGQLSEVEVVKLEANVDTTEVSGKTRESEMSLDDILLVGSGGPQLNLEGFSDGVGQQKKAWAMLEGNEGIADHFHELVPDMALEFPFELDAFQKEAIYYLEKGESVFVAAHTSAGKTVVAEYAFALASKHCTRAVYTAPIKTISNQKYRDFCGKFDVGLLTGDVSLRPEASCLIMTTEILRSMLYRGADIIRDIEWVIFDEVHYVNDAERGVVWEEVIIMLPKHINIVLLSATVPNTVEFADWIGRTKQKDIRVTGTTKRPVPLEHCLFSAGELYTICENETFLPQGLKAAKDASKKRNLTVGGGSGPKPGTSAGYNSAQVQKRENNFRVKQHGGNFFGTGRGYQNNGNGQSNWRAEASMWLMLINKLSKKSLLPVVIFCFSKNRCDRSADSMTGTDLTSSSEKSEIRLFCDKAFSRLKGSDRNLPQVVRVQNLLRRGIGVHHAGLLPIVKEVVEMLFCRGVIKILFSTETFAMGVNAPARTVVFDTLRKFDGKEFRQLLPGEYTQMAGRAGRRGLDKIGSVILMCRDELPEESDLKHVTVGSATRLESQFRLTYIMILHLLRVEELKVEDMLKRSFAEFHAQKKLPEMQQLLKRKLNQPTKAIECIKGEPTIEEYYDLYSEAETYSNQISEAILQSPNAQQFLNTGRVIVVKSESDQDHLLAVIVKTPSANNKLYIVFVIKPDMPPPVENASSSNMQNKNNAFDQGYFVMPKSRRGLGDEYSTSISSRKGRGVINIKLPYRGSACGMGYEVREVNSKEFLCICSSKLKIDQVGLLEDVSSSVYSKTVQLLLDLKSNGNKYPPALDPIKDLKLRDVELVATYRKWTRLLEKMSQNPCHGCIKLEEHLMLAKEIKKHTAEVDDLQFKISDEALQQMPDFQGRVDVLKEIGCIDEDLVVQMKGRVACEMNSGEELICTECLFENQLDELEPEEAVALMSAFVFQQKNTSEPSLTPKLSEARHRLYKTAIRLGECQVHFHLPINPEEYAQQNLKFGLVEVVYEWAKGTPFAEICELTDVPEGLIVRTIVRLDETCREFKNAAAIMGNSALCKKMEIASNAIKRDIVFAASLYVTGI >OIV91633 pep chromosome:LupAngTanjil_v1.0:LG19:17172025:17172669:1 gene:TanjilG_09045 transcript:OIV91633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILTGILLLFILRLGAIQRSEKEQKEKPKESETGEEKQGKSVETVETISVDGIHRLIIPNHEKQVKSEVGFKSSSRFEESFVEWNVKAPLEVIYEEYEGEEAEHDSNEKQDMNILRYPSLSRYYPESDSDSSSENGFPAIGKWDSPENLCLRWEDEEEEDRELGLIEIALDGCKKRTLEFQFEEDNLIEIDISPTRQREFSGKEELFSGEISCN >OIV92472 pep chromosome:LupAngTanjil_v1.0:LG19:1025965:1027516:-1 gene:TanjilG_02235 transcript:OIV92472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIIAHMAKVLESKEILEVFEDGMQMMRVNYYPACPEPEKVIGLTPHSDGAGVTILLQLNEVEGLQIRKHGKWLPIKPLPNAFIVNIGEMLEIVTNGIYKSIEHRAMVNSSKERMSFATFLFPKYDGVLGPASTLVNQNTQAQYKTTGVKDHLKGFFGRKLDGKSYVDSKRVHHNN >OIV92292 pep chromosome:LupAngTanjil_v1.0:LG19:2756285:2756740:1 gene:TanjilG_10502 transcript:OIV92292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIVDSQVARENIPSMRRQYFSNHINPQRELISNPIVPNFNRPVLMQKPRNFTNSRVFQAPFSEVASRGSSSSFLFNNRGSTSQLSQAQRFSSEAIRIQQEMRMKMSPIDGTMPLINMLDKPINNNDFIIGDNNLYRGNPDLRILDLTLKL >OIV91689 pep chromosome:LupAngTanjil_v1.0:LG19:16248013:16252449:1 gene:TanjilG_26542 transcript:OIV91689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGTMATAAGAAVVLYYVVIRRMSRKGVEEDGGDPSKLSRSVRRRRLSRRPAQAPVTLLESITTLSETLRFTYSETLGKWPIGDLAFGINYFMRKQGNLAVASVYAGSGCVQLKGNEIIVELYELLRLLTLCMLFSKKPFPVFLDSAGFSSDDVLLQKPKAEILKPAFTIIRDTQSKCLLLLIRGTHSIKDTLTAATGAVAPFHHSVLSDGGVSNLVLGYAHCGMVAAARWIAKLSTPTLLKAIGECPDYKVKIVGHSLGGGTAALLTYILREQKEFSSSTSACMTWELAESGKNFITTIINGSDLVPTFSTSSVDDLRSEVTASSWLNDLRDQVEHTMVLNVVYRSATALGSYLPSISSAKARIAHAGAILWPVTSGTQVVMKRAQSVAEAVVRTRSSLSSWSCMSARRRNVASSSAPKAENLTGAHLISEITTKSLLTGEVVREPKLKNEHDSESGGSGHDDTDEEEEHLIPSNHDISASAVDITEGQLWYELEKELQKQDSDISVDAREEAAAAAAAKEIIEEVNQLVDAAENSNSITTTSDNFDSHRFYPPGRIMHIVSIRSLSDDSNSSSEDPVVEEHVCLYETPRELYSKLRLSKTMINDHYMPMYKKMMELLIQELEKDSSFNL >OIV91717 pep chromosome:LupAngTanjil_v1.0:LG19:16478017:16483975:1 gene:TanjilG_26570 transcript:OIV91717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLIDLNTTEDDETLSSSSFSSHSGISTCGSALPPSVPSSVCLELWHACAGPMISLPKKGSVVVYFPQGHFEKQVQDFPITACNISPHVFCRVLDVKLHAEEGSDEVYCQLLLIPESEQMEQNLREGVINVDGEEEDAEAIVKSTTPHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLDYSQQRPSQELVAKDLHGLEWRFRHIYRGQPRRHLLTTGWSAFVNKKKLTSGDAVLFLRGEDGELRLGIRRAAQLKSGTFAVPSSQQLNPSTLMDVVNALSTRCAFRVCYNPRVSSSEFIIPLHKFSKSLDCSYSVGMRFRMRFETEDAAERRFTGLIAGISDVDPVRWPGSKWRCLLVRWDDMETARHNRVSPWEIEPSGSASTTNNLMAASLKRSRIGLSSEKLEFPVPNGIGASDFGESSRFRKVLQGQEMSGVNTPFDGINAQSSRLSELGRCYPGSNCSGFAATGNNLRIPQVSSDFSCNGIGFGGSYGFQKVLQGQEILPSQPYGRALSMDEARGNGRFGLFDGYHHMLSSRNGWSAQMHDNSSHLHASVQPGQVSSPSSVLMFQQAVNPVLNADYNNKHNQAGNVHYRGLYATKVKGGVSATSPSVEPIYSGHAQEATNSFGMFNLRSQLGGSRSYESVSALRNSQEMASSRKSSCRLFGFSLTEDRNLANKEADPSSVTCQLNSGPPFARSIEDEFHPGRSLGNKAVGSNCTNGVLQY >OIV91943 pep chromosome:LupAngTanjil_v1.0:LG19:12129821:12135584:1 gene:TanjilG_23204 transcript:OIV91943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVFGKQSSVGKNTAAAAEEAAGEREWERRREEKREEVEEAKSEVVEGEIENGGGNQKEEGGGGEEKRTRSSRRRSSKPNPRLSNPPGHVHGEQVAAGWPSWLSKVAGEAINGLIPRRADSFEKLDKIGQGTYSNVYKARDTLTGKIVALKKVRFDNLEPESVKFMAREILILRRLDHPNVVKLEGLVTSRMSCSLYLVFEYMVHDLAGLATNPAIKFTESQVKCYMHQLFSGLEHCHNRHVLHRDIKGSNLLIDNEGVLKIADFGLASFFDPNHKHPMTSRVVTLWYRPPELLLGATEYGVGVDLWSAGCILAELLAGRPIMPGRTEVEQLHKIFKLCGSPSEEYWKKSKLPHATIFKPQQSYKRSISEIFKDFPPSSLPLIETLLSIDPAERQTATAALHSEFFTTKPYACEPSSLPKYPPSKEMDAKLRDEEARRLRAAGKANADGVKKSRPRDRDRVGRGIPVPEANAELQVNIDRRRIISHANAKSKSEKFPPPHQDGTLGYSLGSSHHMDPGFDPPDVPFSSTNLSQPKANIQTWSGPLVEPAPVGAPRRKKKHGR >OIV92031 pep chromosome:LupAngTanjil_v1.0:LG19:9698930:9699421:-1 gene:TanjilG_06781 transcript:OIV92031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTTHGECDTVKATVTLSSEQQNSHRKTVKTIYKALRDSDTKKLSNLVGTELEWWYHGPHHCQYMMEMLTGKSTLKAFKFKPRRMKVIGDCVIVEGWEEKGEYWVHLWRFKEGIVDQIREYFNTLITLVVRDYEGGGGREARLWRSTSRARVQGSLPDLVLAV >OIV92398 pep chromosome:LupAngTanjil_v1.0:LG19:1445649:1448807:-1 gene:TanjilG_22998 transcript:OIV92398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLLRCFYVTLLQWCFLACLLHLSLGLSNQETSCDIHDLSALKEFAHNLTKGSIIAAWSNDTICCNWVGVVCDNETGGGRVTKLVLPGMDLNGTISPSLAQLDQLILLNLSLNHLVGEIPIEFTKLKKLRFLDLSHNVLSGEVGGALSGLQSIEVLNISSNLFSGDPFFQLGEFQHLLALNMSNNSFTGRFSSQICSATKNLHTLDLSENHFFGDLEGLDNCSTSLQLLHLDSNSFSGSLPDSLYSMSALEQFSVSANNLSGNLSKELNKLSRLKALLVSGNQFSGELPNVFGNLLQLEQLVAHSNLISGSLPSTLALCSKLRVIDLRNNSLSGTIDLDFTMLSNLHTLDLASNHFIGPLPSSLGNCRELKLLSLAKNGLNGSIPENYANLTSLSFVSMSNNSLENLSGSLSALQHCKNLTTLILTKNFHGEEIPESLVAGFDSLMVLALGNCGLKGHVPSWLSNCRKLGVLDLSWNHLNGSIPSWIGRMDNLFYLDFSNNSLTGEIPKSLTELKGLITPNCSRSNFTLSAGIPLLVKRNKSASGLQYNQASSFPPSIYLSNNNLSGNIWPEIGQLKALHVLDLSRNNITGTIPSSISEMENLETLDLSYNDLNGKIPLSFNNLTFLSKFSVAYNRLQGSIPTGGQFLSFPSSSFEGNLGLCRDIDSPCKNVNTGELRPNIYSGSARKFGKGNVLGITIGIGVGLALLLAVIVLKMSKRDEDKPIDNNDEEFSSRPHRLSGALVSSKLVLFQNSDCKDLTVSDLLKSTNNFNQANIVGCGGFGLVYKANLPNGTKAAIKRLSGDCGQMEREFQAEVEALSRAQHNNLVSLKGYCRHGNDRLLIYSYLENGSLDYWLHECVDESSALTWDVRLKIAQGAARGLAYLHKGCEPYIVHRDVKSSNILLDDKFEAHLADFGLSRLLQPYDTHVTTDLVGTLGYIPPEYSQTMTATFRGDVYSFGVVLLELLTCRRPVEVIKGKNCRNLVFWVFQMKSENKEQEIFDPAIWHKDHEKQLLEVLTIACKCLDQDPRQRPSIESVVSWLDGVSLDGPQQ >OIV92294 pep chromosome:LupAngTanjil_v1.0:LG19:2792332:2792595:-1 gene:TanjilG_10504 transcript:OIV92294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTGTKRRSNGGGGKGGNTNGNTRMDKVRRLKIMIMNFNMQVVQLLSFESSTFTIKHLRVSLPTTLGAIIIFQHHIPKQSYPSQTTP >OIV91852 pep chromosome:LupAngTanjil_v1.0:LG19:13549254:13550909:-1 gene:TanjilG_17844 transcript:OIV91852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTSRKHDTSPGFSLYHQPVHGIDPNTSCQILQSNSSHDVDGSSSQGTIVSFETLKEQYFTLESSPAINGLIGCDSPSYASVSSNRSPFSSQGSQSYNSDQHQSSNNTYGSPISVYSSADDDYELKHKLRELEISLLGPEPDIVNSFHCCFKGDLQRGPQIDKYNWLLIAEMIPKLDLKEVLVLCARAVSDEDFQTAIGWMDNVLVKMVSVAGEPIQRLGAYMLEGLRARLESSGSLIYKALKCEQPTSKDLMTYMHILYQICPYFKFAYISANVVIGEAMQNESRIHIIDFQIAQGTQWMLLMQALASRPGGPPFLRVTGVDDSQSFHARGGGLDIVGKRLSDYAKSCGVPFEFHSAAMCGSEVELENLVVRPGEALAVNFPYVLHHMPDESVSTENHRDRLLRLVKSLSPKVVTLVEQESNTNTSPFFHRFVETLDYYTAMFESIDVARRRDDKQRISAEQHCVARDIVNMIACEGAERVERHEPFGKWRSRFSMAGFAPYPLSSSNMDAVRNMLNEFNENYRLAHRDGVLYLGWKNRPMSTSSAWICN >OIV92149 pep chromosome:LupAngTanjil_v1.0:LG19:6144020:6146305:-1 gene:TanjilG_18721 transcript:OIV92149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMIIRRQVRCICSRVSPLVRSTLFSSLSTELSSNNYISWMCKQHHYKEALDFYLKNPSIQLEPSTYTNLVLACTNFRSLEYSRKIHDRILKSSYQPDIVLQNHVLNMYGKCGSLKDARKVFDAMQLQNVISWTLVISGYSQNGQENDAIIMYIQMLRSGHFPDQITFGSIIKACSISGDINLGMQLHAHVMKTGFGHHIVAQNALISMYTKFGQISQASDVFTTIATKDLVSWGSMITGFTQLGYGIKAVYLFRDMLTQGVYQPNEFIFGSVFSACSSFVEPEFGRQIHGVSTKFGLGRNIFVGCSLCDMYAKFGLLPSAKVAFHQIENPDLVSWNAIIAAFADSGDANEAISFFRQMIHMRLIPDNITLLSLLCACGSPVALNQGMQIHSYITKIGFDKDAAVSNSLLTMYTKCSNLPDAFNVFKDVSENANIVSWNAILSACLQHKQAEEAFRLFKLMLRSDYKPDSITITNLLGTGAELACLEVGNQVHCYCVKSGLVLDVSVRNGLIDMYAKCGSLKHAQDVFNSTQNPDIVSWSSLIVGYAQFGLGHEALNLFRMMRKLGVQPNEVTYLGVLSACTHVGLVEEGLQLFTSMELEQGITPTREHFSCMVDLLARAGCLYEAEAFIKKTRFDPDITTWKTLLASCKIHGNVDIAKRAAENILKLDPSNSSVLVLLCNIHASAGNWEEVAKLRSLMKQMSLQKVPGQSWIEVKDKIHVFFSEDTSHPQRGTIYTMLEELWLLMLDDGYDPCHLLDINI >OIV91834 pep chromosome:LupAngTanjil_v1.0:LG19:13319426:13320055:-1 gene:TanjilG_17826 transcript:OIV91834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKLYRKGSVHPSPPIITDHLSFLPAAILTLAAALSPEDREVLAYLISCSSNNNFSGNSRRSTTSNKNSNNNSNTAVAVGDHAPLFNCSCFRCYTSYWVRWDSSPNRQLIHEIIDAFEEWLAQQNNSNNIKGCKNGKKEKRNNKKGLNNNKKQTELNRVELVSDVSSELESVEESNDTESGNKVADEEKGSVRRFVSFIGEKIWGGWVQ >OIV91554 pep chromosome:LupAngTanjil_v1.0:LG19:17761823:17767740:1 gene:TanjilG_08966 transcript:OIV91554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGSMEIKNIWNVVHGLKAVLLMVMVQIAFGGVNVLYKLAVNDGMDLRVVVAYRLVFATSFIAPLALILESLERLNLRTRGGKGKIVGTLTGIGGAMVLTFVKGVEINMRHVNLLHHSNGVHEEHSSSGGKSAIGALCALASGISYALWLIIQAKMSEKYPSHYSSTALMSLWGSLISIVFALSLERDWTQWRLGWNIRLLTVAYSGIVVSGVMVTVISWCIRMRGPLFASVFSPLMLVIVALAGCTLLNEKLHLGRPIQEIDVLLQGNYPHNLQSFQ >OIV91685 pep chromosome:LupAngTanjil_v1.0:LG19:16209061:16211458:-1 gene:TanjilG_26538 transcript:OIV91685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVALLLLLALSNAVSIDADIGQCGSNPILDPRPHSVSILEFGAVGDGKTLNTIAFQNAIFYLKSFADKGGAQLYVPPGNWLTDSFNLTSHLTLFLEKGAAIIGSQDPSHWNVVEPLPSYGRGLEVPGGRYQSLINGYMLNDVVITGNNGTIDGTGSVWWELFGSHSLNYSRPHLVEIVASEFVVVSNLTFLNAPAYSIHPVYCSHVHIQNVSIFAPPESPYTVGIVPDSSDSVCIEDCVVTMGYDAIALKSGWDEYGIAYGRPTENVHIRNVHLEAFSGSTLAFGSDMSGGISNVLVEHAHLFNSNSGIEFRTIKGRGGYMKDIVMSDIEIENVHTAIAATGHCGSHPDDKFDPNALPLLDHITLKDVTGTNITIAGSFVGIEESPFTNICLSNITLSTNSVSTITWKCSNVSGFSDSVFPKPCPDLENPSNSSSSCFSYLLSITERNTAVL >OIV91879 pep chromosome:LupAngTanjil_v1.0:LG19:13884566:13893633:1 gene:TanjilG_17871 transcript:OIV91879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFPGNQNQQHIQTQTPHFDLNKLFNPTTVTNPTNLNTSPSFPSPSPSTLPPSSFPSPSSSYPPPTGTYPFHNPHFFPYPNLQQQQQQQQQQHLHQMNVPQRPIFQPPQPSPSSPNPNTTSGARLMAMLGTQNPPSNHDSPVLYQAAPSSNEFSMPASPAVVPLPSPVSPQSSTAAARMLSTKFPRGRRLIGENVVYDIDFKLPGEVQPQLEVTPITKYASDPGLMLGRQIAVNRSYICYGLKLGAIRVLNINTALRYLLRGHTQKVTDMAFFAEDLHLLASASTDGRIFVWKINEGPDDEDKPQIAAKVITAIQILGESEVVHPRVCWHPHKQEILMVAIGNRILKVDTMKAGKGETFSAEEPLKCSIDKLIDGVQLVGNHDGNITELSMCQWMKSRLASASEDGTVKIWEERNATPLAVIRPHDGEPVNYVTFLTAPHRPDHIVLITAGPLNQEVKIWVSDNEEGWLLPSDSESWSCVQTLDIRSSSEANPEDAFFNQVVALPRAGLFLLANAKKNTIYAVHIEYGSNPTATRMDYIAEFTVTMPILSLTGTSDGLPDGEHIVQVYCVQTQAIQQYALNLSQCLPPLLDNAELEKSELNLSRSSNALNESSNLETGNKPQVHSSNSESVPVVSLPISLSSSDISCLPEASTSDIETKPNDLPSHNGFEHIQSSPPPHPPSPRLSHKLSGFKGSSNNLETSSTNGDPNSEQTKLEPSAERRVESEKDNMADVLASGDSLKKNGLVQNDVLVVSNSPTTFKHPTHLVTPSEIFSKAALSSENPQTSQGMNVQDVIAHGDAENSEVEVKVVGETGSNEENTEYVRDRDSYTDVAEKKEKLFYSQASDLGIQMAREAYNAEGLRQADSIKTIDVPDQISNTIEEEVQDMSKDVPVNIGESETVAANLQSPAPAAKGKRHKGKNSQVSGASPSVSPFNSTDSSNNQGGNSGGSSIEAALPQLSTMQEMMSQLLSMQKEMQKQMNVMVSAPVTKEGRRLEGSLVRSMEKVVKANTDALWARLQEENAKQEKLEQDCTQQITNLISNYVNKDMTTVLEKIIKKEISSIGSTVARSVSQSVEKTVSSAIMESFQKGVGEKGLNQLEKSVSSKLEATVARQIQTQFHTTGKQALQEALRTSLEGSIVPAFEKSCKAMFEQINVTFHNGLTKHTTAILQQYDSTHSPLAMTLRETINSASSITQTLSGELTEGQRKLIEIAANSKIAADTFVTQINNGLHEMTEDPTKELSRLISEGKFEEAFTGALHRSNVSIVSWLCSQVDLAGILTMVPLPLSQGVLLSLLQQLSCDITTETPRKLAWMTDVAAALNPVDPRISAHVRPILDQVYQTLSHHRTLSTTSPAEASTTRLLMHVINSVLVSCK >OIV92215 pep chromosome:LupAngTanjil_v1.0:LG19:4683610:4684758:1 gene:TanjilG_31134 transcript:OIV92215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQSLSITHRFDQLRTLIEFIASNPCPCSDGTIFSCPQIEPIFRFSIHGYCKVGNFDDALYAFRTMCRLIDGRPSVEVCNILLHGFVKCGRFDRALEFYNDEMVRYRVKPDVVSFNILISGYCRNSRFESALEMFKEMREMGCDPNVVTFNTLIKGLFREGKVEEGIGMVNEMIELGYRISDVTCEILVHGLCKEGRVLQACEMLVELSKRGVLPKGYDYFGLMEVLCREGNVVRALELIYQLWDRGSVPSLIACTVMVDGLSRSGKSEEALRLVEKMVKEGMVLDIVTFNCVLQDICNVGRTEEANKLRLLASSKGLEPDAMTYKILVTGYTEEGNQKEGELVVDEMLDRGFIPDLASYNKLMNGLSNCRRSTLKANKFGC >OIV92289 pep chromosome:LupAngTanjil_v1.0:LG19:2700848:2703760:1 gene:TanjilG_10499 transcript:OIV92289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVSKAYLVFLCSSLFLCSAFSELLTSYDIKRIVQSGVNSKESHDPPHIFIPKGLNYVIERLVPSGPNGEQSPDPPHPFVPKDHEVNYHINRLVPSGPNGEQSPDPPHPFVPNDHEVNYHTKRLVPSGPNGEQSPDPPHPFVPKDHEVNYHIKRLVPSGPNGEQSPDPPHPFVPKDHEVNYHIKRLVPSGPNGEQSPDPPHPFVPKDHEVNYHIKRLVPSGPNGEQSPDPPHPFVPKDHEVNYHIKRLVPSGPNGEQSPDPPHPFVPKDHEVNYHIKRLVPSGPNGEQSPDPPHPFVPKDHEVNYHIKRLVPSGPNGEQSPDPPHPFVPKDHEVNYHIKRLVPSGPNGEQSPDPPHPFVPKDHEVNYHIKRLVPSGPNGEQSPDPPHPFVPKDHEVNYHIKRLVPSGPNGEQSPDPPHPFVPKDHEVNYHIKRLVPSGPNGEQSPDPPHPFVPKDHEVNYHIKRLVPSGPNGEQSPDPPHPFVPKDHEVNYHIKRLVPSGPNGEQSPDPPHPFVPKDHEVNYHIKRLVPSGPNGEQSPDPPHPFVPKDHEVNYHIKRLVPSGPNGEQSPDPPHPFVPKDHEVNYHIKRLVPSGPNGEQSPDPPHPFVPKDHEVNYHIKRLVPSGPNGEQSPDPPHPFVPKDHEVNYHIKRLVPSGPNGEQSPDPPHPFVPKDHEVNYHIKRLVPSGPNGEQSPDPPHPFVPKDHEVNYHIKRLVPSGPNGEQSPDPPHPFVPKDHEVNYHIKRLVPSGPNGEQSPDPPHPFVPKDHEVNYHIKRLVPSGPNGEQSPDPPHPFVPKDHEVNYHIKRLVPSGPNGEQSPDPPHPFVPKDHEVNYHIKRLVPSGPNGEQSPDPPHPFVPKDHEVNYHIKRLVPSGPNGEQSPDPPHPFVPKDHEVNYHIKRLVPSGPNGEQSPDPPHSFVPKDHEVNYHIKRLVPSGPNREQSPHPQHAFVPKFYGLKHEIKRLVPSGPNQEV >OIV92250 pep chromosome:LupAngTanjil_v1.0:LG19:3788599:3791834:1 gene:TanjilG_00268 transcript:OIV92250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSLIRQPGIGITGSDFVLRQKHATPFQPRSFLPSLSKEKKPKTCSLVSVQKSLHLASLCVGNSRRVDLVKCEAYDADKSEVENGGGGGTPSEAAKKAKIGLYFATWWALNVVFNIYNKKVLNAYPYPWITSTLSLACGSLMMLISWATRIAEAPKTDIEFWKSLFPVAVAHTIGHVAATVSMSKVAVSFTHIIKSSEPAFSVLVSRFLLGETFPSSVYLSIIPIIGGCALTAVTELNFNMIGFMGAMISNVAFVLRNIFSKRGMKGKSVSGMNYYACLSILSLAILTPFAIAVEGPQLWAAGWQTALSQVGPQFIWWVAAQSVFYHLYNQVSYMSLDEISPLTFSIGNTMKRISVIVSSIIIFHTPIQPINALGAGIAILGTFLYSQF >OIV92555 pep chromosome:LupAngTanjil_v1.0:LG19:232054:233677:-1 gene:TanjilG_02318 transcript:OIV92555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGKDNNCNNNNIKSKLRKGLWSPEEDEKLLRYMISKGQGCWSDIARNAGLHRCGKSCRLRWINYLRPDLKRGAFSPQEEHLIIHLHSILGNRWSQIAARLPGRTDNEIKNFWNSTVKKRLKTNNNIDSSEPRDHVLGGENGIMPINEHLNELMTMCMDSSSSTSSSMHAMVLTHDHFDPFPMLTNPYDMSSCLAKVEMVDGLHGDYGILESNKMGLESDFSFPSLESRSIENENNSAPIDVKSHNNHFNNICFNNTEQIQNSNVEELFGFGNNGQGENLRMREWDLEVLMQDISYFPSSDFQF >OIV91803 pep chromosome:LupAngTanjil_v1.0:LG19:14378003:14379619:-1 gene:TanjilG_14382 transcript:OIV91803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGALSLSRIILLLLPILLLLSFLRSASAANSSDNTFVNCLVNQSNPSHPITSAIFTPENTSFSSVLQAYVRNLRFNTSTTRKPYLIITALHVSHVQAAVVCAQKQNLQMKIRSGGHDYEGVSYVAEVPFFILDMFNLRFIEVDIKNETAWVQAGATLGEVYYRIAEKSKVHGFPAGVCPTVGVGGHISGGGYGNMIRKYGLSVDNVIDAQIIDVQGRLLNRESMGEDLFWAIRGGGGASFAVVISYKIKLVRVPENVTVFQVRRTLEENATDIVYNWQHVAPYIDNDLFIRLILDVVNGTQNGTKTVRATFIAMYLGDSKSLLSLLNGKFPQLGLNQSDCIETSWLKSVLFWANFNITTPVDILLDRQPPSLIYLKRKSDYVKEPISKEGLEGIWKKMITLVDTLLYFNPYGGKMAEVPSTEVPFPHRAGNLWKVQYMANWNQPGKEVAIQYINLARELYKYMTPFVSKNPREAFLNYKDLDLGINHHGKDSYDEGRVYGEEYFKDNFNRLVQIKTKVDPGNFFRNEQSIPILPQRKI >OIV91798 pep chromosome:LupAngTanjil_v1.0:LG19:14485583:14488460:1 gene:TanjilG_14377 transcript:OIV91798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQYEKVEKIGEGTYGVVYKARDRVTNETIALKKIRLDQEDEGVPSTAIREISLLKEMHHRNIVRLQDVVHCERRLYLVFEYLDLDLKKHMDSSPEFVKDPRQVKMFLYQILCGIAYCHSHRVLHRDLKPQNLLIDRRTNSLKLADFGLARAFGIPVRTFTHEVVTLWYRAPEILLGSRNYSTPVDVWSVGCIFAEMVNRRPLFPGDSEIDEIFKIFRIMGTPNEEIWPGVTSLPDFKSTFPKWPAKDLATVVSNLDPAGLHLLSSMLCLDPSKRITARSAVEHEYFKDIKFVP >OIV91700 pep chromosome:LupAngTanjil_v1.0:LG19:16335859:16336077:-1 gene:TanjilG_26553 transcript:OIV91700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFISSPDGCKLHYRIFFLNEMILHDCRHTVISRASDSNVSGEAMMVLGVSCRKKGSKRLIPNSQLTEKGMK >OIV91560 pep chromosome:LupAngTanjil_v1.0:LG19:17723223:17724310:1 gene:TanjilG_08972 transcript:OIV91560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEADEFRCFIGGLSWSTSDRKLKDTFEKYGRLVEAKVVVDKFSGRSRGFGFVTFDDKKAMEEAIDAMNGVDLDGRTITVDRAQPQQGSSRDGGDRHRERDRERGRDRDYGGGRGSNGGECFKCGKPGHFARECPSEGERGGRYGDMESRYGGRSGGPDRNADRSSGRRNRDGGSHGDSGNDRYDRDRAGPYERRGSGGYH >OIV92487 pep chromosome:LupAngTanjil_v1.0:LG19:801377:805570:1 gene:TanjilG_02250 transcript:OIV92487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFTSMSSAGSLAAPPHNLSSSASISAFPFAAGRRQSSNTVVLARKNRNPRVSAMAKELHFNKDGSAIKKLQTGVNKLADLVGVTLGPKGRNVVLESKYGSPKIVNDGVTVAKEVELEDPVENIGAKLVRQAAAKTNDLAGDGTTTSVVLAQGLIAEGVKVVAAGANPVLITRGIEKTAKALVAELKKISKEVEDSELADVAAVSAGNNYEVGNMIAEALSKVGRKGVVTLEEGKSADNSLYVVEGMQFDRGYISPYFVTDSEKMSVEFENCKLLLVDKKITNARDLVNILEDAIRNGFPILVIAEDIEQEALATLVVNKLRGSLKIAALKAPGFGERKSQYLDDIAILTGGTVIREEVGLSLDKAGKEVLGHASKVVLTKDTTTIVGDGSTQDVVNKRVSQIRNQIEAAEQDYEKDKLNERIAKLSGGVAVIQVGAQTETELKEKKLRVEDALNATKAAVEEGIVVGGGCTLLRLASKVDAIKDTLDNDEEKVGADIVKRALSYPLKLIAKNAGVNGSVVSEKVLSSDNPKYGYNAATGKYEDLMAAGIIDPTKVVRCCLEHAASVAKTFLMSDCVVVEIKEPEPVVAGNPMDASGYGI >OIV91615 pep chromosome:LupAngTanjil_v1.0:LG19:17331589:17332696:1 gene:TanjilG_09027 transcript:OIV91615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRSLELIFLLIIVVVPMKGTVAYSSIHELLRSHGLPAGLFPKSVKSYNLDQRGVLEVHLDHPCMAKYETRVLFETVVRANLSLGQLKGLEGLSQEELFLWLPVKDIIVDDPLSGLILIDIGLAHKQLSLSLFEDPPVCRSQGLELNMPGRKNIGFQDQR >OIV92541 pep chromosome:LupAngTanjil_v1.0:LG19:315286:320097:1 gene:TanjilG_02304 transcript:OIV92541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGFWSCNVIGEGVIGGRKKRVVLGDIGNLDRVKGANINLNRPITRSLCAQLLAKAEAGENNKNVAIPNVTGPKPQVADEVVAKRRVAPKPAEKKVTAKPKPVEVIEISPDKEVQNDKFVNKNKEGDALSKKKSQTLTSVLTARSKAACGLTKKPKEQIVDIDAGDSGNELAAVEYIEDMYKFYKLAENESHPHQYMDSQPEINERMRAILVDWLIDVHTKFDLSPETLYLTINIVDRFLAVKTVPRRELQLVGVSAMLMASKYEEIWPPEVNDFVCLTDRAYTHEQILVMEKIILGKLEWTLTVPTPFVFLTRFIKASVPDQELENMAHFFSELGMMHYATLVYCPSMVAASAVFAARDCARLLVSFHSTLVNGKLKVLYRKYSDPQRRAVAMLPPAKNLMPENSCGSRV >OIV92474 pep chromosome:LupAngTanjil_v1.0:LG19:1017395:1021334:1 gene:TanjilG_02237 transcript:OIV92474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRNMRMRREYLYRKSLEGKERLLYEKKRKIREALQEGKPIPTELRNEEAALRREIDLEDENTAVPKTHIDDEYAYAAEKDPKIMLTTSRDPSAPLQQFVKELSFVFPNAQRMNRGGQVISEIIESCRAHDYTDVVLVHEHRGVPDGLIVSHLPFGPTAYFGLLNVVTRHEIKDRKAIGTMPEAYPHLILDNFSTKLGERAANILKHLFPVPKLDTKRIVTFSNQSDYISFRHHIYEKHGGPKSIELKEVGPRFELRLYQIKLGTVDQAEAQIEWVIRPYMNTSKKRKFLSD >OIV91780 pep chromosome:LupAngTanjil_v1.0:LG19:14688306:14694326:-1 gene:TanjilG_14359 transcript:OIV91780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNPEVVEEREILLKKIKDLEAVQVDLKQEMSKLKLSSSFKDLHQQQQQHHHHHRHRSHSLSPQRSRLRKEAPPPVASNKASSSSSSSSPLQRESKSDELQHHGSVNLTDRECLNILQSMGHSLHILDLQCRIIYWNPSAENLYGYVAAEVLGRDGIELLIDPKDFGLASDVFNRVTMGESWTGQFLLKNKIGDKFLAAATNTPFYDDGGNLVGIICVSSDSKPFIAMKVPFSGVKNAELDSGSTHPRISITSKLGLDSQQPLQVALASKISNLASKVSNKVKSRIWTGEDNLNREGGSGESHHSEHIFSDSALSDQREDANSSGASTPRGDLQPSPFAVFSHVDEKCQGNSLRDSGNENEGKPIHKIMASKAEAWIQKRTLSWPWRANGREGSEPRNVHVAWPWLPSDQENESVNHKNVSYGLKLETQAVESNQHVNNEASGSWSSFNVNSSSSISSCGSSSSCPTNNKMDANTDCLDYEILWEDLTIGEQIGQGSCGTVYHALWYGSDVAVKIFSKQYSDDVIMSFRQEVSVLKRLRHPNILLFMGAVTSPQHLCIVTEFFPRGSLFRMLQRNAAKLDWRRRVQMALDIARGVNYLHHYNPPIIHRDLKSSNILVDKNWTVKVGDFGLSRLKYETFLTTKTGRGTPQWMAPEVLRNEPSDEKSDVYSFGVILWELATKKIPWDTLNITQVTGAVGFMNHRLEIPEDVEPQWACIIKSCWHSDSACRPTFEELLERLKELQKQFAVKFQAASRSNGRERTQKES >OIV91857 pep chromosome:LupAngTanjil_v1.0:LG19:13615942:13619966:1 gene:TanjilG_17849 transcript:OIV91857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSSLKKLHHADQNHNQRARRKNKNARGENLESREKGQGALHQCNYCHRNIKGETHIKCAMCKDFDLCIECFSVGAELTPHKSNHPYRVMENLSFPLLCPDWNLEDEILLIEGIELHGLGKWTEVAEHVGTKNKESCLEHYNNVYLNSPIFPLPDMSHIAGKNREELLAMAKWQGKDKKDTQVECNFGGKKPSSPENEGASLVESSGYNPKRQEFNPEYDNDAEQLLAEMEFDDADTEEERELKLRVLRIYSKRLDERKCRKDFILERNLSNPKPFEEDLTPEEKTVCQKYDVFMRFHTKEEHKELLRIIILEHRTRKRLQELQEARTAGCLNSAEADIYLAHKRREAEESAPSAEKMDVASYCEADLLSEPEKRLCCELRLLPAIYLKMQEQLSLQILSGIVSSKSDAHQLFQMDPMKIDRVYDMLIKKGIGSA >OIV92456 pep chromosome:LupAngTanjil_v1.0:LG19:1165730:1166446:-1 gene:TanjilG_02219 transcript:OIV92456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRNTLSTSYANNYNSNKNQMVMKEFLDCNNSHNGEDDHYMNGFPWPPRSYTCSFCRKEFKSAQALGGHMNVHRRDRARLRQSSPPPTPNSDHNHHGHAHGQGHPMLNLNLNPNFSSSTSSNNLSFLPPSWSSSHFSTHKPHVTSTLPLLVSPTTTTTTPSSSYSSPSSASPSELKRWVVVDGILLKNPLTTKTSEATKSKIGEDGCKILKKSEILRMDLEIGLPREYDLDLELRLGN >OIV92176 pep chromosome:LupAngTanjil_v1.0:LG19:5472230:5473403:1 gene:TanjilG_30817 transcript:OIV92176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVIEEELINNNELVMNAGGACGYEDVTKHGYGLDTAALSKALFKEGATCGACFEIKCNQKKWCKGSNSLIITATDHCPPNPQQDSNNGGWCNPPREHFDLSKPAFLKIAEYKAGIVPVYYRRVSCKRKGGIKFTITGNPYFYLVTVSNVGGYGQVVGVQVKGNKTPWTTLGRNWGQKWETNAKLQGQPLSFKVKTSDGSSTTSSNVFPKNWQFGQTYEGRNFS >OIV92111 pep chromosome:LupAngTanjil_v1.0:LG19:6445917:6446648:-1 gene:TanjilG_26969 transcript:OIV92111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIDDSPSFEENHDSRLNLDLSLSLQQPQPQQYASEPQPQPQYYTPPYTLMPLRPKEEDNEDQEIGRSQATRKRKQPDLVMKKRKSVIITQPYQWATSIRAKVHSLEHLLSKNIKSINGTVQCKGCKEQFDIELDLKEKFEEVAVFIRENWYVMHDRAPKAWINPLLPTCKSCGQENSLKPVISPKKRTINWLFLLLGQMIGCCKLKHLKYFCKHTKCHKTGAKDRLIYRTYMVLYKQLKPNE >OIV92022 pep chromosome:LupAngTanjil_v1.0:LG19:10432339:10435818:-1 gene:TanjilG_20179 transcript:OIV92022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDDVYTKDGTVDYHGNPANRKETGTWRACPFILGNECCERLAYYGMSTNLVLYFKNRLHQHSATASKNVSDWSGTCYITPLIGAFVADSYLGRFWTIAVFSIIYVIGMTLLTLSASIPGMKPTCQGQGDENCHANVGQSAVCFLALYLVALGTGGIKPCVSSYGADQFDDADPAEKEHKSSFFNWFYFSINIGALIASSLLVWIQDNVGWGWGFGIPAVAMAIAVVSFFSGTRLYRNQKPGGSALTRICQVIVASIKKHHVQVPADKSLLYEIADTESAIEGSRKLDHTNELRFFDKAAVVGQSDNVKDSVNSWRLCTVTQVEELKSILRLLPVWSTGIVFATVYGQMGTLFVLQGQTMNTHVGNSSFKIPPASLSIFDTISVIFWVPVYDRIIVPVVRKFTGHKSGLTQLQRMGIGLFISIFAMVAAAVLELIRLRIVKNHNYYELEEIPLTIFWQVPQYFIIGCAEVFTFIGQLEFFYEQAPDAMRSLCSALSLLTVALGQYLSSLLVTIVTNISTKNGSPGWIPDNLNYGHLHYFFWLLAVLSVLNFVAFLLVSKLYTYKKVMGTLR >OIV91601 pep chromosome:LupAngTanjil_v1.0:LG19:17443934:17445091:1 gene:TanjilG_09013 transcript:OIV91601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSSIKDAFDRVAKKQKVYSSKSQDVVDQLGREIEQALATIQSSHNPSTPVDHKSVLTDLKVKLGAIGALQQLEGSQKELNTSLTKYQKLLEKLLNTDISKAYRNVDFDTHLVNQIIANYFYHEGLFDLGDSIISEAGEPDATALKSQFLEMHHIIEAMKVRNLQPALKWVSDNREQLVQIGSNLELKIHKLQFVEIVQNGTRADALKYARTYLAPFASLNKDEFQKLMGCLLYAGRLESSPYSELMSPIHWEITTEELVRQFCTLLGQSYQSALSVAFAAGVQGLPTLLKLANVMAAKKPEWLAMKQLPVSLELGKEFQFHSVFVCPVSRDQGSEENPPMLLPCLHVLCKQSIIKLSKNSTRTFKCPYCPAEATVAHCRQLHF >OIV92395 pep chromosome:LupAngTanjil_v1.0:LG19:1433791:1436308:1 gene:TanjilG_22995 transcript:OIV92395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGSGADRSKEAVGMMALHEALRTICLNSDWTYSVFWTIRPRPRVRGGNGCKVGDDNGSLMLMWEDGFCQGRGSGCVEDIDGEDLVRKAFSKMSIQLYNYGEGWVLGRLMGKVASDKCHKWVFKEPTECEPNISNYWQSSFDALPPEWTDQFESGIQTIAVIQAGHGLLQLGSCKIIPEDLHFVLRMRHTFESLGYQSGFYLSQLFSSTRNNCSSTTPLPSKPSTIPIRPPPPLFNWGQRPLASTNSMLSSPNFQHATRMGFPHAKDETQMFLMPHHASSETARIEDMMGDQHENDIKWPNGLSFFNALTARTEDHHKLLFNPDSLGNKQGDHNHNHNHNHHSDACNNMHNGSGTNPNEFLSLDSISHHNEGAKKMDKFKRSFTLPTRVASSSSSTSMDQHQQQGVEYRNNSEGGMYPDVMETFLE >OIV91925 pep chromosome:LupAngTanjil_v1.0:LG19:12821856:12823811:1 gene:TanjilG_00593 transcript:OIV91925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTSFKLQHPLERRQAEASRIREKYPDRIPVIVEKAERSDIPDIDKKKYLVPADLTVGQFVYVVRKRIKLSAEKAIFVFINNTLPPTAALMSAIYEENKDEDGFLYMTYSGENTFGSQ >OIV92296 pep chromosome:LupAngTanjil_v1.0:LG19:2824639:2826329:1 gene:TanjilG_10506 transcript:OIV92296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQLLSSSCSVTLSSRNKPYLKSFDHGSASFSCKNSTSPFRRLFLQENKPRKSSVVVHATSVSTSREAPVQTSSGDPFKPKRVIVIGGDGYCGWATALHLSNKGYEVAIVDSLVRRLFDHQLGLDSLTPISSIQNRIQRWKSLTGKSIELYIGDICDFEFLSETIQSYEPDAVVHFGEQRSAPYSMIDRSRAVFTQQNNVIGTLNVLFAIKEFREQCHLVKLGTMGEYGTPNIDIEEGFITVTHNGRTDTLPYPKQASSFYHLSKVHDSHNIAFTCKAWGIRATDLNQGVVYGVTTDETAMHEELVNRFDYDGVFGTALNRFCVQAAVGHPLTVYGKGGQTRGYLDIRDTVQCVELAIANPANAGEFRVFNQFTEQFSVNQLASLITNAGEKLGLDVKSISVPNPRVEAEEHYYNAKHTKLVELGLKPHLLSDSLLDSLLNFAVQYKDRVDTKQIMPSVSWRKVGVKTKTVTN >OIV91674 pep chromosome:LupAngTanjil_v1.0:LG19:16106812:16108725:1 gene:TanjilG_26527 transcript:OIV91674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAPCCEKVGLKKGRWTAEEDKILTDYIQENGEGSWRSLPKNAGLLRCGKSCRLRWINYLRADVKRGNITPEEEELIVKLHAVLGNRWSVIAGQLEGRTDNEIKNYWNSHLRRKIYCFMRCLNNESLPPIDLANMASTSKRRGKGTKNQVEVAMEEDHNMALNKNSHEPMPQRNATSQVCFNGGEPDKEISMMDICYEMDQGNGYYNNNNNNNTVASLYPNMNGDVEGLGPYQWLDDEIRKLSHMFENGVLVNNLSVNGNVTLKDEPNGNDSYGHMQMDTMGTSEDKKSASVWNSSNEDNGGWYNTSCLSVNSVSDYQWPNWDFTSSTQSHNQWDLCEQDQNQSCLWGIGIGEVDGFHH >OIV92037 pep chromosome:LupAngTanjil_v1.0:LG19:9334828:9336834:-1 gene:TanjilG_25017 transcript:OIV92037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEGESGDPMDQFHRNEAISAVADDGFLAEEDDDYDDLYNDVNVGEGFLQSLNNNNNNKINNDSGFKNDDVKSHSASMPQDSTGGGVSDRVVDGLQNQGFIGDEVSVKGSVSGGGIRVELGQASVKLSQVEEQIGNDNSVGVHQPIGGVVGTVGNEGLAREGGGNLNRVGGNEVVNNVNVSSNVNTSGGGAVVASAGGGGTVLFVGDLHWWTTDAELEAVLCKYGPVKEVKFFDEKASGKSKGYCQVEFFESYVATACKEGMNGHMFNGRPCVVAYASPYTVKKMGEAQVNRNQQGTQSAAPQQVRRGPVADVGPKPGGSNVATTGNYQGGDGNNRGGYGRGNWGRGNNPGMGNRGPVNPMRNRGGGMGGRGMMGNGGNGFGQGIGATPPMMHPQLMMNQGFDPAFGGPMGRMGGYGGFPGGPAPPFSGMLPSFPGVGLPGVAPHVNPAFFGRGMPMNGMGMMPTSGMDGPNMGMWPDPSMGGWGGEEHGGGKAGESSYVEEAVSDHQYGEASHDRTGWTNNAREKDRGPERDWSGTSERRHKDDRDQGYERDVPREKDTGHDNEWSERRHHDDREMSRERVRGHEQSRDRDRGRERDRERERDRYKDDRDRYADHHRHRDHEAEHEDEWERGRSSRARSKSQLSQEDDHHSRSRDADYGKRRRLTSE >OIV91698 pep chromosome:LupAngTanjil_v1.0:LG19:16317815:16318436:1 gene:TanjilG_26551 transcript:OIV91698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPWLTVRRVAVQVSTVSGQASLIKFEGGVKAGMIGRISTSPLSEWHAFFGIISDGKKEHMILAGVVGDFTKSLVTNLQTYMWVRRMHFAGLPYLVNMYDKVLLVATGELSKTLVSGYPKDKVRIHDTCVLGRPNLSYLSVNAAKDWGAEVVMVTSNPEGSRDVVNACKVAGIASFGPIWDS >OIV92338 pep chromosome:LupAngTanjil_v1.0:LG19:3202381:3211215:1 gene:TanjilG_10548 transcript:OIV92338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAAAAVVVPLGLLFFASGLVVNLIQAICYVLVRPLSKNLYRRVNRVVAELLWLELVWIIDWWAGLKVQVFTDSETLRLMGKEHALVICNHKSDIDWLVGWVLAQRSGCLGSTLAVMKKSSKFLPVIGWSMWFSEYLFLERSWAKDENTLKSGLQRLSDYPLPFWLALFVEGTRFTQAKLLAAQEYAASTGYPVPRNTLIPRTKGFVAAVSHMRSFVPAIYDMTVAIPKSSPAPTMLRLFKGQPSVVHVHIKRHLMKELPETDDSVAQWCRDIFVAKDSLLDKHIAEDTFSDQELQDTGRPIKSLVVVISWACLVIAGFIKFLQWSSLLSSWKGVAFSSFGLAIVTALMHILIRFSQSERSTPSKVVPAKKKIEQLEESNDKQH >OIV91577 pep chromosome:LupAngTanjil_v1.0:LG19:17613876:17617575:-1 gene:TanjilG_08989 transcript:OIV91577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSFCCFSPQAAGGRSSSISGKGKSYKSPVKYGFSLVKGKANHPMEDYHVAKFVTLNGEELGLFAIYDGHLGDTVPAYLQKHLFSNILKEDEFWTDPSSSIIKAYETTDQAVLSHDPDLGRGGSTAVTAILINNQKLWVANVGDSRAVLSKGGVAIQMSIDHEPNTERGFIENKGGFVSNLPGDVARVNGQLAVSRAFGDKNLKSHLRADPDVDQDDIDSDTELLILASDGVWKVMANQEAVDIARRIRDPQKAAKQLVDEALDRESKDDISCIVVRFKA >OIV91779 pep chromosome:LupAngTanjil_v1.0:LG19:14695569:14700021:-1 gene:TanjilG_14358 transcript:OIV91779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRTSSNPSHSMSKAIAQYTEDARLHAVFEQSGDSFNYSHSTRLTTTTATTHFDDSLPEQQITAYLLKIQRGGTIQPFGCMIAVDQPSFRVIGYSDNARDMLGITPQSVPSLESPEILSVGTDVRTLFTHSSAALLEKAFGAREITLLNPIWVHSRSSGKAFYGILHRIDVGVVIDLEPARIEDPALSIVGAVQSQKLAVRAISLLQSLPGGDVKLLCDTVVESVRELTGYDRVMVYKFHEDEHGEVVAESKRADLEPYIGLHYPATDIPQASRFLFKQNRVRMIVDCHASPVRVVQDEALAQPLCLVGSTLRAPHGCHAQYMANMGSIASLAMAVIINGNDEEGVAGRSSMRLWGLVVCHHTSARCIPFPLRYACEFLMQAFGLQLNMELQLAAQSLEKRVLRTQTLLCDMLLRDSPTGIVTQSPSIMDLVKCNGAALYYQRNYYPLGVTPTESQIKDIIEWLLAFHGDSTGLSTDSLADAGYPGAALLGDTVCGMAVAYITEKDFLFWFRSHTAKEIKWGGAKHHPEDKDDGQRMDPRSSFKAFLEVVKSRSLPWENAEMDAIHSLQLILRDSFRDAEHSNSKAVVHANLSDTELQGVDELSSVAREMVRLIETATAPIFAVDVDGRINGWNAKVSELTGLPVDEAMGKSLVHDLVYKEYEETVDKLLSHALRGEEDKNVEIKMKMFGSENQDKAVFVVVNACSSKDYTNNIVGVCFVGQDVTGQKIVMDKFVNIQGDYKAIIHSPNPLIPPIFASDDNTCCLEWNTAMEKLTGWGRADVIGKMLVGEVFGSCCPLKGSDAMTKFMIVLHNALGGQDTDKFPFSFLDRHGKYVQAFLTANKRVNMDSQVIGAFCFMQIVSPELQHALKVQKQQEKNCFARMKELAYICQEVKNPLSGICFTNSLLEATGLTDEQKQLLETSAACEKQMLKIIRDVDLDTIEDGSLELEKGEFLLGNVINAVVSQVMLLLRERNLQLIRDIPEEIKTLALYGDQLRIQQVLADFLLNMVRYAPSPDGWVEIHVCPRIKQISDGLTLLNAEFRMVCPGEGLPPELIQDMFHGSRWVTQEGLGLSMSRKILKLMNGEVQYIREAERCYFLILLELPVTRRGSTSTN >OIV91510 pep chromosome:LupAngTanjil_v1.0:LG19:18130579:18131667:1 gene:TanjilG_08922 transcript:OIV91510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGVINTRMWPKCKTSEWVKGKLVGSGSFGTVHLAMSKSTGALFVVKSAHLGAGREALDNEVKILKSLRSSPYIVQCLGTEEEGKGNYNYNNNNLNVFMEYMAGGSLADVAHKFGGSLNEEVVRVYTREILLGLKHLHQHGIVHCDLKCKNVLLGSCGNIKLADFGSAIRVTDSKGDDGCLKNCLVSVVGGTPLWMAPEVLRNEMLDFAADIWSLGCTVIEMATGTPPWAGEVSNPMAAMLRIAHGDGVPQFPTHFSTDALDFLSKCLERDPQKRFTSDELLNHPFVSTRTSSHKECASSPASVLEVQSFEDLDELEIPEVNDFSITNSFASFDESKGTAIWWQREDSTLLSSGNWITVRSG >OIV91571 pep chromosome:LupAngTanjil_v1.0:LG19:17660597:17662037:-1 gene:TanjilG_08983 transcript:OIV91571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAATSGAVLGSSFLCRGKKSQTLLASAIGKVGASVSPKRLNVAASAAPKKSWIPAVKGDYGFDPLGLGKDPSFLKWYREAELIHGRWAMAAALGIFVGQAWSGVPWFEAGADPNAIAPFSFGTLLGTQLLLMGWVESKRWVDFFNPDSQSVEWATPWSKTAENFANATGDQGYPEGKFFDPLSLAGTIKDGVYIADTEKVERLKFAEIKHARIAMLAMLTFYFEAGQGKTPLGVLGL >OIV92368 pep chromosome:LupAngTanjil_v1.0:LG19:2329211:2330395:-1 gene:TanjilG_09966 transcript:OIV92368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEMDNTFLFTSESVNEGHPDKLCDQVSDAILDACLEQDPESKVACETCTKTNMVMVFGEITTKAKVNYEKIVRDTCRGIGFVSADVGLDADKCNVLVNIEQQSPDIAQGVHGHMTKAPEDIGAGDQGHMFGYATDETPELMPLTHVLATKLGAKLTEVRKNKTCPWLRPDGKTQVTVEYRNDNGAMVPLRVHTVLISTQHDETVTNEQIAADLKEHVIKPVIPTQYLDDKTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYIVRQAAKSVVASGLARRCIVQVSYAIGVPEPLSVFVDTYKTGKIPDKDILALIKENFDFRPGMIAIHLDLTRGGNFRYQKTAAYGHFGRDDSDFTWETVKALKPKA >OIV92074 pep chromosome:LupAngTanjil_v1.0:LG19:7907349:7907687:1 gene:TanjilG_08747 transcript:OIV92074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVELEIQIPTPFDPFAEAKESDAPGANSYVHIRIQQRNGKKSLTTVQGLKKEFSYEKILKDLKKVFCCNGNVVQDKELGKIIQLQGDQRKNVSQFLIQAGLVRKDQIKIHGF >OIV91836 pep chromosome:LupAngTanjil_v1.0:LG19:13353976:13357425:-1 gene:TanjilG_17828 transcript:OIV91836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEQVMARIGGQGVTTLSRSSDLIKMEAVGARLGRSSTRYGPATVFTGPVRKWKKKWVHISPSSSNSNTNHSHSHSSNGSNGSQLQLYKWTPITLSQNNTNGNSDAGKEEAEALEESPRKKFKYIPVAVLEERNEAAEKVEDEAKPIDADPSVAEPTRKNENWDEKPDINDVPMEESESQDKNQVVRQDLNESLDLSLGLTSHDDELDSDSKTQTRDGQ >OIV91765 pep chromosome:LupAngTanjil_v1.0:LG19:16923646:16925183:1 gene:TanjilG_26618 transcript:OIV91765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSSGSQFQHLHLPPGFRFHPTDEELVVQYLKKKATSVPIPVAIIAEIDLYKFDPWELPSKATFGEQEWYFFTTRDRKYPNGARPNRAATSGYWKATGTDKPILASNRNQKVGVKKALVFYGGKPPKGVKTNWIMHEYRLADNPSKPPSLIVDPGHNTEKNASKLDDWVLCRIYKKSNSTTSFLRPPMIKEKELSMEAMLPTMSTLTMTNNTYQNSKPSSSRTESYGPPLGLENDNNFLDGILAATTHDHQSMQNANSDSHIVSSNSKGTTNTNSPMKRALPSKSQFWNETGGSPGSSSSSKRFHSDLNNPEENISFLSLLSQLPQNTTFHPNALLGNVGDSVMRQQFQLPSMNWN >OIV92505 pep chromosome:LupAngTanjil_v1.0:LG19:664428:667070:1 gene:TanjilG_02268 transcript:OIV92505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELRVLNHGVPVELLESLKDSAHSFFSLPPEKKAIYLTGLSPSPLIKYGTSFVPEKEKALEWKDYISMVYSNDEDALQYWPNQCKEVALEYLKLASKLVKDLVEILMDNLGVKLDESKIEGLLGMRMVNMNYYPACPNPELTVGVGRHSDMGAITVLLQDGIGGLYVKVEGDNDDDTNKGEWLEIPPIHGALVINIGDTLQILSNGKYKSAEHRVRTTNTQSRVSVPVFTLPNPTEVIVPLPEVVKKDGLARYRQVIFQDYMKNFFGNAHAGKKSLDFATIKSA >OIV92027 pep chromosome:LupAngTanjil_v1.0:LG19:9828135:9829772:-1 gene:TanjilG_19495 transcript:OIV92027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRIPCCEKENVKRGQWTPEEDNKLSSYIAQHGTRNWRLIPKNAGLQRCGKSCRLRWTNYLRPDLKHGQFLDSEEQTIVKLHSVFGNRWSLIAAQLPGRTDNDVKNHWNTKVKKKLSGMGIDPVTHKSFSHLMAEIATTLAPPQAAHLAEAALGCFKDEVLHLLTKKPINFLGQHSAAPLGNDINNYINYKQEEMDRTSDKIKLGFSKDTHDKPEMILSNKAWNSTAATSASFAMQYSVFPTMPVFQYSPSSLCNKEDDASQWSQSVCTRSTFTPMDHQNQLYEKLKENGDDSEATKEMTNLSNIFNPDCVLWDLPADDLNNPMV >OIV92389 pep chromosome:LupAngTanjil_v1.0:LG19:2029815:2032006:-1 gene:TanjilG_09987 transcript:OIV92389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTLMAATTNSSIVKQTPFFAQSRVTNSNPLRNVVSMGTSKYIMSNDLWYGPERVKYLGPFSAQTPSYLTGEFPGDYGWDTAGLSADPEAFAKNRALEVIHGRWAMLGALGSITPEVLEKWLRVDFKEPVWFKAGAQIFSEGGLDYLGNPNLVHAQSILAVLGFQVVLMGLVEGFRINGLDGVGEGNNLYPGGQYFDPLGLADDPVTFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLLDHLHNPVANNAWVYATKFVPGS >OIV91858 pep chromosome:LupAngTanjil_v1.0:LG19:13624747:13629043:1 gene:TanjilG_17850 transcript:OIV91858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QGASEGKRALYHCNYCNKDITGKIRTKCAMCPDFDLCIECFSVGAEVTPHKSNHPYRVMDNLSFPLICPDWNADDEILLLEGIEMYGLGNWTEVAEHVGTKNKESCIEHFKNVYLNSPFFPLPDMSHVVGKNRKELLAMAKGQGEDKKGISMGDLGLKEESPFSPSRVKGEDSHKGGSSSRLLSSLNSESESGPGGMSHASVAANQKASNSGRGKDGPGVIKMEDPQVDRNFGGKKPSSLGNGGPSLVEPSGYNQKRQEFDPEYDNDAEQLLAEMEFKDADTEEERELKLRVLRIYGKRLDERNRRKDFILERNLLYPNPFEKDLTPEEKAICRKYDFFMRFHTKEEHEELLRTVISEHRTLKRIQELKEAQAAGCRNAAEADRYLMHKRRRVAEETACRAKEAAQGVPNALMSPESTGKDLSTRPAGPATSSSVNEMDVTGYYGADLLSEPEKRLCCELRLSPAIYLKMQERLSLEILSGTVSTKSDAHQLFKMDAIKVDRVYDMLIKKGIGSP >OIV92410 pep chromosome:LupAngTanjil_v1.0:LG19:1547139:1552798:1 gene:TanjilG_23010 transcript:OIV92410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEHSQEPPQIPQTFIYDTLTATSLSADTNSQLSDQPQTQPQPNSYSVFRNEISLDTIQCASSDIPAVDFFSLNVADEASAPDSLPEPKAPVAEVYEPKTPVLAPEAKLESGWFRGNCKFKSPMLQLHKEIVDFSDFLLPTPEEKAARDTAIESVFGVIKHIWPHCQVEIFGSFRTGLYLPTSDIDVVILKAGLPNTQMGLNALSRSLSQRGIAKKIQVIGKARVPIIKFVEKRSGFSFDISFDIDGGPKAAEYIQEAVAKWPPLRPLCLILKIFLQQRELNEVYSGGIGSYALLVMLLAVLRNVRESQVSAEHNLGVLLVHFFDFYGRKLNTSDVGVSCNGAGTFFLKSSKGFTANKGRPFLIGIEDPQAPDNDIGKSSFNYFQIRSAFAMAFSTLTNPRIILSLGPNRSILGTLIRPDPVLMERKGGSNGDVTFNSLLPGAGEPLQQQYGAHDILCNWHIDDEEEPLPRGMDILTPSKRSSSKKRRSAYNENSNKKLKENGDSWNRNENGSRKENSTRRKKRERW >OIV91629 pep chromosome:LupAngTanjil_v1.0:LG19:17209013:17210747:1 gene:TanjilG_09041 transcript:OIV91629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHLIGPLAIGKVVGDVVDPFTPAAKITILYGSKHVSNGYDIKPSLASERPHVKIVGASNAANLYTLVMVDPDAPSPSEPIHREWLHWIVVDIPEGSDATQGRELVKYMGPCPAVGIHRYVFVAFKQTGGGLVKVEAPLQGCQNFNTRQFASLNNLGLPVAAIYFNSHKEPKNTKFI >OIV91556 pep chromosome:LupAngTanjil_v1.0:LG19:17750475:17752230:1 gene:TanjilG_08968 transcript:OIV91556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAEDIQPLVVDNGTGMVKAGFAGDDAPRAVFPSIIGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTEYLVKILTERGYSFNTSAEKEIVRDVKEKLAYVALDFEQEMDTTKSSSAVEKSYELPDGQVITIGAERFRCPEVLFQPSLIGMEAPGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEISALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKGEYDESGPAIVHRKCF >OIV92117 pep chromosome:LupAngTanjil_v1.0:LG19:6688791:6690049:1 gene:TanjilG_26975 transcript:OIV92117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDISSPVNAVHDNSLSNLGSTVTESLHIQAAKKTECASKGNDIYNVAEENSFKGFKQQGRKLNKQRMRKSARLPVPEKLLSSSSSDEEAKTSFTEPLSKHSANQNYPLSLSLPAPSTVRSAMKGSREKHGQQEMKLTVKWAPDVYDPIPTLMSHTVKNKKPQKSKKKRNEKKNGKKGQKGNSSRGGNGKDKKQCFKLGETLDLCYKSLDSPGKVIEPSIQIDTLDVRIQDSSCGTSFLKKSVTELHYSVAEAL >OIV92504 pep chromosome:LupAngTanjil_v1.0:LG19:671283:672931:1 gene:TanjilG_02267 transcript:OIV92504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVHEDFMKEDEYDVPLPGFRFHPTDEELVSFYLRRKLEEKPINIELIKEIDIYKHDPWDLPKVSGNGGEKEGYFYCQRGRKYKNSVRPNRVTGSGFWKATGIDKGVYSHGGKGNDCIGLKKTLVYYSGNAGKGTKTDWMMHEFRLPSNNDTITNKNNADIAQEAEIWTLCRIFKRNVSQRKHIPYMRSNSASKHHDNINMSNRKNIEDSEAYINFGASLSHFQNEQKHVNNYPMNQFHVGQLSSQVAQKPQLTAPSYNFWTNPSVTNDFFMFENWDELGSVVKFGVDSPSL >OIV91666 pep chromosome:LupAngTanjil_v1.0:LG19:15809918:15817258:1 gene:TanjilG_26519 transcript:OIV91666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSRFSTLLIVFFISIFSFYGVLVRSDSSDHRYNEGDNVPLYANKVGPFHNPRYILISDPIRKENGETYRYFDLPFCVTGKEKDKTEALGEVLNGDRLVSAPYALDFKKDKDSNFVCKKKLTKEQVAQFREAVEKDYYFQMYYDDLPIWGFIGTVDKEGKADPSEYKYFLYKHIQFDVLYNKDHVIEISARMDPHSVVDLTEDKEVDAEFLYTVKWKETDIPFEKRMEKYSQSSSLPHHLEIHWFSIINSCVTVLLLTGFLATILMRVLKNDFMKYGQDEEAADDQEETGWKYIHGDVFRFPKYKSLFSAALGSGTQLFTLTIFIFMLALVGVFYPYNRGALFTALVVIYALTSGIAGYTATSFYIQLEGSNWVRNLLLTGCLFCGPLFLMFCFLNTVAIAYSATAALPFGTILVIVLIWTLVTSPLLVLGGIAGKNSRAEFQAPVRTTKYPREIPPLPWYRSTTPQMAMAGFLPFSAIYIELYYIFASVWGHRIYTIYSILFIVFIILLIVTAFITVALTYFQLAAEDHGWWWRTIDLEVKPEPMKLILEESSWHFSDNLYYILLQECLSFVVDQLACSSMAIAYIITMHDPICLVSCKLRSSSVTWPASAMAFFSCLEVSVSVPHCSSSAIYTGLSSVSNCGLNFGKFITCS >OIV91573 pep chromosome:LupAngTanjil_v1.0:LG19:17651268:17655510:1 gene:TanjilG_08985 transcript:OIV91573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRLTSNDTRMSDQCFKSSNIDCCGTELCADDMILGDKCAVEDDSTCQYPINQTSQDDNELSFLDIDGWLDIGDFEDVDMMPSCDLNFGTGSLNNEEQFSWLSSLHGAQGSDDALKSDFTFSCAEMSPLKGIPDYNMDSKENIGGLPFNDSNKKASSVDKKVSCQMDVDCNGDSAPFLMVKQSDKKSGNTVVVVPKEKLQSKLQIPSPGSQKIGYLENGDSVYPYTPEKYADAKQPYEVSSGEVTSLDSIQKHKLNTNSDSLGCIQTQAPKMHPDYIHAPNHTSPISTLSGSRSEHGGHILAASLKESSYASSIESCHGHSLEPASLKTNVKNKNLHHRHNGHMLSRSFNNENIANQIPFHSPSSAQQIGYQFENEGHSEAHRVSLGFSPEIESSTVQESSSMSSALDQTSVQATNFCQLQQVMDQLDIRTKLCIRDSLYRLAKSAEQRLDNSSINGCIGDDVDACKTMIARNASRCNGFMDIEADTNPIDRSVAHLLFHRPSDSSMLLPYTSTPFKTNGTTHGSVINPPVKTEKQVCQEDSSTGVGKKS >OIV92103 pep chromosome:LupAngTanjil_v1.0:LG19:7182678:7183434:-1 gene:TanjilG_27258 transcript:OIV92103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDSNPNLRITIERNPSQSRWGCSPGKYQLKFDAEETCYLLKGKVKAYPKGSSDFVEFGAKDLVTIPKGMSCTWDVSVAVDKYYKFESTTSSSSFLSSSSSSSC >OIV92152 pep chromosome:LupAngTanjil_v1.0:LG19:6118718:6123398:1 gene:TanjilG_18724 transcript:OIV92152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANDSSFLFAGIRFDRKKFATDISKFQKKDSDSFVNVTSSIELETEKLEEPVKEKPPSLKKRKRKGTSSSEEAVEGFSVFRKSTTEKKQVKKSNDDEDDESIQLKKEQNIQLERDSLFRKKHNIHVSGFNVPSPLENFDELKSRYKCKSYLLRNLAELGFKEPTPIQRQAIPVLLHGRECFACAPTGSGKTFAFVCPMLMKLKDPAKDGIRALIVCPTRELSAQTYRECKKLAKGNKFRIKLVTKQLMRNADFSKFTCDVLISTPLRLRFATRRKKIDLSRVEYLVLDESDKLFEPVLFKQIDTIIKACSNPSIIRSLFSATLPDFVEERARELMHDAVRVIVGRKNMASETIKQKLVFTGSEEGKLLAIRQIFAESLKPPVLVFVQSKERAKELYGELAFDNIRVDAVHSDLSQEERENAVDNFRAGKTWVLIATDVVARGMDFKGVNCVINYDFPDSGASYVHRIGRSGRAGRSGEAITFYTEDDIPFLRNIANLMAASGCEVPAWLMDLQKKKWKKHRPKRDAISTKAKVSVPNTRSETRFSHNTTLPCLVEPS >OIV92046 pep chromosome:LupAngTanjil_v1.0:LG19:8798248:8804231:-1 gene:TanjilG_15037 transcript:OIV92046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNSMAGFITGSHSHISHDSDEHQPPTLQPSSKECRVCGDEIGYKEDGVLFVACHVCAFPVCKPCYEYERSEGNQLCPQCNTRYKRQKGCPRVAGDEEENFDDDDFEDEFQIKNHHDDDVDREHDVNHVENGDYNQQKLHTGLAFSSAGSVAGKDLEGDRDFYSNAEWEERVEKWKVRQEKRGLLNKEDGKEDQGEDDDYLLAEARQPLWRKVPIASSLINPYRIVIIMRLVILAFFFRFRILTPAYDAYPLWLISVICEIWFALSWILDQFPKWLPITRETYLDRLSIRFEREGEPNQLSPVDVFVSSVDPLKEPPIITANTVLSILSLDYPVEKVCCYVSDDGASMLLFDSLAETAEFARRWVPFTKKYNIEPRAPEYYFSQKIDYLKDKVQPTFVKERRAMKREYEEFKVKINALVAKALKKPEEGWVMQDGTPWPGNNTRDHPGMIQVYLGNAGALDVEGKELPRLVYISREKRPGYPHHKKAGAMNALVRVSAVLTNAPFMLNLDCDHYINNSKAIREAMCFLMDPQLGKKLCYVQFPQRFDGIDRHDRYANRNTVFFDINMKGLDGIQGPVYVGTGTVFNRQALYGYDPPVSEKRPKMTCDCWPTWCCFCCGGSRKSKAKKKSGKRGIFGALYSKKKKMMGKDYVRKGSGAMFDLEEIEEGLEGYEDLEKSSLMSQKNFEKRFGQSPVFIASTLVENGGLPEGTNTQSLVKEAIHVISCGYEEKTEWGKEIGWIYGSVTEDILTGFKMHCRGWKSVYCMPKRPAFKGSAPINLSDRLHQVLRWALGSVEIFLSRHCPLWYGYGGKLKYLERLAYTNTIVYPFTSIPLIAYCTIPAVCLLTGKFIIPTLTNLASVWFMALFISIILTGVLELRWSGVTIEDWWRNEQFWVIGGVSAHLFAVFQGLLKVLAGVDTNFTVTAKAADDAEFGELYLFKWTTLLIPPTTLIILNIVGVVAGVSGAINNGSGSWGPLFGKLFFAFWVIVHLYPFLKGLMGKQNRTPTIVVLWSILLASIFSLIWVRIDPFLPKQTGPILKQCGVEC >OIV92436 pep chromosome:LupAngTanjil_v1.0:LG19:1360462:1362256:-1 gene:TanjilG_25166 transcript:OIV92436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPIDNKNSCESSFSKDEKMEAIDLLEECWFFENMLNIRPRMSRCYSDPCPSTGLISPDFLLKDSDVSSYSSTSKAPNNNAFVQPKKMHRTPSLPPFRVEQKDDKKGSKLVFQTSDHAVVQKASKVQCAQSKGHHRSDCNRRKSKLLRTPSLPPSIGREDEFQVSDSRTSKFPKQPSIATHFDILPPRQTTKSCSIPRPARNGEIESRRRFLNQKTMRRSLSDLEHEEVQGFKDLGFSFEKETLSPNLANIIPGLQDKNRDETEEDKAARKPYLSEAWLVQTCALPITPNWAPNKSKTYMKEQIKFWARAVASNVHQEC >OIV92565 pep chromosome:LupAngTanjil_v1.0:LG19:160213:163674:-1 gene:TanjilG_02328 transcript:OIV92565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLASNLGGSIQKQQVLSAVQQYEKYHVCYGGEEEERKANYTDMVNKYYDLATSFYEFGWGESFHFAPRWKGEELRESIKRHEHFLALQLGLKPGHKVLDVGCGIGGPLREISRFSSSSITGLNNNEYQITRGKQLNHITGVDKTCNFVKADFMKMPFPDNSFDAVYAIEATCHAPDAYGCYKEIYRVLKPGQCFAAYEWCMTDSFDPSNQEHQKIKAEIEIGDGLPDIRLTTKCLEALKQAGFEVIWEKDLAVDSPLPWYLPLDTSHFSLSSFRLTAVGRFFTKNMVKALEYVGLAPKGSLRVQDFLEKAAEGLVEGGKKEIFTPMYFFLARKPDSDSN >OIV92448 pep chromosome:LupAngTanjil_v1.0:LG19:1216613:1219694:-1 gene:TanjilG_02211 transcript:OIV92448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHFGNPISDVVEFNKPDFRELDLGSPVSLLRNRGPAVSTSSSSSGSFSGGRIVQNPVPKRSESAPTITNTNHSGELSGSTETSPTNARGGSGSKPGHVRSNSGTSAPLIYSGQSVNSPVLNVLPTGNICPSRRIVRTGMAANRSTRSDVLGTGTGNYGHGSIMRGGKEVSGSGNGNEIVKRGVQSLDPEELKRVGNEHYKRGNFLEALSLYDKAIALSPGSAAYRSNRAAALMGLGRLVEAVRECEEAVSLDPNYGRAHYRLATLFIRLGQVENARKHLCHPRVQSDPSEKHKLQMVEKHISKCAEGRRIGDWKSVLREVDAAIASGADSSPQLFMCRAEALLKLHQIDDAVSILSHSPKSERHTNSSSQATFFGMLSEAYSYFIRAQIEMVLGRFESAVAAAEKACQIDSRNVEAAVLLNNVRMVTRARMRGNDLFKSERFTEACSAYGEGLKLDPSNSVLYCNRAACWFKLGQWERSVEDCNQALLIQPNYTKALLRRAASNSKLERWEEAVKDYEIMRRDHPNDNDVAEALFHAQVALKKSCGVEVNNLKFGGEVEEVSSLEQFRAAIFIPGVSIVHFETASNSQCKEISQFVDTLCGRYPSINFLKVDIQEIPTIATAENVRIVPTFKIYKNGSRVKEILCPSRDMLEHSVRHYSL >OIV91855 pep chromosome:LupAngTanjil_v1.0:LG19:13592831:13597185:1 gene:TanjilG_17847 transcript:OIV91855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEKFSAALRIGDLNDYIAPSQACIVSLKGLKSNATKPDHKPEVVIANKQVKSEPVKISLKDCLACSGCVTSAETVMLEKQSLDEFLSNVNKGNAVIVSLSPQSRASLAVHFGISPLQVFKKLTSFFKSLGVKAIFDTSCSRDLTLVESCMEFMTRYRQNQSIDDVRSKSSLPMIASACPGWICYAEKQLGSFVLPNISKVKSPQQTIGTIIKHYLCQDMGLRPEEIYHVTVMPCYDKKLEAARDDFVFQLESHDEDRRSDVNMIAEVDSVLTTGEILELIQLKDVDFKSLEESPLDRLLTNINEEDYLYGVRGSSGGYAETIFRYAAKTLFGRQIDGPLNFRNIRNSDFQEVEGETVLKFALCYGFRNLQNVVRKLKIGKCDYHFLEIMACPSGCLNGGGQIKPKTGQSPKELSQSVETVYMENVMEAEPFNNPIVNSLYDKWLEQPGSEKAQRFLHTQYHPVEKSITSQLQNW >OIV92227 pep chromosome:LupAngTanjil_v1.0:LG19:4888650:4893119:-1 gene:TanjilG_31146 transcript:OIV92227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEENSTSSGYKEYMAGLLAGVSTVAIGHPFDTVKVKLQKHNTEAHGIQYRSALHCTVRILKNEGIKGLYRGATSSFVGMAFESSLLFGIYSQTKQSLQGAEQSGVPQPRVIIPSAAYGGAIISFVLCPTELVKCRMQIQGTDSLVPKSSRYSSPLDCAIKTAKTEGVTGIFRGGCTTLLRESIGNAVFFSVYEYARYHMHSYVKASKSNHSNLTDIGIGIVTGGLAGVSFWLAVLPLDVAKTLIQTNPDKHCSRNPFQVLSSVYNRAGLKGCYAGLAPTVTRAFPANAAAIVTWELAMKLLGIKHD >OIV92346 pep chromosome:LupAngTanjil_v1.0:LG19:3318912:3329309:1 gene:TanjilG_10556 transcript:OIV92346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KQVLLNGGSLVGELERVENIFSIQKIMAKEGYLSVKTIPTGGRWVLLKGNSDELLDIQKERNWSYPMFKSFCPWSQSFKVNERLMWVKCFGVPIQAWSEEFFRLIAAPLGTFVMLDDATREMNRLDVGGFLVLTDLVCSVDLSQKVRVDGVEFIVRLVESFEVHSLGCLEVGSCSSRISSSWNQVAEVHGDSDFESWQDRDSCWGENILMNEEDDEVADSLVNELSIHHKVNCNPLSSSNILAFSPVVSAPEGPPALAPIPLPILESSLSNQSCGQKVLPSSDCCEVDVSEGERLEKGDFAKTFSVSSDKVLYKEYGYNKKPSEQLDVYSFGVVLLELVSGRQAEATESNNASLDIVKWVRRKVNITNGVQQVLDPRMSHTCHQAMIGTLDIALSCTSVVPEKRPSMIEVIRGLQSLESRTCIANLHDSNEEHSIPV >OIV92211 pep chromosome:LupAngTanjil_v1.0:LG19:4593871:4599019:1 gene:TanjilG_31130 transcript:OIV92211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKFFYFFFQKQLVHEVLTKENILEPMRDIRRALLEADVSLPVVRRFVEEVTDQAVGVGVIRGVKPDQQLVKIVHDELVKMMGGEFSELVFAETGPTVILLAGLQGVGKTTVCAKLANYLKKQGKSCMLVAGDVYRPAAIDQLAILGKKVGVPVYTAGTDVKPAEIAKQGLEEAKKKNIDVVVVDTAGRLQIDKAMMDELKEVKRVLNPTETLLVVDAMTGQEAAGLVSSFNLEIGITGAILTKLDGDSRGGAALSVKEVSGKPIKLVGRGERMEDLEPFYPDRMAGRILGMGDVLSFVEKAKEVMQQEDAEELQKKITSAKFDFNDFLKQTRAVARMGSVSRVIGMIPGMGKVTPAQIREAEKNLKIMEAMIDKMTPEERETPELLAESPFRRKRVAKDSGKTEQQVSQLVAQLFQMRVRMKKLMGVMEGGSMPTLSNLQEALKTDEKAPSGTSRRKKKSESRKQFTDSTSTRPAPRGFGSKS >OIV92432 pep chromosome:LupAngTanjil_v1.0:LG19:1323476:1325537:-1 gene:TanjilG_25162 transcript:OIV92432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCNLIPSPTTTATTTTTTTLPNTSPPQQQQHNLPSWVDEFLDFSSSRRGAHRRSASDSIAFLETSFDRLDEDQLISMFSDDFAAVAVQQPQTSSTSNPSSPCSDENSNNDEKPMGMALDVVNHEVKPKNETVEEESSCKNDGAAQPPSATTTGCTETVCDPKRVKRILANRQSAQRSRVRKLQYISELERSVTSLQTEVSALSPRVAFLDHQRLILNVDNSALKQRIAALAQDKIFKDAHQEALKKEIERLRQIYHHQQNLQKMGNSINTPQSPQASQLQPHMQPHHSLHHHQQPLACMDKEQLLS >OIV91670 pep chromosome:LupAngTanjil_v1.0:LG19:16039178:16040980:1 gene:TanjilG_26523 transcript:OIV91670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLFDWFYGILASLGLYQKEAKILFLGLDNAGKTTLLHMLKDERLVQHQPTQYPTSEELSIGKIKFKAFDLGGHQIARRVWKDYYAQVDAVVYLVDSFDKDRFAESKKELYALLADESLAKVPFLILGNKIDIPYAASEDELRYGLGLTNFTTGKGKVNLADSNLRPLEVFMCSIVRKMGYGDGFKWVSQYIK >OIV91643 pep chromosome:LupAngTanjil_v1.0:LG19:17108241:17110830:-1 gene:TanjilG_09055 transcript:OIV91643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDERPETELISIPATPRVSTPEILTPSGQRSPRPASKEAKSSNAWTPTSLISPRFLSPIGTPMKRVLINMKGYLEDVGHLTKLNPQDAWLPITESRNGNAHYAAFHNLNAGVGFQALVLPVAFAYLGWSWGILSLTIAYVWQLYTLWILVQLHEAVPGKRYNRYVELAQAAFGERLGVWLALFPTVYLSAGTATALILIGGETMKLFFQIVCGPMCTSNPLTTVEWYLVFTSLSIVLSQLPNLNSIAGLSLIGAVTAITYSTMVWVLSVSQQRPPSISYEPLSLPSSSSSAFLVMNALGIVAFSFRGHNLALEIQATMPSTFKHPARVPMWRGAKVAYFFIAMCLFPIAIGGFWAYGNLMPSGGILGALYAFHSHDISRGILALTFLLVVFNCLSSFQIYSMPAFDSFEAGYTSRTNRPCSIWVRSGFRVFYGFISFFIGVALPFLSSLAGLLGGLTLPVTFAYPCFMWVLIKQPTKYSFNWYFNWILGWLGVGFSLAFSIGGIWSMVNDGLKLKFFNSN >OIV92301 pep chromosome:LupAngTanjil_v1.0:LG19:2862586:2865262:-1 gene:TanjilG_10511 transcript:OIV92301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQWRKQQDQSHHQMGYWRSSSYNKKPPLDNWHSAVPSWEKKFCATVGAVPWRKLLESQKYMYLHENVVNWDDSAGKEAFDNAKSRFWADINGLHCNISLPDPDVYIDDVDWNSSVEPELFLDLERDAIDAEVRDQEVVILDSSLLMDQSFSCTGWGDIEEATPKPYDMDQPFTPTGWGDAEESTPKPYDMNQSITPTGWGDAEEAAQNPSDLNQPFTPTGWGDAEEEKPKPSDPVSAAEGWERNLRENNEVGSWGQYRYDSCGWNKRSHYGGNRNSGTWDGYNRKRENMTWSRTPAYHGNEYQMNRGGRRNNRGRGRGNFVHHDSYVEKVPAATPW >OIV92554 pep chromosome:LupAngTanjil_v1.0:LG19:235849:239225:1 gene:TanjilG_02317 transcript:OIV92554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNRFCKFYARGACLKGEQCNFFHESKDTLTDICSFYQKGFCAYGSRCRYKHVKASEASSSANGHQALASDSDVAHTTEGTSSWIPKTMKLSSSDECARNSQQKQMGDVGESSTRGDIPSEHFFCQFSAATCPIGDKCSRVHGSKCLYCRKHCLHPTDRKKKENHLRTCEKKEKYLQALKNSQEIECNVCLDRVLSKPKPAECKFGVLPECNHAYCLSCIRNWRNSAPTSGFDMSNNNANTVRTCPVCRKLSYYVIPSDIWYSTNEEKQEIIDNYKANCRLIDCKHFNFGNGNCPFGNICFYKHTVKPGSYTWIHNRPPPRRRPNNYDMYDMLDMLSEVDLSSGELYSIMRGSGLYNDMDPFEMMAISDAMASGDGPCLGPFDSDYDDYYDYDYDDDDDDDEVDMDFFQMTSLSEALASGVDDFGPEDFENDEMRPMEAALLSMMMHYNIEEEGEEEYSDEYY >OIV92542 pep chromosome:LupAngTanjil_v1.0:LG19:311527:312138:-1 gene:TanjilG_02305 transcript:OIV92542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIDLNEEPLNDIEERIRRLEGVIFRARQRQRWRQSHTPNQITNFAGELITTANVQDDVRVRREEVGIDAEAGTADCGKIGKRKATYLIAKALKTETYTNKAQGCGGNFFDCNICLGMARDPVLTCCGHLFCWPCFSQLSYAYLEAKECPVCKGEVTETGVTPIYGNGGTNDSDRLELEATGFRVPSRPRARRVESIRQYLRN >OIV92386 pep chromosome:LupAngTanjil_v1.0:LG19:2065850:2067198:-1 gene:TanjilG_09984 transcript:OIV92386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTRREREALHRYFEFESDFMPKKDFYTNHANIIIRKFAVSTIATNWRNTDMDAFIPYLAMNYFDRFVSRNPFLEDRSIAFTRDTIRKMELHILDSLGWRMRPITPFSFLDYFYPTFGRIGGFKRRSINEIIVQALGDDDFIEYKPSQIAQSSFLAATNIAYPLKFPSTHKPEELTNCYQAMIELCQNKDIKIEKRRQTIVTEIEEEEDDDETNKVAPKRLMNFDLKWPKNENHTTCLTTRLREVVLPWEHRCMIG >OIV92182 pep chromosome:LupAngTanjil_v1.0:LG19:5379209:5379904:1 gene:TanjilG_30890 transcript:OIV92182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSSLTTFSTLFTSPTTTATSSLSLFRPHSLSSKFSLTPLSLKLNHHRSNLKPLSFSSSPPSSTKISATIAVGDKLPDSTFSYLDQSGEVQTTTVSDLTKGKKAIIFAVPGAFTPTCSQKHVPGFVEKSSELKAKGVDTIACISVNDAFVISAWKKDLKVNDEVLLLSDGNGDFTRAIGVELDLSDKPVGLGVRSRRYALLAEDGVVKFFNLEEGGAFTNSGAEDLLKLL >OIV92403 pep chromosome:LupAngTanjil_v1.0:LG19:1495401:1499547:-1 gene:TanjilG_23003 transcript:OIV92403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALEVAGFEAVQGPVENDAEIGQSVLHEKDNSKLEQALGAGEPIKFGSHGDESAKGDVKDVLEATVPKDAVEEWPAPKQIHSFYFVRCRPYDDPNIKSKIDQIDKEMNKKSQARFRVTEALKAKRSERAELISQIKSLNGDRRQYQSTVDEKLREIGPLQQALGKLRTANNAGRGGLCSSEEELNDVIYSLQYRIQHESIPLSEEKQIIREIKQLEGTREKVIANAAVRAKLQESIGQKDAIKDQVKQMGGDLSGVIKERQAISAKIKKIDEELKTIDKEIQSLQDELEAITKKKDKDFEGIQQLRKQRGDANAYFYQSRQLLNKAKELAAKKDINALEEFAQTEVENFMSLWNSDKTFRNDYEKRILTSLDVRQLSRDGRIRNPNEKPLVEVPKPAEADALSKTIPKHPKEEPKPSPQETLPTQVAEKETKNKNKDSKSKPDNKDLAEAGEFEYENPHKETPIKKPEIDAKKLKEIKREEEIAKQKLALERKKKLAEKAAIKAAQRAQKEAEKKLKDREKKAKKKTSGTTAEEPEDAGVEATEPEKVDDNVETEVEVAAPVKEKVPKAGIRSRSRAVGPDPIKKAIVKRKKSNNYLVWAAAAALLVLVLLVLGYTYLF >OIV91866 pep chromosome:LupAngTanjil_v1.0:LG19:13736629:13737916:1 gene:TanjilG_17858 transcript:OIV91866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALEPYLQLQELGSKLDTLPSSKDALITLLKIYSVFEAGKFTLFLKLADLLLWAAIVAATVGFTARFVCGRDDDMKVQLLDALKNPKLLHNPTGSHTT >OIV92160 pep chromosome:LupAngTanjil_v1.0:LG19:5910044:5915551:-1 gene:TanjilG_18732 transcript:OIV92160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQAEGTSTTPITPDTDTPILKGVESTEITEELPSRQQQQQQQQQQHERRQNLILEIPTQKLDEAKENIFRINTPPKGEIFSPLSRSNDFPGPSTKNKSTVNTALIPKLGFKFHMSSDIEKASTLALEGSPPPKNPTISRTLSLTKLIGTASGNKLSSLPVTPIAQSNPESAHGGNIAYSATSVKKGQQLPIHRSRSVPVLTKLGNTSVGSMFRVVPTTPRFSGIIATTSMKSPPDDTVENSDGEDIPEEEAVCRICLIELGEGGDTLKMECSCKGELALAHQECAVKWFNVKGNRICDVCKKEVQNLPVTLLRVPSAQGLNFLGSRQQYRQGVCQNVPVLVIINMLAYFCFLEQLLISRMGSSATAISIPFSCIVGLLASMTSTTIVRRSHIWVYATSQFAMVVLAGRLFYTLLPKQAVVLCILLSTFTGFGVAMCGATILSEFLKWRRRVAQLNQQHGSQEVLPSDQTSVATIHQSQMDSQQHQESNMGETLHRAS >OIV92157 pep chromosome:LupAngTanjil_v1.0:LG19:5965163:5967809:1 gene:TanjilG_18729 transcript:OIV92157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKDTNFEIQLPPGFRFHPSDEELIVHYLRNKVTSSPLPASFIAEIDLYKYNPWELPGKALFGKEEWYFFTTRDKKYPNGVRPNRAAGSGYWKATGTDKPILTSCDMKNIGVKKTLFFYKGYHPKGSKTEWIMDEYRLHDSMISNSKQRGSMRLDDCVLCRVKKKISSLKSTWEDSNELGYEQTCHFQQMNDNYSPEPVLKNFVHNEYPMLPYILASNTILPNSIGMASSTSFHCNDDIKAYGSVHEDNLNLIGAQFLASASESFFISPFKRKAIEENQFDLYAISNDPSNKKLNQVHDEKQKLQ >OIV92113 pep chromosome:LupAngTanjil_v1.0:LG19:6582222:6586888:1 gene:TanjilG_26971 transcript:OIV92113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSTNGMKGSDLGNEATHVSVTDAVDLRFQEIVPLNAGNVLGPEDSGPLSKWLGLIREALNTTTYNNSCEPDKHGSPKPKPSFSELLSLEVELENDENYPKSPRDEGGYCLAGSKQMVGIFLCVWVRADIYKHVNNMKVSCVGRGIMGYLGNKGSISISMTLYNTTFCFVCTHLASGEKDGDEVRRNLDVSEILKKTKFPHSFKSPCHPQTILEHDNVVWLGDLNYRLVAGYDDTHELLKNNNWQALLEKDQLRIEQRGGRVFKGWNEGGICFAPTYKYLANSDDYVAQTCKEKRRTPAWCDRILWKGDGLKQMCYVRGESKFSDHRPVYSLFSVQLNLTNKYLTPSDATTVIPRSCPSKALTNATLSSNCASKVQAEEQLMLLTRVQSCIDPAPRIWIDTGIGPICVQHP >OIV91768 pep chromosome:LupAngTanjil_v1.0:LG19:16972599:16974034:-1 gene:TanjilG_26621 transcript:OIV91768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSDLHSAEWLPIIDTDDENENMEVDSDDVGSDEHSGGLSPPNEDGGNDARDVEGGESDEEGEQMHNDPYEEMPLHRRDHNLIDLTTSRSGMVHSSDSFNDSNRTESQSRRGKKKQNITLEDASSXSQSSQGYNPMHLVYYPHGYYIHPQYESGQVSSYQSSLMNYQEPYYQQSSHGFFDYVFGQGPTQDGSQYDNEDYAHPRHSSIW >OIV92143 pep chromosome:LupAngTanjil_v1.0:LG19:6171252:6178348:-1 gene:TanjilG_18715 transcript:OIV92143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRYFVILGSSIVTPPNPSSSSSSSSSSSSTPLSHNRHFTKPHYSSLPTLSPSPSNTISRNLQVPLNQGPKPQQQFEFVFDDVSQVQILVRNVVAGIRSRKVRSVIDTLNKVLQEQEKKFGDGDGSLSLSTHFDASSMDIIGKECVSMVKCGRIHEAVEFMEVLSRFQLSVRKLVHPSDIIKQCVLSRNPNLAVRYACLLPHAHILFCNIICEFGKGRDLVSALKAYDASKKHLSSPNMYIYRAIIDACGLCGDCMKSRYIYEDLLNQKITPNIYVFNSLMNVNVHDLSYTLKVHENMQNLGLKPDMTSYNILLKACCVAGRVDLAQDMYRELKHLESVGRLKLDVFTYSTIIKVFADAKMWQTALKIKQDMLSAGVSLNTVAWSSLINACAHAGLVEQSIQLFEEMLLAGCEPNTQCFNIILHACVEACQYDRAFRFFHSWKGNKMLESSGEGYKSNFEQGDMHNATNLPNGISNSHILSFAKRFPFTPTTTTYNILLKACGTDYYHAKAIINEMKTVGLSPNHISWSILIDICAESENVEGALEILKTMSYDGIRPDVVTYTTAIKVCVESKDFRQAISLYEEMKRFAIHPNLVTYNTLLKARSKYGSLLEVQQCLAIYQDMRKAGYKPNDFYLEGLIEEWCEGVIQDNTWDEGEFSSSNKSELERPESLLLEKIAVHLLNRVADILTIDVQELTKVEARLVILAVLRMIKENYGLGHSVKNDDILIIIGATKVDETPAKQILEVEEAIVKLLQNELGLEVLAARTRFVPSGTAKLENSKLSNLNIEALPRENVLPTRIGFHKRSPAVLHRLKVTKKSLYHWLHRKVTVEYSF >OIV92420 pep chromosome:LupAngTanjil_v1.0:LG19:1603330:1608078:-1 gene:TanjilG_23020 transcript:OIV92420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKTTLLDQENDDFFYESQDIFLSSCSTSNSDNEDETIHNYNYAPLTLNNYDIWTSQPSSISERRNRLLRHLGLAGDTSFSRGHAIHGGTNSSNADISRSVSSVQLSRCQKPPPVTEVSATATSSSAAVMLRSKSDSAADRGNCNNKPSYCSSGSIHSVDNCGVDSGSGSPSKPPSGRNCRKVDQIGSELRDLSLDSGNGLENGDVDEKKVEEIEVCTIRDLDNGKEFVLKEVREDGRNKVKEVGTGRQLTMEEFEMTVGHSPIVKELMRRQNVEEGKDGGYNKDNVDSIANGGGSGGDSFKSKKKGSWLRSLKSIRSLRSVASSMKGHTRERRSSDERDTSSEKGGRRSSSATDDSQDAASFHGLERVRVKQYGKSCKDLTAVYKTQEIQAHNGSIWSIKFSLDGKYLASAGEDCVIHVWHVVESARKLESLMDKPEDANVNILFVVNGSPDTTTLLSPMKDNNPEKKRKGRSPINRKSLSSDQLVVSDAVFALTEKPICSFQGHLADVLDLSWSKSQHLLSSSMDKTVRLWHMSSESCLKIFSHSDYVTCIQFNPVDDRYFISGSLDAKVRIWSIPDRQVIDWIDLHEMVTAACYTPDGQGALVGSYKGSCHLYNASENKLEQKSQINLQNKKKKSHQKKITGFQFAPGSSSEVLITSADSRIRVVDGVDLVHKFKGFRNATSPISASLTANGKYIVSASEDSHVYIWKNEADCRPNRSKGVTITHSYEHFHCKDVSVAIPWPGTGDVWDMHATLPGEQTELDHNADETSSENNPPTPVEENIDTEGSRSASGYNSPHHGTVASATNSYFFDRISATWPEEKLLAERNRSSPGVSVDFSNGASQNMSALGMVIVTAGLRGEITTFQNFGLPLRI >OIV91585 pep chromosome:LupAngTanjil_v1.0:LG19:17569947:17573426:-1 gene:TanjilG_08997 transcript:OIV91585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEKRYVVLMCGEDTDYVRKKHGGYFGVFVRMLAEEGERWEVYTVARGEFPEEDKLSDYEGFVITGSCNDAYGNDPWIHQLINLIIKLNSINKKLLGICFGHQILGRALGGKVSRSPSGWDIGVRTITFSSSSLLPFSSLKLPSNLSIIECHRDEIRELPSKAEVIAWSEKTGIEMFRYGDHIMGIQGHPEYTKDILLHLIDRLTQRNFIIESFAVETKLKSRMWEPDKEAWQRLCTSFLKGRL >OIV91997 pep chromosome:LupAngTanjil_v1.0:LG19:11318719:11322602:1 gene:TanjilG_07736 transcript:OIV91997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGREVTGIQVLDKKPNGGIAASNGNFSDRVRVSPKIAAMVQAMDNEIKESSEAIHEKKDVLSAKRSNSNADLIEEKSEVQKMDDNEKLSPPTEIPILVEKESTSPSAPLPSDDATEKHVTYAQTVDAEAVAIGLNLSPKANNIHSPNLSKTSLPNSPFTPKKPLQHDDKKHHDDEDKWSLASFVASARTARSKVTVGSAPTFRCSERAEKRREFYMKLEEKQRALEEEKNQYETRKKEEEQAALRQLRKKLVIKAKPVPSFYYEGPPPKTELKKLPLTRPKSPKLSQRMSCGDAVTVSSPDEICTRARNNVGSNLKHGSVTPIKKTSGRNSSSACKTKERPKLDKEPKTASPKIAEQTSADISVQS >OIV91904 pep chromosome:LupAngTanjil_v1.0:LG19:14095495:14099333:1 gene:TanjilG_17896 transcript:OIV91904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIVKEFKEQCETPLRKLREVADAMKVEMHAGLDSEGASRMLKMLITYVQNLPTGDEKGLFYALDLGGTNIRALRVHLGGKEEATSLAKFVSSEPEDFHPPPGRQRELGFTFSFPVRQTSIVSGTLIKWTKSFNIEGLVGEDVVRELTKSMEKIGLDMRVAALVNDTVGTLARARFSNQDVIVGVIFGTGTNAAYVEHAHAIPKWHGLVPKSGEMVINTEWGDFCSIHLPLTEYDQALDAETINPGEQIFEKVISGMYLGDIVRRALLKMAEEADFFGDTVPPKLAIPFILKAYDMAAMNHDSSSDLKVVGNRLRDIFEIHNTSLEVRKIVVELCDIVVIRAARLAAAGIFGLLQKTGRDKVKVGEQQRSVIALDGGLFEQYPKFRTCLESTLKELLGVEAAETIVIEHSQDGSGIGAALLAASHSQYLGMKDH >OIV92314 pep chromosome:LupAngTanjil_v1.0:LG19:2961714:2964077:-1 gene:TanjilG_10524 transcript:OIV92314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGVSDNKGLLEYARKCTPPPFLLKTYMLVEDPATDDVVSWNAEGTAFVVWQPAEFARDLLPTLFKHSNFSSFVRQLNTYGFRKVATSRWEFCNEKFKKGERELLCEIRRRKAWRIKQQQSTTPNQVTTPPQDSDEDQRSASTSSSSGYTTLIDENKRLKKENGVLSSELTSMRNKCMELLDLVAKYSNNNSNHANKEEKKNKKEDERPMLFGVRLDVVQGEKRKRSSEISEKSGSILLSQS >OIV91600 pep chromosome:LupAngTanjil_v1.0:LG19:17446217:17446690:-1 gene:TanjilG_09012 transcript:OIV91600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKFHLISTNFSSISSTTHLFNHKPFTRISCTNKNSISDSALSSDLSARVTRMNTHLVQAEDAMRKSRELLFKELCNYLGVKEDEGRHKWKNFDEEEKWVCVNGFIQEWGQHFQPLSVKSTKEMVEEYLRQGKLNPPPKWVPSSVPVPGLDGIIGF >OIV91596 pep chromosome:LupAngTanjil_v1.0:LG19:17492426:17494723:1 gene:TanjilG_09008 transcript:OIV91596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANRAPVATRNSALIAMIADEDTVVGFLLAGVGNVDLRRKTNYLIVDSKTTIKQIEDAFKEFTAREDVAIVLISQYVANMIRFLVDSYNKPIPAILEIPSKDHPYDAAHDSVLSRVKYLFSSESVASERR >OIV92293 pep chromosome:LupAngTanjil_v1.0:LG19:2766584:2767579:1 gene:TanjilG_10503 transcript:OIV92293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIWPLEFEPISHNDNDNYFTSYYYSFPHQFSSLESQVEVQTSTPPSVPNMVKKLNHNASERDRRKRINILYSSLSSVLPLADQMKKMSIPGTISQVLKYIPKLQKQVEGLTKKKEELLLRISQQVDALMNKESQRKIAPHSYAFVVSTSRFNDNEASIQISTCEEANKISFSEILLCLENIGLVLLNASSSGTFGERIFYNLHLQVEKTYRLESQILSEMLLSMLEKKI >OIV91562 pep chromosome:LupAngTanjil_v1.0:LG19:17713108:17715084:-1 gene:TanjilG_08974 transcript:OIV91562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPSSLRRAIGAVKDQTSIGLAKVGSSTSLADLDVAIVKATRHDEYPSEEKYIREILSLTCYSRAFISACVNTLSRRLNKTKSWTVALKTLVLIQRLLAEGDPAYEQEIFFSTRRGTRLLNMSDFRDNLKAGSWDFSTFVRTYALYLDEKLEYRMQSRRGKRSMFGFDEDEEERERERETEREIEKEREGEKEKERDNDKEIVVRSTPVRDMKVEQIFSKLQHLQLLLERFLACRPTGGAKNHRIVIVALYPFVKESFQIYYNITEILCILIDRFPDMDVADCAKVYDIFCRVGKQYDELESFYGWSKSIGIARSSEYPDIDKITQKKLEVMEEFIKDKSALSQNMKANAEEENIEDKESKEPEPEEDMNAIKALPPPEGFNEEPAIEVKEEEPKEEVPKEEKVEQTVGDLLNLGDEMMSSEEHANKLALALFDGAATTSSATQALPWHAFDEEADWETALVQTASNLTNQKPALGGGFDTLLLDGMYKQAEMNAAMQGPGYGVSGSASSVALGSAGRPAMLALPAPQTSGTSGPSSASVDPFAASLAVAPPSYVQMSEMEKKQRLLVEEQIMWQQYAKDGMRGQPPTNVGGYPQNYGNYYR >OIV92388 pep chromosome:LupAngTanjil_v1.0:LG19:2053751:2055753:1 gene:TanjilG_09986 transcript:OIV92388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSLLFKALSNQNSNHDNDQNLKMEPLYDEPKCHEVVRNSSRGRKGNNNNGKGPKKQPQRGLGVEKLERLRMQESLMKMNETCSRVVPLIPTFTSVQDHFNSVPVRYGAPNHVQGVFQCPPQQVLNGNNRSKGVDGTSSGFSGVGFVVPNQVNRVSSYGYGVPLLSPLVGTPLVTSKELSSMPNVHFEPQSFDVYLNKKSRFNEINVKGLHARRDMTFEICPNYNSPDFLGLVPQSAYATNNIDESVEIIAIHRKGNSRVLMEYEFLKGKDDIGTSSKELDLATNIDVAEASPIIAVPYGDPASTYIDLSLKLSH >OIV92571 pep chromosome:LupAngTanjil_v1.0:LG19:125453:129526:-1 gene:TanjilG_02334 transcript:OIV92571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFFLSSPRDNRNGRAALFDGIEEGGIRASSLYSSAHEIDEHDNEQAMGGLQDRVILLKRLSGDIHEEVDSHNRMLDHMGNDMDSSRGVLSGTMDKFKMVFETKSSRRMFTLIASFVVIFLIIYYLTRFVLSSHDFISSLRRRNHLISIFPHDPSITNPFLFDPLNLAWTPLPLSPCDPSLYGLSNFSVLHMGPHLYLIGGSLFDTRSFPINRPLSTSNAFRFTLIHFTWQPISPMISPRGSFACASTPQGKQGGIIVAGGGSRHTIFAAAGTRMTSVERYDVEMDKWEPLQPLPRFRAGCVGFMNGQKGKENEFWVVGGYGASRTISGVFPVDEYYRDGVVMEFNNGNNGNNGVWREVGDMWGHGQRVRSGKIVVAHDHDHHNHNQLFMLHKADIFRYDMPSNRWVYESRVPRKAPDSSPFGFVVVDRELYVLAHHCVDLTETRRSKQHKRAGTMYIQIYNPKKKTWRSLVTKSPFNYPIDISSAVLSSICL >OIV92147 pep chromosome:LupAngTanjil_v1.0:LG19:6157352:6158888:-1 gene:TanjilG_18719 transcript:OIV92147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSEIVNQGKLVSDEIIISLLSVRLAAGEAKREPGFILDGFPRTIKQAEILEGVTDIDLVVNLKPKEEVLLEKCLGRRICSQCGGNFNVASINMKGENGCPGTVMPPFLPPAHCMSKLIIRSDDTEAVVKERLRIYNEMSQPVEEFYRSRGKLLEFDLPGGIPETWPKLLHALNLDDYEEKQSAAA >OIV92441 pep chromosome:LupAngTanjil_v1.0:LG19:1397862:1400505:1 gene:TanjilG_25171 transcript:OIV92441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSYYNHNHFDNNSDSLLPPGFRFHPTDEELITYYLVKKVLNNTFNGRAIADVDLNKCEPWELPEKAKMGEKEWYFFSLRDRKYPTGLRTNRATKAGYWKATGKDREIYSSKTHCLVGMKKTLVFYGGRAPKGEKSNWIMHEYRLYGKLAYHYLSHTSKPPFFFSPAMQPVNGGSFIAPVEQKVADGGSNIALGPSEFDCMWGY >OIV92104 pep chromosome:LupAngTanjil_v1.0:LG19:7150778:7161289:1 gene:TanjilG_27259 transcript:OIV92104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVRVEAESLRHLSAKYCPLLPPPRSTIAAAFSPDGKVLASTHGDHTVKIIDCETGSCLKVLVGHKRTPWVVRFHPLHPQILASGSLDQEVRLWDANTSECIISHHFYRPIASIAFHAKGEIIAVASGHKLYIWHYNKKGEASTPVFVLKARRSLRAVHFHPHAAPYLLTAEVNDLDSSDSSMTEATSIGYLQYPPPAVFVTNIHPREHSSLSSELPYVSLPFVIMPSYTVDESRESQHVSHDALGSGSMQIESSATVQFQADTNTAEQYGTTVSPMDTFSEVPTSSQNGTEYPAHTAFSNGVGIGISNPTMNGMETDETEPAEGTQHGNSTNAHSLNGMLNGVSRQTTNRDVPSEIGQFRQFYPSRDPSGWELPFLQGWLMGQSQVGVPSMLPPVDANRDNVTQQVGLANPNVDVAMPGSISIPGSSVRSGLRNHYSQSRILVSGSGNLAASVNNSHDGSDIQTYISHIQSEHATSMAAAAAAELPCTVKLRVWSHDIKNPCASLNADKCRLTIPHAVLCSEMGAHFSPCGRLLAACVACMLPHTEGDPRLQTPVHREPGIATSPTRHPISAHQVMYELRIYSLEEATFGSVLASRAIRAAHCLTSIQFSPTSEHILLAYGRRHGSLLKSIVIDGEATLPIYTVLEVYRVSDMELVRVLPSAEDEVNVACFHPFAGGGLVYGTKEGKLRVLQYDGAQAVSGTGPSYFPEDSIIGISQ >OIV92094 pep chromosome:LupAngTanjil_v1.0:LG19:7349040:7351807:1 gene:TanjilG_27249 transcript:OIV92094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGFAARLTESELKYLKKLPDVISIRPDRQVQIQTTYSYKFLGLNPARESDWYQSGFGRGTIIGVLDTGVWPESPSFNDHGMPPIPKKWKGICQSGQAFNSSNCNRKLIGARYFTKGHLAVSPSKIPEYLSPRDSSGHGTHTSSTAGGTPVPRASVLGYAAGVARGMAPSAHIAVYKVCWFNGCYNSDIMAAMDMAIRDGVDILSLSLGGFPVPLFDDSIAIGSFRAMEHGISVICAAGNNGPTAMSVANEAPWINTVGASTLDRKFPAIVHMGNGKILYGESMYPVNKLRSIGKELELVYLNDGDTESQFCLRGSLPRDKVKGKMVVCDRGVNGRAEKGQVVKDAGAAAMILANTEINLEEDSVDVHFLPATLVGFDESVTLKAYINSTKRPLARIEFGGTVIGKSRAPEVAIFSSRGPSFTNPSILKPDVIAPGVNIIAAWPQNLGPTGLPEDTRRVNFSVMSGTSMSCPHVSGIAALIHSAHPRWTPAAIKSAIMTTAEVTDHTGRPILDEDKPATAFALGAGHVNPKRALNPGLIYDIRPNDYINHLCSIGYKGSEIFSITHRNVSCHEIMKMNRGFSLNYPSMSVMFKHGMRRKMFRRKVTNVGSPNSIYSVEVMEPEGVKVIVKPKRLVFKQMNQSLSYKVWFISRKEVKKGADIMTFAEGSLTWIHSQNGSCRVRSPISVTWKSK >OIV91898 pep chromosome:LupAngTanjil_v1.0:LG19:14023132:14024240:1 gene:TanjilG_17890 transcript:OIV91898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPNNNQQQHILNSGICNAQLDSTAMVVPRKMGQPLMPFYQSNVCDPNKADSDITCHSIPLQRKRFRDFTTELTSFSAQKRNKVSPQSSFLDQDVLYQIQNQQQIEIDRVLAHHAEKVRVELHEQKMRQSRMLLATIQEAMAKKLREKDEEIQRIVKLNMALQERVNSLCVENQIWRELAQTNETTANHLRSNLEQVLAHVGEDRHVAAAEVVVDDAQSSCGSNHEVEAGDDTAASAAVGGGRNMCKNCGVRESIVLLLPCRHLCLCTNCGSTIHNCPVCNCGMEASVHVNLSN >OIV91623 pep chromosome:LupAngTanjil_v1.0:LG19:17254391:17254741:-1 gene:TanjilG_09035 transcript:OIV91623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKKNVNTIVFEDYFPSMLEKMGSDGFMKELSNGYKLLMDKEKKVITFESLKRNSTLLGLEGMSDDDIICMLREGDLNGDGVLDEMEFCTLMFRLSPSFMNNSKQLLEDAIFSAI >OIV92010 pep chromosome:LupAngTanjil_v1.0:LG19:10914964:10924668:-1 gene:TanjilG_20940 transcript:OIV92010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRFVYINDGESSHDLYCDNRVSNRKYTVLNFLPKNLWEQFSRFMNQYFLLIACLQLWPLITPVNPASTWGPLIFIFAVSASKEAWDDYNRYLSDKKANEKEVWVVRKGVKQHVEAQDILVGNLVWLRENDEVPCDLVLIGTSDSQGVCYIEGVIECPSPDKDIRRFDANMRLFPPFIDNDICPLTIKNTVLQSCYLRNTEWACNETKLGMSRGIAEPKLTAMDAMIDKLTGAIFVFQIVVVMVLGIAGNVWKETEAKKQWYVLYPDEGPWYELLVIPLRFELLCSIMIPISIKVSLDLVKSLYANFIDWDHQMIDLETNIPSHATNTAISEDLGQVEYILTDKTGTLTENKMIFRRCCINGIFYGNENGDALKDAELLNAVSSGLPDVVRFLTVMAICNTVIPTRSKTGDILYKAQSQDEDALVQAAAWLHMVFFNKNGNILEVKFNSKILQYEVLETLEFTSDRKRMSVVLKDCQNGKILLLSKGADEAILPYACAGKQTRNFVEAVEQYAHLGLRTLCLAWRELNEDEYQEWSLMFKEASSTLVDREWRVAEVCQRVEHNLEVLGVTAIEDRLQDGVPETIETLRKAGINFWMLTGDKQNTAIQIALSCNFISPEPKGQLLSIDGKTEDEVYRSLERVLLTMRVTVSEPKNVAFVIDGWALEIALNHYCKAFTELAILSRTAICCRVTPSQKAQLVQILKSCDYRTLAIGDGGNDVRMIQQADIGVGISGREGLQAARAADYSIGKFRFLKRLILVHGRYSYNRTAFLSQYSFYKSLLICFIQIL >OIV91814 pep chromosome:LupAngTanjil_v1.0:LG19:14244423:14247704:-1 gene:TanjilG_14393 transcript:OIV91814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVICRFRSLLSLSKTNSFFLSSPSTSFSIRTFSSSHIPQHLQNVGFVGLGNMGSRMAINLVKAGYKLTVYDINSDVLKKFSQMGVSTKKTPYEVSEASDVIITMLPSSAHVIDVYTGPNGLLHGGKLLRPWLFIDSSTIDPQTSTNLSATISKYTLKEKKGDQETPAKLEAPVSGSVIAAEAGTLTFMVGGSEEAFVAAKSIFFSMGKSAIYCGGAGSGSAAKICNNLAMAVSMLGVSEALALGQSLGVSATTLTKIFNCSSARCWSSDAYNPVPGVMEGVPSSRDYNGGFSSKLMVKDLNLAVESAKHAECKYPLTSQAQKIYSELCNGGHEARDFSCAFRHYYSGMDENQDH >OIV92526 pep chromosome:LupAngTanjil_v1.0:LG19:455112:472294:-1 gene:TanjilG_02289 transcript:OIV92526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQPPFPPSKILCAGCQSVLDAPPPDVVDFTCPLCLTPQRILPLGSNARKSHGVGATANTKVPCTNCSTVFNVPIGLAKFTCPKCALEITVNVVEEVNELVVEVERDEDEGGVAGETFTDYRPAKVSFGSPHPDSVVETSSLSAVQPPEPTYDPITKVDLEISKALSCLQIETLVYACQRHLQHLPDGSRAGFFIGDGAGVGKGRTIAGLIWENWHHGRRKALWISVGSDLKFDARRDLDDIGASCVNVHALNKLPYSKLDSKSVGVKEGVVFLTYSSLIASSDKGSSRLQQLGRWCGPQFDGLIIFDECHKAKNLVPECGKQPTRTGEAVLHIQAQLPEARVVYCSATGASESRNMGYMVRLGLWGAGTSFNNFSEFLGLHDLEGALERGGVGALELVAMDMKARGMYLCRTLSYSGAEFEVIEAPLEDGMMDMYEKAAEFWVELHTKLLSAINNPPVKLKCRQLWALYWASHQRFFRHMCMSAKVPAAVRLVKQALVEDKCAVIGLQSTGEARTEEAVAKYGSELDDFLSGPRELLLKFVEEYYPLPEKPELLPVEDGVKELQRKRHSTSPDASARGRVRKVAKSEPLSDLESEESEIASDLESAESDYEPEGEAASAIESSDSDYDELQMCQICSTEEEMENLLQCSSCGKLVHQACLMPPVGHLVPELWSCHMCMEKEKPDDLTARQAYLTEMQKGYDSALECKAKILEAIHSLNLPNNPLDDIIDQLGGPDKVAEITGRKGMLVRDPSGKGVNYRARNTKDVTKEMVNMHEKQLFMDAKKLVAIISEAGSAGVSLQADRRAINRKRRVHITLELPWSADRAIQQFGRTHRSNQASAPQYRLLFTDLGGERRFASVIAKRLESLGALTQGDRRAGPSLSAYNYDTTYGKRALMIMYRGIMEQDSLPVVPPGCLPDKPDMIHDFITQAKSALVCVGIIGDPIHDSGRICGHITDSDMQDVGRFLNRILGLAPGIQNRLFELFVSILDRLIQNARIEGNLDTGIVDMNANVIELKGSPKIVHVDQVTGASTVLFTFIFDRGTTWELANTILNEKQKDANDGFYESRREWMGRRHFILALESSASGFYKIIRPAVGESLREMHLAELKSKYRKLSSSKNAQIGWEDEYEVSCKQCMHGPKCKLRNFCTVGRRLQEVNVLGGLILPLWGNIESAFSKQARLSHRRLRVVRIETTSDNQHIVGLLVPNAAVDTVLKGFSLYLSVLESTLTQV >OIV91519 pep chromosome:LupAngTanjil_v1.0:LG19:18077778:18078440:1 gene:TanjilG_08931 transcript:OIV91519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVRQRSVASTSTSSTPPSQQQQQQVEPSLSLSQRALSQTLTSTANLANLLPTGTLLAFQLLTPVFTNNGSCDSVTHILTIILFSILSFSCFIACFTDTVNTSDGKVYHGVATFNGLWLFDYYPELTASELPDLRKYKVRLIDWVHAVLSVLVFCVVALKDKNVLSCFYPKPEHETEEVLDIVPLGVGTICTLLFLIFPTTRHGIGFPITPPNPNPKAN >OIV91891 pep chromosome:LupAngTanjil_v1.0:LG19:13956963:13960783:-1 gene:TanjilG_17883 transcript:OIV91891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMYGRDPWGGALEINAATDSATDDDRSRNDLDRAAVSRQLDETQQSWLLGPSEQKKKRYIDLGCIIVSRKFFLWTIGTLLCAVFVAAFVTLILETVPRHHHKEPPPDNYTLALHKALMFFNAQKSGKLPKHNNVSWRGNSCVQDGKSSDVSPSIKDLVGGFYDAGDAIKFNFPQSFAMTMLSWSVIEYSAKYEAAGELEHVKELIKWGSDYLLKTFNSTADTISTLAAQVGSGDTSGGSTTPNDHYCWMRPEDIDYDRPVTACSTCSDLAAEMAAALAAASIVFKDNKAYSKKLVHGATTLFKFSRDRKSIYSRGSSEASVFYNSTSFWDEVVWGGAWMYYATGNSSYLKLATTTKIAKYAGAFSGGSDYGVFSWDNKLAGAQLLLSRLRLFLSPGYPYEEMLRSFHNQTSIVMCSYLPIFTSFNRTKGGLIQLNHGRPQPLQYVVNAAFLAALYSDYLDAADTPGWYCGPNFFSTDVLRNFSKTQINYILGNNPRKMSYVVGFGNKYPKHVHHRGASIPHNKVKYNCKGGWKWRDSSKPNPNTIVGAMVAGPDKHDGFHDVRSNYNYTEPTLAGNAGLVAALVALSGEKGRKIDKNTIFSAIPPMFPTPPPPPAPWKP >OIV91656 pep chromosome:LupAngTanjil_v1.0:LG19:15130954:15133602:1 gene:TanjilG_26509 transcript:OIV91656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSYLSVTQPLHFPSPTSSSLQTHNNPITQPSTTTTTVTTTATLSQSSWIDLLRSQTHSSSFHEAISTYASMLTAAAKPDNYAFPAVLKAIAGIHDHNLGKQVHTHVFKFGYASSVTVANSLVNMYGKCGDIGGARNVFDRITDRDHVSWNSMIASLCRFDEWELSLELLRLMLEENSEPTSFTLVSVAHACSNSRDGEWLGKQVHAYALRKGYWRTFTNNALVTMYAKLGRVDDAKALFEAFDDKDVVSWNTVISALSQNDRFLEALLFLKRMILDGVMPDGVTLASALPACSHLEMLNIGKEIHSYALRNVDLIENSFVGSALVDMYCNCKEARKGRRVFDRISRRTVAVWNAMIAGYVRNDFDEEALGLFIEMVAETDFCPNTTTLSSLLPACMRCEAFLDKEGIHGYIVKKGFEKDRYVQNALMDMYSRMGRIDISKSIFSSMDRRDIVSWNTMITGCVVCGRHDDALNLLHDMQRGQEDRSNILGDYGDNENLPLKPNSVTLMTVLPGCAALAALGKGKEIHAYALKQMLATDVAVGSALVDMYAKCGCLNLSRIVFDQMPVRNVITWNVLIMAYGMHGKGQEALKLFRLMEGEGGSNGKVRPNEVTYIAIFAACSHSGMVDEGLNLFHTMKADHGVEPKADHYACLVDLLGRSGQVEEAYKLINTMPSNMSKIDAWSSLLGACRLHQSVEIGEIAAKNLFVLEPNVASHYILLSNIYSSAGLWDQAINVRKKMTEMGVRKEPGCSWIEYGDGVHMFLAGDASHPQSEELHEFLEILSQRMRKEGYVPDTSCVLHNVDDQQKETMLCGHSERLAIAFGLINTPPGTTIRVAKNLRVCNDCHAATKFISKIEDREIIVRDVRRFHHFRNGTCSCGDYW >OIV92503 pep chromosome:LupAngTanjil_v1.0:LG19:676586:679698:1 gene:TanjilG_02266 transcript:OIV92503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKFTAEGLRRIMDYKHNIRNMSVIAHVDHGKSTLTDSLVAAAGIIAQEVAGDVRMTDTRADEAERGITIKSTGISLYYEMTPESLKSFTGERNGNEYLINLIDSPGHVDFSSEVTAALRITDGALVVVDCVEGVCVQTETVLRQALGERIRPVLTVNKMDRCFLELQVDGEEAYQTFQRVIENANVIMATYEDQLLGDVMVYPEKGTVAFSAGLHGWAFTLTNFAKMYASKFGVDESKMMERLWGENFFDPATKKWTSKSTGSPTCKRGFVQFCYEPIKQIIKTCMNDQKDKLWPMLQKLGVTMKSDEKELMGKALMKRVMQTWLPASSALLEMMIFHLPSPSTAQRYRVENLYEGPLDDQYASAIRACDPEGPLMLYVSKMIPASDKGRFFAFGRVFSGKVSTGLKVRIMGPNYVPGEKKDLYVKSVQRTVIWMGKKQETVEDVPCGNTVALVGLDQFITKNATLTNEKETDAHPIRAMKFSVSPVVRVAVQCKVASDLPKLVEGLKRLAKSDPMVVCTIEESGEHIVAGAGELHLEICLKDLQDDFMGGAEIIKSDPVVSFRETVLDRSCRTVMSKSPNKHNRLYMEARPMEDGLAEAIDDGKIGPRDDPKVRSKILSEEFGWDKDLAKKIWCFGPETLGPNMVVDMCKGVQYLNEIKDSVVAGFQWASKEGALAEENMRAICFEVCDVVLHADAIHRGGGQVIPTARRVFYASQLTAKPRLLEPVYLVEIQAPEQALGGIYSVLNQKRGHVFEEMQRPGTPLYNIKAYLPVVESFGFSSQLRASTSGQAFPQCVFDHWDMMSSDPLESGSQASTLVSDIRKRKGLKEQMTPLSEFEDKL >OIV91839 pep chromosome:LupAngTanjil_v1.0:LG19:13395071:13396300:-1 gene:TanjilG_17831 transcript:OIV91839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIKIKMDHMDGEFNNFIMVWTIAASTMCYCHTIGKFIPQGKTRILAVFPAILILLLLPLRLTSVHLGGPTSFILGWLSTFKLLLFALGKGPLSSKPPLSLSYFIFVSLLPIKLFQQHQPSPSNTQNNRDSQGISLKPNRVREKADECNLTHIPREDVSRNTQNSQITKNGHKSSPVNYSFAIIVIVLTLLIPIYAKNEILHPKFKLFLYSLHMYIGLEFILASISLLVRKLLGIKLEPQFNKPYLCTSLQDFWGKRWNLMVNQILHPTIYEPVVNVSTRVIGRKWAPLPAIISTFAVSGLMHELVFYYVKREKRTFEAWEPSWDSMCFFLLHGVCLAIEVSVKKVLKGKWKLPRLVSWPLTVVFVIYTALLLFLPALVRSHVFEKEIKELNAIVEFGMDLYGNYFLKK >OIV91568 pep chromosome:LupAngTanjil_v1.0:LG19:17678354:17681568:-1 gene:TanjilG_08980 transcript:OIV91568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQRISDEAVAGEPRSEHSSRRYGNVLPHNHKVEAPPKKTLFEDIKQSVKEVFFSDNPAKEFKDKTIGRKFVLGLESVFPILNWAKGYNFKSFRGDFISGLTIASLCIPQDIAYAKLANLDPQYGLYTSFVAPLVYAFMGSSRDIAIGPVAVVSLLLGTMLSNEIADIHSHDYLRLAFTATFFAGITQIGLGVLRLGFLIDFLSHAAIVGFMAGAAVTIALQQLKGLLGIVNFTKKTDIVSVMRSVWGTVHHGWNWETIVIGVSFLTYLLVTKYIAKKRKKLFWVAAIAPMISVILSTLFVYITRADKKGVAIVKHIKKGVNPASASEIFFSGKYLGAGARIGLIAGLIALTEAVAIGRTFAAMKDYPLDGNKEMVALGTMNIVGSLTSCYVATGSFSRTAVNYMAGCKTAVSNIVMSIVVLLTLLVITPLFKYTPNAVLAAIIITAVIGLIDIEAVILLWKIDKFDFVACLGAFFGVIFKSVEIGLLIAVIISFAKILLQVTRPRTIVLGKLQGTSVYRNIHQYPKASQIPGMLIIRVDSAIYFSNSNYIKERILRWVTDEDVQRTGSEMPRIQYLIIEMSPVTDIDTSGIHALVELFKSLQKRDIQLLLANPGPIVMEKLSASNLTHLIGEHKIFLSVADAVATFGA >OIV91676 pep chromosome:LupAngTanjil_v1.0:LG19:16126993:16127532:-1 gene:TanjilG_26529 transcript:OIV91676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASENPSPNNTNHSSSSSTTTLMLFGFPLTPARNKRFKCNYCCREFSNSQALGGHQNAHKRERQKAKQQEFQNLLHYHQRFIVPSPNHNIMVEHGTPSSGVPVFVHDSRASMEDHEAWFSNALHRRDPRPLLSMIPDEEDERLHHLHVLARSKGVVDLNLVPASIPYNSKDKDFERRT >OIV92535 pep chromosome:LupAngTanjil_v1.0:LG19:357121:359508:1 gene:TanjilG_02298 transcript:OIV92535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLLQSALSLLVLCLIIFQTTQSSTPINVWPKPINFTWLQPHQATLLSPSFTITTTTTHHNNHHLSATITRYHHLINTEHHHPLIPPTINLTTTLPPLQSLTISITNPGTLLHHGADESYTLTIPNPSSAPHAMLHAETAWGVMRGLETFSQLVWGNPSHVAVEVRIWDAPLYAHRGVMLDTSRNYYPVGDIMRTIEAMSMNKLNVFHWHLTDSHSFPLVLPSEPRLAEKGAYGPHMVYSIEDVKRVVEFGLDHGVRVMPEIDSPGHAGSWALAYPDIVTCANMFWLQPEDRLAAEPGTGQLNPLNNKTYQVLKNVIHDVTTLFPEPFYHSGADEVIPGCWKTDPIIQKFLSNGGTLSQLLETFVNNTLPYILSLNRTVVYWEDVWLDDIVSVPSKILPTEHVVLQTWNNGNDNTKKIVSSGYRAIVSSAEFYYLDCGHGDFVGNNSAYDDQSGRELSDGGSWCGPFKTWQTIYNYDIAYGLSEEQAKLVLGGEVALWSEQADATVVDARLWPRTCAMAETLWSGNRDEKGVKRYGEATDRLNEWRSRMVSRGIRAEPIQPLWCIRNPAMCNTINAL >OIV92482 pep chromosome:LupAngTanjil_v1.0:LG19:932072:934249:-1 gene:TanjilG_02245 transcript:OIV92482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKSATEQYTYVRNCKQTTFSSGIPLVDLSKPDAKSLIVNACEEFGFFKVINHGIPMEAISMLESEAMNFFSMPIIEKEKSGPANPFGYGNKSIGHGGDVGWVEYLLLKTNQEHNSPTLSSAFGQNREKFRSVLNEYMCGVRKMACEILDLMAEGLNIQPKNVFSKLLMDKESDSLFRVNHYPACPKKNDNDDENMIGFGEHTDPQIISLLRSNNTSGLQISLRDGSWISVPPDHSSFFINVGDSLQVMTNGRFRSVRHRVVANGSKSRLSMIYFVGPPLSEKIAPLPSLMKGNESLYKEFTWFEYKNSIYGSRLADNRLGHFERIAAS >OIV91892 pep chromosome:LupAngTanjil_v1.0:LG19:13963247:13967579:-1 gene:TanjilG_17884 transcript:OIV91892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKHSVDNSPLLSTRVDETDYTMKTSSIVSVTKWTLKYLICVIFIAWTALIFVFPLESVGNLVNKWINATSETLFGITGSLFLIFSAPILIIAFLAIVHLIIFGENHLPNNGKKSSKYPSFRLWTFPVLVNGPFGVVSAAELIGIVLFSAYVIWAFCVYTVRAFVSISDHSSFKVMSIFMFEILGLRLGSIGLFCLAFLFLPVSRGSVILRYIDIPFEHATRYHVWLGHLTMALFTLHGLFYVIAWAMDGRLIQELLGWVDIGVANLPGVISLLAGLLMWITSFPGLRTWNFELFFYTHQLYIIFVVFLALHVGDFIFTMAAGDLRYNALSFVFLQIRELSWLQWHPFSVSSSPLDGKHHLAILIKVLGKWTEELREKVTGVDAQKDLSITASVEGPYGHEVPYHLMYGNLILVAGGIGLSPFLAILSDILHRVREGKQCQPRNILLVWAVKKSDELPLLSTIDMEAMCPFFSDKVNIDIHIYVTRESDPPLEEGYIYKPIKSSFCPVPSGCGMSVLVGTGNNFWSGLYVISSTIGFVVLLGLLDNYYINPFGIYKWWYKGLLFIVCMVASVVIFGGLVIGLWHIWEKKSAMKESSKNIKVDKVEENGSVAPKDPSEDNVSKSTVIRYGSRPEFKEILELMSEKWGHVDVGVLVCGPPTLQTSLAQEIRSHSVTRQGHYPIFHFHSHSFDL >OIV92223 pep chromosome:LupAngTanjil_v1.0:LG19:4759466:4761907:-1 gene:TanjilG_31142 transcript:OIV92223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRVIEKISAASARAHTKKPNKKSSFPSGILRAALAVLLISLVAQVYQAIRPPPPKICGSPNGPHITAPRIKLRDGRHLAYQEHGVPKDAAKNKIISILGFDSCKLDEVVAKTLSPDIVEDLGIYIVSFDRPGYGESDPDPNLTVKSLALDIEELADKLELGSKFYIIGYSLGGQITWGCLKYIPHRLAGAALLAPAVNYWWPGLPANLSNEAFNQLKIQDQWTYLIAHYTPWLTHWWNTQKWFPSSSLIAESLDNLSPQDIQLISKLADRETYQAQIRQQGVYETVHRDLNFIFHNWEFSPLELENPFPNNEGSVHLWQGDEDLIVATKLQPYIAEKLPWIHYHELQGAGHMFPYADGMSDIIIKSLLHGK >OIV92243 pep chromosome:LupAngTanjil_v1.0:LG19:3531414:3531728:1 gene:TanjilG_00261 transcript:OIV92243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKNMEQKCPKLDVKIPLDMDSLKKHSHILVSPTSTPVTRKSSSVRMDCLCSPTTHVGSFRCRHHRSGAGGVPRGRSVGSNLSELAAKASSISDSLHASSLHFK >OIV92530 pep chromosome:LupAngTanjil_v1.0:LG19:412510:414444:-1 gene:TanjilG_02293 transcript:OIV92530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDAAKYASTTEFSTDSKVTSKSNRPHKLAPSARNISDFSTQSPRTKKPSNFEPATTSPRLPTGAARGTSNHSKNTSQGPGSGANSCSESLESTSATIRPHTGGDVRWEAINMASRGSNLNLKDFRLLKRIGYGDIGSVYLVELKGTKAHFAMKVMDKAALISRNKLLRAQTEREILGLLDHPFLPTLYSHFETDKFYCLVMEFCSGGDLHSLRQKQLNKCFTEEAARFYASEVLLALEYLHMLGIVYRDLKPENLLVRDEGHIMLSDFDLSLRCSVSPTLVKSSSAHVSNGGGDSGAILGEEQAVQTCTQSSTFFPRILPSKKNRKAKSDFGLMVGGVRLPELMAEPTNVRSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLHGTTPFKGAGYKATLFNVVGQPLRFPETPQVSAVARDLIRGLLVKEPQKRIAYKRGATEIKQHPFFEGMNWALVRSATPPHIPEPIDFSKFASKDKDTTTPADKKMADIASDKNNNNSTNSYIDFEYF >OIV92401 pep chromosome:LupAngTanjil_v1.0:LG19:1477098:1477763:-1 gene:TanjilG_23001 transcript:OIV92401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMSSRLQERDSPMNSPRSSNNNCMQIPISPLTPRSDSSPYPTTFVQADTSTFKHVVQMLTGSSETTTIQKTKPIIQQQDPLLSLPPPLPSRNFNIPPKKQGFKLFERRNSLKNSLMINTLMPNYAHNSAGFSPRMQEILSPSLLDFPSLSLSPITPLLNDDPFDNSSPSLGNSSEEEKAIAEKGFYLHPSPRTTTPRDSKPQLLTLFPLTSPRVSESSSS >OIV92525 pep chromosome:LupAngTanjil_v1.0:LG19:477468:479701:-1 gene:TanjilG_02288 transcript:OIV92525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDHSQHEKGFLLENHNLGFSNSDNSDKSNKKLKMKPLRKGEEGYEREGFVKKKPMHEVYSGSSENKISVGEENVRKSHETYSEMHLRIERERRKKMRNMYSTLRALIPKLPSKVDQITIVSEAMNYIKSLEQTLEMLEKQKQERLQTNDPFKYESSMINSRDETCIGNNGSCNNLVCAMSSNPKDSTSTLIPQHLVAFQTWCSPNVVLNICGNKAQLCIYTTKNLELLTTIAFVLDKHNIEVIAANIWCNDNGKGCMILAQTNVVSSHQFPEATLVEQIYKQATEEIKRLIS >OIV92008 pep chromosome:LupAngTanjil_v1.0:LG19:10744384:10744620:-1 gene:TanjilG_20938 transcript:OIV92008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLKDILCSKGLLTIKVHPMGGNLVLLSPLEGEDILEILKDAEGCLHKVFSSLSPWSEEVEVDFRIKWITIMGIPLHA >OIV92190 pep chromosome:LupAngTanjil_v1.0:LG19:5149241:5149837:1 gene:TanjilG_30898 transcript:OIV92190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNGNNNTSASSSAVAETGRHPLYRGVRRRSSGKWVSEIREPKKPNRIWLGTFPTAEMAAIAYDVAALALKGRDAELNFPNSASSLPVPASSAARDIQMAAASAAAAIGAAKDALSGGEGSRGGNVSVSQEINMASGNGFVDEDLIFDMPNVLVNMAEGMLLSPPRFDVGGGDFVDYTTQENIGEDPNLWSFPYFQYP >OIV92180 pep chromosome:LupAngTanjil_v1.0:LG19:5402155:5406359:-1 gene:TanjilG_30821 transcript:OIV92180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPSPTVTIVPASLYVGDLHPDVSEGQIFELFSEFKSLASVRVCRDTSTGKSLCYGYVNFVSPQDAIRAIELKNHAILNGKAIRVMWSRRDPGARKNNSANVFVKNGKSKGYGFVQFESEESANAAIEKLNGSTVGDKQIYVDRFVKKSDCVLPGSEARYTNLYMKNLDLDITEAVLQEKFSSFGKIVSLAIAKDNKGVSKGFGFVNYDNADDAKRTLEAMNGTQFGSKIIYVARAQKKSERQQILTNQFEEKRKEKIIKYEGSNIYVKNIDDNVSDEELRDQFSSCGTITSAKIMRNSKGISKGFGFVCFSTPEEANIAVNNFHGFMFHGKPLYVALAQRKEDRQAYLQLQYAQKIAGPSTAATPGGYPPLYYAAAGVTSQVPLGAGLVYQSRALRPGWRANAYASPARSFQQSPVPVLTGQAKYVTSGHHGKMVKGSEFTSGASNYSGGSQGSEMLYTMLSAAAPNQQKQILGEHLYELPRGLEAKITGMLLEMNNAELLVLLEAPESLSVKVEEAMKVLHNSKTKVSGQDIILHSKYLSAEVAVN >OIV91960 pep chromosome:LupAngTanjil_v1.0:LG19:12473808:12477202:-1 gene:TanjilG_23221 transcript:OIV91960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQILAPPTQWRIRSTKTSPNASPITSNMWSSLMLRQNKKVAPISSTKFRVLAIKSDGSTINRLEGLLNLDVSPYTDKTIAEYIWIGGTGVDVRSKSRTISKPVEHPSELPKWNYDGSSTGQAPGEDSEVILYPQAIFRDPFRGGNHILVICDAYTPAGEPIPTNKRYRAAEIFSNPKVQAEIPWYGIEQEYTLLQTNVNWPLGWPVGGYPGPQGPYYCSAGADKSFGRDISDAHYKACLYAGINISGTNGEVMPGQWEYQVGPSVGIEAGDHIWASRYILERITEQAGVVLTLDPKPIAGDWNGAGAHTNYSTKSMREDGGFEVIKKAILNLSLRHKDHISAYGEGNERRLTGKHETASINTFSWGVANRGCSIRVGRDTEKNGKGYLEDRRPASNMDPYVVTALLAETTLLWEPTLEAEALAAQKLALKV >OIV91574 pep chromosome:LupAngTanjil_v1.0:LG19:17644172:17648146:1 gene:TanjilG_08986 transcript:OIV91574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDNVNDVWEVDELQRLLDEEIPLATSHINNNHNLLHHHDDDDHVYGNGNGIMYYDDPFKYPCVSSPVSGFSLDSVGGSSSSLFSAMHSSSDTGSPNDGFVDELGLAANLSKMYIANQKPNPNMYQFSFNGNDPMSVRTKHGDYNNFKRDYVGDRGFRHEPEMKSALLGLGHDYKMANLFRSQTFPGRQENTFSQLNSFRDSMHPPRHVRQLINDDHCRGSLKTEIAASLSRNRMVDASLYAQKYGMNLMEERGMPRSSDYSFCRNLRPYMSDQDSLHHGFPPCNERTVPPLNARIAQGNLDTITSEGSFILQGEILDYGVSKRGSDRSRCRNAVSETGIDILQRVVGACENPMSPRIGYPLPLLTEVRGHNVNSLSEVRGHIYLIAKDQYGCRFLQRMFDEGTPDDVQVIFNEIIHHVVELMINPFGNYLMQKLLDVCNEEQRMQIILMVTRETGLMVTRELGQLVRISLNPHGTRVVQKLIETLKTKQQISVVVSALERGFLVLIKDLNGNHVFIFVAAAKYCVDIATHQHGCCVLQRCIGHSNGEHREKLVAEICSNALLLAQDPFGNYVVQFILELRSPSATENIISQFEGNYLHLSMQKFGSHVVEKCLAVFNDENRSRVIFELVSAHRFGQLLQDPHANYVVQSALRHSKGHVHSSLVEAIESHKTISRNSPYSKKIFSQKLLKK >OIV91883 pep chromosome:LupAngTanjil_v1.0:LG19:13910231:13911655:1 gene:TanjilG_17875 transcript:OIV91883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLGFARNGLLDRAREFFDLMPEKNTIAWTAMVKSYLDNGFFNEAYKLFLEMPQKNIRSWNIMISGCLSANRVNEAIQLFELMPGRSHVSWTAMVSGLAQNKMVAIARKYFDLMPYKDLAAWTAMITAYVDEMLLDEAREIFNLMPEKNVVAWNTMIDGYARNGDEGEALRFFVLMLRSCFRPNETTITSVVTSCDGMLELMQVHAIVVRLGFDRDTWLTNALITLYSKSGDLCSALLVFELHKSKDVVTWTAMVVAFSNHGHGHHALQLFVRMIVSGIKPDEVTFVGLLSACSHAGLVNQGRRLFDSIKGTYDLNPKAEHYSCLVDILGRAGLVNEAMEVVSGIHPSERDEAVLVALLGACKLHGNVAMANFIGEKLLELEPASSGGYVLLANTYAADGQWDEFAQVRKRMREMNVKKIPGYSQIQVNGRNHVFFIGEKSHPQVDEIYILLQHNLQPLMREMVHAPDNSLMLD >OIV92342 pep chromosome:LupAngTanjil_v1.0:LG19:3284478:3290032:-1 gene:TanjilG_10552 transcript:OIV92342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIDNSNSSDARSKKMEDYEVIEQIGRGAFGSAFLVLHNSEKKRYVLKKIRLAKQTEKFKMTAHQEMNLIAKLKNPYIVEYKDAWVEKEDHICIITGYCEGGDMADKIKRARGSLFPEEKVCKWLTQLLIAVDYLHSNRVIHRDLKCSNIFLTKDKNIRLGDFGLAKRLNTEGLTSSVVGTPSYMCPELLADIPYGYKSDMWSLGCCLFEIAAHQPAFRAPDMAGLINKIKRSSISLLPIVYSSTLKQIIKSMLRKNPEHRPTAAELLRHPHLQPYVLRCHNASSNVLPVRPLVNSTDKTRSPHKSSGGKDHGDKEAGLVNCLDRVHPIEGNGDVRPSNLPNDGELTVSTSAEDNFETKIVYLTSYTVESSTSISGSKDVSTASEPTIRSVFKDTDFKSRPARETVDNEIISLSTQDFVRVEQGLALEHFQKLEVFDINAVTAIGEDYFSNEVFNNFEAHRIDAKLEDSGKSTMSSAGSSSIDKDKSIDTASTNKDKSTDEEENSSLLVHPINAENDTVSENCFKKNENADVFTEVLHINCVTSESNDTLPFKDEDTAKSHTTTCPMGEDDSTVVVDPTTLSGISLSTVTAAVADETTKTTLDNPLQQRADALESLLELCAQLLKQDRLEELAGVLRPFGKEAVSSRETAIWLTKSLLSAQKLNPKT >OIV92200 pep chromosome:LupAngTanjil_v1.0:LG19:4502790:4503685:-1 gene:TanjilG_31119 transcript:OIV92200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRKNGRIGSRKHARKIPKGVIHVQASFNNTIVTVTDVRGRVISWSSAGTCGFKGTRRGTPFAAQTAAANAILTVADQGMQRAEVMIKGPGLGRDAALRAIRRSGQADTIGIAMRRVLLGEIEGTCITRAKSEKIPHEYSTLVGIQESVHEILMNLKEIVLRSNMYGTRDASIFVKGPGYVTAQDILLPPSVEIVDNTQHIANIT >OIV92379 pep chromosome:LupAngTanjil_v1.0:LG19:2120177:2122993:1 gene:TanjilG_09977 transcript:OIV92379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSSEEAEVIRPGAGAGVGVGIRKKGVTGVRAWLLLDSTGDTHVIQAGKHAIMRRTGLPARDLRILDPLLSYPSTVLGRERAIVINLEHIKAIITANEVLLLNSRDPSVTPFVDELHSRIVRHHSHASKTPNHDSKDDQEDGMKILPFEFVALEACLEAACGVLDNEAKTLEQEAHPALDKLTSKISTLNLERVRQIKSRLVAITGRVQKVRDELEHLLDDDEDMAEMSLTDKIPPEISLEAGGATATYEDHQNADNSRDLIGRGSHGTRTSTTYSNVTKQLDVGELEMLLEAYFVQIDGTLNKLSTLREYVDDTEDYINIMLDDKQNHLLQMGVMLTTATLVVSAFVVVAGIFGMNIHIELFDQNVAGMREFLWTIGGSTAGTIFLYVVAIAWCKHKRLLE >OIV92057 pep chromosome:LupAngTanjil_v1.0:LG19:9140337:9148759:1 gene:TanjilG_15048 transcript:OIV92057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELEEGEAFFYDDDDEDNIDLDSLSYIDERIHHVLGHFQKDFEGGVCAENLGAKFGGYGSFLPTYERSHVESHPKTPQRSHRSPKSHINLHKEVASHSLKAHSNVPPLGLGIDSYSSQLIQNVRVLSVDNPVKKGGVISFTEVAEKSTVKDDTTKKSRNSTDKKTLKFRFKVKSNIFSQKNAAIYSGLGLDSSPSSSMGNSPLEGEGRPPVSEENANDSPTEVIQVMTSFPIPGGVLTSPLPYSMLHLIRKEKVIRHDRYISSVNAHQKPSSISTDKSDSFVENAHLKKRKVKIARQGEKQLELKHMDGFLSENDMTLHKKKKLGNRTPDCKDILLKCTPLSSSICDAGESNEVTGKVSEFFKEVNKDSMQGRMVSVGAVKEDSFESISGQHFQKIEKQTAGNGVMKNVLQNKLESSRKDNFSVPKNNGKCNNTSMISDKVECNAVKCKLDQNPQKCEANQKSKAVSEGKNKSNVYQIPGKAEAVARKDIFVVTNYPKVTDKESAGFGVTSGTSKMNKTKLLKDTKVIDNDRGSLKGKKSELKVDAPPSNSAIKMTNIDNFKKHSAFGAKVKGRTSVNTVDDRLFAGSCIKYASGSFPTANKPAPEMIPSPVAAPQLIAESWVCCDSCEKWRLLPTGIKLDQLPGKWLCRMLNWLPGMNRCEISQEETTNALYASYQMPISEYQYNMQSHVTGTASGASSVDAVQFGRNQKRSSFDLLAVQGKKKQTIKEKTMAGIKNYMTDDQKSGRNISLIDMNLHPADSNPMKKISSKRFSTCNNLIEEKHVVKEKQKQINGDDRKVIKLKRKMDADQYRSGTPKKSKSEHVFHGEKQLKPEMGLQKVALKSGNGLPAKASGMDMRKYYDYCLSEDEDVQDKLLDPVNKEGNQTQSNGGSFDVKNGSERDGSMKEKKLKDSVDNEKHNNSFPLQGDKQCGKEGSASEFRKEEKSRIWITEAKSVTEVDDKLNKGGMTQVCLSGSRGPMAGGTEVKFVDKAQQSKKHRKNTASHQASDHIVPFGKDLSSGQLSLAATSSSSKVSGSHRTKTNLEDMTGSPVESVTSSPLRTSKLTKHTLVIGNIAGKDNASKGGLSSLGSRKNADNWEENLSVKLKEDRMSHNFSPACHNVSSKEYRVEDVEDKMRAQAKTSEVKNDHLLEGGASIEQHGSCANGLHHKEKVHKNNLEIELPWQKSGKITSLHGKEKDWRSGSQVGTDKMKLSDLENGYSKNGGRHDSAVDPSYHASVLEARNDDKCSSRRSKHKIDNISQKNAPRHGSSESGKKNIVKQKDLEKSVLKMDAHCSTDRMNISQQNPIPDFEENKANHVYSESRDVKSIVLTSSVDGVKSETLHVGFRTVPEFQKGGTTNEQRFTFNPIHVSGNVDVAKTTRKSVDLSSNVGVNNNCEKVPDQHFTMSSPVQTNPSQTASDMLEEATKLKDRADHYKKSGFEFESNETYFGAALKFLHGASLIENCHNESNKHEMNPMQLYATAAKLFMSCAHEYERCQEMAVATLAYKCMEVAYMRVVYCKDYSTIRDWHELQSTLKIVSQDESPSSSASDVDNLNNPTTVDKATFPRGTDTHVAGNLVLSAQTRPNLVRLLDFTQDINFAMEASAKCQSTFALANVKMEEAQNRDGVTSIRRVIDISFQNVDELLHRVSNATNAIRRAGLGGARY >OIV92550 pep chromosome:LupAngTanjil_v1.0:LG19:272387:274891:1 gene:TanjilG_02313 transcript:OIV92550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHFSSKAMSLLLIMIALMAQVESTPCTSTFFSALVQLIPCRSAVAPFSPIPPTDSCCNALKALGQPCLCVLVNGPPIAGVDRSMASQLPDKCTTDFEPCGMIMGGDMKIVEGKICPQICYEAAYMTCPSTGDEHLSPACSCCIASTGCTLYNSDGTPICTAS >OIV91683 pep chromosome:LupAngTanjil_v1.0:LG19:16188701:16189464:-1 gene:TanjilG_26536 transcript:OIV91683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVFAFEDEHPSAVAPAKLFKALTKDSDEIIPKVIEQIKSVEIVEGNGGAGTIKKITASHDGHTSYVLHKVDAIDEATFQYDYSIVGGTGLDESLEKITFESKLSGGPDGGSIGKIKVKFHTKGDVLSDAVREEAKTRGTGLFKAVEGYVLANPNY >OIV91906 pep chromosome:LupAngTanjil_v1.0:LG19:14105071:14106388:-1 gene:TanjilG_17898 transcript:OIV91906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFTKNIVLIVSISSLLLLHSTNAIHLPVAVETGVTKLCKGTTDPILCVKTILPQMHGNFNAYTALEAEILAAKDQVLKASTVIDSLLKNPTTPKGLKDSLAVCQNQYGVMVDSVNEAIAAVSKRDAWSANLKFSAVFSYRQTCDEAFDPEVPPTNLSNVEATLKLVAGNVLDISKGLEDKESEKMKNKGSMTDYSKITSPPSKCLHVVGPCTE >OIV92170 pep chromosome:LupAngTanjil_v1.0:LG19:5627304:5631885:-1 gene:TanjilG_30811 transcript:OIV92170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTSTSLSSQLYIGLHRPTPKFESFTSQSFFDSVHSNLRLSVSPPKRSSSIVAMASSAKFFVGGNWKCNGTKDSISKLLNDLNSAKLEPDVDVVVAPPFVYIDQVKGSITNRIEISAQNSWVGKGGAFTGEISVEQLKDLGVKWVILGHSERRHVIGEKDEFIGKKAAYALSEGLGVIACIGELLEDREAGKTFDVIFEQLKAYADVVPSWDNIVIAYEPVWAIGTGKVATPQQAQEVHVAIRDWLKKNVSPEVASKTRVIYGGSVNGGNSAELAKEEDIDGFLVGGASLKGPEFATIINSVTSKKVAA >OIV91796 pep chromosome:LupAngTanjil_v1.0:LG19:14504088:14506493:1 gene:TanjilG_14375 transcript:OIV91796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYVDPKRKGNFFVKVFLAAVLTILCIFLIKRSPSLNSPSPFSVHEPGVTHVLVTGGAGYIGSHATLRLLKDSYRVTIVDNLSRGNLGAVRVLQNLFPEPGRLQFIYADLGDAKSVYKIFSENKFDAVMHFAAVAYVGESTLDPLKYYHNITSNTLLVLESMAKFGVKTLIYSSTCATYGEPEKMPITEVTEQKPINPYGKAKKMAEDMILDFSKNSNMAVMILRYFNVIGSDPEGRLGEAPRPELREHGRISGACFDAARGITSGLKVRGTDYKTGDGTCIRDYIDVTDLVDAHVKALEKAQPAKVGIYNVGTGKGRSVKEFVDACKKATGANIKVDFLPRRPGDYAEVYSDPTKIRLELNWTAQHTDLEKSLQVAWKWQKSHRDGYGIQSTI >OIV92075 pep chromosome:LupAngTanjil_v1.0:LG19:7934811:7940268:-1 gene:TanjilG_08748 transcript:OIV92075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCFSKGKDSEAEFIGQRHVGSATGVNNHKTHETYATQTHQPYQLPEKPHVPVPSPKLDTILGKPFEDVKQFYSLGKELGRGQFGVTYLCTENSTGLLYACKSISKKKLVNKSDKEDIKREIQIMQHLSGQPNIVEFKGAYEDKNSVHVVMELCAGGELFDRIIAKGHYSEKAAASICRQIVNVVHICHFMGVMHRDLKPENFLLSSKDENAILKATDFGLSVFIEEGKLYRDIVGSAYYVAPEVLRRRCGKEIDIWSAGVMLYILLSGVPPFWAETEKGIFDAILEGVIDFESQPWPNISDSAKDLVRKMLIQDPKKRITATQVLDHPWIKDGNASDKPIASAVLSRMKQFRAMNKLKKLALKVIAENMSAEEIQGFKAMFTNMDTDKSGSITYEELKVGLQRLGSKLTEAEVKQLMEAADVDGNGTIDYIEFITATMHRHRLERDDHLYKAFQYFDKDNSGFITGDELQAAMKEYGMGDDATIKEIISEVDTIISEVDTDNDGRINYEEFCAMMRSGNQQHAKLF >OIV92391 pep chromosome:LupAngTanjil_v1.0:LG19:1967521:1978410:1 gene:TanjilG_09989 transcript:OIV92391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAWTIAARQGSIIARVSSPKPSSLVPRRRMSGGGDHHGPPRVNIWEDPTSPSKWKEEHFVIASLSGWGLLFYGGYKLFSGGKGKTEEILEGLLKLPDNRECADCKAKGPRWASVNLGIFICMQCSGIHRSLGVRSATLDTWLPEQVAFIQSMGNEKANSYWEAELPPRYDRVGIENFIRAKYEEKRWVSRDGKPKSPSRLQEEKIQSHWQRPVERSSQGYAAGSEISFQERKKIQPSNAIPATRVSVPSPPKGPDQVIPITKPQHVQKVEPVAPQPPAETTNQATDTAQNIPPKVDYATDLFNMLSMDGPSENGSEAASTADDNNWAASAEASTAEKTSQLKPFESTPQSASGIEDLFKDSPSLTPSLAPGKPEKDVKNDIMSLFEKSNMVSPFAMHQQQLAMLAQQQSLIMAAATKSTAGNPIYTASTQHPSSNIPGQNWPAAGYPIYGVAPMVGQGELQNLMQTRNMTPAHPPVSSVQYPSSGFYSLGQVAPVNGMMTAGANKPQSAAPVSSTTSQSAKDYDFSSLTQGMFAKQ >OIV92237 pep chromosome:LupAngTanjil_v1.0:LG19:4954256:4955359:-1 gene:TanjilG_31156 transcript:OIV92237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLLNFISFLFFTFLLSSLQINARESQFFSKVTRVNNNDNNNNKYSGSVSQTEFTPETENNYGLYGHESGLHPPTTTTTVAAATTNPTPYTSTDTYHTYKTESEDAKNNYYNTNQNELSDTRLAGSSYSSNNYNKDAYESKQSELSDTKYMEGEDKSMENQNNNNNNKFYNNNVSPYTNTYQTYRTESEDTKNNPTYNNYYNKDTFSTNQNELSNTRLAGTSYSSNNNNNYNLNKDAYGNKQNELSDTKYTEGESMENQNNNQRYYNNNNNNNAANERYNGERQGLSDTRFMEGGKYFYDAANSERHNPTLNGGSSRGINPENLYNNKGYIGKNNANSYENKKYSMEGYQNQEEFEDDQDNFEVEP >OIV91869 pep chromosome:LupAngTanjil_v1.0:LG19:13762156:13763926:1 gene:TanjilG_17861 transcript:OIV91869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVLKLKPVSVSNVNETIKTETVDLGNGSDVVFIQRFAPSDQSWNWFNYLDKHIPWTRPNIRVFGKSFLQPRDTCYVATPGLTELSYSGYQPHAYSWDDYPPLQQILDAVHKALPGSSFNSLLLNRYRGGNDYVGWHADDEKLYGPTPEIASLSFGCERDFVLKKKPCKKSTDGSDEPARKRIKKSSHADQHTFRLKHGSLLVMRGFTQRDWIHSVPKRAKAEATRINLTFRRVL >OIV91874 pep chromosome:LupAngTanjil_v1.0:LG19:13813701:13818719:1 gene:TanjilG_17866 transcript:OIV91874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLVVRVIEAKNLPPTDPNGLSDPYVRLQLGKQRFRTKVIKKCLNPKWDEEFSFRVDDLNEELVISVMDEDKFLIDDFVGQLKVPMSLVFDEEIKSLGTAWYSLQPKSKKIKNKESGEVRLSIYFSQKSASVDSNGIGDQLLHPGKHVDATNDSPSFACTWPGSSSPAREETASSKDEKYGTQKRITGRIAQIFAKSSDMPSSPSHRSVDLDQSEISKEEISGIKVEDQPSNETFEEAIIKIQSADEGSEIPSNLPGGVLVDQHYLIAPQELNMLLFSPDSIFLKSLAEVQGSTELQIGPWKFENGEESLKRLITYVKAASKLIKALKAFEEHTYLKADGKNFAILASVSTPDVVYGGTFRTEVLYLITPGPELPSGEQCSHLVISWRMNFLQSTMMKGMIENGARQGMKDSFDQYTTLLSQTVKPVDTKDLNSTKEQALASLHAEPQSDWKLAVQYFANFTVVSTVLMGLYVLVHIWLAATGPIQGLEFVGLDLPDSIGEFVVCAVLVLQAERMLGLISRFFQARDKKGSDHGIKAQGDGWLLTVALIEGSSLAYVDSTGFSDPYVVFTCNGKTRTSSIKFQKSDPLWNEIFEFDAMDDPPSVLDVEVYDFDGPFDEAVSLGHAEINFLKANISDLADIWVPLEGKLAMACQSKLHLRIFLDNTGGVNVAKDYLSKMEKEVGKKINLRSPQTNSAFQKLFGLPPEEFLINDFTCHLKRKMPMQGRLFLSARIIGFHANLFGNKTTFFLLWDDIEDIQVVPPTFSSMGSPIIVITLRPGRGVDARHGAKTQDEQGRLKFHFQSFVSYNVAQRTIMALWKARSLSPEQKVQFVEEDSDETKSLMSDDSGTFLGLDDVSMSEIYCYTLPVPASFFMEIFSGGELERRIMEKSGSHNYSYTPWVSENSDSSERAVYYKYEKHVSHYRGEVTSTQQRSPLLNGKGWLLEEVMNLHGVPLGDYFNIHLRYQIEDLPPKAKGCKVQVFFGMEWLKSTKHQKRITKNILQKLQGRLKLIFSIAEKELLPK >OIV91659 pep chromosome:LupAngTanjil_v1.0:LG19:15242363:15249046:1 gene:TanjilG_26512 transcript:OIV91659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQPRGELICSLTSLHSPKYYLFILIIIGNDVFFMAELPGFYYDPEKNRYFPIKGPIPGSSRSSSKLTTQKSPQTTLLTQERKSSCRKLRNSMSKLLQARELDGHVIASKSHSCKCNFTEEFRKIQASQPVVWKYRGTDGINFSALEQMHIDVQTEGGQYKTDVLLTGGMNGSLSFSEIGRIGQRFDGALEWTPHCVKNYVKRKADEQNEVPGPVYSPNSLLMSSSISCIRKCSSQAANDSPIVGHALFTTLGSETSGGSVYTISINEPLDLGPGILNTWSSIEEVASFKCTLWTAEHDYNRHRAVIGTNRGAASVDLETGTTSWFLRCKSDVFAQQIVNSGNVILCGLRNGAIVTVDFREKRERLSSRLIKHRIPYSSSNKKSGSSNKEWFELTGDIYPSHTIRMPRSISCLLSLQFDDQYFLASSMDGSMKLYDRRLLQRGAVQSYEGHVNSHTRIQLGVDPAERFVMSGGEDCSLRVWSIKSGELLFEDKFSDSVLSTVCFQTRKSSSHYAFGFKEENENQNKHQSSLGAWLGSRDGLFYMHWP >OIV92337 pep chromosome:LupAngTanjil_v1.0:LG19:3186871:3189288:-1 gene:TanjilG_10547 transcript:OIV92337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFSTSISSSHSIFNFQQQRYFNLHHSHTTRNVVVCSAKPISPPKLSAVDSSKDRIGSLSQVSGVLGCQWGDEGKGKLVDILAQHFEIVARCQGGANAGHTIYNSEGKKFALHLVPSGILNEDTLCVIGNGVVVHLPGLFKEIDGLESNGVSCKGRILISDRANLLFDFHQTVDGLREAELNKSFIGTTKRGIGPCYSSKVNRNGIRVGDLRHMDTFPQKLDLLLSDAASRFQDFKYGPEVLKEEVENYKRYAERLEPYIDDTVHVINDAIAQKKKILVEGGQATMLDIDFGTYPFVTSSSPSAGGICTGLGIAPRAIGDLIGVVKAYTTRVGSGPFPTEIVGPGGDLLRSAGHEFGTTTGRPRRCGWLDLVALRFSCQINGFSSLNLTKLDVLSDLDEIQLGVAYKHADGTTINSFPSDLDILEQLKVEYEVHPGWKTDISKIRNYSDLPKAARQYVERIEELVGTPIHYIGVGPGRDALIYK >OIV91561 pep chromosome:LupAngTanjil_v1.0:LG19:17716320:17720282:-1 gene:TanjilG_08973 transcript:OIV91561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFCESQNLEVGFYSNTCPQAETIVHNVVKSAVISDQNMAAVLLRLHFHDCFVEGCDGSILIDNGDISEKKAFGHQGVRGFDVIERAKAQLEASCPGVVSCADIVALAARDAIVMANGPMYQVPTGRRDGLVSNITLANAMPDVSDSIGLLSEKFINKGLTQKDLVLLSGAHTIGTTACFFMTRRLYHFFPSGSGTDPAISPTLLPKLRARCPKNGDINVRIAMDEGSENKFDKHIFKNIRQNFAVLESDARLNDDIVTKSVIESYFNNPIFGPSFEADFVESIVKMGQIGVKTGFIGEIRHKCSKFN >OIV92318 pep chromosome:LupAngTanjil_v1.0:LG19:3014184:3016383:-1 gene:TanjilG_10528 transcript:OIV92318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHYHRYHQPRKAFESINKDEESQNLVMDCSSSVFCKRTRPKLITFLFLITFLSCYYVLAPIFFGPSFNLSLLYSYGTEDGANVTIPMCSSVSSGTICCDRSGYRSDVCVMKGDIRTNSSSSSILVYNSRSTNTVSSPKQGRKVGEVQLLQHEKIRPYTRKWETSVMDTIDELNLISKRVNLDRVHGCDVQHDVPAVFFSNGGYTGNVYHEFNDGIIPLYITSQHFNKKVVFVILQYHNWWITKYGNVLSHLSDFPVIDFSRDNRTHCFPEAIVGLRIHNELAVDPALMKDNKSIVDFRNILDKAYWPRIHGLIEDEEREAQEKLREQIASTPSLQASQQQYIKVQENPSKKPKLVILSRSGSRAITNENSLVKMAEELGFQVEVLSPGRTTELAKIYRVLNASDVMIGVHGAAMTHFLFLKPGSVFIQVVPLGTTWAAETYYGEPARKLGLKYIGYEIQPRESSLYEVYDKNDPILTDPASITMKGWEYTKKIYLDGQNVNLNLRRFRKRLRRAYEYKVSKSNLNLQHKPQ >OIV92336 pep chromosome:LupAngTanjil_v1.0:LG19:3184755:3185870:1 gene:TanjilG_10546 transcript:OIV92336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMENMVHLPENLIVSILTLLPVKSLLRFKSVSKSWFSLISDPHFATWHFENDAALAHRFLYLPPPFSYDSQARCVELDSSLNTHSDHAVKNTSFLRPTYSNIKGSCRGFLLFLHHDSYFYLWNPSTSVYTKLSFLIDSTNVYAFKPNSFYGFGYDASRDEYLVVVLTSCDPEDDLTTYHYVEDFETHCGFFSLKTNEWNNIEDTHLPPYINVFGGVGMVLNDSIHWLALRSDVPKNIVLAFNLMKRSFFEVPLPAEDCNIIPGCCDLCVLGGFLSLCVSGYNVIDIWVMKEYKVVSSWSKSIVVYVDELPIKCHYFYPIWSTKNGDIVGINGGKCLVKCNAKGKVIEHYMYCDDLRGCEAAFYKESLFSY >OIV91586 pep chromosome:LupAngTanjil_v1.0:LG19:17560858:17569291:1 gene:TanjilG_08998 transcript:OIV91586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEAVTLPPPSSSTSPLGSSVISLVNRLQDIFSRLGSHSTIDLPQVAVVGSQSSGKSSVLEALVGRDFLPRGNDICTRRPLVLQLVQTKRKSDGSEDEYGEFLHLPGKRFFDFADIRREIEAETDREVGENKGVSDKQIRLKIFSPNVLDITLVDLPGLTKVPVGDQPSDIEARIRTMIMSYIKTPTCLILAVTPANSDLANSDALQMAGIADPDGNRTIGVITKLDIMDRGTDARNLLQGKVIPLRLGYVGVVNRSQEDIQMNRSIKDALVSEEKFFRSRPVYSGVADSCGVPQLAKRLNKILAQHIKAVLPGLRARISTSLVTVAKEHASYGEITESKACVNIGCAGQGALLLNILSKYSEAFSSMVEGKNEEMSTSELSGGARIHYIFQSIFVRSLEEVDPCEDLTEDDIRTAIQNASGPKSALFVPEVPFELLVRRQISRLLDPSLQCARFIFDELVKISHRCMATELQRFPFLRKRMDEVIGNFLREGLEPSENMIAHIIEMEMDYINTSHPNFIGGSKALEVAVQQTKSSRIALTASRAKDALESDKGSASERNVKSGAILARQANGGVADPGVRAASDVEKGVPSGNTGGSSWGISSIFSRGDSRVSVKENIANKPHTEAVHNVEHSFSMIHLREPPAILRPSDTNSETETIEITATKLLLKSYYDIVRKNVEDFVPKAIMHFLVNNTKRELHNVFIKKLYRDNLFEEMLQEPDEVAMKRKRCRELLRAYQQAFKDLEELPLEAESVERGSSLHEANGLPKIHGLPTSSMYSSSSSSGDYYGASPKNLKSKRSSHSGELQSPLHANSDSNGNGQFYPMVDV >OIV91740 pep chromosome:LupAngTanjil_v1.0:LG19:16683555:16685808:-1 gene:TanjilG_26593 transcript:OIV91740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEDTNSWIRRTNFSHTVCHRLDPSRLNSLPISIQPEHSPGLKSRPYYGKSISNGLPVRRNSILNTQRSVSPLPETLFSEAFKEARHEQKRFSTPGPQRSGNDNKRIMGKLSNKDSRESRMSSAKSFSSSPIRQVKLNEKSLKHHKDGWAKYFDHGGGRVNAVETADDWTVDLSKLFIGLRFAHGAHSRLYHGVYKEEPVAVKIIRVPDDDENGTLASRLENQFIREVTLLSRLYHPNVIKFVAACRNPPVYCIITEYLAEGSLRVYLHKLERKTVSPQKLISFALDIARGMEYIHSQGVIHRDLKPENVLISEDSHLKIADFGIACEEAFCDLLADDPGTYRWMAPEMIKRKSYGKKVDVYSFGLMLWEMVTGTIPYEDMTPIQAAFAVVNKNSRPVIPINCPLAMRALIEQCWSLQPNKRPEFWQVVKVLEQFESSLSRDGTLTLVQNPCQDHKKGLRHWIQKLGPVHQNHGPVPKPKFI >OIV92044 pep chromosome:LupAngTanjil_v1.0:LG19:8778595:8779002:-1 gene:TanjilG_15035 transcript:OIV92044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKFLKPNKAVILLQGRYAGKKAVIVRTFDDGTRDRPYGHCLVAGIKKYPSKVIKKDSAKKTAKKSRVKAFVKLVNYQHLMPTRYTLDVDLKDAVNPDVLSAKDKKVTALKETKKRLEERFKTGKNRWFFTKLRF >OIV91841 pep chromosome:LupAngTanjil_v1.0:LG19:13425000:13425215:-1 gene:TanjilG_17833 transcript:OIV91841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPATCVLFVAEKVIVTIKHNDDDTKRRIKDFVKKHSEPISYPIYLWTEKTPVKEEVIKKEIDMKWKDRSSS >OIV91634 pep chromosome:LupAngTanjil_v1.0:LG19:17162964:17163920:1 gene:TanjilG_09046 transcript:OIV91634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHIKVMFVELNRDESQNYLDQMKQDLVSISHQNFPVQVLLGLLLAKLRMFDRGLVTKPNGSMNSFSGVYPCSRISPVARAPSPCGPYSNIEMCCFPRNYAGYNQTYGVIPMVSPTAGQTS >OIV92028 pep chromosome:LupAngTanjil_v1.0:LG19:9847155:9850520:-1 gene:TanjilG_19496 transcript:OIV92028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPETGSGIERALLLQTQCEEYDHVKRTGTVWTAVAHIVTGVIGSGVLSLAWAIGQLGWIGGPLAMLLFASITLFTAFLLSDTYRSPDPEHGPTRSSSYLDAVNLHKGEGNRQLCGVFVNICLYGFGIAYIITAAISMSSIQNTTSYRNTGSEPTPGAVDANNMLIFGIIQVVLSQIPNFHNIQWLSVVAAIMSLGYAFTGVGLASVKVIENGHAEGSTKGIPASSEISKFWLVAQALGNIAFSYPFAVILIEIQDTLKSPPAENITMRKASTISVIITTFFYLCCGCAGYAAFGDDTPGNLLTGFALSKPHWLVDFANACIVIHLVGAYQVYSQPLFANFENWLRFKYPESKFVNHISLFKLPPLPAFQLNFLRLSFRTAYVASTTVVAMLFPYFNQILGVLGGIIYWPLTIYFPVEMYMSKCNIEAWTAKWVILRSFSIICLLVGLFTLIGSIEGIVTAKLG >OIV91771 pep chromosome:LupAngTanjil_v1.0:LG19:17009282:17014683:1 gene:TanjilG_26624 transcript:OIV91771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKNLVLHVRIFVMSMLILTGTFCVGDTDIVDVEAINNLYIALGAPPLKGWKPVGGDPCFEAWQGVACVLSRITAINLPSMNLNGELGSNLNFPSIIQIDLSNNHIGGLIPFNLPRTLITLYVIFIISKFMVSIRKSVKWKHSGWFFLIASIDKPRESNALRPLLNNLLNEQFLNVFHQLTGLMNMDLSSNNLSGQLPSSLRILSSLTTLQLQNNQLSGKLDVLQNLPLRDLYNSYHMNIENNLFSGPIPPKLLTIPNFRKVGNPFNTTIIPPPPATAHSPVATAPSSSGSPQKAPTSPSTTETTLASILKSFIAENVIWIVGAGVLILIAIGACLLMFWCFKRRSVKKHDAVFEASSQEENADEKPSPVHEVPNRKTNSILKVRDGQEISVKIASTTSESNARVDSKFPPLQPPPNPIPTIPAKKVVFDPAIPTSATEGDAMTSNVKVYTVALLQQYTNSFSQENFIGKGTLGPVYRAELLDGKLLAVRKLDATASVGQNHEQFLQTVSSISKIQHSNIVQFLGYCDEYNQRLLVYEYCSNGTLYDALHGDDECRIELPWNARIRVALGIARALEYLHETFRPPIVHRNFKSANVLLNDNLEVRVSDCGLDPILSSGHAGQMSGRLLTAFGYSAPEFESGTYTQQSDVFSFGVVMLELLTGRKSFDKTRPRGEQILVRWAVPQLHDIDTLSKMVDPNLKGAYPMKSLSRLADIVSSCVQHEPEFRPAMSEIVQDLGRMM >OIV92053 pep chromosome:LupAngTanjil_v1.0:LG19:8987318:8988361:-1 gene:TanjilG_15044 transcript:OIV92053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSMQEQDLHGDRISFIESLQKEKQIVLDTEALSESFSKEMYIDITMLPMVMTTSAGTNLQPPTLQPPKQKFLGSSFPSSSNSSPRIDLDLTLKKSEGESLESQCHDCILALKDLHMLQEIHLRKSQSCGARRSCVPSNEFDHWLAMLNELVDEHENKNNGRKPETETVKDHSPMSVKHHRTTTPDDVFRCNSLCLYLPGFGNKVKPNKAKKEKSQRETAVISRTVSMEKFECGSWASSAMPNYESEGESTNSYFDLPLELMRCNSINEVYSPINESFVFEKNIKGILKNGSSKLNARKSESSSRHVRFSPPSSSSSCPSSPSFCISPRLSKAREDFNAFLAAAQTA >OIV91744 pep chromosome:LupAngTanjil_v1.0:LG19:16730982:16731419:1 gene:TanjilG_26597 transcript:OIV91744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSSPFFMICILHSIIAMTSGSLMMFYMKEVYTFGHGVQTATNLLGSTPHDQLLIKTSDSFSGLLLLAIGLLLFMVSFVNDRDFQPFFAKGCMILHVFMVMWRIYFERKVEDLAWDCLRQTVGDVLLALSWLFFLVYSWREKYD >OIV92335 pep chromosome:LupAngTanjil_v1.0:LG19:3173054:3180512:-1 gene:TanjilG_10545 transcript:OIV92335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKGASKKKALSLDQFISITNPLLDLEKEAEISNSIATGTSRNLDHAQKRGSTILNLKCVDVQTGLMGKSLIEFQSTKGDVLPAHKFGTYDVVVLKLNKADLGSPALGQGVVYRLKDSSITVAFDDIPEDGLNSPLRLEKVANEVTYRRMKDALIQLSKGVKGPATDLVPVLFGERVPTISKKDASFTPFNKNLDHSQKDAISKALSSKNVFLLHGPPGTGKTTTVVEIILQEVKRGSKILACAASNIAVDNIVERLVPHRVKLVRVGHPARLLPQVLDSALDAQVLRGDNSGLANDIRKEMKALNGKLLKTKDKNTRRGIQKELRTLSKEERKRQQLAVTDVIKSADVVLTTLIGAFTKKLQSTSFDLVIIDEAAQALEIACWIPLLKGSRCILSGDHLQLPPTIQSVEAEKKGLGRTLFERLAEMYGDEVTSMLTVQYRMHELIMDWSSKELYNSKVKAHASVAAHMLFDLEDVKKTSSTEPTLLLIDIAGCDMEEKKDEEDSTLNEGEAEVAMAHANRLVQSGVLPSDIGIITPYAAQVVLLKMLRNNDDRLKDVEISTVDGFQGREKEAIIISMVRSNSRKEVGFLSDRRRMNVAVTRARRHCCLVCDTDTVTGDGFLKRLIEYFEENGEYQSASEYQNE >OIV92313 pep chromosome:LupAngTanjil_v1.0:LG19:2956666:2959770:1 gene:TanjilG_10523 transcript:OIV92313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSDPVYLGAYDDEEAAARAYDLAALKYWGPGTLINFPVTDYTRDLEEMQNVSREEYLASLRRKSSGFSRGISKYRALSSRWEPSYSRFAGSDYFNSMHYGAGDDSAAESEYASGFCIERKIDLTGHIKWWGSNKSRQPDAGTRLSEEKRHGFAGDICSELKTLEQKVQPTEPYQMPELGRSHNEKKHRSSAVSALSILSQSAAYKSLQEKASKKQENSIDNDENENKNTVNKLDYGKAVEKSSNHDSDSDRLDIAMGTSGALCLQRNVYPSTPFLSAPLLTAYDTVDPSLVDPVLWTSLVPMLSASLSRPTEVCIIC >OIV92462 pep chromosome:LupAngTanjil_v1.0:LG19:1127771:1130278:-1 gene:TanjilG_02225 transcript:OIV92462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFIRLLSCCDLRNVCNGIARETALDVLLIPPYSLLLIHLSDFSFFNNLLRALKKIRKPKPWKHPQPITKTQLMQLRDEFWDTSPHYGGRKEIWDALRAAAEADDLSLAQAIVDSAGVIVQSSDLTVCYDERGAKYELPKYVLSEPTNLIGDN >OIV92213 pep chromosome:LupAngTanjil_v1.0:LG19:4634024:4634863:1 gene:TanjilG_31132 transcript:OIV92213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQLDSETLVTVSAAGGSTDRKIACETIAHDHESETHPDSPPESIWLSRDEEYDWVDRNAVYERKESTKGNSNSDPNYTNLNRNPNNQSNSRRFSSKFKSKASIIGLPKPQKPSLIETKRRRRRNSCSTTLFPKGSASVGKSVSSLKEPSSPKVSCTGKVRSMRDRNRRLRNSRRSTNSEPVRTGRKPRFFESFLAIFRCGLEEKPEKKTGSAISVGSVNEKKVMKPRYSVNDCSYVTSISRNSVLEGEPPGLGQMNRLACGRRSESSGVGESQIHVSR >OIV92323 pep chromosome:LupAngTanjil_v1.0:LG19:3062907:3064596:-1 gene:TanjilG_10533 transcript:OIV92323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMHSNNLVDLPSMLSSLSKLRSVWVQSSSEFQLTQDFRRILDGLSDVNVTELETSKISKHSLRSFLIGMGSCSQVFNTLSSSISQELTANVSSDFSLLGDNYPSWLTYTGEGNSVLFEVPQVNDYCLQGMVLCVAYSSTPENIADECLTGVLIVNNTNSFIQLYKRDTVMSLNDDEWQGIVSSLAPHDKVEIFVSFEHRLTVKKTTLYLIYGDSIDGEMEPSSGSMIPDKMLLDPDLTKPNLTEPMKLVTMLSNLDQKETRKNSWFWCNCFAPKK >OIV91862 pep chromosome:LupAngTanjil_v1.0:LG19:13691754:13692053:1 gene:TanjilG_17854 transcript:OIV91862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVDAFVQVENMNHASYIVVSRKLQNEEVYHLASFLKQYVKPTTDNKNLKTRNVKIVVTTKQLKLLLSGSNKFQIKTRVVHASHKWQPSLPTIQEVHNS >OIV91882 pep chromosome:LupAngTanjil_v1.0:LG19:13908715:13909200:1 gene:TanjilG_17874 transcript:OIV91882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFISILVYPSFTLNNKLVDDVCAKTSDYSFCIKSLYSDSRTATADRVVLAYVALDLAYLDATNTSEYIEKLIGQTTSPGDRANLEDCAADYEKAESKLAIAHNDLDSETYNALADYASNASLAVEHCQGIIKGTYPKLHSRNHDFKGLCEIFIVVSKLFI >OIV92167 pep chromosome:LupAngTanjil_v1.0:LG19:5767141:5767919:1 gene:TanjilG_26030 transcript:OIV92167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEVNRKNYAESTCAHTKKPNKETSFPSGILPTTLAVLPIGFIARAYQAIRPPPPKICGSPDGPHITAPRIKLRDGRHLAYKEHGVPKDAAKNKIVYVHGFDACRHDVVAAKTLSPDVEDLGVYIISFD >OIV91947 pep chromosome:LupAngTanjil_v1.0:LG19:12196155:12201828:1 gene:TanjilG_23208 transcript:OIV91947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNGDEMRAMPVEDNEKKVLVPSSRVFNPNSVSLSIKGRNFPSITLQPRAPRFQITCAAKPETVEKVCNIVKKQLALPAESAVTGESKFSALGADSLDTVEIVMGLEEEFGITVEEESAQTITTVQEAADLIDKLLESK >OIV91583 pep chromosome:LupAngTanjil_v1.0:LG19:17578308:17587312:-1 gene:TanjilG_08995 transcript:OIV91583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEKDECEKSMASYLIRHHSDQLRSIASSPDPNLHFPLFLDFAEFMADEPRIASLLFFQPNTYFQLFDDAALWAHKIIFSELFTTHNDNNVVEKNFIHVRVNIFGSPLECPGRVRVQHRGILLTLKGTVIRSGATKMHEGERKYICQKCQNSFTVYPEVEARNSIQLPSICPTRKSKPCGGTKFQFVENTIVCHDYQEIKIQESTQVLGVGAIPRSILVILKDDLVDIVKAGDDVIVTGLLTAKWSPELKDVRCDLDPVLIANNIRRTKELKSDIDISDDIVMKFKQFWDHYKDAPLKGGILIEHVIELLNDPGRNAILRGVCPQVFGLFTVKLAVALTLIGGVQHVDASGTRVRGESHLLLVGDPGTGKSQFLKFAAKLSNRSVITTGLGSTSAGLTVTAVKDGGKFPYREWVLEAGALVLADGGLCCIDEFDSMREHDRATIHEAMEQQTISVAKAGLVTTLSTKTTVFGATNPKGHYDPDQPLSVNTTLSGPLISRFDIVLVLLDTKNPEWDVVVSSHILSEAEPDRANNDEDLANIWPLSTLKRYINYVKENFRPILTREAEIVISCYYQLQRKSATDNAARTTVRMLESLIRLAQAHARLMFRNEVTRLDAVTAILCIESSMTTSAIVDCMGNALHSNFTENPDQELE >OIV91735 pep chromosome:LupAngTanjil_v1.0:LG19:16646350:16648129:-1 gene:TanjilG_26588 transcript:OIV91735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMRKMYEIMVATGHTKKRDGSQLDLLSVHETSQEPLPEANEDINSAHSLSVEAAYINQNFSQQVLVRDGNKVNFDEPNPFASEGEEVASVAYRYRRWKLDNEMYLVARCEVQSVLDVNKQRSCLTLNALNEFDPKYSGVDWRQKLETQRGAVLATELKNNANKLAKWTAQALLASADFMKLGYVSRIHPRDHFNHVVLAVAGYKPKDFAAQINLNPNNMWGIVKSIVGLCMKLEEGKYVLVKDPSKPQVRIYQIPADAFENDYVEEPLPEEEQAQPPAEGADGEEAATISNDVTDKQIDNQA >OIV91888 pep chromosome:LupAngTanjil_v1.0:LG19:13937012:13938319:-1 gene:TanjilG_17880 transcript:OIV91888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLDLYHVTSLESYVTSTSPFTFSDMFKKDEDHVRYLHSRITNNEDVRISGTTSSSGKVKGPKPVSIPLKSALPLASGNYYVRIGLGTPAQYFSMIIDTGSSLSWLQCQPCKVYCHDQVDPIFNSSTSKTYKTFPCSSPQCSSLKGSTLNEPGCSSKTGTCVYSASYGDSSFSFGYLSQDVLTLTPSETLSSFVYGCGQDNQGLFGKAAGIIGLGNDNLSMLAQLSSKYGNAFSYCLPTSFSASSSKEGFLSIGTSSLTSSSSSSSSYKFTSLVKFPNKQSLYFLDLTSITVAGTPIGVAASSYKVPTIIDSGTVITRLPMPVYTALKDAFVKIISKKFAQLPGVSILDTCFKGSVKTMSISVPEVQLIFHGGADLSLKAHNTLIEIKNGTTCLAFSGSSGFNPIAIIGNYQQQTIKVAYDVANSKIGFAPGGCQ >OIV92085 pep chromosome:LupAngTanjil_v1.0:LG19:8310070:8315395:1 gene:TanjilG_08758 transcript:OIV92085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGEVSTIVVSSPDYAKEVLKTHDLIFASRPPILATNILGYDSQGLALAPYGDYWRQLRKICAMELLSSKRVQSFQPIRGEELAKLIKLIDSKEGSLINLSKEVTSTLSTIVSRTAFSRKCKNHQEFISVIKEATEVAGGFDLGDLYPSAKWLQHISGMKPKLEKLHHQADQIMQNIINEHREVMSRTVEGQHEEAEEDLIDVLLKFKDANNLTDDQIKAVILICTLRPKLEKLHQQTDQILQNIINEHREVKSRTIEGQHEEAEEDLLDVLLKFKNTNNLTDDQIKAVILDIFGGGFETSATTVVWTMAEIIRNPRVMWKTQVEVREVFDKEGKSNKSILEKLEYLKSVVKETLRLHPPGTLLVPRECKQACEIKGYNIPFKSKIIIHALTIGRNPKYWTDPERFTTSSFDYKETNFEYIPFGAGRRMCPGITFGLIDVELPLALLLYHFDWKLPIGMKNEEFDMTETFGSVVTRKDDLYLIPTIYHP >OIV91575 pep chromosome:LupAngTanjil_v1.0:LG19:17633917:17637976:-1 gene:TanjilG_08987 transcript:OIV91575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGESSRSGSKRPFLDDVDDSKSKPPAKRVRFPKGKKVKQGDEVVSTQKFEGEEDANVLINPIAAANAAKERSKHRNQSTADLFIEESETALNDLSEAEESYEENENFVDDGIQIEPFNLSKEKEEGFFDPAGNYVEFVRDNEIKDAWLDNVEVDPRYAALSSVATNDEEEIQDLSSKDIGTMKRRIANALEPGETVLHALRRLKGSSDRKAKMSAETKIVFDQLTEDAMKLMENGEFDVYHEKKEVFVREAEGYEKLARAKGEGTSSHSGEGNSFLNGDKNLLSDGLDSGVASASFPTTANGTSNPVLASADVSGNGADDFDMFADDDAAPTDENNQASAVQNDYVYDESSGVTNMALELTGNVSLLEHRYSFNEETGTYDEVQEIASNVS >OIV91988 pep chromosome:LupAngTanjil_v1.0:LG19:11212061:11212492:-1 gene:TanjilG_07727 transcript:OIV91988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKVGDGTIIHIFIFLQLLLLTSLIVVSEGSIFKRPKRHVHVMNFLDRNINLKVHCKSTDDDLGFHDVSYGNEYEFEFYPNIFGTTLFLCNLQWQGKVQLVTVYNAKSADFERCVDNCNWRVELHQLCTWGDDQSKKVCSPWK >OIV92135 pep chromosome:LupAngTanjil_v1.0:LG19:6278860:6282704:-1 gene:TanjilG_18707 transcript:OIV92135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSYSTCVVHNATTLIPISVLRDKPSHSHIPSSSSSSSRTLLPLFINKLPSLPSSSSSSTNYLHCHLLHRFPLFYSKRNANEDQLSSSEEEEENKNSILHHDDDISSTIDDASNTLTYTSSVNTVAFWVCSAIAFGVGLGFKEGVDKASEFFAGYILEQSLSVDNLFVFVLIFKYFKVPLMYQNRVLSYGIAGAVFFRLTLILLGTVTLQRFEAVNLFLAAILLYSSIKLFASEEDESDLSNNFVVKTCQKFIPVTRVKHFGQATPLLLTVAVIELSDIVFAVDSIPAVFGVTRDPFIVFTSNMFAILGLRSLYTIISESMSELEYLQPSIAVVLGFIGCKMILDYFGLHVSTEVSLGFVASSLTIGALLSLAKKSDQLKK >OIV92011 pep chromosome:LupAngTanjil_v1.0:LG19:10952560:10953254:-1 gene:TanjilG_20941 transcript:OIV92011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVAGATATRSVLRSCSTRRAAFRLGSEAKSTHSPFHMASNKPLSRSMLRCRVESSFCVESMLPYHMATASALMTSMLSVSRRSYGWLSEGIIFATIALQ >OIV91962 pep chromosome:LupAngTanjil_v1.0:LG19:12494558:12497377:-1 gene:TanjilG_23223 transcript:OIV91962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNRISVEGEGEIQVQVGELKRLSDTSSIHDTLFEPRGLSGIERRDSVADNPISPTATITEDIAPEKKLTIFALRLAVLEKTATGLGTLSFIWATVVLLGGFAITLDQTDFWFITIILLIEGTRIFSRSHELEWQHQATWSITDAGMNSFRRLRTRSNSLLQSIKSLCRPIAAVKKQKRDTVEGNLVAPKYRDTTSTRAPTRTWISSINVINVPLVRYAKWFFLSRHISRILYWLQLLSATACVVLSLTKLIKRNYGEIANGDTDKRNRGSALNIFYALALAEALLFLLEKAYWEYKISYCKLIEEVNKECELGPSGIVSTRRFFYDAYSRCVNASIFDGLKMDMVSFAMDLLASNSADEQVIGVRILRQFTFSQRFSDDTLQKIGISISVVERLVDMLNWTDYNEEELRFSAAEILSKLAGKKQNSLRIAGIPGSLESISSLLQTNRNSIPAADEIGEKKVMFDHPNYSFWTFNHLGLLILKKLAHDHDNCGKIGNTRGLLPKIIDFTYAEERLLKNENVTSSQILTVKRSLKLVKRLVSTTGTTGKQLRRGISEIVFTISNIRDILRHGEKHPLLQKLSIEILTSLALEDGATERIGGTGGVLRELFNIFFKQNIPLDQEDVTTGAGEAVAMLALESQMNCHRILKLKVMERLVAALNDPLLRVNAARILRNLCIYSGFEFFNQLEEVKVAAPTVLQAIMSEENKLQEVMIGLAANVFTFMTSYESSNVFEESGITEVELANKLIQILKKYQYPPTNVPRIRRFVIELAIWMMKDKTENIHTFKDLGMEEVLEGVLDTTSELESFNVFSGTVGLNRQMLTIQTLVENALELLEDM >OIV92521 pep chromosome:LupAngTanjil_v1.0:LG19:516509:519397:1 gene:TanjilG_02284 transcript:OIV92521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVVQHLVQFIISPGLVRAGPITGPHMKRERTMVEFIMNLPMWQLNQEGVSQWFQLSYGTDKFSLKIYCLSDSKFTQQELPACKPILTPRAVISAFLLVTVVFVPIGVVSLMASRKVVEIVYRYESECIPGHVGDKVAYIQSSANKTCQLKLPVHKHMKSPIYVYYQLDNFYQNHRRYVKSRSDEQLRNPKKESSTSTCKPEDTVDGRPIVPCGLIAWSLFNDTYSFSRNNENITVNKKGISWKSDREHKFGKDVFPKNFQNSSIIGGAHLIESIPLSEQEDLIVWMRTAALPTFRKLYGKIEVDLNEGDSIDVMLENNYNTYSFNGKKKLVLSTTSWLGGKNDFLGIAYLTVGGLCFFLALAFTVVYFVKPRQLGDPSYLSWNRNPGS >OIV92181 pep chromosome:LupAngTanjil_v1.0:LG19:5396324:5397985:1 gene:TanjilG_30822 transcript:OIV92181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSTQRLVIQPSPWLQQGITTTTSCVTQDMPRSNGLWLNQSLPFKITSSNTVFLLNCSPRLLVSPLNCTSSSLCHLYLESSGHVETKRALDCASGLIHPCCTFVAGGVPSSYKIRLHSSGCKAFRSIIHLDEYKPPNQWEEGLEIQWDLPQEPICKTQRGCSKDSKCSTYGRCLCNKGHHWDPFVATCLRYKKKPKWKTNLVVSIGVVTFLSLAIVLAIKTRSCKVSNYVVKHEKEREEHVLKSRDGEKPCRMFQMKEMKKATNDFSQERMLGSGGFGEVYKGELQDGTIVAVKKAKVGNLKSTQQVLNEVEILSQVNHKNLVRLLGCCVESEQPLMVYEYISNGTLYDHLHAIRYSNFLDLKTRLKVAFQTAEALAYLHSSAHTPIYHRDVKSTNILLDDEFNAKVSDFGLSRLANPGLSHVSTCAQGTLGYLDPEYYRNYQLTDKSDVYSYGVVLLELLTSKKVIDFSRDQDDVNLAIHVTQHARNGTIMEVVDQRLISLESDENMIASIRLLLELALDCLREKKGERPSMKDIVQRLLCIIRIVDHDILD >OIV92156 pep chromosome:LupAngTanjil_v1.0:LG19:5999440:6002448:1 gene:TanjilG_18728 transcript:OIV92156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLVVVCLTDCQLFADLTWLYCLLAPEITSDRMGHRHFYNTTPTFEGEPDQNWNHMHADQHHVHLGMPNTSENGSFIYPVESMSSDSVSFPSHWNSTTSSNGYALSTPNIEVPPHQSDASGTSNNHFMHSSSAGAFFAVSENYEHQPSSGYDGQSFHCNGGFIDLTVGSGRGTHKRKSPGISPVFERGSTSRYFNAGSSTDHHISSELRPEKPNIDSQYMPWDHLTMTPTFRGGGLSIRGESSLRNVRSRSALDLESNLARTHLSSNHLHNSYSADLPVEHSSMVDLSGQTSSALARDWRQMSISPAHGRVILPDTSAFSLEASHFLIGHGTTTASNASIDVGAFHPEQPSTSRNPPAPQNLHHHLTQTARGVRSNYSQRSTPSFRASPGLCLGYVTSSDDGLPMVAENYPSRHPRPPTTSRWRNNDRNGRSRVSSDRHRSLINEGFIAVERASLYGSRNMLDQHRDMRMDIDNMSYEELLALGERIGHVSTGLSEDMLSKCLTESIYCSSDQTQDEATCVVCLEEYKNMDDVGTLKTCKHDYHVSCIKKWLSMKKICPICKASAMPEDTKDK >OIV92371 pep chromosome:LupAngTanjil_v1.0:LG19:2274686:2279927:1 gene:TanjilG_09969 transcript:OIV92371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPFEFQLYVYNENVLESVGPAAFVISDDEEEEEDEDDDEDDDEDYVAVLPSSRVSENCDEEERNKRRRTEVGEASSSPIAIESSQGSEWSRSEIDGLLCPICMEPWVNNGEHHISCLPCGHIYGMSCIKKWLQQRKKHGKCPQCNRKCSLKDVRKLYASRLVAVDEESQKRIQSLEAKCAALESKGDDWHKKEAGWQKRKAALHLQVQKLAEKNIYLEQLLVDMQSRQSEIGNNGSSFYGKGSFCNFELQKAFDLSGARVFDMDTSKQIILVAQKPKVIGGFHTLTKLSLIAPFEMEDILLPSTTNGIRDLHISPSNGSLALYASVGKKLSVISLESNSPVINYDLKCPAWSCSWDLNSSHYLYAGLQNGCVSVFDMRQTVGPVKSLFGLTSNPVHTLQSLGQTSSLSAGGRTILSAAAIGVSQWNFDSEEGPSLVPNTDSQGVCISLAYCPSSDDVVVSYRPKVDMSMEVPLSQQLLSPLGTGQGVQGSHVLFKRDDSHHFQMMGSSCANVSKIRLPKCAVVELEDQRRLFACGDEVTGELVLHELPSFRVLQQFKLPAHARDIKYSPSHGILGCLSEKTLQLFHAK >OIV91541 pep chromosome:LupAngTanjil_v1.0:LG19:17893669:17895126:1 gene:TanjilG_08953 transcript:OIV91541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYVPEAILQFILSHINDAHHVAACNCVSKGWKDSMAHIKSLYFPRNSFDNPLGGESPDEIVKRMVSKVVRLEELVVYSPFSPSGLASWLSITGHSLTQLELRMDNLVDHQASHESPSKLDCIGIARNLKSLKLWGVLIVHSPKWDIFQNLRTLEITGARLEDPVLIAVLQSCPYLTRLLLLACEGIRAISVDLPCLEECKLDFYGLGNASLSMNCPKIESLEVQGCSWISVPQTKHLRNLSISNSAGRVYKIEFGDLTALEFLAMRGVQWCWGAICNMLKLASEVKHLFMKVEFTGDYEALQPFPEIDFAEFFNSHPKLHKFDVHGAMFAALCQRNSLKHVDPGFVIPCLEEVVITVRSPLNAEQKISILESLLKYGKTLRTMVIKITQMKSCHSSADDFFEEICRLRYMNHERIRIE >OIV92048 pep chromosome:LupAngTanjil_v1.0:LG19:8867947:8872461:-1 gene:TanjilG_15039 transcript:OIV92048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASSSSESEPATPTAQVSAIFIYPIKSCRGISVPSAPLTPTGLRWDRQWVVVNSKGRACTQRVEPGLALIEVELPTEAFDETWEPTKDSYMVLKAPGMQPLKVCLNKQHGVADGVSVWEWSGSAWDEGAEASQWFSDYLGKPSRLVRFNTASEVRPVDPDYVKGHQTMFSDGYPFLLISQESLNALNQLLKEPLPINRFRPNFLVEGCEPFSEDLWQEIDISRFSFLGVKLCSRCKVPSIDQETGISGPEPNETLMRTRSDKIIRPNGKQKNKFYFGQNLVWNWKDSSAKGNGKIINVGDPVYVHKKVSSADEAAA >OIV91736 pep chromosome:LupAngTanjil_v1.0:LG19:16652539:16656375:-1 gene:TanjilG_26589 transcript:OIV91736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTNISLTPGIHEEKVLFEIGNSEKQLWALIHSKGLLHSDAQDLYRKVRSRYERIILNGHTHAELQDVEYSLWKLHYKHIDEFRKLIKKTSGNVESRKAGMTQDGDLARYKQQCENPDAQNHSWSVAATHYLEATRIWPDSGNPQNQLAVLATYISDEILALYHCVRSLAVKEPFPDAWKNLILLFEKNKSSQLQYVSGEVCFDFLKPSARTREDTEAQIKHVNSNCNKLEGKSNHFTDTKLWPLLVRTISFFFITSSLEEFPIALASTIGELDKMMELEDIELKTMLESYSQMDMVRKGPFRALQVVSIFIFALKNLNNKLENNESNDNNDRQLMQLALAAVFSFMGRFVERCLKASSLNRCPLLPSVLVFVEWYSSMLDAIEVCADDQKSRRAVSYFFDVFVELLNKLNDNGKETEKLLDNTPLWEDYELRGFIPVACSHFSLDFCGNWEHIDNFESGIQLRTERIREAAKKFASISNNLTKWVSCDESGKNIYAPESNDNSTKGEEPNQKTIEDSREHGKGMIDENPSSSSANGRYDVVEEEEVILFRPLTRHNSAPSHVPSIASDDTMSPRDKDDQSLPSDDCLHCATSLLMAQNPCQGDPRELHDDNLNFSSDKPFKQQEHSTKESNKYTFSEAPISVGPPSLNAWVLGRGSFSYNKDNETNGDSKHKLEPIDEIASSSLEGLSINKTEFSDITSVDAGSSNFHSRATHSIPIPSAPLLPDNATWFSDVQSSLPAPLLPHNTSPVSAYSDWSSTYAPHGHDPRFRAFSNGFPPPGIMTSSEWLRWYRENYKPELSNNYMQPTSTHLNATANHENLLYHGNYRFNQIDRWGNPLSSDQYNTYIEPPNPEPLQPNHFNNFQRTSPYWYGVVTDLKNESQSLLEYLKEEECRLRRDPNLRGPTFMGN >OIV92278 pep chromosome:LupAngTanjil_v1.0:LG19:4232156:4234213:-1 gene:TanjilG_00296 transcript:OIV92278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDLLSTSFPHGQPSPEHHTIEMNSGGANLDKFFSDVEAIKEELTELESLRQRLKTSNENSKTLHNATAVKNLRSQMDADVGLALKKAKVVKLKLEALDRSNAANRSVLGCGPGSSSDRTRSSVVNGLKKKLKESMDSFNELRQQISSEYRETVQRRYFTVTGDNPDEKTLDLLISSGESETFLQKAIQEQGKGRIIDTINEIQERHDAVKEIEKNLNELHQVFLDMSVLVQSQGEQLDDIESHVARANSFVKTATQELYVARKHQRSTRKWTCYAIILILVIILFVVLFVVKPWEHKASGGGGNSNNSGIQVSPVQTTPPPPPPPPQA >OIV92409 pep chromosome:LupAngTanjil_v1.0:LG19:1542031:1543641:1 gene:TanjilG_23009 transcript:OIV92409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHDFRITFRSVENENPIVRSEVHGLKYEYSQVDRVKQSCQIPKDVKEKGKRYPDAYSYDMRFDMSVRESKKRVAWGYAARLTVDDQFYGRTDFYEFVETRTFSDSISTEVLNNDSVYNISYKISMSLMSYPTLSDRNSLFNKSYWTAKISAEGVYDAGAGYLCMVGCRDLSSNNVIPKAHSMDCEILMKFHFPSMDTIGRSSIKGSIESTRKESDPLYFKSLDLSATAYYIEGARRNVWRMDMEVIMAIVSTTLACAFAGLQLYHVKKHPNVLPFMSLIMMSILTLGYMIPLVLNFEALLTQNPNNKFFLLGNVRMWLEVNEISVRLITMVAFLLQSRLLYLTWSSRKNHERNKGLGRKAAYVTLPLYAAGLLIALLLKLKKDRDKAMFGRVYPQNSAHNYASEDDNGSYYYADPSADFYSTSWDIVIPLGGTLFAITIYLQQRFGAHFILPHRFKGSKIYEKVAMVTDSEAEIETTNM >OIV91527 pep chromosome:LupAngTanjil_v1.0:LG19:18026517:18029026:-1 gene:TanjilG_08939 transcript:OIV91527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKYELVKDIGSGNFGVARLMRHRDTKELVAMKFIERGHKARYFFQQLISGVCYCHSMQICHRDLKLENTLLDGSPAPRLKICDFGYSKSSLLHSRPKSTVGTPAYIAPEVLSRREYDGKMADVWSCGVTLYVMLVGAYPFEDQEDPKNFRKTINRIMAVQYKIPDYVHISQDCIHLLSHIFVANPTKRVAIKEIKSHPWFLKNLPRELTEMAQAVYYRKENPTFSFQSIEDIMNIVQEAKTPPIVSRSIGGFGWGGEEEDDETKEAAEAGEEDEYEKRVKEAQASGEFHIS >OIV92484 pep chromosome:LupAngTanjil_v1.0:LG19:913397:917844:-1 gene:TanjilG_02247 transcript:OIV92484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKDAIFYSYNKNINGFAAILEEEDASRIAGVWPESKSFSEEGIGPIPTRWRGICQTDDKNTDKFYCNRKLIGARYFYKGHKANENKNMSYNSARDYEGHGTHTLSTAAGNFVSGVSVFGNGNGTASGISPKARVVSYKVCWETHACYNADILAAFEAAITDGVDVISLSMTGLNPEEYWKNILSIGTFHAFINGLVVVSSAGNMGPNPYTVTNTEPWVITVAASTTDRDFTNFVTLGDNKTLQGFSISKFGLSSHELYPLINASDAKADNEFSELAYVCSNGSIDPKKVQGKILVCIKGMDDQTWVEQTKAVGVISLITVPNFDIVPATLMLPVSNLNYTYSKYVLNYINHTKSPMATISKGETKLGIKPAPRMPLFSSRGPNLIEPRILKPDITAPGVNIIAAYTEAKSPSSNTNNKHNIPFNILSGTSMACPHVSGLVVLLKSLHSDWSSSAIKSAIMTTATPLDNMGRPILEYNSQEEATPFNYGAGHIQPNLAADPGLVYDLMTNDYLKFLCAHGYNSSTIGLLYRGFYVCPKHYNLANFNYPSITISNMDLGHSINFTRTLTNVGSPSTYNVHIKVPNEVIVSVKPRKLIFKQKGDKKDFSVKLSLKPHIKNKANYFFGSLVWTDGKHNVRSPTVVKNPHH >OIV92385 pep chromosome:LupAngTanjil_v1.0:LG19:2068323:2068769:1 gene:TanjilG_09983 transcript:OIV92385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEKKVEQKYFLLKTEPSEWSWEDQESNEGISKWDGVKNKQAQKYMKSMSLNDLCFFYHSGPKARRIVGVVTVIKEWYYEEGKEGAVDVKAIGEMRKPVDLKEMKIINGFILLKQPRLSVVPVTKNIWQQICDMGGGYEGDGSNEEE >OIV92451 pep chromosome:LupAngTanjil_v1.0:LG19:1198306:1203495:1 gene:TanjilG_02214 transcript:OIV92451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANNRKMEKMASIDAQLRQLAPAKVSEDDKLIEYDALLLDRFLDILQDLHGEDLKNTVQDVYELSSEYEGKHDPKKLEEIGNVLTSLDAGDSIVVAKSFSHMLNLANLAEEVQISHRRRNKLKKGNFADETNATTESDIEETLKRLVFDLKKSPQEVFDALKNQTVDLVLTAHPTQSIRRSLLQKHARIRNCLSQLYAKDITPDDKQELDESLQREIQAAFRTDEIKRTPPTPQDEMRAGMSYFHETIWKGVPKFLRRVDTALKNIGINERVPHNAPVIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMAANMYYSQIEDLMFELSMWRCSDELRDRADELHRSSNKDEVAKHYIEFWKKVPTNEPYRVVLGEVRDRLYQTRERSRHLLAHGYSDIPEEATFTNVEEFLEPLEVCYRSLCACGDRSIADGSLLDFLRQVSTFGLSLVRLDIRQESDRHTDVMDAITKHLEIGSYLEWSEEKRQEWLLSELSGKRPLFGPDLPKTEEIRDVLDTFHVLAELPPDNFGAYIISMATAPSDVLAVELLQRECHIKHPLRVVPLFEKLADLEAAPAALARLFSVDWYKNRIDGKQEVMIGYSDSGKDAGRFSAAWQLYKAQEDLIKVAEKFGVKLTMFHGRGGTVGRGGGPTHLAILSQPPGTIHGSLRVTVQGEVIEQSFGEQHLCFRTLQRYTAATLEHGMHPPISPKPEWRALMDQMAVIATEEYRSIVFKEPRFVEYFRLATPELEYGRMNIGSRPAKRRPTGGIETLRAIPWIFAWTQTRFHLPVWLGFGKAFKQVIEKDAGNLNMLQEMYNQWPFFRVTIDLVEMVFAKGDPGIAALNDRLLVSKDLWPFGEQLRKKYEETKNLLLQVAAHKDLLEGDPYLKQRLRLRDSYITTLNVFQAYTLKRIRDPNFNVPPRPHISKDYLEKSKSATELVSLNPTSEYAPGLEDSLILSMKGIAAGMQNTG >OIV91826 pep chromosome:LupAngTanjil_v1.0:LG19:13065544:13069518:-1 gene:TanjilG_17818 transcript:OIV91826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRDNKKASKPSSSKASRIRTLSDLNRPSADSDSDSDAPQEYYTGGEKSGMLVQDPTKGNDVDAIFNQARELGAIERPLDQLQEHPRSTSFTGTGRLLSGETVQSAPQQPEAVIHNIVFWSNGFTVNDGPLRSLDDPQNASFLESIKKSECPKELEPADRRSSVNVNLIRRNEKCPEPAKQRPVSFQGVGRTLGSSSTSVAPEPTVASTPPSGALAPSAGLVVDQSLPSTTIQIRLADGTRLISHFNLNHTISDIRGFVDSSRPGSQLNYQLQMMGFPPKVLTDHTQTIEQAGLANSVVIQKF >OIV92112 pep chromosome:LupAngTanjil_v1.0:LG19:6509725:6510222:1 gene:TanjilG_26970 transcript:OIV92112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRQRDIEVLESIDLANCVMLLTHPQPHNPVEFECKTCNRKFSSFQALGGHMTSHKRPKLEAEETIAQAKTLTLTLGHKPKFHKCSICGQEFSLGQALGGHMKRHRGAINEDVSSIKKVVVAKVAPVLKRSNSIRVMWLDLNFTPLENDLNLLFGKMTPKVHAFL >OIV92052 pep chromosome:LupAngTanjil_v1.0:LG19:8979433:8980044:-1 gene:TanjilG_15043 transcript:OIV92052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNSTNSSPPLTSTLSMKITLDSKNLEPSPIKDSNLIYKNHHLQHSTLSLSRSQGRRTKATLDEFDLMNVSSSVEKDEHEYDNKHQGSFSKTQAIIKDGRNPKGSGKGKYDKDGFKCMALCMYLPGFGHSGKKQVKARKGETKIMDHHVDPIMSSTFSFENFDHHSGIAANEKNEDESISSYFELPISQMVKYNGTIMHSERD >OIV92492 pep chromosome:LupAngTanjil_v1.0:LG19:759995:762193:-1 gene:TanjilG_02255 transcript:OIV92492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVINRFLRKSKHHNPCTKMAPSVPTNISSHLGHKLVKAALNVGDFKRAHQLFDNIHQPHPITCSTLISAFTTHGLTNEAINVYTSLRARRIKLDKFVFVAAAKASGTSSDLSRVKEVHDDAIRCGMMSDVFLGNALIHAYAKCKCVEAARCVFDDLVVKDVVSWTSLSSCYVNCGLPGRGLAIFREMGFNGVKPNSVTVSSILPACSELKDLNSGRAIHGFAMRHGMVENLFVCSALVSMYARCLSAKQARIVFDWMPHRDVVSWNGVLTAYFSNKKYDEGLDLFSQMSKEGVKADVATWNAVIGGCMENGQTETALEMLRKMQNIGFKPNQITISSILPACSILESLTMGKEVHCYVFRHRLISDLTSATALVYMYAKCGDLNLSRSVFDMMPRKDVVAWNTMIIANAMHGNGKEALLLFENMLRLGVKPNSVTFTGVMSGCSHSRLVEEGLRIFNSMGRDHSVEADASHYSSMVDVFSRAGRLDEAYEFIQRMPMEPTASAWGALLGACRVYKNVELAKISAKRLFEIEPHNPGNYVSLFNILVNAKLWSEASETRILMKKKGITKSPGCSWLQVGNKVHTFVVGDKSNMESDKIYKFLEELGVKMKLAGYKPDTDYVLQDIDPEEKAESLCNHSEKLAAAFGILNLNGKSSIRVFKNLRICGDCHNAIKYMSKIVGVTIIVRDSLRFHHFINGNCSCHDLW >OIV92136 pep chromosome:LupAngTanjil_v1.0:LG19:6271844:6276689:1 gene:TanjilG_18708 transcript:OIV92136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSSWSEELASLVEDPGVRYGGDRNPMSYTTTASFEVSGGESEEGSGGGESLKDQAMGFLMAWCEIVMELGRGCRDILQQNFFNEDSYVVRKFGEPCAKVSKRLSFLNDLLPEDRDPLQAWSVVFFVFVIALAAISVDSSRDTLTKVVKVCVHPPSANRILLPDGRYMAYLDQGVPASRARFSFVAPHSFTSSRLAGIPGIKASLLEEYGVRLVSYDLPGFGESAPHPSRNLNSSATDMLHLANAVNVSDNFWVLCYSSGCIHAWASLRYIPERIAGAVMLAPMINPYEPNMLKDEMKKTWDKWLSRRQFMYSLARRFPKLLSFFYRKSFLPEKHDRIDKLLSFSLGKKDEILIEEPSFEEFWQRDVEESIRQGKVEPFVEEVVLQVSKWGFDLEELHVQKKCQTRGILLWLKSMYGEAECELVGFLGPIHIWQGLDDRVVPPSMTEYIGRVLPEAVIHKLPNEGHFSYFFFCDECHRKMFSTLLGTPQGPIEQQEETAFVENKEHI >OIV91550 pep chromosome:LupAngTanjil_v1.0:LG19:17819807:17821306:1 gene:TanjilG_08962 transcript:OIV91550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFLAPLKSGMKKEKEVGEMKIIVVVNPSGGAEVYPIEEDDANSDIDYVNQQSKGENEKSVEGANDFNV >OIV91544 pep chromosome:LupAngTanjil_v1.0:LG19:17850058:17865834:-1 gene:TanjilG_08956 transcript:OIV91544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAVESSLISKLQSSDTAGIYSLVSDYLHPLLTASNKFDQTLIRSLAKRFISFLNSSLSILPKRLPQLYKSLNDDVLIELFRVYTLCLDCLDTVSSQLASKPFQVEFQRLRFIHCFENCSRHRDAEAEGFRVLEKIRAMNVSKKSVRKGKGKGVDGNSGYDNDKDFCLLASEIVVSLVRCAAMGTAKEDEHFKRVLDLVEESRPWFRGLDAISHEKLHSMLVIQLGKCALNFLGTTFSDKDLVITFSCLTLTEYVKSPIKDQVFKENKSLYIMDILDCVARECKVVQGNAGTDFVELIYYCVYKCQATANASFCSTFAAYLNKVAMHFKQLLSSFCIPLLIEVVWQVMIPINPILRLYAAGLLLVSCNLMSKAGDLAYSGSAKFECLHGTLIENDKLLLSSPTLLSSLHICSNRNCITSGVKDKHFIGQACTHTGSDCEVSLTYIPSYTEALKFLCHPLAKSVNSERQRLVTEEVDGSALAMLSTIQDAFHILCHLIISSPSFASEKNGDEFDEKSGTVLNVALAAFTMSIKANLKVQESTQLIKQIVAGKWIEIGGIKYISAALYNIAVVLYRNKKPKEELTAYEEMSFKYPELCQKMQMKITGFLLQDIYVTPDSSFKKAQTLLREAKALRAGGIGGLKDCIQCLSKAITIMKAASSDQCTNKNPIDHQLSVAYCLHALCTQEAEPNSKQIFEDVKAALDIWLNMFRLDCFEEGDCSTIPDSIMILLYNIIDLIQLKGFMDLSGDAYQLVTRIFKLKKVPVEKWLTLLWESRRLSHALCVSPVNEAFIMNSSHQSSELSNIDFWIRHLQGNQSSSAPVSSHSAFLAGYLYYDLCQRLVANGQLIEALSSAKQAHRLHAELFQRKFTRNVQQHNEKHNVMIDFSKNLGDEVGIIHEMIGDGGKLYVKKRLWDLAEKELQSAEQILKDGCTAFCCSKCKLKLEVILYQYLGDLCQHKFETRTGVVSEVTAKNLYTSALDKLNLSEWKNPLTCPEEGNGETAQDIKCGGRICACLTINEAGGNVTESVKAGPETKTRAKQNRKTKNAAKVLRKESNLGVEDKPMITRSRCRSSQNQHISISSKSDVGESLEGNNISDSSAKFWVKIVGLHISTKLQLELTLLTGFFHMYLRDTRPVPETSVDLAEYVKKFLAGLPSTTIISISLLGHDYTGLLQELLLYPTCVQAWMLVSRLSFKSEPIVMLLPLDSILQASDEDDFSIGSGTLPSCEKPSDTWHCPWGFTVVDDVAPAFKTILEGNYLSSKSNVEDTTHNRMLWWKRRKNLDQSLDKLLRNLEDSWFGSWKCLLLGKLLNCKNFDSVLKNLVNDLRSKCKLDVNEDLVRVILGGSKYMCEGEMLVSQLCLKKDCYIAQVGYCDEARSGILSNAANGFGMSSEVAFHLLSEALNVLEVDDSVNREPIILVLDYEVQMLPWENLPVLRNHEVYRMPSVSSISAVLDNKASNHQEQVERNLVPFPSIDPLDAFYLLNPDGDLSDTQITFESWFRDKNLEGKAGSKPTIGELASALKSHDLFIYFGHGSGSQYIPRHEIQKLENCAATLLMGCSSGSLTLNGSYAPQGVPLSYLLAGSPAIVANLWEVTDKDIDRFGKAMFDAWLKERSDIPTECLECKLLSEEFEALNLKGGKGRAKRKVPKKKLQELAETDSHNCCHRRKVGAFMGKARSVCTLPFLTGASPVCYGVPTGIWRKKNV >OIV92014 pep chromosome:LupAngTanjil_v1.0:LG19:10996708:10997202:-1 gene:TanjilG_20944 transcript:OIV92014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQAIPYRSWRSLNNPTTTHFTSHPLNNNFHSIDENINNNKSNKNSSSLASERMVLNMVSENAVIVFGRCGCCMSHVVKRLLQGLGANPAVYEVEEKDEVDFAKELEAIVGKNNIGNDGKEIKVVQFPVVFIGGKLFGGLDRLMATHISGELVPMLKEVGALWL >OIV92340 pep chromosome:LupAngTanjil_v1.0:LG19:3273437:3273598:-1 gene:TanjilG_10550 transcript:OIV92340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVGLFCMTNTMAQDSEIAPTSQLQAGDGFALPISGVALCSSLLASLVTFMMQ >OIV92562 pep chromosome:LupAngTanjil_v1.0:LG19:179021:185549:1 gene:TanjilG_02325 transcript:OIV92562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDEKKKKINGGIVKVEPKPKKGFSCKVIDLLEKLVVKLFYDSSIPHHYLDANFGPIFHETPPTINLPLKGYLPDCLNGEFVRVGPNPKFAPVAGYHWFDGDGMIHGLRIKDGKATYVSRFVRTSRLQQEEYFGSAKFMKIGDLKGIFGLLMVNINMLRNKLKVVDESYGRGTANTALIYHHGNLLALNEGDKPYAIKVFEDGDLQTLGMVDYDKRLAHPFTAHPKVDPFTGEMFTFGYSQTPPYVTYRVISEDGYMHDPVPITISDPVMMHDFAITENYAIFMDLPLYFRPKEMVKENKLIFSFDSTKKARFGVLPRYAKDEQHIRWFELPNCFIFHNANAWEEEDEVVLITCRIENPDLDMVNGAVKEKLDSFTNELYEMRFNIKTGQASQKKLSAPAVDFPRVNESYTGRKSYVHVVDAKTMSADPVAVVELPHRVPYGFHAFFVTEVSMKKEKLIGGVLASGFDETSCISRFQSHLYRKASPHKPSPYLIYKLRNYEELHTRCGPNTRAYTRSMMKIVNSENNGNAGMCKYLVCVPVNGLGNQMISIAATFLYAVLTDRVLLVRFGEDKYGLFCEPFLNSTWLLPKNFPFWNYKLVETYQSMLQKDKGNISKEDLPSTLFLNLQHTKNDHDKFFHCDHSQDLLQNVPLLILKSDQYFVPALFMNPSFNSEITKMFLERDTVFYHLGRYLFHPSNEAWGLISKFYQEHLSKADKRIGLQIRVFAPDSTPQQAVMDLLLSCTIKNKLLPELDTENSMPYSMKNKSIKVVLVTSLNPEYGENLRNMYENKATVTGEVIKVYQASHEEHQKHHDKMHNMKAWMEMYLLSLCDVLVTTSVSTFGYVAQSLGGLRPWLLYKLTNNETHFPACERDFSFEPCYHIPPKHYCNGNLIKDFVSTFLYLRGCKDFSDGVKLVNDTT >OIV92399 pep chromosome:LupAngTanjil_v1.0:LG19:1462010:1463515:1 gene:TanjilG_22999 transcript:OIV92399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIQRLIYLLLVLFSFISSSQAYKFYVGGKYGWVINHSEDYNPWAERNRFQVGDSLSKLFNFHCKYHFNLWLNACDWFYKLINNKNNYGFVSLFVVIVFKYEKGSNSVLEVNKDDYEKCYKKNPIKKFEDGNTEFQIDRSGPYFFISGKDDNCEKGQKLIVVVLAIRPPPPQTPSPSVPSPYVSAPPKAPYVPTPPKSSSPSQAPSIPKAPSPYVPTPPQAPSPLYSPPNVPKSPSPSVPNAPLEAPSPSTNTPYVPTPTKTPSPISQPPYVPTPQNTPSPTNQPPYISTPPKTASPISQPPKSGSHISQPPYVPTPPKAPSPISQPPKTTPSPISQPPYALTPQNSPSPFSQAPYTQSPTPSKSTSPISQPPLSAQTPRGNSIIAPSPSPTVPSPSPTSSIPISPSPYANSPSSLSPSPSPSVQTPTTSPVSDTSPTPSSPPSSSLSPGGSATTPSTSSSNETAPAPPSRTSFAWVATPSGLWVYSVTIIVGFSLTTILG >OIV92300 pep chromosome:LupAngTanjil_v1.0:LG19:2859551:2859889:1 gene:TanjilG_10510 transcript:OIV92300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVSGGRSTLNPNAPIYIPAAFRQVEDFSPEWWQLVTTLTWYHDFWLSQQQGDGGFYGEDSFDGSDVVDLLPDAFDLDVSDDLFVMEAQLEEFLRSSESQGKYFAGLKELN >OIV91811 pep chromosome:LupAngTanjil_v1.0:LG19:14273745:14276789:1 gene:TanjilG_14390 transcript:OIV91811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFQKSSTMSCFNETPKSSWKSRTSRFSPLSERKKSPASLNNKDDLFHIIHKVPAGDSPYVKAKQVQLVDKNPGRAISMFWAAINAGDRVESALKDMALVMKQLNRSDEAVEAIKSFRYLCPPESQDSMDNILVELYKRSGRVDEEIDMLHHKLKQIEDGVTFVGRTTKQARSQGRTIQVTAEQEISRILGNLAWAYLQKGDYKTAEEHYRKALSFEVDRNKQCNLAICLMQMNKITEAKFLLQAVTTATKNRKMDDSFVKSFERASQMLIEMGSSPLGDPVRNMPQCSPQRSVDVEVPHARRRLYESPDPTKTYQKMVPYTAKKCSWGFNNGHQRETWGDVHSDPKPSFRNGLSSPSNGWSRTTSMDGAKEYPTPIGKDQNLDQGPQISSSTSSVHNSPGNEKSSENFISLSGNRKPAEKKSWADLVEDEEEQDLFYGSYANFDSKGNAEMLNDENADSNIVFQQKDRNTVRRSLCFYEDPTPPSKERDSFSSGDIKLARRNRLQVFQDITPETP >OIV92158 pep chromosome:LupAngTanjil_v1.0:LG19:5952796:5957141:-1 gene:TanjilG_18730 transcript:OIV92158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGKNGTYTKVNIDMFLKSAIEDRAMHSIFVTFYIYQLKKRCGKPGNSAELFERVSESIKVKRYTEALDDLNAAIEADPNLSEAYLFRASVLRQLCRYEQSERSYKKVLELKPGHSTAEKELSQLLQAKSALETAQSLYDLGNFTKSLEYIDKVVLVFSPACTKAKLLKVSLLIGDKDYEGAIAESGFILKEDENNLDALLLRGRAYYYLADHDVATRHFQKGLRLDPEHGELKKAYFGLKNLLKKSKSAEDNAGKGKLRVAVEEFKTALAVDPDHLAHNVHLHLGLCKVLVRLGRGKDALNSCSDALKIDEELTEALVQRGEAKLLTEDWEGAVEDIKSAAQKSPQDRNIREALMRAEKALKMSKRKDYYKILGISKTASAAEIKRAYKKLALQWHPDKNVGNREEAEAKFREIAAAYEILSDEDKRVRFDQGEDLEDTGGMGSGGGGFNPFGGGGQQYTFTFDGGFPGGGGGGFGGGFPGGYEFHF >OIV92405 pep chromosome:LupAngTanjil_v1.0:LG19:1511011:1515173:-1 gene:TanjilG_23005 transcript:OIV92405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSSGSAWQKSGSSRGLNGSGVSDRNQRLHSPERNPFSGDASQDSGFKAERDMALLAHGDHQPKNLGRFSGFCEDEVGVDPFVCSIEWGDVSLRQWVDKPERAVDVFECLHIFRQIVEIVNAAHSQGVVVHNVRPSCFVMSSFNHISFIESASCSDSSSDSLGDERNDQGVEIKKLMSTYQQSVGSEDFVPGKTSATGLSHSSCMLSSAVYAARASLIEETEENKIKDSRKAEEVEGKKQSFPMKQILQMEMSWYSSPEELAGTPSSCASDVYRLGVLLFELFCPLSSREEKSRTMSSLRHRVLPPQLLLKWPKEASFCLWLLHPDPSSRPTLGELLQSEFLNEQRDDMEEREAAIELRQRIEDQELLLEFLLLLQQKKREVAEKLQHTISFLCSDIEEVAKQQTRFKEIAGAELGNDDRSASSFPIMTVIDSDDSACPGTRKRVRPGMHAKNIEECDDRLGDDQNNHESFLSKNSRLMKNFKKLESAYFLTRCRPANSSGKPVVRHSPITNDGRGSVVMSESCVNNLASREQCRDGKAWINPFLEGLCKYLSFSKLKVKADLKQGDLLHSSNLVCALSFDRDGDFFATAGVNKKIKVFECDTIINEDRDIHYPVVEMASRSKLSSICWNTYIKSQIASSNFEGVVQLWDITRSQILSEMKEHERRVWSIDFSSADPTMLASGSDDGSVKLWSINQAIQFLHLVDGVSIGTIKTKANICCVQFPPDSATSLAFGSADHRIYYYDLRSLKAPLCTLVGHNKTVSYIKFVDSMNLVSASTDNTLKLWDLSKCASRVINTPIQSFTGHMNVKNFVGLSVSDGYIATGSETNEVFIYHKAFPMPALSFKFQNTDPLSGHEVDDAAQFVSSVCWRGQSSTLVAANSTGNVKILEMV >OIV92263 pep chromosome:LupAngTanjil_v1.0:LG19:3992540:3996230:1 gene:TanjilG_00281 transcript:OIV92263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFGCAFREYLEEEKELMLDKKCVHIEYLRLKKVLKSCQGCKELHTCSDSELDQNQLCQCQSCSLCDQKFFSELMKEASDIVGYFNSRVSHILHLHIATGMRSYVLRLRQCFKDDRQDLAQEGGILIEYIAMNAIAMRKILKKYDKVHNSVNGENFKSRMLAEHIELLHTPLLIELGAFYLNSSGLDGCELDGIRGRFSCDLNITEAIITMSLPDSIKLEYNLTCAICLDYVFNPYALGCGHIFCKSCACTAASVMIFEGLKYASPESKCPICREVGVYSKPMHMLELDLLIKRRCREYWKERLTVERSETMKQIKEYWNMQSTYATGF >OIV92428 pep chromosome:LupAngTanjil_v1.0:LG19:1277298:1278390:-1 gene:TanjilG_25158 transcript:OIV92428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTRSGKKDIDSYTIKGTNVIVRVGDSVLMHPPQSSKLPYVARVDKIEHDNRNSVNVRIRWYYRPEDSLGGRRIFHGTNELFLSDHHDVQSADTIEGKCIVHPFDDYIKLEKAGSDDYFCRFEYMATAGTFTPDSVAVYCICELPYNPDIFMMQCERCQDW >OIV91720 pep chromosome:LupAngTanjil_v1.0:LG19:16501358:16504488:-1 gene:TanjilG_26573 transcript:OIV91720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTWCNDSDEERGIEIESITPTITPRANNNNTRAIKLTKDIRTITCPSCGHNIQFQDQGGINELPGLPAGVKFDPSDQEILEHLEAKVVSDVHKLHPLIDEFIPTLEGENGICYTHPEKLPGVSKDGQIRHFFHRPSKAYTTGTRKRRKVHTDEEGSETRWHKTGKTRPVFGVGPVKGFKKILVLYTNYGRQKKPEKTNWVMHQYHLGSNEEEKDGELVVSKVFYQTQPRQCGNNNTFMKDPYENKHTNQIGYDDSTILPRNASPLNYYDVPYINYDHVVHDRESSPQLIPNMVTHQGDGSSFIRLAMDHANKARLERK >OIV91699 pep chromosome:LupAngTanjil_v1.0:LG19:16318938:16325651:-1 gene:TanjilG_26552 transcript:OIV91699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLPANPDCLVPPYGETYFQHPNGRCSNGRLVIDFIAEYLGLPYVKPYMGFKNGVVGRENMKHGVNFAVAGATALKSDFFEQKGFDADASSNYSITVQLGWFKDILPSICNSPSSCKEVFQSSFFIVGPIGGNDFGYPLSGKIPLEEFKTYSSLIISTITSVIRDLLDLDAVTVLVPGSFPFGCNPSILTIYETKDEEKYDQGGCLKWINEFFEHFNEMLQTEINRLRELYPHANIIYADYFNSVLQFYRSPQQFGFGENVIKVCCGSGGTYNYNETAMCGSSGVISCDDPSKYVGWDGYHLTEAAYRWIAKDLFERVVSGNSSLPYDAIFNFGDSLSDTGNFLASGNKAFLEFNSLPYGETFFKKPAGRFSDGRLSIDFIAEAYGLPYLPPYINVANGKNAQQGVNFAVAGATALDAKYFSQRGIGPVWTNNSLNVQVGWFKKLKPSLCKTKEECNRYFNRSLFVVGEIGGNDHNYVATATRNVAQLRPMVPLVIEAITKATSELIAEGAVELMVPGNLPSGCSAMYLTTFLSKNKEDYDEHGCFKALNEFVVYYNTQLIAALKTLRRNNPGVRIIYGDYYGAALRFFHAPNHYGFSGETLSACCGGGGPYNVNISAGCGNINSKVCPNPSIFANWDGIHLTEAANKLLAKGLIEGPFSKPPLKRSAFKIA >OIV91570 pep chromosome:LupAngTanjil_v1.0:LG19:17664366:17666470:1 gene:TanjilG_08982 transcript:OIV91570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKLQLLLILFILSIWVIDGAHHAPPPTLDCSTIVLSMADCLSFVTNGSKTTKPEGSCCSGLKSVLKTAPTCLCEAFKSSAQFGVVLNVTKAVSLPSACKVSAPSVSKCGLSETPATAPARSISPQSSPTGSNAPITVNELSPAPAPAPSLGNSASPLLPISAESLLVGLVLAIFSGF >OIV92045 pep chromosome:LupAngTanjil_v1.0:LG19:8793243:8795695:1 gene:TanjilG_15036 transcript:OIV92045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTTGTQAYGEAWYWDNRYSNEPGSFDWYQKYPTLAPIINLYVPHSQPILVVGSGNSVFSEGMVDDGYTDVVNIDISSVVIEAMQTKYRDRPHFKYIKMDVRDMSPFESGSFGAIIDKGTLDSILCGNNSRQHATKMLEEVWRVLKDNGVYILVTYGAPLYRLRLLRESCSWTIKLHVIEKLASEDKSNNNPVWELTKPVPLNDDGSSVDEALGQNPDVHYIYICTKEIK >OIV91671 pep chromosome:LupAngTanjil_v1.0:LG19:16058484:16064769:1 gene:TanjilG_26524 transcript:OIV91671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSCKSKCLSLVAIASLVICALLGVVEGRVPFACDPNNGLTRGFKFCRTYVPIHVRVQDLIGWLTLPEKIRLVVNNAIEVPRLGIKGYEWWSEALHGVSNVGPGTKFGGAFPGATSFPQVITTAASFNQSLWQEIGHVVSDEARAMYNGGVAGLTYWSPNVNIFRDPRWGRGQETPGEDPVLAGKYAASYVKGLQGDGAGNRLKVAACCKHYTAYDLDNWNGVDRFHFNAKVSKQDLEDTYNVPFKSCVLEGKVASVMCSYNQVNGKPTCADSNLLRNTIRGQWHLNGYIVSDCDSVGTLYDTQHYTRTPEEAAAEAIKAGLDLDCGPFLALHTDGAIKQGLITENDLNLALANLITVQMRLGMFDGVAQPYGNLGPRDVCTQAHQELALEAARQGIVLLENKGNALPLSPQHQRTVGVIGPNSDVTVTMIGNYAGVACGYTTPLQGIARYVSTVHQLGCRDVACNANDLFGKAEIVARNVDATVLVVGLDQSIEAEFRDRVGLLLPGHQQELVSRVARAARGPVILVIMSGGPVDVTFAKNDPKISAILWVGYPGQAGGTAIADVIFGTTNPGGRLPNTWYPQEYINKVPMTNMDMRSNPASGYPGRTYRFYKGPVVYPFGHGLSYTRFTHTLVIAPKEVSVPITSLSALKNSTFSSKAIKVSHANCGALELGFHVDVKNEGSMDGSHTLLIFSKPPFGKWNSVKQLVTFHKVHVLAGSKQRVKVGVHVCKHLSIVDEFGVRRIPMGKHELHFGDVKHSISIQTLDEIKN >OIV91817 pep chromosome:LupAngTanjil_v1.0:LG19:14212771:14218601:1 gene:TanjilG_14396 transcript:OIV91817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMLFSGNTDDGECYSDNGKENESLGDHILEEMESIWIDIDRRLIISRMVSDSVIKGMVNAVEEQAAERIAQKELEVVRLKKMLYSFEEGSDETKIMRSSVRNRGSHEDATHHISDSVLEHGGLVGSDGLQVAVNEQLKQLKKAINKIRGSGSIKRISSGSGLVGLDGILQENVPERWIYVDKSFESLTDTLDTLCRRVEVMDQSSEASLWHEELEFRSEIERMVISNCIWSLQQAFEQKLWELYDSESRNCFDQHKEISSMRQELDSIFKALSVSETGLLISHGSLENGEEWCHSKRVDHFHSKLPTTHSLPSTLEENGKHENSQNSKHENLDPSLLKHMSRDELITYFNSEITKMRRNHESQVQEMTEENFRLRREVLNLKERGSHSPMKKDKDFDLLRKKISDAISKLDKILVGNEKVHWFTENIESLSSLKDRLDFLLSENHQLKDTLTDKKKEIKSLSSQLSVAVENLSLQQLTEKNLLRTIQKLEDDIGVAHAEVPVIQDVYECLFEDIASEFRCITEELHLKNSIMEEIYETILKETAHSPQTSSELEIEEANMKASMMQGLLDINQIIFKEALVDLNNALKSEAAKKEELKQEILILTSMVEAREKLAEEAGDALVQEKQKTQLAFVQVNSLQTHTLQQQTLLTETNEELDATKGDLVAALKEIEQYKEQMQKLHQNLEQKMNELREIDDERRALCSVTQKQQDVLALIEAKERETRNQMESTVDLIDKLLRMVTDFEARVNGDVSKNCLRLENMKSEFCYINNKANILKTTGLVYKQMLETRCSDLAKAEEEVDLLGDEVDTLLSLLEKIYIALNHYSPVLQHYPGHDTGIGFVQPVSAIVR >OIV92131 pep chromosome:LupAngTanjil_v1.0:LG19:6330828:6331724:-1 gene:TanjilG_18703 transcript:OIV92131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLGTVECLRGRLLAERHASRVAKEDAESMANKFVELERKLKKEIKLRDKAERKLKFLKKKLESFKLSSPLGQCYSSQKCENSCGSSSCSSASKLSEGNETKAHTTSPSLSENAVHNHNVAEAFVLIKNIYSGSQFNDTCSFENPNQSFEDLKNGENRLSHSSSKSLAKSYHYHETGDYSSNPSPTHASTENICHNPDPRFEDLKNDESTYCIRILSL >OIV91821 pep chromosome:LupAngTanjil_v1.0:LG19:13007371:13007691:1 gene:TanjilG_17813 transcript:OIV91821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQEQGVSKEVEKNFIDLLAEEVRQKESEAEEKQRIADIKLLEAKKIASQYQKEADKCNSGMETCEEARERAEATLENQMKETALWELRARQRGWKEGAKKSRAHF >OIV91677 pep chromosome:LupAngTanjil_v1.0:LG19:16142711:16144550:1 gene:TanjilG_26530 transcript:OIV91677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCISLELKKLPHTMAVTHADLEPSRSKTDLSSKTGAFLMVLTILLGLLCFILCLIAEATRSEVTWISSDEKGKEDKSECVYNSSGKVPLLCAASAFFGLAIAMVMEHTYMMIAVSKSSPALLDWDPYSASIKSLTWQAGFFFITTWICFAVGEILLLAGLSVESGHLKNWSKPRTDCYIIREGLFSSAGVFALTSVFLAAGLYLTALRAQRILEDLAHVRREILEASVLYASPPRSPQRHITSVDRENPTTRENSHNENLLSVFPTPFNKSYNFV >OIV92253 pep chromosome:LupAngTanjil_v1.0:LG19:3838659:3843352:-1 gene:TanjilG_00271 transcript:OIV92253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRRRAALEAEDADFETTEGVKAIGSFEEMGIKDDLLRGIYQYGFEKPSAIQQRAVTPIIQGRDVIAQAQSGTGKSSMIALTVCQIVDTSVREVQALIVSPTRELASQTEKVILAIGDFINIQAHACIGGKSEGEDMSKLEYGVHVVSGTPGRVCDMIKRRTLRTRAIKLLVLDESDEMLSRGFKDQIYDVYRYLPPDLQVVLISATLPHEILEMTNKFMTDPVRILVKRDELTLERTQSDRERGRKRESESERSHGSGRGRGSDREERKEKKKVENNEFDDDDDNGRRNNRSLKSRHERSPEHHRNGKRSHRSHSPPQPHASTAANGKPLDEVSGDIQP >OIV91928 pep chromosome:LupAngTanjil_v1.0:LG19:12764855:12773019:1 gene:TanjilG_00596 transcript:OIV91928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSCSNALPSGEIHERSLKPEEGKEEREEEEEEEEEDEDVDFNPFLKETLSQEASSSLSSEVDGLDGHVVNSEPSVDTNVSKPIVKEQICTTTTAMDSDHCEEGIILQTSAMISQSETIKEKQSDLTSEIDGHRSRIRESSNTTKSRSPVIDTDNEDAICMRTRARYSLASFSLDELETFLQESDDDDFQNADDEEEYKKFLAAVLQGGDADGLSTHENESLDDEDEDNDMDFEIELEELLESDVDENAAVKTRMEYGGSGRRPETRQNKRQKVSSHCQKKSSGQVKRPLRPILPNWLNGPPASGTASMPESTLSVQSSASGNGLVNGFTPHQIGQLHCLIYEHVQLLIQVFSLSVLEPSQKHIASQVQCLLFEMLHKRDEVLSSKKAPYPSICFTPSYFFTSVSNGVSKFSTGQCNIESASTQDAQNVCLPQSNQSCSEALTRQRSFQDNVGCFWVPLVRGPVQSILDVSPLNLVRRYVGDIHSAAEEFRKRYFESGYYSPVDKECLFPFSSSHAEANSEVSSGTKSRSVNTVPDSPGQQRPKKTLAAMLVESTKKQSIALVPKEVATLTQRFLPLFNPVLFPHKSPPAAVVNRILFTDSEDELLALGIMEYNTDWKAIQQRFLPCKSKHQIFVRQKNRCSSKAPENPIKAVRRMKTSPLTEEEIAFIQEGLKIYKFDWMSVWRCIVPHRDPSLLPRQWRSACGTQKSYKLDASKREKRRLYESNRRKLKASASESCQPISDKEDCEANVAGSENCMDYTDVPYVHQAFLADWRPDTSTPTYSERISSTSGEGYLAHDYGLCGKAQHQNGNRPAFPSVPKLAQPFHTTPDLRSCIDGAPSAVKPRHPVSEVTSTSKYYCRPYRARRRVNNAHLVKLAPGLPPVNLPPSVRVVSETAFKGFQSGTSKVYPAGSGVTACRKDNSVSQTPHGENKLGNINPLEGARPVLKDSVTGSQLEISGTVEGRPAVAENGTCSDLQLHPLLFQVTEDGNVPYYPLKFSGTSSSFSLFSGTQSQLNLSLFQNSQQQSHIDCANKCLKSKDSTLRSDGIDFHPLLQKSNDTKSRTSFDAIQTQSLGNDVPAIANRSFDLNDKSYELDSEINHKSNKLDLNVPAITCGLAQSVLPSIVPNDNFARYDVDDIGDQSHPEIVMEQEELSDSEEDIEEHVEFECEEMADSEGEDGSDCEQTPEVQNKEVPTSEENVAKYKTSMEKPCEPGYNSDAQADDSLHTNDTSTLNMALIGEGKDDKSISSWLCLDSSNAADDPMVQDLNLGPGVFAKPRKPRKRSGKWNASLNIGLTVERSSQDDNHKDG >OIV92271 pep chromosome:LupAngTanjil_v1.0:LG19:4135450:4139436:-1 gene:TanjilG_00289 transcript:OIV92271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMESENGVVVEEEKHVIGVTTEDNIKKEVENNCNVAEIQIKNEEPKPKVETEVPNSAGDTAAVKSSLTSSASKKSKRAKEPGLRAVDASKNNKKSKDKPILKGTTSTSQKQRPTLSQSLTFPAKSTRRNAMHKSIDDGHNSVKAKVKQVLDNGTKADASILHSNKSINSEVKSKEAKVDTRSSNHQTSLTTMPSFKRSVSVFGRSTPVNGVAKNHPSEASPTVDQILNPAKATRPNIEDGDTQSTTLPELDDHISSPEKPTKPNKEDEDTNSTTLGATTQRNSGSRFSFRLEERAEKRKEFFSKLEEKIHAMEAEKTNMQEKSKENQEAEIKKLRKALTFKATPMPSFYKEPPSKVVLKKIPTTRPKSPKLGRNKGSAMNNIPEEDKSCSSPHEKQQQNDSTKAKVKGNKDEISKKPIKKSQAKLHHYQETVTNKTEGDSVKCTMKIVTGINQVAESCNGSNEECQHPHVKNSECKNEMELECEKDPQPNGAILLNSTMPDLVSYEVPVGV >OIV92284 pep chromosome:LupAngTanjil_v1.0:LG19:4398422:4399546:-1 gene:TanjilG_00302 transcript:OIV92284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKGKDTTSSSTQPNRDNLHWSDEMDQMLLNAMTEEVDKGNRHDSVWTSEAYTNMVDVLTSKFGPIITKNNIKNRMKTLKGHFVEVCDLFHSLSGFAWNPMIRKFEAEDGVWNDLIRGNEEACKNLKQRMKQWEKETIDLNDCSENVEIHHPNVSMNDEQQFSPPNLDAFSPQYAHSNHSTDTSTSRGTKRKRNMVEIMEEAQYERMHIGIMFVAEALKGGDSISNKLHNVERQVAIAEKQVGIAEKQLTLIQQARPRHYSESDVWALLEELQVPDHYLLKCYDYLCDNDQKKRKFFGVPPQMRAQALIQIMSDASIN >OIV92088 pep chromosome:LupAngTanjil_v1.0:LG19:7507866:7512473:-1 gene:TanjilG_08731 transcript:OIV92088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEGGPRSFTIFLQADNLSTQKDGGSATLEASARPTDACNYPTRHCVTKPAPRQEGLTHQEIRVGPRGTVEALDASPLSPTCPDNTKPQHQPAPGRVRPGFGMEDGSVELPTTPWKNAPACLGQLAGALGGSPMACHPDPPRNAWNIIPKHFPKLRPLLSRLFDARGRGPEGPVPNPSPDRHAATRSRRESSSSSPPTADGFGTGTPVPSPQSQSFSRGYGSILPTSLAYIVPSTRGCSPWRPDAVMSTTGRGRHSVLRIFKGRRGRTGHHATCGALPAAGPYLRLSRFQGGQAICTDDRSARAHAPGFAATAAPSYSSGPGPCPDGRVSAQLGTVTQLPVHPASPVLLTKNGPLGALDSVAWLNKAATPSYLFKNSPAGSSYPEGNFGGNQLLDGSISLSPLYPSQTNDLHVCSHSNPSQKIRVGRRCNPQGDPTNQLPCALRVYLPADSHTCQTPWSVFQDGPNGEPAGRRPEHVGAEAHQTARASNHYRDDDVSMSMSTARAWATVTIRVGPCPKSIGGPALAVPHPTEAHLRPPSASL >OIV92089 pep chromosome:LupAngTanjil_v1.0:LG19:7453819:7507765:-1 gene:TanjilG_08731 transcript:OIV92089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DYLFKVLFIFPTRYLFAIGLSQYLALDRIYCPIGAAFPNNPTRRQRLVVRQGPGTTGLSPSPAPPSRGLGPGPPLRTLLQTTIRTPRATDSHGGLFPVRSPLLRESLLVSFPPLIDMLKLSGPQKDGGPATLEASARPTDARNYPTRHRVTKPAPRQEGLTHQEIRVGPRGTVEALDASPTSPTCPDDTKPKHQPAPGRVRPGFGMEDGSVELPTTPWKNAPACLGQLAGALGGSPIRRPYEVRVRNPFLSRLFDARGRGPEGPVPNPSPDRHAATRSRRESSSSSPPTADGFGTGTPVPSPQSQSFSRGYGSILPTSLAYIVPSTRGCSPWRPDAVMSTTGRFAATAAPSYSSGPGPCPDGRVSAQLGTVTQLPVHPASPVLLTKNGPLGALDSVALHPATYDQDSSWKMTRSNCSQPHGKTHQHAWDRLLGRWGGALWHAILTHQNPKNMATLEVSARPTDARNYPTRQCVTKPAPPQEGLMHQEIRVGPRGTVEALHASLISPTCPNNTKPKHQPAPGRVRPGFGMDDDSVKLPTTPWKNAPACLGQLAGALGGAPWHAILTHQALGKCVMASISPSSTRTHVSWPLFHLALGITHEEPHHAQSTPTTGHHEPHTRHHGAWPEAMPHSTFYPEGNFGGNQLLDGSISLSPLYPSQTNDLHVCSHSNPSQKIRVGRRCNPQGDPTNQLPCALRVYLPADSHTCQTPWSVFQDGPNGEPAGRRPEGSPQADARSTHVPKQTKRRALPTTITMMTSPQACQQPVLGPPSQFASVHAPSRLADRLSPFHIRPRHIAGPHPLPSRQFQALFDSLFKVLFIFPSRYLFAIGLSPVFSLGRNLPPDWGCIPKQPDSPTAPRGATGSGHDGALTLSGAPFQGTWARSAAEDASPDYNSDTERDRFSLWAFPGSLAVTKGILINPHGSKSRKAGGGDNRSRALAKPPSITAPSTADSVFNQPRALGQMASGVTCVQRLDGARDSAIHTKYRISLRSSSMQEPRYPLPRVFRISVSQRRPHEHLLWADRGKLNDFNFLGAFRARVFLLGQEDTAEGVAPPDTRGTEVQWTSHNVTGSEPPMSPLSEHFTRPFNRQIAPPTKNGHAPPPIESRKSSQSVNPYYVWTCGVLKATSADPWSASFMVETRTLFVFHKSKNFTSDYEIRMPPTVPVNHYSDPEGQHNRIRILWCYPMLMYPERRLALSTLISSKRDEPIGAHQWRTDRPNPSSNYELFNCNNLNIRYWSWNYRGCWHQTCPPMDPRFAATAAPSYSSGPGPCPDGRVSAQLGTVTQLPVHPASPVLLTKNGPLGALDSVAWLNKAATPSYLFKSDERFARQYRCGPPPEFPLASPRSGIVHHLSGPDRYALTRTLHRRSGSVGGATHKGIPPISFLAPYGFTCPLTRTHVRLLGPCFKTGRMGSPQADARSTQVLKHTKRRVLPTTIAMMTSPRACQQPGLGPPSQFASVHAPSRLADRLSPFHIRLRHIAGPHPLLSRQFQALFDSLFKVLFIFPSRYLFAIGLSPVFSLGRNLPPDWGCIPKQPDSPTAPRGATGSGHDGALTLGALNLSGAPFQGTWAWSAAEDASPDYNLDTEGDRFSWWALLRDSQGSPTETLLRLLLPLNDKVQWTSHNVAGSEPPTSPQSEHFTGPFNRKSVICNDTSGFRGVWKEILEIIEVIDRDWIDGNEEERMELDMG >OIV91900 pep chromosome:LupAngTanjil_v1.0:LG19:14051242:14054877:-1 gene:TanjilG_17892 transcript:OIV91900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGVADTVPPFIAAHLTHLLSHFPLTLKVQQMWSSDKYNSTLFDRFTLLIPYCLDFIKWDIIYNADSPSTAPDFIFGPEDHDFHPFHMNSTIEPAQPNNSLKDWNYKDPSRLLALVQYLRDEYVLYQRKRVEAVDDDRLKFEISTIISREGIEMHLTSSAEKPEEVKFAVPLMDLNINKMVPSCPWRYSQKIYLQVVYPVGRKYLSAPSAPRLKLVSSPELKALFSIDDIKLPPWLDGMCLAEYLPNLEEYLEKQVLEAVSLVDVRRHFIEALACQLGRPVEADPVFCRKATFLSASGVFTFLVHFIIPTQFPKQQPALMLQSSQHFNTQMAPMKSRLISDNPWSPRWEIPLMAERICEFLADEALNFKRQCSEGQLQ >OIV91530 pep chromosome:LupAngTanjil_v1.0:LG19:18001489:18007807:1 gene:TanjilG_08942 transcript:OIV91530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGLDLPEETTAVGRGIAMEFPVVDSPARLPNRLRRRLLETEGKSSSPTTVEEIEAKLRHAHHRRQMYYERLSSKARAKPRSPSRCSSQEEELGQRLEAKLQAAEQKRLSLLTKAQMRLARLDKLRQAAKTGVQMRYENERAKLGTKVESRVQQAEANRMRILKAYRQRRASLRERSSQSLMRRIARDSKYKECVRAAIHQKRVAAETKRLRLLEAEKKKAHARVSQVKHVAKSVSHQREIERTKKKDQLEDRLQRARRQRAEYLSQRGRLHGYAHENWYRISNQAEYLSRKLARCWRRFLSHERTTFALAKAYDMIGINDESVKSMPFEQLALLIESASTIQTVKALVDRIETRLKVYATVSPSNYLSSLDNIDHLLQRVATPKKRTPRSSVRSRGARKVDSVSDSFKSLSKLSRYPVRIVLCAYMILGHPDAVFSGMGEREIALAKSAQEFVRMFERFIKVILYGPISDEESDSEVIKRYTFRSQLAAFDKAWCSYLNCFVLWKVKDARSLEDDLVRAACQLEASMIQTCKLTPQGAGDHLTHDMKAIQHQVTQDQKLLREKVQHLSGDAGIERMESALSETRARYFRVGDNNESPVGSPMTQSMLLKTPLMAQSVSPTPTPLPTVASSGERNILDESNHKTKRVVRSLFKETTTSPGGSSFSVSRTSSENQLASYSGKMVTENEVLVNEFLHEHHRSLSDGFDVDQIQNSIEGKIKQTMEKAFWDSIMESVKQDQPNYDQIVQLMREVRDEICEMAPQSWKEEIFAAIDLEILSQVLISGNLDVDYLGQILEFSLVSLQKLSAPANEEIMKATHRKLFSELSEICQSKDESNNACVIALVKGLQFVLEQIQILKKEISRARIRLMEPLVKGPAGLDYLRNAFAKRYGSPSDANTSLPSTLRWLSSVWNCKDQEWDEHVSLSSALVDSSSQELLPSTTLRTGGNIMIKTIGSSQKALSPDGNQQHQPECKGEPVDLAVRLGLLKLVSGISGLTQEDLQETLSLNFTRLRSVQAQVQKIVVISTSVLICRQILLIEKAVDTPTDLDNMVSKCARQLSDLLDRVEDADTKDIVEVICNLAVVEGGEDAVLKLQSMKEVAARMLGKSLQAGDAVFEKVLNAVYSALRGATLGGRSPHGRRLAEMALLKIGAGVLTERVVEAAGILIVVATISVSVHGPWYRHLTDNI >OIV92496 pep chromosome:LupAngTanjil_v1.0:LG19:728614:733662:-1 gene:TanjilG_02259 transcript:OIV92496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPGRLRRSPSSSRSSSRSRSSSRSRSRSRSFSSSSSSPSRSPIRSPPPHRRKSPAEAARRGRSPPPPFKRTSPPPRKPSPIRESLVLHVDKLSRNVNEGHLKEIFGNFGEVVSVELAMDRAVNLPKGYGYVQFKTRDDAEKALSYMDGAQIDGNIIKARFTLPPRQKVSPPPKASAVAPKRDAPKTDNASADAENDGSKRQKESSPRRKPLSPRRRSPVPRRVGSPRRPDSPRRRADSPVRRRLDSPPYRRGDTPPRRRPISPGRGRSPSPPRRPRSPARVSPRRMRGSPGRRRSPPPPRRRSPPRRARSPPRRSPIGRRRSRSPVRRSARSRSRSFSPRRPLRGRGSSNSSSSSSPLPPPPPHPPRKP >OIV91791 pep chromosome:LupAngTanjil_v1.0:LG19:14556331:14562079:1 gene:TanjilG_14370 transcript:OIV91791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLAHNLSAICYSPLSSRPTLIHSHKQRRTSIVCSSVAISSAQNKERIKLKELFEDAYERCRTAPMEGVSFTLENFTDALEKYDFDAEVGTKVKGTIFGTDAGGAYVDITAKSTAYLPLQEACIHRIKYVHEAGIVSGVREEFVIIGEIEADDSLILSLKSIQYDLCWERCRQLQAEDVVVKGKIVGANKGGLVAEVEGLKGFVPFSQISAKSTEEELLEKDLPLKFVEVDVEQSRLILSHRKAAADSHGQLGIGSVVTGTVQSLKPYGAFIDIGGMSGLLHISQISHDRITDIATALQPGDTLKIAMASLAHNLSAICYSPLSSRPTLIHSHKQRRTSIVCSSVAISSAQNKERIKLKELFEDAYERCRTAPMEGVSFTLENFTDALEKYDFDAEVGTKVKGTIFGTDAGGAYVDITAKSTAYLPLQEACIHRIKYVHEAGIVSGVREEFVIIGEIEADDSLILSLKSIQYDLCWERCRQLQAEDVVVKGKIVGANKGGLVAEVEGLKGFVPFSQISAKSTEEELLEKDLPLKFVEVDVEQSRLILSHRKAAADSHGQLGIGSVVTGTVQSLKPYGAFIDIGGMSGLLHISQISHDRITDIATALQPGDTLKVMILSHDRERGRVSLSTKKLEPTPGDMIRNPKLVFEKAEEMAQTFRQRIAQAEAMARADMLRFQPESGLTLSGDGILGPLTSDLPAEGLNLSEVPLAQDS >OIV92392 pep chromosome:LupAngTanjil_v1.0:LG19:1961963:1966390:1 gene:TanjilG_09990 transcript:OIV92392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLLVVMMKLVKLVSSFLGVFLFLVNASFALYTPLDNYLIACGSSQNITFQGHTFVPDSQHSSLVSKTENSIVVTSNSKVVPFPIYQSVRIFTEKSSYTFEIEQEGRHWVRLYFYPVPNSGHDLNSAAITVVTDDFVLLSNFTFRNYKGSYMFKEYAINVTSETLTLTFIPSIGSVAFVNAIEVVSMPDELFFDQALALHPPAPFSGLSELAFETVYRLNIGGSFLNAQNDTLGRTWDNDLKYLHVNSSVTNVSVSPSNIKYPKGVTAETAPNSVYATAEAMGNANVANSNFNITWVFPVDRNFSYFIRLHFCDIMSKSLNTLVFNVYINNDTALGSLDLSSITNDLAVPYYKDFVSNASVESDNLTVSVGPDTMADITNATMSGLEIMKISNALKSLDGFSSVESFLPSSSSKKNKIGIIVGCVVGAVAAIAFVGLCYFCLVQRNSKSTQQGRPLLSLPLYGNSQTMTKMSTISQKSGTASCISLSSINLGRVFTFQEILDASNKFDERLLLGVGGFGRVYKGTLEDGTNVAVKRGNPRSEQGIAEFRTEIEMLSKLRHRHLVSLIGYCDERSEMILVYECMANGPLRSHLYGTDLPPLSWKQRLEICIGAARGLHYLHTGASQSIIHRDVKTTNILLDDNFVAKVADFGLSKTGPALDQTHVSTAVKGSFGYLDPEYFRRQQLQHSSLVSKTENSIVVTSNSKVVPFPIYQSVRIFTEKSSYTFEIEQEGRHWVRLYFYPVPNSGHDLNSAAITVVTDDFVLLSNFTFRNYKGSYMFKEYAINVTSETLTLTFIPSIGSVAFVNAIEVVSMPDELFFDQALALHPPAPFSGLSELAFETVYRLNIGGSFLNAQNDTLGRTWDNDLKYLHVNSSVTNVSVSPSNIKYPKGVTAETAPNSVYATAEAMGNANVANSNFNITWVFPVDRNFSYFIRLHFCDIMSKSLNTLVFNVYINNDTALGSLDLSSITNDLAVPYYKDFVSNASVESDNLTVSVGPDTMADITNATMSGLEIMKISNALKSLDGFSSVESFLPSSSSKKNKIGIIVGCVVGAVAAIAFVGLCYFCLVQRNSKSTQQGRPLLSLPLYGNSQTMTKMSTISQKSGTASCISLSSINLGRVFTFQEILDASNKFDERLLLGVGGFGRVYKGTLEDGTNVAVKRGNPRSEQGIAEFRTEIEMLSKLRHRHLVSLIGYCDERSEMILVYECMANGPLRSHLYGTDLPPLSWKQRLEICIGAARGLHYLHTGASQSIIHRDVKTTNILLDDNFVAKVADFGLSKTGPALDQTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLMEVLCTRPALNPVLPRDQVNIAEWAMSWQKKGMLDQIMDQKLIGSVNPASLKKFGETAEKCLAEYGVDRPSMGDVLWNLEYALQLQETASSALMEPEDNSTNHITGIQLTTLQNFDNSVSMIDGENSATDDDAEDAATSAVFSQLVNPHGR >OIV92172 pep chromosome:LupAngTanjil_v1.0:LG19:5542354:5543181:-1 gene:TanjilG_30813 transcript:OIV92172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVQDEQQQHDGDINHMQMIKFKGKRTKPQRLPSLLRLPMPSSSIDNSEEEKDMANCLILLARGCNQQTQKTSESYHVTTPDHNRNKKLGLYHYECKTCNKCFPSFQALGGHRASHNKPKAITQDQKQGVTSFVHDRVDHYYDPTTTSTTFTLQIPNNRALFGIKTTKTTNKCNKVHECYICGTEFSSGQALGGHMRRHRSFLSTTSTSKTSLSGANNNGVGESPHEFVDAKRPRNVLMLDLNLPAPEDDRRETKLLFQSKEKVIVFNATLDCHY >OIV92003 pep chromosome:LupAngTanjil_v1.0:LG19:11415576:11416667:-1 gene:TanjilG_07742 transcript:OIV92003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDEIQRFIKVWITSISCLCYCYYIASRIPKGFFRLLSLLPILYLFLILPLNLSSIHLVGPTTFFLVWLSTFKLLLFSFNQGPLSSSPNIFYFISIASLPIIPKHHPTSKDSKLTKNNTNPPHQSTPNIEKSKKGNVLPSLLLFSKMLIAALIIHAYDYRENLHPHLILVLYCCHVYLGIELVLALCAAPARAMFGFEIEPQFNEPYLSTSLQDFWGRRWNLMVTNILRPTVYDPIRRISTYYVGPMFGISTAMLATFLVSGLMHEVIYYYLARAPPTWEVTWFFVLHGVCTAAEVVAKKAFVRRGWWLPRAVSVVLTLMFLAVTGQWLFFPQLLRNGVDRKAIAEYAIMVDFIKSKLHISIF >OIV91984 pep chromosome:LupAngTanjil_v1.0:LG19:11677750:11685152:1 gene:TanjilG_06612 transcript:OIV91984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHHHRFTNSVTPQSHLLIPQCACPCRPFPSQSHRSISSSNLTNFPFFFTKPKPIFLRFNHRSGSSFYPRAIAHPEPEHSLPQQNAQKEDLLQKSQQQVSQFRNRVVYGVGIGITVGGVVLAGGWVFAVALAAAVFAGAREYFELVRSHGITEGMTPPPRYVSRVCSVICALMPLFIMYRGHIDVSVTSAAFVLAMALLLQRGSARFSQLSSAIFGLFYCGYLPCFWVKLRCGLAAPALNTGIGAAWPVLLGGQAHWTVGLVATLISMSSIIAADTFAFLGGRAFGRTPLTSISPKKTWEGTVIGFCGCIVTSVVLAKLFRWPISLSSAIAYGVLNFFGSVFGDLTESMIKRDAGVKDSGSLIPGHGGVLDRVDSYIFTGALAYSFIKTFLPLYGV >OIV91657 pep chromosome:LupAngTanjil_v1.0:LG19:15142231:15149323:-1 gene:TanjilG_26510 transcript:OIV91657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSFSLYQSTQCFFSNTQFKGFRHGFSWRPTYPFDSRVENKVRPIKASVVVSSFPLFQTPKVEESSSDVLEPADPDFYKIGYVRSVRAYGIDFKEGPDGFGVYASKDVEPLRRARVIMEIPLELMLTISKKLPWMFFPDIVPVGHPIFDIINSTNPETDWDLRLASLLLFSFDCEGNFWQLYGDFLPSSEECCSLLMATKEELLELQDPDLASTITNQQQRALEFWEKNWHSGAPLKIKRLARDPQRFIWAMAIAQSRCINMHMRIGALNQEANMLIPYADMLNHSFEPNCFFHWRFKDRMLEVLINAGQQIKKGDEMTVNYMSRETNDVLMQRYGFSSPVNPWDVIKFSGNTHIHLDSFLSVFNISGLPEEYYHNNRLSNAGDTFVDGAVLAAARTLPTWSDGDVPPIPSVERKAAKELQDECQRMLSAYATTAKQDQKLLEYGNVRMIGLK >OIV92155 pep chromosome:LupAngTanjil_v1.0:LG19:6030460:6030883:1 gene:TanjilG_18727 transcript:OIV92155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGGSPLKKTIILVKDSGQKGFNPALIVLLVVVGLLLTFLVGNYVLYTYAQKTIPPRKKKPVSKKKLKKERMKQGVSAPGE >OIV92015 pep chromosome:LupAngTanjil_v1.0:LG19:11015671:11016411:-1 gene:TanjilG_20945 transcript:OIV92015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNNLALILLTLTPFLFLLTPQTRAQHATSGPANLTATLESGGQYNTFIRLLNETQQIIQIQSQLNTTTQGFTIFAPTDNAFQTLASGAINGLTVDQKVKLVLYHIIPKYYLLIDLLTVSNPVSTEASWGLNFTGHGNQVNVSTGVVETALNNALREQFPLAVYEVDKVLLPLELFGTKTPKSASTPKSAPSPKSSKSSPDEIPSPATAADAAQSPLHSKNGAAGMNVGLGMVIGLGLICMGAMS >OIV91558 pep chromosome:LupAngTanjil_v1.0:LG19:17732801:17735883:1 gene:TanjilG_08970 transcript:OIV91558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGSFDVLADNLSINGATEPFPADFDVAAVIKEPVPPVVGDNGIVNGDAHISPAKEKRQIVLGRNIHTMCLEVTEPDIDDEVTGDREAYMASVLAKYRRALTERTKHHLGYPYNLDFEYGALSQLQHFSINNLGDPFIESNYGVHSRQFEVGVLDWFARLWELEKNEYWGYITNCGTEGNLHGVLVGREVFPDGILYASRESHYSIFKAARMYRMECEKIETLCSGEIDCDDFKAKLLCTTVKGAVDDLDLVIKTLEDTGFSHDRFYIHCDGALFGLMMPFVKRAPKVSFKKPIGSVSVSGHKFVGCPMPCGVQITRLESVNALSRNVEYLASRDATIMGSRNGHAPIFLWYTLNRKGYRGFQKEVQKCLRNAHYFKDRLLDAGIGAMLNELSSTVVFERPHDEEFIRRWQLACQGNIAHVVVMPNITIEKLDDFLNELLQKRATWFEDGSYQPYCIASDVGEKNCLCALHK >OIV92533 pep chromosome:LupAngTanjil_v1.0:LG19:363756:366615:-1 gene:TanjilG_02296 transcript:OIV92533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKLGYEKNLVLCFLLVLLVPFTCASRVTINNGVSSQKLEVQKHLKNLNKPHVKSIKSPDGDIIDCVHVSHQPAFDHPDLKSHKIQMIPNYHPEGQIFGESKVSSNSKPITQLWHKNGRCPEGTIPVRRTKKDDILRASSIQKFGKKKQKSFPQPRSSKPLPDLITQSGHQHAIVYVEGDKYYGAKATINVWDPKIQQSNEFSLSQMWLLSGSFGQDLNSIEAGWQVSPDLYGDNNTRLFTYWTSDAYQATGCYNLLCSGFIQVNNDIALGASIYPLSNYGSSQYDISILVWKDPKEGNWWMQFGSEHVLGYWPGPLFSYLSDSASMIEWGGEVVNSESDGQHTSTQMGSGHFPDEGFGKSSYFKNIQIVDGNNKLRPPKDLGTFTEQDSCYDVKTGSANDWGNYFYYGGPGRNPNCL >OIV92295 pep chromosome:LupAngTanjil_v1.0:LG19:2815473:2816117:-1 gene:TanjilG_10505 transcript:OIV92295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLINKLSPKRFFRSKKDRSTVSRSDPPSFGSESLSSSSEGSTHKTATGATGSQTPTSVLPDVSGDWTAISGDLHSDLANAFRFIDRDRDGVISRHELEALLNRLAAAPEEVAMMLSEVEFDGEGCITVEALMNRVGSGSGSCENADEMMEAFAVFDTDRDGRISAEELFRVFEAIGDERCTLAECRRMIESVDRKGDGFVCFEDFSRMMELQR >OIV92137 pep chromosome:LupAngTanjil_v1.0:LG19:6243029:6246327:-1 gene:TanjilG_18709 transcript:OIV92137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAARKLKSHRRRQRWADKSYKKSHLGNEWKKPFAGSSHAKGIVLEKIGIEAKQPNSAIRKCARVQLIKNGKKIAAFVPNDGCLNYIEENDEVLIAGFGRKGHAVGDIPGVRFKVVKVSGVSLLALFKEKKEKPRVGNEINVKFQLQIQESNDTEWKKPFAGSSHAKGIVLEKIGIEAKQPNSAIRKCARVQLIKNGKKIAAFVPNDGCLNYIEENDEVLIAGFGRKGHAVGDIPGVRFKVVKVSGVSLLALFKEKKEKPRS >OIV92183 pep chromosome:LupAngTanjil_v1.0:LG19:5358123:5362441:-1 gene:TanjilG_30891 transcript:OIV92183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATIVSIKARQIFDSRGNPTVEVDLTLSDGTFARAAVPSGASTGIYEALELRDGGSDYLGKGVSKAVDNVNTIIGPALIGKDPTQQTAIDNFIVQELDGTVNEWGWGKQKLGANAILAVSLAVCKAGASALKIPLYQHIANLAGNKKLVLPVPAFNVINGGSHAGNKLAMQEFMILPVGASTFKEALKIGVEVYHNLKSVIKKKYGQDAVNVGDEGGFAPNIQENKEGLELLKLAIAKAGYTDKVVIGMDVAASEFYTSDKKYDLNFKEENNDGKQKISGDALKDLYKSFVAEYPISSIEDPFDQDDWEHYGKITTEIGTEVQIVGDDLLVTNPKVNQIGSVTESIEAVKMSKRAGWGVMTSHRSGETEDTFIADLAVGLSTGQIKTGAPCRSERLAKYNQLLRIEEELGADAVYAGLNFRNPVEPY >OIV92096 pep chromosome:LupAngTanjil_v1.0:LG19:7308236:7318254:1 gene:TanjilG_27251 transcript:OIV92096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGGSFRIGSSSIWRNSDAEIFSNNSSHQQEDDEEALKWVAIQKLPTIARLRKGLLASSEGESYEIDIKKLGLQEKRVLLERLVKIAEEDNEKFLLKLRNRIDRVGINLPTIEVRFENLNIEAETHVGGRAMPTFTNFMVNIVEGLLNSLHVLPSTRQHINILQDVSGIIKPARMTLLLGPPSSGKTTLLLALAGKLDPKLKFTGKVTYNGHGMNEFVPQRTAAYVSQNDLHIGEMTVRETLAFSARVQGVGPRYDLLAEVSRREKEANIMPDPDIDVYMKAVATEGQKANLVTDYVLRILGLEICAETFVGNAMLRGISGGQRKRVTTGEMLVGPAKALFLDEISTGLDSSTTFQIVNSLKQYVHILKATAVISLLQPPPETYNLFDDIIVLSDSHIVYHGPRENVLEFFESMGFRCPERKGVADFLQEVTSRKDQEQYWAQKHQPYRFVTSKEFAEAFKLFHVGRSIGDELSTEFDKSKSHPAALTTTMYGVGNLELLKACLSREYLLMKRNSFVHIFKLCQLAVLAMIAMTIFLRTEMHRDSVTHGGIYSGALFYAVVVIMFNGLAELTMVVTRLPVFYKQREYLFYPSWVFALPAWILKIPMTFVEVGVWVFLTYYVIGFDPEIGRFVAAIGRDITVALTLGSFTLAILFAMSGFVLSKDNIKSWWLWGFWISPMMYGQNAIVINEFLGKKWRHVLPNSTEPLGVEVLKSRGFFTQSYWYWIGVGALVGYTLLFNFGYILALTYLNPFGKHQTVKAEQTENNVQNGDSKRGTNVLKHLKHSLSQHSKRVRDGESISGSASPRSSSTREEVAAVETNHNRKRGMVLPFEPHSITFDDVTYAVDMPQEMRNRGVVEDTLVLLKGVSGAFRPGVLTALMGVTGAGKTTLMDVLAGRKTGGYIGGNITISGYPKKQETFARISGYCEQNDIHSPHVTVYESLLYSAWLRLSPDINDETRKMFIEEVMELVELKPLRNALVGLPGVSGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFESFDELLLMKQGGQEIYVGPLGHHSSNLISYFEGIQGVNKIKDGYNPATWMLEVTSTAKETELGIDFSQVYKNSELHRRNKTLIKELSTPPPGSKDLYFPSQYSTSFFTQCMACLWKQHWSYWRNPLYTAIRFLYSTAVALVLGSMFWNLGSKIEKQQDLFNAMGSMYAAVLLIGIKNSTSVQPVVATERTVFYREKAAGMYSALPYAFAQASISSLRNLSYVLIELPYVLFQAIVYGIIVYSMIGFEWNVAKVFWYLFIMYFTFLYFTYYGMMSVALTPNQHISTIVSSAFYAIWNLFSGFIVPRPTMPVWWRWYSWCNPVAWSLYGLVVSQFGDITKSIESNDGTTPSVEKFLRDYFGFKHDFLGVVAAVLVAFPLAFAFIFAMSVKMFNFQRR >OIV92017 pep chromosome:LupAngTanjil_v1.0:LG19:11083309:11083944:-1 gene:TanjilG_20947 transcript:OIV92017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEHHLIGSLISNSSGKNLSELNRKIMVIGIVLLSIVKLWILALNVYERFVLRRQAGRGASIHHLTLTVTHPHDRTAPRNTGLDPLLIKALPMFIFKKKGPHQQQRQDHDDNNNDCALCLSDFDNDEMVRTKAEPRLELQPREGPNDLVLHGAPRAIVLVEPIEGTSDVTTIAGPRKIIGSNS >OIV92162 pep chromosome:LupAngTanjil_v1.0:LG19:5870650:5871123:-1 gene:TanjilG_18734 transcript:OIV92162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRRSSFFSKPSHIFPTTSESETRSTDELEFDEADMWNMSYESDTKKGSKSGLKRGSNKKVEPCGSVSGGKSVTAQSSLPMNVPDWSKILKEDYKKRGNDIIEDFDDGSLRVPPHEYLARTREASHSVHEGRGRTLKGRDLRSVRNAIWKKFGFED >OIV92498 pep chromosome:LupAngTanjil_v1.0:LG19:722120:722514:1 gene:TanjilG_02261 transcript:OIV92498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHLSNQQETHGIAYPQASYVTAPPTVGYPTKNDDPVGYPKESVPHQTIFSNRVKGGLWKGW >OIV92350 pep chromosome:LupAngTanjil_v1.0:LG19:3393998:3396542:1 gene:TanjilG_10560 transcript:OIV92350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLRIRSRDGLERITIDNPNITVSQLKTIIQTQLSIPIHNQTLSTNQNLLLSKTHNDLNRFTDMSNPNTPITALNLTHGSIIFLSYEGERNVVGPTTAFTPAGSFGRKMTMDDLIAKQMRVSRQESPHCQSVSFDRDCANAFQLYVNETLAFAVKRGGFMYGTVKDDGEVEVDFIYEPPQQGFEENLVIFRDPEEEKAVEAIALGLGMRKVGFIFTQTVSQDKKDYTLSNREVIQAAEFHAESGLKEWVTAVVKLEVNEEMDGAADVHFEAFQMSDVCVKLFKEGWFETEIKEEDDPKLSKMKKDVVVGVKDTKEVDNDFFLVVVKILDHQGPLSSTFPVENRITQVTMKALKNHLDRTKSLPFVKRISDFHLLLVLARVFDVAADVPALTECVQTQSAIPEGYQILIESMAGAA >OIV92124 pep chromosome:LupAngTanjil_v1.0:LG19:6933567:6933878:-1 gene:TanjilG_26982 transcript:OIV92124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >OIV91705 pep chromosome:LupAngTanjil_v1.0:LG19:16360540:16362239:-1 gene:TanjilG_26558 transcript:OIV91705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSNTGHSACGFENGSSIWSSGLKKQLNKRPRVPKRGPGVAELEKILREQESIGISDRRNNIEGFIPHHHSNNIPSHVPSAPKFDHLGPTTPPSMTSFYGNFGAHSTLLSRNSGSGLVLPEQELFPMNLTSSKSKSISNLNERFDVNQSDSSNSPSRNLPFPAMIQEKTNQYSLPYMKQFLGQGNPTSSGSLPIGMHNHVEPPSSQSSHHNSTSMLHEQHKMVSMKPLHPSSMENSPIPPSNFQGSPMFCHFNRPHLSTTNESHGANFLPFTTSEVSPPSMHLLQGEHSKGNVVPYQVTQDRMEHSFQNSESRSDHRPFFNFLDVKDERVKGVLGPNHGGHEASGGIDLSLKL >OIV91897 pep chromosome:LupAngTanjil_v1.0:LG19:13999128:14001966:1 gene:TanjilG_17889 transcript:OIV91897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDDTMCSLNDKGLSLSPNLDIAIHVGSPNGEQLLEVENQDNGLENDCDQLFEIDGSELEGGRDETTVANSHSRESQGKDYLPPVVGMEFDTYDDAYDYYNSYAKETGFAIRVKSSWTKRNSKEKRGAVLCCNCEGFKTVKEANSHRKETRTGCLAMIRLRLVESNRWRVDEVKLEHNHSFDLERAQNSKSHKRIDGGAKRKSEPTVDVEVRTIKLYRMPVVDASGYGSSNSNEGGTGSNIIFSQSLKLKNGDAELISNYMCRSQLMNPNFFYVMDLNDDGQLRNIFWIDSRSRAAYGYFGDVVAFDSTCMSNNYEIPLVAFVGVNHHGQSVLLGCGLLADETYETYVWLFRAWLTCMSGRPPQTFITNQCKAMQSAIADVFPRAHHRICLSQVVQSILGCLVQFQEYVAFQTGLNKVIYNSKTVDEFERDWDDLTQQFGIRNHEKLQTWHEEREHWAPVYSKDTFFAGISDYEKGECVVPFFKGHVHQQTSLKEFFEIYELVMQKKHKIEALDDLESRDSSPLLKTRYYYELQLSKLYTNAIFRKFQDEVVMMSSCFSITEIQTSGSIVTYMVKEGQGEEIVQDVTHFEVMYDKAGAEVRCVCSCFNFKGYLCRHALCILNYNGVEEIPCQYILSRWRKDFTRFYVPQLSSDSVDITNPVQCFDHLYKRAMQVVEEGMVSQHHYMLAWQTFKESLNKIRLLEDKIE >OIV91827 pep chromosome:LupAngTanjil_v1.0:LG19:13094324:13095001:1 gene:TanjilG_17819 transcript:OIV91827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPQYIVDESKRRATYRVRKVGMKKKLQEISTLCGIQACGIIYGPNETVPEVWPSHSEVQRVINRFYAMSEIDQKKNMLTQESFLKQNFRKVQDQLKKARDENKKKEMELLMFHCLGSGRIVHSADTIDMNYFLLVINQNLRGVNGKKSTDQPQHGIGVSSNGTENLNGERIIVDGLNQGIVQTNIGAMQRQGWSSDSTRGRGNAMFPFGDYNHSSGLEHDPSFP >OIV92108 pep chromosome:LupAngTanjil_v1.0:LG19:6999280:7003537:-1 gene:TanjilG_27263 transcript:OIV92108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNIFDFTPAATGNRLLTDKPHRDASSLSRSQSDIARVINSTFGDHLEDKMIVSDSMKATPKKKISGTPIKMLIDQEMSKEIVSKHNPPNVVAKLMGLDALPQGESNLAEERSHRGDYSQRMCGHSGTPFKHRKLEDRYMDKEMLHEVHPSTEQIAYKDVYDIWLQSQRKSNVRNKTPEREKWTEDVNAEKMALVYQKFMEAKHLSTDERLRQSKEFDEALEVLSSNNDLLIRLLDSPNLYELNPTPLVETKRITVLKPSKMVDNEKPCGKGKNDKQIKKPENGCQAAAWENNSPGYSPACQKVDKFPVQPTRIVVLKPTSGKTQEIKAVASPTISSPRNMQSGNFYQGPEDSGALEPREAAQEITEQMHESVKSHQRDETFYSSVFSNGYTGDESSFEKSDNDGNLSDLEVMSPSPRHSWDYINHCDSPYSSSPFSRASCSPESSVCREAKKRLSERWAIMASNMGPQEQRHLWRSSTLGEMLALSDIKKSVISEVEGSSKEQEPSESVSCNFSEEICMDGSPKNLPRSKSVPVSSTAYETRLGSEVCYHDASKIHDSKELTKSKSMKSSFKGKVTSFLFSRNKKSTKEKSPLSQAKDESQSNVSETSVSPVNSPGVLRDAMSQGFNSGALREYPLPDLHESSGKNLSDSVSKGKGVISLEPGLTVSKTMVPQLSSENQDQPSPISVLEPPFEDDNAAHESLQFMRGGQLGSPASLKSNLIDKSPPIESIARTLSWNDSCAEVSSPYPLNSSLVSLDTKVEEQDLLVLVEKLLSAAGLDDQVQSNSFYSKWHSLESALDPSLRDTYANLNDKEPQPLHEAKRRQRRSNQKLVFDCVNVAVMEITGFGSDKFLMNSLCSRGHSRVPVPEGETPLLVDLIVAQIKELISGGFRSVWVDCGDSNSLVLESVVRKEVVGKGWVECMELEMDILVKEIERKLLEELVEDAVVDLTGRA >OIV92454 pep chromosome:LupAngTanjil_v1.0:LG19:1176464:1177805:-1 gene:TanjilG_02217 transcript:OIV92454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNHREIPVTDGISSNNNNNPYVHISIATHAASKSPNSMDTICDAINRCSKRIGKATTQAEIMVDNLWNHIRISSNPADAAMARLVQGTKVLTNGGFDKLFQQTFGILPGEKLLKPYACYISTASGPVIGTLYISTKRFAFCSDYPVCHHTFSLQHNCIYYKVVLRLDQLGTVSPTTNRLNPKEKYIQIVTVDGYEFFFMGFVSYDKAIKTLNEALQQHQNHSNGNTSVQPL >OIV92363 pep chromosome:LupAngTanjil_v1.0:LG19:2467560:2477822:1 gene:TanjilG_09961 transcript:OIV92363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIVRLEWSLTYGDSLVPAFYSEEIYKELEGLTATLTRDIKAELSFCIKDAKQDWEEAFNFKRRLQFVDNCVKQKGDFRDRICTSAEIRYYFRSLFEQGASASGYVKPNKNCNLTSWVDGCEPGWSCSAAQNVDLKKDTKDIPGRIKNCQPCCEGFFCPQGLTCMITCPLGSYCPLAKLNKTTGVCDPYSYQIPPGETNHTCGSADIWSGVMNNSDIFCSPGSYCPTAIEKKSCDSGFYCRTGSTHPNPCSRLSTCNPNTTTQNMHAYGALFIIALSTLLVFIYNCSDQVLATRERRQAKSREAAARHARETAQARERWKIARDVAKKKTLDSLSRTFSRKKSTKQGEPAKGFGKAGGALFPPTPPDAASTPEPSGSKGKKKEPSNLSKMMNSFENDPNSQEGFDLQIGDKNIKKNMPKGKQLHTHSQILRYAYGQIEKEKAQQENNKNLTFSGVISMATEGEVRTRPVIEIACKDLTLTLKGKNKQILKCVNGKIMPGRVSAVMGPSGAGKTTFLSAIAGKARGCTMTGQILINGKQESIHSFKKITGFVPQDDIVHGNLTVEENLRFSARCRLPADMPKPDKVLIVERVIESLGLQHIRDSLVGTVEKRGISGGQRKRVNVGLEMVMEPSLLILDEPTTGLDSASSSLLLRALRREALEGVNICMVLHQPSYTLFRMFDDIVFLAKGGLTAYHGPVKKVEEYFAGIGIPVPDRVNPPDHFIDILEGLEKPGSGVTHEQLPVRWMLHNGYSVPHDMLHFIDQIAASSSGSQVPEATKANDEPVDQSFITEFWADLKSNVQIQRDHIEAIFLTTKDLSNRITPGVGRQYRYFVGRVGKQQLREAKLIAVDYLLLLVAGAILGTLTKVNDETFGSLGYTYTVIAVSLLCKIAALRSFSLDKLHYWRESAAGISSLAHFLAKDTIDLFNTIVKPLVYLSMFYFFSNPRSSFGSNYAVLLCLVYCVTGMAYALAIYFEPAPAQLWSVLVPVVMTLVASQNRDTPFMKILVKLCYPKWALEAFIIANAERYTGVWLITRCGSLMSTGYDVSDWPICLIVLILYGILARVVAFFCLVISQKK >OIV91706 pep chromosome:LupAngTanjil_v1.0:LG19:16381370:16386168:1 gene:TanjilG_26559 transcript:OIV91706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSSKLPHQFNLIRTFVNAKVKWVRDPYLDTAVLKEKDLKQVIALKNQIISSPSKSLSMYTASPLKDPLNLPNTTTKFVNNYRCVFTQFQPTSAFLPHVKLTPQALSVHNEEMSVHNSSDNRKDTVQRLTRFLMLAGMRQLPLYVIDKLKWDLGLPHDYVTTLLADYPDYFDVCGVEDPSSGKELLALELISWRKELSVSELEKSAMGLDYSGDKRRHDIVFPMFFPKGFDLQKRVSTWVENWQTLPYISPYEDAFHLDTNSDQAEKWTVAILHELLSLLVSKKTERRNLLSYGQCLGLGSRFEKALVHHPGIFYISNKLTTQTVVLREAYRKDFLVKKHPLMGMRYSPMFGKIHPSPAGTLAPEKLSSLKSNNYAGKESSGLANDIKSETIAATRIQTAFRAYKARKTLRLLKGVTKLKILTQGYSVKKQANTAITYLHSWSNIQAEIRARRLCMVTEDRIRRKKLESQLKLEAKIHDLEVEWCGGSVTMEETLGRIHQREEAAVKRERAMAYAFSHQWRANASQSQVLGNYEVSKANWGWSWKERWIAARPWESRVPSISINLPKKAQISPSSKVQKDKSTSKPQTPAAVKTPTNAKGTSPLGNAKGTTKARRLSYPTTEKTVVHEGVQ >OIV91712 pep chromosome:LupAngTanjil_v1.0:LG19:16415237:16418324:-1 gene:TanjilG_26565 transcript:OIV91712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKFKSKPTGRRQFSTPEDMLAGTSNRPRSFRQKEAEHEEEENDETSGEESGEESEEETAKSKGTQGIIQIENPNLVKPKNLKARDLDVGKTTELSRREREELEKQRAREHYMRLQEQGKTEQSRKDLDRLALIRQQRAEAAKKREEEKAAKEQKKAEARK >OIV91854 pep chromosome:LupAngTanjil_v1.0:LG19:13585586:13587665:1 gene:TanjilG_17846 transcript:OIV91854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYLGVGVSPGIVPVYHNTKVFDKRIRITELVLRFLILGLGVLAVVLIVTDSQVKEIFSFQKKAKFTDMKALVFLVIANGVAAGYSLIQGLRCVVSMVRGSVLFSKPLAWAIFSGDQVMAYITVAAVAAAAQSAVIAKLGQPELQWMKICDMYGKFCNQVGEGIASAFVVSLSMVVLSCISAFTLFRLYGANKNKSTSW >OIV91890 pep chromosome:LupAngTanjil_v1.0:LG19:13947403:13954333:-1 gene:TanjilG_17882 transcript:OIV91890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEISPADSGGGGRVSSGKEQQAAGVGILLQIMMLVLSFVLGHVLRRKKIYIIPEASASLLIGLIVGILANISDTENSIRACYLGGLVYLMYRLPFVECLMFGALISATDPVTVLSIFQELGTDVNLYALVFGESVLNDAMAISLYRTMSMVKADPSGQNLFMVVIRYMLAEGLGLSGIVSILFTGIVMKHYSYSNLSQSSQRFVSAFFELISSLAETFVFIYMGFDIAMEQHSWSHVGFIFFSIVSFLFFLYFWAANVFSCAYLVNLVRPSHRKIPSKHQNALWYSGLRGAMAFALALQSVHDLPEGHGQTILTATTAIVVLTVLLIGGSTGTMLEALDVVGGDSHSDSPLASVGTITNYEENNGYVAPSYEEESSSGSKIKMKLKEFHRSATSFTALDKNYLTPFFTSQNGDEEDEGLRKTSVF >OIV91584 pep chromosome:LupAngTanjil_v1.0:LG19:17575714:17577234:1 gene:TanjilG_08996 transcript:OIV91584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYAKFCDVGSAQKVFDTMPHRDSVTWNSMINCYLQNGYLEEALEKLKDMYLLGFAAKPELLASVVSNCGREMGSWVGRQIHGLVIVDDRIEKSVFLSTAFVDFYFKCNDSSVALRVFDGMEVKNEVSWTAIISGCTANQDYDVAIACLRAMQANGFKPNRVTLIAVLPACAHVKHGKEIHGYGFRHGFESSHSFSSALINMYCHYGESLHLAELIFEGSCFRDVVLWSSIIGSYSRRGDSYKALTLFSKMLAEETKPNSVTLLAVISACINFSSLKHGCAVHGYILKLGFIFITSVGNALINMYAKCGCLDGSRKTFLEMPSRDSVSWSTLISAYGLHGCGEQALQVFYEMKERGVKLDAIMLVAVLSACNHAGLVREGEFLFKQASADSEIPLTIEHYACMIDLLGRSGKLQDALQILRTMPMKPSARIWSSLVSSCKLHGRFDIAEMLAPQLIRSEPNNAANYTLLNMICAERGHWLGIEQVTETMKLQRLKKCYGFSRIEAGY >OIV91754 pep chromosome:LupAngTanjil_v1.0:LG19:16807832:16813624:1 gene:TanjilG_26607 transcript:OIV91754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGITNMIHGTAQNLSSFGMSQIGALSLMQVQPMTQQATRHARRVYVGGLPPLINEQVIASFFSQVMTAVGGNSAGAGDSVVNVYINHEKKFAFVEMRTVEEASNAMALDGIGVAVRVRRPSDYNPSLAAALGPSQPSPYLNLSAIGLAVGAIGAIEGLDRIFVGGLPYYFTEAQIRELLQSFGSLRAFDLVRDKDTGNSKGYGFCIYQDPAVTDIACASLNGLKMGDKTLTVRRATVSGHSKTEQDSILARAQQHIAIQKSVLEVGGLSIPGVERVTTTIDESPTKVVCLTEAITTEQLNNDEEYDEILEDMRDECCKFGTLVNVVIPRPNQNEEPASGVGKVFLEYSDSAGSLAAKSALNGRKFGGNTVTAVYYPEEKYLSMEYDV >OIV92179 pep chromosome:LupAngTanjil_v1.0:LG19:5411118:5419170:-1 gene:TanjilG_30820 transcript:OIV92179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRCFVPVLFLLLATVLMPEMTAVHGGFSWSYVPLERVIPLNQRVELEALRARDRARHTRILVDVVDFSVQGTSDPYFVGKPVLSSRNQDSAAMWCIGFQKVQQGFTILGDLVLKDRIVVYDLANQQIGWADYDCSSSVNVSLVTSKSKDEYTDPGQLTVFATADNQGTIVDTGTTLTYLVQDAYDPFVNADSAAMWCIGFQKVQQGFTILGDLVLKDRIVVYDLANQQIGWADYDCSSSVNVSLVTSKSKDEYTDPGQLSISCSKIGILAKLLPVTIVAFLVHIIVFMESQFL >OIV91922 pep chromosome:LupAngTanjil_v1.0:LG19:12870889:12873020:-1 gene:TanjilG_00590 transcript:OIV91922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAVFSSSTVSSSSFFSRHGSSTGPKASHIDCFRNLDVTNLTQRRTLVKPINAEPQRKDSIVPLAATIVAPEVTEKEKEDEDFDELARSLENASPLEIMDKALEKFGTDIGIAFSGAEDVALIEYAHLTGRPYRVFSLDTGRLNPETYRLFDAVEKHYGIHIEYMFPDSIEVQALVRTKGLFSFYEDGHQECCRVRKVRPLRRALKGLRAWITGQRKDQSPGTRSEVPVVQVDPAFEGMDGGVGSLVKWNPVANVKGNDIWNFLRTMNVPVNSLHSQGYVSIGCEPCTRPVLPGQHEREGRWWWEDAKAKECGLHKGNIKQEDAENLDGNKNGVDDANGTATVADIFNTKNVVNLSRTGIENLAKLENRQEPWLVVLYAPWCRFCQAMDESYVDLADKLAGSGVKVGKFRADGEQKEFAKSELELGSFPTILFFPKHSSHPIKYPSEKRDVDSLMAFVNAFR >OIV91693 pep chromosome:LupAngTanjil_v1.0:LG19:16275183:16277655:1 gene:TanjilG_26546 transcript:OIV91693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTPSFSSSSSSSSIWVLSNIKLQFFARIRRFLHSKTTRKRGCDPSDQFSKENIIVDHQNKVENIEEVHVMEEEKSEMILQRSVKKLHFGSWEEKEVAAKEIEKLAKEDVKVRKLITGLGVVPVLVSMVASQVASRRRSGLTALIHLADGTYTNKALMVEAGILSNLPKKIDLLDESTISAFSELLLSLSYLSNTQFSFPSLDFLPLLRHILESRSSFDTKGSCLCALYNISTVLENAKLLVSSGFVPMLLEMSTMKEISEKALATIGNMLVTLMGRKAIENSSMVPESFIKILSWEDKPKCQELSVHILMILAHQSSSQREKMAQAGIVLALLEVVLLGSSLGQKRAMKLLQWFKDERRVKMGPHSGPQTPRFTMGSPVNERDGKEGKKMMKSLMKQSLVRNMEIIMQRANVTGASSKLKSLVISTSSKSLTY >OIV92488 pep chromosome:LupAngTanjil_v1.0:LG19:798204:798812:1 gene:TanjilG_02251 transcript:OIV92488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNIEDNKDRMDVDASDESINMPSSQKQEETIRKKYGGLMPKKQPLISEDHERAYFDSADWALGKQGGDKPKGPLQALRPKLQVFSEYRVA >OIV92058 pep chromosome:LupAngTanjil_v1.0:LG19:9169948:9179564:-1 gene:TanjilG_15049 transcript:OIV92058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSERKTIDLEQGWDFMQKGIMKLKNILEGLPEPQFSSEDYMMLYTTIYNMCTQKPPHDYSQQLYDKYRESFEEYIISTVLPSLREKHDEYMLRELVKRWANHKIMVRWLSRFFHYLDRYFIARRSLPPLNEVGLTCFRDLIDQEREGEQIDRALLKNVLDIFVEIGMGQMDHYEDDFEAAMLKDTSAYYSRKASNWILEDSCPDYMLKAEECLKREKDRVAHYLHSSSEPKLLEKVQHELLSVYANQLLEKEHSGCHALLRDDKVEDLSRMFRLFSKIPRGLDPVSSIFKQHVTAEGMALVKLAEDAASNKKPEKKDIVGLQEQVFVRKVIELHDKYLAYVNNCFQNHTLFHKALKEAFEIFCNKGVGGSSSAELLATFCDNILKKGGSEKLSDEAIEETLEKVVKLLAYISDKDLFAEFYRKKLARRLLFDKSANDDHERSILTKLKQQCGGQFTSKMEGMVTDLTLAKENQTSFEEYLSNTPSADPGIDLTVTVLTTGFWPSYKSFDLNLPAEMVRCVEVFKEFYQTKTKHRKLTWIYSLGTCNISGKFEPKTMELIVTTYQASALLLFNSSDRLSYSEIMTQLNLSDDDVIRLLHSLSCAKYKVLTKEPNTKTISSTDNFEFNSKFTDKMRRIKIPLPPVDEKKKVIEDVDKDRRYAIDASIVRIMKSRKVLSYQQLVVECVEQLGRMFKPDVKAIKKRIEDLISRDYLEREKDNANMFRYLA >OIV91787 pep chromosome:LupAngTanjil_v1.0:LG19:14598464:14630395:1 gene:TanjilG_14366 transcript:OIV91787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGHSESGSSEKKSSNGLDELLTLNAENLQSNMKIIYYSRTFLSIIGGFVAGILGFTALKGFVFYFLLMAVTSIGLVAKAKFSIHTYFDSWNRVLLDGFLSGLMIVSSWIKKVAGQHQLPKHQIAVTSATILTYGSYGLGVHNPESDIDALCVAPYFATMTEDFFVVLHTMLKRRPEVSEIQCVKSAKVPLIRFKFDGLSVDLPYARLRVLYVPENVDILNPFFMRSIDDTSWKSLSGVRANKRILQLVPNVENFQLMLRILKFWAKRRGVYGALHGYLGGIHLAILAAYVCQRHPDATLNALVTNFFRTFAFWPWPKPVSLQEGMLRTSIDAIETRPFSLMPILLPSSPYECCHSNITKSTCYRISSEFIRGHNMTRDLLKPDFIWDNVFQPFPYSKRYSQFVKICLSTSDQCELGNWVGWVKSRFPGLLVILEGVQGFCDPNPTEYMDNEKAEPNVVFYWGLQPADKNNMDIELAEGEFMKIIGNSYEGSSGRLELSILIASQLPNNAQFDDETSKGRKTCRKLTDHDNKRSPVYSQHLPHCLVGQVTPSGDAECLSSGG >OIV91652 pep chromosome:LupAngTanjil_v1.0:LG19:14876579:14881619:1 gene:TanjilG_26505 transcript:OIV91652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDEILIEECEVAEKGFKEKPARVYADGIYDLFHFGHARSLEQAKKLFQNTYLLVGCCNDEITYKYKGKTVMNEKERYESLRHCRWVDEVIPDAPWVITQEFIDKHQIDYVAHDSLPYADASGAGKDVYEFVKYIGKFKETKRTEGISTSDMIMRIIRDYNQYVMRNLDRGYTRKDLGVSYVKEKRLRMNMGFKKLRERVKKQQEKVGMKIQTVAKTAGMHRDEWVENADRLVVGFLEMFEESCHKMGTAIRDRIQERLRTQQLKALLYDVWEDDDGDDDEDDELLYTDDQSLGYFSH >OIV91646 pep chromosome:LupAngTanjil_v1.0:LG19:14810455:14810862:-1 gene:TanjilG_26499 transcript:OIV91646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKFLKPNKAVILLQGRYAGKKAVIVRTFDDGTRDRPYGHCLVAGIKKYPSKVIKKDSAKKTAKKSRVKAFVKLVNYQHLMPTRYTLDVDLKDAVNGDVLSAKDKKVTALKETKKRLEERFKTGKNRWFFTKLRF >OIV92486 pep chromosome:LupAngTanjil_v1.0:LG19:807367:809024:-1 gene:TanjilG_02249 transcript:OIV92486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRKRNHVSLTMFAMLAGLLSQNLVIPVICTTSVEDQKNYYSPNPHAGSHRSTPPSHGSGSSTTPPSHHGTPKTPSTPSGGNCGSPPHDPSTPTTPSNPPSGGGGYYNSPPPNGGGSTPTPISVSPPTTPIDPSTPNIPSPPLLPSPSPFSGTCNYWSSHPTIIWGLLGWWGTLGSAFGVPGSGSSLTLPQALSNTRNDALGALYREGTASYLNSLVNKNFPYTTNQVRNKFVASLHSNKAAATQAKLFKMANEGLMKTQA >OIV92353 pep chromosome:LupAngTanjil_v1.0:LG19:2604483:2610999:1 gene:TanjilG_09951 transcript:OIV92353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTHTHPIFQSPSLPTKTFYQKNPFSKIPILPFKPTTTKPFSLRAVLSTKPPSEQKQDDPSKKNTPFHHCFTKHEDGYLYCEGTKVNEIMEYVERRPFYLYSKPQITRNVEAYKDALEGLKNPVIGYAIKANNNLKILEHLRGLGCGAVLVSGNELRLALRAGFDPTRCIFNGNGKIVDDLVLAAKAGVFVNIDSEFDLENIVAAARIAGKRVNVLLRINPDVDPQVHPYVATGNKNSKFGIRNEKLQWFLDAVKQHPNELKLVGAHCHLGSTITKVDIFRDAAEIMVNYIDQIRDQGFEVDYLNIGGGLGIDYYHSGAILPTPRDLIDTVRELVLSRDLNLIIEPGRSLIANTCCLVNRVTGVKTNGSKNFIVIDGSMAELIRPSLYDAYQHIELVSPAPADAEITSYDVVGPVCESADFLGKGRELPTPAKGAGLVVHDAGAYCMSMASTYNLKMRPPEYWVEEDGSVSKIRHGETFEDHLRFFEGL >OIV91762 pep chromosome:LupAngTanjil_v1.0:LG19:16891190:16893016:1 gene:TanjilG_26615 transcript:OIV91762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLYPSPPLSITANNGASGTSLSFRSFLLKNPKTKASKVAKPNQYYVSRVSCKATKDDNNDTVVEPTNDLGIFDRRDMLIGLGAGLYGTTATLTNESLSLAAPILAPDLSKCHQVDPVKGDPINCCPPFSSKIIDFKFPLNPKVKVRPAAHLVDETYIKNYKEAVRRMKALPADDPRNFTQQANIHCAYCDGAYPQPGSSDADHVVQVHNSWLFFPFHRWYIFFYERILASLIEDLDPNFTIPFWSWDNPKGMTIPSFYTELDSPLYDTLRDPNNQPPKLVNLNLDPEAKTPVDNTITPEEQVLSNLRLMYTQLVSGSKTPSLFFGDPIRAGDKENLQSGGGSVESSPHGPVHVWTGRPRSESSNGEDMGNLYSAGRDPIFYSHHANVDRLWTIWKGLGKNRRDIQDPDWLESEFLFYDEKKNLVRVKVKDSLDTKKLGYVYQDVDIPWLHAKPSPQKSNVPKGSNKKHSHKETKFPLALDSIVSIVVKRPKKSRSKEEKEQEEEVLVIEGIQFEEHFGIKFDVYINDEDVALGGPIKTEFAGTFVHVPHKHKHGKEKKMKTRQKFGITELLEDLGAEDDESVLVTLVPMFKKGRVTIEGIKIVFDK >OIV92059 pep chromosome:LupAngTanjil_v1.0:LG19:7516861:7541880:-1 gene:TanjilG_08732 transcript:OIV92059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHLELSIPWRGSTKQPRRPTYLKFENRRSGSVGGATHKRIPPISFLAPYGFTCPLTRTHVRLLGPCFKTGRMGSPQADARSTQVPKHTKRRALPTTIAMMTSPRACQQPGLGPPSQFASVHAPSRLADRLSPFHIRPRHIAGPHPLPSRQFQALFDSLFKVLFIFPSRYLFAIGLSPVFSLGRNLPPDWGCIPKQPDSPTAPRGATGSGHDGALTLSGAPFQGTWARSAAEDASPDYNSDIEGDRFSWRPTDPHGSKSRKAGGGDTHDRSRALAQPPSITAPSTADSVFNQPRALGLMASGATCVQRLDGSRDSAIHTKYRISLRSSSMQEPRYPLPRVFRISVSQRRPHEHRLRADGGELNDFNFLGAFRAGVLLLGQEDTAEGSPTETLLRLLLPLNDKVQWTSHNVADSKPPTSPQSDHFTGPFNRQIAPPTKNGHAPPPIESRKSSQSVNPYYVWTCGVLKATSADPWSASFMVETRTLFVFHKSKNFTSDYEIRMPPTVPVNHYSDPEGQHNRIRILWCYPMLMYPERRLALSTLISSNSEEGLRWEGRIEATRAESQWIVAARPLCHLQYPVAYLSRLQRILPAAQWGIALQGVPRGSSTPRGSPTARASGGPRPSTAGRKTGDGHTHRFYCDTVIVLSRLFDARGRGPEGPVPNPSPDWHAVTRSRRESSSRSPPTADGFGTGTPVPSPQSQSFSRGYGSILPTSLAYIVPSTRGCSPWRPDVICTDDRSARAHAPGFAATIVPSYSSGPGPCPDGQVSAQLGTVTQLPVHPASPVLLTKNGPLGALDSVAWLNKAATPSYLFKSFAPIPKSDERFARQYRCGPPPDFPLASPRSGTVHHLSGPDRYTLTRTLHRRSGSVGGATHKGIPPISFLAPYGFTCPLTRTHVRLLGPCFKTGRMGSPQADARSTHEHASAEAHQTARTSNYNRDDDVSTSMSTARAWATITIRFVLFIFPSRYLFAIGLSPVFSLGRNLPPDWGCIPKQPDSPTAPRGATGSGHDGASLTLSGASFQGTWARSAAEDASPYYNSDTEGGRFSWRPTDPHGSTGGGDTHDRSRALAQPPSITAPSTADSVFNQPRALVLMASGATCFKDSMVHGILQFTPSITFRYVLHRCSPTETLLRLLLPLNDKVQWTSHNVAGSEPPTSPQSEHFTGPFNRYALTRTLHRRSGSVGGATHKGIPPISFLAPYGFTCPLTRTHVRLLGPCFKTGRMGSPQADARSTQVPKHTKRRALPTTIAMMTSPRACQQPGLGPPSQFASVHAPSRLADRLSPFHIRPRHIAGPHPLPSRQFQALFDSLFKVLFIFPSRYLFAIGLSPVFSLGRNLPPDWGCIPKQPDSPTAPRGATGSGHDGALTLSGAPFQGTWARSAAEDASPDYNSDTEGDRFSWRPTDPHGSKSRKAGGGDTHDRSRALAQPPSITAPSTADSVFNQPRALGLMASGATCVQRLDGSRDSAIHTKYRISLRSSSMQEPRYPLPRVFRISVSQRRPHEHRLRADGGELNDFNFLGAFRAGVLLLGQEDTAEGSPTETLLRLLLPLNDKVQWTSHNVAGSEPPTSPQSEHFTGPFNRQIAPPTKNGHAPPPIESRKSSQSVNPYYVWTCGVLKATSADPWSASFMVETRTLFVFHKSKKFTSDYEIRMPLTVPVNHYSDPEGQHNRIRIMWCYPMLMYPERRPGAHRRQKGRADRCTPMADRSTQPKVQLRAF >OIV92265 pep chromosome:LupAngTanjil_v1.0:LG19:4017009:4021344:1 gene:TanjilG_00283 transcript:OIV92265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFLKLFSDGSIYRSNNITFQASSIEDNTVVFKDCLFDKRFNLYLSLYNPQNQIKKKLPIVMFLHGGGFCFGSRKWPDIHNCCMRLATGLEAVVVAPDYRLAPEHRLPAAVDDGVEAVRWLQRQALSFKDSNNVKGEDDAWLNEVDFDRVFIVGDSSGGNIAHHVAVRLGSGSREMEPVRVRGYVLLAPFFGGEARTKSEEGPPESTLNLEILDRFWRLSMPVGETRDHPLANPFGPRSPNLGQSKLDPILVIVGGNELLKNRAEDYATRLKKLGKDIEYIEFEGREHGFFTHNSYSEDAEDIIKILKRFMLQTSA >OIV91648 pep chromosome:LupAngTanjil_v1.0:LG19:14840814:14845119:1 gene:TanjilG_26501 transcript:OIV91648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTINRLISSTRKLSLRHETPLHLITLPSRTFSSSSSGSDPNLPPPLNDDDIGWDTPSSWTTGFATDHFNGQPSTPSPSPTTAVVSAAVAPSPKPNKVLSDLQETEDKLKELEAENRKSKGFVDGWKERMLETATYLKQVQEPGARGSYLKDSEKAEMYRLHKKDPQVYTVEKLAKDYRIMRQRVHAILWLKELEEEEEKKLGCPLDDSVEILLDSFPEFFNSHDREFHVASLPYKPDFKVMPEGWDGITKDRDEVHYEISKKEDDMLYREFVEKINFNKKKFAGEVKTHRYSRRRPSDGWTFTVEKIGPRGKRGDGGGWKFASMPDGSTRPLNETEKMYVRRETPRRRRRILP >OIV92546 pep chromosome:LupAngTanjil_v1.0:LG19:290544:292976:-1 gene:TanjilG_02309 transcript:OIV92546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANQNENKKQKQNQNQNQKENQKQNKKDDFSLKETNPNISAGRVISGDRLPTAFDLVEKMHFLFARIVKAKDLIQASDSDSDTCNPYVEVKLGTSMGTTRIMDNTSSPEWNQVFCFAKERFQGSVLEIVVMDKDKAADTESSDAFIGRVAFGVSDVPMRVPPDSPLAPQWYKLEDKNGVKLVHGELMVSVWMGTQADEAFPDAWHSDAAPPIPANNGTQHIAYTSSKVYISPRLWYLRVNVIQGQDLFLRNNNNNKNNGNSEIFIQAVLGNLALRSSPLKISSNPIWNEDLMFVAAEPFDESLLISIEHGINNKHESLGNCVVPLKDVEKRIDATPPACMWYNLQKKPKDEEENQSTFAGRLNVRISLDGGYHVLDEATHYTSDLRPSSKYLCNPGIGVLELGILSASGLSPMKKENRTDAYCVAKYGPKWVRTRTIVDSFSPKWNEQYTWEVYDPCTVITIVVFDNAHLQQVHNGGENAEAAVDRRIGKVRIRLSTLETGRIYTHSYPLINLHAHGATKMGEIQLAVRFSSPSLLNVLQTYARPLLPRMHYRSPLSIFQVDNLRNQAAAITTLRLRRAEPPLSKEVVEYMLDVRANVWSMRRGRAQFYRIVGLLNALIFVCKKFDEIRSWKNSVWTTISYIVIVLVIVFPGVILPSTFFFLLLVGIWGYRTRPRYPSHMDMKLSHADTATSEELEEEFDPFPSKFSGDNLKKRYDRLRGISGRVLAVMGDLATQGERVQSLVSWRDPRATALFVILCLVATIVTYLIPFRYLVFIFVSYLLRPPRLHFDMPALPQNFLRRMPANSDAML >OIV91557 pep chromosome:LupAngTanjil_v1.0:LG19:17741784:17742425:1 gene:TanjilG_08969 transcript:OIV91557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPQACSDANPSKIFNLDMEEGQKEVHEEVEEVQVVIDYAKRAQWLRAAVLGANDGLLSTASLMMGIGAVKKDVKTMILAGIAGLVGGACSMAIGEFVSVYSQYDIEFAQMKREGNTTQKDKLPNPFQAAVASAVAFAIGAMVPLLGAAFIEDYKVRLGVVVAVVTIALMVFGGLGAVLGKAPLVKSSLRVLIGGWLAMALTFGLTKLVGHF >OIV92261 pep chromosome:LupAngTanjil_v1.0:LG19:3956823:3962930:-1 gene:TanjilG_00279 transcript:OIV92261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPINFFLVKPSPLTIFIIILIFSILGFYSLHYPNPSSFSQNTLHFQNLFLSSSSNSTLSTYLRALTVHPHLAGTKLAADTTTYVADHFRSHGLTTHTVDYNVLLSYPVYSSIAAHFSSGSVRHLLLTEPDRFDVVKPYHAYSPSGSVFARVVFVNYGRERDYHALEKLGVAVSGCIVVVRKGSGGGLGRGAVVEKAEEKGAIAVLIYNNDDGGDYTWREGFERGHVMRGGVGDPLSPGWAGVEGSERLGLEDSEVLKKFPKIPSLPLSFEVAETILSSLEGTSVPLEWRGTLGSKVRNVGPGPTMLNFTYQGENKMATIQNVFAVIKGSEEPDRYVLLGNHRDAWTYGAVDPSSGTAALLDIARRYSILLSSGWTPRRTIILCSWDAEEFGMIGSTEWVEQNLVNLGSKAVAYLNVDCAVQGPGFFVGSTPQLDSLVVEVTKKVKDPDTEGASVYKNWAAAGGDSNIQRLSRVDSDFAPFVQHAGVPSIDMYYGKEFPVYHTAFDSYDWMTQYGDPFFHRHAAVAGIWGLLALHLADDSILPFDYVPYANQLQFYMNILSSLLDQQTSLHPLNMSIQEFASAAKETNNESKKLRLQETSGHSVDIKNRALNDRLMLAEKGFLDADGLQGRQWFKHLVFGPPSDHESKLDFFPGIADSISRSTGMSERERLAAIQHEVWRVSRSIQRAASALRGEFT >OIV91816 pep chromosome:LupAngTanjil_v1.0:LG19:14224624:14227152:1 gene:TanjilG_14395 transcript:OIV91816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKVLGKGLFIVVILVIIVSVTVSIVEAQSKSFLIDCGSNSSVNVDGRKWVGDMAPNNNVTLSSSGFVVSTSTLSGNSIYDPLYKTARIFTSYLNYTIKGVKGNYFVRFHFCPFVNEDYNLNKSSFGVVANGMKVLSEFNVPLEISDENMELQKSGKNSSSFFLVKEYVMAINVDTLVIEFVPSGSSFGFINAIEIVSFVDELFPGSVGKVGGGNLNLTGRSMETMYRLNVGGSKIQSNQDPDLWRTWEVDSSHMITANAGSGVKNTSNITYASVNDTSMAPLLVYETARAMTNNEVLEKRFNMSWKFEVDPDFDYLVRLHFCELVYDKANERIFRIYINNRTAADNFDVYVRAGGMNKAYHQDYFDSLSSKIDTLWVQLGPDTAAGAAGTDALLNGLEVFKLSKNGNLASVQRYDSADNATSKSKGKVLWVGIGAGVASALVLAIVIVLVLCFCRSRRKASTDTKNNPPGWRPLFLYGAALNSTVGAKGSAGTQKAYGSMASTRVGKKFTLAEINAATKNFDESLIIGVGGFGKVYKGEIDDGVLAAIKRANPQSEQGLTEFETEIDMLSKLRHRHLVSLIGFCEEKNEMILVYEYMANGTLRSHLFGSDLPPLSWKQRLEACIGAARGLHYLHTGADRGIIHRDVKTTNILLDENFVAKMADFGLSKDGPALDHTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLFECACARAVINPTLPRDQINLAEWAMRWQKQKSLETIIDPHLRGTYCPESLTKFGEIAEKCLADDGKSRPTMGEVLWHLEYVLQLHEAWLNMHNSETSFSSNQVLKVEGLEVLHEPSSEDEEDALNLKE >OIV91569 pep chromosome:LupAngTanjil_v1.0:LG19:17673300:17673680:1 gene:TanjilG_08981 transcript:OIV91569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLCPKSATMDDIKKAYRSMALRYHPDVCHDVTKKEESTRMFVQLNAAYNTLSNPKLRAEYDSELLGYRGQRSTSFGDEYESWRCKWQEQMVELKRKCHRGVAQKEGSWGSRMRAKNMNMNYQNL >OIV91741 pep chromosome:LupAngTanjil_v1.0:LG19:16690591:16692766:-1 gene:TanjilG_26594 transcript:OIV91741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMGLTSMSGRVTRFLSSYHREMVLDSVVEFGCVEEQPIDTTSVEFEFLDDGDMLGHSVSSDECHSNQVMELHDDAEDEGEKDHGGNSDENRTFWENQHQANIYRTSSLESRIRHSTKEALQNIQSSETVCGCNKMMAATTSCRNCLMREVSRRLQNSGYDSAICKTKWRTSPTIPAGEHSFLDVIDNTNSKKGVMRVIIELNFRAEFEMARGNEDYNLLVRRLPEVFVGNLERLSNLIKIMCMAAKRCMKERKMHMGPWRKHRYMQAKWLGPCDRNTSITQLSMGYSSQIMPMPKPKPKKASMLTIDLLEKLPNMHCTAVEVL >OIV91675 pep chromosome:LupAngTanjil_v1.0:LG19:16112845:16116826:-1 gene:TanjilG_26528 transcript:OIV91675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVEKEGTKSGGGYVGGFFQLFDWTSKSRKKLFANKSELPEPLKHGRKANYKKTMTQPYLANEDESEVLASVRRSCDHSYASSVTDDESCGTRAPNVVAKLMGLDSLPPSHFSDPYSSPYFDTLSLQESQYFSRNLNDEHDHQTLYSGKLIEKAEGSSRNYVEPKPQKTVTRPIEKFQTEVLPPKSAKSIPVTHHKLLSPIKCPGFVPTNNAAYIMEAAARIIEPGSQFTTKAKTHLVSSSAVSLRVKDLKDKVEASQKGSLIGPSLSRARDLKGKREISHRTTRISEPSERSLELNAAKYLKGQPLNKSWNGSVDTSSIRSPTYAEEDSSLKNKGRSISLAVQAKVNVQRREGLSSSGGRSMVGQKEHLDTKPNQKSKANVQKILHKKSSGALRQNNLKQNGLVEKDKLPSKPSVSNSHSRKVLSSDSPYSRHRSSSSKSIAKVGSRKSATEVTDSEKEVLYTSTNNFPRKKRTTDKDWNDRVVDNLFIDKTPKPVQPKLVSNKQYNWAEETTNKDTDVVSFTFTTPLSRKNPGLETSGQARQKTIGLSLDQRIKRVSLDTDNTRSPIGYNVIGGDALGMLLEQKLRELTNAVETSCHDVSRVRQPSKAAPKSNNTVTKLNLVNLNARLQQKKDQDVLFTDNISSSQDSGISFTGLPEISSKHNSWVDEMDPLLFNGRQPSPISVLEPSLSIESYESSMSTDITSTEGSKLCPSVQAQDVHCSKFSTKFHPAEPDAELSDSASSTSIGTKHTSTFVVMKFGARSSTWELDYVKDILSNAEMMYMDFSLGQTRTVVDPRLFNKLESGKGGFEFDDGVARIRRKVIFDCVSEYLDLRYKHYVGGGYKMWAKGVAMLKRNEWLAEEVYKEIPGWRKMGDSMVDELVDKDMSSQYGRWLDFEVDAFELGAEIADQMFNYLVDDVVADILHL >OIV92221 pep chromosome:LupAngTanjil_v1.0:LG19:4733888:4736553:1 gene:TanjilG_31140 transcript:OIV92221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKAMAMAAEKFGTAARRQAVTLTDAAASRIRQLLEQRQRPFLKLGVKARGCNGLSYTLNYADEKGKFDELIEDKGVKILIDPKALMHVIGTKMDFVDDKLRSEFVFVNPNSKGQCGCGESFMTTTSSGAAKP >OIV91913 pep chromosome:LupAngTanjil_v1.0:LG19:12974807:12975145:1 gene:TanjilG_26032 transcript:OIV91913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRWMNGPINGRRCEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEACGRRCEDEDEPMKTRLDGDRRCRWKI >OIV92495 pep chromosome:LupAngTanjil_v1.0:LG19:734933:742178:1 gene:TanjilG_02258 transcript:OIV92495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAFGDGRPNPQNPKQFGDVPSEGTVFDASQYDFFGKDFVEEIELGGLEDEDSELAPVEFDEEEEIFFNREEAEDIGSILEVDDLSTSFSKLNKEVVGPTRTGLIGEHGSRENSSAAEWTQRGHIFNFYEQHDYDSEGGQDIKRWSSQPHASLAYLQESNGLYRTSSYPEQQQHQQLSSEPIMVPKSSFTSYPHSGGRSQHSSPNQSAGHLNIPYRTGGSPMLFSSPNHSHLSNPQIRFTGLNHGSPLGGNMHQFASGSPVNNRLPNQWVNQGELYPGDHPNIMNNLLQQQLPRHNGSIPPHLVTQLQQSQQRMHSPPSTGYLQGLQSQMFNPSTSSGLPMISEYDQMLGLMELRDQIPKSAQKGRQNPQFPPQGYDMRSQRSNSGWPRFRSKCMMTEEIENILRMQLAATHSNDPYVDDYYHQACLAKKSSGAKLKHHFCPNQIREHPPQGSANNEPHAFLQVDALGRVPFSSIRRPRPLLEVDPPNTSPTGKTYQNIAEKPLEQEPMLTARVTIEDGLCSLLDVDDIDRFLLFNQLQDGGFHLKQKRQSLLEGLAASLRLVDPLGKSGHSVVLDAKDDLVFLRIVSLPKGRKLLAKYLQLLFPGGDLMRIVCMTIFRHLRFLFGSLPSDTVAAETVSNLARAVSKCIHEMDLGALSVCLAAVVCSSEQPPLHPLGSSAGDGASLVLVAVLERATELLTDPHAANNYNIANRSLWQASFDSFFGLLTKYCVNKYDSVMQSLLIQGTPNIAINGSDAARAISREMPVELLRASLPHTDDHQKKQLLDFAQRSMPVVGFNSNSGGMAVM >OIV92082 pep chromosome:LupAngTanjil_v1.0:LG19:8252025:8258011:1 gene:TanjilG_08755 transcript:OIV92082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSQTHKHSKPTKPHNQTPQQQQPQPQPPRSSLSSHLAMVELKQRILTSLSKLSDRDTHQIAVEDLEKTIISLSSDAIPMILNCLYEASADPKPSVKKESIRLLTLVCSSHAHSATTHLTKIIAHVIRRLKDSDSAVRDTCRDAIGALAAQYLKGDVGGGGGGDNGGIGSVVALFVKPLFEAMGEQNKTVQAGAAVCMAKMVESAADTPVSAFHKMCPRICKLLNNPNFMAKAAMLPVVASLSQVGAIAPQSLEHLLPSIHDCLTSTDWATRKAAAEALSSLALHSSSLITDRTASTLTVLESCRFDKIKPVRDSMNEALQLWKKIAGKGDGSPDDSKTSSRDGENPEPAPLSETSDPNKVNVGERKSDPSIKDSPTASSNMDSTANAKAAGISEKAVVILKKKPPVLTDRELNPEFFQKLERRGSDDMPVEVVVPRRCLNSSSLNNKEECETSAKDSKERINSVGNIPDDEFHGSSNNKSHIIDRGNDVNSKQRNFDDFAHERYSEKRVNAKELRTKAYDTEDRNENGEREGSTNVAGFSKTDGQSEASFSNNKGNWLAIQRQLMLLERQQVHLMNMLQDFMGGSHDSMVTLENRVRGLERIVEDMARDLSLSSGHRGSNFTGFEGSSSRPSGKYNGFNDYSGAKYGRGGDVRMPFGERFSQSDGNALGMRGRGPSWRSDLSEGWDFSGHGASRNGQISSRRAFDGSSADGRSPKSVHESDQGGSRRAWDKAAMPIRFGEGPSARSVWQASKDEATLEAIRVAGEDSGTSQATRVPMPEMTAEAMADDSVGQERDAIWTSWSNAMHALRVGDIDSAFAEVLSTADDLLLVKLMDRTGPVIDQLSSDIVCEILHAIGQFLLDQNLYDICLSWIQQFFSLFLGVFKPYVHQKFIVSFTKRVIYLRSPYPYVLVEIILEHGPDAFGIPMETKKELLLNLHEASTDTAEEWEGVHPDRLLMHLASAWEIDLQHDK >OIV92380 pep chromosome:LupAngTanjil_v1.0:LG19:2107725:2108441:1 gene:TanjilG_09978 transcript:OIV92380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKEGNKQSKFKHYIFTPIRILKGVTACAGGFAGCGAFAGSDADDGVVQISHLNSSRANGDERHREILRTMITLKAANKTAEINYCMVQNNIVNNSMTSSTFKHVSCCCPKHGSGPCNNFSEPKAAMHGERTMPIRNSVGSQTRMVMHGEKVLKIRNNNNVGKETMVVMHGHGQRQPNYAGYKYNRKKMSYHSDGVNKMERIDEDKPCSFEQDQNDFNNAHLLYLYPRTRTNTSSGKR >OIV92161 pep chromosome:LupAngTanjil_v1.0:LG19:5903479:5908543:1 gene:TanjilG_18733 transcript:OIV92161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTVINSNSSNGVMSPASSTAAAQSPAELKTYFKTPEGRYKLQYEKTHPSSLLHYAHGKTVTQHIVGLDDWPGGYDQQQLDYWGEAMEVEHLVLLESIVSKSNGGTTRIVSMGASTSSSSMANPNFDGKGTYLIFNVGDAIFISDLNSQDKDPIKSIHFSNSNPVCHAFDQDAKDGHDLLIGLSSGDVYSVSLRQQLQDVGKRLVGAQHYNKDGYVNNRQDSVPNKDGAGDSSFPVVKDQSQFSVAHARYSKSNPISRWHICQASINSTSFSSDGAYLATVGRDGYLRVFDYTKELLICGGKSYYGALLCCAWSMDGKYILMGGEDDLVQVWSMEDHRVVAWGEGHNSWVSGVAFDSYWSSPNASDNGETIIYRFGSVGQDSQLLLWDLEMDEIVVPLRRPPGGSPTYSTGSQSSHWDSADPLESVLTACWEGHIKVWVRPVVAESQSSNSQTLLATSLKEKPTLSNKISNSSYK >OIV91593 pep chromosome:LupAngTanjil_v1.0:LG19:17521398:17523146:-1 gene:TanjilG_09005 transcript:OIV91593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLTDSTKKKKKSSDKSDSIDDFTIKPQSFTPSIDTSNWPILLKNYDRLNVRTGHYTPLPSGYSPLKRPIAEYLKYGVINLDKPANPSSHEVVAWIKRIIRVEKTGHSGTLDPKVTGNLIVCIDRATRLVKSQQGAGKEYVCIARLHSAVPDVAKVARALETLTGAVFQRPPLISAVKRQLRIRTIYESKLLEYDADKHLVVFWISCEAGTYVRTMCVHLGLVLGVGAHMQELRRVRSGIMGEKDNMVTMHDVIDAQWVYDNYRDETYLRRVVMPLEVLLTSYKRLVVKDSAVNAICYGAKLMIPGLLRFENDVEVGEEVVLMTTKGEAIALGIAEMTTAVMATCDHGVVAKIKRVVMDRDTYPRKWGLGPKASLKKKLIAEGKLDKRGKPTADTPQEWLRNVVLPTGGDSVVASLAAAPEGKAVGDVKAEKGEDGEGRKRKNDESGDVLATPPAKKKVKADQDEEAEVEKSEKKKKKKKDKENGDAPASDEEKSVKEKKKKKDKVEDDSPVVEKSEKKKKKKEKVEEDSPDVDKSEKKKKKKKDKENEDNAAEVTNGKDEGKADRSEKKHKKKKNKDADEE >OIV91746 pep chromosome:LupAngTanjil_v1.0:LG19:16749841:16752312:1 gene:TanjilG_26599 transcript:OIV91746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLQLRITNPNQVPGRLLRGIVYPGRRVSHWRVSQWMRVSLRGFWSQSSGKLCMFGIGSYANLRDANVVVKLNYPLDLTVLHSLISGTVESFDDKSSLGYFEPISILGLSQSLNYKFTLMGNENGNGCVGRSDEVSLSLNNLSQGACTVFRGHVDKFELEYGSQCDNISCNPLGLDAGRLPAFMYFRVVRCVERRRFRMILGFPDSSYVGTVFPFYPNTTLVSEGIWDEKENQLCAVACRILKFDGSWVNNNPEVGGCSIRLKLRFPAVLSLRNRSTVLGQIWSDKAVGESGYFSRIEFEGSLKVLRGIQGLQYKYTEIDRVSKSCAEKITARGKGNTYPDGYSSDMRFSMFVRNSKGQVTEGYTSPLFVSDQSYDEQMSGVPSMLTKGKLKANRIHNSNLLNVSYMISFNPPPDFKFGGVVSSTEVKIGAEGLYNMKTGLLCMIGCLHLRSNDKILIKNQSLDCEIMVNVQFPPLNARGGESVKGTIESTRQKSDHYYFDPLQLSSYSISTSQADASIWRMDFEIIMVLMSNTLACVFVGLQLLHVKKHPDVLPNISIVMLVVITLGHMIPLVLNFEALFMANHSQQNPFLGSGGWLEVNEVVVRMVTMIAFLLELRLLQLTWSSRQDEGSEPDLWVSEKRVLYMTLPLYIGGGFTAWFVHIWKSSHQKRFKPLKLSRHRFKFPREPTYQSLSLWEDFKSYAGLLLDGFLLPQILFNILFNPEGKALACSFYAGTTVVRILPHAYDLYRAHSSAWFLDLSYIYADHRMDFYSTTWDIIIPIGGLLFALLVYFQQRFGNRFILPKRARESSYEKVPVIGNDDL >OIV91936 pep chromosome:LupAngTanjil_v1.0:LG19:12555069:12564894:1 gene:TanjilG_25417 transcript:OIV91936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVSGGRGWEEEVVELTKVAQEKESDPLVWAIQMHSNLNSAGESLPSIELAELLVSYICWDNNVPILWKFLDKALMLQIVPPMLLLALLSLRVIPCRHVQPAAYRLYLELLKRHAFELKSQTNRPDYPRVMKSIDDVLHLSQVFGMSQSEPGILVVEFIFSIVWQLLDASLDDEGLLELTLEKKSMWETLYQEMELDWHDNFNDKKTEHHEKLRNANALLTIEMIGQFLQDKISSRILYLARRNLPAHWLSLVQRVQLLGENSLILRNSRVLSPEALLQLTSDTSMALSRECKTSSQHKFHTVMDFEYLSSSASLCHGASHSALWIPLDLVLEDAMDGYEVSATSAIEIIGGLIKTLQAINGTSWHDTFLGLWLATLRLIQRERDPIEGPMPHLDTRLCMLLCIIPLVVADLIEEEEEEERRQVDETETDSDPTNNWKEKKVPGKCRNNLVTSLQVLGDYHSLLTPPQSVVAAANQAAAKAMLFVSGFTIGNAYFDCLSMTEIPIDCSGNMRHLLVEACIARNLLDTSAYLWPGYVKGRMNQIPQCMPAQLPSWSSFMDGAPLNSAMVNALASSPATSLAELEKIFEIAIGGSEDQKISAAAVLCGASLIRGWNIQEYTAHFILRLLSPPVPLENTEGNNHLIDYAPILNVIFVGIASVDCVQIFSLHGLVPQLACSLMPICEVFGSCVPNISWTLTSGEEISAHAVFSNAFILLLKLWKFNRPPLEHGIGDVPTVGSQLTPEYLLLVRNSHLMSDGNIHKDRNKKRLSEIASLSSPNSVFVDSFPKLKVWYRQHQACIASTLSGLVHGTPFHQIVDGLLNMMFRNISRGDQVTIVSGSSSSSGPENEDTSIGTKLPAWDILEAIPFVVDAAVTACAHGRLSPRELATGLKELADFLPASLATIISYFSAEVTRGVWKPVFMNGTDWPSPAANLLNVEEQIKKMLAATGVDVPSLAPGGSSPATLPLPLAAFTSLTITYKVDRASERFLNLAGQTLESLAAGCPWPSMPIVASLWTQKAKRWSDFLIFSASRTVFLHNRDAVVQLLKSCFTATLGINSSPISSSGGVGALLGHGFKSHFCGGMSPVAPGILYLRVYRSIRDVVFLTEEIVSILMQSVREIVSGGPSRERLQKSKATKDGIKYGQVSLATAMTQVKLAAALGASLVWLSGGLVLVQLLIKETLPSWFISVHRSGQEENSDGMVAMLGGYALAYFAVLCGAFAWGVDSSSSASKRRPKVLGVHMEFLASALDGKISLGCDSATWRAYVSGFVSLMVSCTPNWVLEVDVDVLKRLSNGLRHLNEEKLALALLGDGGVGTMGAAAELIIESGR >OIV91837 pep chromosome:LupAngTanjil_v1.0:LG19:13368189:13369996:1 gene:TanjilG_17829 transcript:OIV91837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETISSSWGPVTSTTECCEQNYVYSSYIAEFYNTISNIPTIILAFVGLINALRQRFEKRFNVLHVSNMALAIGSMLYHATLQSVQQQSDETPMVWEMLLYVYILHSPDWHYRSTMPIFLFFYGAAFAAMHSVFQMAIGFKVHYIILCLLCTPRMYKYYIYTEDVLAKWLAKLYVITLVLGSLFWLGDRLFCKEISRWPINPQGHAFWHLFTGFKSYFGNTFLMFCRAQQHGWSPKVALLMGVLPYVKIEKPKSH >OIV91792 pep chromosome:LupAngTanjil_v1.0:LG19:14537976:14542277:1 gene:TanjilG_14371 transcript:OIV91792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEETFVPFRGIKNDVQKRLMYYKQDWIGGVAAGFRILAPTTYIFFASAIPVISFGEQLERDTDGVLTAVQTLASTALCGIIHSIIGGQPLLILGVAEPTVIMYIFMFNFAKSRPELGSKLFLAWSGWVCMWTAILLFLLAILGACSIINRFTRLVGELFGLLIAMLFMQEAIKGLVNEFHIPERSDLTSIEFQSSWRFGNGMFALILSFGLLLTALKSRKARSWRYGSGCLRGLIADYGVPLMILSWTAVSYIPAGNIPKGIPRRLFSPNPWSPGAYENWGDMLNVPILYIFGAFIPATMIAVLYYFDHSVASQLAQQKEFNLRKPPSFHYDLLLLGFMVILCGLIGIPPSNGVIPQSPMHTKSLATLKHLLLRNRLVATARTSMRNHASLGQVYGNMQEAYWQMQSPLVHQEPPSRGLNELKESTIQLASGMGTINAPVDESIFDVEKEIDDLLPVEVKEQRLSNLLQSLMVGGCVAAMPFLKMIPTSVLWGYFAFMAIENLPGNQFWERILFIFTAPNRRYKVLEECHATYVETVPFKTIAVFTLFQAAYLLVCFGITWVPIAGVLFPLMIMLLVPVRQYILPKFFKGVHLQDLDAAEYEVPALPFDQTAEGELGKTASFTDEEILDGMITRSRGEVRRICSPKVMNSTLIPSTELTILHSPRIPDKVHSPRLRPSQRKS >OIV92116 pep chromosome:LupAngTanjil_v1.0:LG19:6666048:6666752:1 gene:TanjilG_26974 transcript:OIV92116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFNNNNSSGMDNLLLQTLMGRLHLRSPINNPLIAQSLEDFLFNADNLDDDDEEEDDEGYDDGSKSDLSKEESKLERAIIKVIHSGNTDSLKPNSGQAVSVRDHHICVGFHEEKGSEYRVWEWHGHIMMFDEEHGYSPEYIYGNYFQRLGNKARGGGDGGSGGLEVVEEEKEEEEEEEDKVGNLGLRELIDSKDSNEGRILHRNMNAGSPRFDFKSLFVIVIISQFHELYSVH >OIV91598 pep chromosome:LupAngTanjil_v1.0:LG19:17467146:17475195:-1 gene:TanjilG_09010 transcript:OIV91598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKIAIRRIDNTTSRQVTFSKRRNGLLKKAKELSILCDAEVGLIVFSSTGKLYDYASTSMKSVLERYKKLKEESYQQMNPTLEIKFWQREAASLRQQLQYLQECHRQLMGEQLSGLGIKELQNLENQLEMSLRGVRVKKDEILTDEINELHRKGNLVHQENVELHKKMDFVHKENAKLQKKVFEAGVNEENVASDPPYTITDGYDLHAPISLQLSQSRTQYSQLPAKAIKLGYSLKLII >OIV92070 pep chromosome:LupAngTanjil_v1.0:LG19:7839513:7844066:-1 gene:TanjilG_08743 transcript:OIV92070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAMAGLYRRLLASPPSIDFASSQGKQLFLEAIQNGTMEGFYRLVSYFQTQSEPAYCGLASLSMVLNALAIDPGRKWKGPWRWFDESMLDCCEPLEKVKARGISFGKLVCLAHCAGAQVEAFHASHSSIDDFRKYVKKCSTSDECHVISSYHRAALKQTGTGHFSPIGGYHIGKDMALILDVARFKYPPHWVPLTLLWEGMNYVDETTGQSRGFMLISRPHREPGMLYTLSCKHESWNSIAKFLIDDVPLLLKSEDLKDIHKVLSVVVSSLPSNFEEFIKWVAEVRRREDGGPSLSAEEKARLSIKEEVLKQVQEIGLFKHVASFLSHSCSVHSPTSGNGNTLPDIAASVCCQGAEILSWKLSSSMTYCCQETCLGSKSEDEKPITMVSGTVVNGNTEHGVDVLIPSSPRKLCCNCTCASKNISMYPASTDVLTVLLLSLPSTTWDGIKDEQLLREIDGLVSTENLPALLQEEVLHLRRQLHLLKRCQEGKVDEDLGAPLS >OIV91661 pep chromosome:LupAngTanjil_v1.0:LG19:15264017:15266835:-1 gene:TanjilG_26514 transcript:OIV91661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSFFSLLILVLLYTISVSAINPHENYDDDSFISSLGDSVFTLQVPVLEENTLDNLLSFGYYRKSCPKFESIIHGKVKEWIQKDSTLAASILRLHFHDCSVRGCDASILLNHDGSERTAYASKTLRGFEVIDDIKAELEKQCPKTVSCADILTAATRDATVEIGGPYWDIPYGRNDGKVSIAKEAEIVPMGHENITSLIEFFQSKGLTVLDLVVLSGAHTIGRSSCGSIQYRLYNYQGTGKPDPSIDPKYLNFLQRKCRWASEYVDLDATTPKTFDNVYYINLKKKMGLLSTDQLLYSDLRTSSLVSAFYATPSLFKHQFGVSMAKLSNIEVLTEEDEGEIRTNCNFVNAY >OIV92252 pep chromosome:LupAngTanjil_v1.0:LG19:3830214:3835481:-1 gene:TanjilG_00270 transcript:OIV92252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METKNKFTEGADGKGEEGTGKEEKKGREGRRRRGRSVTNDEDDSVAKMKAAEEALEEKQKQKPSFELSGKLAAETNRFRGVTLLFNEPPEARKPDIKWRLYVFKAGEVLNEPLYIHRQSCYLFGRERRVADIPTDHPSCSKQHAVIQFRQVENEEPDGTVSKQIRPYIMDLGSTNKTFVNESPIEPQRYYELRERDTIKFAENMYYYMRTQSGN >OIV92040 pep chromosome:LupAngTanjil_v1.0:LG19:8543935:8551017:-1 gene:TanjilG_15031 transcript:OIV92040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSTGKLKSSSSELDLDRPNIEDYLPTGATIQQEPHGKLHLHDLLDISPTLSEAAGAIVDDSFTRCFKSNPPEPWNWNVYLFPLWCFGVVVRYLILFPARVLVLTIGWIIFLSSFIPVHFLLKGHDKLRRSIERSLVEMMCSFFVASWTGVVKYHGPRPSRRPKQVFVANHTSMIDFIVLEQMTAFAVIMQKHPGWVGLLQSTILESVGCIWFNRTEAKDREIVARKLRDHVQGADNNPLLIFPEGTCVNNHYTVMFKKGAFELGCTVCPVAIKYNKIFVDAFWNSRKQSFTKHLLQLMTSWAVVCDVWYLEPQNLKPGETAIEFAERVRDIISLRAGLKKVPWDGYLKYSRPSPKHRERKQQNFAESVLRRLEEK >OIV91602 pep chromosome:LupAngTanjil_v1.0:LG19:17423910:17427444:-1 gene:TanjilG_09014 transcript:OIV91602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAGRIFGPPRTMLTVLFFLCLVTSSHSNEVESLMKFKSYIQSSNGNVFSSWIQANSPCNFTGIVCNTNGFVSEINLAQKKLAGTLPFDSICALQSLEKISFGSNFLHGSISEELRNCKNLKYLDLAGNSFTGTVPYLSSLNNLEYLNLNASGISGAFPWKSLENLTSVTFLSLGDNLFEKTPFPLEILKLENLYWLYLSNCSITGNIPLGIGNLSQLQNLELSDNYLHGEIPADIAKLHKLWQLELYDNNLSGKFPIGFGNLTNLVNFDASNNDLEGDLSELRSLTNLASLQLFQNHLSGAIPQEFGDFKNLTEISLYDNKFTGSLPQNLGTWKGLDYIDISDNSFSGHIPPDMCKNNRIDELALLNNSFTGSIPETYANCTSLKRFRLSQNSLSGVVPLGIWSLPNLKLIDFSMNKFEGPITSDIGKAKSLAQLFLSDNQFSGELPSEISEASSLVSIQLSSNQISGHIPEMIGKLKKLTSLDLDNNNLSGLIPYSISSCISLYEINLACNSLYGEIPPSIGSLPSLNSLNLSSNKLSGEVPPSLSPHSLSVLDLSNNNLVGPIPDPLDISAFRDGFMGNPGLCSHILKNFMPCSMESGRSNTHRNLLLCLMAALILMLLSSAYFLFMKLNINKIEKPLKTTSWDVKQYHRLNFDENEVIQGIKAENLIGSGGSGNVYKIVLKNGVEFAVKHIWTSNQSDRGSCRSSSAMLKGSSKSPEFDAEVATLSSIRHVNVVKLYCSITSEDSNLLVYELLPNGSLWDRLHTSSKKTKMMGWEVRYDIAVGAARGLEYLHHGYDRAVIHRDVKSSNILLDEEWRPRIADFGLAKIVQGGAANWTNVIAGTLGYMAPEYAYTVKVTEKSDVYSFGVVLMELVTGKRPIEAEFGENKDIVYWVCNNMWRNKENDIVELVDSTIAKHFKEDAMKVLRIATLCTSKIPSSRPSMRRVVQMLEEAEPCTISNIIVTIND >OIV92218 pep chromosome:LupAngTanjil_v1.0:LG19:4706394:4711021:1 gene:TanjilG_31137 transcript:OIV92218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELKEIQTLEGHTDRVWSLDWNPATGHSGIPLLFASCSGDKTVRVWEQNTLSSNLFTCKAVLDETHTRTVRSCAWSPSGKLLATASFDATTAIWENVGGDFECVSTLEGHENEVKCVCWNASGNLLATCSRDKSVWIWEVEQGNEVECVSVLQGHTQDVKMVKWHPTMDVLFSCSYDNTVKVWADEGESDDWQCVQTLGEPNNGHTSTVWAISFNASGDKMVTCSDDLTLKVWETANIGIQSGGQFAPWRHLCTLTGYHDRTIFSVHWSREGILASGAADDAIRLFVDNNESEVGGPLFKLLSKKEKAHGMDINCVQWSPGEKPLLASASDDGTIKVWELTS >OIV91991 pep chromosome:LupAngTanjil_v1.0:LG19:11240168:11241385:-1 gene:TanjilG_07730 transcript:OIV91991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGETLWISHYDNAARMENGKFDAISELDEEGDKEATAVSLDVNLPDDLLEIILAYLPIASIFRAGCVCKRWHMIVHSKRFLWTLSHVGPQKPWYFMFTSSYQPIGYAYDPVLRKWHGIELPFIETSNWFIASSCGMVCFMDNDSRSELCVCNPITKTCRKLKEPPSLKISDYSALAFSVNRESQSYAVAIVKSKQVPENFFQWDISINIYDSEEVTWGTSITEVLTGWRGGDESVICNGVLYFLVYSAGVGPPENRHALIAYNVSSRDPQVRLARSFIQVPCSLTCGRLMNMKEKLVMVGGIGKLDRPDIIKGIGIWILNDRKWEEIAKMPHKFFQGFGELDDVFASRGADDLIYIQSYGAPALLIFDMNHKHWKWAQKCPVTKRFPLQLFTGFCFEPNLEFAP >OIV92449 pep chromosome:LupAngTanjil_v1.0:LG19:1209126:1212814:1 gene:TanjilG_02212 transcript:OIV92449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANANLPRRIIKETQRLLSEPAPGISASPSEENMRYFNVMILGPSQSPYEGGVFKLELFLPEEYPMAAPKVRFLTKIYHPNIDKLGRICLDILKDKWSPALQIRTVLLSIQALLSAPNPDDPLSENIAKHWKSNEAEAVETAKEWTRLYASGA >OIV92396 pep chromosome:LupAngTanjil_v1.0:LG19:1438044:1439170:-1 gene:TanjilG_22996 transcript:OIV92396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEARSIERKRSIEKVRNIVEEVQDEVEEEDEGGGCGCDEKKKGASGKRGLSGGGGGGGFSLPSCQAERCGADLTDAKRYHRRHKVCEFHSKAPIVVVAGFRQRFHDLAEFDEAKRSCRRRLAGHNERRRKSNVEMCNEGCNRGKGQQEQQTNERGRIQMNMGESCGYKSFHIR >OIV92445 pep chromosome:LupAngTanjil_v1.0:LG19:1238213:1246546:-1 gene:TanjilG_02208 transcript:OIV92445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTRPGKRDIDSYTIKGSNKIVRAGDCVLMRPSDTSKPPYVARVEKIEQDGRSNVKVRVRWYYRPEESIGGRRQFHGAKELFLSDHYDVQSAHTIEGKCIVHSFKNYTKLENVGAEDYYCRFEYKAATGAFTPDRVAVYCKCEMPYNPDDLMVQCEGCKDWYHPACVGMTIEEAKKLDHFVCSECSSDDDLKKPQVTFPGSPASDGKVQSNTHEDSSSILDEGVMIDRTIPSRMVGEIAATRIQNAFRSFMARRSTQHLRGADTFEDLVQDDMARDQTETTLNYIHSWSRIQEQIKARRLCMIIESRIKRKNLENQLKHDAKINELEVEWCNGSETMEEILSRLQQREEAAIKRERAMAYAFSHQWRPNCSQYFGQASYSLGKESWGWSWTERWVAARPWEVRVRGQTPKKKLNSQQQNTKLDNTNHNESKVTLVKSAMSNGKEIGKRKENNTPMLSIINNLPNSQ >OIV92518 pep chromosome:LupAngTanjil_v1.0:LG19:539820:545580:1 gene:TanjilG_02281 transcript:OIV92518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTITGASEKAALQALKASDWHLEGAFDFFYSQPQLRAFTDSRHLEELYNRYKDPYADMIMADGITLLCNDIQVDPQDIVTLVISWHMKAGTMCEFSKKEFIDGLQSLGVDSLEKFREKIPYMRSELKDEQRFREIYNFAFGWAKEKGQKSLALDTAIGMWQLLFAEKQWPLVDHWCQFLQTVGSNLSDYDAEGAWPYLIDEFVEYLNENGIIQK >OIV91774 pep chromosome:LupAngTanjil_v1.0:LG19:14749664:14750584:-1 gene:TanjilG_14353 transcript:OIV91774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLYEPNQEALEALRNSGLELMLGVPNSDLQKLSNNANAIQWVQNNVLNFWPSVSIKYIAVGNEVSPVGGTSSLAQFVLPAIQNIYQAIRAKGLHDQIKVSTAIDMTLIGTSYPPSKGSFRSDVRSYLDPIIGYLVYANAPLFANVYPYFSYSGNPSDISLPYALFTSPNVVVWDGSRGYQNLFDALLDSLHAAIDSTNIGYVKVVVSESGWPSEGGFGTTYDNARVYLGNLIQHVKQGTPMRPGLIETYLFAMFDENQKGNEIEKHFGVFYPNKQKKYPFGFGGERNLDIGSSVFNVSVPLKSDM >OIV92575 pep chromosome:LupAngTanjil_v1.0:LG19:60480:64212:1 gene:TanjilG_02338 transcript:OIV92575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDGEISISAYDTAWTGLVKNVDDENRPQFPSCLQWIANNQLDDGSWGDNEIFTAHDRILNTLACVIALTSWNMHPEKCDKGMAYFKENFDKLQDENAEHMPIGFEVAFFSLLQMAQSMNIEVPHDSPAFKDIFAMRDLKLKKIPREVMHKVPTTLLHSLEGMPNLDWKQLLKLQSQDGSLLFSPSSTAFAFSQTNDKKALQYLDKIVKRFNGGVPNVYPVDLFEHIWAVDRLERLGISRYFQPEIKECIDYVSRYWTEKGICWARNSEVQDIDDTAMGFRLLRLHGHHVSPNVFKYFEKNGEFVCFAGQSTQAVTGMYNLYRASQVLFPGEKILEDAKHFSAKYLTDKRSVNQLLDKWIITKDLPGEVSYALDVPWYGSYPRLETRFFIEQYGGQNDVWIGKTLYRMPYVNNEIYRELAKLDYNNCQKVHQEEWENIQRWYLETGLEKFGLSKEKLLFSYFVAAANIFEAERSLERVAWAKTAALIETLTSYLKDEEIRTAFVDRFNDIITRRDYSTKQLNKNKSEEELLGILLTILDYLAFEMFRSRGQEISHHLNQIWQSWLSSWQKEGSSSKREAELLVQTINLMAGSWSEELHLNPQFQTLMQVTNKIFHGLRNYQSNKAHDSGRANLSTSSMTMPEIESEMQELVQLVVQNSSNGIDSNIRNSFFIVARSSYYAACFEPETIISHIDKVLFQKVM >OIV92109 pep chromosome:LupAngTanjil_v1.0:LG19:6976819:6977025:1 gene:TanjilG_27264 transcript:OIV92109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTESGERGLSTCNTATMPYCLLFGSISSDGEFLSSVAVLSSVGEYGISHPFYLLPFSNNNDDLESFNG >OIV91724 pep chromosome:LupAngTanjil_v1.0:LG19:16540706:16542752:1 gene:TanjilG_26577 transcript:OIV91724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGAENNTQKRNEESGNSSENNNGSNSKIANSNEGQSRTKRQMKTPFQLEMLEKAYAMETYPTEETRAVLSEKLGLSDRQLQMWFCHRRLKDKKDSQQKKLRKTVVASTLRDSPIDDIKLGHEPGNEYGYGSGPGSSMFAHPELRNVVPRGVPRYYESPQTIMELRAIACVEAQLGEPFREDGPGLGIDFDLLPPDAFGASIGNWEIGFNGVPHNRWGNDLIGMNDEDVDASEDDNDFEEEEDSDGMVNRFVVNEEDSDLVVSEDSSD >OIV91830 pep chromosome:LupAngTanjil_v1.0:LG19:13243297:13245314:1 gene:TanjilG_17822 transcript:OIV91830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENFPIVDMGKLNTEERVPTMDIIKDACENWGFFELVNHGISIELMDTVEMLTKEHYKKCMEQRFNEMVTSKSLEFVQSEIKDLDWESTFFLRHLPVSNISEIPDLDDDYRKVMKEFAQELEKLAEQLLDLLCENLGLEKGYLKKVFYGSKGPNFGTKVSNYPPCPKPDLIKGLRAHTDAGGIILLFQDDKVSGLQLLKDGQWIDVPPMRHSIVINLGDQLEVITNGKYKSIMHRVIAQTDGNRMSLASFYNPGNDALIAPAPIFVKEDETSQAYPKFVFDDYMKLYAGLKFQEKEPRFEAMKAVESGVNLDPIATV >OIV91612 pep chromosome:LupAngTanjil_v1.0:LG19:17355934:17362990:-1 gene:TanjilG_09024 transcript:OIV91612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIYTLISLQEDFLNNNYEEKLKVLKHVLTNSSESSLQKLYMIDAMQHLNIDYHFQEEIDDFLWKEYVIYSNSGDGFGHHDLHQIALHFRLFRQQGHYVPPEVFNKFIDKRGKFNQRLCGNMKGIRDLYEASHLSIAGEDILDEAEQFSKQVLKERLLGDCFDNHEAKLIRNTLQHPSHKSLAMLTGREFFGDFHSMNNGWLGSFKDFAEIDFSLQQHLHQQEILQISRWWTELGLGNELKYARNQPLKWYMCSLACLTDPTLSEERVELTKAISFIYIIDDTFDLHGTLHELTMFTDVVTRWDIEASEQLPDSMKICFKALYNLNNEISTKIYTKHGFNPTHSLRKAWESLCKAFLVEAEWFGCGNIPSGEEYLKNGIISSGVHIVLVHIFFLLGQRLTQHNVEIIDRSPMIISSAAKILRLWDDLGTAKDENQEGNDGSYVYCLLMEHQDMSLKRAREEVMSMISDAWKNLNQECLFQKSFQTTFTKACLNLARMVPLIYNYDNKRYIQDYINSLISDPVIT >OIV92139 pep chromosome:LupAngTanjil_v1.0:LG19:6210353:6218220:-1 gene:TanjilG_18711 transcript:OIV92139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRASDERPQRIKYGSTSMDPLGLLEIMDIYFPHQLFTIEETWLNLSSALFSFFSSPNGENLISQVKSDYGNFVLSLDFQQLKRICHIEELYEMLEAKPKIALLCMSVAVHKVLLSKMENDKLELGAKVDIRIHNCPETMIALKNLKAAYIDNIASSCDLTDKLVSVRGTAVKVSTVRPLVVAMSFECIKCKQPIMRIFPDGKFSPPSTCNLNGCKSRIFNPLRPTAQIIDFQKIRVQELLKPEDHEEGRMPRTVECELTQDLVDACIPGDVVTVTGIIRGINTYMDIGGGRSKNRNQGFYYLYLEAVSVKNSKSQSTSEDLQDSNPTARPTELFDLFTFSSKDLEFVVKFAEEHGSDLFRQILQSICPSIYGHELVKAGITLSLFGGVRKHSMDQNKVPVRGDIHVIIVGDPGLGKSQLLQAAAAVSPRGIYVCGNATTKAGLTVAVVKDPMTSDYAFEAGAMVLADSGLCCIDEFDKMSSEHQALLEAMEQQCVSIAKAGLVASLSSRTSVLAAANPVGGHYNRAKTVNENLKMSAALLSRFDLIFILLDKPDELLDKRLSEHIMSLHAGYGQHSPALKKQRGSISLHFLLGDFSDPPSDSRTTLSQNTEGVDLHVRSGSLVSRLRLDPRRDRDFVPLPGQLLRKYIAYARSYVFPRMSKPAAEILQKFYLKLRDHNTSADGTPITARQLESLVRLAEARARLDLRVDITAQDAMDVVEIMKESLYDKYVDEHGVVDFGRSGGMSQQKEAKRFLNALNKQSELEQKDCFLVSEIYSLADRIGLKVSDIDTFIDNLNSAGYLLKKGSKTYQCDLHVESSPTSKNNCLDKCIHPFDWCRYYLLLIHEANHSGQGANA >OIV91515 pep chromosome:LupAngTanjil_v1.0:LG19:18101736:18103299:1 gene:TanjilG_08927 transcript:OIV91515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGESGGVEESPSCCSSPKNKVKFLCSHGGKVLPRPSDGTLKYVGGETRVISVPRDITFSDLMKKLSEMVEGDMILKYQLMPEDLDALVSVRTDEDLKHMIEENGRHENEGAPLLRAFLFPSKPVLIENHAAATAALASSSSSEPYVLEQRYIDAINGIIRTSPKMKLAPIRAPSNCSACSSPKSSSPDGNTADLIHESTFHPPGRLAMHKVRSSPSIASLSNLHSSPSIQHDHSHYQNQNQSHHLVGYPLSSSRTATAQDAQAGFGMARPPPIMSLPLGMGGKIDMNRGTGNSNGNGYSYYYSSSRPHKVFAYYDDNAAAYAPHPTVERVNVPRSPRKNIWE >OIV91711 pep chromosome:LupAngTanjil_v1.0:LG19:16406326:16412934:-1 gene:TanjilG_26564 transcript:OIV91711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQQDNVFLILIFQVMLVAAQTSNEDYSGLQFLTQSWTNKPPNWVGSNPCGSNWDGIHCTNLRITQLDLSYNTGLTGTIPKEIGNLKNLKSLALVGCGFFGPIPDSIGFLKQLTFLALNSNRFNGSVPSSIGNLSNINWLDLSDNELEGPIPVSDDQGQPGLDLLLKAQHFHLGDNKLSGQIPPKLFNSSMILEHVLFSHNQLAGTIPRTLSLVTTVEIVRFDRNGLTGGLPSNFNNLEKLSELYLSDNKFNGSLPDLTAMNSLTYVDMSNNSFTSSDNIPSWVTSLEYLTTVILGDNQLSGTFNISNGYSNSLQLINLQNNAITDYKPGNQKINFDVILTGNPICLENGVSQQSYCQVPEVISSYSTPPNNCSPQTCSNSQISSPNCRCAYPYTGSLVSRALSISNFNTSDYKDIEKSLMDSFRAQNLPVDSVSLSDPMKNSSNDNFQFTLCIFPSQTDRFNRTGVSQIAFVLSNQIYESPEFFYPYFFIGANYRYYEGEPKNSSSGHIGIIVGAVLAVVALLVLAVLAGMYTIHQKRRAQRSKEQNPFVNWEQNNNSGIAPQLKGARWFSFDELRRYTNNFAEANTIGSGGYGQVYQGVLPSGELVAIKHAAKESMQGAVEFKTEIELLSRVHHMNVVSLVGFCFENGEQMLVYEYIPNGSLMDSLSGKSGIWMDWIRRLKVTLGAAKGLSYLHELANPPIIHRDIKSGNILLDSHLNAKVADFGLSKLLVDSDRGHVTTQVKGTMGYLDPEYYMTQLLTEKSDVYSFGVLMLELVTGRRPIEQGKYIVREVMKIIDTSKDLYNLHSILDPTITKSTKPKGIEKFVELALRCVKEYAHERPSMSDVVKEVENIIEIVGINHNSESATTSEVYDVEKGGDVIQPYGNKDFNYSGTFLSAKVEPQ >OIV91756 pep chromosome:LupAngTanjil_v1.0:LG19:16831179:16834075:-1 gene:TanjilG_26609 transcript:OIV91756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFTDHFNLNSSSDHHFSAADDDDTYSDYSTFTAGLTPDVHNAAASPDIFGFTDHNPVYTQSPFESVTVENGNGNGHGDGDNVFVSDGPVLPPPGEMEPEEGYVLREWRLQNAIQLEEKEKKEKELRVKIIEEAEEYKVAFYEKGKLNVETNKVQNREREKLFLINQENFHTEGDKSYWKTIAELIPREVPNIEKKRSKKDQEKKPSITVVQGPKPGKPTDLTRMRQIILNLKHTPPPAHAKDAKDGKDGKEPASKPTGSTAEGAPASTPKDAASNGSSDVPQKEASATKEQVAA >OIV91818 pep chromosome:LupAngTanjil_v1.0:LG19:14197122:14202229:1 gene:TanjilG_14397 transcript:OIV91818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANKYSEPHICQCLSGLLTSRRYLPVTISGHRRKNGEQFVEEVLALAEGLLQLGLKPGHVVAIAAYNSDWYLEWLLAIAFVGGIAAPLNYRWSFEEARLAMISVKPVMLVIDESCYTWYSKLHKNNTLSLRWYVLLDSTSPDFTREWNVLTPEMIKRHSTNPLPFVYSWAPEGAVIICFTSGTTGKPKGVILSHGALIIQSLAKIAIVGYSEDDVYLHTAPLGHIGGLSSALAMLMVGGCHVLIPKFDAKSAVDAIEQHSVTSLITVPAIMASLISLVRSKKTWKGGETVKRILNGGGSLSFEFIKDSSIFFHKAKLISAYGMTEACSSLTFLTLYDPLHKTTSQHLQIPDESGSNFIHQPQGVCVGKAAPHVELKICADDSGHRGRILTRGPHIMLRYWDQSLVKALNPRSEAWLDTGDIGSIDDDGNLWLLGRTNGRIKSGGENIYPEEVEAIVLEHPGIASTVIVGIPDASLTEMVVACVQLRENWQWSEKAVSDEEFHLSRKILQQYCIQNNLSRFKIPKVFILWRKPFPLTTTGKIRRDQVQKEVMSQLQSLHSNL >OIV92148 pep chromosome:LupAngTanjil_v1.0:LG19:6152350:6152829:1 gene:TanjilG_18720 transcript:OIV92148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSTRMDNRLDNMERRLEGMQSSLQEAFRLQMETLMKAITDRLEEAVGEIRELGRDGIGENNNREDRYRRGGLRGDEETRRDERVTRVEVPYFTGEDPHRWVYQVERYFEVNCIPEDEKVDTAVVCLEGKALNWYQWWESRMVVAVRWSMFRQALMSRF >OIV92192 pep chromosome:LupAngTanjil_v1.0:LG19:5071055:5073185:1 gene:TanjilG_30900 transcript:OIV92192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRSALSTFRAKEEEIEKKTMEVREKVQLQLGRVEEETKRLATIREELEALADPMRKEVAVVRKKIDSVHKELKPLSHTCQKKEKEYKDALEAFNEKNREKVQLITRLMELVSESERLRMKKLEEISKNIDSMQ >OIV91999 pep chromosome:LupAngTanjil_v1.0:LG19:11342942:11360277:-1 gene:TanjilG_07738 transcript:OIV91999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPFILYVLLSHLSIQTSGYIQPNEEGLISGVITDKGLDYAKDLLIEEGIASFVQLQLPEVEKSIQVPLVGNAKVVLSNITIKGIKVNSSTVKTGETGIVIAVSGANANLTMKWRYSVSSWLIPIGVSDSGTATIKVKDMQVGLTVNLKNQEGTLNLFLLDYGCYVRNLSIKLEGGATWLYQVLVDTFEGSIASSVEKAITEKIREGIEKLDNLLQYLPKQISLDKTATLNVSFVGNPVLSNSSIAIAVNGLFTGRNEVSVPQVYPKEFEISSACGGLPKMIKVSIHENVFKSASLVYFNAGSMQLVIDELPEKTILNTAEWRFIVPQLYKSYPNDDMQLNITVSSPPVIQVTNQDIDATIFVDITVDVLEDGEVIPVACISVDISASCAAEIVGNRIAGRLKLQKFSAYLKWSKIGKLHMKLIQPLMSTALKTVIIPYLNFQLKRGLTFPIIDGFAFQKANILYAPPWIAACSDVSFLGEYYTSNQLINHKITLKNVSDVFMLRE >OIV91684 pep chromosome:LupAngTanjil_v1.0:LG19:16207492:16208327:1 gene:TanjilG_26537 transcript:OIV91684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLTFTDESTSIVQAERLFKSLILDAHNLIPKLLPQAIKKVELVQGNGGPGSIQEITIVEGDSIKHLKHRIDALDKENLAYSYTVIEGDSVLEKVDSVSHEIKFEATAEGGSKTKNISKYQPKEGIEIKEEDFKAAREEASAILKVVEAYLVANPEAYA >OIV91616 pep chromosome:LupAngTanjil_v1.0:LG19:17329107:17330078:1 gene:TanjilG_09028 transcript:OIV91616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYYNSSYSASDYGEYNFNSCPISYHHSQTPYFMLYNDYEYNQQNYVYDPNLNYAPSTPSQSCYQTISSCYGTNFSDSKSIKYDPNYDMTSELVIYYNKLEFNEPAFEEYDPTPYGGGYDMAETYGKPLSPSDKICYPRSGSSSIKPPFDSIPVESIVPLPIVEEGFDKKEHIPQKVAEEEKKMEVEDKSNGTHDVKPYEDEDSDKNPHEEDYASGSEVDEYNEKKVAPQYVPSGYGLEAMDICETLFGYWPCLARMKREQQCYEEVYDRGNNYMYDNMWKGAADYLFGNQDSYGGGIREDRNSSNSYEGDIVVYGYERHYPT >OIV91690 pep chromosome:LupAngTanjil_v1.0:LG19:16253833:16254300:1 gene:TanjilG_26543 transcript:OIV91690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIIVADPVTVTAAKLLRSFDFAANLRISSAVAPPPVKSTARKMHRRNNLRRKRRIKRKLSGDEFGNEGFFGDGGDSGGGFGGSGGGGWNFNNFGGGGDWDESSSSVPDPAFDFVYQVLSWIMLSNCLHFAFKRILQIILDADREKLPRRFTPIC >OIV91673 pep chromosome:LupAngTanjil_v1.0:LG19:16084254:16085817:1 gene:TanjilG_26526 transcript:OIV91673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIILVNIRRWILVFAILYISLIWTNFGNADEDVQETQPSGGFGGGNGVGAAGSGGAAGGGGAGFGDPTEIVSKALLCFNDKYMYSSCEESYRLKENGNLNVPSQKTDEFCEGPCLTETNLVLSCIDKIFSNFIFYNRATIQDIKETVQSACGYGPERGNFNVAEHIQNEESKGLKATSHVLFVLVLILMGRGLFL >OIV92030 pep chromosome:LupAngTanjil_v1.0:LG19:9728457:9729953:1 gene:TanjilG_06780 transcript:OIV92030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPTAAKHTFLSNILVRLFSFAVLVFAARFAYVITVRGGSCYSTDFCFLSDNLNPNPKLRADLSYDSGDPFSGKNWRRSVEYYAAIFQDLIAEGSLSLNSRALCIDTPSGEEVLALKEVGVVDSVGIFKKPSPPLIVQGNGRRQPFPGESFDFEFSGSGSLEQSRRPSEFAAEICRTLRPGGSLVVHTAARDAYSLHSFLELFKCCELIRSREINGVDSSSWILEILLKKKKNPKFPSLENSTLNGNAVNKCSVHGYKRELVKNAETLIMEEPLKPWITLKRNVKNIKYLTSMIDISFKNKYVYIDVGARSYGSSIGSWFKKQYPKQNRTFEVYAIEADKTFHEEYRTKKGVTWLPYAAWVRNETLFFEITRDPSQKIMTRGKGMGRISSVQTSSSHMGDMDKIQGFDFANWLKSVVGYSDFVVMKMDVEGTEFHLIPRLIQTGAICLIDELFLECHYNRWQRCCPGQRSPKYQKTYSECLDLFTSLRNSGVLVHQWW >OIV92231 pep chromosome:LupAngTanjil_v1.0:LG19:4915896:4916916:-1 gene:TanjilG_31150 transcript:OIV92231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLLEKLWDDVVAGPHPDRDLGRLRKITTSQPLNITKGEGSNKYHRAILTQATQTTPLSGRRVLDNIWRSVFHPGSNLATKTIGAEMFDKPLPNSPTVYDLLYSCETKSKHL >OIV91701 pep chromosome:LupAngTanjil_v1.0:LG19:16338588:16341300:-1 gene:TanjilG_26554 transcript:OIV91701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGKGRKISGRGEAVAANYAFGPAEDDIIIKHRLLTRTTTTRGEPPLKKLQKKFTSFVSELDKDDETSNFNDCDKLARAFLQELTTFEIPLLKSKAIVEANIREKENFNELKDEMNRQIFQAQGDIEDLKMQLEESKVERRHKEECEAIRKLIALQPPRSHTQKLITDLEKEITKLDAENTAASRLLELRKKQFALLLHVVDELQNTIEDEQKSLVEEMRIASEELKNGMDDTSGGSEAMIVDQ >OIV91938 pep chromosome:LupAngTanjil_v1.0:LG19:12574385:12577628:-1 gene:TanjilG_25419 transcript:OIV91938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCLLSCCASLTCGLCTSIASGISQKSARIGYCGLFGASLILSWVLREVGAPLLEKIPWIDSSHTHTKEWYQVQAVLRVSLGNFLFFGILALIMIGVKDQNDRRDSWHHGGWSVKMIIWLLLVILSFFIPDVIMIAYGFISKFGAGLFLLIQVIILLDCTHNWNDAWVEKDERKWYIALLVVSIGCYIGAYALSGILFIWFNPSGYDCGLNVFFLVMTMILAFLFAVISLHPQVNGSLLPASVISVYCAYLCYTGLQSEPRDYECNGLNKSRAVNTGTLVLGMITTVLSVLYSALRAGSSTTFLSPPSSPRSGGNKPLLEEELEEGKRKKEEKEAKPVSYSYSFFHLIFALATMYSAMLLSGWTSTNEGTDLIDVGWTSVWVRIGTEWVTAGLYIWTLVAPSLFPDREFA >OIV91613 pep chromosome:LupAngTanjil_v1.0:LG19:17335818:17343626:-1 gene:TanjilG_09025 transcript:OIV91613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDAMQHLNIDYHFQEEIDDFLWKEYVIYSNSGDGFGHHDLHQIALHFRLFRQQGHYVPPEVFNKFIDKRGKFNQRLCGNMKGIRDLYEASHLSIAGEDILDEAEQFSKQVLKERLLGDCFDNHEAKLIRNTLQHPSHKSLAMLTGREFFGDFHSMNNGWLGSFKDFAKIDFSLQQHLHQQEILQISRWWTELGLGNELKYARNQPLKWYMWSLACLTDPTLSEERVELTKAISFIYIIDDTFDVYGSLQELTMFTEVVSRWDIEASEQLPDSMKICFKALYNLNNEISTKIYTKHGFNPTHSLRKAWESLCKAFLVEAEWFGCGNIPSGEEYLKNGIISSGVHIVLVHIFFLLGQRLTQHNVEIIDRSPMIISSAAKILRLWDDLGTAKDENQEGNDGSYVYCLLMEHQDMSLKRAREEVMSMISDAWKNLNQECLFQKSFQTTFTKACLNLARMVPLIYNYDNKRYIQDYINSLISDPVIM >OIV91599 pep chromosome:LupAngTanjil_v1.0:LG19:17448006:17457377:-1 gene:TanjilG_09011 transcript:OIV91599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNKRVMVPAKDVDLSSIKYQPQIIQAPHVTGFLFRLFVRIVEAPLVGPFIISILKKDNQFDQILRNTVIPEEPMFKPEYPPQEPEPAVIVLDEDGRPEDRVESALNCLPHYDPAELWENSSSPFHYWKIRDYAYAYRSRNVTPSIVAERIISVIEENLRNTPPSPLLISFDAVEVRKQAAASTQRFEEGNPLSILDGIFMAIKDDIDCYPHPSNGASTWMHEVRTVKKDAASVSRLRSCGVILIGKANMHEFGMGTTGNNPNYGTARNPHAPDRYTGGSSSGPAALVASGLCSAALGTDGGGSVRIPSSLCGVVGLKTNYGRTSMEGSLCDSGTVEIIGPIASSVEDVMLVYSAILGSSPATRISLKPSPPCLPTLSFYDDSNALGSLKIGKYTPWFNDVLSTEVSDKCEDALNLLSKAHGCEIKEIVIPELHEMRTAHVVSIGSECLSALNPDIEDGKGGKLTYDTRTSLALFRSFTAADYVAAQCLRRRIMYHHMEIFKKVDIIVTPTTGMTAPKIPPSALESGETDMPTTGYLMRFIIAANLLGLPAISIPVGYDKEGLPIGLQVIGRPWAEATILRIASAVEKLCGESKKRPVSYYDVLKGN >OIV92452 pep chromosome:LupAngTanjil_v1.0:LG19:1192774:1194063:1 gene:TanjilG_02215 transcript:OIV92452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASILSLLLFSCIPFIAHAIVPQNETFKFVNSGELGPYIVEYGADYRMISIFNSPFQVGFYNTTPNAFTLALRVGLQRSEQLFRWVWEANRANPVGENATFSLGSDGNLILADSNGRVAWQTNTSNKGVVAFRLLPNGNMVLLNSQGKFIWQSFDHPTDTLLVGQSLKASGPSKLVSRLSEKENRDGPYSLVLEPKGLALYYKSKNSPRPILYWNPSDWFFIQQGSLANVTLTSDPESFEVALDYYVANSSNSGNRILGRPVNNSTLTYLRLGIDGNIKFHTYFLDVRFGVWQVTYTLFDRDSDESECQLPQRCGNFGLCDDNQCVACPLEKGLFGWSKNCSAPNPITPCKTSGFHYYKVEGVEHYMSKYTSGESLSESSCGNKCTNDCKCVGYFYHKDDSRCWVAYDLQTLTSVANSTHVGFIKVADK >OIV92304 pep chromosome:LupAngTanjil_v1.0:LG19:2881168:2881731:-1 gene:TanjilG_10514 transcript:OIV92304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHQSDQIIHHNNERNNTSHSEIEQKGVLKNFTRPAREKKIFDEEGGETCLKGTTHVEEMDVSGDVNMEASITTDDVIRAGGFGARDDISSVLPVASDSTDFEASIRDARDYEEPQGQVSRPGLGWTGTTKGE >OIV91513 pep chromosome:LupAngTanjil_v1.0:LG19:18109289:18111591:-1 gene:TanjilG_08925 transcript:OIV91513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALILHAASTNKNTYKALIAAEYNGVQVQLAPNFEMGVSNKTPEFLKLNPLGKVPVLETPDGPVFESNAIARYVARLKGDSSLLGSSLIDQAHVDQWIDFSSLEIDANILKVLLPRVGFAPYLAPVEEAANAALKRALGALNTHLASSTYLVGHTVTLADIITTLNLYLGFTKVLVKSFTSEFPHVERYFWTLVNQPNFRKVLGQVKQTEAIIPIPSAKKPVKETKPKAKEQPKKEAKPEPEKPAELAEEEAPKPKAKNPLDLLPPSKLILDEWKRLYSNTKTNFREVAIKGFWDMYDPEGYSLWFCDYKYQDENTVSFVTLNKVGGFLQRMDLARKYAFGKMLVIGSQPPFKVKGLWLFRGQEIPQFVIDECYDMELYEWTKVDLSDEAQKERVNQMIEDYEPFEGEPLLDAKCFK >OIV91753 pep chromosome:LupAngTanjil_v1.0:LG19:16800322:16801869:1 gene:TanjilG_26606 transcript:OIV91753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERGKLFMTVITETIGIVVLMEGADMKVLIVSRAVTMIGIMIVFEMEKPDTNMESIDQDQGGNIYQDPDPLLVQRAKGPLDLTWHHLLQA >OIV91996 pep chromosome:LupAngTanjil_v1.0:LG19:11300890:11302694:1 gene:TanjilG_07735 transcript:OIV91996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQPDSTPKKVVFIMRDQRGNSLSCTLWGQFATQLLKYEQQDHKLGPIVLILTLAKIRDAKGGYPVTIQNTMYGSKLYINDNNIPEFQSFSSSLDTSKSYESYSQRMSQFSSCSQGGLQEKFFHNAVAKTIGEIIHVGQQSLSGGADYDPEHIEYLTPLKRLGSSAMFDDTQDLVFAQMSSTENVKHVNNE >OIV91899 pep chromosome:LupAngTanjil_v1.0:LG19:14025040:14025381:-1 gene:TanjilG_17891 transcript:OIV91899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQYIGTRNNDADLTYETSTLAHDAIMALLYTILFSTLQQPTVTPYLLRLLLAPSNQNNERKQKKTTHLLTSFQNMMPTYMVIFITQHIGSYTISVCVPLYSMFMPPPPLHHY >OIV92145 pep chromosome:LupAngTanjil_v1.0:LG19:6164942:6166478:-1 gene:TanjilG_18717 transcript:OIV92145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSEIVNQGKLVSDEIIISLLSVRLAAGEAKREPGFILDGFPRTIKQAEILEGVTDIDLVVNLKPKEEVLLEKCLGRRICSQCGGNFNVASINMKGENGCPGTVMPPFLPPAHCMSKLIIRSDDTEAVVKERLRIYNEMSQPVEEFYRSRGKLLEFDLPGGIPETWPKLLHALNLDDYEEKQSAAA >OIV91910 pep chromosome:LupAngTanjil_v1.0:LG19:14140143:14142255:1 gene:TanjilG_17902 transcript:OIV91910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSIPVTVAALCYVVVVFGVLSFSSNAELDPSFYKNTCPNVSSIVREVVRNVSKKDPRMLASLIRLHFHDCFVLGCDASILLNNTDTPTKIESEQQAAPNDNSIRGLDVVNQIKTAVEKACPGVVSCADILTLAAEISSVLGGGPDWKVPLGRRDGVTASRTLANQNLPSPFSSLDKLKSAFAAQGLNTTDLVALSGAHTFGRARCTFVTSRLYNFSNSGKPDPTLDTTYLQQLRGQCPNGGSGNNLVNFELTSPDTIDNDYYSNLKVKKGLLQSDQELFSTSGADTISIVNTFANNQDAFFANFKASMIKMGNIGVKTGKDGEVRKQCNFINKKSAELDLASVVSKESSQEAMVSSF >OIV92041 pep chromosome:LupAngTanjil_v1.0:LG19:8586075:8586377:1 gene:TanjilG_15032 transcript:OIV92041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGDEVTSMLTVQYRMHELIMDWSSKELYNSKVKAHASVAAHMLFDLEDVKKTS >OIV92522 pep chromosome:LupAngTanjil_v1.0:LG19:502620:512078:-1 gene:TanjilG_02285 transcript:OIV92522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALKLAMKLRSSNLLSIHFQIQHSTPSLTRKISIDARSVASQLNSSGLLRTQGLIGGKWSDAYDGKTIKVYNPATGESIADVACMGERETNDAISSAYDAFRSWSKITAAERSKYLRKWYDLLIAHKEELAQLMTLEQGKPLKESLGEVNYGAAFIEFASEEAKRIYGDIIPSPLSDRRLFVLKQPVGVVGAITPWNFPLAMITRKVGPALACGCTVVIKPSELTPLTALAAAELSIQAGIPAGVVNVVMGNAPEIGDALVASPQVRKITFTGSTAVGKKLMAGSAETVKKVSLELGGNAPCVVFDDADLDVAVKGTIAAKFRNSGQTCVCANRILVQEGIYDKFAKALIDAVQNMKVGDGFSEGVAQGPLINEAAVKKVETLLHDATSKGAKIVLGGKRHSLGLTFYEPTVVRDVSNEMLISREEVFGPVAPLLKFKTEEDAIGIANNTNAGLGSYVFTSSIQRSWRVAEALEYGLVGVNEGVISTEVAPFGGFKQSGLGREGSKYGMDDYLEIKYVCMGNMNKN >OIV91990 pep chromosome:LupAngTanjil_v1.0:LG19:11237679:11239587:1 gene:TanjilG_07729 transcript:OIV91990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKELKSDGDFGFAFNDSNFSDRILRIEITTIPFHTRIHSDPCSTISDSDTHRKRRREDAIINHNVVVEQIMNENETDIDDCVPCENQDEEIDAMVEETTSVMRVRTLHISSPILAAKSPFFYKLFSNGMRESQERHVTLTINSSEEAALMELLNFMYSNTLPNTSPPALLDVLMAADKFEVASCMRYCSRLLRNMPMTPDSALLYLHLPSSVLMADAVQPLTDAAKQYLASRYKDITKFQEEVMGLPLAGVEAILSSDDLQVPSEDAVYDFVLKWVRTQYPKVEERREVLGTRLARLIRFPYMTCRKLKKVLTCNDFEHEGASKLVLEALFFKAEAPHRQRILASESASLNRIFVERSYKYRPIKVVEFELPRQQCVVYLDLKWEECTNLFPSGRVYSQAFHLGGQGFFLSAHCNMDQQSSVHCFGLFLGMQERGSVSFAVDYEFAARSRPMEEFVSKYKGNYIFTGGKAVGYRNLFAIPWTSFMAEDSLYFINGILHLRAELTIKH >OIV91926 pep chromosome:LupAngTanjil_v1.0:LG19:12781487:12781996:1 gene:TanjilG_00594 transcript:OIV91926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHQGGNFLSCKSSSSNSFDEGAMDQDKDLDEDVRSVGDVAKEKCDSSAWHKSKAPRKIPKANDNAISSSNAQVVQEPSSSSSSQPIDIPDWSKIYGKKYLNKGSRDGANNDDDDGDDMSIPPHEWLARKLARNQISSSSVCEGMGRTLKGRDLNKVRTTILTKTGFIE >OIV91927 pep chromosome:LupAngTanjil_v1.0:LG19:12774271:12775404:1 gene:TanjilG_00595 transcript:OIV91927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCSNLTMLVSSKPSLSDASNLSFRSSLNHFQLPSQNSSLSSPTPSRSSVTPLQCGLRELRTRIDSVKNTQKITEAMKLVAAAKVRRAQEAVVNGRPFSETLVEVLYNINEQLQTEDIDAPLTKARPVKKVALVVCTGDRGLCGGYNNAILKKAEARIAELKTLGLDYTVISVGKKGNTYFLRRPYIPVDKFLEGGTLPTAKEAQAIADDTFSLFVSEEVDKVELLYTKFVSLVKSEPVIHTLLPLSPKGEICDINGVCVDAADDEFFRLTTKEGKLTVERDVVRTKTPDFSPILQFEQDPVQILDALLPLYLNSQILRALQESLASELAARMSAMSNATDNASELKKNLSVLYNRQRQAKITGEILEIVAGANAQI >OIV91555 pep chromosome:LupAngTanjil_v1.0:LG19:17753984:17758233:1 gene:TanjilG_08967 transcript:OIV91555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHAIDTNRTDLMTITRFVLNEQSKYPESRGDFTILISNIVLGCKFVCSAVNKAGLAKLIGLAGETNVQGEEQKKLDVLSNEVFVKALVSSGRTCLLVSEELEEAIFVPPSQHGKYIVVFDPLDGSSNIDCGVSIGTIFGIYMVKDKDNASLDDVLQPGNKMLAAGYCMYGSSCTFVISTGNGVNGFTLDPSLGEFILTHPDIKVPRKGKIYSVNEGNAKNWDEPTTKYVENCKFPQDGSPPKSLRYIGSMVADIHRTLLYGGMFMYPADIKSPNGKLRLLYEVFPMSYLMEQAGGQAFTGKERALDLVPSHIHERSPVFLGSYEEIEHIKDLYASCQAN >OIV91873 pep chromosome:LupAngTanjil_v1.0:LG19:13806954:13810993:1 gene:TanjilG_17865 transcript:OIV91873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPKSSFLNVYSILKSELLQDPAFEFSTDSRQWVERMVDYNVPGGKLNRGLSVIDSYKLLKDAQELNDEEIFLASALGWCIEWLQAYFLVLDDIMDNSHTRRGQPCWFRVPKVGMIAANDGVVLRNHIPRILKNHFRGKPYYVDLLDLFNEVEFQTASGQMIDLITTLEGEKDLSKYTSSLHRRIVQYKTAYYSFYLPVACALLMVGENLDNHTDVKNILVEMGTYFQVQDDYLDCFGAPETIGKIGTDIEDFKCSWLVVKALELSNEDQKKVLYENYGKPDPANVAKVKVLYNELNLQGAYAEYESSSYEKLVTSIEAHPSKAVQALLKSFLAKIYKRQK >OIV92255 pep chromosome:LupAngTanjil_v1.0:LG19:3920830:3923742:1 gene:TanjilG_00273 transcript:OIV92255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGEGAGCAVWQGVILGGILFWILFSSYLNLTQKLRSFLQPWITHHIQTGAPFVLHVQSYQHGFLDALFSGLSCVVSVPFYTAFLPLLFWSGHGQLARQMTLLMAFCDYIGNCIKDVVSAPRPPSPPVRRVTATKDEEDNALEYGLPSSHTLNTVCLSGYLMHYVLTHTQIQGAYVNYLGVSFVCLFVALIGIGRIYLGMHSLVDILAGLVLGLGILAFWLTVDAHIDSFVISGKNVISFWVALSFLLLFAYPTPELPTPSFEYHTAFNGVALGIVAGVQQTYHQFHHGSVPRLFSQDLIISAFAGRMMLGIPTILIVKFCSKALAKWIIPVVANTLGIPIKSSGYIKSDKQGGYLQKLVSKHEAFDVDIGIRFVQYAGLAWSVVDLVPSLFTYTNL >OIV92516 pep chromosome:LupAngTanjil_v1.0:LG19:557044:559385:-1 gene:TanjilG_02279 transcript:OIV92516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKRSVGTLKESELKGKRVFVRVDLNVPLDDNSNITDDTRIRAAIPTINYLTGYGAKVILASHLGRPKGVTPKYSLKPLVPRLSALLRTEVKIANDSIGEEVEKLVAQLREGDVLLLENVRFYKEEEENDPEFAKKLAALADVYVNDAFGTAHRAHASTEGVAKYLKPAVTGFLMQKELDYLVGAVSNPKRPFAAIVGGSKVSTKIGVIESLLSSVNVLLLGGGMIYTFYKAQGYSVGSSLLEEDKLDLATSVLEKAKTKGVSLLLPTDVVIADKFAADANSKIVAASSIPDEWMGLDIGPDSIKTFNEALNKTQTIIWNGPMGVFEFDKFALGTEAITKKLAELSDKGVTTIIGGGDSVAAVEKVGLPDKMSHISTGGGASLELLEGKQLPGVLALDDA >OIV92473 pep chromosome:LupAngTanjil_v1.0:LG19:1022092:1022467:-1 gene:TanjilG_02236 transcript:OIV92473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSQKTWCVAKPSSDQAALSSNINYICSQVDCKIIQSGNPCSTPDNIINKASIAMNLYYHAKGRNKWNCDFNNSGLIVITDPSYGNCTYA >OIV92205 pep chromosome:LupAngTanjil_v1.0:LG19:4526185:4538379:-1 gene:TanjilG_31124 transcript:OIV92205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAKNNGSERELAGVNGFLQVSEASISATGSINVVNEGVNKDSLSFANILRSRNKFVDALALYERVLENDSGNVEALIGKGICLQMQNMGKLAFDSFSEAIRLDPQNACVLTHCGILFKEEGRLLEAAESYQKALQADPSYKAAAECLAIVLTDIGTNIKLAGNTQDGIQKYFEALKIDPHYAPAYYNLGVVYSEMMQYDMALTFYEKAATERPMYAEAYCNMGVIFKNRGDLGAAITCYERCLAVSPNFEIAKNNMAIALTDLGTKVKLEGDINQGVAFYKKALYYNWHYADAMYNLGVAYGEMLKFDMAIVFYELAFHFNPHCAEACNNLGVIYKDRDNLDKAVECYQLALSIKPNFSQSLNNLGVVYTVQGKMDAAASMIEKAIIANPTYAEAYNNLGVLYRDAGEITQAINAYEQCLKIDPDSRNAGQNRLLAMNYIDEGKDDKLFEAHRDWGRRFMRLYSPYTLWDNTKDPERPLVIGYVSPDYFTHSVSYFIEAPLVYHDYTKYKVIVYSAVVKADAKTIRFREKVLSRDGIWKDIYGTDEKKVADMVREDQVDILVELTGHTANNKLGMMACRPAPVQVTWIGYPNTTGLPAIDYRITDSLADPPKTKQKHVEELVRLPESFLCYTPSPEAGPVCPTPALSNGFITFGSFNNLAKITPKVLKVWARILCAIPNSRLVVKCKPFCCDSVKQRFLSTLEQLGLEPLRVDLLPLILLNHDHMQAYSLMDISLDTFPYAGTTTTCESLYMGVPCVTMAGSVHAHNVGVSLLSKVGLERLVARNEDEYVKLALQLASDVSALQNLRMSLRDLMSKSPVCDGANFIRGLESTYRNMWRRYCKGDIPSSKRMALLEQSVATTADPSIKNSEPTSSREDTPGSVKTNGFNSTQSPKLNIISRKENGGSLDHNSKQGSSS >OIV92077 pep chromosome:LupAngTanjil_v1.0:LG19:8024445:8029734:1 gene:TanjilG_08750 transcript:OIV92077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVVGFDIGNENCVIAVVKQRGIDVLLNDESNRETPSVVCFGDKQRFLGSSGSAHAMTHPKSTISQVKRLIGRKFVDPDVEKELKMLPVETSEGPDGGILIHLKYLKETHTFTPVQIMSMLFAHLKTMTEKDLETSISDCVIGIPSYFTELQRRAYLDAAKIVGLKPLRLIHDCTATALTYGMYKTDFLSAGSVFNVAFIDIGHCDTQVSIAAFEFGQMKILSHTFDASLGGRDFDEVLFSHFAIKFKEQYSIDVYSNAKACIRLRAACEKLKKVLSANLEAPLNIECLMDEKDVKGFITREEFEKLASGLLEKIYIPCNKALTDAGLTAEKISSVELVGSGSRIPAVARLLTSLFKREPSRKLNASECVARGCALQCAMLSPVYRVREYEVLDYIPFSIGLSSDEGPIFAGSNGVLFPKSQPIPSIKFITFQRAGLFHLEAFYADPNELPLGTSPKISCFTIGPFHGYHGDKARVKVRVQLNLHGILMTESAVLIKDHVGDSVTTGDNHSNSDAMDIDSISETDANADEDSVNKKCESPRSFADGTRKDKANRRLDVPVSVNIYGGMTEAEILEAHEKELQLAQQDKTVELTKDKKNSLESYVYEMRNKLSSTYRSFASEQEKDDISRSLLETEEWLYEDGDDETELAYSSKLEDLKKLVDPIENRYKDEEGRTQATRDLLKCISDHHTFANSLPPQDKEQIINECNKAEQWIKEKLQQQDSFPKNTDPILWSSDIKNTTEELNSYDACPFCFPLQKCIILVFYESVGHK >OIV92274 pep chromosome:LupAngTanjil_v1.0:LG19:4167065:4167840:-1 gene:TanjilG_00292 transcript:OIV92274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGDKLILRGLAFHGFHGAKAEERTLGQKFLVDIDAWMDLIPAAVSDNLSLTISYTEIYRIAKEVLEGSPQNLLESVAHKIAITTLTKYEQISAIRVKVGKPHVAVQGTLDYLGVEILRNRTHLHS >OIV92556 pep chromosome:LupAngTanjil_v1.0:LG19:228140:228625:-1 gene:TanjilG_02319 transcript:OIV92556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPINEHLNELMTMCMDSSSSTSSSMHAMVLTHDHFDPFPMLTNPYDMSSCLAKVEMVDGLHGDYGILESNKMGLESDFSFPSLESRSIENENNSAPIDVKSHNNHFNNICFNNTEQIQNSNVEELFGFGNNGQGENLRMREWDLEVLMQDISYFPSSDFQF >OIV92360 pep chromosome:LupAngTanjil_v1.0:LG19:2507674:2508048:1 gene:TanjilG_09958 transcript:OIV92360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSEFLRGCLNKWKKTGNQIIPYAACEYCCQWVMWSSMHESCSLPNDVPKGHLVVYVGEKHTRFVIKIAVLNHPLFKALLDQAQEEYDFTAADSKLRIPCDEHLFLSVLRRATSAQNERVFLCH >OIV92002 pep chromosome:LupAngTanjil_v1.0:LG19:11411738:11413114:1 gene:TanjilG_07741 transcript:OIV92002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFLITTLIFAVIGIIACLCTRICCNRGPSANLFHLTLVITATICCWLMWAIVYMAQMKPLIVPILNEGE >OIV91626 pep chromosome:LupAngTanjil_v1.0:LG19:17232745:17235116:-1 gene:TanjilG_09038 transcript:OIV91626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVITSDESGAPRKNEGKHKAMAVCFFLGLGTLVSWNSMFTIGDYYYKLFPKYHPARVLTLVYQPFAFGTTAIMAYNESKINTRMRNLCGFTLFFASIFLVLVLDIATSGKGGIGPYIGLCVLAGCFGLAHGLVHGGMVGDLSLMCPEFIQSYLAGSGASGALISLLRVLTKVAFEKSYNGLRKGAILFFTISTIIEFMCIILYATYFPKLPVVKYYRSKAASEGSKTVSADLAAAGIQTMTDDQCRYDTKEQERLSNKQLLLQNLDYAVDLFLIYAVTLSIFPGFLYENTGSHHLGTWYPIVLVAMYNVVDLISRYIPLVKWLKLESRKAIVIVVLSRFLLIPAFYFTAKYGDQGCMIFLTFLLGLTNGYLAVCVLTMAPRGYKGPEQNALGNLLVLCILAGLFSGIVLDWLWLIGKGAF >OIV92225 pep chromosome:LupAngTanjil_v1.0:LG19:4862868:4863698:1 gene:TanjilG_31144 transcript:OIV92225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQDIGQGIGGGENGGDMNQQPPHHPPPPQQPPQQCPRCDSMNTKFCYYNNYSLTQPRFFCKTCKRYWTQGGTLRNIPVGGSSRKGKRTKHASSSQLQLRPQPQIQSHRVTQEVVQLPNQPNLTTMMRPTAPRVVQPNSSYYQGGSIGGGGGYLSSFAAVHSMNPPPQPLDQSLNVMASSNSGLLPGFNTAASGSLGSQPYHPGQIYPQGFYPEHGFNYPSNMANHRAPDTTLWNTVSTSSSISGNTQNNTRGGASSSSSVPNHWPNLPGNGSHYQ >OIV91738 pep chromosome:LupAngTanjil_v1.0:LG19:16670174:16671871:-1 gene:TanjilG_26591 transcript:OIV91738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGSFDVAAVPFNPDGWGPPDAAVASSNTTNLPHNVPFAPFSRSDKLGRIADWTRNFNNQTRSKNPSDAVFDFTNDDSFPASAEDDASFRLVDGKPPPRPKFGPKWRFQQQRQLPQRKDEEVEAKKREAEKERARRDRLYHLNRSNPNGPRREAAVFKSSVDIQPEWNMRDQIPFSTFSKLSFAVSEPEDLQFCGGLEYYDRTYDRVTPKSDRRLERFKNRNFFKVTTTDDPVIRKLANEDKATVFATDSILSTLMCAPRSVYSWDIVVQRVGNKLFFDKRDGSQLDLLSVHETSQEPLPEAKEDINSAHSLSVEAAYINQNFSQQVLVRDGNKVNFDEPNPFASEGEEVASVAYRYRRWKLDNEMYLVARCEVQSVLDVNKQRSYLTLNALNEFDPKYSGVDWRQKLETQRGAVLATELKNNANKLAKWTAQALLASADFMKLGYVSRIHPRDHFNHVVLAVAGYKPKDFAAQINLNPNNMWGIVKSIVDLCMKLEEGKYVLVKDPSKPQVRIYQVPADAFENDYVEEPLPEEEQAQPPAEGADGEEAATISNDVTDKQIDNQA >OIV91847 pep chromosome:LupAngTanjil_v1.0:LG19:13494852:13495229:-1 gene:TanjilG_17839 transcript:OIV91847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILCLYSGLSSIKVLFALLLKPNKDHKIRIYWTIYHYLIRYATIIISIFNIFKGCEALQVSVQEFYNDLKHAYIGIIAALGVIALILEAYTWIIVLSRRRSESKTAHGINGTNGVNGYGSISQQM >OIV91759 pep chromosome:LupAngTanjil_v1.0:LG19:16860047:16861630:-1 gene:TanjilG_26612 transcript:OIV91759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSTSPFFFLSSINIVIVLVGLCGVATLSNNLLALAAPISPPDATTCGPADLPSGANSTDCCPPSSTNIIDFKFPSSTQKLRVRQAAHLVNSDYIAKYKKAVALMKALPSNDPRSFYQQANVHCAYCDAAYHQVGYPNLELDIHNSWLFFPWHRWYLYFYERILGSLINDPTFAIPFWNYDAPRGMQIPSIYADPKSPLYDILRNPNHQPPKLIDLDYNLMEDPNVSVSANLAIMYRQVVSNGKTPTLFFGSAYRAGDKPDPGAGSVEIVPHNSVHIWTGDLNQPNIEDMGNFYSAARDPIFFAHHSNIDRIWSIWKTLGGKRNDITDSDWLESGFLFYDENKNIVRVKVKDSLDTTKLRYVYQDVEIPWLNNKPKPPMSKAQNSILQSSRNYVKFPLVLDSVVSTIVKRPRKRRSKKEKDEEEEVLVIKGIEYDGNIGVKFDVFINDRDHKLIKPDNTEFAGSFVSVPHSHKHKKNIINTRLRLGLSDLLKALKVENDDSVMVTLVPRYVKAKVKIRGIKIEIVDD >OIV92563 pep chromosome:LupAngTanjil_v1.0:LG19:165514:172549:-1 gene:TanjilG_02326 transcript:OIV92563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRTRTHMSQGIVNVDHYGAIANDERDDTKAFEKAWNEACSRGAILVVPEKSVYRLKPITFSGPCQPNTAFKVYGTIKAWPHMSAYDKNRRLWIMFDSIKNLVVDGGGIIDGNGRKWWQNSCKVNKSLPCKEAPTAVTFYQCNNLQVKNLRLKNAQQMHVRFQKCFNVRASNLLVKAPWNSPNTDGIHVTETQNMIISNSVIGTGDDCISIVSGSKNIRALDITCGPGHGISIGSLGAGNSEAEVSNVVVNRATLTGTTNGVRIKTWQGGYGYAKDIKFINMAMRNVTNPIIIDQNYCDQDDPCQEQESAVALSNVVYQNIRGTSASEVAIKFECSKKVPCRGIYMQDVILTPEDGDGVIATLFLTMVIFCNGLHFILKPYSQPRITSDIIAGLALGNIGRVRTLFDSFNKAFGFIIDFGMMCYMFALGIEMDSHVLFNHLPRQTKAAYGGQIFTFVLSALTTPFLAYFNQNKILEFTLCLALAVSSTASPVLTRLITHLKIGKSDIGKIVIAGGMHSDFIGSLFLSIGYIFVPMALFCGDFEATQGLNKAFTMACAVLGQTVFAASFGPFFMNWINNENPEGRPMKGSHVILAIALMVLTCSFSTMYDYSPLLSAFLTGVCLPREGRVSKWVITKINYILTTIFFPIFFLWMGYEADIKKFHVGSRGAWAKLITLIIVGTAGKIAGTVISGAMMGFHWPESVAIGLLLTTKGHLHIYLAVKAMNCGANTSTGIGMIIAIFFTVVQGPTVVANIIKRARKRAPSHHMALQLLDPTSELRILLCLHGPHNIPASINFMEISRGSSDPGILVYVADMIELTDDISVTLDKDEGVHTTTVKDKEVMDMRDKVTDSFQTYVEENSDGITLKRTMALSTINNMPQDICVLAEDLMIALIILPFHRSHRSEGTLDGGNQGFRYVNRKVLRSAPCSVGILVDRGLGSIDHISASKVTINVAVIFIGGKDDREALAYASRVARHPGVKVTIIRFLVDPNAESSRLVRYRVILADQENEMKLDDEYFAHFYERHVVGGRISYTEKHLANAAETFSTLRSFEGQYSLVIVGREGGMNSILTRGMNDWQQCPELGPIGDVLSGPDFSMTVSVLIIQQHKVKGDLDGLDDDFSIM >OIV91952 pep chromosome:LupAngTanjil_v1.0:LG19:12304137:12307001:1 gene:TanjilG_23213 transcript:OIV91952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCNKEEALRARDMAEKKMESKDFAGARKVALKAKQLYPDLENISQILIVCDVHCAAEYKLDGNAMDWYKLLQIELTANDTTIKKQYRKFALQLHPDKNQFPGAEAAFKLIGEAQRVLLDSLSRSRFDDMYRRVTMTRNATPSYNVQKVQMNFNPAVQRSVRPVFTNLNPQQHQQPRQSSQQGGLNGGRPTFWTACTFCSFRYEYYREVLNRSLRCQNCNKPFIAYDVDMQGPFPATNSTPQAFDHQKYGVNQGTSNAGVGSQGNLSTKKTNAQPSEKKGSTIDTSRKPNGKRRRKRGEESSESSDSIDSTESENDTFADKGNFPGVEKFSSNREENPRRSTRQKHQVSYKENVSDDDDDSLKPLKRSKGSSSSSDADESCAQAAKVNYQYGSTANMKDVKCKHSEESLVNGDVEIKETRGKEAVDDSKTDKASESTSFVFPDPEFNDFEKKEECFEVGQIWAIFDTADGMPRFYAIIRKVFSNGFKVRITWFEADPDEDDEIHWFNEQLPIACGKFKLGASDTTKDHLMFSHLICCERIGRSTFKVYPRKGETWALFKNWDIKWYMDAKSHQQYDYEFVQILSDYVEGEGVVVAYLAKLKDFVSLFSRIMKEGKHSVQIPSAELFRFSHSVPSFKMTGEERLGVPVGSYELDPASLPQNLEEIDVPEDVELKAGHNPSVGMSTKPERDASTSKVNLENSDSAVETKNSVDLNGGSAPSASAIEAFEIPDPQFFKFDTLRSPEKFQVDQIWAFYSDEDGLPKYYGRIKSVRTSPDFELEVKYLSNCWLPEDTIEWEDKYMIIACGGFNIKAHGKVSFYNNTHHVSHQVHTSTDGKKKGYTILPRISEFWALYRNWTSKLKCTDLEKMEYDIVQVVGQTDLWIDISLMEMISGYSSVFKAKFDEGSGLTMRIPMKELLRFSHRIPAFKLTEAYDNLSGCWELDPSAVPVPYFNSK >OIV92286 pep chromosome:LupAngTanjil_v1.0:LG19:4435841:4439608:-1 gene:TanjilG_00304 transcript:OIV92286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQTPQFTAAAAISTSTPPPSSGGSAAEEAPPKQVALAMDKLGQAERIIADIRIGADRILEALFITASQPHHGSKPLQLFLREDACMRRCLQDLRSLGKELDESGVLSESVRSRKDFWGLHMPLVCPDGAVVAYAWKRQLAGQAGASAVDRTRLALKAFTDQKKRFFPHLDNGLETNETASKKRCGSEEVTVDPREEVSFLKTVPDIIKSFEKDVPDLKILTFERLDWLKRASSLTSSTNETSSEHNYHSSNKLRLGSLTTVAAEKVAVIELLFPSVFRAVISLHPAGSIDPDAVALFAPDESGSYVHARGVSVHHVYRYITDYAATALQYFLGNQAEASLYSLMHWICSYQTLFSRSCSKCSRLLAMEKKSLLLLPPVHRPYWQFTLSKILSSISSKDQNSDIAQAYHVGCLSEEV >OIV91770 pep chromosome:LupAngTanjil_v1.0:LG19:16994741:16995535:-1 gene:TanjilG_26623 transcript:OIV91770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCCVSTSDKSIRSSPPMVSADSRAPPSVEEEKVKEVLSETPKWKQTVAKFEAEKKTVAKFEVEKKTVGMFEAEKPCQKPTVAKFEGESKVEKIMMALEINKVEEEISEVCSLSESVSTTTLTEEELRQRVHRSPAKINKNLCFSGEFDGGGGGRGKSPKRRTDQSPVRRNVKVVQSRDQMRNQPRRDNSDRRSMPPATRADNVAARSVVGGSQSARRTNQSPAGVGTVAPRNDGRKKESPAVEQSANESLENPLVSLECFIFL >OIV91745 pep chromosome:LupAngTanjil_v1.0:LG19:16744083:16748624:1 gene:TanjilG_26598 transcript:OIV91745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDSDSDTFSESSSSEDQEDIDYLYGGQARSILSSLEESIGKIDDFLSFERTFVYGDVVCSLSDPSGQMGRVTSVDMLVDLENVQGNVIKNVNSKTLLKIRSISEGDYVIKGPWLGQVQKVVDKVTVLLDDGSKCEITALGKEKLLPVTHHFLEDSQYLYYPGQRVKVNLSTASKSARWICGTWRDNKDEGTVCAVEAGLVYVEWLASALLGSDFNVSSPPSWQDSENLTLLSCFSHANWQLSDWCMLHVADEKEHMEQLDLSNEHGMTRGYRRRNLNSNAGELFIVSKKKTKVDIIWQNGEQTLGLDPQNLVPVNVINTHEFWPHQFVLEKGTSSDDPLKPSSQRWGVVLSVDAKERTVNVQWKTIPISEPGNLAVDTMMETVSAYELVEHPDFSCCFGDIVFKDLQQLAYPADKDNAKPMTDVNLVAPLIDRDENQNNFPGNCYLSCIGNVTGFKDGDVEVKWATGLTTKVAPYEIFRIDKHEGSTVTPNPYEANLEELPQEMNEHGSPLSDQKGKGLLNYGGGRGNCEKHSGESSSFSLPQAAFEFFSSIKTSIFQTLGVTSLSRAVSLVPTFDEENRSDFLGEKVLDTCDPCTESHSMNGLQSTEDRTPYDEVTMTQERNDFQVSLDSNSPDRLKQFDIIDNCSDHHFFDEGKGLALSQVKSGWVKKVQQEWSILENNLPESIYVRVFEERMDLMRAAIVGASGTPYHDGLFFFDICFPPEYPSEPPMVHFNSGGLRLNPNLYESGKVCLSLLNTWTGTSTEMWNPGSSTILQVLLSLQALVLNDKPYFNEAGYDQQIGRAEGERNSVSYNENAFLVTCKSMLYLLRKPPKHFETVVEEHFRQRSQHIILACKAYLEGVTIGSVNPDDENQKGTSTGFKIMLSKLFPKLVEAFSDNGIDCSHQFNELQK >OIV92106 pep chromosome:LupAngTanjil_v1.0:LG19:7097418:7117625:-1 gene:TanjilG_27261 transcript:OIV92106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVLNFKAAAPNLIVGSHIWVEDSDVAWIDGKVLEVNNDEIKVLCTSGKTVVFKASNVYHKDTELPPSGVDDMTRLAYLHEPGVLDNLRSRYDINEIYTYTGNILIAVNPFMNLPHLYDSHMMAQYKGSTFGELSPHPFAVADAAYRLMINDGISQSVLVSGESGAGKTECTKLLMRYLAYMGGRAATADEGRTVEQKVLESNPVLEAFGNAKTLRNNNSSRFGKFVEIQFDERGRISGAAIRTYLLERSRVCQVSDPERNYHCFYMLCAAPPEEVKKYKLGNPRTFHYLNQSNCYELQGVDESKEYRATRSAMDVVGISSEEQDAIFRVVAAILHLGNIEFAKGKETDSSVPKDEKSRFHLETAAELFMCDAKALEDSLCKRVIVTRDEAITKWLDPESAALSRDALAKIVYTRLFDWLVDNINNSIGQDPESNFEQFCINLANEKLQQHFNQHVFKMEQEEYKKEEIDWSYIEFVDNQDVLDLIDKKPGGIIALLDEACMFPRSTPETFAQNLYQTFKNHKRFSKPKFSRSGFTIDHYAGDVTYQTDLFLDKNKDYIVAEHQELLYASKCSFVSSLFRPSPKASSKQSKFSSIGSQFKQQLQTLLETLNATEPHYIRCVKPNNLLKPAIFENKNVLLQLRCGGVMEAIRISCAGYPTRKTFHEFRDRFGLLSPEVLNGSIDAVAACKRILENVGLEGYQIGKTKVFLRAGQMAELDTHRSEILGKSASIIQRKVRSYLAQRSFISVRLSAIQIQAACRGQLARQVYEVTRKETSSLMIQAYLRMHIVRKAYKELYTSASSIQTSMRGMAARCELRFRRQTRAAIVIQSHWRKYLAQIHFTRLRKAAIIMQCAWRGKFARHELRKLKMAARETGVLQAAKTKLEKQVEDLMLRLQLEKRLRVDMEEARKLENKRLQSALEETKILLEKEREATKKAEERVTVIQEIPVVDHALLEKLSSENEKLKNMLSSMEKKIDETEKKYEEANKIGEERLKQALEAESKVIQLKTAMQRLEEKFSDTESAYHILQKQSQLNSSVKTIAEHLPTPVAEKLENGHHVKEEQKFIETHVAPVEQLGRESDTKLRRSIIEQQHENVDSLINCVRKNIGFNHGKPIAAFTIYKCLLHWKSFEAERTSVFDRLIQMIGSEIEARCATPRESRDNEVRRGRAEARGDIYSDWDDSRGVGVRRRREVPREDEFREGDFREDIHDENDSSHGNGARRRRELRTEGELRTEGEFHGGVFNGNEFRGGVHAGQGSRAGGQNGDEFRGGLRGGEEFRAGGQNGNEFRRGYRGVPLKSLFSRVGPVRNVDVCRFETSDQDGNDLMAYWLSNTSALLFLLEESLISDGATAATTARKPPSPTSLFGRMAGFLSPPSANIAAHALEVVQKVEAKYPAVLFKQQLTAYLEKMYGIIRDNLKKELTSILASCIQAPRTSKGVSGSVRSLGKDSAIVLWQSIIESLNSLLCTLKENFVPPVLIQKIFSQTFSYINLQLFNRLTLLFQNMITSLLLRRDCCTFSNGEYVKAGLAELEMWCCRAKEEYAGSSWDELKHIRQAVGFLVIHQKYRISYDEIIKDLCPILSVQQLYRICSFYWDDNYNTLSVTPDVLSRMKMLMAVDSNSAQSDSFLIDDSSSIIPFSVDDLCKSLQVEDFSDLEPADELLENPAFQFLNE >OIV91522 pep chromosome:LupAngTanjil_v1.0:LG19:18061009:18062298:1 gene:TanjilG_08934 transcript:OIV91522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEFDYEEIVKATDNFNPRMLIGKGSHGLVYKGVILLKHNNTSLVAIKKPSSHKGLESLHEENSNKLQNEIQVLSSLNDENPHVVKLIGTSYDSFNNNNKVIVIEFMPNGSLHDWLHDTKPNLPTWPKRVEIAMQITRAVQFLHEGKPLVIHRDIKSCNILFDSQWNVKLADFGLAIIGGGVQALTQPAGTIGYLDPCYTTPTKLSTKNDMFSFGVVLLEIISGRKAIDMCNTPASLVEWAIPLIEQQHWLQICDTRIKVMPNYMVSTIIHFLRVAACCVSPNEDERPSAREVITGMEDCFIEQVRFPIWASVLKKMVRFRKRKKVIKNIVSKSKTQVECECKVEEDGEEVDSDTYISTEKVAITFNHLLADVTFNLGK >OIV92415 pep chromosome:LupAngTanjil_v1.0:LG19:1580066:1587620:1 gene:TanjilG_23015 transcript:OIV92415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRVLKAARASGSLNLSNRSLREVPDEVYRNLEGLAGDDKWWEAVELQKLILAHNNIESLKEDIKNLSFLAVLNLSHNSLSELPASIGELSQLKLLDVSFNSIHKIPEEIGSAASLVKLDCSNNRLKELPSSLGSCSQLSDLKVSNNLISSLPEDLANCSKLSKLDMEGNKITVVSENLISSWTVLTEFNASKNLLNGIPVSIGGLSRLIRLDLHQNRISSIPSSIMGCHSLAEFYLGNNNLSTIPAEIAALSRLGTLDLHSNQLKEFPVKACNLSLSVLDLSNNSLSGLPPEMGKMTTLRKLLLTGNPVRTLRSSLVSGPTPALLKFLRSRLSEGEDSEAKTTTKEEVIAMATRLSITSKELSMEGLGLNAVPSEVWESGEVIKLDLSRNSIQELPVELSSCVSLQTLILSKNQIKDWPCSILKSLSSLSCLKVDNNPLRQIPSDGFEAVPKLQILDLSGNAASLLDGPAFSSLPCLQELYLRRVRLNEVPSDILGLHQLRILDLSQNSLQSVPVGLKNLTSLTELDLSDNNISALPPELGLLEPNLQVLRLDGNPLRSIRRTVLDKGTKAVLGYLKDKLPEQ >OIV91764 pep chromosome:LupAngTanjil_v1.0:LG19:16910844:16911583:1 gene:TanjilG_26617 transcript:OIV91764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRLPTTLYRKIARPTCEVFINHRGIDTKRNIAGLLYDNLTKMKVKSFLDSMNMKPGDKLFDHIDKAIVGCKVGVAVFSPRYCDSYFCLHELALLMENNKRVVPIFFDVKPSQLLVKDNGTRSLKELQRFRFALEEAKNTVGLTFDSLNGDWSELLRDASNAVIMNLMEVEEGK >OIV92093 pep chromosome:LupAngTanjil_v1.0:LG19:7365840:7369168:1 gene:TanjilG_27248 transcript:OIV92093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKASFVDPLNTLQNWKDASHCNWTGVLCNSYGFVEKLDVSHKNLSGIVSNEIQRLKSLTSLNLSCNAFSSPFPKSIANLTTLISLDVSQNSFIGEFPLGLGKAQQLVALNASSNEFSGSLPWDLGNVSSLEMLDLRGSFFEGSIPTSFGDLHDLKFLGLSGNNLTGKIPSVLGKLSSLEHMILGYNEFEGGIPIEFGNLTNLKYLDLAVANLDGEIPSSLGKLKLVDTVFLYNNNFEGRIPPEIGSMTSLQFLDLSDNMFSGEIPAEISDLKNLELLNFMHNKLSGPVPSGLGDLPQLEVLELWNNSLSGPLPNNLGKNSPLQWLDVSSNSLSGEIPETLCSRGNLTKLILFNNAFSGSIPVSLSMCPSLVRVRIHNNFISGVVPVGLGKLGRLQRLELANNSLSGGIPDDLASSTSLSFIDFSRNKLHSSLPSTVLSIPNLQAFMVSNNNLEGEIPDQFQDCPSLAALDLSSNHFSGSIPASIASCQKLVNLNLQNNQLSGEIPKAIATMPTLAILDVSNNSLTGQIPESFGISPALEAFNVSYNKLEGPVPSNGMLRTINPNDLVGNSGLCGGIFPPCGRNNADSSRHGSSHAKHIITGWIIGISSILAIGIGIVVARSLYIRWYTDGFCFQERFYKGSKGWPWRLIAFQRLDFTSTDILSSIKETNVIGMGATGVVYKAEIPHSATVVAVKKLWRSGSDIEVGTSNDEVVGEVDVLGRLRHRNIVRLLGSLHNDTDVMILYEFMHNGNLGDALHCKRATQLLVDWVSRYNIALGVAQGLAYLHHDCNPPVIHRDIKSNNILLDANLEARIADFGLAKMMIRKNETVSMIAGSYGYIAPEYGYALKVDEKIDVYSYGVVLLELLTGKRPSDAEFGESIEIVEWIRKKIRDNTSLEEALDPSVGNNRHVLEEMLLVLRIALLCTAKLPKDRPTMRDVIMMLGEAKPRRKSSGNNEPNNNKEMPVFDASPVNDLV >OIV92466 pep chromosome:LupAngTanjil_v1.0:LG19:1082548:1085330:-1 gene:TanjilG_02229 transcript:OIV92466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVSTGIGYENCNLRISVKTSVRHKIRFLESRNKVHHDAINFCQAILREKSFEWKALMRNNLSQPINDVDLVVTVGGDGTLLQASHFMDDKIPVLGVNSDPTKINEVEQFSNEFDATRSTGQLCAATVENFEQVLDSILEGQIVPSNLTRIMISVNAQQLSTYALNDILAAHPCPASLSRFSLRIRKNDHDQPCSPLVNCRSSGLRVSTAAGSTAAMHSAGGFPMPILSQDLQYMVREPISPGAVSDFMHGCIKRDQTMYTSWSSRKGIVYVDGSHINHTIQDGDIIEISSKAPTLKVFLPYQFL >OIV92056 pep chromosome:LupAngTanjil_v1.0:LG19:9084031:9098926:-1 gene:TanjilG_15047 transcript:OIV92056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSQSMDSARDVGGVSGTVLIPMRFVWPYGGRSVFLSGSFTRWSELLPMSPVEGCPSVFQVVYSVSPGYHQYKFYVDGEWRHDEHQPYVSGEYGIVNTVLLATDPNYIPVLTREIPSGNNMDVDNEAFRRMVRLPDSTRISDADVQISRQCISAFLSMHTAYELLPESGKVVALDIELPVKQAFHILHEQGIYVAPLWDFGKGKFVGVLSASDFILILRELGNHGSNLTEEELETHTISAWKEGKSYLNRQNNGRGTVFSRGFIHAGPNDNLNAIAMKILQKEVSTVPIVHSSSEDGSFPQLLHLASLSGILKCICRYFRHCPSSLPILQLPICAIPVGTWVPKIGEPNRRPLAMLRPSASLASALNLLVQAQVSSIPIVDDNDSLVDIYCRRFRFDCHISMTTVEFLLLFSLVAMDSLPALQLGQEAYSPYELRSQRCQMCLRSDSLHKVMERLANPGVRRLVIVEAGSKRVEGIVSLGDIFKFFLGTCVVEVFSTLALQLGQEAYSPYELRSQRCQMCLRSDSLHKVMERLANPGVRRLVIVEAGSKRVEGIVSLGDIFKFFLG >OIV92257 pep chromosome:LupAngTanjil_v1.0:LG19:3931746:3935098:-1 gene:TanjilG_00275 transcript:OIV92257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRFWTQGASDSEEEEGSDYNNEEIENEATGEPSNLADKYIRGNASDSDDSDGEKRVVKSAKDKRFEEMNLTVDQMKNATKINDWVSLQESFDKINKQLEKVMRVTESDKVPNLYIKALVMLEDFLAQALANKEAKKKMSSSNAKALNSMKQKLKKNNKQYEDLINKCREGPESEDEKAEDEESEEEYESDDEIIEPNQLSKNVTKSDSEADGYDDVKADTGDGPWDQKLSKKDRLLDRQFMKDPSEITWDTVNKKFKEVVAARGKKGTGRFEQVEQLTFLTKVAKTPAQKLEILFSVVSAQFDVNPGLSGHMPITVWKKCVQNMLLILDILVQYPNIVVDDSVEADENETQKGADYNGPIRVWGNLVAFLEKMDAEFFKSLQCIDPHTREYVERLRDEPVFQVLAQNVQEYLERSGDFKAASKVALRRVELIYYKPQEVYDAMRKLAELTEDGDNEGEESKGIEATRIPTAFVVTPELVPRKPTFPENSRTHMDVLVSLIYKYGDERTKARAMLCDIYHHALHDEFSIARDLLLMSHLQDSVQHMDVSAQILFNRAISQLGLCAFRVGLISEAHSCLSELYSGGRVKELLAQGVSQSRYHEKTPEQERLERRRQMPYHMHINLELLESVHLISAMLLEVPNMAANVHDSKRKIISKNFRRMLEVSDRQSFTGPPENVRDHVMAATRALTKGDFQKAFDVIVSLNVWKFVRNQDAVLEMLKDKIKEEALRTYLLTFSSSYDSLSLNQLTKIFDLSVPHTHSIVSKMIINEELHASWDQPTGCIVFQDVEHSRLQALAFQLTEKLTILAESNERATEARIGGGGLDLPLRRRDGQDYATAAAAGGAASLGGGRWQDFNLSQPRQGSGRAGGYGGGGGRGFSQAAGGYSRDRAGRGYQGTRYQDASYGGSGRSQGGSSLRGPHGDISTRMVSLKGVRA >OIV91939 pep chromosome:LupAngTanjil_v1.0:LG19:12623682:12628232:-1 gene:TanjilG_25420 transcript:OIV91939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNNVVSGLMVTRFLTRDQLGHEVSFGSPWWFLYAAISFTLVIFAGLMSGLTLGLMSLSLVDLEILKQSGSPTEKKQAAFILPVVKKQHQLLVTLLLCNAGAMEALPIYLDKMFNQFVAIILSVTFVLFFGEVIPQAICTRYGLAVGANLVWLVQTLMIICYPISYPLGKVLDWVLGHNEALFRRAQLKALVSIHGKEAGKGGELTHDETTIISGALDLTEKTAEEAMTPIESTFSLDVNSKLDWEAMGKILARGHSRVPVYSGNPRNVIGLLLVKNLLTVRPETETPVSAVSIRRIPRVPADMPLYDILNEFQKGSSHMAAVAKSRGTGKSTPQTNDGEKHDENKRVGGDSHLTTPLLQKHDETLENVVIDIALQNSDATTNGSFLENIEDGEVIGIITLEDVFEELLQEEIVDETDEYVDVHKRIRVAAAAAASCVARAPSTRRLAAQKGAAGGHGKPATTPRKSAEEDALNSTKLH >OIV91651 pep chromosome:LupAngTanjil_v1.0:LG19:14868535:14873777:1 gene:TanjilG_26504 transcript:OIV91651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKLRWVMDGGGFWDLDISTPKTLDGLASPVPDNPLPLGLSRGTRLSRHKQIEFMQRFMHAHLTPTFAKPLGFTLQRVLTLPFSDNWNVFLLGQFNFQKFVSSIKSSKEIQVGLSSWLKTFQRHLKQKSLYALGFCSEFHLTPDDTILFGLDAYDYTDKPRGKAVLHHKFPHHDLTVEAVYPGLFVDKIGNYWDVPLSLAVDLASVTTNDSSFSYHLSGHYNSGSPELFENIQNQSAGVPPTLLPGLAFKSAFSYRKSIDIWRSEAAKLRLVQPYDIFLSSPHVSASGIIGAAATTAFGDNSVRAQVDDRQGSGGLFLEASRIKSSFLADLFGSVSFTAQHGNFQRLFLDLTRFQARLDFPSGFRFLSGATSVAQDLLNSQKPNLEAVQAICPNVTLSLQQQIVGPVSFRVDSGFKVDLKNLDKLIEAQEPVFAVEYALQVLGSAKAVAWYCPKRQEFMTELRFFET >OIV91638 pep chromosome:LupAngTanjil_v1.0:LG19:17131360:17138923:-1 gene:TanjilG_09050 transcript:OIV91638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASISSSNLLCFNPDCKEFKSERPNKGWRLRNGELAQLCDRCGSAFEEGRFCDIFHSNASGWRNCETCRKGIHCGCIVSSHAFVLLDPGGIECFACARKHILLVVTLYSDICTQPSNPTWSPPFSLQNRLSERLRDLSAKSWSQLAGSGPVPWKQAPSLFNSISSSDMCPDVSPLVGLSNGIDKIYGNERLLASSLEKKNEDLSGMSINWSTNFGPREMMLMNGMRNEDKSSSCLNMCQQPPSLKDDLSLQPFALSVPYATPNERNGQVGVAGSYPHQTTSLPGKPFSDTMHLGLDSSGETQVRNGRPRADARGRNQLLPRYWPRCTDLELQKITIDSTSVITPLFQKTLSASDAGRIGRLVLPKKCAEAYFPPISHPEGLPLKILDAKGKEWIFQFRFWPNNNSRMYVLEGVTPCIQSMQLQAGDTVTFSRLEPEGRLVMGFRKASSATPSDQDNETNKTGNGFSAQDEVELADASSWSKIDKSGYIAKDAPGGKSSMRKRKNSILGSKSKRLRLENEDVIELKITWQEAQGLLRPPPSHVASIVVIEGFEFEEYEDAPVLGKPTIFTTDNMGEKIQWAQCEDCLKWRKLPASALLTSKWTCSDNSWDPERSSCSAAQELTAEQLENMLPPCSSAFSKKMKAAKQDPDNAEALEGLDTLANLAILGEGEALPSSSQATTKHPRHRPGCSCIVCIQPPSGKGPKHKQTCTCNVCLTVKRRFRTLMLRREKKQSEREAETTHKKQKHKHPQPLPSSEILLDDDSLPCSNTGDSSSPNQNKDGNDGSDEDPVQIKSSTSPFKGQIDLNIRPEREEEFSPLSDSSGMMKLPHDTTDMYPKQQTMLNSSTEDTSRSQSQKVGDGVRGEKLSNGVSHGSSSDNNGDKEHAQALPMNV >OIV92060 pep chromosome:LupAngTanjil_v1.0:LG19:7544245:7547524:-1 gene:TanjilG_08733 transcript:OIV92060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTLHKAQTLLFHIFLISFILPLKITASRITEAKALAKWKNSLTLPLPPSLTSWSLNNIDNLCSWHAITCDNTNTTVTEINLSSSNLNGTLYNLDCSSLPNITSFNLKGNNFSGSIPSAIGNFSKLTFLDLGDNYFGDTLPSELGQLKELQYLSFYINNLNGIIPYQLINLPKVWYLDFASNYFISPPNWNQYSCMPSLTHLGLNYNLFTFEIPSFIVECQNLTYLDLSWNYFNGTIPKSMYTNLKKIKTLYLFHNLFSGPIPVEIESLKEITKLDLSQNKFSGHVPVEIGNLKNLTVLYLFQNQLSGPIPVEIGNLTSLQQFDFSNNTLDGELPETIAQLIALRYFSVLSNNFSGNFPKDFGKFSPFLIDVELSENNFSGELPPDLCTSFKLRKLTAYNNNFFGPLPKCLRNCSALTRVRLEENQFTGNITEAFGVHPNLSFISLGGNHFFGELSPKWVECANLTKMDLSRNKLSGEIPPQLSKLSRLQILSLHSNELTGNIPNELGDISQLYELNLGNNHFTGEIPKSIGRLAQLRFLDLSYNNISGSVPVELSNCKGLQSLNLSHNKLYEEIPTELGNLASLQYYLDLSSNSFSGAIPQNLEKLVMLMILNVSHNHLSGKIPLSISHMLSLQSVDFSFNNLTGPVPTDGIFQTTTAEAYVGNSGLCGEAKGLIHCSSQHKSGSFGVKKIVLVTITPFCSVLFIVMIIFGILKFHQKSKEHDEESKSTEEYKLFHHTVLGRDARFTFSEILEATKDFNEMYCIGKGGFGSVYQAELPTGEIFAVKRLNVIDSSEIPKLELQSFENEIKTLTGVRHRNIIKLYGFCLWKKQMFLIYEFAERGSLTKVLYEEESLELSWDTRVEIVHGIAHAISYLHKLAQTMRVTEKCDVYSFGVVVLEIMMGKHPKDLLTTMSSIKSLSSMENLHAKVLLKDVLDQKLTSPRDHLAYLLMVIMSIAFSCTNVAPESRPTMRSVVQHISASITKQTYCTESFDLVTISQLMGFHN >OIV92352 pep chromosome:LupAngTanjil_v1.0:LG19:3410643:3411840:1 gene:TanjilG_10562 transcript:OIV92352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAGHGLRSRTRDSFSRAFRKKGTIPLTTYLRTYHIGEHVDIRVNGAVHKGMPHKFYHGRTGRVWNVTKRAIGVEVNKQVGNRIIRKRIHVRIEHVMPSRCNEEFRLRKVQNDKLKAEAKAKGVVISTKRQPEGPKPGFKVEGATLETVTPIPYDVVNDLKGGY >OIV91660 pep chromosome:LupAngTanjil_v1.0:LG19:15257759:15258658:-1 gene:TanjilG_26513 transcript:OIV91660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLFFSLLLACHIVMAFSEHSLSPLTFTEDGAKKSNTMVATSAREDCSQKSKRDMTPNENIKEIHVPDQPQQGPKRGKLRAPRSQLQWRKNIFNASEHEVPSGPNPISNSWELVAYSFEFQIF >OIV92288 pep chromosome:LupAngTanjil_v1.0:LG19:4461594:4462235:1 gene:TanjilG_00306 transcript:OIV92288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGGGGPSFSVVYFDGEKETSIGDVTVDPSFNFNKFLSFLTHKIGISPHQFSIYLASFGSNRKIPITARVNFSAIVRDTAGVTASSFFFIKRSKRPKKNKVHSNKVSWINDNKNNIIMNNHPTTNIVLHRRNAAVPFTMSPVLSRFEFEKHMMDLQMQRELYLMSTGGGSVCGGDVICEECLTEMNGGFHPCVFDDVTFGFRSPAGPVARPIK >OIV91966 pep chromosome:LupAngTanjil_v1.0:LG19:12093040:12093993:-1 gene:TanjilG_29333 transcript:OIV91966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANWWAGNVGGMRQTDSISSSPSLQLRREQEFMDNTNTNINNSPPTNSSNSNTNPNTTEDDESRDTGLEGGDDQNPVNQDDGNPGSSSRRPRGRPPGSKNKPKPPIVITKESPNALRSHVLEISSGSDVVETIATFANRRHRGVSVLSGSGIVTNVTLGQPTAPGGVITLNGRFEILTLSGAFLPAPSPPGATRLTVYLAGGQGQVVGGTVVGSLVASGPVMVIAATFANATYERLPLEDEQGEEEMQVQHQQQQHQQSIVSSTGTGGTPASQGLGEQVSMSMPMPMFNLPPNLVHNGQLPHDVFWGPQQPRPPTSY >OIV91618 pep chromosome:LupAngTanjil_v1.0:LG19:17306019:17307420:1 gene:TanjilG_09030 transcript:OIV91618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKVYIVYYSLRGHVATLAEEIKRGADSVEGVEAKLWQVAETLSEVVLGKIHAPEKKDVPIIKPNDLTEADGFLFGFPARYGMMPSQFKAFFDATGGLWRTQALAGKPAGLFFSTSSQGGGQEEVPLTSITQLVHHGLIYVPIGYTFGAGMFEMNEVKGGSPYGSGTLDGEDGLRDPSELELAQAFHQGKYLAAIAKKLKGS >OIV91518 pep chromosome:LupAngTanjil_v1.0:LG19:18079433:18083975:-1 gene:TanjilG_08930 transcript:OIV91518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPLTCIVFIFTLFSSVFSSSLLSDFNVLVSLKQGFQFPNPVINTWDISNFSSVCSWSGIQCRLGRVSSLDLTDLNLYGSVSPEISKLVKLTHLSLAGNNFTDTIHINNITNLQFLNISNNQFIGTIDWNYSTMENLQVLDAYNNNFTSLLPIRVLSLKRLKYLDLGGNYFYGEIPRSYGNLVGLEYLSLAGNDLHGKIPVQLGNLTKLKEIYLGYYNSYEGGIPMELGKLINLVHMDLSSCDLNGTIPRELGNLKELDTLYLHINQLSGSIPKELGNLTKIVNLDLSRNELSGEIPIEFINLKQLKLFNLFLNKLHGSIPDYIADLPDLETLGLWMNNFTGEIPRNLGLTGKLQLLDLSSNKLTGVIPPYLCSSNQLRILILLNNFLFGPIPQGLGTCYSLIRVRLGQNYLNGSIPNSFLYLPKINLVELQNNYLSGSLSENGNSSSQPANLWQLDLSNNALSGPLPHSLSNFSSIQNLYLSGNQFSGPIPSSVGGLNQVQKLDLSRNSLSGEIPPQIGYCVHLTFLDMSQNNLSGSIPPMISNIHILNYLNLSRNHLNQTIPKSIGTMKSLTIADFSFNEFSGKLPESGQFAFFNASSFAGNPQLCGSLLNNPCNLTTITSHPGKGHADFKLLFALALLICSLVFAMAAIIKAKSFKKNGAGSWKMTAFQKLEFTISDILECVKDGNVIGRGGAGIVYHGKMPNGVEVAVKKLLGFDANSHDHGFRAEIQTLGNIRHRNIVRLLAFCSNKETNLLVYEYMRNGSLGEALHGKKGAFLVWNLRYKIAIDSAKGLCYLHHDCSPLIVHRDVKSNNILLNSNFEAHVADFGLAKFLVDGGASEYMSSIAGSYGYIAPEYAYTLRVDEKSDVYSFGVVLLELVTGKKPVGDFGEGVDLVQWCKKTTNGRKEEIVKIVDIRLKVVPKEEVMHMFFIAMLCLEENSVVRPTMREVVQMLSEFPHPSSSSSSSSSNHPPTHKFHKQKPSPPTFKQDLLV >OIV91958 pep chromosome:LupAngTanjil_v1.0:LG19:12460253:12461362:-1 gene:TanjilG_23219 transcript:OIV91958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDSKVLQLLPFIPDELVVEILSRLPVKSLLKFRCVCKSWLSLISDPYFIKKHLHLSTQSTNFTHHRIILSATTAEFHLKSCSVVSLFNNPSTLCDDLNYPVKNKYRHDGIVGSCNGLLCFAIKGDCVLLWNPSIRVSKKSPPLGNNWRPGCFTAFGLGYDHVSEDYKVVAVFCDPNEFFSESKVKVYSMGTNSWRKIQDFPHGVSPYQNSGKFVSGTLNWAANCSLCSSSLWVIVSLDLHKELYREVLPPDYEKEECSTPTLGVLKGCLCMNYDYKRTHFIVWLMKDYGVRESWVKLVSIPYLPNPEDFPYSGPYCISENGEVLLMFEFDLILYDPKDHSFKYPRIESGKGWFDAEVYVETLVSPVGH >OIV91783 pep chromosome:LupAngTanjil_v1.0:LG19:14667714:14669283:1 gene:TanjilG_14362 transcript:OIV91783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYSALGTDAKMVIDSPLLTEMLGINRTIIVDINGKGDFKSVQAAIDSIPEGNSNWVIVHVRKGVYREKVHIPKTKPYIFMRGNGKGKTAIVWSQSSEDNVASATFKAEANDFIAFGISFKNEAPTGVAYTSQNQSVAAFVAADKIAFYHCAFYSTHNTLFDYKGRHYYESCYIQGSVDFIFGRGRSIFYGCEMFVIADGRVTIHGSVTAQHREEEHEISGFIFIRGKIYGIGGVYLGRAKGPFSRVIFAMTYISKTIVPEGWTNWSYDGSTQNLLMGEYKTHGPGGNVEGRVPWSRQLTDEEVAPYLSIDYIDGKNWLPAYWK >OIV91776 pep chromosome:LupAngTanjil_v1.0:LG19:14743363:14745723:-1 gene:TanjilG_14355 transcript:OIV91776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEKAVAEEKKIGMTETWLRKHRLQFIGATRHPMLKTIRDGTINLASFKTWLAQEYLFVRAFVPFVASVLTKARKESDDSDHDIEVISRGMASLEDEILWFKNEADKWGISLSNIVPQQANTNYIGFLENLRKENVEYTVAITAFWAIETVYHESFAHCLEEGSKTPEELKETCARWGNQGFGLYCQSLQNIVNRCSQKASHDELKKAELVLLRVLELEVEFWNMTYASV >OIV92224 pep chromosome:LupAngTanjil_v1.0:LG19:4834256:4835934:1 gene:TanjilG_31143 transcript:OIV92224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RAFWDKRISQEVLGDALGEEFKGYVFKITGGCDKQGFPMKQGVLTPGRVRLLLHRGTPCFRGYGRRTGERRRKSVRGCIVSPDLSVLNLVIVKKGDNDLPGLTDIEKPRMRGPKRASKIRKLFNLSKEDDVRKYVNTYRRTFTTKNGKKVSKAPKIQRLVTPLTLQRKRARIADKKKRIAKAKSDAAEYQKLLASRLKEQRERRSESLAKKRSRLSSATKPSVTA >OIV91995 pep chromosome:LupAngTanjil_v1.0:LG19:11285577:11290842:-1 gene:TanjilG_07734 transcript:OIV91995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIMIKGGVWKNTEDEILKAAVMKYGKNQWARISSLLVRKSAKQCKARWYEWLDPSIKKTEWTREEDEKLLHLAKLMPTQWRTIAPIVGRTPSQCLERYEKLLDAACVKDDNYEPADDPRKLRPGEIDPNPESKPARPDPVDMDEDEKEMLSEARARLANTKGKKAKRKAREKQLEEARRLASLQKKRELKAAGIDIRQRRRKRKGIDYNAEIPFEKRPPPGFFDVADEDRPIEQPNFPTTIQELEGKRRVDVEAQLRKQDIAKNKIAQRQDAPSAILHANKMNDPETVRKRSKLMLPPPQISDHELDEIAKLGYASDQAGIEELGEGSSATRALLANYAQTPGQGMTPLRTPQRTPAGKGDAIMMEAENLARLRESQTPLLGGENPELHPSDFSGVTPKRKEIQTPNPVLTPSATPGGTPSLTPRSGMTPARDGFSFGMTPRGTPLRDELHINEDVDMNDSAKLELRRQADLRRSLRSSLSSIPQPKNEYQVVMQPVPEDAEESEEKIEEDMSDRVAREKAEEEARLQALLRKRSKVLQRGLPRPPSASLELIKNSSMRADEDKSSFVPPTSIEQADELIRKELLTLLEHDNAKYPLDENKEKKKGAKRSANMPAVPVIEDFQEFEMKDADQLIKEEAQYLRGAMGHESESLDKFVEAHTTCINDLMYFATRNGYGLSSVAGNMEKLAALQNEFENVRSKLDDGKEKVIRLEKKVMVLTQGYETRAKKSLWPQIEATFKLMDVAATELECFQALQKQEQLAASHRINNVWGEVQRQKELEKTLQKRYGDLVAELERVQNFMNQYRVKSQQEEIEAKNRELESTDDTVEVNVQGSENGSAVSVYPSHDETTDQQVDIVQDQATSTFKINDMGVESCEEQTKHDTDVKLRDAAEDDIVNVVKGDETESRVDNGETIVDMSASVEVSSIEENKEDRDVENLDKAVEAVNHGDN >OIV91782 pep chromosome:LupAngTanjil_v1.0:LG19:14670535:14671659:-1 gene:TanjilG_14361 transcript:OIV91782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLHKYVGSLAVLFLLVIGIAQCRKIKDDELVDGTGTTGFGNGGGGIGGGFGGGIGGGHGGGIGGGAGGGIGGGAGGGGGGGGGGGGSGGGIGGGHGGGSGGGGGGGGGGGGIGGGHGGGIGGGSGGGAGGGFGKGGGGGHGSGIGGGVGGGAGGGFGKGGGVGGGTGGGHEGGFGGGKGGGGGIGGGGGAGGGKGGGFGGGTGGGIGGGGGTGGGSGKGGGVSGGTGGGHGGGIGGGAGGGGGKGGGFGGGTGGGIGGGGGTGGGIGKGGGVGGGIGGGHGGGIGGGAGGGSGGGKGGGFGGGTGGGHGGGIGGGSGGGGGVGGGVGGGIGGGIGGGHGGGVGGGAGGGSGGGFGSGGGGGGGGGGGGGGGF >OIV92207 pep chromosome:LupAngTanjil_v1.0:LG19:4557148:4557942:1 gene:TanjilG_31126 transcript:OIV92207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGVPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGIIEPSLMALARKYNQDKTICRKCYARLHPRAVNCRKKKCGHSNQLRPKKKIK >OIV92120 pep chromosome:LupAngTanjil_v1.0:LG19:6886345:6892247:1 gene:TanjilG_26978 transcript:OIV92120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQFLKPENALKRAEELINVGQKQDALQTLHDLITSKRYRAWQKTLERIMFKYVELCVDMRKGRYAKDGLIQYRIICQQVNVGSLEEVIKHYMHLSTEKAEKARSQAQELEDALDVDDLEADKKPEDLMLSYVSGEKGKERSDRELVTPWFKFLWETYRTVLEILRNNSKLEALYAMTAHRAFQFCKQYKRTTEFRRLCEIIRNHLANLNKYRDQRDRPDLSAPESLQLYLDTRFEQLKIATELELWQEAFRSVEDIHGLMCLVKKTPKSSLMVVYYAKLTEIFWISSSHLYHAYAWFRLFLLQRSFNKNLSQKDLQLIASSVVLAALSVPPHDHTQGASHFELEHEKERNFRMANLIGFNLETKPESREVLSRSSLLAELASKGVMSCVTQEVKDIYHLVEHEFLPSDLALKVQPLLTKISKLGGKLSTASSVPEVQLSQYVPALEKLSTLRLLQQVANVYQTMKIETLSGMIPFFDFSVVEKISVDAVKQKFVSMKVDHMKNVVVFSKVSLESDGLRDHLASFAEQLNKARQMIYPPERKPSKLGALLPSLTEVVAKEHKRLLARKSIIEKRKEEQERQLLELEREEESKKLRLQKITEEAEQRRLAAEYEQRKNQRILREIEERQKEETQALLQEAEKRIKKKGKKPIIEGDKMSKQTLMELALTEQLRERQEMEKKLQKLAKTMDYLERAKREEAAPLIEAAYQQRLVEERVLHEHLQQQEVELSQQRHEGDLREKDRLVRMIGNKEIYQERVVSHREAEFNRLRREREERISRILLTRRQEREKLRKLKFYLKLEEERQEKLHAEEEARKQEEAERRKKEEAERLAKLAEIAEKQRQRELELEEKERKRREELLGRTTEAALKPSEPLSRPPEPLSAAPAAAAAAAAPAAGKYVPKFRRERTEGAGAAAAPPPETDRWTKPDGERWRSGDDRRPSAFGSGSRASSTWSRNR >OIV91624 pep chromosome:LupAngTanjil_v1.0:LG19:17245930:17247398:1 gene:TanjilG_09036 transcript:OIV91624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFDLENPLANFEEHQNCTISQLFASESDHMLSPNSLALTHSHVLFRCEAVSLILQVQFSCNLDPFVTYLAINFLHRFMSRQEIPCSELQQGKPWLLRHVAISCLSLASKMKNVSLSLSDIQNQGCVLEAQCVHRMELLILGALNWRMRSITPFPFLNFFLSLAEFKDQALNETLKERASEIIFNAQNDIKLLEYKPSTIAASALMYASHELLSPPQYSILRASNAACEYLDEDTLSKCFKLIQKIVMMEAKDSMVYTTTSFLSSETPMSVLERSIKRQRI >OIV92287 pep chromosome:LupAngTanjil_v1.0:LG19:4439950:4452758:1 gene:TanjilG_00305 transcript:OIV92287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FQKIRRKIGRKLPPPNNTTNTEIKSKAIILPEQSIAAEKVGLAVNKKGLTLKELLQKTFHHNAKVRRDALTGIKDLFIKYPEELKLHKNAAVDKLRQRIGDDDKVVRKSLYDIFKSAILRVCEEDNQELIVSLLMPYIFNAMTHLSVDIRIMAFDFLDLVLEFYPPSFSSYAEKIFQNYEDILRKNQYYLQDKGKLQDALAGLVRCLSLLPWNKGETDSHNKDEADKRVLHAFEADMSVSSNGFSHIIKKLRDLVPVLTNSLQEFIPLIHDMASLEVKSFGCLVSILHSIDLIVRSFIYWIDKESESPSSQGGPDVNVWDVTIFSVLLKKLFPLFPLNPVHHLSPKDCDRLADLNMVIAKLFFDLNELRCLTPDLLEKLLYFLENALLGKACRVEQSGKAVWEKHLVQLLPFIPKFISRGESFWISRLLQAFTETYRESKPGSLLKLACLSAIEDMLSPIQSMLSLDTTTPESFDLQDALIAWISELPQLLIQLGDENPACSQAVLRLQLRIGQRALLNASLVCVYDNMQHSLQEFYSTSEGGHICYGPFLRLPKESQEFSLCCLYYFSQLDMPFLKSIASCCLSPDLDPHVLFRIIEILHSAYREGHIKIADHLSVFISLVLCFKLSPAVGSAGLKSCPLSQTFKSMTSVLCSYMAQMGDNYLVLKIIEKVIVDQIMLKPPLDNSCSLLRMLVTVDSKPTSLSDQSIAILGHYLSEYLMDAVQCIPDDDEQHSPSIQSTALYYYLLPCFFLLDRCHKLMNLVLKKMGSAITDSSLFPISDNCTQQTRNWLIRVNTIASVIVLMHKDAKLQQNMSIFKEDIDYIAHQVLTLQTASWIRPVHVALICPNDTPCGSYLSKRYSMWLL >OIV91956 pep chromosome:LupAngTanjil_v1.0:LG19:12389546:12395326:-1 gene:TanjilG_23217 transcript:OIV91956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGHDWINTNLPEELIIEIFRRLDSKSTRDACSLVCHRWLRLDRLTRSSLKIGATGSPDLFLRILSTRFPNVTNVHIDERLAISPPPSVGRRGSRDNSKVSSEVSGGSGNAVSLCLSDAGLAAIGEGFPKLEKLNLIWCSDVTSDGLDSLARKCSFLKSLDLQGCYVGDQGLAAVGQCCKQLEDLNLRFCEGLTDTGLIELALGVGKSLKSLGVAACAKITDSSMEAVGSHCRSLENLSLDSEFIHNQGILAVAGGCPHLKVIKLQCINVNDDALKAVGANCLSLVSLALHSFQRFTDMGLFAIGKGSKNLKNLTLSDCYFLSDKGLEAIATGCKELTHLEVNGCHNIGTLGLKSVGKSCQHLTELALLYCQRIDDLGLLQIGQGCKFLRTLHLVDCSNIGDEGICGIASGCRNLKKLHIRRCYEIGNKGIIAVGKHCKLLTDLSIRFCDRVGDEALIAIAEGCSLHYLNVSGCHQIGDAGVIAIARGCPQLCYLDMSVLQDLGDMAMTELGENCPLLKEIVLSHCRRVTDVGLAHLVKRCTMLESCHMVYCSGITSAGVATVVSSCPNIKKVLVEKWKVSQRTKRRAGSVISYLCVDL >OIV91537 pep chromosome:LupAngTanjil_v1.0:LG19:17907418:17911841:-1 gene:TanjilG_08949 transcript:OIV91537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKTQRGQGSLKQTLRRRLESFETKHSSVDDIVNHLRSKYPDYGRQKRQTLALHVRHAINSRANTFDDGDDDDEEFEEINRSASRKRVKRNDESEERLQRIEASHIRSRTKSGKFHNLQSSESSSSASDGEGEGDESVSTSEDAIYEEKFEPAVDLMKTMLRNSYKSEEKSNNVDVELEVASSSKATAAITNDGGEGRAEKSNNVGRVLEEVKGKKEGPMFKDLGGMKEVLEELKMEVIVPLCHPQVPRQLGVRPMAGILLYGPPGCGKTKLAHAIANETSLPFYQISATELVSGVSGASEENIRELFSKAYRTAPSIVFIDEIDAIASKRENLQREMEKRIVTQLMSCMDQSSRLMQPAEGSESSDDRPGYVLVIGATNRPDAVDPAIRRPGRFDREIVVGIPDESAREEILSVLTRNLKLDGSFDLQKIARSTPGFVGADLAALANKAGNLAMKRIIDERKHDLMSDHTEDWWREPWLPEEIDKLAIKMSDFEEAVKMVQPSSRREGFSSIPNVKWEDVGGLDVLRQEFERYIVRRIKYPEDYEGFGVDLETGFLLYGPPGCGKTLIAKAVANEAGANFIHIKGPELLNKYVGESELAVRTLFSRARTCSPCVLFFDEVDALTTKRGKEGGWVIERLLNQLLIELDGADQRQGVFVIGATNRPEVMDRAVLRPGRFGKLLYVPLPSADERVLILKALARKKPIDASVDLSAIARMEACENLSGADLSALINEAAMAALEEKLTSTEITYDAFSIKTSHFEAALRKVSPSVSDMQKKFYQRLSESFKAA >OIV91532 pep chromosome:LupAngTanjil_v1.0:LG19:17987568:17989034:1 gene:TanjilG_08944 transcript:OIV91532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSSNPCTNSSTSVNGFYNFLTQGLNELHQSFLSNNFMSIQFLSKVLSYLQSFHSQLTILVHKLRLPVGGKWLDEYMDESSRLWDACHVLKSAISGLENYYLAASNIFSSLDGYHHLTPQISHQVMRAINVCQRDILGMEEENKRLMETRIQALSQSMNQNISMESKLNGFNGFRGVLYAMRSVSSLLLMILLSGIAYCWSSSSCFHQEKNMVFGSGFIVSMTMLKQKVAEEIDQNHGQQGILMFEFQQARIAMEELKDELERIASYDDDYDEVEGEMQEKADKLKSCFELLRCGVESISGQVDDFFDEIVQGRKKLLDMCSHR >OIV92364 pep chromosome:LupAngTanjil_v1.0:LG19:2424980:2439066:-1 gene:TanjilG_09962 transcript:OIV92364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRSSLQCTCADVIQWGLHILNGDPSYSTEYYNNIDQDDNSDIYNGPYFQNHHENDSNDVENDEIIARTLQEEFSQLEIDERSRNVQEGEGQFHAPQTKPAYDLHNSAMGNYCSGGHEYEQKGIDDVEPSSPGETGESSSELTDNSTHDDEVGRRFSDMTPIPHVPKINGEIPSIDEATSDHQRLQDRLQLYDFVEHKVEGDGNCQFRALSDQLYNAPDRHKFVRRQVVNQLKSNPEIYDGYVPMEYDDYLAKMSKSGEWGDHVTLQATADKYGVKIFVMTSFKDTCCIEILPNFEKPKRVSMLHEQATLAVTSRSSSFAARNFPTSVLLQEQHDEYRPLLHMYKEDKTSQATLNTRQVDMASVHEKDNIGDTDPFVHDFMQQLHLRSHLQNILTSSPRQEITASSTPQPVTIDSDGPAHGLKSDAVSLAKQALSASKQAALVAKELKSVIAHDANSLPFSMASTSLANCSLGKNKVVRSTRLLERQSKQRKVPKSKVLDEESYLARKSDAQGRLRVEKTTKEGFNQNDPLRLFLWGPETKQLLTVEEESQLISQLQDLLRLEEVKMKLQSHLERQPTLAEWAEGVGLSCPALQTRLDCGNRSREKLITANLRMVVHIAKNYLGRGLSLQDLLQEGSMGLMKSVERFKPQAGCRFGTYAYWWENLYTLLGKVIEAKKLCIHEGNLRPTKKELAERVGITVNKLENLLFSARIPLSMQQTVWADQDTTFQEITADSAFEIPDVSVSKLLMRRHVSNILSILSPKERRIIRLRFGIEDGHEKSLSDIGKVFGLTKERVRQLESRALNKLKQCLVTEGLDAYVDLIV >OIV92178 pep chromosome:LupAngTanjil_v1.0:LG19:5425765:5427492:1 gene:TanjilG_30819 transcript:OIV92178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFKRTLFWLSSFFIFLFSIIIFHYTTPPFPTPSTTITPKEIFNFELDNIFHKIIRLSGAQDIVSIVTSILRTHKDKCDKAKWASTLIQNYNVTLVLIVDQHGCGNFSSVQEAVDAVPESSSNTTLIIIDSGTYREKVVVQANKTNLIVEGQGYRDTIIEWNDTANSTAGTANSYSFGVFSSKFIAYNISFKNAAPPPSPGAVGAQAVALRVSGDQAAFYGCGFYGAQDTLNDDNGRHYFKECFIQGSIDFIFGNAKSLYEVQNNCLSFKVDLFYLYAL >OIV91597 pep chromosome:LupAngTanjil_v1.0:LG19:17488330:17489118:1 gene:TanjilG_09009 transcript:OIV91597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFASTFKFLTHASEVGCGFVLLGGYSPILFNILGLLLIFLYSFKILRSRWSTRELLQFLSGFGTGTPRFGFGLEGSKVETLGNEGLKSVSGVKKRGGNGLDDGVEEKEKDENIEDEMFDVMTLRKLVKIERQRYHAACAEIDKERMAASSAAEETMAMILRLQSEKSSIEIQGNQFRRMVEQKQEYDHEVIEELRWAIMQHESRKSLLENQLGIYREKLREYMTDEEIDQLEEVDASRGVLNSSIEHDSVDPSLEIDLQPL >OIV91619 pep chromosome:LupAngTanjil_v1.0:LG19:17300157:17301251:1 gene:TanjilG_09031 transcript:OIV91619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMESEVPEHLKCPISLEIMSDPVILSSGHTFDRSSIQRWFDSGHFTCPITKLPLPLHSPLIPNHALRTLISTFTPTPPLTHHHETLISSLASPSSSLHSKHDSLRHLTRLSKRDALFRRRITESGVVPVVLSCIDDDLLREKALSLLLNLSLDDDNKVGLVAEGVVRRVVAVLIGGSSDCRAVAATLVTSLAVVEVNKATIGAYTHAIGALVSIVRSGKGREKKEAATALYALCSFPDNRRRAVDCGAVPVLLQSADSGLERAVEVIGLLAKCKEGREQIEKFDGCVRILVRVLRNGSSKGIQYALLSLNSLCCNSDGIVEEAIEEGVLEICVGLLEVDNDKIRRNASILIQALRSNRRGVNC >OIV92382 pep chromosome:LupAngTanjil_v1.0:LG19:2094248:2099341:1 gene:TanjilG_09980 transcript:OIV92382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFSEEEKVIKPGADVGVRIRKKGVTGIRAWLLLNSTGDTHVIQAGKHAIMHRTGLSARDLRILDPLLSYPSTILGREKVIMINLEHIKAIITANEVLLLNFKDPSVTPFVDELHSRIVRHHSHASKTPNHDSKDDQEDGMKILPFEFVALEACLEAACGVLDNEAKTLEQEAHGALEKLTSKINTLNLELVRQIKSRLVAITGRVQKVRDELEHLLDDDQDMAEMSLTHKLFQHHLQETSSTSINDVEDDRHVKSFYHTYYLTVPPEISFGTGSTTYSNVIKQNDVGELEMLLEAYFVQIDGTLNKLSMVMTVSPVHQVVCDNALLVLPDTHDRVALMRHDHVALMRHDHLREYVDDTEDYINIMLDDKQNHLLQMGIMLSTATLVISAFTLVAGVFGINIHIKLFDVAGTREFLWTVGGSTVGTIFFYVFTIVWCKHKGLIL >OIV92478 pep chromosome:LupAngTanjil_v1.0:LG19:995914:1001847:-1 gene:TanjilG_02241 transcript:OIV92478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDITEVSILHHVAIMLIGIWILSAYNLCHSIVYFVALIYLYLVHERYVTRLRRKLQFEERKQSNQRRVLSDSETVRWLNHAIENIWPICMEQIASQKILLPIIPWFLEKYKPWTAKEAVVQHLYLGRNPPLITEIRVLRQSNDDHLVLELGMNFLTADDMSAILAVKLRKRLGFGMTAKLHITGMHVEGKVLVGVKFIRTMPFLSRVRVCFVEPPYFQMTVKPIFTHGLDVTELPGIAGWLDKLLSIAFEQSLVEPNMLVVDVEKFISPHAEPWFSVDEKDPVAYAKVEVIEASDLKSADLNGLSDPYVKGHLGGYRFRTEIQKKTLTPKWHEEFKIPIISWECNNVLAIAVLDKDRFYDDTLGDCSVDINDLRDGQRHDMWLPLENIKTGRLHLAVTILEDNGKGFDTNDQETVDIEEQKKSFADDTSNKGSFKSGSTNRNSSPPTPSEKSPKVADNYEPIDVEGQKETGIWVHHPGSEVSQSWEPRKGRNRRLDTEIRKEPSDSFGSCNSITTSGPLDNDSSSPDSNPEDKHRMKTVRKGLHKIGSVFRRSHKREDQLGCVDEEFPSPHDNIRSVKTKGDSIGLKFVMEDNISGFPAGKLQAEAGSNDGSAPESPSKGHVKDMAKNIFKNAGKSARSLKHVLSRKSRNSKSDASGVLSDESDSSGVSSAVQSPIDERTLVASQAMVSSSNGSPKPKVIVVQTVPSNTTVDNGALVKNENFEENPPKIVVTPDMPGEEFITPVEPNPEEELVADRKDISFSN >OIV91645 pep chromosome:LupAngTanjil_v1.0:LG19:14784328:14792003:-1 gene:TanjilG_26498 transcript:OIV91645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAKVINNLDCGSMLMLPSNDTGDIPPPFNIDDKIDPGFGMEMKFPSNVNSGNIPMCSVTGNVSHVDQSFCGYMQQPDFVSGWMYVNENGQMCGPYIKEQLYEGLTTGFLPHELPVYPLMNGRLMNHVPLNYFKQFPDHVSTGFAHLSLSISDTRMPSNCSSSSLSCKDVTVHEQDRSFGNAFPLDVMSDLQSHVNYSLNESNHLVSNSEAFSSMISSQMLVEECCWFYEDENSMKHGPHSLSDLISWHRNGYLKDSSMISRSDNKCAPFMLLSAVNAFEGETICRSGSKSNEVDGRVNIICEISESISSQLHLGVMKAARRVVLDGIIGDIIAEFVTERKYKKHKLESAEQASETCMLDSKKSKVALEISKGTAIPSEPAPSHILADQACHEISRSSATTIKSVGSIENYWWSYAVVRKVLFDYCMQVMWNAVFFDTLTDYLFSWRKKKLWSKPKHQPVSGCRYYDEKNQKIKSEDLLLRSSSSEYNADCCDKFGVLAIKRDCHAQSSLSCSGIRRGYLLEGRRVSHPHHNSKDLTCTVSRPHHNSKDLTCTVSRPHHNSKDLTCILESVENELHVSSMEFFVEYIKSFVEKEVNNLFHSPENKLNEVAVSDCGFSEILTDKTPVKEILDDQSVAPTKEKDLFCESSSKNPMSDVFANAFKELCGFVDDVVDEEEIDDIPPGFEENFQTSLPHYNSKFRPSRLIECNPKMTGYVATALCRQKLHDEVMDEWKSLFLDSALNKVFIAFTVKKKFQSVGHEKGKTFNASKRHLNDSTSRLGRMMEGSKISSGVPLVSAKQIYYHEKLSRKELGSSQSVSVDDSGPRKQSVAKLRKHASGGLNKTAEVKLAYNNSGKTRMIKRKKDVFAKGRSSPVNVNGSLHSDQSSLKNKSSRKVLKFSHTVQSDVLDVEKSNEKRLSTSIDNSVGMRKGVRIDGCEGTIQGKTTGHSSREMLNVKSTVSKSKRKHLMDQDDMPSLQQPGKVLKISNGKKQGARKQVMVAMNNSAKSKPLDLCPRSDGCAKTSIDGWEWHKWSQNASPALRARVRGLTCVQNKITDSDNNFTHLSNGKGLSARTNRVKLRRLLAAVDGADLLKVPQLKARKKHLRFQRSKIHDWGLVALEPIEAEDFVIEYIGELIRPRISDIREHQYEKMGIGGSYLFRIDDDYVVDATKRGGIARFINHSCEPNCYTKVISVEGQKKIFIYAKRHIAAGEEITYNYKFPLEEKKITCNCGSRK >OIV91604 pep chromosome:LupAngTanjil_v1.0:LG19:17416066:17416539:-1 gene:TanjilG_09016 transcript:OIV91604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSLYLVITTIFFIMISTCQAQNTPKDFLEVHNQARKEVGVGPLSWNKTLEAYAQNYANGKIKDCQMEHSNGPYGENLAEGYGEMKGSDAVKFWLTEKPNYNHDSNSCVNDECGHYTQIVWRDSVHLGCARAKCDNNWVFVICSYSPPGNVIGQRPY >OIV91977 pep chromosome:LupAngTanjil_v1.0:LG19:11783566:11784606:1 gene:TanjilG_07308 transcript:OIV91977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKFSFSLFFFTITISLLILLLQVQPSSTQSLTGNPNFDADIDLFGDAKVVVGEQESGSHVKLSRSSSSSSGLLLRRNPIKFVGPTSFSTNFSFSISPDAGDGVVFVLVPGERDFSSKFSVNDSFGVFVDENYVAVEFDTSKDDNVNDLNANHVGIDVGSIVSVAVANVSSINLVLNSGENLNAWVDYESGSKNLQVWLSKEGESRPKNVIVSHNIDLFKIWGDQNVFLGITSSSNHANSKQVVSVYSWKLSLRNVSKILHSEPTNPDKNVDQKNSFCTLRLLAGVIFGTGCVSMVTYVVLFMWVIFFQSHEEESLSKIHDYQLGEVRYERIDVAVDKNKTEDDEN >OIV91581 pep chromosome:LupAngTanjil_v1.0:LG19:17593195:17596202:1 gene:TanjilG_08993 transcript:OIV91581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWKGILGFEYGIVQAPLGPDISGPHLVAAESPDYLRELIRKTRSLTNKPFGVGVVLAFPHEENLKVILDEKVAVLQVYWGDCTRELVVKAHSAGVKVVPQVGSFEGAKKAIDAGVDGIIVQGREAGGHVIGQDGLISLVPRVVELVGDRDIPVIAAGGIVDARGYVAALALGARGVCLGTRFVATNESYAHPSYKRKLVEMAETEYTNVFGRARWPGAPHRVLQTPFYKDWKSLPAHENEANQPVIGHSTINGVEKEIRRFAGTVPNVTTTGDLESMALYAGQGVGLINEIISAGEVVKRLVEEAQLIIQQNFN >OIV92520 pep chromosome:LupAngTanjil_v1.0:LG19:522614:524839:-1 gene:TanjilG_02283 transcript:OIV92520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNTILNLFFIYALIFSTIASGKSLCDIEEAKLSDSSEALHYKLASIASVLVAGALGVSLPLLSKKIPTLDPQNDIFFMVKAFAAGVILATGFIHILPESFQSLTSPCLKENPWGKFPFTGFVAMVSSIVTLMIDSLATGYYQRQHFNTSKQFPTDEEIGDGHVDHIHLHTHATHGHSHGSSNSSEASELIRKRIISQVLEIGIVVHSVIIGISLGTASSIDTIKPLMVALSFHQFFEGLGLGGCISQAKFESRSTTIMATFFSLTTPIGIAIGMGISSVYKENSQTALIVEGVFNSSSAGILIYMALVDLLAADFMSPTLQNNLKLQLGANISLLLGAGCMSLLAKWA >OIV91722 pep chromosome:LupAngTanjil_v1.0:LG19:16519010:16522640:-1 gene:TanjilG_26575 transcript:OIV91722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSFLFLFSLFLFTPTLTLSLNQEGLYLYQFKLTLSDPDSTLSTWSLHSSNPCNSNWFGITCDPTNSTITSLDLSSSNLRGQFQPSLLCRLPNLTSVTLFNNSIDQTLPSSISICQTLTRLDLSQNLITGSIPQTLSELPNLTYLDLTGNNFSGSIPFSFGMFQKLETLSLVYNLLEGSIPYSLGNVTTLRMLNLSFNPFSPARVPSSLGKLTNLEVLWLSQCNLVGPIPEEFGNLKSLVVLDLSMNGLDGKIPSSITGLTRLWQVELFNNSLSGELPVGMENLTALRLFDASMNRLSGRIPDELTRLPLESLNLYENSFEGELPESLADSPNLYELRLFGNRLSGKLPENLGKNAPLRLIDVSNNQFSGEIPASLCDRGELEEILIIYNSFSGGIPASLGACQSLMRVRLGYNKFSGEVPAGFWALPRVYLLELVDNEFNGSIARTIGGAVNLSLLILSKNNFSGWIPEEIGWLEHLEEFSGGDNMFNGSLPDSIVNLRQLGTLDLHNNKLSGELPKGIKSLKKLNELNLANNDIGGNIPNDFGSLLQLNFLDLSNNKFSGRVPLGLQSLKLNELNLSYNQLSGEIPPMLAKDMYKASFVGNPGLCGDLKGLCDGKNEDKKASGFVWLLRSLFIVATLVFVVGVVWFYFKYRNFKNGKGGIDKSKWTLMSFHKLGFGEDEILNCLDEDNVIGSGSSGKVYKVVLSSGEAVAVKKIWGGAKTETGSGDVEKGRIQDNAFDAEVETLGKIRHKNIVKLWCCCTTRDCKLLVYEYMPNGSLGDLLHSSKGGLLDWPTRFKIAVDAAEGLSYLHHDCVPPIVHRDVKSNNILLDGDFGARVADFGVAKVVESNGKGTKSMSIIAGSCGYIAPEYAYTLRVNEKSDIYSFGVVILELVTGRKPVDPEFGEKDLVTWACSTLDQKGVDHVLDSRLDSCFKEEICRVLNIGLMCTSPLPINRPAMRRVVKMLQEVGIENQAKSSKKDGKLSPYYYDDGSDHGSVA >OIV91941 pep chromosome:LupAngTanjil_v1.0:LG19:12117474:12120709:1 gene:TanjilG_23202 transcript:OIV91941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSAIEQRLHFGNMEYGCKHYRRRCMIRAPCCNEIYSCRHCHNETTSMQSHLYRHDLVRQDVKQVVCSVCDTEQPVAQVCTNCGVRMGEYFCDICKFFDDDTGKQQFHCDECGICRVGGQENFFHCDKCGSCYSVTLRGNHSCVENSMRHHCPICYEYLFDSMKDTSVMKCGHTMHLECYREMINRDKFCCPICSKSVIDMTREWKRIDEEIEATVMPEDYRFGYFVMTAMTQPKFTFTLLDKNVVTVVHIIPEQSLRLFFLNDQILVVLEKNVATVNLEHYLIPHQH >OIV92119 pep chromosome:LupAngTanjil_v1.0:LG19:6882472:6883598:-1 gene:TanjilG_26977 transcript:OIV92119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRKLMTLDLLVDSNDFMPSFCWCSTYVIFYYVPPCFDLLKVLFSNLNPTWMLIRRIWKLWKTCLSRLTTDEKLQETFSSFGQLIEAKVITDRASGRSKGFAFVTYATIEEAEKAREGMNAKFLDGWVIFVDPAKPREPRPPPQQSQSQPSETGFTVNKTIGWTG >OIV91559 pep chromosome:LupAngTanjil_v1.0:LG19:17728008:17729813:-1 gene:TanjilG_08971 transcript:OIV91559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVYQRKKRRTESQIIDSSENPNPHSLPSSQQKKTRDLPKLTECHSCGFKVDICSNVDGKNALQPLYSEWRVVLLCSKCFSKVESSQICSYCFSETASSEIDSCFSCVQCRHSVHKSCFFDCKDTAPWSYTACLGSEFSVCVDCWIPKQLAISRRMRMMKAKGGMIKKKGSRVSSEKGKSRVLIESGDCRVLGAGDLVGSMEGLVNDVGRAEENKVETATRVREEAIKKGVVAKRAIKKADNGLRLAGNRKEVSQNVRSLKRDNVRVADGSAVTFEKHSHMICPPRISKSHCLLNTNCLDTSKSKAARDGSSSKTLSLGNAGGCDKHEVSNNDKLNEDADKSSFEPLVSLGSLDSDYSADPSHLCMGRSDSKCSSKDNVHVAEFHGEEEMLKEGEGSCSDRLINFTGEDSGLELDRKQADSGLHGKGSCNGQRDRYFLKYKRKKYRLKTNLDSGLELDRKQADYALHGEGRCNGQGDRYFLKYSRKNYRLKTNLDSGLEPDCKQADSEMHGEGICKGQRDRYFFKYSRKRYRLKTNLDSKPKILNDEICLESQDSAVRAPFNCSKEVKAISNASSQSFHATLQSSAHGSDISERHPRRVQV >OIV92559 pep chromosome:LupAngTanjil_v1.0:LG19:207196:213394:1 gene:TanjilG_02322 transcript:OIV92559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMESEGEAGAKPMKVLGGKVCQICGDNVGNTVDGDPFIACDVCSFPVCRPCYEYERKDGNQSCPQCKTRYKRHKGSPAIIGDREEDGGADDGASDFHYNSENQNQKQKIAERMLSWQMAYGRSEDVGAPNYDKEVSHNHIPLLTSGHEVSGELSAASPERLSMASPAVARGKRVHNLPYSSDANQSPNIRAVDPGFGNVAWKERVDGWKMKQDKNVVPMSTGQATSERGVGDIDSTTDVLADDSLLNDEARQPLSRKVSLPSSRINPYRMVIVLRLVVLCIFLHYRITNPVPNAFALWLISVICEIWFAFSWILDQFPKWLPVNRETYLDRLALRYDREGEPSQLASVDIFVSTVDPLKEPPLVTANTVLSILAVDYPVDKVSCYVSDDGAAMLTFEALAETSEFARKWVPFCKKYNIEPRAPEWYFALKIDYLKDKVQPSFVKDRRAMKREYEEFKIRVNGLVSKAVKVPEEGWVMQDGTPWPGNNTRDHPGMIQVFLGQSGGVDTDGNELPRLVYVSREKRPGFQHHKKAGAMNALVRVSAVLTNGPFLLNLDCDHYINNSKALREAMCFMMDPNLGKNVCYVQFPQRFDGIDRNDRYANRNTVFFDINLRGLDGIQGPVYVGTGCVFNRTALYGYEPPIKPKHKKIGVLSSLCGGSRDKSSKSSKKGSDKKKSNKHVDPTVPIFSLDDIEEGVEGSGFDDEKTLLMSQMSLEKRFGQSDVFVASTLMENGGVPQSATPETLLKEAIHVISCGYEDKTEWGTEIGWIYGSVTEDILTGFKMHARGWRSIYCMPKLAAFKGSAPINLSDRLNQVLRWALGSVEILVSRHCPIWYGYNGRLKWLERFAYINTTIYPITSIPLLMYCTLPAVCLLTNKFIIPQISNLASIWFILLFISIFATGILEMRWSGVGIDEWWRNEQFWVIGGVSAHLFAVFQGLLKVLAGIDTNFTVTSKASDEDGDFAELYLFKWTTLLIPPTTLLIINLVGVVAGISYAVNSGYQSWGPLFGKLFFAFWVIIHLYPFLKGLMGRQNRTPTIVVVWSILLASIFSLLWVRIDPFTTRVTGPDVQQCGINC >OIV91807 pep chromosome:LupAngTanjil_v1.0:LG19:14308095:14311464:1 gene:TanjilG_14386 transcript:OIV91807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAQNFVVDLNKALVFQVGHLGEAYQEWVHQPIVGKEGPRFFDNEVLEFLTRTVWWAVPVIWLPVVCWLGYSSVQMGLTCPHLALLAVLGIFVWTFLEYTLHRFLFHIETKTYWGNTIHYLLHGCHHKHPMDGLRLVFPPAATAILLIPFWNLVKLIATPSTAPVLLGGGLLGYVMYDCTHYYLHHGQPKIGVPQNLKKYHLNHHFRVQNKGFGITSSLWDKVFGTLPPSKVGAKSM >OIV92065 pep chromosome:LupAngTanjil_v1.0:LG19:7737129:7737468:-1 gene:TanjilG_08738 transcript:OIV92065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGEVARVRSSQSHSSNLLLSIGSEKGNGLNSEMEATVVGVLYDASTDGDEIGVGDVGGAFGT >OIV92425 pep chromosome:LupAngTanjil_v1.0:LG19:1683905:1686168:1 gene:TanjilG_23025 transcript:OIV92425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSINMMASILAMTMLLLSVVVCNGGLTGSYIRKPWSVDMPLDSDVYATPSGYNAPQQVHITQGDQEGKAMIVSWVTMDEPGSNEVHYWREGSPDSTLVAEGYHVTYRYFNYASGYIHHCLLKHLEYDTKYYYEVGIGHTVRQFHFMTPPEINPDAPYTFGLMGDLGQTVDSNNTLMHYESNPKKPQAVLFLGDLSYADDHPNHDNVRWDTWGRFTERSVAYQPWIWVTGNHEIDLAPSIGETVPFKPFVHRYPVPHKESGSTEPFWYSIKRASAHIIVLSSYSAYGKYTPQYQWLQAELAKVDRTRTPWLIVLLHAPWYNSYNYHYMEGETMRVQFESWFVNYKVDLVLAGHVHAYERSERISNIKYNIVDGSCRPVKDESAPVYITIGDGGNVEGAADNLTMPQPEYSAYREPSFGHAIFEIKNRTHAFYSWYRNDDDYATQADSMWFFNRYWHPLDDSKTSISQHY >OIV92524 pep chromosome:LupAngTanjil_v1.0:LG19:486430:491628:-1 gene:TanjilG_02287 transcript:OIV92524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERMFPPKKPSTMNSHDRPMCVQGDSGLVLTTDPKPRLRWTVELHERFVDAVTQLGGPDKATPKTIMRVMGVKGLTLYHLKSHLQKFRLGKQPHKEFNDHSIKDASALELQRNTASSSAMIGRNMNDNSHMVDAIRMQIEVQRRLHEQLEVQKHLQLRIEAQGKYMQSILEKAYQTLAGENMASAATNMKGMVPHQGIHDMGIMKEFGSPLNFSSFQDLDIFGGDQLDLQPNMEKPPLDGFMSINENLCLGKKRPNPYSGSGKSPLIWSDDLRLQDLGTASSCLGPQDDPFKGGQIQLAPASMDRGTEIDQISEMYDTKPSLYGDEKKFDASMKLDRPSPRRTTLQAERMSPMISTGSMAQGRSSPFGNSHMVDAIRMQIEVQRRLHEQLEVQKHLQLRIEAQGKYMQSILEKAYQTLAGENMASAATNMKGMVPHQGIHDMGIMKEFGSPLNFSSFQDLDIFGGDQLDLQPNMEKPPLDGFMSINENLCLGKKRPNPYSGSGKSPLIWSDDLRLQDLGTASSCLGPQDDPFKGGQIQLAPASMDRGTEIDQISEMYDTKPSLYGDEKKFDASMKLDRPSPRRTTLQAERMSPMISTGSMAQGRSSPFG >OIV91861 pep chromosome:LupAngTanjil_v1.0:LG19:13682978:13683259:-1 gene:TanjilG_17853 transcript:OIV91861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHISDIKLIRTDTTLDLSQKAEKGMIIFVATLSFYTLSPICSFLFHLCGTNTSFTSQTPLHYFLLTHPCDYSIMYKRTDATFTHHVADWRTL >OIV92165 pep chromosome:LupAngTanjil_v1.0:LG19:5733078:5734642:-1 gene:TanjilG_26028 transcript:OIV92165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARISSEPLIVGRVIGDVLDSFTTSMKMTVSYNNKQVFNGHELFPSTVTNKPRVEIDGADLRSFFTLVMTDPDVPGPSDPYMREHLHWIVTDIPGTTDATFGKELVNYEIPKPNIGIHRFVFVLFKQKRRQCVTQPSSRDHFNTMNFASENDLGLPVAAVYFNAQRETAARRR >OIV92235 pep chromosome:LupAngTanjil_v1.0:LG19:4945099:4945506:1 gene:TanjilG_31154 transcript:OIV92235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIIPGSNNPFEHSLSFPTPSLSSENHAFLNNQIDWNETPEAHVFKADMTGLNKEEVKVEVEEGRVLQISGERSMKIEDKNDACHRVECSSGRFKRSFTLPANAKMDQVKASVENGVLTVTVPKEDIKKAIENSH >OIV91640 pep chromosome:LupAngTanjil_v1.0:LG19:17122291:17123813:1 gene:TanjilG_09052 transcript:OIV91640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFNLLAALNPALAHLNPAQLTLMGSAFCVLASMHFTTQLMSQHLFYWKNPKEQKAILIIILMAPIYAAVSFVGLLDIKGSKEFFMFLESVKECYEALVIAKFLALMYSYLNISISRNIVPDEIKGREIHHTFPMTLFQPHSVRLNQQKLKLLKQWTWQFVIIRPVCSILMIALQLLGLYPTWLSWTFTAILNISVSLALYSLVIFYHVFAKELAPHKPLAKFLCIKGIVFFCFWQGMVLDILAATGVLKSLPFKLDVEHIEEAMQNILVCVEMVVFSVLQQYAYHASPYSGQVEQMLRQNKKYE >OIV92107 pep chromosome:LupAngTanjil_v1.0:LG19:7060627:7062604:-1 gene:TanjilG_27262 transcript:OIV92107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLFRLVDHEDFFSRRCIWVNGPVIVGAGPSGLATAACLRDEGVPFVVLERADCIASLWQRRTYDRLKLHLPKQFCQLPKLPFPEDFPEYPSKKQFIDYLESYAMKFEINPQFNECVQSARYDETSGLWRVKTVSSTSRNEVEYICRWLVVATGENAECVMPDIEGLSEFKGDVVHACDYKSGESFKGKKVLVVGCGNSGMELSLDLCNHHASPSMVVRSSVHVLPREIFGLSTFELAVLMLKWLPLWLVDKLLLIFTWFILGNIEKYGIKRPSKGPLELKNTMGKTPVLDIGTLEKIRSGYINVVPGIKCFNNRDVELVNGEKLDIDAVVLATGYRSNVPSWLQEGEFFSKNGYPKMPFPHGWKGNTGLYAVGFTKRGLSGASSDAVQIAQDIGKVWKHETKQKKQRTTACHRRCISQF >OIV91789 pep chromosome:LupAngTanjil_v1.0:LG19:14583491:14588470:1 gene:TanjilG_14368 transcript:OIV91789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKSNQQKNGLERDGLNHKKGVSGDVLPSMKGHAKGGKDFPGEEVTNEDGVSHSAGETTAAGYNNNSEQKFENFNRKEESFHFGSNSRDGNLNSEVPIQESNGTLPRSNQGQQSIKSGLSCLLNGLQSVVENGELADNVIVRRFKLSVFSIFAAATEWLARKKPLFVSFTTVIFKAWDNLRMKFKHAYPIVLKLLMHFGSILLLLLVFWLDCAIRGVDSVVRMGTAAFFSVIWCSVFSVISMIGMLKFLVVLGLTASIGFFVGLTLAVLVVAILGVVILWFYGSFWTTSFFIFLGGLAFLLNHERVALMITTVYSIYCAWQYLGWLDLLLAFNLAFISSDVLIYFLKKNIDQQSRSNPFEQRSGMNSQPGFFNDEPMQASFSENGPGSSADRNAGGSSTSGTDSEDEVVRLLNCSDHYSAMGFTRYQDIDVSTLKREYRKKAMLVHPDKNMGNEKAAEAFKKLQNAYEILMDSLKRKSYDDELRREELLSVFRRFHNDSQKNGRHGFFASGSARSDADGEDPFGDSRRIVCKKCGGFHVWIHTRKQKSRARWCQDCQDFHQAKDGDGWVEQSSQPFLFGLLQKVDAPSAYVCADSQIYDASEWYICQGMKCPVNTHKPSFHVNTSLMSKHNPGKGTSSGQRGARMPPPNMEENMTEEEFFEWLQNAAQAGVFDNFGGGAAAENPSTKSGNGMKNPGSSGGGSKRKKKGKK >OIV91748 pep chromosome:LupAngTanjil_v1.0:LG19:16764178:16764870:1 gene:TanjilG_26601 transcript:OIV91748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFENYFSQECKSKSIPNAATETENYNGCFDCNICLDFAYEPIVTLCGHLYCWPCIYQWLHVQSNSLAPDEHPQCPVCKADISHTTVVPLYGRGQASIQSHRDEKSSTCDIFVPPRPSASVSRALLAASSQSAQQHPYRNPYQGHYEEAATSQMLNPGYQYQNPVVGMFGEMLFARVFGNSENSNAYPNSYQLMGSNSTRLRRREMLADKSLNRISIFVFCWFLLCLIVF >OIV91552 pep chromosome:LupAngTanjil_v1.0:LG19:17785886:17787445:1 gene:TanjilG_08964 transcript:OIV91552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSIYNVFNGLKPVMLMVMVQIAFTAVNVLYKLAINDGMSVRVATAYRLAFGSAFTVPLALISERKNRPKMTWRVLFMAFFCGLFGASLFQNLFYGALALTSATFVSAIYNLVPAITFILAISCGLEKLNLRAAAGRAKVLGTLIGIGGAMFLTFYKGIKIYIWPFHINLLHSNGHMIPLHADSSNKLVGVLCAIASCFSYALWLIIQQGQYKPPFMVFVLRGIGANGSWVGILGY >OIV91863 pep chromosome:LupAngTanjil_v1.0:LG19:13694923:13696017:-1 gene:TanjilG_17855 transcript:OIV91863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKVTIMKLKVDLECEKCFKKVKKLLSKYPQIRDQKFEEKQNIVIITVVCCSPEKIRDKLCYKGGGSIKSIEIVEPSKPKPPEPEKKKEVKFVLPEKKKEDEKPKPVEPEKKKDVEKPKSDTPKKDADKPKEKPIDAKPVPGPAPVPNIFYPVPAHPQVPPPMAVPVGMCCVPAYEGRLIGPYINEYGGPLQHYDGYYGRPIYDSYGSGRPYCTSRCDQYFSEENTQGCTII >OIV92393 pep chromosome:LupAngTanjil_v1.0:LG19:1950414:1951242:-1 gene:TanjilG_09991 transcript:OIV92393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMASILAMSMLLLSVVVCNGGLTGSYIRKPWSVDMPLDSDVYATPSGYNAPQQVHITQGDQEGKAMIVSWVTMDEPGSNEVHYWREGSPDSTLVAEGYHVTYRYFNYASGYIHHCLLKHLEYDTKYYYEVGIGHTVRQFHFMTPPEINPDAPYTFGLMGKFICSKHKVTF >OIV91681 pep chromosome:LupAngTanjil_v1.0:LG19:16180094:16181500:1 gene:TanjilG_26534 transcript:OIV91681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDSWISARHSNSSRRYHSRSDLYLGGYEDLDGGDDLRSEFLCPFCSEDYDVVGLCCHIDEEHPLEAKNGRWKIGCDAAQNNAD >OIV91688 pep chromosome:LupAngTanjil_v1.0:LG19:16241340:16242233:-1 gene:TanjilG_26541 transcript:OIV91688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSREPWLLSCNPNKRVLPKFEFLLSKGASTSDIVSIVTKTPRFLSPSLENHIIPTYELVHSFLLSDEETVACIIRNTLSFCSSRMSHNIKLLIGCGVSESNIAILLRKWPSILCSIDLLKEVEEVKRLGFNPSKTTFSIALLAKHTVCKSKWDEKIGVFKKWGWDDETILEAFRRQPNCMLVSCDKINVVMNFWVKELGWDVLALVRGPDIFGFSLEKKIIPRAYVLKYLLGKGLMKKDASLITPFRISEILFLQKFVECFEEEETSQLLNIYWEKLNVQDNREKVPFSKLQMINL >OIV91964 pep chromosome:LupAngTanjil_v1.0:LG19:12505423:12506686:-1 gene:TanjilG_23225 transcript:OIV91964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRVSVLNDALKSMYNAEKRGKRQVMIRPSSKVIIKFLLVMQKHGYIGEFEYVDDHRAGKIVVELNGRLNKCGVISPRFDVGVKEIEGWTARLLPSRQFGYIVLTTSAGIMDHEEARRKNVGGKVLGFFY >OIV91580 pep chromosome:LupAngTanjil_v1.0:LG19:17596473:17598431:-1 gene:TanjilG_08992 transcript:OIV91580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKPHAVLIPFPVQGHINPLFKLAKLLHLRGFHITFVNTEYNHKRLLKSKGPNALHGFHDFHFETIPDALPSMDNDDVTQDVVSLLQSTRKNFLNPFKNLLATLNASSALDGKIPQVTCLVCDGTMVFTIKAAQELGIPILILWPASAFSLLSLVHFRTLLDKGLIPLKDKSYLTNGYLDTKVDFIPGMQNFRLKDQVDYIRTTDPNDSMLHLFIEMVDEIQRSAPTIVFNTSHELESDALNALSFMFPSLYTIGPFPSFLNQTPQNNLPTLGSTSSLWEEDTECLEWLESKEPRSVVYVNFGSITVMSPEQLLEFAWGLANSKKTFLWIIRPDLVIGGSVILSSEFVDETRDRGLIAKWCPQEKVLNHPSVGGFLTHCGWNSTTESICAGVPMLCWPFFADQPTNCRYICNEWCIGMEIENNVKRDEVENLVNELIVGEKGKKMREKVMELKNKAEEDTRVGGFSYKNLDKVIKEVLLKPK >OIV92012 pep chromosome:LupAngTanjil_v1.0:LG19:10963497:10964606:1 gene:TanjilG_20942 transcript:OIV92012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVDYYKILQVDKNATDEELKKAYRKLAMKWHPDKNPNNKKEAESKFKQISEAYDVLSDSQKRAIYEQYGEEGLMGQVSPSDATSSSGTTFYSKGDIPASFRFNPQEADGIFTEFFGYSSPFGGMGRGGGARDGMRSRFSSGMFGGDDRFEGLHVSQGGTPRKAAPIESKLPCSLEEIYKGTTKKMKISREVADANG >OIV91680 pep chromosome:LupAngTanjil_v1.0:LG19:16156190:16159471:-1 gene:TanjilG_26533 transcript:OIV91680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTPSSTSPLKSSGTVSDQFPAGLRVLVVDDDPTCLMILDRMLRACFYEVTKCKRAEIALSLLRENKNGFDIVLSDVHMPDMDGFKLLEHIGLEMDLPVIMMSADDGKQVVMKGVTHGACDYLIKPVRIEALKNIWQHVVRRRKNGWKDLEQSGSVDEGDRQLKASDDADYSSSANEGKSSKKRRDEEEDPDERDDSSTLKKPRVVWSVELHQQFMAAVNQLGLDKAVPKKILELMNVAGLTRENVASHLQKYRLYLRRLSGVSPLQNNLNNPFISPQAASFGATSIGGIDLETLQASGHLTAQSLAKLQAAGLGRPTANAGASISLVQQRNLFSFENPGIRFGENQLQHLSNSKPTNLLHGIPTNMEPKQLANLHQPTQSRGNLNMGVNSCAAQSNPLLMHMAQSQSRGQVLGENTGSHVNRLSSSLVQPTLRNEISNGVLGNGISGMSNITPAYNPVQQNTSMLSFPMNQSSEMPVGTFPLGSTPRISNMATKGMFHEEVSMRIKGSSGSVPSYDIFNELNHHQKSHDWDITNVGLTFDASQHANPLQGNIDVSPSVIVHQNCPSIHQTGQNRDAISIGKGMFSIGEGMSHGNLQNLAQHLNTHLVDNSVKVKAERLHDPRSQINLFPDQYGQEDLMSALLKQQGGIGSAENEFDFDGYSLDNIPV >OIV92095 pep chromosome:LupAngTanjil_v1.0:LG19:7338151:7339641:1 gene:TanjilG_27250 transcript:OIV92095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSDLKQETPKREKVFSFMRTLRTSENKSDPCGGRYIYVHDLPSRFNEDMLKECKSLSLWTNMCKFTTNAGLGPPLEEENNIEGVFSETGWYATNQFAVDVIFNNRMKQYECLTSDSSIAAAIFVPFYAGFDIARYLWGYNISMRDAASIDLVDWLMKRPEWEIMNGRDHFLVGGRITWDFRRLSEEESDWGNKLLFLPAAKNMSMLVVESSPWNANDFGIPYPTYFHPAKDDDVFIWQDRMRNLERKWLFSFAGAPRPDNPKSIRGDLIEQCKRSKVGKLLECDFGESKCHSPSSIMQMFQSSLFCLQPQGDSYTRRSAFDSMLAGCIPVFFHPGSAYTQYTWHLPKNYTKYSVFIPEDDIRKRNVSIEERLRQIPMEQVKIMREEVISLIPRLVYADPRSKLETLKDAFDVSVQAVIDKVTNLRKDIIENRVDENFIEENSWKYALLDEGQHEVGPHEWDPFFSKPKDGNGDSSDSSAESAKNSWKNEQRNHS >OIV92567 pep chromosome:LupAngTanjil_v1.0:LG19:149365:153951:1 gene:TanjilG_02330 transcript:OIV92567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSARSWLHKFQPRDKMRATSRKKNDSNGENEDSSVVPLDEEALSNITKQKVAAAKQYIENHYKEQMKSLQERKERRTILEKKLADADVSEEDQNNLLKFLEKKETEYMRLQRHKMGVDDFELLTMIGKGAFGEVRVCREKTTGHVYAMKKLKKSEMLRRGQVEHVRAERNLLAEVDSNCIVKLYCSFQDDEYLYLIMEYLPGGDMMTLLMRKDTLTEDEARFYVGETVLAIQSIHKHNYIHRDIKPDNLLLDRYGHLRLSDFGLCKPLDCSTLEESDFSSGQNANGSAQNDESAPTKRTQQEQLENWQKNRRTLAYSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYSDDPMSTCRKIVNWKSHLKFPEEARLSPEAIDLISKLLCNVNQRLGSNGAAEIKAHSFFEGTEWDKLYQMEAAFLPEVNDELDTQNFEKFEESDNHTQSSSKVGPWRKMLSSKDLNFVGYTYKNFEIVNDYQVPGMAELKKTSKSKAKPSVKSLFGDNESETSEASEASDASISNQSAHGS >OIV92009 pep chromosome:LupAngTanjil_v1.0:LG19:10782013:10782342:-1 gene:TanjilG_20939 transcript:OIV92009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSQTQSGKVDITFEIDGWVLLMLQPFRQVIVARRASHKLAPRCFSPYRVVHRIGSVAYELALPPSTWVHLGSSTFHFCGDSMVIPSLNMFHCHRAQGMAFTSKTSQDR >OIV91766 pep chromosome:LupAngTanjil_v1.0:LG19:16942910:16948156:1 gene:TanjilG_26619 transcript:OIV91766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSLSSIQTRVENIKGFSSNFDMYLFVNPSAYDTAWLAIIPDSKYPSQPMFKSYLDWLLNNQNLEGFWGESDTFGKPTIQALSATIVSMVALKKWKTGASMIQKGMSFIDANGEKLLNEVKENCPLWFAIVFPATLELAEEIGLEVAFPEAALEIISYISRCRESYLNKEEAVGNLHYYPQLLSYLEALPRCYVSEEDISNNLSKDGSMFQSPSASAKAFMVTGNQECLTYLQSLAQKFPNGVPQAYPMDEDHIKLCIVNQLQKLGLGENFVGEIEVLLAEVYRNYNEQHSWVKGSNMIATQLHKDSLAFQLLRMHGYKISPSIFCWFLYDDKIRDEIEKDYDQFSSAILNVYRASNLGFREEYELDEARSFSRNFLHKFVSAGNGDMKQIEHELNIPWFTRLDHLEHRMWIEEKEANVLWKGKASYNRISHHYNNELLQLAIQNFEFKQSIFKKELEELKRWTEEWGISNMGFGREKTTYCYFAVAASTTFIPHDSYIRMLSAKSGIIITVADDFFDMIGSSTELEALTYAVGRWDSKGLSSHSKTIFDALDNLVSEVNGRYLLQEGTTDISRSLQDLWYETFLAWLIEAKWSRNGDTPSMDYYMKTSMTSIATHNIVLPASCFLKPILPKEKLRPIQYESLTKLLMILSRLLNDVLSYQKEKEDGKLNSVFLNMVESPELDIEDSIACIRETIGEKRKEFFEHVMIDDGLSDLSKPTKLLHLSCFKVFQMFYNSKNTFDSDTDMVENINKAIYLPVSRTIKPLSPRPVIKLNNQNLSSVYRSNNKRMDFTAHQVSPFALINGYGKVFMPMKIGLGFI >OIV92374 pep chromosome:LupAngTanjil_v1.0:LG19:2147911:2148267:1 gene:TanjilG_09972 transcript:OIV92374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKNSQAQFQDYLPVMANKLGGDGLIDELCNGFNLLMDSEKGVITFESLKRNSALLGLQDLSDQDLQSMVVEGDFDGDGALNQLEFCVLMFRLSPELMEGSHLWLEQALQGELKDFF >OIV92038 pep chromosome:LupAngTanjil_v1.0:LG19:9349058:9351611:-1 gene:TanjilG_25018 transcript:OIV92038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELVPYSDPNTSSPAWQDMFRSGSTRKPTPTPPPHAPPTPQSHAPPKRPSPTDPDDKITFSGDPQVRLALYVAMAHAGVAFAIFILFTATKLLDAYLRPIQWAVLCSIPLRNIQQTLFSFWSEPLRLGLTETLLAVPDAVFRVFVGTLVEVRESVLRVLLRKTKKQSESQREKRSGFSNMLRLLVSFGLFIIAYEMFDWFGALSLLGLGFVFSAQNVDSTMSAFRSYSFKRSAITAFFTRGVLKRLKTIIAVGLIVGMIVGFLFGLIFFSYKIGVEGKDAVISLKSHVEESNYTERIGIKKWMDENDVAGTVDSYTTKFYETVSVQIDGLAMQYNMTEFVTGIKHIVITTSGNNSSVPSKALMTPSPYLEKLLSLKNRVRNREWGQIYAELDSLFRELVITREDLVEKAKGFATKGVDVAQGVFASSRTVLGSSAKFMFFIVNSIISGAAEVFNFVSQSTVFIWVLYYLITSESGGVTEQVMCMLPISQSTRVRCVDVLDKAISGVLLATAEIAFFQGCLTWLLFRFYEIHFLYMSTVLAFISPLLPIFPSWFATIPAAVQLVLEGRYIVAIVLSIIHLFLMDYGSSEILEDVPGNSAYLTGLSIIGGMALFPSALEGAIMGPLITTVMIALKDLYAEFVLEEPKESVLQKPS >OIV92233 pep chromosome:LupAngTanjil_v1.0:LG19:4929013:4933199:1 gene:TanjilG_31152 transcript:OIV92233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMNSCSSGAWLDLDPQLAAEKAVSVIGHGYDLCKDIRFSPCKSKLIHIDTKHTTDLVFPSGVVVPNVSTSIKCDKGERTRFRSDVLSFVQMSEHFNQQLSLSGKIPSGLFNTMFDMRKCWPKDAASTKSLAYDGWFITLYNVELDRTNITLSENVKQEVPSSWNPAALAEFIEKYGTHVVVGVKMGGKDVVHIKQSKSSDLQPTELQKLLKQLADERFSEDSNRSSNVNPAEISRKLKDDRNKPWGVHKPFPRAGRPVVKSHSKNDEIMSISVRRGGINIGQSYTQWLSTISQSPNVISMSFVPITSLLSSVPGNGFLTHAVNLYLRYKPPIEELLQFLEFQLPRQWAPMYGDLPLGFDPKHKRNMHPSLRFSLMGPKLYVNTMKVDSRNRPVTGIRLYLEGKKNDHLAIHLQHLSEVPGILEISDDHYYEPVDEPVERGYYEPVKWSMFSHVYTGPVQYNSSHIDESAAIVTKAWFEVKHVGMKKVLFLRLGFSAVASARIRRSEWDGPSTASRKSGFFSALMSSKLSKELQSPQKANTKVDINSAVYHGGPPVPTRDPKMLSFVDIKEMVRGPEDQPGYWVVTGAKLCADGGRISIKAKYSLLNIISEESLLW >OIV92435 pep chromosome:LupAngTanjil_v1.0:LG19:1357366:1359396:1 gene:TanjilG_25165 transcript:OIV92435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFSSKSILSPSRSREPPQISLQSSLSRKLRNNGSMKGGQASPMFPTTGGKKRGCGFENPEPSSPKVTCIGQVRVKTKKQGNKMRSRSKRRGGEALSFRKSGTEPDLIRQNSKSFQYQLQQECLKHRNQRWVHLPLTICEAMREFTCFFPCKSSCVSKEEKGGGEVERSNVEENVHGSVREGSSEAAFAKWLVALQEGDGKGREIDLVMKQEDDENGKGNERSYSKRKHVVEDIEVVEEEEEKGRVSICVPPKNALLLMRCRSDPVKMAALANRFWESPIHEDHDFDEDEVGAEKEHIQMEQYDDVEEERNSICASERESGFVNIEENHVIIQLEETETETETLEVKESYEVESNDVHHEPTHDKVVEGEENDEGENFSCVSNSTLEVHHHAGLDKPETEEVQQPSLKNVKEEQQNEESLELYPTPSKIIAPELQNDEAKPESEAEQVTATMAEPESETITETSEEKVTVTVTAEISFSPKQQEEETDPIHVELDPVTEPGQGNGSEECDERERLPECLLLMMCEPKLSMEVSKETWVCSTDFVRWLPPERIAGKPNDAVVVERRASMGSKPNKKPSPQRFLQPPRSSCSFPAMPAAAVGGLAGMIEQKLVGSKSSNKGFEPFVFTRCKPEPFLLTRCKSEPVRSSARLAPEACFWKDRKLEPATLEVGAPAGVGF >OIV92281 pep chromosome:LupAngTanjil_v1.0:LG19:4361491:4361904:1 gene:TanjilG_00299 transcript:OIV92281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQHGQPDPITISVVAHQPRPIVAHQTWVAYDPRSALAHQHQLVLVHPFGLDKAHPFGLGEAYELAHRLDLGEAHQFGLGEACESRLDLAHQSWPSLVHQLVLAHRPKSICDAHQTQVPRSDSLIQGYNNWPIIQIN >OIV92100 pep chromosome:LupAngTanjil_v1.0:LG19:7219988:7221400:1 gene:TanjilG_27255 transcript:OIV92100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRILNEALSAMVNAERRGKSTVEIKPSSTVISSFLQIMKHRGYIKGFQVSDPHRVGRITVELQGRINACKAITYRQDIKAKDIEAYKSCALPTRQWGYVVITTPDGVLDHEEAIKRNVGGQVLGYFH >OIV91739 pep chromosome:LupAngTanjil_v1.0:LG19:16675973:16682390:-1 gene:TanjilG_26592 transcript:OIV91739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGIWMVLAIAVGVVVIVFKVMEVGMVTIVVREMLVLVVTTMVVVEEPFSLSDQLQEATNYIKTLEMKLEKMKDKKNRLLEIQRENMSMNKGGSKPPQIEIQQMGLALVIGLITGLDFQFMFKECIRFLLEEGAYIVSANYIVSGDSFFHTIHCQVEESSNGARISERLKMFVYDSCAFY >OIV92302 pep chromosome:LupAngTanjil_v1.0:LG19:2873427:2874993:1 gene:TanjilG_10512 transcript:OIV92302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIKIQTEFRAHLARKALRALKGVVRLQAIVRGQAARRELSSTSKKLLSNARKQVEVQERCNYSDEERCKHDKFKQFANRKKSSEEKEMKEITIPEMVEVSGRESSHILNVGQFVHKERASLSSKGWYNTTKVAHRNLKKQDLQEGLSSQISTQRKSFSHGKKKCVVDDNFMANSQVFPSYMAVTESTKAKIRSLSSPRQRKRYFDECSNHSVPQNKGIPF >OIV92122 pep chromosome:LupAngTanjil_v1.0:LG19:6909221:6909583:1 gene:TanjilG_26980 transcript:OIV92122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLWLFNFIRLHLTTPWPILISAATWITLLSITVALATFSLQVAFVSAISPSSSLAQKCKADGSIGIAMDVPRDILCFTAHLCMKSKIDLILLPVMLPHHMTGPQYYDCTDHHNYDIESQ >OIV91946 pep chromosome:LupAngTanjil_v1.0:LG19:12177555:12181678:-1 gene:TanjilG_23207 transcript:OIV91946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVYGEKPMTDPLQLVRFASFCYLCAIGLAFACFLPSALLETYHVVCCNVCKKPIKDSQYASHADQATAVGERRRAESMDNIDTAVSQSNLSGQIRVTSFSIEAKGKDLLEGTVLEHGSPDHKSIGLVHEQHVTSNDFPAPLATKIYYPQRSNRLRAAIRHLYFQDSSEDTAFSKFVLTPRFGSYSCKTLRSLLGYAGALPSGGLSNQFVVDNVSTSAATHVGLMRRNFLPKSSPFANNSGNPLGTMQQPNGNVPVI >OIV91920 pep chromosome:LupAngTanjil_v1.0:LG19:12895918:12901395:1 gene:TanjilG_00588 transcript:OIV91920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAEAKNSLKEKPTQTMSSSSSSSPSFSNVFGNSSNPLEVRRRGRPLGSYKKLPELTAPMVRAYNRAKMPRFRWTPELHHCFVHAVQTLGGVERATPKMIFEMMNVAEITLNHIKSHLQHYRTMKLEQQINEDEYPAPTLTGIPSDGQQHIQQLSSESDHSVEELARIQAQCYHHQLECYNENQRRSVLINEEENKTHNYIIFKDILGSQSAQENQNPLEMEPLGAAIGERDDEDMLSLSLGLSGLNNQNPRPDANDVCLELKLN >OIV91998 pep chromosome:LupAngTanjil_v1.0:LG19:11334044:11336641:-1 gene:TanjilG_07737 transcript:OIV91998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNIVNLLFFSIVLFNAIRASSQDSSSPSLLILGCGLDKGSEKDVDGRTWGPDDKFLQPGGNSIKSKASFQDPSLLDVVPYMSARVFTSETSYKFPVQPDKRYWLRLHFYPSVYGSYNPSESYFSVIANGGVTLLSNFSASITCQALSQAYLDREYSLAPLNTDALTLTFKPNDKSFGFINGIQLIEMPELFDSVAALVGYSGQTVEVKSLHLQTMFRLNVGGQYISPKQDSGLSRMWYDDTPYIYGAATGVTNQAEKDVKINYQNMSSYVAPTNVYSTSRSMGNDKNMNMGYNLTWIFQVDPNSMYLARLHFCDYYYSKVNEIVFNIFLNNQTAQTQADVIGWTGGKGVPTYKDYVIYVQDDAGEDQLWLALHPALDSKPEFYDALLNGVEIFKVNDTNLSGPNPQPSDMLMKAEEEERKFETHKGYNKNVVGSAAGGAAGFALMAAIVCVAVYRKKRVPGSDNHTSWLPIYGTSQTTGTKSTMSGMSTNSANLTAMAQGLCRYFSLQDIKQATNNFDEPNVIGVGGFGKVYKGVIDNGVKVAVKRSNPQSEQGVNEFQTEIEMLSKLRHKHLVSLIGFCEEDDEMCLVYDYMEHGTFREHLYKGNKPLSTLSWKERLEICIGAARGLHYLHTGAKYTIIHRDVKTTNILIDENWSAKVSDFGLSKTGPNMNQGHVSTVVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLFEALCARPALNPSLPKEQVSLADWALICKRKGTLEDIVDPYIKGKINPESLKKFADTAEKCLSDHGIDRPSMNDLLWNLEFALNLQENPDGSTKSATRVIDESEFEDISLGNNDMANHYKNLSLGSEHELSSNKEDSSENSTAVFSQIVNPSGR >OIV92198 pep chromosome:LupAngTanjil_v1.0:LG19:4492520:4494184:-1 gene:TanjilG_31117 transcript:OIV92198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVRVVCRKIYNYVRYDLKEIAFPSSLPDPPNIKKRRKLSWEERAWVLKRAARLYAASWVRDIGPDLHPDDYKKDEVTEESNGEKKTIEQKEPSTLEDLAVAARGGMETLRPALQRVYMTRASAYRDALKSFITGYQEGVQQVMEKKEDLKTQEDADVSKK >OIV91542 pep chromosome:LupAngTanjil_v1.0:LG19:17877379:17881226:1 gene:TanjilG_08954 transcript:OIV91542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKFKQQQQNLQHSHDPEAPDSSGIVCNGCSMFLQTFSFKCFFILFISFSALFSSLFLILPKHTVKFSFDAKDEITQSATVQASFRLEKPVSQLIPYIERLEYDISDEIGLPHTKVAVLSLHQSVAPNWTDVVVGVLSDPANVSINPVSLSVLRSSLIELYLRQSNLILTTSIFGNASMFEILKIPGGITIIPVQSASIWQIPQVLFSFTLDNSISEVLDNYTAFKDGLKVGLHLKFDESVYVLLTHGDGSTLIPSITVQASVMSGFGGLLPRRLKQLAKTIRRSSTKNLGLNNSVFGKVKDIRLSSLLNDRRHATSPSPSPAPSPQFSDHSGPSASPYRAPSYSPIPPATAKQPPCFDCEVSSPAPSIVIAHPPKPCPYSGFVHPSSPSPKSYSPPVAAPTSHSSGHTRVEAPDPSQVADLSRVSRLRQVKEYLRN >OIV91725 pep chromosome:LupAngTanjil_v1.0:LG19:16546530:16547315:1 gene:TanjilG_26578 transcript:OIV91725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPFSTYICFLLLATLLFPLKIIARESNFFSKVSHSNNNNNVKETELPKEEEPEKKPDEQPVFIPETENSYGLYDHESTQIPSTSNTNYEPYETEFQHTSKYPNKYHHNYNNDDAYNTNQNEEPGNTRLTGTYRNNYYNKDVSEGNQNELSDTKYTEGEGEYNSMGKQHNYKKHYYNNNAANDNRYNGEKQGMSDTRFLEGGRYFYDVQYEKYNPTLYDDSSRGVNTHNNWYNNRGNNYYGNNNNGYQNQEEFEDEQDFEP >OIV92050 pep chromosome:LupAngTanjil_v1.0:LG19:8906380:8907090:-1 gene:TanjilG_15041 transcript:OIV92050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASETRPNLGLNITKALIDGHNFNVAASLLSASGVIEEFENDEGGAGITLFVPVDDAFADLPPSASFQSLPADKKAVVLKFHVLHSYYPLGSLESIVNPVQPTLATETMGAGSFTLNISRLNGSVAINTGIVQATVTRTVFDQNPVAIFGISKVLLPVEMFGKNQIAPTKPGGLVQEAPSPDSDALTPENSPGFNDQPSHLSSPPGFGQDVGSNAVANVVYGFKSFAVCIVLLVVI >OIV92510 pep chromosome:LupAngTanjil_v1.0:LG19:604298:606695:-1 gene:TanjilG_02273 transcript:OIV92510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGTMIKVKTLTGKEIEIDIEPTDTIDRIKERVEEKEGIPPVQQRLIYAGKQLADDKTAKEYNIEGGSVLHLVLALRGGLY >OIV92343 pep chromosome:LupAngTanjil_v1.0:LG19:3292817:3294735:-1 gene:TanjilG_10553 transcript:OIV92343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQKKKARVSDDEQMEEIEHQNSLYEVLGVERTASQQEIKKAYHKLALRLHPDKNPDDVQAKEKFQQLQKVISILGDEEKRALYDQTGCVDDDDLAGDVVQNLHEFFRTMYKKVTEADIEEFEANYRGSDSEKTDLIDLYKKCKGNMNRLFCSMLCSDPKLDSHRFKDILDEAIDAGEIKSRKAYQKWAKEVSETKPPTSPLRKRAKSRKQPETDLYAIISQRRNERKGQFNSMFSSLVSKYGGGDIPEPSEEEFEAAQRKLESKSSKKSKKSKQK >OIV91710 pep chromosome:LupAngTanjil_v1.0:LG19:16405059:16405436:-1 gene:TanjilG_26563 transcript:OIV91710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSENKPKTPNPVPPSPSSSSSSSSSSSNGNGNNGFLNRTKYSMGQINPPETVNPDAATLRDQWRYAIREYSKWYSHAWGTAIFAGLTFFALGWVIKGENPISSFHSSPPPPSSESSSDASEKSTS >OIV92312 pep chromosome:LupAngTanjil_v1.0:LG19:2943218:2952210:1 gene:TanjilG_10522 transcript:OIV92312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTTKVLDPAFQGVGQRPGTEIWRIEDFQPVPLPKSDHGKFYMGDSYIILQTTQGRGGTYFYDIHFWIGKDTTQDEAGTAAIKTVELDSSLGGRAVQYREIQGHESDKFLSYFKPCIIPLAGGVASGFKKPEEEEFETRLYICKGKRVVPFARSSLNHDDVFILDTQDKIYQFNGANSNIQERAKALEVIQFLKEKYHEGKCDVAIVDDGKLDTESDSGEFWVLFGGFAPIGKKVISEDDIVPETIPAQLYSIADGEVKPLEGELSKSLLENNKCYLLDRGAEVFVWVGRVTQVEERKAASQAAEEFIASQKRPKYTRITRVIQGYETHSFKSNFDSWPSGSTTVSPEEGRGKVAALLKQQGLGVKGIAKNTPVNEEIPPLLEGVGKTEVWQINGSAKTPLPKEDIGKFYSGDCYIVLYTYHSGERKDDYYLCCWFGKDSIEEDQKMATRLANTMFNSLKGRPVQGRIFEGKEPPQFVALFQPLVVLKGGLSSGYKKLLAEKGSPDETYSAESIALIRISGTSIHNNKAVQVEAVASSLNSAECFVLQSGSTIFSWHGNQCSFEQQQLVAKVAEFLRPGGAVKHAKEGTESSAFWFAIGGKQSYTSKKVKNEIVRDPHLYTFSFSKGNISWNSDAPGKLQVEEVYNFSQDDLLTEDILILDTHAEVFVWIGHCVDQKEKQNAFEIGEKYIGLAASLEGLSPHVPLYKVTEGNEPCFFTTYFSWDHAKATVQGNSFQKKVTLFFGIGHAVEEKSNGSSGPRQRAEALAALSNAFNSSSDTTSSMTQERLNGLNQGGPRQRAEALAALNSAFNSAPGTKPVTPRAAGRSQGSSQRAAAVAALSQVLTAEKKKSSPDSSPLASTSPTVESSTFDAKRESVNSESEGSEEVSEPKETQETAPETGSDGDSEPKQEKVEDGNDGQNSQSVFSYEQLNTKSGSVVSGIDLKRRETYLSDEEFETIFKMTKEAFTKLPRWKQDMLKKKVDLF >OIV91655 pep chromosome:LupAngTanjil_v1.0:LG19:14924561:14927708:1 gene:TanjilG_26508 transcript:OIV91655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAIDVIRKWMEDAGLRTWVDHMGNLHGRADGANANAEALLIGSHMDTVVDAGIFDGSLGIVSAISALKVMFINGKLQKLRRPVEVIAFSDEEGVRFQTTFLGSGAVAGIFPATTLGVSDKRDVTVESVLKENSIEVTEESFLQLKYDPKSVWGYVEVHIEQGPVLEQVGFPLGVVKGIAGQTRLKVTVRGSQGHAGTVPMSMRQDPMVAAAELILVMESLCKNPEKYLSYDYQCSDSTLKSLSSSLVCTVGEISTWPSASNVIPGQVTYTVDIRAIDDLGREAVIYDLSKRIYQICDKRSVSCIIEHKHDAGAVICASKLSSQLKSAASSALKRMEGDIEFEVPTLMSGAGHDAMAMSHLTKVGMLFVRCRGGISHSPQEHVVDNDVWAAGLATLSFLENMQ >OIV92305 pep chromosome:LupAngTanjil_v1.0:LG19:2883023:2885686:-1 gene:TanjilG_10515 transcript:OIV92305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKSNAVEEEELTLPTDEYEALISTTDVELLKRAWRNEKSAPEILRFESDLINRVTEQIQLMEETVEENSTDDADPLSLSLYQMDLDRTLFLLRSYLRVRIQKIEKYMFHIRKTEELWSRLSKEEKKFTRGKGYLLQCTDDLKKHVEENVLSRLPENYQSVLKQSVISEEDDMVPEPQLDTFVLCRSKEYLTGIQLEDGPVDDRQFPYSCINLFLSSQK >OIV91608 pep chromosome:LupAngTanjil_v1.0:LG19:17382204:17383848:-1 gene:TanjilG_09020 transcript:OIV91608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFEFCLSLKRRLQCKPHPKQVHDPKQSNNNAQRTKSGKFEGSNIKDFIKASKKQIEKLGSFKAKPKGGIEITSPITHEIFLDSEVKICPCCPCPQTNKGLEGSHRRTRSYTPSRIVHNVDYNEGNMSKDYYDGPSILTCDKCGEKLKNHVAVEAHHISEHSVSELQEDSSRQIVETICGKTSTNSENMSGEIDCILKVQNKAKTLECFEEYREMVKNMAEKLQKKHPRCVADGNELLRFYGTTIACSLGRNSSYSLCTLEYCGICQILRQGFSPNKEFQGALGVYTTSTCGKAFDSIMLCDVRPFLRKSVIVCRVIAGRVSSSSLEETQEKVDSLSEKISGHSDIEELYVLNPNALLPCFVLIFKQQTMAVKRFNSSLRKRF >OIV92539 pep chromosome:LupAngTanjil_v1.0:LG19:328756:332045:1 gene:TanjilG_02302 transcript:OIV92539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGRDYAKRISIGMKKISKAPWYDSFMAAATSAIKERLPFVDIIVHVRDARIPFSSECELLRNYTGSSRHIIALNKMDLAGGSALKSWMEYFRENNFICCGVNAHNKDNIREFLNLIQRQVRELRRTDHTNYTATVMLIGIPNVGKSAIANALHQVGRISAAEKGKLKHAIVSPEPGETKDIRSFKIGSHPNIYVLDTPAVLSPEVPDVDVLCKLNLTGAIGDCLVGKKEIAQFFLAILNSSEQYRKWAHLSTKADDGIFLNSTTECLTSFGLQKKEKKKIPTDHTQDDIVHDVRGTLFETISCFDGNIRNEDEMDALIGNQFYALQEAFHVSNECGEVPHDKVAAKLLNLFRTGRLGHYILDHLPTNIHSL >OIV92491 pep chromosome:LupAngTanjil_v1.0:LG19:773479:778332:1 gene:TanjilG_02254 transcript:OIV92491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNISMVEAKLPPGFRFHPRDEELVCDYLMKKVTHSDSSLMIDVDLNKCEPWDIPASGYWKATGKDRSIKLKGTLVGMRKTLVFYEGRAPKGRKTEWVMHEFRIEPPHRPSNISSTKIEEDWVLCRVFYKSREVIAKPSIGSCYDDTESSSLPQLVDSYISFNNQLPQNHALEYEQVPCFSIFSQNQTNPLNFNHHNITTMDSKLVVNNNIATTYVGTTNLGSYLDPFSCDKQVLTAVLNQLTKMERNSTNQSLKGSPSLGEGSSESYLSEVGMPHLWNNY >OIV91545 pep chromosome:LupAngTanjil_v1.0:LG19:17848171:17849241:1 gene:TanjilG_08957 transcript:OIV91545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGSNAQRRIASRHYRPTPYPLPPRERDACKDIRRKKCSKVLDKKEWKDVTCSVCMEYPHNAVLLLCSSHDKGCHPYMCGTSYRHSNCLDQYKKAYTKVISSSNGQQHRQGSINNQGGLQDSGSLRGENEVSSLSCPLCRGQVKGWTIVEPARDYLNTKKRSCMQDDCMFYGNYKELKKHVRAKHPSACPRAVDPDHEQKWRFLQREREREDVISTVTSAMPGAVVLGDYVIEGRRNIDFEGDLEEEAAHDAAIGTDRYGRVQIAVEAMNILLLVDSVRQGSNDRNDLSRRGLRQISGQSGTWHAPPHVEDDDNDRNNEGNDDGVSLISHLGRHGNGRVLFGRSGRRSRQGEAYS >OIV92453 pep chromosome:LupAngTanjil_v1.0:LG19:1182980:1183534:-1 gene:TanjilG_02216 transcript:OIV92453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKGKDIIDGSSSSSLISIGVGGGGSDDQQHQQQLPLSRYESQKRRDWNTFGQYLRNQRPPVALSHCNSNHVLDFLRYLDQFGKTKVHLQGCLFFGQTEPPGPCTCPLRQAWGSLDALIGRLRAAYEENGGLPETNPFASGAIRVYLREIRDSQAKARGIPYKKKKKKRNVIKTIEGTSNLPM >OIV92354 pep chromosome:LupAngTanjil_v1.0:LG19:2595531:2600302:1 gene:TanjilG_09952 transcript:OIV92354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLNEIRNSRFKRICVFCGSSHGKKSSYHDAAIQLGNELVSRNIDLVYGGGSIGLMGLVSQAVHDGGRHVIGVIPKTLMPREITGETVGEVKAVADMHQRKAEMAKHSDAFIALPGGYGTLEELLEVITWAQLGIHDKPVGLVNVDGYFNSLLSFIDKAVEEGFISPNASHIIVSAPTAKELVKKLEDYVPCHEGDALKLSWQMEQKLTYPQDYDISR >OIV92429 pep chromosome:LupAngTanjil_v1.0:LG19:1287040:1290182:-1 gene:TanjilG_25159 transcript:OIV92429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLRSGEIDIDSYTIKDTGDIIRVGDCVLILPLDTRKPQYVACVEKFEKDSKNNINVHVRWYYRPEEAFGGRKKFHGANELFLSDHYDVHSADAIEGKCVVHPFDNYVKLENAGAKDFYCRFEYKVATGAFTPDSVAVYCKCDMPYNPDIFMVQCVKCQDRFHHACVGMTTEEAMASIQFLCSDCLSNCKEKLDARTFHDKGVSPAIEAVSNMLAVNNVEYANIEGVQVGIGENENIWHNFRNEEHNGNTQEHSQVPTVINPNLEKMVGRYGFGNQAQEFSCSSQSSVNNNVIPVSSSQRVMDVLNNMDGIESGSTLWCETIYLLEDPVRRGMFLAMKNDACRLAWIKFRCNIKDN >OIV91617 pep chromosome:LupAngTanjil_v1.0:LG19:17319038:17321118:-1 gene:TanjilG_09029 transcript:OIV91617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEFLQAGIYGENWWNMNSSRSVFPLMNSSSTCSVAANDAGNYNILQTDFLDFKPTRSCAENTNNNYYFVSDASLGFLEDHKPHQSESAASESDSIFIDMGFGLSSSTSLNWNQSLFGHCSGKLESNFDYVIQEETGLDSSNDLQSQKDWSPNKSQSPVNTFKPMNQELCLDQQGLNSITSTGLSCGFPVGSTTSYGYPSNLIQSLYDPSTMSYSSSPNYGTSSSNTLSPTTWSKVPNSLMPKHHLSGGLHFTNNTPFCNASAEALNDITEGVFASSQTQYQTPTFEEKPISPSTLLNKLKKDESRDTASVVKKSGCEPAFKRQRIETPSPLPTFKVRKEKLGDRITALQQLVSPFGKTDTASVLHEAIDYIKFLHDQVTVCFYVLAYMF >OIV91526 pep chromosome:LupAngTanjil_v1.0:LG19:18030593:18036906:1 gene:TanjilG_08938 transcript:OIV91526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSIRIRRPLSAALSTFHRSFSATYSSPTSIHRSLSTPSTPTPPPPPPQFQPTRAFRSSPISLLSTRPPSSNIDEIGPDTILFEGCDYNHWLLVMDFPKDNKPSPEEMVRVYEETCAKGFNISVEEAKKKIYACSTTTYTGFQAVMTEEESKKFEGLPGVIFVLPDSYVDPVNKQYGGDQYINGTIIPRPPPIQYGRNQRRQDDRRGPSRYNQQGNQMSNPQGNFSYNNRGPTQGDGRNYGPPQNYNQPQQPHGQAASQNFPPQQNYGQAPPNYPPQHNYGQASPNHPPQHNYGQASPNHPPQQNYGQASQDYPPQQNHGQAPPSYITHPQQQGGHGPASPQYAQQQNFGPPGQGERRNNVPQQNFGPPGQGERRDPATRPGGTGAPQSWDNTSFTPSYMKDFKPSYMEEFEQFGKANPGNYPPKEQTDSQQRHPTPGQGNFTGEIVRQQVCIKDDRIAQWISASDFGSEGHVLGAAMFMVDIAGVRVLYTGDYSREEDRHLRAAETPQFSPDVCIIESTYGVQHHQPRHTREKRFTDIIHSTVSQGGRVLIPVFALGRAQELLLILDEYWASHPELQNIPIYYASPLAKKCLTVYETYTLSMNDRIQNAKSNPFSFKHIKALDNIEHIAPGPCVVMASPGGLQSGLSRQLFDMWCSDKKNACIIPGYMVEGTLAKTIINEPKEVTLMNGLTAPLNMQVHSISFSAHADSAQTSAFLEELNPPNIILVHGEANEMGRLKQKLMTQFSDRNTKILTPKNCQSVQMYFNSQKMAKTIGKLAEKTPEVSETVSGLLVKKGFTYQIMAPDDLHVFSQLSTANVTQRITIPYSGAFSIIQRRLKQIYESVESSVDEESGVPTLQVHERVTVKHESEKHVSLHWTSDPISDMVSDSVVALILNISRDLPKVMAEPDAVKVEEENERKAEKVMHALLISLFGDVKMGENGKLVISIDGNVAELNKESGEVESENEGLKERVKTAFRRIQSSVKPIPLSAPY >OIV92359 pep chromosome:LupAngTanjil_v1.0:LG19:2510977:2512425:-1 gene:TanjilG_09957 transcript:OIV92359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDHHPRLQNLRSTSQLLREASSSFSSNLITFLFLSLLILSFRTLVENGTYQVTSFIDRDPSLKTLLSRIDLAGASNNHRRSDISVHRRRRPFLHLTRVGTLYDDFFSGDEDGRRSLFGSNLIQQVNGSFVAFGPFNHDSGFSDLVIDDGIRVSEIVRSGIMFKENNALSFAEEDEDIENNTDDEEKRENIDDDFGKKEEKEKEKNGDLGSGQRDMEKSVDFQFLGKGIEMGRRDAAALFFLVSFLSAAYGWVILVFLVTYSWVLGVVFVAVVNDIVGRFSSVTGLVLDGSRLGLKRLSGFILMKWAVRDALTQLIGLWYFGEIEDQYLFFKLFVSLKLMPFSVMSLWVREFEKESSGFLFTWALVDTFVAFLFSVDAWVAIVDSRRSGMEIVKEGCYLISTMFNQAMQIKCLEGVLCGSFMSWILGRVCGRSFTKMFQSTVEVYFTVVWLMFYFAARCRDANLQGKRFGLRELEGLIEGHR >OIV92427 pep chromosome:LupAngTanjil_v1.0:LG19:1693349:1695573:-1 gene:TanjilG_23027 transcript:OIV92427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLSVVVCNGGLTGSYIRKPWSVDMPLDSDVYATPSGYNAPQQVHITQGDQEGKAMIVSWVTMDEPGSNEVHYWREGSPDSTLVAEGYHVTYRYFNYASGYIHHCLLKHLEYDTKYYYEVGIGHTVRQFHFMTPPEINPDAPYTFGLMGDLGQTVDSNNTLMHYESNPKKPQAVLFLGDLSYADDHPNHDNVRWDTWGRFTERSVAYQPWIWVTGNHEIDLAPSIGETVPFKPFVHRYPVPHKESGSTEPFWYSIKRASAHIIVLSSYSAYGKYTPQYQWLQAELAKVDRTRTPWLIVLLHAPWYNSYNYHYMEGETMRVQFESWFVNYKVDLVLAGHVHAYERSERISNIKYNIVDGSCRPVKDESAPVYITIGDGGNVEGAADNLTMPQPEYSAYREPSFGHAIFEIKNRTHAFYSWYRNDDDYATQADSMWFFNRYWHPLDDSKTSISQHY >OIV91654 pep chromosome:LupAngTanjil_v1.0:LG19:14912902:14913096:1 gene:TanjilG_26507 transcript:OIV91654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQNCLNKMHQTWPDAPNLPGQDAPEFLGRCIRMLWLDAENKAVSVRDSEHNLGHLGSMDQALG >OIV92365 pep chromosome:LupAngTanjil_v1.0:LG19:2392594:2395295:-1 gene:TanjilG_09963 transcript:OIV92365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFVFTVGIFGILILFHAAYSTIQYRGLLKITEEEFSGPPFNVLIELFLGLVICIWAALTLPAKFLSIHPHSEDNRVVSLPANVDFMIFNHRGKVFPVATDLKLRQ >OIV92373 pep chromosome:LupAngTanjil_v1.0:LG19:2149141:2151790:-1 gene:TanjilG_09971 transcript:OIV92373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGESGTNVGTSSLEDGAAGLLNEEVFVEEKTGEPGISTMMMNFENKFDPYGAVNTPLYQTATFKQPSAIENGPYDYTRSGNPTRHALESLPAKLDKADRALCFTSGMAALSEEIVAGDDMYGSSDRLLSQVLPKRGVVVKRVNTCDLNEVASAIGPRTKLVWLESPTNPRLQISDIRKIAEIAHAHGVLVLVDNSIMSPVLYQPLELGADIVMHSATKFIARHSDLMAGVLAVKGERLGKELYFLQNAEGSGLAPFDTLLARNQETLSYDLDRGHEFKIFENSFFTCKIKVFTLSGPTKWESCAFDRLY >OIV91893 pep chromosome:LupAngTanjil_v1.0:LG19:13979721:13980833:1 gene:TanjilG_17885 transcript:OIV91893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMQGKSGIKLDWIRRMKVALGAARGLDYLHEHANPPIIHRDIKSTNILLDECLNAKVSDFGLSKPLSDGAKGYITTQVKETMVGLNGPRVLYDSTVD >OIV92260 pep chromosome:LupAngTanjil_v1.0:LG19:3949097:3955255:1 gene:TanjilG_00278 transcript:OIV92260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPDIVTEAGLSTRVNQWWENIPFLTSAVVVVCGIIYLVCLLVGYDSFAEVCFLPSAVISRFQVYRIYTSILFHGSLLHVFFNMMALVPMGSELERIMGSVRLLYVTILLATSNAIFHVFIALLVAYNPIQPYENLMNECAIGFSGVLFSMIVIETSLSGAQSRSVFGLFNVPAKWYPFLLLVVFQLLMQNVSLLGHLCGILSGFAYTYGLFNFLIPRTSFYSSIESTSWLSSCVRRPKFIVCTGGNPSGYIPTHTSENSTSSGILPGNIWRNLSSFMPQREVSAQPNEDSRFPGRGRTLGAGQGQIASDLHSDSNLQARLLDNSSPNHPLDSPTLSTTQQLSGGRHSADNAATATAVVPPHQGAVASEEEIKKLVSMGFDRIQVEVALAAADGDLNVAVEILMSQQD >OIV92536 pep chromosome:LupAngTanjil_v1.0:LG19:352033:353831:-1 gene:TanjilG_02299 transcript:OIV92536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNSTMGSVIPECSCAENLPIETIFKLPAETPLWPSGVGSFASGTIDLGGLQVSQISTFNKVWAAHEGGPDNVGATVFEPIGIPLGFFMLGSYIQPNNRPLFGWVLVAKDVSLSIINGTLKQPLDYKLVWSSALEKIKQDSTVYVWLPTAPNGYKAVGHVVTTTPNKPSLDKIRCVRENLTDQCETYSWMWGPGKDSKLSSFNFFDARPSNRGILAPGVHVGTFVAQIGLSSTSIVSISCLKNINVNSTISMPNLQQIEALVLAYSPVMYLHPDDEYLTSSVNWYFSNGVLLYKKGEESKPVPIAPNGTNLPQGGTNDGAYWMDLPADPAKKERVKKGDLLSSKSYIHVKPMLGGTFTDIVIWVFYPFNGNARAKLGNLTIPLGLIGEHVGDWEHVTLRVSNFNGLLWQMYFSQHNKGAWYEASQLEFQNGNKPIVYSSFHGHATYPHAGLNPQGAEVIGLRNDTAKSDKVVDFGSFELVSAEYLGSQIIEPPWLNYFRQWGPTIDYNIDDELRKVEKILPESVRILLETIIKALPSEVLGQEGPTGPKTKDNWFGDEN >OIV91697 pep chromosome:LupAngTanjil_v1.0:LG19:16312559:16314504:1 gene:TanjilG_26550 transcript:OIV91697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSKCNIAKNWYELSGENHWKGLLDPLNINLRHYIIHYGEMAQSTYDAFNTDKVSKYAGSSRYGKRDFFCKVGLENGNPFKYCVTKFLYATSSLPLPEAFIIKSLSREAWSKESNWIGFVAVSTDEGSDVLGRRDIVIAWRGTVQTLEWVNDFEFSLVPAPNIFGKNTDPKVHQGWYSIYTSDDPRSPFNKSSARDQVLSEVRRLMEEYEDDEISITITGHSLGAAVATLNAVDIVANGYNNDALVTTIAFASPKVGDPNFEKIFSTYKDLRTLRIVNELDIVPKYPPLGYSHVGEVLKIDTTKSKYLKNTVNPAYWHNLEAYLHGVAGTQGNSGGFKLEVHRDIALLNKTLDALKDDYLVPVSWRIEKNKGMVQQEDGSWKLMDHEEDGF >OIV92319 pep chromosome:LupAngTanjil_v1.0:LG19:3033219:3034685:1 gene:TanjilG_10529 transcript:OIV92319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNYNSHEKVHNNGTKIFHQTEVVVVVVPFPAQGHLNQLLHLSRLILSYNIPIHFIGTATHNKQAIIRAQGWDPKSVANIHIHDFNVPSFASPLPNPNAKTKFPSHLLPSFEASSKLREPVANLLQSLSSVAKRVVVIYDSLMACVVQDAIHIANCESYTFHSVSAFTMFLYFMDTMGKGKEKAFVGKNSHDDYNNIIPEVPSLEGCFSTQFIDFITSQYEFHKFSKGSIYNTTRAIESPYMELIESIISTKTHWALGPFNPIVIEKKSYKGNKHFSIEWLDKKSPRSVIYVSFGTTTAFSDEQIRELSIGLEQSKQNFIWVLRDADKGDIFDVDEVRRVELPKGFEERVEHEGVGLIIRDWAPQLEILSHPSIGGFMSHCGWNSCMESITMGVPIAAWPMHSDQPRNRVLVTQVLKVGLVVKDWAHRNELVASSSIESAVKRLMATKEGDEMRQRAMNLKSVILKSKDEGGVSRVEIDSFIAHITR >OIV92459 pep chromosome:LupAngTanjil_v1.0:LG19:1146487:1147878:1 gene:TanjilG_02222 transcript:OIV92459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESVKPLEVTPSKKLARGFAKVLHLRALIGIAQVDSLKNAENLKDDGNMDKTTMNWTLSTEDEELQEKIATEALLAKIFASTSTVKAAYAQLQLAQSPYDPDGIQSADQVVVSELKHLSELKQCYLKKQFDPSPETAILAAESKELQSVIKTYEIMGKKLESQARLKESETMFLREKLEEAIRQNKLIEKRLNQSGQLSMLNNVHITGLSPSHFITVLRHAVRSVRNFVRLVVDEMRSSSWDIDAAVGAIENDVVYWIEDHKCYAIESFVCKEMFDSFHFPNFSLPNESIPDKNKQQQMFFARFNEMKSMKAKEFLAEKPRSSFAKFCRVKYLKLVHPKMESSFFGNLSQRSLLNAGGFPETAFFTSFAEMAKRVWLLHCLAFSFDPHASIFQVEKGCRFSDVYMESVNDEMFLNSDQTVELEPHVAFTVVPGFRIGKTVLQCQVYLSQHQTKVKKFTSSKLR >OIV91895 pep chromosome:LupAngTanjil_v1.0:LG19:13987102:13988427:-1 gene:TanjilG_17887 transcript:OIV91895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNEAHDEYPKKIQACHSYSQKYKLKPLMLIILTNLFTIYIFIGPLSFFYHSSISPSDTNSMLHELNSTKAELAATHSILSELQHRLNSTNLLVQALLIDLTRQQDKPSSNSAVKLASEDSTTVVASDELGLALGPHKLPFGYSPRIGSDEIHTTVGTACMRFHEELHQYMAYEIGGECPVDDVLLGHRLILKGCEPLPRRRCRAKSPTNYVEPLQLPDSLWTTPPDTSVVWDPYTCKSYQCLIDSKNKYCNNCFDLQGMEISRWIFDDGGLDFGMDQVLATKTAGTIRIGLDIGGQTGTFAARMRERNVTIITSTLNLDGPFNNFVASRGLIPMHLSISQRLPFFENTLDIVHSIDSISNWIPDTMLEFLLYDIYRVLRPGGLFWLEHFFCFGSQLNTTYVPMLDQIGFNKLRWHAAMKLDRGIQKSKWYISALLEKPII >OIV92383 pep chromosome:LupAngTanjil_v1.0:LG19:2072321:2073016:1 gene:TanjilG_09981 transcript:OIV92383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKEGNKQSKFKHYILSAIRILKGVNACARGFAGSGADDVVQISHLNSSRANGDERPRELFRTMLTLKVANNMVERNYCMTKNNVGNNSMTSSTFKHVSCCCPEHGSGPCNNFSKTRAAMHGERTMQIRNNVGNQTRMVMHGEKVLKIRNNNNAGKETMIVMHGHGQRQPNYAGYKYNRKKMSYHSDGVNKMERIDEDKPCSFEQDQNDFNKAHLLYLYPRTRTNTSSGKR >OIV92579 pep chromosome:LupAngTanjil_v1.0:LG19:20790:24056:-1 gene:TanjilG_02342 transcript:OIV92579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATVALKKSCRRLLPFYSTHIYSCSCSHPPPSPSLSSNGSPSNPNPLWMRSMATFTRTKPHVNVGTIGHVDHGKTTLTAAITRVLADEGKAKAIAFDEIDKAPEERKRGITIATAHVEYETAKRHYAHVDCPGHADYVKNMITGAAQMDGGILVVSAPDGPMPQTKEHILLARQVGVPSLVCFLNKVDAVDDPELLELVEMELRELLSFYKFPGDEIPIIRGSALSALQGTNEEIGRKAILKLMDAVDEYIPDPVRQLDKPFLMPIEDVFSIQGRGTVATGRVEQGIIKVGDEVEVLGLMQGPLKTTVTGVEMFKKILDQGQAGDNVGLLLRGLKREDIQRGQVIAKPGSVKTSKKFEAEIYVLTKDEGGRHTAFFSNYRPQFYLRTADITGKVELPENVKMVMPGDNVTAVFELILPVPLEAGQRFALREGGRTVGAGVVSKVLS >OIV91788 pep chromosome:LupAngTanjil_v1.0:LG19:14591807:14593765:1 gene:TanjilG_14367 transcript:OIV91788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVHISSTTTTTTFILVLLASFFLISRATPTPEGSDVDYIEFPLNLEYLEAEFYLFGSLGHGLDVVAPGLAHGGHPPIGARLAKLGFLVKDIILQFGLQEIGHLRAIKSRVRGFPRPLLNLSSAAFGQVMNSAFGRPLHPPFDPYANEINYLLASYVIPYIGLTGYVGTNPELQHATSKKLIASLLGVEAGQDAVIRTLLYERRTQIVHPYGVSVAEFTNRISILRNRLGKAGLKDEGLVVPISEGSDGRVPGNILSADKDSLSYSRTPAEVLRILYGGGDEHVPGGFYPNGADGHIARSYLNN >OIV92239 pep chromosome:LupAngTanjil_v1.0:LG19:3429869:3436970:-1 gene:TanjilG_00257 transcript:OIV92239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSINGGSSRVRVSIPNNVRKTIQHIREITGKQHTDDEIYAVLRECSMDPNDTAQKLLYLDTFHEVTRRRDRKKEGLSSGDLEDSRMKQGGKGRGGRIVPSGYSSKFSDGGGGRNLASRRENGISQIAERSRECSTQPVSQKIKQNPTSQSIRVSVVASNGAVSQSNGNSGCGFSDQSLIGSGISVPKSSSAVNDTNNAENVQPQVAVAAAFSPITTSGSVTSIDQGKSLSNSDQPPTSASVSGVYSSFSDPVLASSISQNHGISSAINREIGSQRIYAGSDLVQGNKNVLHEVVDLPSPKSKRSDSMNSTSKDKAPNKSNETEKNMLFDTSKLLSSLSCNGSLRSPSSSGNQPPPVNASKASDVLMESSAELRQHVTFPNHFQVPETLKAGLTFGSFDNFGSRERHSSGTGGDNNTSAALTSSAGSDETATSSSHLAPVSAQGDHIEYPYSSSNLIEKTQAPRNTITVFDSNSDQPKQEVLLASDVLPIQNVQNAQNYGLNFIPTMLGTQQVHFDGAEPQAQETSHLPNFVSASSQAVSSSSPTPPLQSSIPVSPQSIPIFRPPYPPNFFPYGHFYPPIYLSPMHQFLIHNGFPPHPSAGNIYLPPPAAGIKYPLPQFKAGANNGAAHIGIPPASFIAPPAGYIASPTVNTGSSNGNEDLAASQLKENHIHTTGQLSEGSTVWIPAPGQDISHLQLSSLYNLSPQGHFAFPPTQIYQPGQMVASPSTLLQQSQAVAGPVETVGPPSGAYQQPQHAQINWNSNS >OIV92485 pep chromosome:LupAngTanjil_v1.0:LG19:824479:826359:-1 gene:TanjilG_02248 transcript:OIV92485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQATISNTWINTKVPSSSFKELGSLSSNPTTSLSFKKRSTNNTKKSNNKNTISCSLQTFHFPTQYKPSSTTTTSSSSSITNTNTKTSTTPTKENKTHQQILSPKQEPQKKWNLVQKVAAKALDFVETTLVSHERKHPLPKTADPRVQIAGNFAPVPETPVQHSLPVTGKIPKCIEGVYVRNGANPLHEPSAGHHLFDGDGMVHAVRFKDGSASYACRFTETERLVQEKELGKPVFPKAIGELHGHSGIARLLLFYARGLFGIIDGNNGMGVANAGLVYFNNHLLAMSEDDLPYHVRVTENGDLKTVGRYNFDEQLKSTMIAHPKVDPVTGDLHALSYDVIQKPYLKYYSFNKDGVKSPDVEIDLKEATMIHDFAITENFVVIPDQQVVFKLSEMIRGGSPVIYDKEKVSRFGILDKNGVNGEGVKWIEAPDCFCFHLWNAWEEKENDEVVVIGSCMTPADSIFNECDENLKSVLSEIRLNIKTGKSKRREIIDESEQVNLEAGMVNRNKLGRKTQFAYLALAEPWPKVSGFAKVDLFSGQVKKYIYGDQRFGGEPMFLPRDTNSENEDDGYILTFVHDEKEWKSELQIVNAMNLKLEATINLPSRVPYGFHGTFIHSNDLKNQA >OIV91642 pep chromosome:LupAngTanjil_v1.0:LG19:17114438:17114878:1 gene:TanjilG_09054 transcript:OIV91642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFNPRVSSSRRKSRKAHFTAPSSVRRVLLSAPLSHDLRTKYNVRSLPVRKEDEVQVVRGTFKGREGKVVQVYRRKWVIHIERITREKVNGTTVNVGVHPSKVVITKIRLDKDRKSLLDRKAKGRATADKEKGTKFAPEDIMQTVD >OIV92036 pep chromosome:LupAngTanjil_v1.0:LG19:9312786:9314792:1 gene:TanjilG_25016 transcript:OIV92036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEGESGDPMDQFHRNEAISAVADDGFLAEEDDDYDDLYNDVNVGEGFLQSLNNNNNNKINNDSGFRSDDVKSQSASMPKGSIGGGVSDRVVDGLQNQGFIGDEVSVKGSVSGGGIRVELGQASVKLSQVEEQIGNDNSVGVHQPIGGVVGTVGNEGLVRESGGNLNRVGGNEVGNNVTVVSNVNTSGGGAVVAGAGGGGTVLFVGDLHWWTTDAELEAVLCKYGPVKEVKFFEEKASGKSKGYCQVEFFESHVATACKEGMNGHMFNGRPCVVAYASPYTVKKMGEAQVNRNQQGNQSAAPQQVRRAPAADSGPKPGGSNIATTGNYQGGDGNNRGGYGRGNWGRGNNPGMGNRGPINPMRNRGGGMGGRGMMGNGGNGFGQGIGATPPMMHPQLMMNQGFDPAFGGPMGRMGGYGGFPGGPAPPFSGMLPSFPGVGLPGVAPHVNPAFFGRGMPMNGMGMMPTSGMDGPNMGMWPDPSMGGWGGEEHGGGKAGESSYVEEAASDHQYGEASHDKAGWTNNAREKDRGTERDWSGTSERRHRDDRDQGYERDAPRERDTGNDNEWSERRHQDDREMSRERVRGREQSRDRDRGRERDRERERDRYKDDRDRYADHHRHRDHEAEHEDEWERGRSSRARSKSRLSQDEDHHSRSRDVDYGKRRRLTSE >OIV91757 pep chromosome:LupAngTanjil_v1.0:LG19:16836790:16843980:-1 gene:TanjilG_26610 transcript:OIV91757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKSVVYQGEMVLGEVDIYPDEKNKKSMMMMMMMNLKEIRITNFSKQSERCPPLAVLHTITSSSCGVCFKMESKLTQQQDLLFHLHSTCIRENKTAVVPLYGEELHLVAMYSRINDRPCFWGFIVAPGLYDSCLVMLNLRCLGIVFDLDETLIVANTMRSFEDRIEALQRKINSEIDPQRISGMQAEIRRYLEDKSILKQYAENDQVVDNGKVIKIQSEIVPSLSGSHQPIVRPLIRLQEKNIILTRINPLIRDTSVLVRLRPAWEDLRSYLTARGRKRFEVFVCTMAERDYALEMWRLLDPDLNLISSKELLDRIVCVKSGLKKSLFNVFQDGSCHPKMALVIDDRLKVWDEKDQPRVHVVPAFAPYYAPQAEASNAIPILCVARNVACNVRGGFFKDFDDGLLQKIPLIAYEDDINDIPSPPDVSNYLVSEDDASASNGNIDSLQFDGMADAEVERRLKEALLAASSIPPITANLDPRLASSLQYTTASSSGTVPPPTVQAPVIQIANMQFPQSATLVKPMSQVAPEQSLHSSPAREEGEVPESELDPDTRRRLLILQHGQDIRENTSSEPPFPVRLPVQVSPPHIPSHAGWFPVKEERGPQQLNRVVPKEFPVESEPLHIEKKWPRRPSFFSNVDNPMSSDRILHENHQRLPKEVYHRDDRLRLNHTHSGYHSFAGDDIPLGSTSSSNWDLDSESGHPLFYADSPAGVLREIALKCGTRVEFLSSLVASTELQFSIEAWFAGKKIGEGIGRTRKEAQYKAAEDSIKQLADIYMSHTKADSGSTYGDVTAFPGVEDNGFMSSVNSLGNQLLPKEELDSFSTASDPLRGLDPRFEVKRSMGSISALKELCMMEGLGVSFQSPPTPVSTNFVQKDEVHAQVEIDGQVFGKGIGLTWNEAKMQAADKALGSLRTMLGEGTQKRQGSPLRPWRGFSNKRMKQEYPRTPQRIPSSARYPRNAPPVP >OIV92130 pep chromosome:LupAngTanjil_v1.0:LG19:6346879:6347472:1 gene:TanjilG_18702 transcript:OIV92130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKGIQQESNAAASSADGEPAVVIEGVPDIIASNSTIPPSDAVRTDTDVVIDGLPDIIGNDGTIPPGGASSAESAIVINKALDMIPSNRTLPSGDASCAAEMQVPSGLGKWMIGRKVRKWFKGRYYAGDVTKFDNWYRVLYEDGDSEDLDWQELEELLVPSETKVPLKKLAKRVIRENKKSARKSGKKVAHSQIPK >OIV91737 pep chromosome:LupAngTanjil_v1.0:LG19:16663604:16664614:1 gene:TanjilG_26590 transcript:OIV91737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSKPWLIVLLLALAFMVATEASIMHEYGRGALIGGDIDLITDDNEFLMSSETTRRTLQGRRRYIGYNALRANKVPCGQRGRSYYNCQQRGRANPYRRGCTAITHCARNVN >OIV92125 pep chromosome:LupAngTanjil_v1.0:LG19:6948043:6951069:1 gene:TanjilG_26983 transcript:OIV92125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKGEGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVGFTDSERLIGDAAKNQVAMNPINTVFVPAYFNDSQRQATKDAGVIAGLNVMRIINEPTAAAIAYGLDKKATSVGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKNKKDISGNPRALRRLRTACERAKRTLSSTAQTTIEIDSLYEGVDFYTTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKRSVDDVVLVGGSTRIPKVQQLLQDLFNGKELCKSINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTVLIQRNTTIPTKKEQVFSTYSDNQPGVLIQVFEGERTRTRDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEDIEKMVQEAERYKSEDEDHKKKVEAKNALENYAYNMRNTIKDDKIGEKLAADDKKKIEDAIEQAIQWLDSNQLGEADEFEDKMKELESICNPIIAKMYQGGAGPDVGGAAEYADAPSGGSGAGPKIEEVD >OIV91801 pep chromosome:LupAngTanjil_v1.0:LG19:14437556:14440131:-1 gene:TanjilG_14380 transcript:OIV91801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTRKTKPSSSERRNWDNVFNLLVQIVRKQQNQLQSLATQHKFLEDRFKMQHEAWASDIRSHNAQISMMNGFLMFEEKKRLVEAAKSDMVMGYKHKEASVLKWVLEQAEDELADFKACFGCLSSKSSNGEDQETVSKGSDKRKKGITGSESKSTWSDAEEEKCSKIIKDELRRLRAECEKISLEKSSEVQAVLAEKKFVWNQYNIMENDYTNKLRTKQAEVEKSSEKIKILVSSMEQLQSENNKKDSTIAQLESKVADMEVEKTRLKEEISGLSVELDYLRKSRNNRGTPVLNRCAEGTITSDSGVSKSGSRRSISLKKEISTPDALVPANLSEKRNKGLKRKESPAVPISETPKLFSSSFKVPKLKSSLRIR >OIV91931 pep chromosome:LupAngTanjil_v1.0:LG19:12704816:12714613:1 gene:TanjilG_00599 transcript:OIV91931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRIYKKHYDYSGNGSVMMNLSEGVTQSDDVSARKLAARLWQLRFMEELAEAGSHCELDNGDIKIKFPHFHNSGEIVKKTKCLWRPINILRSRNGLQCKLKSSLPCLKCSKEESKWDPALAQASNEFTKNHSRNLLEAKKLLAYHDSVVTALLKELLLAQKSINKLKSAQNSSKKKVQQFLQNLEDEKTLWKHREYKKIQANLCNLKDKLSREKRSRERMELLNTKLVHELAEANFYAKKFMTNYVKEKKERELYEKVCNQLAMQIEEDKAKIEELLSMSMKLCEEVKEERKMMQMVDLWREERTQMRLVDAKLVLQDKHNQMVQLIGYLKMFLRSRGAELGTIFESVNIQQIVEPSHYFAKFEEIFQIYEELRKDNANPLSTIHIVSLNEEELNKKTILHEPSPSGDYNTITEPTSSSECSVKSGLKSLKTQSKWRASPGSKLLRSCPNVGATSSSAKANQHRRQGKGSVEGSFRHKELLGQGSSRDTMNPHITRGIKGCIEWPRGIPKAN >OIV92497 pep chromosome:LupAngTanjil_v1.0:LG19:723991:727534:1 gene:TanjilG_02260 transcript:OIV92497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLSLAGATTSVPVTFSGELGHLVTKFSPKPTKLFSCSCIKVLPLQHHETINLNLKRRRNTNSFFTFALGKEAEDSFLSDVNEDTDEMYDELFDKYGKVVFSRKDRKPSSAEVDDDAESLSFAVDMAKVANEVKAADIKVLFVKPLVYWTRFFIIATAFSRPQIDAIRSRIRDLAEKKYGKFSTGDSKPNSWTLLDFGDVVIHIFLPPQRAFYNLEEFYANATLVDLPFENQSPFRG >OIV92421 pep chromosome:LupAngTanjil_v1.0:LG19:1631045:1633661:-1 gene:TanjilG_23021 transcript:OIV92421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKLLLITVFILDLIAFVLAIAAEQRRNIAKIVQREDGNAYYNYCLYESDIATSYGVGALLFLMATQILLMVATRCFCCGKPLSPGGSRACAVFLFIICWVFFLIAEACLLAGSVKNAFHTKYGTIYDIHNPPSCEMVRKGTFAAGAAFIFFTSIVSQFYYINYASARDNFQPLGGGAAEAGARSESY >OIV92266 pep chromosome:LupAngTanjil_v1.0:LG19:4064947:4067460:-1 gene:TanjilG_00284 transcript:OIV92266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESTRYLIANSLIAKIDSSHIYQSSLDIGVYNNLQSFRESLLSIKAWLLVVDLENEQLYSTELFLRELKHILHDAQNVLDEFEYEILRNQVLNANVNSITKVLRFFSTSKQFLFGIKMAKQIKELDQRLYRYKRLNYRYGLRMKRFTSSEYSIPHVIIGREEDKEIIIKLLMHQNPCGDEHQSLSIIPIVGKEGLGKTILAHSVFIDQRIDQLFSCKIWVSFYDDFNIQLVIDKIIQRVPGSLEETQEHKINQLRNKLATQKFLLVLDDVWDEDPLKWDELRNFLSSGMEGSKILVTTRRHSIASMMGTIPSHTLKVLSMEDSCSLFEKIAFQGEEKKFPDLMKFGREIVNKCGGVPLAIISMGRKLFSECEIDDWEFTRDRKLGDFPGDNFILPTLRLSYLHMPSHLKQCFEFFSLYPDDFVFHSSEVASLWAALGLLPSPNKDETLIDVANQCLLKLMSRCFLHNFFNFGTSYYFQVKDLVNALARTIAKYEYHMVNSNVQNVPENVQHLSFAENALLGKFFTPNSVVVRTILFPIEGVGASSEAFLNTCVSRYRYLRILDLSDSTYETLPLSIGKMKHLRFLSLERNRKIKRVPDSICKIYNLQVLNLVGCTKLENLPKGLRNLTSLRQLGITTKEAILPENDIANLKSLEILNIESCENLESLFIEIKLPTLRTLTVTKCETLKSLPLDINHFPRLETLLVDNCGYLELTKGPDDQSSNLRLKAIHLHYLPQLLTLPCWLQESTNTLLSLLIVDCKNFEVLPEWISTLSFLGSFGMINCPKLMFLPNDIHRLTALGYLRIEGCPELCRKCQSQVGEYWPKISDINQIFIDEL >OIV92547 pep chromosome:LupAngTanjil_v1.0:LG19:287724:289628:-1 gene:TanjilG_02310 transcript:OIV92547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAKVRSPRVSRNPDLIRGIGKYSRSQVYHKRGIWAIKAKNGGVLPRHDPKPKPEAKPEKPPKFYPADDVKKPLLNKHKPKPTKLRASITPGTVLILLAGRFKGKRVVFLKQLPSGLLLVTGPFKINGVPLRRVNQSYVIGTSTKVDISGVNVDKFDDKYFSKATKERKKKGEGEFFEAEKEEKNVFPQEKKDAQKTVDSTLLKAIESVPDLKSYLGARFSLKAGVKPHELIF >OIV91902 pep chromosome:LupAngTanjil_v1.0:LG19:14063278:14070322:-1 gene:TanjilG_17894 transcript:OIV91902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNSAESKHEFRVGQRVHTHGDSHRTGTVRYVGPVEGYSGTWVGVDWDNGEGKHNGSINGVRYFQAKSERSGSFVRAQNLSQGISLLEALEKRYRSDSTKDEEDEMYVLSTSNKRVSIQLLGKDKIHDMLSRLEELTSASLSCLGVSSPGIPCHINTRVPNIKELDLTWNLLSEWKDIVTICEQLPNLGTLNLTSNLMSPCKSELPLLKSICVLVLNNSSVDWEQVELLKQSITAIEELHLMGNSISRILPMSSSMVLGFDSLRLLNLEDNCIAEWDEIVKLSQLRCLEQLYLNKNSLNSLFYPDKSSQYEPEVACYKPFQNLSCLLLGDNNFGDLVSVDSLNFFPKLVACTNENKETVADTQLIAALYIREQYVRLVISRLHTNPEEVKQHPRFSELKKIHGIEDERPSVGPAGPRTTGSGFLSITLECVGASMGFSIAITAFDNDMSSLMDLGIGNGSTILVDEES >OIV91733 pep chromosome:LupAngTanjil_v1.0:LG19:16632029:16635534:-1 gene:TanjilG_26586 transcript:OIV91733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMRKMYEIMVATGHTKKNNCTLLSIFLSGSNLLKTGTPCFRGYGRRTGERRRKSVRGCIVSPDLSVLNLVIVKKGDNDLPGLTDIEKPRMRGPKRASKIRKLFNLSKEDDVRKYVNTYRRTFTTKNGKKVSKAPKIQRLVTPLTLQRKRARIADKKKRIAKAKSDAADYQKLLASRLKEQRERRSESLAKKRSRLSSATKPSATA >OIV92163 pep chromosome:LupAngTanjil_v1.0:LG19:5821096:5821623:1 gene:TanjilG_27660 transcript:OIV92163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEHRCQQAPEGHRLCANNCGFFGSPATMNLCSKCFRQIQSNEQEQAKTKSTIQSALSSSAATTTTVESLLVTVDSIPQSQVLNSPKIEPSDSVSASVLSGSTGPVVVPVQSNRCATCRKRVGLTGFKCKCGVTFCGAHRYPEKHACGFDFKAMGREEIARANPVIKAEKLDKI >OIV91607 pep chromosome:LupAngTanjil_v1.0:LG19:17395140:17396384:1 gene:TanjilG_09019 transcript:OIV91607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQKQKRPSPHDVPPSVSSDDEPIPSSQPESEKEEEEDRDEQPSSEEEEEDDDEEEEKTQPQSKNPKSADSSESVTDSDSGSESESESRDASNYQIQPKPLALKPVDETPVKVNSKSSKRPVESNGSGDASRAKKKKVTDAAAAAVAASSENSDDEDDDDDDGDGDGKAAEVKKSSGAVSDSKSIPFQRLFSEEDEIAILNGMIEFKKTTGNDPFRFINFFHRFVSKSLHIDASSNQLKDKIQRLKRKFNANAKKKSFSKRHDEEAFELSKKIWGNAGGSNEIVEKAKSNGKALKSAMKEGSKGNDVPLKSKPEPKQEVKSLMDSKDSKEEAVNMETVEKTDIPLSIAQGFGSYGLNENMTKKALDLMGASDRAKLEKQWKEFEAAEFEASVKRLELVAKHARLIWEAYEASNH >OIV92063 pep chromosome:LupAngTanjil_v1.0:LG19:7627764:7631525:-1 gene:TanjilG_08736 transcript:OIV92063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTITEKAHAILFHILLISSLPMKITASTRTEAEALVKWKNTLSLPLLPSIASWSLNNFANFCSWDAITCDNTNKTVSEINLSGFNVSGTLFDFGFDSFPNLTSLNLNGNSLEGSIPSAIGSLSKLTFLDLGNNSFEDTIPSELGQLKELEYLSFDTNNLNGTIPYQITNLPKVRYLDLGSNYFVSPSSWSQYSGMHSLTYLGLEFNDFASEIPSFISDCENLTYLDLSQNFFNGTISESMYTNLGKLEYLNLTNCLLQGQLSSNLSKLSNLKELHIGNNKFTGSIPKEIGLISRLQVLELNSMLVQGEIPSSLGQLRELSYLDLSHNFLNSTIPFELGFCTNLTYLSLAANDLSGHLPLSLSNLSKISLLGLSENSFSGEISDSLISNWTELISLQVQNNNFTGHIPSEIGLLAKIQILFLYNNTFSGHIPVEIGNLKETIELDLSGNQFSGPIPLTIWNLRNLNVLNLFFNKLSGTIPMDIGNLTSITKFDVNNNNLDGELPETIAQLNSLTYFSVFSNNFSGNIPSDFGKHSRNLYSVYFSNNGFTGELPSDLCSGNMLAFLAVNNNSFSGPLPKSLRYCSTLTRVRLDENQFTGNITEVFGVYPDLSFISVSGNQLTGELSPQWGECVNLTRMDMNRNQLSGKIPPELSKLSQLQYLSLHSNEFSGIVPPEIGNLSMLYMFNLSRNHFSGEIPQSFGRLAQLSDLDLSDNDFSGGIPEELSNCDRLLSLNLSHNKLSGEIPYEVGNLFALQYLLDLSSNSFTGEIPQNLGKLASLQILNVSHNNLSGTIPKSLSSMFSLQYVDFSYNNLTGSVPTDGIFKTATVSYVGNSLCGMVKGLTPCSSVSSKHKSVGVNKKVLLGVIIPVCGVLFIAVVVILIFRRQSKLRDEESKSTQNSDQSISMVWGKDGKFTFSELVKATEDFDDKYCIGKGGFGSVYKAELLSGQVVAVKRLNISDSDDIPAANRQSFENEIQSLTGARHRNIIKLYGFCLRRKQMFLAYQYIDRGSLRNVLYADEGKLELSWVRRVKIVQGIAHAISYLHKLAQTMRVTDKCDVYSFGVVVLEIMMGKHPGELLITKTSMEDPQMLLKDVLDQRLPLPTGQLAEVVVFIMTIAFACTHAAPESRPMMRAVAQELSATTQACLYEPFGMITMDKLTGFQK >OIV92426 pep chromosome:LupAngTanjil_v1.0:LG19:1688904:1691925:1 gene:TanjilG_23026 transcript:OIV92426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVNTAIPPHSFFASISKPKSIPNSQFSPVSKFSRKIAFSRNKRKIAVAKEFDVVSVQSDDVTDLQEGVVVSRVEMEGGGDGDLATQVGLLSLEGFSSSSPSSVGEKNEESMEKLVDRTINATIVLAAGTFAITKLLTIDHDYWHGWTLFEILRYAPQHNWSAYEEALKTNPVFAKMVISGVVYSVGDWIAQCFEGKPLFEFDRARMFRSGLVGFTLHGSLSHYYYQFCEELFPYKEWWVVPAKVAFDQTAWSAVWNSIYYTVVALLRFDSPINIFNELKATFFPMLTAGWKLWPFAHLITYGVIPVEQRLLWVDCIELIWVTILSTYSNEKSEARIAETELTTEVKSTSSIYPPEE >OIV91805 pep chromosome:LupAngTanjil_v1.0:LG19:14341140:14342432:1 gene:TanjilG_14384 transcript:OIV91805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIRSGGHDYEGVSYVAEVPFFILDMFNLRSIEVDIKNETAWVQAGATLGEVYYRIAEKSKVHGFPAGVCPTVGVGGHISGGGYGNMMRKYGLSVDNVIDAQIIDVQGRLLDRESMGEDLFWAIKGGGGGSFGVVLSYKIKLVRVPETVTIFQVRRTLEENGTNIVYNWQHVAPYIDNDLFIRLILDVVNGTQNGTKTVRATFIALYLGDSKSLLSLLNEKFPQLGLKQSDCIETSWLQSVLFWTNINITTPVDILLDRQPQSLNYLKRKSDYVKEPISEEGLEGIWKKMIKLVDAILYFNPYGGKMAEVPSTEVPFPHRAGNLWKVQYQANWNQPGKEVATHYINLTRKLHKYMTPFVSKNPREAFFNYKDLDLGINHNGKKSYDEGRVYGVEYFKDNFNRLVQIKTKVDPGNFFRNEQSIPILLHRKS >OIV91961 pep chromosome:LupAngTanjil_v1.0:LG19:12488013:12493607:1 gene:TanjilG_23222 transcript:OIV91961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKGKWFQRKDVEIKNSHGYVLQCTHYMPIVSPEGKPLPCVIYCHGNSGCRSDASEAAMLLLPSNITVFALDFSGSGMSGGEHVTLGWNEKDDLRDVVNYLRADGNVSLIGLWGRSMGAVTCLMYGAEDPSIAGMVLDSPFSDLVDLMMELVDTYRFCLPKFTVKFAIQYMRRAIQKKAKFDIMDLNTLKVAKSCFVPALIGHAIDDDFIRPHHSDRILKAYMGDKNIFKFEGDHNSPRPPFYFDSINFFFHNVLQPPEDEVWESFFDFDIPNEYFGEEVLRSVHEVGYGNGPSPENKEPSRISTVDAMKQVRSKRPMCRMEEEKCDAISSSSTMISFELSNDHPHVLTTLEDDRYVEYQFDDLPGFPSSACKEERISMEAVKDMDTRNRQVDQPPISNVSTMSVEPSDKYDSHASSHEISKPMETESSLLKHNMHSTAETISTASNVFGPLKAESNSISVIHSQTLEFEPSQVPNLSSSGAPSSLNTSSVSQSCNTTSASARCDSSASVQCSTYTDISHNTKATLTVVRNPSGHLMNGLIRRWDFNFFRKSIR >OIV91933 pep chromosome:LupAngTanjil_v1.0:LG19:12672891:12674606:-1 gene:TanjilG_00601 transcript:OIV91933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQGANVGLQQICSIHSSNLTEATCPVKNVNEFHDLVDTSKLLTACENIDLVNECCYQICQSIILEATSAIASKGSDLLDTGVRHILPEHSIRVNDCRNIVLRWVASKLDPSHAKKVLRGLSNCKVNTAYPLLFPDMNNVAKVGGDGISNKSAVVMPWKAIGRLPSDATFDSTSGISFLCDLNDNIPAPWPSTSQVGTSSCKKRVNFPALPAAASSQSCLYNHEVLFFALVAFSFLLMILF >OIV92209 pep chromosome:LupAngTanjil_v1.0:LG19:4566739:4568095:1 gene:TanjilG_31128 transcript:OIV92209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSGQPPDLKKYMDKKLQIKLNANRMIVGTLRGFDQFMNLVVDNTVEVNGTEKTDIGMVVIRGNSVVTVEALEPVNRT >OIV91538 pep chromosome:LupAngTanjil_v1.0:LG19:17904553:17905671:-1 gene:TanjilG_08950 transcript:OIV91538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVMERSGVGVESCMPESVHQLPPNTSLKNGKEEVELVLFTIVKDLLSKHNVHPKNIDIIVSNCSLFCPTPSITSMIINKFGFRSNVKSVSLSGMGCSAGLLSISLAKDLLRVHKNSLALVLSMEAVAPNGYNGTDKSKLIANVLFRMGGTAILLSNRKQDKQMAKYKLQHLVRTHLGSNDKAYRSVYQEPDEEGIVGVSLSRSLIHVAASTLKTNIIKLGPLVLPYSEQLRYGWSVIGNKILARGNRNRNEIHVPNFKKAFEHFCIHAGGKSVIDAIEENLKLQKEDGEASRMALYRFGNTSSSSLWYELCYLEAKGRVKKGDRVWQIAFGSGFKCNSAVWKCVSYIDPNETNAWSDRIHLYPIEIPVFDC >OIV91903 pep chromosome:LupAngTanjil_v1.0:LG19:14084129:14092611:1 gene:TanjilG_17895 transcript:OIV91903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNPSSCATQLIDGDGVFNATGLDNFIKSVNLSSCGISYAVVAIMGPQSSGKSTLMNHLFHTTFREMDAFRGRSQTTKGIWIANCVGIEPATIAMDLEGTDGRERGEDDTAFEKQSALFALAVSDIVMINMWCHDIGREQAANKPLLKTVFQVMMRLFSPRKTTLVFVIRDKTKTPLENLDPILREDIQKIWDAVPKPEAHKHTPLSDFFNVEVTALSNYEEKEEKFKEEVAQLRQRFFHSIAPGGLAGDRRGVVPASAFSISAQQIWKVIRENKDLDLPAHKVMVATVRCEEIANEKLGQLHSDEGWLELEDAVQLGPVRGFGEKLSSIIDIYLSQYDHEAIFFDEAVRNAKRKQLESMALDFVYPAYTIMLGHLRSRALEDFKAKLEQSLNNGEGFASAVHIWTKSVLLEFDKGSSDAAVKQTNWGASKVRDKLHRDIDLHALFVRNEKLAGITTNFEKQLAKALTEPVESLFEAGGKDTWPSIRKLLTRETEAAVSEFLTSIAGFELDEETVERMQQSLRDYARKVVENKAREEAGKILILMKDKFSTVFNHDNDSLPRVWTGKEDIRAITKDARSASLKLLSDMSAIRLEEKPDRIESVLRSALLDRNVAATSSQYAIKEASVDPLASSTWEEVSPKDILITPVQCKSLWRQFQGETEYTVTQAISAQEAYKRSNNWLPPPWAIVAMLVLGFNEFMLLLKNPLYLMFMFVAYLIGKALWVQMDIAGEFRHGALPGLLSISSRFLPTVMNLLKRLAEEAQGNPTTEGTEQHNSAAQVYRNQVQKSDLASSSITTNSSVSSVGSTSGDNESSATNLSQRRTIVTEANFS >OIV92091 pep chromosome:LupAngTanjil_v1.0:LG19:7381929:7405121:-1 gene:TanjilG_27246 transcript:OIV92091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSYSAPVSAAQVGSYFVGQYYEVLRQQPNLLHQFYSHSSTMLRIDHSHSTETAASTLLEIHSIVMSLNFATIEITTINSLDSWDGGVLVMVSASDYGWEEEATEYVNSVHIDDDPIDKYSLPEQQQHLQEDHESETVVEENPPEKASPPIQSVEHIIRQPSLAVLQVYCEEPPKKTWASILQVSKDQSVLSADPQHAAPHSFRSGPPPSELNHVARPAVQQSSSASTMLNFYVYNTYGEVTSVYVRNLPADVTEAEIDKEFKKFGKIKPDGIFVRVRKEIGVCYAFVEFGDTIGVQNALQEQEGEEAEAVIKPMLQGGGLVLGARAGAAIRILHTIRDSTKKVDDEQSKYFGRKAVSFILITVTGGVALSALNDLAIYQGCSRKAMEKAINNQAVRDAIGEPIVKGPWYNASLAVAHKRHSVSCSFPVSGPQGSGVLQLKAVRTGDNSWSSYLLPRDWEILIMDALLHVPGNDEQNRTLRINVTDKPPCIGTECIPRPSENSEAHKLSPNQQ >OIV92481 pep chromosome:LupAngTanjil_v1.0:LG19:949854:950045:-1 gene:TanjilG_02244 transcript:OIV92481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDHDYWASSSEFEVEWVDMDLMPCLAYDDWNWFMDEMDNKVCMMGLPLDNMDSISYGKTLIT >OIV92226 pep chromosome:LupAngTanjil_v1.0:LG19:4875687:4876853:-1 gene:TanjilG_31145 transcript:OIV92226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSNCDKMGHIPPPTTNEWTQQIHNIDDHLKNSMASITGIRVMEKPGQDLLQLQQQALKCPRCDSSNTKFCYYNNYSLSQPRHFCKACKRYWTRGGTLRNVPVGGGCRKNKRVKRPNIDTTTPSSPLSSNSNPPNNKVVSSAANHVNPLLYGLPSNSGDVMSALQFPRFSSGYDLHPQMNNLGLDFSSEVMSSDNNGYRNGFISSNNNTLVSTYSSIFGSSSTSTPSTPAMASLLLQQKFMNGGVKDGPEGINNFQGLIPVERLQMEGNDNCKGGVMGSSKEVKGEGQNRMEWNINGASCQNQMEQNMGLLSHDPSLYWNSATAMGAWGDQPNINPSVSSLI >OIV92193 pep chromosome:LupAngTanjil_v1.0:LG19:5011814:5019563:-1 gene:TanjilG_30901 transcript:OIV92193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFNLKQWRNQHESEEQHSSKMLKLLPGSHQASEPNTKVSTNLSDSTLSTTNRFPRMESYFSFEQWQEMELQALIFRYMLAGAAVPYELLQPIKKSILHSPTSPYFIHHHSLQHYQPTASLLQSGYWGRGAMDPEPGRCRRTDGKKWRCSRDVVAGHKYCERHMHRGKNRSRKPVELPTPTIINEQRSSGTKNLFETQEHVGGDGRSGGQMLRHFFDDWPRPLQQSSDNAGGVNSATCLTISMPESTSSSDVSLKLSTGYGEEPCSRNGNGDPEQLQLNWVGRWTSTNQVTSMGGPLAEALRSSTSTSSPTSVLHQLPRSSASETSFIST >OIV92184 pep chromosome:LupAngTanjil_v1.0:LG19:5326583:5333535:1 gene:TanjilG_30892 transcript:OIV92184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFVAKIKLVVLSVIALSVASIIIHLSFAKFWAVNIGQYRALPTLSQDFPFILGRPGVKNKKLWGSVKSLEELQPYAKARSNYSVPQEQSNGFIYAKVFGGFSKTRSSICDLVAISRLLNATLVIPEIQESTRSKGISLKFKSFSYVYNEDEFIAYLKNDIIIAKTLPESLMERRKRNEFPTFKPKSSASPDFYINEILPKLKKSKVIGLIIVNGGALQSILPPSMAEIQRLRCRVAFHALQFRPEIQILGRQMVNKLRALGQPFLAFHPGLLRDTLAYTGCAELFQDVHTELIQHRRAQMIKEGLLSEELNVDSHLQRDKGLCPLMPEEVGILLRVMGYPPKTIIYLAGSEIFGGQRILIPLRAMFTNTVDRTSLCSEKELSDLVGPETPLPQNIFRQPPSKSEKELKEEWMQAGPRPRPLPPPPDRPIYQHEKEGWYGWITETPTEPDPSPMDLRIQAHRLLWDALDYIVSLEADAFFPGFNNDNSGWPDFSSLVMGHRLYETASFRTYRPDRKVVVELFNLTRENLYHPKHNWTTLVQEHLNKSLSEEGLIRQSLLSKPATFLSHPLPECSCRIPSADVASNRVRGKDGRFLYGDEDQCPKWIQAGSLAKEGVKSEDDAQPDYESNDFVNESESDKDGAKTNQTSLWDQQDEEMDPND >OIV92220 pep chromosome:LupAngTanjil_v1.0:LG19:4722719:4726437:-1 gene:TanjilG_31139 transcript:OIV92220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLDTIKMATYMSTSFAPSNARNSAGVLAVPGGRTLLGNHNRRSCFMKMYENKYGNRKSGLFQVKSSDNSRSIYRSKDSFLELHPEVSMLRGERSREVNNPRKDIPGGNVAEDLESTSSSSNYNEAKIKVIGVGGGGSNAVNRMIESSMSGVEFWIVNTDVQAMRMSPVFSDNRLQIGQELTRGLGAGGNPEIGMNAAQESKESIAEAVYGADMVFVTAGMGGGTGTGGAPIIAGVAKSMGILTVGIVTTPFTFEGRRRAVQAQEGIAALRDSVDTLIVIPNDKLLTAVSPSTPVTEAFNLADDILRQGVRGISDIITVPGLVNVDFADVRAIMANAGSSLMGIGTATGKSRARDAALNAIQSPLLDIGIERATGIVWNITGGSDLTLFEVNAAAEVIYDLVDPTANLIFGAVIDPSLSGQVSITLIATGFKRQDEREGRPTQASQLTQVDPVSFNRRPSSFTDGGFVEIPDFLKKKGRSRYPRA >OIV92315 pep chromosome:LupAngTanjil_v1.0:LG19:2971988:2978242:1 gene:TanjilG_10525 transcript:OIV92315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATATIGLGLGLVPTHRVTTLSSNPNSLRTRPYRLGLTVRASSADATTSTGDGVENVVIVGSGPAGYTAAIYAARANLKPVVFEGYQVGGVPGGQLMTTTEVENFPGFPDGITGPDLMDRMRRQAERWGAELYQEDVEAIDVKNSPFTVQSTDRKVKSHTVIYATGATAKRLRLPREDEFWSRGISACAICDGASPLFKGQILAVIGGGDTATEEALYLTKYARHVHLLVRGDELRASRTMQDRVYNNPNVTVHFNTEAVDLVSNTNGQMSGILIRKVDTGEESVLDVKGLFYGIGHSPNSQLLEGQVELDNTGYILVEEGTAKTSVEGVFAAGDVQDHEWRQAITAAGSGCIAALQVERYLVSNNLLVEFHQPKTEEVKKELTDRDVHEGFDLSLTKHKGQYALRKLYHESPRLICVLYTAPTCGPCRMLKPILSKVIDEYDQNVHYIEIDIEEDPEVAEAAGIMGTPCVQFFKNKEMIRTVAGVKMKREYKEFIEANK >OIV92552 pep chromosome:LupAngTanjil_v1.0:LG19:245872:246999:-1 gene:TanjilG_02315 transcript:OIV92552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQEGTSGDLNDNSKVIAEDDLFGAISNSPSRGMTRKHSKRDELENEARFKSCGNSDGNSVARESAQSFCKETASGKLLECSTKTNDQSVTVGLFKLFSDHQTQQLCLSALHLSSGTFERVASEWMREDIMFSPYMCPVFFERVSRVIKLNH >OIV91691 pep chromosome:LupAngTanjil_v1.0:LG19:16256950:16264205:-1 gene:TanjilG_26544 transcript:OIV91691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAKRVEIVILCFALACCYVNAGPRVRPIAGPDIYKGQNVAKDSLVPGEKIVNVMNFGAKPDGEFDCTQAFMDAWRATCKSPGQNRLLIPQGRFLVSSMFFAGPCMSPKPITIQVVGTVLATTDISEYENGEWLMFEDIAGLKLIGGGTFDGQGQDSWSQTEDCEKSGSTCIRNPSSLYFNKVTNGVIQNIKSVNSKGFHVFVTNCANMRLRRIGITAPDTSPNTDGIHISHSINVKLSKCNIRTGDDCVSMIQGVNNVTINKVNCGPGHGISIGSLGKYQNELEVRGVRVLNCTFSGTDNGIRIKTWPDKYPGAASDITFSDITMQNVKRPIIIDQEYQCTPANCQKKPSLVKVSNVAFANVRGTTISPIAVDLRCMVGNNEKRKKKSVKVIAGKKDEEEGFKGKGKGRKNASRGIRQRPWGKWTSEIKVSVSGSASSTPPRKPPSASAVTRLNSTFPLLRQPRNAASTLMLISSLTK >OIV91921 pep chromosome:LupAngTanjil_v1.0:LG19:12881959:12884971:1 gene:TanjilG_00589 transcript:OIV91921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVTQPNAELLEWAKKDKRRFLHAVYRVGDLDRTIKFYTEAFGMKLLRKRDVPEEKYANAFLGFGTEQTHFVVELTYNYGVSSYDIGTGFGHFAIATPDVYKFVEDVRAKGGNVTREPGPVKGGSSVIAFVKDPDGYIFEIIQRASTPEPLCQVMLRVGDLERSIKFYEKALGLKLVKKVDRPEYKYTIAMLGYAEEHETIVLELTYNYGVTEYTKGNAYAQVAIGTDDVYKSAEVLNVVTQELGGKITRQPGPIPGINTKITSFLDPDGWKTPEVHSDQQCEVLNSTLSLSMPNSICCEIVEEINTNGLVFTFS >OIV91838 pep chromosome:LupAngTanjil_v1.0:LG19:13378843:13387359:-1 gene:TanjilG_17830 transcript:OIV91838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTELILKPSCGGCGSTADLYGSNCKHMTLCLTCGKTMAENRAKCVVCDATITRLIREYNVRASTSNDKNYFIGRFISGLPDFSKKKSAENKWSLQKDGLHGRQVTESLREKYKNKPWLLEDETGQSQYQGHLEGSQSATYYLLMMEKKEFFAIPAGSWYNFNKVAQYKQLTLEEAEEKMKNRKKTADGYQRWMMKAANNGPAAFGEHVRLEDKETNTGGGRSRKKTGEDDEGHVSDKGEEDEDDEAGRTNRLGLNKRDGDDDEEGLRGGDLDLDDDDIEKGDDWEHEEIFTDDDEAVGNDPEEREDLAPEIPAPPEIKQDEEEEDENNEEGGGLSKSGKELKKLLGRSGGLNESDAEDDEDDGDDGDDDDVDDEVGVLPVIATKKQKEPKEEPVDNNPSKPPATGPARGTPTSKSSKGKRKLNEEAKPSNSALPKKVKTENESKSSVKDVNGSASKSNAPPKGTPSATPPSKAGSSTTASGPVSEEEIRAVLRQKTPVTTQDLVAKFKARLRSSEDKKAFAEILKRISKIQKTTNGSGYVILRDSR >OIV92150 pep chromosome:LupAngTanjil_v1.0:LG19:6138618:6141255:1 gene:TanjilG_18722 transcript:OIV92150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKGNWFSSVKKALSPDSKDKKEQKSSKSKKKWSGKKKLEALGSYSGTDKTSHLPPPDEIKLTHHENENIHDNVVEVATTMVAEEPVLVPAVQTTAASVEGAKIARFADKPRDEVAAIKIQTAFRRYLARRALRALRGLARLKSLMADPIVKRQATSTLRSMQTLSRVQSQIRSRRIRMLEENQALQRQLLQRHANEPESMQIGGEWNDSLQSKEQIEAKLLSKYEAAMRRERALAYAFTRQKNGKNTCRSINPMFMDPTNPSWGWSWLERWMAAQSWETHSPMNKGLNDRSSVRSSSHNITGAEISKSFVRFQLNSEKHSPTGSQNHVSPNFQSHPTSFKPPSLSVAMKLKKAISKGGLVMDDDSKSMVSVQSDLFRRYSIPISSVRDNESLAMSPPVPSYMVPTHSAKAKSRTQSPLATENGQAEKGSFGTAKKPLSYPASPAMQKRHSGPPKVESSLDAEFTMSNGVAK >OIV91785 pep chromosome:LupAngTanjil_v1.0:LG19:14654080:14654694:-1 gene:TanjilG_14364 transcript:OIV91785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLISTTDLSRDHHTKKKKLLKHHNQNQHHQHNHVKWKSHKHQQIYSSKLRQALTTLNLAGNRNGKELKEAADRLLAVTAKGRTRWSRAILTNRLKLKFRKQHKRKRVVYSSTGSDRFKKKTRFSVFGLKGKTVPGFQRKVKVLGRLVPGCRKEPLPVILEEAIDYIPALEMQVRAMTALSQLLFASSGGGAASSSATFGPL >OIV92477 pep chromosome:LupAngTanjil_v1.0:LG19:1003669:1006606:1 gene:TanjilG_02240 transcript:OIV92477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEQDKPTSLSGDHHHHHQPPPSSQPQPYPPPPQYGTFQGVSNYPPPPPDLHTAIGFPHPAPPPGATDYSDPPPPYYPHGYQAVPGYAVAEGRPVRVRRLPCCGLGCGWFLFIFGFLLAGVPWYVGAVLMLCSRVDYREKPGYVACIVAFLPPPHPSFCHVNYESLDELVTDTWTSVLLTVS >OIV92531 pep chromosome:LupAngTanjil_v1.0:LG19:400152:411437:1 gene:TanjilG_02294 transcript:OIV92531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYVLVTGGVVSGLGKGVTASSIGVLLKACGLRITSIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDIKLTRDNNITTGKIYQSVIAKERRGDYLGKTVQVVPHITDAIQDWIERVARIPVDGKEGPADVCVIELGGTIGPGNFCLIHVSLVPVLNVVGEQKTKPTQHSVRQLRGLGLTPNLLACRSTKFVSHKSLTYILRSDVCVIELGGTIGDIESMPFIEALGQFSHRVGPGNFCLIHVSLVPVLNVVGEQKTKPTQHSVRQLRGLGLTPNLLACRSTKELDDSVKAKLAQFCHVPLSNIVTLHDVPNIWHIPLLLKDQKAHEAILKTLNLLSVATEPNLKDWTTKTKVYNDFHENVRIAMVGKYTGLSDAYLSVLKALLHAAVAHNRKLIVDWVPAGDLEDVTFKQDPDAYKVAWGLLKGADGVLVPGGFGDRGVQGKILAAKYARENNVPFLGICLGMQIAVIEFARSILGLHDTTSTEFDPEAKNPCVIFMPEGSKTHMGGTMRLGSRRTYFQVADCKSSNLYSNVSFVDERHRHRYEVNPDMISQLESAGLSFVGKDETGSRMEIVELPSHPFFIGVQFHPEFKSRPGKPSPLFSGLIAAACEVKRAVMSPSYGQTKLANGIYNGHSPIPKSHQNGKGSNTTNNSLNGDEMTRVIWKMIKDKLIFPYLELDIKYFDLGLPHRDATDDRVTIESAEATLKYNVAIKCATITPDEGRVKEFNLKQMWRSPNGTIRNILNGTVFREPIICKNIPRLVSGWTKPICIGRHAFGDQYRATDAVIKGPGKLKLVFVPNGHEETTELEVYNFTGAGGIALSMYNTDESIRAFAEASMNFAYQKRWPLYFSTKNTILKKYDGRFKDIFQEVFDAQWSRKFKDAGIWYEHRLIDDMVAYAVKSDGGYVWACKNYDGDVQSDFLAQGFGSLGLMTSVLVCPDGKTIESEAAHGTVTRHYRVHQKGGETSTNSIASIFAWSRGLAHRAKLDRNATLLDFTEKLEAACIGTVELGKMTKDLALLVHGPKVSRSQYLNTEEFIDAVAEDLRSRLSTKAKL >OIV91955 pep chromosome:LupAngTanjil_v1.0:LG19:12354767:12368648:-1 gene:TanjilG_23216 transcript:OIV91955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGFRRGPLNSTMFGFGYDSLNDSDKIVVIYKTMGEVYTLGSGAGNWRKMEQSVPFPPTQCNQRDYGGRFMSGVLNWLVIRPDGILVVVYVNIGHENGGEFFMPSVVDKNLQMKEYGVSESWTMLLNLSHGDVGTMELSRNDDHKPENKQQLKKENHSVRGIRPRIGFSDSFSTLELGGLDIEEGHQSENYSSPRSVESGTLSSRASTSDSEGQPDLRGTNNNQWRQGFFKLLKKGSQMPFHTFHPLKNVPKLARRKSKRVREDLIPSLSSPLKSSLDSEFCCFKSSWKNFTLSEIQAATNDFSHDNLIGEGGYAEVYLGKLEDGNFVAFKRLTRGNQEEMTADFLSELGIIVHVDHPNIARLIGYGVEGGMFLALQLSPHGSLSSILYGPREKLNWSLRYKIAMGTAEGLRYLHEGCQRRIIHKDIKASNILLSQDFEPQISDFGLAKWLPDQWTHHTVSKVEGTFGYLPPEFFMHGIVDEKTDVYAYGVLLLELITGRQALDSSQKSLVMWAKPLLSANNMKELVDPVLPDAYDEEQMKLVLKILRGEEESLKMIKERQKSKLQRTYSVELNDAEDYNSTKFLNDRDRYMETILSTLNSIKKESNES >OIV92568 pep chromosome:LupAngTanjil_v1.0:LG19:140359:142674:-1 gene:TanjilG_02331 transcript:OIV92568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLRDIGASLPPGFRFYPSDEELVCHYLYKKITNEQVLKGTLVEIDLHICEPWQLPEVAKLNANEWYFFSFRDRKYATGFRTNRATTCGYWKATGKDRMVVDPMTQEVVGMRKTLVFYRNRAPNGIKTGWIMHEFRLETPHMPPKEEWVLCRVFHKSKEDSNNNAKFNNTQLMYEITSPSPTNQTMMTSHGYNRLASFSSSMGIHHHLNTNNTTLITQFAPRCNDEYEFLWDKDFEQVTLQDGLPSNLDGIRFEVDDNNNNNNNMVFL >OIV92440 pep chromosome:LupAngTanjil_v1.0:LG19:1384035:1386521:1 gene:TanjilG_25170 transcript:OIV92440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFSSNPLALSVPEPAFESWLRDSGYLDILDHRTSSAADTSATTSIATVTPAAGFFVSLFSHFATIISLFTLNPFAKLTADDFSGDTPSWSRSFFGFCGSYSFPSSSSQARLRVHENIKRYARNYAYLFIVFFACTLYKMPLALVGLISCLALWDFFKYFSDRWGLDEYPITRQCLLRFAQCATAVILVYSNVQLALFCALSVSYAGTILHAAFRKLAPAKQPSVGRGR >OIV92324 pep chromosome:LupAngTanjil_v1.0:LG19:3069508:3069833:-1 gene:TanjilG_10534 transcript:OIV92324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAKHIIAAIVASFGVAYVCDTTISDSKIFGGTTPGTVLNKGWWEETDKKFQSWPRTAGPPVVMNPISRQNFIVKPHSE >OIV92238 pep chromosome:LupAngTanjil_v1.0:LG19:3425684:3428373:1 gene:TanjilG_00256 transcript:OIV92238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAQMPETESTIADAFTNEVNKTYLHTKEMDVTTSDDESGLWVETFSKDDWQVDVGRGSFGNEENSAHIGDGNLTVLKQQEKELVDEITVRTSELELLEQKLELMTEVAEMAFNNEHSIDFYLDQLNEQIQAKRNCLLNFESEWDAVIKPLEEKKRSLEESLFSNNPDALEMLQKLREMQQEEQLILSEIVRREEEHSKLSTDLEKQQKVASRKSYTDRIKEITKNSRKQDADIERILKETREVQLESNSIQERLHRTYTIADEMVFREAKKDPTGKQVYRLLASIHEGFGQISDKILATDRIRREIAEYEMKLESTKPTTSKNLDLSNLQADLDPLLGKMSTLSYKEMGNFNNN >OIV91969 pep chromosome:LupAngTanjil_v1.0:LG19:12029137:12031858:-1 gene:TanjilG_26491 transcript:OIV91969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGLYNQISSPATQRGNSPNVNMRNNFDADSQYLTELLAEHQKLGPFMQVLPLCARILNQEILRVSGKNGYLQNQRFTNFDRMQSPSHMASPDITQNFTGWNSLSHERLAGLQGLNVDWQAAPVVPNSHIVKKILRLDIPKDSYPNFNFVGRLLGPRGNSLKRVEATTGCHVVIRGKGSIKDLDKEELLRGRPGYEHLNEPLHILIEAELPDTVVDLRLRQAQEIIEELLKPVDESQDFYKRQQLRELAMLNSNYREDSPQPQLSGSVSPFTSNELKRAKTYH >OIV92356 pep chromosome:LupAngTanjil_v1.0:LG19:2552727:2553368:1 gene:TanjilG_09954 transcript:OIV92356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPTTTTMASSQTHLFFSFSSSSLRLHPLHHKPNPISFPSLFRVKLSQSVTSNRHSNPVTEKTQQNHDILRGSTRTVTTAVATALLFLKLILQKTTTTVIPNLIATSSPLFFASLKDRPPGYLNTPLTVVAAGLGKWLDIYSGVLMVRVLLSWFPNIPWERQPLSAIRDLCDPYLNLFRNIIPPVFDTLDVSPLLAFAVLGTLGSILHTAMV >OIV91678 pep chromosome:LupAngTanjil_v1.0:LG19:16146587:16150158:1 gene:TanjilG_26531 transcript:OIV91678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRPNARTESRRNRYKVAVDSDESRRRREDNMVEIRKNKREESLQKKRCKGIQAHLQFQTPLQVSTNVEKKLESLPEMVAGVLSEDNTQQLEATAQFRKLLSIGSFPPIEEVIQSGVIPRFVQFLDREDFSEIQFEAAWALTNIASGTSENTKVVIDHGAVPIFVKLLSSPSDHVREQAVWALGNIAGDSPRCRDLVLSHGALIPLLAELNNEHAKISMLRNATWTLSNFCRGKPQPPFEQVKPALPTLECLVHSNDEEVLTDACWALSYLSDGTNDKIQEVIEAGVCTRLVELLLHPSHTVVIPALRTVGNIVTGDDMQTQAIIDHGALPCILKLLKSNRTKSIKKEGCWTISNIAAGNKEQIQAVIEAGVIAPLVNLLQNAEFDVKKEVVWAISNATSGGTHEQTKYLVSQGCIKPLCDMLVCPDPRIVTVCLEGLENILKVGESEKSLGNTGDVNLYAQMIDDAEGLEKIENLQNHDNNEIYEKVVKILETYWLEDEDETLPPRYNAPGGFNFGSNELSVPYGGFYFS >OIV92087 pep chromosome:LupAngTanjil_v1.0:LG19:8430884:8431541:1 gene:TanjilG_08760 transcript:OIV92087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METFTKPVMENIVLGSPTVVVTATDFCPPNYGLSVDYGGWCNFPREHFEMSQVAFSEIAKRKADIVPVQYRRSGGLKFTMSGSSHFFQVLITNVGMDGEVAALKVKGSRTGWIPMARNWGQNWHCNVNLQHQPLSFEVTISSGKTLTSYNVATAKWQFGQTFEGKQF >OIV92333 pep chromosome:LupAngTanjil_v1.0:LG19:3164961:3168479:1 gene:TanjilG_10543 transcript:OIV92333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSPTLPWLWVIQALASCKEIPISTLQGLIDVAPVERDDFCEKTRELLALRCLEDIFGSAHITNCDDDASTSLDSRVRFDFSLGCEDVLQQILNEIPLSNLKVAGPELSKWDIFPFIMHKRANSVKCHLEQLKESIFEGTHPYTDYLKARSGLAFQNEVRPVHVNDGECNGTIFLPSKRNRVDSADEHVVGYPHEKQVRKSDCDVFLMNAEKIKCNGSSNTESKKEKPVSPGGKGVVENSTDNFFLVSESGRSHVEKNIRENLGEGSLEDRQNRFVTSNRCKASSNNDVSHDELNIPLNSTVMLQHTSGGKYCQQHEADESVPIKVPQPNKIQRKLPESLLGMSTTLMPPHTSRDEPCQNTSLDETKDDTGHHVLPIPKNVDDFHKDPNIINESQSKRDIDFQLNEPNAASLNVSQQPVISNKAVGDTVDACGAELSSDSDDYHNETIDVAAKKHQFLNSQCTFGHDLSACTEQTGNHFCVMCNQGGKLLVCKTTSCPLMVHKTCLGTSVHIDAESNFFCPFCAYCHAISEYLEAKKNASLARKELSSFIHKDIRHQDIKLRHEVHRKGHSFLWMSSEHEHTHDKNNANDRFPRTGENKEDHVGEHEVNSLHIERSQLQALTSFANSTCKEKEIVNNGYVERLSEEQRGEMLNLKSSTSRGVEENQVPTELLDGDDDLSCEKTTVLSVNQNITEEMLEQHNTVKKEDPVYAHDTDEEEISNDEHESIFSRYARRFKKRKRPKFSSGANLKQSLIHDGDKLQGKLSGKS >OIV92529 pep chromosome:LupAngTanjil_v1.0:LG19:416597:419920:-1 gene:TanjilG_02292 transcript:OIV92529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKKIREYDSKRLLKEHFNRISGQDLPIKSAQVTDSTDFNEIAEKEPWLSSSKLVVKPDMLFGKRGKSGLVALNLDLAQVASFVNERLGKEVEMGGCKGPITTFIVEPFIPHNEEFYLNIVSERLGNSISFSECGGIEIEENWDKVKTVFLPTGVSLTPENIAPLVATLPLEVKGEIEEFLKSVFILFQDLDFTFLEMNPFTLVNGKPYPLDMRGELDDTAAFKNFKKWGNVEFPLPFGRVMSPTESFIHGLDEKTSASLKFTVLNPKGRIWTMVAGGGASVIYADTVGDLGYAPELGNYAEYSGAPKEDEVLQYARVVIDCATSNPDGQNRALVIGGGIANFTDVAATFSGIIRALKEKEQKLKEANMHIYVRRGGPNYQRGLAKMRALGEEIGIPIQVYGPEETMTGICKQAIQCINVAA >OIV91799 pep chromosome:LupAngTanjil_v1.0:LG19:14469839:14473182:-1 gene:TanjilG_14378 transcript:OIV91799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSIFVQIMESYQGDLTDIVRASSGGAYSNMESSTTTSSSSQAATNNHFSHHHYWQQNFFSDPINYFSSFMEGGTNFGDPFSNMRDPILYDLDIMPSSGNNSSPYFNNTITTTTTSSAEIIRTSCGALEDAAPCFGSNTNTSTATTVFGHKILEDNSIMSSSSHNTKNIFSNMIQISPNATKYNSTSPVMGASPRTIQPSAVVSGNMINATNDFLVGNTGVQISSPRNPGLKRRKNQAKKVVCIPAPAAANSRQTGEVVPSDLWAWRKYGQKPIKGSPYPRGYYRCSSSKGCSARKQVERSRTDPNMLVITYTSEHNHPWPTQRNALAGSSRSQPSKNTNNASTSKNSETSHKAITITKPKEEKQESNNSEGNVSVKEEIEMKDIEKQLEMDDGELSDGISYKPCMLENITSHNNNNQSHEDFFAELGEIETDPLNLLFTQGFHDQKESKALDPFHLFDWLGAGTNNHNNSFEEPNSKRGL >OIV92291 pep chromosome:LupAngTanjil_v1.0:LG19:2743890:2748207:1 gene:TanjilG_10501 transcript:OIV92291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALMASSRTKLVTIITEASSKWRNYCSSYSTTADATIELDSFFGLKDYVDYRRSIYGEITHKALLVDVVGTLLIPSQPTAQIYREIGEKYGVEYSEDEILHRYRRAYSKPWGKSRLRYVNDGRPFWQYIVSYSTGCSDSQYFEEVYNYYITDKAWHLCDPESEEVFRALRESGVKLAIVSNFDTRLRPLLQALNCDNWFDAVAVSAEVAAEKPNPTIFLKACDMLGVKPEDAVHVGDDRRNDIWGARDAGCDAWLWGSDVHSFKEVAQRIGVQV >OIV92538 pep chromosome:LupAngTanjil_v1.0:LG19:332963:341428:-1 gene:TanjilG_02301 transcript:OIV92538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKLQTCYPKSATSSKVGIERDSHVISKFKPKIRIIHIYAPEIIKTDAANFRELVQRLTGKPEDKGRDGSKSKTIPTKYPMDLNPNKGMNMIMEEEEEGGGEEFMNLQNGIRDLFSELRELVPEHQERVKKLRKEHGSVELGKITVDMVLGGMRGMTALLWLGSAVDPDEGIRFRSMTIPDCQKTLPGAFPGGEPLPEAVLWLLLTGKIPSKEQVDSLAQELRSRATIPDYAYKAIDALPVHAHAMTQFTTGVMALQVQSEFQKAYEGGIPKARYWEPTYEDSMNLIARLPSIAAYIYRRKYKDGKIIPMDDSLDYGANYAHMLGFDDPEMLEFMRLYISIHSDHEGGNVSSHTAHLVATPLSDPYLAFAAALNGLAGPLHGLANQEVLRWIRSIVAEFGTPDISTEQLSDYIHKTLNSGQVVPGYGHGVLRNTDPRYTCQREFALKHLPNDPLFQLVSKIKEVVPPILTKLGKVKNPWPNVDAHSGVLLNYYGLTEENYYTVLFGVARSIGVGPQLIWDRALGLPLERPKSVTLEKLEALCGKSS >OIV92308 pep chromosome:LupAngTanjil_v1.0:LG19:2913226:2916398:1 gene:TanjilG_10518 transcript:OIV92308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVQDRSIPKSPKPYSKIKHNIPSIQTPNLDFSEWVSRNLCKIVTFSLLVATVAALFFLRNVGDSAALFCFRNQVKNIDKIKFPHVNWKSIAPISDKTSKFVNFRSERWIVVSVSDHPTDSLRNLVKVRGWQVLAIGNSRTPLDWSLKGSIFLSLEEQAKLGFRVVDYLPYDCYVRKTVGYLFAIQHGAKIIFDADDRGEVIGGDLSKHFDVELNGEGARKEVILQYSHDNPNRTVVNSYVHFGQRSVWPRGLPLENVGEIGHEEFYTEVFGGKQFIQQGISNGLPDVDSVFYFTRKSGSEAFDVRFDEHAPRVALPQGMMVPVNSFNTLFHSSAFWALMLPVSVSTMASDVLRGYWGQRLLWEIGGYVVVYPPTVHRYDKVEAYPFSEEKDLHVNVSRLIKYLVSWRSSKHGLFEKVLDLSYAMAEEGFWTETDMKLTAAWLQDLIAVGYKQPRLMSLELGQPRANIGHGDRREFIPQKLSSVHLGVEEAGAVNYEIGNLIRWRKTFGNVVLILHCSGPVEHTALEWRLLYGRIFKTVVILSEKKDMGLAVEESHLDQAYKHLPKIFDQFSSAEGFLFLQDNTVLNYWNLVQADKNKLWITNKVSKSWSTVSSKDKSDWFSQQANMVQKVVSMMPAHFQVNYKETLSSDKSLLICSSEVFYVPRPFIDDFVELVNLIGDLEIHQKVAIPMIFISIDSPKNFDSVLSKMIYKRKIPTNSSTHYSAKVPAVHPWNVTSEQEFIKLIRIMAEGDPLLMELV >OIV92072 pep chromosome:LupAngTanjil_v1.0:LG19:7890118:7895622:1 gene:TanjilG_08745 transcript:OIV92072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRLLGRISGLITNRTIVGTDKSGNRYFTRNEELDGIMKEKRWVVFKGEEDPTSIPVEWICWLNGQRKRAPTPEEMLDLDARRERVKQNVALLKKEEEERRAKEGSTGKRVSTGKTSGPDLKSFIRQVGAPVPTEGDETVDGTMGGLRDSHEKEAEKAKEPESSEPMGSGASFRPGTWQPPS >OIV91579 pep chromosome:LupAngTanjil_v1.0:LG19:17603363:17605205:1 gene:TanjilG_08991 transcript:OIV91579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRRARCTQCSRPILVPLEPYGYTNSSIKCSGCQGATPLVQTNYPYGNNTPSYNNCPTYVRPEQFHYPQPRPQCVLTPPPSAYGNKRAVIFGISYGNDDKSLKGSLNDAHCMKYFLTDKLGFPRNSINMLTDDPDEKNPLRIPTKYNMRAAMRWLVEGCKPGDSMVFYFSGHGSRAVDHSMDEVDGYDEAICPVDYEHEGKIIDDEINATIVRPLPLGAKLHAVLDTCFSGTALDLPFMCRINRKGYYGWEDHRSHRAGYKGTRGGLAVCISACDDDGSAADTSAFSGMERTGALTYSFIQAMQDKPKLTYGNLLHVMRSKIRETKEGIFGQNNQEFHMNTQQQHVNEPQLSCSEKFDIYSKTIVL >OIV92134 pep chromosome:LupAngTanjil_v1.0:LG19:6289189:6291067:1 gene:TanjilG_18706 transcript:OIV92134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTTTTTTENSVNPNLTNNPSQEENPTRTLAPVEPIPDPDISEQTNPAPTADNDAAAEPKVAPATAEDGNDDPISDAQKKIRRAERFGITVQLSEKEKRNSRAERFGTSSTTPGSETSNSEELKRKARAERFGIPGTTTTVDEAKKKARHARFSPASKAAADPAEEDKRKARALRFSNSSSGSLSQVNSKEKIEPDAVIAGKAGGD >OIV92358 pep chromosome:LupAngTanjil_v1.0:LG19:2515169:2518617:-1 gene:TanjilG_09956 transcript:OIV92358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASYEGILLGMGNPLLDISAIVDNDFLQKYDITLNNAILAEDKHKPMYEEIAEKFNAEYIAGGATQNSIKVAQWMLQVPGATSFIGCIGKDKFGEEMKKNAKLAGVNVHYYEDETTPTGTCAVCVIGGERSLVANLSAANCYKSEHLKKPENWALVEKAKYFYIAGFFLTVSPESIQLVAEHAAANNKIFTMNLSATFISEFFTDVQEKALVYTNFIFGNETEARTFSKVHGWETDNVEEIALKLSEWPKASETQKRIAVITQGADPVIVAEDGKVKKFPVILLPKEKLVDTNGAGDAFVGGFLSQLLQEKPIEECVRAGSYAANVIIQRSGCTYPEKPDFH >OIV91825 pep chromosome:LupAngTanjil_v1.0:LG19:13036435:13042507:-1 gene:TanjilG_17817 transcript:OIV91825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGSSNGTSCLMPFGENSGGLYPIMVMPLVTSNHGGHHPINPHNLNHNNSNNNFTTNGTINENTNCLFPSMPSSTNDHQHQNHNNSGGNPPLMLENNRNSNTGIGYYFMESDHNNNNGSSSSSSSADKAKIMAHPYYHRLLAAYVSCQKVGAPPDVVARLEEACASAVAMAGDTAGSACLGEDPALDQFMEAYCEMLTKYEQELSKPLKEAMLFLQRIEFQFKNLTVSSSDLVCNEATERNGSSEEDVDLHNIIDPQVEDRELKGHLLRKYSGYLGSLKQEFMKKRKKGKLPKEARQQLLEWWSRHYKWPYPSESQKLALAESTGLDQKQINNWFINQRKRHWKPSEDMPFYSNMMDPNHPQYYMDNVLSHPFPMDLSNTML >OIV91514 pep chromosome:LupAngTanjil_v1.0:LG19:18103911:18106924:-1 gene:TanjilG_08926 transcript:OIV91514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYSTAQIHALATPSFLNPNPRTTLFLGHRLNAKPFHRSAFINLKTNTRRYNVAPLRVVCEKVVGIDLGTTNSAVAAMEGGKPTIITNSEGQRTTPSVVAYTKNGDRLVGQIAKRQAVVNPENTFFSVKRFIGRKMVEVDEESKQVSYRVVRDDNGNVKLDCPAIGKQFAAEEISAQVLRKLVDDASKFLNDKVTKAVVTVPAYFNDSQRTATKDAGRIAGLDVLRIINEPTAASLAYGFERKNNETILVFDLGGGTFDVSVLEVGDGVFEVLSTSGDTHLGGDDFDKRVVDWLASNFKRDEGIDLLKDKQALQRLTETAEKAKMELSSLTQTNISLPFITATADGPKHIETTLTRAKFEELCSDLLDRLKTPVENSLRDAKLSFKDLDEVILVGGSTRIPAVQELVKKLTGKDPNVTVNPDEVVALGAAVQAGVLAGDVSNIVLLDVTPLSIGLETLGGVMTKIIPRNTTLPTSKSEVFSTAADGQTSVEINVLQGEREFVRDNKSLGSFRLDGIPPAPRGVPQIEVKFDIDANGILSVTAVDKGTGKRQDITITGASTLPSDEVQRMVNEAEKFAKEDKEKRDAIDTRNQADSVVYQTEKQLKELGDKVPGPVKEKVEAKLGELKEAITGGNTQTLKDALAALNQEVMQLGQSLYNQPGAPGAGPTPPGADAAPGASESSSKGPDGDVIDADFTDSK >OIV91529 pep chromosome:LupAngTanjil_v1.0:LG19:18008819:18017440:-1 gene:TanjilG_08941 transcript:OIV91529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHQREANLAAGVNSNDKIPKLNWLQHANAHENFSTQAMFLTSNFIYSLPAENPSYQQPNGGRCSYLKLLLFGNDFCPNNFQQAWFALSSLQNSSRNYVQPGKSVKVTPRHHDNKRVNSFQGTYESEKLRHPIVTTTPTAVNHNSRVLDSSPNNHIKYTRQLNESTKCIADDVDDIFKNIDLDQIVENYQSTCTPQPSISKFPPITPTADKDARKEDSFLPPELCLDCIHGYKLGLCPEAASHLQELKDNLIAISNELLDNGENLSSAQVAKLRQDRSQLNKQIQQLEKYIQSSNLDEERQRSHFSASMAPPKYSMYETPQQTFLSSGSNGYDAQAYIGTGTCGSSFQSAYMGNGTCGSSSQFHSFSTVDTYGMSSGPVEREAFIPKIIEVNYIEGSGDKRWSSLDFPWTKKLEVNNKKVFGNHSFRPNQREVINATMSGCDVFVLMPTGGGKSLTYQLPALICPGITLVISPLVSLIQDQIMHLLQANIPASCLSANMEWTEQQDILRELNSDHCKYKLLYVTPEKVARSDALLRQLESLHIRDLLARIVIDEAHCVSQWGHDFRPDYQGLGILKQKFPNTPVLALTATATASVKEDVVQALGLVNCIVFRQSFNRPNLRYSVVPKTKKCLEEIDKFIRENHFDECGIIYCLSRMDCEKVSEKLQECGHKSAFYHGSMDPAQRAYVQKQWSKDEINIICATVAFGMGINKPDVRFVIHHSLPKSIEGYHQECGRAGRDGLHSSCVLYYSYSDYIRVKHMISQGVMEQNTMTSGYSRSNTTNSGRILETNTENLLRMVSYCENDVDCRRLLQLVHFGEKFDSSTCQKTCDNCLKITSFIEKDVTETAKQLVELVKLTGQKFSSSHVLEVYRGSLSQMIKKQRHETVRLHGAGKHLSKGEASRVLHHLVVENFLKEEVKKSDVYGSVSSILKVNEPKVRNLFLGGQGINMRFPSSAKASKPGKSDVTPAKGSLTSGKLNPPRVDTLAQPQTEMDLDLSSKLYTALRKLRTAIVKEAGEGVMAYHIFGNATLQQISKKVPRTMEELLDINGIGKAKVSKYGDQLLETIENTISEHYKLDKNSSGSKGSVDSAKRRRPLIEEPEANGEDNDLLNSTDRSKKRTVKRRNKKTEIYDSEEEVDNNDYGCLDEELDFENMDI >OIV91833 pep chromosome:LupAngTanjil_v1.0:LG19:13287538:13291142:-1 gene:TanjilG_17825 transcript:OIV91833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTRIPTNQLSSGLYLSGRPEQLKERHPIMSSHSVPYTGGDPKKSGELGKMLEIPGVDSNPLPKPSPPPPSSQQNTGPVRSGPNSGQVLRQSGSGHLSRKSSGSGAVPLPTGLFTSGPVGSGPVDVSGDEKRLGNLDRPGSMGKTVYGSGVTSLSEEVNVGFRVSRAVVWVLLVVVAMSLLVGVFLMVAVNKAVILVAVGVVIVPVVVLFVWNCVWGRRGFLGFLKRYPDVELRGAIDGEYVKVTGVVTCGSIPLESSYQRVPRCVYVSTELYEYKGLGGKPANPKHRCFSWGSRYSEKYVVDFYISDFQFGLRALVKAGYGAKVASFVEPTTVVDVTKDNKELSPNFLGWLADRKLSTDDRIMRLKEGYIQEGSTVSVMGVVHKQDNVVMIVPSLEPVSTGCQWFRCLLPTYVEGLVLTCEDNQNSDVIPV >OIV92460 pep chromosome:LupAngTanjil_v1.0:LG19:1137865:1141503:-1 gene:TanjilG_02223 transcript:OIV92460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPLIKHLSSLIKWTRSTTSKLPHSDGNFLQFEDGYVVETIVEGNAIGSIPYRIRVSEEDGDLFAVDAINSNIVRITPPLSQYSRGRLVAGSFKGSIGHVDGKPSDARFNHPKGVTMDDKGNVYVADTQNMAIRKIGDAGVTTIAGGKSNAAGYRDGPSEDAKFSNDFDVVYIRPTCSLLVIDRGNAALRQISLGQEDCDYQYSSISSTDILTVVGAIIVGYATCMVQQGFGSSFFSKMQPSERYFKGQGSNERPVPIQESSKEEPGWPSFGQLIVDLFKISFEASANAFSQLIPPRFRSDGLKRGLTPLKDRLQMPGDEVNGPKRDPPPPKDCLRRPEDEVQPPLANMQSSQIPPLTENRKQFRTPSTADKYTELKPPKIRSTSFKDPSLSSKQRPSRRPEYAEFYGSTESPTYTKSKSQKERPRHRSREKSGEAVFGATGADAKPVDTRAVDYNNPKFDHYSMRTKYVSGGSFRFNSQ >OIV91767 pep chromosome:LupAngTanjil_v1.0:LG19:16956914:16957895:-1 gene:TanjilG_26620 transcript:OIV91767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLFVNPSAYDTAWLAIIPDSKYPSQPMFKSYLDWLLNNQNLEGFWGESDTFGKPTIQALSATIVSMVALKKWKTGASMIQKGMSFIDANGEKLLNEVKENCPLWFAIVFPATLELAEEIGLEVAFPEAALEIISYISRCRESYLNKEEAVGNLHYYPQLLSYLEALPRCYVSEEDISNNLSKDGSMFQSPSASAKAFMVTGNQECLTYLQSLAQKFPNGGFDS >OIV91627 pep chromosome:LupAngTanjil_v1.0:LG19:17220055:17225709:-1 gene:TanjilG_09039 transcript:OIV91627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKSTEQEEEPVPENLRCSRTDGRQWRCRRRVLEGLKLCENHYLQGRHRQNKEKVPESLKLQRKSTNNNSSNQNGVVSENGEIRAKLVKKKKRKQNSDDATGSSGKKRKNSDIRVELLTMVLEREIQKTKKKKKKKKKTKGKTKKNQREKKRNVMEEGEEDDDDELCYSEGELRKELPNGIMEIAPASSMHNVGSYSDVKVSDFDHNRSLALTTRRFRSKNVDRSINVVSYGRNLKKGKRKKCHWCKSIDSWNLIMCSGCQKEFFCVDCIKERYFETENEVKMACPVCRGTCTCKDCLASQGRDSESKEYLDGKSRVDQILHFHYLICMLLPVLKQISEDQHTELETEAKIKGKRVSDILIKQVEFGCNEENYCNHCKTPILDLHRSCLSCSYSLCLSCCHKLSQGGTSEEITSSMSKLPDKMNNCIASESHLSDDKTSSSSNLTVTSILPEWTACNGTDSVSCPPTELGGCGDSHLDLRCVFPLSWINEMEVKAEEIACSYDFPETLDKNSRCSMCNDKDHEPNGDLQLQEAAQREDWNDNCLFYPTVYDIRSDHLDHFQKHWGKGHPVVVRDVLHNTSNISWDPLVMFCAYLERCITRYENNKDQLEACLDWCEVEINIRQYFSGSLQYRSQKNTWHEMLKLKGWLSSQMFKEQFPAHFAEVINALPVREYMNPMSGLLNVAANLPQGSSKHDMGPYVYFSYDCADKEAVSVTKLCYDSYDVVNIMAHTSDGPLSAEQLNKIRRLLKKHKSLCQKESSKITTDNAEDTEQKDLKSMVRNRKDFFRRVNRTSSISTEVRTVGSQCLDTNISADGECSSNSDTEKVQSSSTLNGTIQCAEISPNRNPGNLLENTVTDKSKMLTENYCAQWDIFRREDVPKLLEYLKIHHDEFSYMDEYHEKMVHPILDQNFFLDNTHKMRLKEEFKIEPWTFKQRVGEAVIIPAGCPYQIRNAKCCVHVVLEFMSPENVTECIKLVDEVRLLPKDHKAKVDKLEVKKMALNSVSTAIKEISELTCRT >OIV91549 pep chromosome:LupAngTanjil_v1.0:LG19:17822593:17823664:-1 gene:TanjilG_08961 transcript:OIV91549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMDMDMDMDEILKSIYSSETKTVDQVWKNMVEGRDTNTTQQQQQQMTLEDFLPNNAGASVEHEHEHEHEHEHEHEHDGVIVAVVGVGIGVSSQVSTSGTRRTRKRKKGMEKHEPVLDKVTLQKHKRMIKNRESAARSRERKQAYTVELESLVTHLEEENKLLLREQDEKNKQRLKQIMECLIPVTARRKAKRILRRANSVQW >OIV92494 pep chromosome:LupAngTanjil_v1.0:LG19:745163:749996:1 gene:TanjilG_02257 transcript:OIV92494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDMDNFGAGELPNTEDLIQFGNVPKEGAVFDASQYAFFGKNAAEEVELGGLEEDAYLPALESNEEFFLNREEAEDVRSLSDIDDLTTTFLKLNKVVSGPRSPGVIGDRGSRENSISEWEQRDGVLNWIDQNAYDSEGSLDGKHWSLRPHSSVTNLHDSKSLYRTSSYPEQQMQQQHHHLQHCASEPVHNWLDQPFYDTETAQDDGKRWSSQSYSSIAHLQESKPLYRTSSYPDKQQELLRFSSEPILLPKSSFTSYPPPNGRSQQASPSHSTGHLNIPYQDAGAQMELSSQSRSHFSNSALQFSGLNHGSHFSRNMRQLSTGSPLNHRMQNQLINQAGLYPGEHPNLLSNMLQQQLNLHRGPASPHLMSQLQHQQHRLHRPVQQSAGYLSGLQSDLFNPYLSSGPSIISKYEHMHGLPDVRDHRPKSTQKGKHSLRFSKQGSDASSQKSDSFSLQFRSKHMTSDEIESVLRVQHALTHSNNLYVDDYYHQACLAKKPSLAKLKNPFCPTQMRDLPSRSRSISEPHAFLQIDALGRVLFSSIRRPRPLLEVDPPKSSFNGSSEQNISEKPLEQEPMFAARVTIEDALCLLLDVDDIDRFLEINQLQDGGTQLRRRRQVLLEGLATSLQLVDPLGKNGNKAGLGAKDDLVFVRLVSIPKGRKLLIKYLQLLLPGSELTRIVCMAIFRHLRFLFGGLPSDSAASEITSNLIKVVCQCIKGLDLGGLSACLAAVVCSVEQPPLRPLGSTSGDGASIILVSVLERATELLTDPHAASNYNMGNRSFWQASFDEFFGLLTKYCMNKYHSIMQSLLKQNAIDVDDIGPDAAKAISTEMPVELLRASLPHTDDRQRKLLLDFAQRAVPMVGFSSSAGDSSNHMSSETVLS >OIV92489 pep chromosome:LupAngTanjil_v1.0:LG19:790276:795489:1 gene:TanjilG_02252 transcript:OIV92489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDIGLFKQGWQWLWSQKDTCCHARTAATCFRDKTAMFIERHWPLVCSGCSRLGSLLKLSLIFWKDSALRGFQSVISFGSVMLLLIMWSCFLSLTSMYCLVYVLVSMGVAGVAVQYLGYTPGIFIVGLFAILILWMYANFWIAGTIFLVGGYLFSLNRARFVVLIGTTYALYSVQVQAGWLGVFLSMNLAFISNDVLNFLLQWFDNVSESSHSEQQQQQQQSEPIVEDDFSEECEYSTPSDESENLHSCKSSSKPAVIATTTAPVVDKQKELSADKVVIEQASSIDEMRRILKSLDHYEALGFARHKKIDAGVLKKEYRKKAMLVHPDKNMGSSLASESFKKLQCAYEVLSDSLKKSDYDEKLRKEESIAKTMSQKSHGSSHQDNPDYRSEDSRRIQCTKCGNSHIWVCTNRSQAKARWCQDCCQFHQARDGDGWVEYKGSLVFDRPQKMEIPRAFVCAESKIFDVSEWAICQGMACRPNTHRPSFHVNMVGLDKSQHCNSSRFPWDLDAEMMMDEDEEAFDLWLQQALASGLFCDTSKRRKSWSPFKLSQMKGKKQWRRTSC >OIV92332 pep chromosome:LupAngTanjil_v1.0:LG19:3160442:3160615:-1 gene:TanjilG_10542 transcript:OIV92332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKEVEASDWRNVQGERNSRKGYSKHKLQHFFVPLFFYVLMRFILLLIDEIYEENKV >OIV91885 pep chromosome:LupAngTanjil_v1.0:LG19:13923847:13926123:1 gene:TanjilG_17877 transcript:OIV91885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYHTKNFPSQSLIGSNSLVHDQQIDCGGSTMDPDNGGNSITNNPNLSSKQRLRWTHELHERFVDAVAQLGGPDRATPKGVLRVMGVQGLTIYHVKSHLQKYRLAKYLPDSSSDEGKNAEKKETGDVLSNLDGSSGMQITEALKLQMEVQKRLHEQLEVQRQLQLRIEAQGKYLKKIIEEQNRLSGVLSDAPDSVVTASAQGDMCQEHDNKTDPATPDPEKAAKERSPAKSLSIESFSSHHEPWTPDSGCHVGAPAESPKGERSSKKQRVSMEEAAYSKQDMVLPHQILESSMSSYQHANTVFLCQEQFDPSLRGISGRSGEELEK >OIV91843 pep chromosome:LupAngTanjil_v1.0:LG19:13459518:13461129:-1 gene:TanjilG_17835 transcript:OIV91843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYLPSEVITVIFSYLPSTTLLRFRTVSKSLRSLIDSHHFIFLHLTNSSQSTTTTTATTVILSHQSDLYQLNLQTLHNNNTLNAATSPLLLNHPLMCYSNRINLLGSCNGIICISNVADDVALWNPSIRKYVILPSLNHDLRPENFLFAARVYGFGYDSVSGDYKLVRISYYVNLQDRTFDSQVKVYSLRINSWKILPSMPYALCCARTMGVYVDGYLHWVVTRKLEPDQPDLIIAFDLRFENFNEVPLPEKANDEVNNMNLEIDVAVLGGSLCMIKNYRKQRIDVWVMREYGSKDSWCKLFVLGVSHDIRSLKSVKPLGYSRDGLKVLLVQNRRKLFWYDRESKEISYIRILGLPHFTEGMICEGTLVPPSVLRSDNGRKQHNLGVQNAKNRRRRGMVDWSMIWSLSALSNPHALYVWSGLA >OIV92081 pep chromosome:LupAngTanjil_v1.0:LG19:8225897:8233789:-1 gene:TanjilG_08754 transcript:OIV92081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSFVFEPASDEEVEHSESEQDNENENSEPESEDDYDVDDPESEELDEEPRRIIPVTNKKTQSPWDFAKYSESVAEEHSRRSTTSVDEKISKVINQRSVPLTVPTQEEDTDTSDSEPEDKQEDYRPEEEDEEDDNVGDNKPFFAPSDGASFDADSFMQLNLSRPLLRACEALGYAKPTPIQAACVPLALTGRDICGSAITGSGKTAAFALPTLERLLFRPKRMHAIRVLILTPTRELAVQVHSMIEKLAQFTDIRCCLVVGGLSTKVQEVALRSMPDIVVATPGRMIDHLRNSMSVDLDDLAVLILDEADRLLELGFSAEIQELVRSCPKKRQTMLFSATMTEEVDELIKLSLSKPLRLSADPSAKRPATLTEEVVRIRRMREVNQEAVLLAMCSKTFTARVIIFSGTKQAAHRLKIIFGLAGLKAAELHGNLTQAQRLEALEQFRKQQVDFLIATDVAARGLDIIGVQTVINFACPRDLTSYVHRVGRTARAGREGYAVTFVTDNDRSLLKAIAKRAGSKLKSRTVAEQSILKWSHMIEQMEDQVAEVLQEEREERVLRKAEMEATKAENMITHREEIYSRPKRTWFVTEKEKKLGAKAAKASVDKGRNSGKEVISAEQADDIKMKEKRKREREKNLPRRMRRKLEASREMLEDDEHANEQEAKGSNKKEKGGLSLVDLAYRRAKAVKAVKKALDNGKIVKSKKKSSRPPQKTSSRTEEMRELFQTEIKDKKPNRRGDGAAKKSKKSSFKSKSRYKRK >OIV91835 pep chromosome:LupAngTanjil_v1.0:LG19:13349113:13352314:1 gene:TanjilG_17827 transcript:OIV91835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSKLQALWNHPAGPKTIHFWAPTFKWGISIANIADFAKPPEKLSYPQQIAVTATGLIWSRYSTVITPKNWNLFSVNVAMAGTGIYQLSRKLRHDYSSEAAVSKE >OIV91749 pep chromosome:LupAngTanjil_v1.0:LG19:16766341:16771418:-1 gene:TanjilG_26602 transcript:OIV91749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVSSQTIVTCDEEKALKSLLDAFGSTFSLDEIATAYCKASRNADLAVEILYEMQGSSSTSETHSSNVHTRVEESSKSAYGSSFENSFQERKSIKSKARPVSVGTVSSMIGKDYVRSKPSTNETYVARKPLKLDANALPMAGIWREKTKPNIVKHNQLHQDMEDFLVNMLGDGFQLDRDMIREVLDSCGYDMAKSVERLLDPSVVALGKRAAVVDDSSGKFTDMKAQSELASSSERKALNSNYLRGDGNTDSNKGVELHQKQKQRDDLQKEVMTALFNSRDPLEESPKRIVKDVNKNSRYGIVFEPPADSMDEYKIDMNFSQQQNVDEAEDEEDYQKVRKAVKEYRVTMNEYYKAAVEAFAKGDQIKAEKLFEQGHFFERKAHEADEESSRMILQTRNSESQEMLLDLHDFGTKEAIRLLKRHLSSLSGIPSFEYLKVVLDANGEDSSKGSRRRLVLKLLEKESIKWVEGEIAGTILIPLASIDRKSLSFV >OIV91747 pep chromosome:LupAngTanjil_v1.0:LG19:16754082:16761853:1 gene:TanjilG_26600 transcript:OIV91747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMEEDQEYEEQEMMEEEEEDEDDDEITQEDAWAVISAYFEEKGLVRQQLDSFDEFIQNTMQEIVDESADIEIRPESQHNPGHQSDFAETIYKISFGQIYLSKPMMTESDGETATLFPKAARLRNLTYSAPLYVDVSKRVIKKGHDGEEVAETQDFTKVFIGKVPIMLRSSYCTLYQNSEKDLTELGECPLDQGGYFVINGSEKVLIAQEKMSTNHVYVFKKRQPNKYAYVAEVRSMAESQNRPPSTMFVRMLARTSSKGGSSGQYIRATLPYIRTEIPIIIVFRALGFVADKDILEHICYDFSDTQMMELLRPSLEEAFVIQNQQVALDYIGKRGSTVGVTKEKRIKYAKEILQKEMLPHVGVGEYCETKKAYYFGYIIHRLLLCALGRRAEDDRDHYGNKRLDLAGPLLGGLFRMLFRKLTRDVRGYVQKCVDNGKDVNLQFAIKAKTITSGLKYSLATGNWGQANAAGTRAGVSQVLNRLTYASTLSHLRRLNSPIGREGKLAKPRQLHNSQWGMMCPAETPEGQACGLVKNLALMVYITVGSAAYPILEFLEEWGTENFEEISPAVIPQATKIFVNGCWMGIHRDPDMLVRTLRKLRRRVDVNTEVGVVRDIRLKELRIYTDYGRCSRPLFIVDKQRLLIKKKDIHALQQRESPEDGGWHDLVSKGFIEYIDTEEEETTMISMTINDLVQARLNPEEAYSDTYTHCEIHPSLILGVCASIIPFPDHNQSPRNTYQSAMGKQAMGIYVTNYQFRMDTLAYVLYYPQKPLVTTRAMEHLHFRQLPAGINAIVAISCYSGYNQEDSVIMNQSSIDRGFFRSLFFRSYRDEEKKMGTLVKEDFGRPDRTNTMGMRHGSYEKLDDDGLAPPGTRVSGEDVIIGKTTPISQEEAQGQNLRYTKRDHSISLRHSETGIVDQVLLTTNADGLRFVKVRVRSVRIPQIGDKFSSRHGQKGTVGMTYTQEDMPWTAEGITPDIIVNPHAIPSRMTIGQLIECIMGKVAAHMGKEGDATPFTDVTVDNISKALHKCGYQMRGFETMYNGHTGRRLSAMIFLGPTYYQRLKHMVDDKIHSRGRGPVQILTRQPAEGRSRDGGLRFGEMERDCMIAHGAAHFLKERLFDQSDAYRVHVCERCGLVAIANLKKNSFECRGCKNKTDIVQVYIPYACKLLFQELMSMAIAPRMLTKEIKSIKGQKKRGA >OIV91983 pep chromosome:LupAngTanjil_v1.0:LG19:11633244:11634559:1 gene:TanjilG_06611 transcript:OIV91983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKSSKKGVYCGFDPTAESLHLGNLLGIIVLSWFRRCGHKPVALIGGATARVGDPSGKCLERPELDVDTLERNAAGISNTIIRILGRAQNQNLDDGYDGDDSVVVFNNYDWWKEFSLLDFFTNVGKYARVGTMMAKESVRKRLESEQGLSFTEFTYQLLQGYDFLYLFQNEGVSVQIGGSDQWGNITAGTDLIRKILQVEGSAFGLTFPLLLKSDGTKFGKSEDGAVCLSPNLLSPYKFYQHFFSVPDADVIRFLRILTFLDIEETIQLEGEMRKPGYMPNTAQQRLAEEVTRFVHGEDGLSEALRATEALRAGSETKLDWKTIEGIAEDVPSCSLAYDDILYQLLVDLLVSSGLFDSKSAARRLLKQGGVYLNNSRVNSDNKRIEVEDIVDGKVLLLSAGKKNKVLVQIA >OIV92468 pep chromosome:LupAngTanjil_v1.0:LG19:1071614:1074677:1 gene:TanjilG_02231 transcript:OIV92468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHVPRVSTSFVHEAFGGAMTLIQSSPATWKSAVFSNIFIFLVGSPILVTGLSLSGFVAAFLLGTLTWRAFSSSGFLLVAIYFLIGTAATKVKMTQKVAQGVAEKRRGRRGPGSVIGSSAAGCVCAFLTIFGVGGPAFSQLWRLGFVASFCTKLSDTVSSEIGKAYGKTTYLVTTFKVVPRGTEGAVSIEGTVAGIVASIVLAVVACLLGEVGRYEAIICVLASQIANLGESIIGAVLQEKEGFQWLNNDAVNIMNISMGSIIAVLMQQALQSWHI >OIV91972 pep chromosome:LupAngTanjil_v1.0:LG19:11861372:11861632:1 gene:TanjilG_09513 transcript:OIV91972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIVVDDNSPGQVEHVSYKDKLLNLPEDNEESPMLDGEEDMVENRWYQEVDEEREFDPCSEISISKEEFELWCKPWKQALVVKLLG >OIV91625 pep chromosome:LupAngTanjil_v1.0:LG19:17240154:17242181:1 gene:TanjilG_09037 transcript:OIV91625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQYNDIKPDASLYPILIKCAGKACGMLFHSHVLKLGYVPDHYVRNAIMGMYAKYGPVHLARKLFDEMCDRNVADWNVIISGYWKWGSEEEANKIFHMMGKSQRNVITWTTMVTGYAKSRNLKTARMYFDNMPERSVVSWNAMLSGYAQSGEPQETIRLFNHMLNSGNEPDQTTWVIVISSCSSLADDDPSVVDSILRELEKVNFQSNYFVKTALLDLQAKCGNLEAARKIFDQLGVCKNSVTWNAMISAYARAGDFSVARDLFNKMPERNTVSWNSMISGYAQNGESLMAIKLFKEMISSSKDSKPNEVTMVSVFSACGHLGALDLGNWAVSTLNENHIKLSISGYNSLIFMYSRCGSIEDATIIFQEMETRDLVSYNTLISGLAAHGHGKEGIKLMSKMKEDGIEPDRITYIGVLTACSHAGLLEEGQKVFQSIEVPDVDHYACMIDMLGRAGKLEEAMKLIRSMPMEPHEGIYGSLLNAACIHKRVELGELAAAKLFKVEPHNSGNYVLLSNIYASAGRWKDVDKVWDTMRKQEVQKTTAWSWVEHKGEIHKFVVGDRSHERSDDIYKLLANVKVKLRREGYVVDKSCVRRDVEEEEKEEMVGIHSEKLAICFALLVSDIGSVIRVGKNLRICPDCHASIKMISKLERRKIIVRDNNRFHCFSDGLCSCKDYW >OIV92375 pep chromosome:LupAngTanjil_v1.0:LG19:2143453:2144804:-1 gene:TanjilG_09973 transcript:OIV92375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECYTILLFCLTLLNNIAARDTITSLQSINDSETIVSASEIFALGFFSPHNSENRYLGIWYQKFPAETAVWVANRDNPLTDSSGVLKFSENGILVLLNHNNSVIWSSNTTRLVQNPIAKLLDSGNFIVQDSSKSDPNEEFLWQSFDYPSDSILPGQKFGRNLITGLNRYLTSWNSSDDPSHDIVMHSATKFIARHSDLMAGVLAVKGER >OIV91546 pep chromosome:LupAngTanjil_v1.0:LG19:17842526:17846597:-1 gene:TanjilG_08958 transcript:OIV91546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPTRRQPKDSFIPPPTPPDFHHHHNHHFRDSDASFASSRPSSAGVGPRSSSFDLYKDRSFQQSAVSSINSFLSSHNFPIAFKSTFPSAKDIHETLRFILLDLLNFRFNKLEDDLPLLLKRLNYPFKLNKSILKTPAAPHQWPTFLALIHWLIQIAKFDHHLCSSTNTMSSMVETNLLHQYTVNSYIRFINGDDDAVDELDHNVRADLVHQREIAEEKVKTAMDEVKRLEGELERMRTEPSRKEALEKEKVLLEDDVNKFHKIIEEFMSRIEQTEKVILEKGKEVDAKMEENGRISEENEEIRRRVESQTFNARDVERMKRELQAVERDIGEAELARNAWEDKSWDLDTSLGHKFKDLEALAMDCNQSLKRLKIGNGIQYQLNSNGTTPAEIMGIDHKLTLKPALNSFSDDIQKSSMGKSEELISYQQKFNEIVVRLEEKKNQIAAVQSSIDEMEAQLNLKKKEAQDYKSRCSAEAKTMLEDVQVAVHDLNIMEREAADVLKASELKLQEAIKQSEEEIQICAQELFKLVDSVSKYKEHVGSKISEMNKTLLETATAVSDAYKSSFPAQFGDIFNTNRQPEKTS >OIV91551 pep chromosome:LupAngTanjil_v1.0:LG19:17802753:17806475:1 gene:TanjilG_08963 transcript:OIV91551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSICNVLHGLKPVMLMVMVQVASTIVNVLYKLAINDGMSVTVATAYRLAFASAFIVPLALISERGSLFQNLFYGALALTSATFVSAIYNLVPAITFILAIFSGNKLVGVLCAIASCFSYALWLILQGIVGSGLEIVVIAWCIHMRGPLFASVFNPLMLVLVAVAASLMLNENLYLGSVVGAVMIVCGLYMVLWGKRKEMKNMTQLVPSEILEEAEAIEVVVDNDKCDYHNQTSTIKNVDKDLDYL >OIV92297 pep chromosome:LupAngTanjil_v1.0:LG19:2827308:2827769:1 gene:TanjilG_10507 transcript:OIV92297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSALKELRRPVAATIRLTVPAGGARPAPPVGPALGQYRLNLMAFCKDFNARTQKYKPETPMAVKITAFKDNTFEFTVKTPSVAWYLKKAAGVDSGSGRAGHVTASTLSVRHVYEIAKVKQTDPYLQNMNLESICKNIIGTANSMGIQVVKDLD >OIV92051 pep chromosome:LupAngTanjil_v1.0:LG19:8938250:8941290:1 gene:TanjilG_15042 transcript:OIV92051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKEREQKKLMFGLIGNYSAELKLLLTTLFLLCSVATFLQFIPSRFTISASDLRVCISRVSQVVTSTPPSTSNSFISHLTTTLSPPPPPPPPPQENTTQNGILKRVFNPYGSASYNFITMGAYRGGFNTFAIIGISSKPLHLYSKPTYECKWEQTKKPNETTKTLSTVGYKILPDWGYGRVYTVVVVNCTFSEHVNIDNKGGKLVLFASTSGGGDRNFNITDKFEVLTEQPGTQDLSVFTSKPKYDYLYCGSSLYGNLNPQRVREWIAYHVKFFGPRSHFVIHDAGGVHEKVLEVLKPWMDLGYVTLQDIRDQERFDGYYHNQFMVVNDCLHRYKFMAKWMFFFDVDEYIYVPPKSTIKTVLDSLEEYNQFTIEQMPMISKVCHSDDYGKTYRKWGFEKLVYKDVKKGIRRDRKYAVQPRSLFATGVHMSQNLEGKTTHKTEGKIKYFHYHGTIAERRESCKMFVNSKQVTYDKNPYELDTTMRDIAGVIKKFELKMIGSSLQKTRQ >OIV91840 pep chromosome:LupAngTanjil_v1.0:LG19:13409342:13411623:-1 gene:TanjilG_17832 transcript:OIV91840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSDDDKSNEKSAALEVSEMQHAGKTATDEESGGEEGKGSLSRYKSESSIAATEDEDDDEDRKIELGPQCTLKEQLEKDKDDESLRRWKEQLLGSVDINAVGETLEPEVKILSLAIKSAGRDDIFLPIPEGGNPKGLWFTLKEGSRYRLMFTFQVSNNIVSGLKYSHNVWKTGIKVDSSKEMIGTFSPQAEPYTHEMPEEVTPSGMFARGTYSARSKFVDDDNKCYLEINYTFDIRKEWQ >OIV92327 pep chromosome:LupAngTanjil_v1.0:LG19:3093472:3099314:-1 gene:TanjilG_10537 transcript:OIV92327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCCVTPRVQPRERNHKKKKVKENPFAVDYINDTVLTKLTVLRNPTGKEIELQYELGRELGRGEFGVTYLCTDKETGEDLACKQISKNKLRTAIDIEDVRREVEIMKHLPKHPNIVTLKDTYEDANAVHLVMELCEGGELFDRIVARGHYTERSAALVIKTIVEVVQMCHKHGVMHRDLKPENFLFANSKETAALKAIDFGLSVFFKSGERFNEIVGSPYYMAPEVLKRNYGPEVDIWSAGVILYILLCGVPPFWAETEQGVAQAIIRSVVDFKRDPWPKVSDNAKDLVKKMLNPDPKRRLTAQAVLDHPWIQNAYKAPNVSLGESVRARLQQFSVMNKLKKVALRVIAEHLSVEEAAGLKQRFQILDIGNRGKINMDELLVGMQKLGHQLPDEDINNIMEAGDVDNDGYLDYGEFVTISVHMRKMGNEEHLHKAFQYFDEDQSGYIEIEELRKALSNEVGVNNEVIDAIMHDVDTNKVSIFDPKRRLTAQAVLDHPWIQNAYKAPNVSLGESVRARLQQFSVMNKLKKVALRVIAEHLSVEEAAGLKQRFQILDIGNRGKINMDELLVGMQKLGHQLPDEDINNIMEAGDVDNDGYLDYGEFVTISVHMRKMGNEEHLHKAFQYFDEDQSGYIEIEELRKALSNEVGVNNEVIDAIMHDVDTNKDGKISIEEFAAMMKAGTDWRNVSRQYSREKFNNLSQKLFKDGSLQFKK >OIV92443 pep chromosome:LupAngTanjil_v1.0:LG19:1255032:1255691:-1 gene:TanjilG_02206 transcript:OIV92443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAITTPMDIVSYITIRGTNKVVRVGDCVLIRPSDGSDRARVARVELIGRDDNNNVNVHVMWYYRPEESFAGRRQFHGARELFLSDQYSVQSVDNIQGKCNVHSLKNYTELRNVNAWDYFSRFCYNVSTGTYTPDQVAV >OIV91582 pep chromosome:LupAngTanjil_v1.0:LG19:17590210:17591866:-1 gene:TanjilG_08994 transcript:OIV91582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSDGGGRRVLEFYSGIGGMRYSLMKAGVNAQVMEAFEINDIANDVYQFNFRHRPYQGNIQSLTAADLDKYAADAWLLSPPCQPYTRQGLQKDTGDARAFSFLQILELIPFLLQPPSMLFVENVVGFETSDTHAKLIEILGKTNFITQEFILSPLQFGIPYSRPRYFCLAKRKPSSFVNEVWNSQLIQSPRPLFEPFDTVANDGDLSQEDGLKLFQSCQPIENFLELKNTGNDIDVESVTSVTGLSNDVECDSLDQYYVPSSLVERWGSAMGILASLIG >OIV92512 pep chromosome:LupAngTanjil_v1.0:LG19:584277:585425:-1 gene:TanjilG_02275 transcript:OIV92512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHSDYIINAAFRSISRVNSFNFTNKAAQTIATESRGEYLMQIFIGTPPKKVIAGADTGSDLTWIQCFPCKECYFQNYPLFNPANSYTHHLIPCEKITCKYLFIHSCGIAAECLYGVEYADNSYSKGTLSTDTVSFNNTYIGKIVNYPNIVLGCGYHNNGLFSPTGEGVIGLGGGPLSLITQIGYKFGKRKFSYCLPPYNSKGISQIKFGVDTQKNRRNVVTTPLVPKFPSTFYYASLEGISVNGRMFKPMANETIGNIVIDSGTSLSVLEFDLFYKLKALIIQTIGKEHVPLRNPPEPFELCYRYGSVEYFPRISFHFFAADYGLDFSEANVFGKLGNLMCLWMLSTTSGHSVLGNYQQVYFNVEYDLDKSTVSFAPSDCK >OIV91760 pep chromosome:LupAngTanjil_v1.0:LG19:16866742:16868520:-1 gene:TanjilG_26613 transcript:OIV91760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIPLPLFLSTSNVSSISTTTSPSSLYPLSRKHYQTFKHRNLRNQKVSKVACCANQNKPRPNSEGEPAHIVGHRRNVLIGLGGLCGAATLSNNPFAFAAPISPPDTTKCGPADIPAGAKPINCCPPPSENIIDFKFPSTKQPLKVRPAANLVNKDYIAKYEKAIGLMKALPQDDPRSFYQQANVHCAYCNGAYSQVGFPDLDLQVHNSWLFLPWHRWYLYFHERILGSLINDPTFALPFWNYDAPIGMQLPSIYADPKSSLYDILRNPDHQPPKLIDLDYNFAEDPNASVSANLTIMYRQVVSGAKTPKLFFGNAYRAGDKPDPGAGTLENVPHGPVHGWTGDINQPNDEDMGNFYSAARDPIFFAHHSNIDRIWSIWKTLGGGKRKDITDSDWLESGFLFYDENKNLVRVKVKDSLDTKKLSYVYQDVEIPWLNNKPKPRRSKAQKSPPQTSRNSVKFPLVLDSVVSTIVERPRKSRSKKEKEEEEEVLVIEGIEYDKNIGVKFDVFINDEDDKLIRPDNTEFAGSFVSVPHSHKHKNNNNINTCLRLGLSDLLEDLEVEDDDSVVVTLVPKYGIGKVKIRGIKIEFVDD >OIV91590 pep chromosome:LupAngTanjil_v1.0:LG19:17539437:17539838:1 gene:TanjilG_09002 transcript:OIV91590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGNEVAGNGGRLTCGSVGMVVGMFILGSGGKVVVDLGRDGIVGKVGITLLPCGNVGIEGKGGNDVGIEGKGGNDVGLGKVGMVGKFGEVVVCKRWRAPRLNLMLDKAKARRKDVMNNLVDAMANMDSENEDF >OIV91945 pep chromosome:LupAngTanjil_v1.0:LG19:12160867:12164268:-1 gene:TanjilG_23206 transcript:OIV91945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIMLRTIIHDLKSRSHRVVQDASSMDPVVVSDGFTQSFWAHIPHELLREVLIRIEASEDTWPQRKSVVACAGVCRSWRHITKEIVKLPQFSSKITFPISVKQPGPREHLLQCFIKRNRSTQTYLLYLNLTSTLGDDGKFLLAARKCRRPTCTDYMISLDADDMSKGSNAHVGKLRSNFLGTKFTIYDAQPPHAGAKIPKSRSTRLVSLKQVSPRVPTGSYPVAHISYELNVLGSRGPRRMDCVMDSIPSSAIKPGGVAPTQTEHSIKNVDMFPSFSLSRSKSNHVENSVSGPLADQNDGGLVLKNKAPRWHEQLQCWCLNFHGRVTVASVKNFQLVASPENGPAGPEHDKIILQFGKVGKDTFTMDYRYPISAFQAFAICLSSFDTKIACE >OIV91917 pep chromosome:LupAngTanjil_v1.0:LG19:12933611:12935671:1 gene:TanjilG_26036 transcript:OIV91917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQLLFMMIATEVAMVAVLLFKTPLRKLVIMGLDRLKRGRGPLMVKSVAGTLFVVLFSSVYSMVKIKNRGFEDGGSVNPTDQVLVAKHLLEATLMGAVLFLALMMDRLHHYIRELQVRRKTIEVVKKQTRGAEDRKVEEIKAMVEETAKLRAELKHLESEFQSKTKEVDVSEANVIALKKQSEGLLLEYDRLLGENQNLRCHLQSLDRGLSRSISKKNM >OIV92189 pep chromosome:LupAngTanjil_v1.0:LG19:5211146:5216776:1 gene:TanjilG_30897 transcript:OIV92189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMENHHHHHQESQYGINDLRQLVNGQTSTTHFPSIPPPPPPTAELFPGHPNLTAATTHHQHYDMMMFGRQVEEIMPRCSLHDFASTNSAAAAAATAATVTGGLEAETAGFIGGDASTGRWPRQETLTLLDIRSSLDPKFKEANQKGPLWDEVSRIMSEEHGYQRSGKKCREKFENLYKYYKKTKEGKAGRQDGKHYRFFRQLEALYGENINQTSVPESNFCTSSVPFHTSSHAPSQTNQEIMYQSHHKHCDSLSLTNSTDFDTSSSDDNDHTTEGLKDNDSMQKRPKRVSGRSWKVKIKEFIDSQMRKLVEKQEEWMDKLMKTMEQNEKERSLREEEWKKQEAERLEREHKFWAKERTWIEARDFALMEALQKLTGNEIESQTQLSLEGINAIATGVQNQNEDGGSEILNSTGVRGSDIISNKKRKENSSCFYFDDINDHRSNSLYTQGNGAYCDINDQRQEISEGRLQTNDGSSPSNSNACNVVEAADSCFPFLMSEGGNLWQNYNLKVNKANQNQNQ >OIV91628 pep chromosome:LupAngTanjil_v1.0:LG19:17213895:17218335:1 gene:TanjilG_09040 transcript:OIV91628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRDIRRVSQSVLRSRRSRYLDVSRNGAIASVGPNIHQCRLYIQHKFCSEGHSSLLWYRSHGTRESFHRCSSFRNFSAISASNAVTHHSHIPWKMLYKKYSSSGRGSFPTINIIAQAVSLALSRSYLLVPGIFAFVCGEHALAQRNWADVERYQSQNALYMHAQDGYNYVFAFALMLVEGLVLLVRSLYLAVLFSPSIVMAPFVDYFGPNFRKLWLQVVLRTLEKSGPAFIKWGQWAATRPDLFPRDLCTKLSELHTKAPEHSFSYTKKTIERAFGRKISEIFENFEELPVASGSIAQVHRASLKQRYPGQQAKPLVVAVKVRHPGVGESIRRDFAIINLVAKISNFIPALNWLRLDESVQQFAVFMMYQVDLAREAAHLSRFIYNFRRWKDVSFPKPVYPLVHPAVLVETYEMGESVAHYVDDLQGHERTKSALAHIGTHALLKMLLVDNFIHADMHPGNILVRVSPSKSRKRLFKSKPHVVFLDVGMTAELAGSDRVNLLDFFKAVARRDGRTAAESCLRLSKQQNCPNPKAFIEEVEEAFTFWGTPEGDLVHPAECMEQLLEKVRRHRVNIDGNVCTVMVTTLVLEGWQRKLDPAYNVMQTLQTLLLRADWAKSLSYTIDGLMAP >OIV92347 pep chromosome:LupAngTanjil_v1.0:LG19:3351187:3352786:1 gene:TanjilG_10557 transcript:OIV92347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAIINFELNSYDIKSILYSNAKSPTAKKVPTYSDILTNKVTSEALSSPSNTFFESQPLKLTLSNSTVSTLSGNNNQNPSGFKLSTGGTGLSEARTSGINAINGNNTSFAMYHQATLDKRSYSEASSSSSFPNMQPLMNKQIRVSSSNFFSFQRTGSRVGTSDNSIGNNGAMIPNQGANVEQPLTQNNPNDLGLGIGQDIQAPTQTQHNQLDDIINTEFYQYILNNNQALPQSPNLSFSDYNLPKLPSDFEIQLSPLVGTGLQDIDVEATATQEHDDAAIFLNNQSFPQSPSLTLVHHDANQNPDLKNDLTSNFPCENSSKSSFMNLHSEINTTSLDQVHEYLDTTPDLCLDPNSSKDSFQNPISEANTSSYGNMIITEKKDGLDSSQCLTNKDDLFHDPVSETNTGSQILTPGSQNDLNISDYLSDFYAVEEETDWIHRFGTDLGQQ >OIV91820 pep chromosome:LupAngTanjil_v1.0:LG19:14161310:14166460:-1 gene:TanjilG_14399 transcript:OIV91820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSMHKDNTNIMDSTKYVRYTPEQVEALERVYAECPKPSSIRRQQLIRECPILSNIEPKQIKVWFQNRRCREKQRKEASRLQTVNRKLSAMNKLLMEENDRLQKQVSHLVYENGYMKQQITTVSATTTTDNSCESVVMTGQNQQQNPTPHQHPQRDANNPAGLLAIAEETLAEFLSKATGTAVDWVQMIGMKPGPDSIGIVAISRNCSGVAARACGLVSLEPTKVAEILKDRLSWYRDCRCLDVLSIVPTGNGGTIELMYMQTYAPTTLAAARDFWTLRYTTSLEDGSVVVCERSLTTSTGGPTGPPSSNFVRAEMLPSGYLIRPCDGGGSIIHIVDHVDLDVWSVPEVLRPLYGSSKILAQKLTIAALQHIRQIALESSGEIQYGGGRQPAVLRTLSQRLCRGFNDAVNGFVDDGWSLMSNDGVDDVTIAINTSPNKYVASQYSSSMFPAFGGGVMCAKASMLLQNVPPALLVRFLREHRSEWADYGVDAYAAACHRASPYAVPCARPADFPTSQIILPLAHTIEHEEFLEVVRIEGHAFPPEDMSLARDMYLLQLCSGVDENSIGSCAQLVFAPIDESFADDAPLLASGFRVIPLAPKPDDPATSRTLDLASTLEVGSSITRPAGEADYNLRSVLTIAFQFTFENHLRDNVAAMARQYVRSVVGSVQRVAMAIAPSQLSTQVGPKSLPGSPEALTLARWICRSYRIHTGAELFRVESTSSDAILKQLWHHSDAIMCCSVKPNASPVFTFANQAGLDMLETTLVALQDIMLDKVLDEAGRKVLFSEFSKIMQQGFAYLPPGICMSSMNRPVSYEQAIAWKVLNDDDSNHCLAFMFLNWSFV >OIV92515 pep chromosome:LupAngTanjil_v1.0:LG19:566454:566954:1 gene:TanjilG_02278 transcript:OIV92515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPNLPLISLKTADGETFNVSPIIAKHMEIVQNFIGDDSSVSVTSSVVPLPNVNASELSKIIDYLDYHHNFRKGVDSNDEEAKEFDEKFTKGLSHDELKMLLIAANYLNVKELLELLFQTIADIIENKSVEYVRNFFGIVSTYTPEEEEELRQQHRWAFEGVDED >OIV91797 pep chromosome:LupAngTanjil_v1.0:LG19:14494376:14496529:-1 gene:TanjilG_14376 transcript:OIV91797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGTIGIRLQNTAISNATRRTLVPFSTSSSGGGRGRGGPPSGSGPFNFNERAPGKPNSSDSKSGTPDSPVAPGSGRGHGRGSPILPPSGLPSFSSFISSIGRGQGTGPVASKPELDSQPPASRPEKSVSSKGEDGVSLTATQDSLPPKKQIFTKSEDVVSPTARISNDENDNSLPGSIIGVLSGLGRGKPLKQADPEAKVTEENRHRRTRRVPAAPSASETAVPDRKPLQSREDAIINARKILSQAEGDGSGTGRGLTERGGFGRGRGRGRGRGRGGFVRDNDERAGRFQDAEDGAGLFTGDDVDGEKLAKKVGPEIMKQLTEGFEEAASNVLPSPLEDEYLEALDYNYAIEFEPEYLMGDFERNPDIDEKEPIPLRDALEKMKPFLMAYEGIQSQAEWEEIMEDTMARVPLLQKIVDHYSGPDRISAKKQQVELERIAKTLPQSAPSSVKQFTNRALTSLQV >OIV92400 pep chromosome:LupAngTanjil_v1.0:LG19:1467561:1473433:1 gene:TanjilG_23000 transcript:OIV92400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELCRHFYTLGWVSGTGGSITIKVHDDSIPKPHQIILTAPSGVQKERMEPEDMYVLFQDGSVLSAPSPKPYPHKPPKCSDCSPLFMKAYEMRDAGAVYHSHGIESCLVTMINPLSKEFRITHMEMIKGIKGHGYYDELVVPIIENTAYEYELTDSFAKAIEAYPKTTAVLVRNHGVFVWGDSWISAKTQSECYHYLFDAAIKLHQLGLDWSTPDHGPKQGARRGLSLAGESNLSVKARKTEGEIDPFPRCVVLDIEGTTTPISFVSEVLFPYARDNVGRHLSATYDTPDTQNDIKLLRSQIQSDLEQGIAGAVPVPEDDAGKEEVIAAIVANVDAMIKADRKITALKELQGHIWKTGYENNELEGIVFDDVPEALEKWHALGIKVYIYSSGSRLAQRLIFGKTNYGDLRKYLSGFFDTTVGNKKETPSYVNIFESLGVDKPSDILFVTDVYQEATAAKAADHWAGQQSYCCAKAYGN >OIV91639 pep chromosome:LupAngTanjil_v1.0:LG19:17128889:17129803:-1 gene:TanjilG_09051 transcript:OIV91639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDLFSSSFKKYSDLREQTHLDDVEAGKETVNLDKFFEDVENVKEDMRGVEKLYRKLQEANEESKIVHNAKTMKDLRARMDKDVEQVLKCVKIIKGKLEALERSNAANRKLPGCGPGSSADRTRTSVVSGLGKKLKDLMDDFQGLRARMQLEYKETVERRYFTITGEKADEETIENLISSGESESFLQRAIQEQGRGQIMDTISEIQERHDAVKEIEKNLIELHQVFLDMAALVESQGQQLNNIESHVAHASSFVRRGTEQLQEAREHQKSSRKWTCYAIILGIVLIIVILFPLLSSILPHLIL >OIV92264 pep chromosome:LupAngTanjil_v1.0:LG19:3999165:4008743:1 gene:TanjilG_00282 transcript:OIV92264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKFSSWISRFRSSSKYFYHSIRTFTNEPSTIHAFNSHRTTWSKSMLPLALAVSAASFSHFNPSLCDAPDTRGASFGGKGSTQHVVKGSQKEFPQELLNELKAICQDNISVDYDERYIHGKPQNSFHKAVNIPDVIVYPRSEEEVSKIVKSCDNHKVPIVPYGGATSIEGHTLCPNGGVCIDMSLMKRVKALHVDDMDVVVEPGIGWMELNEYLEPYGLFFPLDPGPGASIGGMCATRCSGSLAVRYGTMRDNVITLKAVLANGDIVKTASRARKSAAGYDLTRLMIGSEGTLGIITEVTLRLQKIPQHSVVAMCNFPSVKEAADVAIATMMSGIQVSRVELLDEVQVKAINIANGKNYPESPTLMFEFIGTEAYAREQTQIVRAIVSEHNGSDFVFAEEPEEKKELWKVRKEALWACFAMEPDLEAMITDVCVPLSHLADLISRSKNELDASPLVCTVIAHAGDGNFHTVILFDPTKEEQRREAERLNQFMVHAALSLEGTCTGEHGVGTGKMKYLEEELGVEALRTMKKIKSVLDPNNIMNPGKLIPPHVCF >OIV91993 pep chromosome:LupAngTanjil_v1.0:LG19:11248412:11250727:1 gene:TanjilG_07732 transcript:OIV91993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLHAVDIKKPKEDTNQVDPSNIQAQNFTFRELATATKNFRQECLMGEGGFGRVYKGAIPATGQVVAVKQLDRNGMQGGSKEFLVEVLMLSLLHHENLVKLVGYCADGDQRVLVYEFLPGGSLEHCLFESRQDEAPLDWYSRMKVASSAAKGLWYLHDKANPPVIYRDLKSSNILLDNEFNAKLSDYGLAKLAGKDKMNIVPTRVMGTYGYSAPEYVRTGNLTLKSDVYSFGVVLLELITGRKAVDTTRPNDEQNLVSWAQPIFRDPKRYGDIADPLLNKNYPDKDLNQVVAIAAMCLQEESAARPLMSDVVTALSFLSTSPPENNVVNAPEIPLDSKNESEEEEEESVKSNSDSSDEEDGSYHNKDKSSDDETAKEKVSASAKYQESDVSDDYYQNEDQRDYSPQDTKDEYYSKPSRKSSTRSKNANNSSGRRISSSSNSDSEDGIKSSHGVANGNISKKSSKKNSQSQNKSKKSSLSIKSSKKSVRVLSHKRSSGKGSENGNDSNSSEESHDEGDAVFDRSESRPSQGNMSSGLFSIDQSVHSDYQYSMDRRSEEGSNRMHILDHTSSSGSDDEE >OIV92269 pep chromosome:LupAngTanjil_v1.0:LG19:4098422:4100376:1 gene:TanjilG_00287 transcript:OIV92269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKTESHMTSAAAFVEGGIQESCDDACSISLEDFCVERAFYGSQELFEAVEHERSLRDRPSRNAAIFHHPAFGDFDFQPLRMGDTDFEEQIIQHLAAAAMGRAHHLGRREGICEHIFVLRGFAAHSSPVNQDGAGPSEFQSFSDSLRYKDSISKGTKGWKERLFSRTSSMPEFGSEARSEANVGIASVSRLVEFLQTRENNRVVGTSLSNHLEDRSIVDGSSNQNNVEGSRENFSHNNNTPAACSAGSHSS >OIV92230 pep chromosome:LupAngTanjil_v1.0:LG19:4912966:4913814:1 gene:TanjilG_31149 transcript:OIV92230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFFLLKNSLGAKMKKGMRTFCNNNNSTSTFDQNNSSTIIDKSYHNQGDLTSKVSSPYLKQGDNSKQNSSTLEDLILQLEMEEDLAKKVKHNEYRGIKERMSCVNNSDILRSARDALNQYPRFSLDGRDAMYRSSFGTIEGRRSVCSEMSLGERLLEENDLVSKFDKAMSLPSTVAGESVVWCKPGVVAKLMGLEAMPMPVSSKRCSNKEKLSSSAIRRQNLRRIFERHDLERKLAMEMQGYHGVRRHNSGCCSKNGYRIVKPVALEALAGGPGSFQPHRYA >OIV92251 pep chromosome:LupAngTanjil_v1.0:LG19:3810241:3813661:-1 gene:TanjilG_00269 transcript:OIV92251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASTTIPAIACNNRTHFKSSYPSSHFPIKFASFPSSSSHVSCSVTRDSSASVSPLEEQNKLGNGSLLFQRPDSFGRFGKFGGKYVPETLMHALTELEAAFHSLTADEDFQRELAGILKDYVGRESPLYFAERLTEHYKRSNGEGPDIYLKREDLNHTGAHKINNAVAQALLAKVLGKKRIIAETGAGQHGVATATVCARFGLECIIYMGAQDMERQALNVFRMRLLGAEVRPVHSGTATLKDATSEAIRDWVTNVETTHYILGSVAGPHPYPMMVREFHAVIGKETRKQALEKWGGKPDVLIACVGGGSNAMGLFHEFVDDKDVRLIGVEAAGFGLDSGKHAATLTKGEVGVLHGAMSYLLQDDDGQIIEPHSISAGLDYPGVGPEHSFLKDLGRAEYYSITDEEALDAFKRVSRLEGIIPALETSHALAYLEKVCPTLPNGTKVVVNFSGRGDKDVQTVIKHLKL >OIV91726 pep chromosome:LupAngTanjil_v1.0:LG19:16555781:16559542:-1 gene:TanjilG_26579 transcript:OIV91726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNQKENSNNGTKSAKRKPVFTEVDQLKPGTNGHNLVVKVLSSDTVLHKPQPPRPSSSFNNLRPTLVAECLIGDDTGTIIFTARNEQVDLMKAENTVILRNAKIDMFKGSMRMAVDKWGRIEITSVSRLEDENEEKLVDGMCNEYGCTEAAGIESTTLNLIFKKLSPSGHPIGISSF >OIV92204 pep chromosome:LupAngTanjil_v1.0:LG19:4521411:4524346:1 gene:TanjilG_31123 transcript:OIV92204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWASAEGGAPEVTLETSMGSFTVELYYKHAPRTCRNFIELSRRGYYDNVKFHRIIKDFIVQGGDPTGTGRGGESIYGPKFEDEIKQELKHTGAGILSMANAGPNSNGSQFFITLAPCPSLDGKHTIFGRVCRGMEIIKRLGSVQTDNNDRPIHDVKILRTSVKD >OIV92086 pep chromosome:LupAngTanjil_v1.0:LG19:8354685:8362253:-1 gene:TanjilG_08759 transcript:OIV92086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYMTHKLVTKTNVSTPKLPPGPWKLPIIGNIHNLVGSLPHHRLRDLSAKYGPLMHLKLGEVSTIVVSSPDYAKEVLKTHDLIFASRPPILATNILGYDSQGLALAPYGDYWRQLRKICAMELLSSKRVQSFQPIRGEELAKLIKLIDSKEGSLINLSKEVTSTLSTIVSRTAFSRKCKNHQEFISVIKEATEVAGGFDLGDLYPSAKWLQHISGMKPKLEKLHHQADQIMQNIINEHREAKSRTIEGQHEEAEEDLIDVLLKFKDANNLTDDQIKAVILDIFGGGSETSSATLVWVMAEIIKSPRVMKKAQAEVREVFDKDGKVNERDLEKLEYLKYVVKETLRLHPPASLLLPRECRQACEIKGYHIPLKSKVLVNAWAIGRDPKYWTDPETFYPERFSVSSFDYKGTNFEYIPFGAGRRICPGIIFGLINVELPLALLLYHFDWKLPIGMKDGDLDMSETFGSVVTRKDDLYLIPTAYHP >OIV92188 pep chromosome:LupAngTanjil_v1.0:LG19:5243326:5271145:-1 gene:TanjilG_30896 transcript:OIV92188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGNDEWVDNTLKKAKNLLNKPNKNGDTALHVAARTGHISIVKKLFTVHILSLRFPFSNGPIMEFERTLLVKNSKGNSFFHEALYNGREDVMSLLFDVEQLHLKEEFMELFVRIALTQTNMENKSLLYMAVEAGYKEFPDKALLKLYSTKPQPQRQFNKFIGEDKTVIDELFPKRDVIAGKSPLLAAIFKRDQGFVKNILKGIPEWTYLVDNEARTPLHHAASIGYVNGVNCLLEECVYLKIGGHVEVVDRIVYELIWDKRVNCDLVNNNHHTPLDACMQFQPKNTSLPQRLTWIALKSAGMKSQVPQPFTTKVPSQSIECYWKHEAPKMDSYNDRISTLLVVSTLITTAAFAAGFAMPGGINGSDPGKGMSILLDRVWFNVFIFCITISMYGGISATIILISAQLGDISLAVLSLKVAMPILGITLGTLICVVVIWFGYDEFASIADELIVNAAYIGTPGKGILAADESTGTIGKRLASINVENVESNRRALRELLFTTPGAFDCISGVILFEETLYQSSAAGKPFVELMKESGVLPGIKVDKGTVELAGTNGETTTQGLDGLGQRCQKYYEAGARFAKWRAVLKIGPNEPSELAIHENAYGLARYAVICQENGLVPIVEPEILVDGPHDINKCAAVTERVLAACYKALSDHHVLLEGTLLKPNMVTPGSDSPKVTPEVIAEYTVRALQRTVPPAVPAIVFLSGGQSEEEATVNLNAMNKLKGKKPWNLSFSFGRALQQSTLKAWSGKDENIKKAQDAFITRCTANSKATLGTYEGDATLGEGASESLHVKDYKY >OIV92029 pep chromosome:LupAngTanjil_v1.0:LG19:9885185:9896108:-1 gene:TanjilG_19497 transcript:OIV92029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPGNNPNQPPSPQPPFDMHSFFNPTNSNPNPNHNQNNLPFPPPSSSSSSYPLPTAFSAAQFYPQHPHFLPSYDHHLHHQQQQQQQQQQQHRSLSFPTPPLQPQPIPPPSNPNAGARLMALLSAPPQPSSASTPTPFSHPDFPTAPPLAMFTAANAAVGALNREQSSKIPKGRHLTGDHIVYDLDARQPGEVQPQLEVTPITKYGSDPNPVLGRQIAVNKSYICYGLKQGNIRVLNIRNAVRSLLRGHTLRVTDLSFFAEDVHLLASVGADGRVYVWKISEGQDVEDKPQITADIVIAIQIAGEENVENPRICWHCHKQEILIVGMGKYVLRIDTTKVGNGEAFVAEDPPRCPVDKLIDGVQLVGTHDGEVTDLSMCQWMRNRLVSASQDGTIKIWEDRKTQPLAVLRPHDGNPVFSATFLLAPHRPDHIVLITAGPQNRVVKLWVSASEEGWLLPSDDESWKCTQTLELMSSAQPCLRDAFFNQVAALPHAGLLLLANAQRNAIYAMHLEYGSYPESTRMDYIAEFTVTMPILSFTGTHDISPQGEHIVQVYCVQTQAIQQYALDLALCLPPSLDNMGLEKSDSVVSRDAITAEVFTSLESFAARASDTSLPSSAPKTVVQATSTESAHVARYPFSSGHIEAPASKEVSSSNTEAKPVTLAPSSSDADIVCVPSPPLPLSPRLSRKLSDFRNPQSNLGDHSGEQPVNDYSVDRQMDTIHRNLFDVSTLNNDSKIDEKKVKQSDISGVLNPSVMFKQPTHLVTPSEIIKAGSPSETNMIDRKGEGEAKIQDVTDVGNTEVEVKVVGEARSNQCNEFDLQGPQQNLLSDSKEKFLCSQASDLGIEIAQESRAITEEAYISEELGQDDSTVGDSLTQPSNALEDGLQEAKDVHEKVSDSSTSMTVPPSPSPNIKGKKQKGKISQASSPSSPSPSVYNLNDSSNEPSGSSSLLSAENASPQMLAMQESLNQLLSMQKEMQKQLTMMVAVPVTKEGRRLEASLGRSMDKAVKANTDALWARIQEENAKTEKFLRDRIQNITGLISNFINKDLPAMLEKTVKKEMASVGSAVVRSMSPAVEKIISSTIVESFQRGVGDKAVNQLDKSVTSKLEATVARQIQAQFQTTGKQVLQDALKSSFETSVVPAFEMSCKAMFDQVNATFQNGMAEHSSAVQQRVESGHTSLAMTLRDSINSVSSVTQTLNREVVEGQRKLLALAAARPNSGTLNPLTIQLNSGPLLHEKLSRLVSERKYEEAFTAALQRSDVSIVSWLCSQVDLHGLLSMVPLPLSQGVVLSLLQQLACDISNDTPRKTAWMTDVAAAINPSDPMITLHVRPIFEQVYQILNHQRSLPTMTGADLSSIRLLLHVINSMRMTCK >OIV92026 pep chromosome:LupAngTanjil_v1.0:LG19:9805333:9827937:1 gene:TanjilG_19494 transcript:OIV92026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLYNAWLPPPVAAETAAERDSFHRLVLTLNSSYRHDDPDSVYSTLKQISVLDLFVKAKSDVSLQDVHSLIQTGLELFHLSHNKLYAQVRWGNLLVRLLNRYRKKVALTIEWRPLYDTLISTHFTRGTGPEGWRIRQRHFETITSLVQSCRKFFPSGSAFEIWSEFNDWIKECMQLWKSIPNCQFWNSQWADVIARVVRQYHNVDWECFLPLLFARYLNMFEVPVANGSGSYPYSLDVPRYTRFLFSNKTATPSKAIAKSIVYLLKPGSSAQQHFEKLVNILEQYYHPSNGGRWTYSLERFLFHVVIQFQKRLQNEQLGINNSRPAEQHLGESERVFFVNSVLKLIDRGQYSKNEHLSETVAAATSILSYVEPSLVLPFVASRFRMALETMTAIHQLKVAVMSVAFVGRSLFFTSLSASSMKPLDLGGGDEAFIDLVGLSLSNALLGMDANDPPKTMATMQLIGSIFSNLALLDDNIDDLSFMPMIRFSEWLDEFLCRLFSLLLHLEPSSVVNEGLQSSAASGTFLVDEGPYYFCVLEILLGRLSTSLYNQALKKISKFVRTNILPGAIAEVGLLCCACLHSNPEAAVSQIVEPILVSVISSLKGIPRTGFGGGGTFEARASTKVKSTISPALEAAIDYQLKILSVGITYGGPALLRYKDQFKEAIFLAFDSPSWKVNGAADHLHRSLLGSQIHYYPIDQYKCVLSHPDAAALEEWISTKDFPTDERLIPKWHIPSDEEVQFVNELLDIHFNSALDDLLKICQSKIHVDQGDEKEHLKVTLLRIESTLQGLFSCLPDFVPGSRNGMVEDSNNTFLIAGATGCTVGSTALREKATEIVHAACKYVLEKKSDDSILLILIIRIMDALGNYGSLEYDEWSSHKHAWKLESAAIIEPPINFIASSHSKGKKRPRWALIDKAFMHNTWRSSQSAYHLYRLSGNFFPSDHATLLMDDLLSLCLHSYETVRLLAGKALVKLIKKWPSMISKCVTILANNLQDPNAKEYAVLGSCSVLASQTVLKHLTTDPKSFSSFIMAILSSSHHESLKAQKAINELFVKYNIQFSGVSRSFFQISDKDNHTGGLSFSDLVSQIGSMSFDSSGLHWRYNLMANRVLLLLALASRNHPYSSSKILSETAGHFLKNLKSQLPQTRILAISALNTLLKESPYKLSPGEKSVVLEDLQGNAKSSLEGALTKAFQEEGFFNETLTSLSHVHIITDTETATRGNQGDSSFQSLADKSITHFYFEFSASWPRTPSWISFIGSDTFYSSFARIFKRLVQECGMPLVLSLKDAVDEFMIAKERSKQCVAAEAFAGVLHSDIDGLSGAWESWLMPQLKNIILAQSVESVSEWANCIRYAVTGKGKHGTRVPLLRQKILDSLMTPLPPTVATTITAKRYAFLAAALTEISPQKMPVAEMQLHGTLLKEVLGSMCHSSAQVREALGVTLSVLCSNIRLYHSSHDHNDDERNNNVDDLMKDESWVQFLTERAAEAVVNIQIATQSDKLVNSIDTSSQNGHSDGDSQDDMKWMEAVLYFIISSLKSGRSSYLVDVIVGLLYPVISLQETSNKDLSTLAKAAFELLKWMIVWEPHLEKVVSVVLSASEDSNWRTRSATLTYLRTFMYRHTFLLSSSKKQEIWRSVEKLLVDNQVEVREHAAAVLAGLMKGGDENLAKDFRDRAYVEANIVQRRRKTRNSSSGSSVASLHGAVLALAASVLSAPYDMPSWLPEHVTLLARFSGEPSPVKSTVTKAVAEFRRTHADTWNVQKELFTEEQLEILADTSSSSSYFA >OIV92573 pep chromosome:LupAngTanjil_v1.0:LG19:84447:85100:1 gene:TanjilG_02336 transcript:OIV92573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVKVKQMIEIIEEDADSFAQRAEMYYKKRPELMNLVEEFYRSYRALAERYDHATGLILHAHHNLAELNEPVSHTKLFDETQEINVENGRYDDDDDDEEEEEVLLSEWERLNKVEAEILGLKKGVEILESEKEGGLVFEYEDERLCNIESQVFDVRENCERVEKGASKAEGEVEKMKEVITKLDAQKEAASVMYRHCFHKMNNLENNISSVEVDHSL >OIV91563 pep chromosome:LupAngTanjil_v1.0:LG19:17710704:17712261:1 gene:TanjilG_08975 transcript:OIV91563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNVPKTRKTYCKNKECKKHTLHKVTQYKKGKDSTAAQGKRRYDRKQSGYGGQTKPVFHKKAKTTKKIVLRLQCQGCKHVSQRAIKRCKHFEIGGDKKGKGTSLF >OIV91950 pep chromosome:LupAngTanjil_v1.0:LG19:12236575:12236955:1 gene:TanjilG_23211 transcript:OIV91950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQTLIHCIYQYAAKPVKAAIAGLQTRLVGALEDIDPNGENAESGPGATMSSGFELGLEGMVGISEPPGVGNTSSGEEGKSGAMSGDNSWKGDSEDCEYDECKGDGMKDIMIISTKYTLTEAIISK >OIV91763 pep chromosome:LupAngTanjil_v1.0:LG19:16897335:16902538:-1 gene:TanjilG_26616 transcript:OIV91763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSKIVLDYALFQLTPTRTRFELVVFLGGKYEKIASGLFEPFVSHLKFVKDEISKGGYSIKLLPPNNSAFWFSKATFERFVRFVSTPAVLERFASLENEIVQIESSYQANASSMSIATTDEGSMPQTNGLLRRLSDSTKLNGVLDDVDNKEEENSKISLQRLLESRIALLRKEQAMAYTRGLVAGFEIDNIDDLIYFANAFGALRLREACINFKELWKKKHADDHWIQEVAAMQSCLPPTLSFSETSGIILANDVIAQDQNNIPSGDEQKDSNLPTSNQMPSNTAHVHMPIMPWPYNVPPYMYNLQNPIQQMPSYQGYPMANMQSIHPYLPRNMKWPSNQGENHKPSKKKEKSRHKKGPEEYDDQQTESSDPDSESESNSDELRDSNNSLKDDSKRKNHRRKSSGTVVIRNINYITPKRRNGNDDGLSDESSVDDDDVMNEETIKHKVGAALESLQKVHKVEKHANGKKSKARRNGTKSSDAAEHDLTEKSSDASEGGNKNENWDAFQNLLKIDEDTGIDGSERVKKIDVQDEHFAVRNIEEERMPYDAVSSFHSLDFKEVPKTTKISNDSFVVTQRDGGNEDESRLDGYVDNYGVVAKSRNFMSEEVLLSNRSKEPRNELGDPLSTFIADSSVTKGKTTEDWFIVDNLDKMRSPDPSVMPAMFDGDCTLSSVNDKSPSEKQSERTFTDDSFMIQGQLVDNSISDSRWKTDISMAADLTPASKLESSNEKHALSNNHEPNDLCVVLQRDSGTDSVAASWSMDYEIDFSYSETDKRSSIDDSQDNANKVPVIPEKPNTNKSNVSGIRNTEKELKSKTSRGSYGRGKPEIIPRNKRSPLTSKPIVQKSKREQEDEIRKKMEEIAAERQRRIAERTASSGLAPAAKVSPKSDKNKIQSMKDTNRNNSVKVRGI >OIV91967 pep chromosome:LupAngTanjil_v1.0:LG19:12005102:12006195:-1 gene:TanjilG_26489 transcript:OIV91967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLGDEVDLEDYVSRPDKISAAEISAICQEAGMHAVRKNRYVILPKDFEKGYRTNVKKPDTDFEFYK >OIV91707 pep chromosome:LupAngTanjil_v1.0:LG19:16389065:16393158:1 gene:TanjilG_26560 transcript:OIV91707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHFPSTIPLSLARIWIPLNLLISFVLINCYLVEATNADNEVISIGAIIDGNSRIGKEQKVAMEIAAQSYNNTTKSFKLALYFRNSTKGPLTAISLAEEMINTQKVQVIIGMHTWSEAALVSEIGSQAQVPIISFAGPTITPPLMQIRWPFLVTLANNGTSYIECIADIVQAYSWQRVVAIYEDDAYGGDYGKLALLSEALQDVSSMIEYRLALPPISSLHDPKESIREELQKLMMQTQSRVFIVLQSSLEMVIHLFKEASTMRLVDRESVWIIPESITNLLDSVNKSVIPYMEGALGIKTYYSERSSEYQDFEAQFRRTFLAKNSEEDNRYPGFYALQAHDSIKIVTQAVDRMTKNNNSSPNNLLREILSSNFHGLSGQIKFEAGQLLRSPMLQIVNVIGKSYKELCFWTQQQSFNTSLPTEQGGDNVAGNTECFQGVHWPGNLQRDPKGWNMPSVQNRLKIAVRSRTSFAKFVKVDDQNNYSGFCIDIFDLVRGLLKYDLPFDYYPINGTYDDLVRLVYNKTYDAAIGDMTILEERLQYVDFTVPYAESGLSMIAPTKSEESTWLFTKPFTWELWMVTGAILIYTMLVVWYLERKPNPDFHGNWKSQISTALWFTFSSLFFAHREKMHSNLTRVVMASWLFLVLILNSSYTASLSSMLTVKQLQPNVTDIQWLKRNNMKIGCDGDSFVRSYLEKVEKFKPENIINFTDEYTYADAFANKSIAAAFLELPYEKVFIGEYCNRFAGFTPINRFGGLGFIFQKGSPLTRDVSKAILHLSANAELKRLEEKWLINSKECSMNMTSNNDTDSLNLGSLWVLYVISGATSTICVILSTIQWLKSSYQHQHVAPITNDATPSDEDDSMLKSVITLSKQISSKRVINASEDYVSTVDTIEHWEEIAAPVHEILVLNSPPPPLEVQINS >OIV92413 pep chromosome:LupAngTanjil_v1.0:LG19:1561664:1566888:-1 gene:TanjilG_23013 transcript:OIV92413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSMSDIEERDLKGLLETFASTISLEDIANAYCEADRNVHLAAEILCASKDEVKGATEIEPAEKSSVTLSSASGVPKSFERQRNSGAGKSKFQPASVGTVAGIVGKDYTRHKTLTKPYQEVKKPLKIDAKELPESVIWGEKPSMGTAAAKGPMSNDVVNFLFKMLGDGFELERNKILDVLGLCGYDVVKTMENLLDLSASTLEKCDDIRDLADENKINVLLYVDTLCSEELCKERIGLQKEILESLFDFPERPEETPQRRRPAREIPNRRYHISVAKLPEDQDTATIQQTTVVESQIIKEERDDDENNYNILRKAVREYWITMKEYYGSDYKLTELVKLARAVEAFSMGDYARADMLLTKGHFYNKKAREADEKSAQMVLQTNETDDRDGITLDLVDHEPRDALRLLKVHLTSLCGICTIKYLRAKVGTGAEDIKGARKRLIIKLLDKNSIKWTEEGNGGILRIQVDIVDPKSLSFAKNK >OIV91543 pep chromosome:LupAngTanjil_v1.0:LG19:17867284:17869525:-1 gene:TanjilG_08955 transcript:OIV91543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTLMNMSHSLRHFPIPTTLLRRPQFLSSAAISHSASVIWEQRVKDVKAEKNLRVITNPGFSFSAAGLLFPYHLGVAQFLIQNGYIKETTPLAGSSAGAIVCVAIASGTSMEEALKVTKILAEDCRNRGTAFRLGAVLRDVLAKFLPDDAHIKSNGRVRIAVTQLLWRPRGLLVDQFDSREDLINAVFTSSFIPGYLAPRPATMFRNRLCVDGGLTLFMPPTSAAQTVRVCAFPTSRLGLRGIGISPDCNPENMVSSRQRLKWALEPADDAVLDRLFEFGYLDAAVWAKENPVENIVQGDSNVIESSIVV >OIV92083 pep chromosome:LupAngTanjil_v1.0:LG19:8260957:8264239:-1 gene:TanjilG_08756 transcript:OIV92083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPPPGFENGWGPLFLPSNLIYMSISQLVSELRDSFLPTDFDRVEEALVAREAKLMVENEQKKREIGNLQEKIELERLRRVKAEIGNEKRVQEKFGEVSVKQEKCKVDDSDVVVVSELRKRICELESEKKKWVGDSYTIAKLVAENGRLVKEKREAEALVESWKRNFDALNEQLLNGNGNGNGGGGSNEEKRMMEIDVGSEPLKRNGDDCFSTRKSTSKARKDGPRASGGRLGIRMDIDTKDSDKKTCESKDKQEINAHLRSTAVQQKNLPTRLAEPDETLKRKFPSLHEYGRKINFNLLGQLDDIDSDASSSSSDSSCSLDMSSLPLSSLTTIKKKRTEIVLD >OIV92001 pep chromosome:LupAngTanjil_v1.0:LG19:11400563:11407271:1 gene:TanjilG_07740 transcript:OIV92001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTVPKETIEVIAQTIGITNLSPDVALALAPDLEYRIREIMQESIKCMRHSKRTFLATEDVDSALGLRNLEPIYGFTSNDPMRFRRAAGHKDLFYVDDKDVDFKDLIEAPLPKAPLDTSVTSHWLAIEGVQPAIPENAPAEAPSEIRKPEYKEDGLPVDVKLPVKHVITKELQLYYDKITELILKKPGSIPFRRALVSLATDSGLHPLVPYLSRFVADEVAQNLNNLPLLFALMRLVRSLLQNPHIHIELYLHQLMPPIITCIVAKRIGNRLSDTHWELRSFSANIVASICKRFGHVYHNLQPRVTKTFLHAFLDPTKSLPQHYGAIKGIAALGSRMVRSLIIPNLEPYLHLLEPEMQLEKQKNEIKRHAACQVYGALLCAVGQCMHKKVKEFSSFLSPINATSRGSGKVMTAMPGKRKATTDNLMQQPPLKKIAVDGPMGLIPMNSTMMGISNIGMSSTGRQLANDNMPGREVEGQQSKVSSILAQAWKDDMDTAAQW >OIV92097 pep chromosome:LupAngTanjil_v1.0:LG19:7264004:7269643:-1 gene:TanjilG_27252 transcript:OIV92097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSEQLREEAHKMVDFIADYYKTIENFPVLSQVQPGYLGKLLPDSAPDHPEPLQHVLNDIQEKILPGVTHWQSPNYFAYFPSNSSIAGFLGEMLSAGLNIVGFSWITSPAATELETIVLDWLAKALNLPDDFYSTGQGGGVIQGTASEAVLVVLVAARDKILRRVGRSALNKLVTYASDQTHSALQKACQIGGLNPELCRLLKTDSSTNYALSPDVLSEAISSDITSGLIPFFLCATVGTTSSTALDPLPALGRIAKINNIWFHVDAAYAGSAGICPEYRHHIDGVEEADSFNMNAHKWFLTNFDCSLLWVKDRSALIQSLSTNPEFLKNKASQENMVIDYKDWQIPLGRRFRSLKLWMVLRLYGLEGLRSYIRNHIDLAAYFEELVAQDARFKIVVPRTFSLVCFRVLPPPNSEDHGNKLNHDLLDSVNATGNVFITHTVLSGQYILRLAVGAPLTEKRHVKGAWQILQDKATALLGSL >OIV91794 pep chromosome:LupAngTanjil_v1.0:LG19:14517882:14525181:1 gene:TanjilG_14373 transcript:OIV91794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAEAASDGAGPRYAPDDPTLLQPWKGLIDGSTGVMYYWNPETNDTQYERPAPLAPPVPAGPAPGASTPSLAPIPGAHMVQSGGVVAQYGQVHSSQQQQGNHFGQQYGQPMLQQHPSQAGQSAQHQNSQLSHSAAQPGYQARPHMMHAQGQHAMQSQGQQIMHPQGQQMHYQMSSPHSQHHGQGPPQDHSLRNMQPQAHQFTPQNMQYMSYQQNMIQSGQPNSQSVQPNMNTSGQPNSQHIQNHSMHGQPPFESQQDYKTAYPKTEETEFKNGSQTGNSPSQYQQRSGLPVQNNQSVHADISSDQLPNVGFNAGQPQQFRGLSGNVHQSPSAMQSPLGGSDLFYPHGPRPNFRNQMSPGMMHGHPSNVHPAGQKMGHEENLRGRSGNEYHYNSSKEMPTTGHHQPDMAQIPISGNRKDMTIGNTPFQNVMPGGSGISRNSMQNTFTPPMGPPSFSSNAPIRPPYIGSSDVSGLSQAELYCQQHEITATGDNIPPPFMSFDAAGFPPELLREIYSAGFSNPTPIQAQTWPVALQGRDIVAIAKTGSGKTLGYVIPAFLLLKQRHNNALNGPTVLVLAPTRELATQIQDEILKFCRSSRVSCTCLYGGAPKALQLKELDRGADIVVATPGRLNDILEMKKIDFGQVSLFVLDEADRMLDMGFEPQIRKIVNEIPPRRQTLMYTATWPKEVRKIANDLLVSPVQINIGSVNELAANKSITQYVEVVSQMEKQRRLEEILSQQEQGSKIIIFCSTKRLCDQLARGIGRSFGAAAIHGDKSQGERDYVLNQFRSGKSPILVATDVAARGLDIKDIRVVINYDFPTGVEDYVHRIGRTGRAGATGVAYTFFSEQDWKHAGDLIKVLEGANQPVLPQLRDIALRGPPNYAKDRGGMNRFDSGGRVGGRWEAGGRGGMRDGGFGGRGGMRDAGFGDRGGRRDGGFGGRGGMRDDGFGGRGGMGDGGGFGGPPGGMKDGGFGGPPGGMREGGRGGMRDGAGGRGGRGDFFSGRGNRGRGFDGPRGGHVGWGRGEQGPNDRYSMDGRGRGRGRGRFDNRRDTGFRNRGGSTSRSPERVRTWDASSRSRSRSKSRGRSRSRSWSHDRSRSYSHSISPRKSRSRSHGRRSYSRSHSRGRRSYSRSHSRSRRSYSRSRSPAANVRRNRPSKFSDMAPEVGAADPRMVGAEHVEQVPVVGSTGPDNPEAVVDLSH >OIV91578 pep chromosome:LupAngTanjil_v1.0:LG19:17606537:17608897:-1 gene:TanjilG_08990 transcript:OIV91578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLHAMFPKLSRCFSYTASRDWLYRQSFSVAGLRSVATNLGDGTTMSCWVPKINHPSKPTLVLIHGFGANAMWQYGDHLHHFITHYNVYVPDLIFFGESFTSRPERTETFQAQCLRKLMEAHGVHRMSLVGTSYGGFVGYSMAAQFPEAVDRLVLCCAGVCFEENDMKDGHFAVSNLDEAFSILLPQTPDKLRELVKLTHWRPTMDVPSFFLADFIHVMCSTHVEEKKELIEAILKARQLSNLPKITQPTLIVWGEHDLIFPLELGYRLKRYIGESAEIAVIKNAGHGVILEEPKEFARHLKSFLIDTQSTSLSPQLTLREKIQKIFDISK >OIV91548 pep chromosome:LupAngTanjil_v1.0:LG19:17828627:17829509:-1 gene:TanjilG_08960 transcript:OIV91548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTRGGGGAAAAPPLSAQPPPNGYHHRQYYPTTPARSSSSASFKGCCCCLFLLFSFLALLLLAIVLVIILAVKPKKPQFDLQQVAVQYMGITPTTDPTNAVTTTAASLSLTIRLLFTAVNPNKVGIEYGESRFTVMYRGIPLGKASVPGFYQDSHSEKKIAATISVDRVNLLQADAADLIRDASLNDRVDLRVLGDVAAKIRVMNFDSPRVQHHCGVTLTYFLGR >OIV92540 pep chromosome:LupAngTanjil_v1.0:LG19:321822:327191:1 gene:TanjilG_02303 transcript:OIV92540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYRRSLLIRGNLDRKCRSSFGYVIHSDERKHEHPDEKSSSVGISNFIQKRSFVSSLNGSMGFNTSSRGTMLSNRFLAPCSGYDFCRYMSTANQGSDKIDIMTDVAEVLSDTTVEAVASQAPIVNEVAIAAADSYLPVKFLQYTIDAVHCYTGLNWWAAIVLSTLVIRSATVPLLINQLKATSKLSLMRPKMEAIRERMQEQAMDPAAVADGQKEFKKLFKEYGVSPFTPLKGLFIQGPIFVSFFLAINNMAEKVPSFKQGGAFWFMDLTTPDTLYVLPVLTALSFLITVECNMQEGLEGNPVAGTMKNVSRGLAVLTVPFTMGFPKAIFCYWVTSNLFSLVYGLVLKVPGVKKTLGLPEMPAPAPATTTTTSAPQSPFSIFPALKKAASVTNGSNSLPNEAPKLSDKKISSSSVISQRLRSLEKQVKGRKKNNKK >OIV92076 pep chromosome:LupAngTanjil_v1.0:LG19:7947413:7951487:1 gene:TanjilG_08749 transcript:OIV92076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASWCAARCFRIPAMNFGDLGRRASFAAGKGGAPMAGALWRSKSSAPFACLSISTDTSIKEAVQTEKAPAALGPYSQAIKANNLLFVSGVLGLVPETGKFLSDNVEDQTEQKLMVSLKSGVLGFRRKEWSGGRIERRLADLKDFKKVNEIYAKYFPSPAPARSTYQVAALPLDAKIEIECIAAL >OIV92351 pep chromosome:LupAngTanjil_v1.0:LG19:3399632:3408978:1 gene:TanjilG_10561 transcript:OIV92351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEESLPPPSQLSTRRPKRGRPPKPKQNDVVVEHRESSPEEFDEAPRPKSKRGRFKEGTSTTADAAQNASDLTLIEVIKGNGKLIPHAVKLWVERYEKEPKPAMGELLTMLFQACGAKDYKCDLVDEADVDDVVVAVVNCAKNGEVDDYHNSKKKEFKNFKENLESLWDNLVCECQHGPLFDQVLFDKCMDYIIALSCTPPRVYRQVASLMGLRLVTSYITIANMLGAQRETTRRQLDAEKKKRKEGPRVESLNKRFSDTHEKITLLEEMMRKIFTGLFVHRYRDIDPNIRMSCIESLGNAGVRKTSISALQNLYEADDNVPTLGLFSERFSGRMIELADDIDVSVAVCAIGLVKQLLRHQLIPEDDLAPLYDLLIDDPPEIRHAIGALVYDHLIAQKFNSSQSVPRGETDNSSEVHLKRMLRILEEFPQDPILSIYVIDDVWDYMTAIKDWKCIVSMLLDENPLIELSDSDASNLVRLLCASVKKAVGERIVPATDNRKQYHNKAQKEAFESNKQDITVALMKSYPLLLRKFISDKAKVSSLVEIVLYMNLELYSLKRQEQNFKNILQLMKDAFFKHGDKDPLRACVKAINFCCIESQGELQDLARNKLKELEDELIVKLKSAIKEVVDGGDEYSLLVNSKRLYELQLSRSVPINNIYEDIVMLLRGFRNMEDEVVGFLLLNMYLHLAWGLQSIINVEIISEASLTSLLYKRDTLLQELEYFCNLATDSKEDGRQGSELACRVCVILAETWFLFRKTNFSKTKLGRLGYEPNEDMLRKFWELSEQQLNNSEGEDEDVNREYSEETNKDTVMIAAAKLVVSDVVPKEYLASEIISHFVMHGTSVAEIVKHLITVLKKNDDDLAAIFLEALKKAYHRHAVDISGSENDSSDSNSLSGCKDLAARLSGIFIGAARIKHKSAILNIVRDGIEYAFVDAPRQLSFLEAAVLHFVPKLPASDVLEVMDNVQKRTENVNTEEDPSGWRPYHTFISLREKYAKNEGNQDEKEGVSVRRRGRPRKQQNIEGKKLFDEQSSSEDEESISEYEKDAQDEEGRQEEDDEDVPLINSIKLSSKLRSLGNKAQTKAGTSVKAVDDISASRTSGASN >OIV91758 pep chromosome:LupAngTanjil_v1.0:LG19:16849406:16854151:1 gene:TanjilG_26611 transcript:OIV91758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSFDDFCNRKPKRAKNNVAISFLDGIRKGQPLRIIRATLLSLLSICSDPHQRHFLTTQGMAKTIIDAILGLTLNDSPSNLAAATLFYILTSDGQDVHLLESPGCIRFLIKLLKPCVSKASEAKESTFGFKLLASNKNASIYKNTKERMDSSSVAIFSRVQEILVNCKELESTSPNGNGVERPELCPKWIALLTIEKACLRAISLDDGGGLCSERSGTVRNTGGKFKEKLRVLGVLNAVFEIAMSCLSDLECWMEDSSLSTKDVRNDKLPKILTLLLKCLKIIENAAFLSKDNQTHLLGLKGKPSPLAIPLSFVELVITVIKILHDLCSRWSPSALSNDNKPDDPFPMGSQCSESDQFADHNENETGMYYDMERASPVRSLNLPQKSQLTTSTSMSYEHTNARVVCSLSRSYSAASRCSDDSHDPFEFDKVDIAPSEWDLLSRNPKKSCFKKIDVANREFEAGCKSQTNVRRQESSNDEHSSLVTGCLLTSVKVLINLTNENSVGCQQVAAYGGLETMPLLIVDHFPSKIGDAKDHHHDRNLTDHELDFLVAILGLLVNLVEKDGTNRSRLAAASVPLAASEGLCQEFRMGVIQLLCSIFLDNHGVGEDADKDEHMLMISSDVMNDALQNDEAAFRQGEKEAGKMIVEAYSALLLAFLSTESKSIREAIAENLPQHNLSMLVPVLDRFVVCNVTIDDDCFCYI >OIV92446 pep chromosome:LupAngTanjil_v1.0:LG19:1235366:1236652:-1 gene:TanjilG_02209 transcript:OIV92446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQKHLIQIRDRELIIQILDEYKSLCFGSNPRRGSKYILKDVNCEARPGEVTAIAGSSGAGKTTLLEVLAGRISLCKVSGQVLVNHRPMVADRFRRASGFVTQEDALFPSLTVRETLMYSAMLRLPGVRKVAATRVSELIKELGLDHIADLRIGGGSNHGISGGERRRVSIGVDLVHDPAIVLIDEPTSGLDSASALKVVSLLRLMAFNQGKTIVLTIHQPGFRILDLFDSLILLSDGFVMHNGSLNLLEARLYSKVVNVLEFALDATESLVIQTSVSKNSHFLLIDKEHQDHMMSMQYSKVVKENPLLYSNSPMEEIFILGQRFCCNIFRTKQLFGARVIQALVAGLILGTIFLNVGNKQDQVALHTRNGFFAFSLTFLLSSTTEGLPIFLEERRTFMRETSRGAYRVSSYVLSNTLVIQDQDNTKH >OIV91534 pep chromosome:LupAngTanjil_v1.0:LG19:17941628:17948497:1 gene:TanjilG_08946 transcript:OIV91534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLDDYEVPTSSAPSRVSKFAPKSSKFKPKPKSQPKPEPKPEPQPEPQPSKPEPLDLLPKKDEDDAVPLADATVKIDVEEPKHESQERGEPMDVDIAEEVVVEEEEEEAEEDEEDVVVREIDVFFCPSIDADAQLYVFQHPLRPSWRPYDLDEQCEEVRVKPGTKEVEVDLPVDINSSNIDKDAATKLSFKKQTLSTSWKPPCANGYAVGLLMGDKLHLHPVDAIVQLRPSLQHLNTGGSKKKSVIPTGANATVKIEGSIEEKSVAKSKKQAESSIEQKSDGDECWVPLKYHSCKSDISSKYLQQMVVQESSPMNFTMSSYDYVATLCPGGNNNVLPKGPSKSSLLLLPPEVRLTELLTKGRPLHRFSAIKHYAPEYSEEELLEFLQKHATLLWGLWTAKSALLYPQGGVETLARDFVLVLFSKKLTVHSSDVSVGGGLGKHIKNFLKIFGSERSDPLKSGAQPTIYWKFSEVPDESFKKHHPNIVEKQEEVLKCLEQQVSDVASNVGKRKIGRNIVADHRENTKLGKSANSDQPVTSVGGVPPGKMTMSNEIQHALPIALKKIFQNHKVCSFQMICQGLREMAVSNSILPKADSKVVLDAISSLDASPDELKSVISEVAYSINGSYVLKSSPDEPFRDVVLNWFRGGGANGRLKKAEILESARRKLNREVTNLEYSKVMNELCVSKGSYWVMKSGDGSKP >OIV91790 pep chromosome:LupAngTanjil_v1.0:LG19:14577547:14578629:1 gene:TanjilG_14369 transcript:OIV91790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTREDEIFPEEMVIQIMARLPVKSLFRSKSVCKLWYRLSSDKYFIQLYNEASRKNPMILVDISDSSDTKSRFIRVDNLRGVSEFSLDFLNDRVKVRASCNGLLCCSSIPNKGVFYVCNPVTREFRLLPTSSKRIVTRFYPHGEATLVGLACNSTFQKFNVILAGCLSTFGHRPNGSFTCLVFDSELNKWRKFISFQDDHFTHMNKSQVVFVNNALHWLTASSTYILVLDLSCDIWRKKSLPYDLVYGMRNRIYLLDFDGCLSVIQISEAWMNIWVLKDYWINEWCMVDKVSLRCIRGMVPRIFPISQTSEYIFMVTHTQVLVYHRNSHVWNEMYTVKHSSTLPLCFTAHAYCSTLLLCN >OIV91867 pep chromosome:LupAngTanjil_v1.0:LG19:13739225:13752118:1 gene:TanjilG_17859 transcript:OIV91867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLCLQQATTCLAELYQSPEASILVSIKPFFDAIVKPELLKHEDRDVKLLVASSLCEITRITAPEAPYSDDVLKDIFQLIVSTFSGLNDTSGPSFDQRVVILDTVAKYRSCVVMLDLECDDLVNDMFRTFFTVARDDHPESILTSMQTIMVVLLEESEDVHDDLLSILLSMLGREKRDVTAAARKLSMNVIQQCIGRLEPVIKQFLLSLMSGDSKPVDSPLKYHDIICDLYSCAPQILSGVLPYVTGELLADQLETRLKAVNLVGDLIALPGPSIPELFQPIFSEFLKRLTDGDLQVRMSVLEHVKSCLLSNPFRAEAPQIISALCDRLLDFDENFQKQIVAAICDVACHSLNAVPLETVKLVAERLHDKSFLVKKYTMERLAEIYRVFCEISSDTVNPNEYVWIPGKILRCFFDKDFRSEIIESVLCGSIFPSEFSINYMVKHWVEIFSGFDKVEVKALEKILEQKQGLQQEMQKYLTLRQMSQDKDNPEVQKKITFCFRVMSRSFADPTKAEESFQILAQLKDDNIWKILTNLVDPNASFHQTRAHWDDLLKIFGEKHQLYAFLNTFYVKCALLIFNKEHVKAVLSEIVAHKSAEDYQRIQSCMNILVIIARFSPFLLSGSEEELVNLLKDNNDMIKEGVLNVLAKAGGTIREELAVTSSSVDLMLERLCLEGSRTQAKYAVHALAAITKDDGLKSLSVLYKRLVDMLEERTHLPAVLQSLGCIAQTAMPVFETRESEIEEFIINKILKSDSKEDQTRASWDDKSDLCNLKIYGIKTLVKSYLPVKDAHVRPGIDGLLDILRNMLSFGEISKDLHSSSVDKAHLRLASAKAVLRLSRLWDHKIPADIFHLTLRTSEISFPQAKKVFLSKVHQYIKEHLLDAKYACAFVFNIFESKPEEFAKDKQNLAEIIQMHQQAKERQLSVQSDTNSLTTYPEYILPYLVHALANISCPNIDECKDVEAYNNIYRQLHLILSLLLQRDKDVNSEVDTYKEKEALSTITIIFQSIKHSEDMLDASMSKNSHAICDLGLAITKRLAQKDVDLQGLSSVSLPPILYKAREKDDDTVVGEVKTWLADESVLAHFESLILEMVPSQLAEVDALKDSEKDRNEVPLGKTIKNIKSQGTKGKKVKKKKSVPTETKKTENDIDVLNMVREINLDNLEMSANFESSNGHQHSLRKKLQKDPVCATNKKRNGNEETPSPVPKRKRSSLTHGKFRSSSTATGPRRFSAEKSPGAKSLVDAEINPDTDIKAIPRKTAKSLGDAKINPDTDIKAIPRKTVRGNDHSLKSNIKVSESYNKDDADKSDEHDLQSPDNLKSTDKTQSGNFKSCTGSSKKVKRKSIGGIVKCTEKEGDTDAEELIGCRIKIWWPLDKQFYEGSVESYDPLKRKHVILYYDGEVETLRLEKERWELIDHSHRPTKRIKPTKTLSSREASTGKKQKDSSGSASKRTTKIVNSKQSPNKHIKNGRKGASKSIFHQEETKESSDISVREEAVISEAEMNSGGSEGEQAESDEITVKEKKSNKKVKSVSRGKRAKKKQNLRYRQESDKEKQGSDEKQDSDEEKQDYGERHFDESESVPQGVQSDDEKNSSKERAVDESGGSLRENVNVEESGSEGNQNSSDDKSSPRKVKKSLDELTSSDGARVAEISDDEPLQMETSLRKEKFREKKVNSLAAAAQIFEDGSILVSFSASKECKTTIGMLMFRKNKWFRVLGYCYAK >OIV91908 pep chromosome:LupAngTanjil_v1.0:LG19:14119953:14121589:1 gene:TanjilG_17900 transcript:OIV91908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METVPNSVVNLNMIDSTFKVDKLTYEIFSILENNFLFGCTDNSKNSLSNFTPKDFNLEKQSAGKVRVLCIDGAGATDGILAAKSLVHLELCLRIKSGNPNAHIADYFDAVAGSGAGGILAALLFTRGKDGLSMFTAEEALKFLIDNRRKIFRPSNSILRRVLRLSASKAEKLFRKTFGECTLKDTLKPVLIPCYDLITRAPFVFSRADALEMDGYDFKMRDVCAATSADPAFVGPTELRSLDGRTKIVAVDGGITMNNPTAAAITHVLNNKHEFPFCNDVSDILVLSLGNGESHFNAVNSPSAVVRIVGEGASDMVDQAISMAFAECKMNNYVRIQSNGIMAKTQKVKSNKTMRDLLSVSEEMLAQKSVESVLFKGKKVVENTNMEKLELFGGELIKEQERRKTNILPTVVLKNVSPSPRTSSATTLSTLSSSS >OIV91808 pep chromosome:LupAngTanjil_v1.0:LG19:14293465:14298003:-1 gene:TanjilG_14387 transcript:OIV91808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFRVSFISSLFSLFSLFSLQSKHPSMSLQEVGASVSVLLGYAPPSTLSTASSSKLNEVLSPNPFNRPCAVFLLEVNGVNGLEKTIQDNAMFGSSFWSTNFPGSDKVNIQLPDENDVSVVSLDDQSEDYTDKEISEFSSLIGGSYAPDALGPLNGELTIPLANGASVNLHMSKKSERKLIVGLLSLTRNVKRAIQMHEDLSQGTLSPAELLTGSFNSIKVLQEQYEAESIAQHEVELLLATLTKIFSSLQEAYKGQIVGIIYCHAATPQELGQKFDVTFAPHHAARWLAESEALNATKIAEVVLVRTTLAWVTGIILLISTLIGLNVGRQFWEEYFPTISVFCLSYFLLYILIGAGIMGEP >OIV91587 pep chromosome:LupAngTanjil_v1.0:LG19:17553312:17557408:1 gene:TanjilG_08999 transcript:OIV91587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEAVTLPPPSSSTSPLGSSVISLVNRLQDIFSRLGSHSTIDLPQVAVVGSQSSGKSSVLEALVGRDFLPRGNDICTRRPLVLQLVQTKRKSDGSEDEYGEFLHLPGKRFFDFADIRREIEAETDREVGENKGVSDKQIRLKIFSPNVLDITLVDLPGLTKVPVGDQPSDIEARIRTMIMSYIKTPTCLILAVTPANSDLANSDALQMAGIADPDGNRTIGVITKLDIMDRGTDARNLLQGKVIPLRLGYVGVVNRSQEDIQMNRSIKDALVSEEKFFRSRPVYSGVADSCGVPQLAKRLNKILAQHIKAVLPGLRARISTSLVTVAKEHASYGEITESKACVNIGCVLNLDMPL >OIV91750 pep chromosome:LupAngTanjil_v1.0:LG19:16774344:16776655:-1 gene:TanjilG_26603 transcript:OIV91750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAVFAKAIAKPPEELSFPILGSNNSKTPKEILNKFQSLWPNDSAIYNLPHGNFMALSHHDESPIHPRSIVVLDDIFCIFVGSLENTAELRHYYGLPRQATEAMIVVEAYKVLRDRAPYPPDQVVKELHGKFAFIIFDVKTHTLFLARDREGSVEFQWGVAYDGSLVCGDDPKIIREGCGKSCAPFPPGCIFINGSGLASFDHPLNKVKAIVQEDDGGNIMSVYFQVDLFTRIPSIPRTGSAANWAGPAEVKGEQMFDI >OIV92570 pep chromosome:LupAngTanjil_v1.0:LG19:130516:132884:1 gene:TanjilG_02333 transcript:OIV92570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMRCEERDGVGTCGDEARATEKMKMKKLDPPPTPPHYNPNPSPNLGSFSSGSSNPCIPPEMVHADMENFLCELLVDTNQPISERFRALFSLRNLKGPAPRDALIRATRDSSNLLAHEAAFALGQMQEIQAIPALASILNDLSLHPIVRHEAAEALGAIGSDINVPLLNNSLDLDPAQEVRETCELALQRIHHLKHAAISDQLSATDASPFKSVDPAAPATSSLSVDQLREVLLDEEKGMYGRYAALFALRNDGGNKAVAAIVDSLGSKSALLRHEVAYVLGQLQDKVASAALSNILRDVTEHPMVRHEAAEALGSIADDQSVALLEEFTADPEPLVSQSCEVALSMLEFERSGKSFEFLFTRNPVEHSNGSS >OIV92242 pep chromosome:LupAngTanjil_v1.0:LG19:3506016:3509676:-1 gene:TanjilG_00260 transcript:OIV92242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAKIRQNATDSPNPKPEVGEIDTSPPFQSVKDAVSLFGEGAFSGEKPAYKKSKPYSAERVLAKETQLHVAVKELNKLKEQVRNADITKAQALVEVERARRTVEDLTQKLKLISESREIAIKATEVAKSRASQLKEEKYGNPDEALGASKEELETAVQRYTSVITELDAAKQELRNIRQESASSLEARASALKRTAEAEVALKENSERASELSNEISAVRDSVEQTKLAAIEAHQQKLIILAEKDVIRQSYKATLEQSEKKLFDLKKEFNPELTKNLEMQLAETLSANRALQKEMEYKRSMDLHSVKMVTLELDGAKESLQKVADEESSLRSLVETLKIELENVKREHSELKEKESETESLVGNLHVKLRKSKSELEAILAEESKVSSVAEEMILTLNQISSEAENARWEAEEMSDKVTELKREFEITKLALQDAETRLSASLEEAEAAKAAEESAVNQITELTNRTSAARVSTSETGAEITISKEEFESLSRKVEESNKLADMRVAAAKAQVEAVKASENEALKRLEMTQKEIEDLKTATREALKEAEMAEAAKRAVEGELRKWREREQKKAAETAARILAETQVSTESSPQHYSIQNQNPPQTAAKVKLQKDKVSVSKKVFMPSISGIFHRKKNQVEGGSYLPGEKPL >OIV92080 pep chromosome:LupAngTanjil_v1.0:LG19:8205220:8211395:1 gene:TanjilG_08753 transcript:OIV92080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKWVKQLNATFGVSFLWLICLIYFTQGFRSFVWTAVSYQLKDNLKLSPSASQFVFSVAFFPWSVKPLYGILSDCIPIRGRKRIPYLVIATVLSLVPWFILGLNSTLRSSTWHLMVLLTVQNVGSAMADVVVDAMIAEAVRYDRASFAGDLQSISWSAMAVGGICGSLLGGYALSNLQTDTIFLLFSLLPCIQLLSCYFVEENSVDSKVLPEESVVRDLHTNGSTLDSDIPFTKTSHSSITKRKKGKKNAKSRAVNTSKTKILQKGDSLALKLFHTLKEAIYDLCRAFQQPMIFRPMAWFFLAYVTVPNLSTVIFYYETEVLKLEASFLGTVQVAGWLGLMLGIFIYNRHIKYMTLRRILMCAHIGLAFFSLLKIVLVSRKNIAYGVPDKIMVLLISALTDGINQFKFIPFLTLSGQLCPPGIEGTLFALFMSINNLGSTVGSFMGAGLASILNIDSGSFDNLPLGIIIHALCNFIPIAFLFLIPKEATGLSS >OIV92369 pep chromosome:LupAngTanjil_v1.0:LG19:2316291:2328698:1 gene:TanjilG_09967 transcript:OIV92369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIDKAITGCGDQRLQTKYNNATYVIQRALALYPIEEVAFSFNGGKDSTVLLHLLRAGYFLHKRGQSNANGDLKDFPIRTIYFESPCTFPEINSFTYDTAAAYDLQIDTIRLDFKSGLEALLKENPIRAIFLGVRIGDPTAILWVNMEIDKAITGCGDQRLQTKYNNATYVIQRALALYPIEEVAFSFNGGKDSTVLLHLLRAGYFLHKRGQSNANGDLKDFPIRTIYFESPCTFPEINSFTYDTAAAYDLQIDTIRLDFKSGLEALLKENPIRAIFLGVRIGDPTAVGQEQFSPSSPGWPPFMRVNPILDWSYRDIWAFLLTCKVKYCSLYDQGYTSIGSIYDTVPNSLLSISNSSNTFKPAYLLADGRLERAGRVKKLSVTSGQPHADNNRLTNLDLHKNSMFTASIIAVGDEILFGTIEDQLGPYLCRMLHSIGWSVLQLSVVHNNIDCVAEEVERKKSTCDMVFIYGGVGPLHSDVTLAGIAKAFGVRLAPDEEFEEYLRHIIGDQCIGDRNEMAQLPEGITELLHHDKLSVPLVEIAQPLSKLCLEFPDLYIGCYRKTRYGYVVVSFKGKAVINYGASWCHVCSKILPAFSRLSNDFPKLSFIYADIDECPETTQLIRYTPTFQFFRDGQKVDEMYGAGEERLHDRLWLHS >OIV92101 pep chromosome:LupAngTanjil_v1.0:LG19:7200136:7204726:1 gene:TanjilG_27256 transcript:OIV92101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTQGQVITCKAAVAWEPNKPLTIEDVEVAPPQAGEVRVKILYTALCHTDAYTWSGKDPEGLFPCILGHEAAGIVESVGEGVTDVKAGDHVIPCYQAECGECKFCKSGKTNLCGKVRSATGVGVMLSDRKSRFSVKGKPIYHFMGTSTFSQYTVVHDVSVAKIDPIAPLEKVCLLGCGVPTGLGAVWNTAKVEPGSNVAIFGLGTVGLAVAEGAKAAGASRIIGIDIDSKKFEVAKNFGVTEFINPKEHEKPIQQVIVDETDGGVDYSFECIGNVSVMRSALECCHKGWGTSVVVGVAASGQEISTRPFQLVTGRVWKGTAFGGFKSRSQVPWLVEKYLKKEIKVDEYITHTLTLEEINEAFDLLHEGGCLRCVLATNA >OIV92344 pep chromosome:LupAngTanjil_v1.0:LG19:3297531:3298049:1 gene:TanjilG_10554 transcript:OIV92344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESHDETGCQAPERPILCINSCGFFGRAATMNMCSKCYKDTLLKQEQNKLAASSVENIVNGTSNANEKQVVTAAAVDVQVETVKIKTIHADISADSSSGESLQNTAKTGPSRCATCKKRVGLTGFSCKCGNMFCAMHRYSDKHDCQFDYKTVGQDALAKANPIIKADKLDKI >OIV91509 pep chromosome:LupAngTanjil_v1.0:LG19:18135937:18139510:1 gene:TanjilG_08921 transcript:OIV91509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVGGEMKEEKEEEKKKSRKEKRKEKKRKKRKELAEKERQEREALLNDPQEQIRLQLIQQLEFERMERDRKQFEEREKAYQQRLLLQNNDKEKLLLEQEEEEEEEQEEEEEEEEEEEEEELPQIIWKGNEIIFNKPKKKNDTNNDNNNKRPTSNPLPPPQENVQQQQQQPEEIVAPNFGTELDKAHCPFHLKTGACRFGQRCSRVHFYPDYSSTLLIKNMYNSPQLDHDHDEALEYTDEEVERCYEEFYDDVHTEFLKFGEIVNFKVCKNGAFHLRGNVYVQYKSLDSALLAYNSVNGRYFAGKQISCNFVNLTRWKVAICGEYMRSGFKTCSHGTACNFIHCFRNPGGDYEWADLDKPPPKYWVKKMAALFGFSDNHENSREREILSVIKKSSKMSETDSDRYHSIRSRSREMVHLNSGHSGRRKYEDETRQGTLDEEQNTNLKDSYKIKRRIPDTDSDREWLEKEGNREKHHKHVRKSSFSSKNDDNSRSYEKDSDTGWSNITRDTEKQHDREGRNSRKSYRDDRGWIYEVGSDGDRDGKRHRSSKRKSSRHESSRDNSNVTSEGESDEDPFHRRYMETQYGYSRKSWRHRRSGGHHESENEEVDGSWSRRESSRRAHHHKKKSSKHQKKLDSISGDTKNDCEDNSGE >OIV92219 pep chromosome:LupAngTanjil_v1.0:LG19:4713420:4713875:-1 gene:TanjilG_31138 transcript:OIV92219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKENYTFMAHQSSTESNDKIPSHPFDFNNNNNNNMEQQHRDNRSVIQNTSASKTNLNNKEENIALQKVVEEESVIAEDSGRERLKRHRVEVAGSVWIPEIWGQEELLKDWIDCTTAFDAPLVPTRITIAREALVEEGRNVNASGLSIENRC >OIV92199 pep chromosome:LupAngTanjil_v1.0:LG19:4497019:4499325:-1 gene:TanjilG_31118 transcript:OIV92199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFRKLIFSNRSLFPPSPFLLSFRRGIAFRLFVGGLSYFTTEKVLSDAFSNYGQVVEAKIITDRMSEKSKGFGFVTFASQDEAENAITEMNGKALNGRVIFVDYAKLSTKTGGGGMPIARGPPEPAPEPTPAPTPDS >OIV92049 pep chromosome:LupAngTanjil_v1.0:LG19:8886788:8889144:-1 gene:TanjilG_15040 transcript:OIV92049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKYFFLSLLLVFLYTISVHAINPHEKNYDEKSYDDESFTSTPEDTVFTLKVKTLDENNLDNLLSYGYYRKSCPQLESILHRKVKEWIEKDSTLAASLIRLHFHDCSVRGCDGSILLNHDGSERTAESSKTLRGFEVIDDIKAELEKQCPKTVSCADILSAVTRDATVELGGPYWAVPYGKNDGKVSIAKEAEIVPMGHENITSLIEFFQSKGLTVLDLVVLSGAHTIGRSSCGSIQYRLYNYKGTGKPDPSIDPKYLNFLQRKCRWASEYVDLDATTPKTFDNVYYINLKKKMGLLSTDQLLYSDLRTSSLVSALYATPSLFKHQFGVSMAKLGNIQVLTEEDEGEIRTNCNFVNAS >OIV92191 pep chromosome:LupAngTanjil_v1.0:LG19:5113410:5116964:1 gene:TanjilG_30899 transcript:OIV92191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAQKYLHELLEEDQEPFFLNKYISEKRSQIKTQISPRKKHKPLQHNSNFPTNLCKNVCLFSFPDTTTPDLRKSPLFEFQSPSATKTKSPIKFHIPSRTANLLLEAALKIQKHSSKTKTQNKSNGFGLLGSLFKRLTHRNQKRKEIEGSHVNLSVKDILKWESRKNSNGCMKEQENLDFCNGRRSAVWSESNEDKSLDMETSSSSSSRHCDDDSELQEIEFVTNQKHDIECVCFHDINGFCNSPFRFVLQRSPSSDRHTPDLPSPAQSPGRHIKEDKESNGGEEEEEDKEQCSPVCVLDPPFEDDDEGQGNEEEEENDDDDDDDDEESSDLRCSYAIMQRAKQQLLYKLHRFEKLAELDPVELEKRMLEDEGEAFMEEDDDHDDEDSEASYKENEFIELVFESMCHSSVHDKGQIPQELKKLVSDLIAEEYRELNSLENKEMVIRRVCKRLELWKEVECNTIDMMIEEDFSRENGEWKKNMVQVKEMAGELELAIFGFLVDEFSEELVC >OIV92047 pep chromosome:LupAngTanjil_v1.0:LG19:8827911:8829601:1 gene:TanjilG_15038 transcript:OIV92047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLGKLRCVTVDVTGTLMAYKGQLGDYYCMAAKSVGLPCPDYKRMHEAFKFAYKEMATKYPCFGFDAKMPNIVWWKTCVRDSFVRAGYDYDEETFEKIFRRIYSSFGSSAPYTVFPDSQPFLRWLRGKGLKVGIVSNAEYRYKDVILPALGINEESEWDFGVFSGFEGIEKPNPKFFEIALERAGNVKPEEVLHIGDSMRKDYEPAKSIGMHAILLDRFKTPDAVEWRKSGAVVLPDLVAAQEWLSSENSTF >OIV91844 pep chromosome:LupAngTanjil_v1.0:LG19:13476833:13477180:1 gene:TanjilG_17836 transcript:OIV91844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHHNLNILSIILFLSFSAVAIAGRYGGWAAINDINDTHVKEIADFAVTEHNKQSGENLKLESVIKGETQVVSGTNYRIVLSANDGTASKKYQATVYEKPWAHYRNLTSFDPALN >OIV91663 pep chromosome:LupAngTanjil_v1.0:LG19:15305076:15305453:1 gene:TanjilG_26516 transcript:OIV91663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSILSINSNFGPTIFVKPDGDERCKGWSMNIGELSGDGASCSDPPGYVDYAGVERYGVVDVQNFVREANNTHGSSGERGLNQVHNGLQ >OIV92201 pep chromosome:LupAngTanjil_v1.0:LG19:4506051:4506464:-1 gene:TanjilG_31120 transcript:OIV92201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNVFSPGELIGLLRAERTGRALEEAICYRAILLGITKTSLNTQSFISEASFQETARVLAKAALRGRIDWLKGLKENVVLGGMIPVGTGFKRVKRHSRQHNKITLQTKKNNIFEGEMRDILFHHREVFDFFHFKEFM >OIV92212 pep chromosome:LupAngTanjil_v1.0:LG19:4601509:4604420:1 gene:TanjilG_31131 transcript:OIV92212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGTRQRQNPESPEGNSEHEKPTDFDEQVDLDGDNDQEEEEEEEVEYEEVEVEEEVEEEEEEEEEEEEEEEEEMKDDKEEMSVPDTKDEDEKKKHAEFLALPPHGSEVYLGGIPYKISEEDLRDFCQSVGEVSEVRIMKGKDSGETKGYAFVTFKTKELASKAIEKLNNTEFKGKKLKCSTAQAKHKLFIGNVPRNWTVEDLKKVVAGIGPGVISVELLKDPQNSGRNRGFAFIEYYNNACAEYSRQKMSDSKFKLDNNAPTVSWADPRNSESPASSQVKSVYIKNLPENITQDRLKELFEHHGKITKVVLPPAKAGQEKSRFGFVHFAERSSAMKALKNTEKYEINGQALECSLAKPQADQRSSGASNSQKPVAPPTYPPYHGYGMVGAGAYNAFGAGYGAAGFTQPLMYGAGAPPAGMAMMPMLLPDGRIGYVLQQPALQPHTPTPASRHSRNGGGSSSGGKRSNDSNHNNHNRGRGRFHPY >OIV92523 pep chromosome:LupAngTanjil_v1.0:LG19:498803:500472:-1 gene:TanjilG_02286 transcript:OIV92523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNQTSSINKETLPIDTTFNLPSNIPLWPQGGEFASGIIDLGGLKVTQISTFIKVWTTLQGGPDNAGATFFEPYVVPEGFVMLGSYTQPNNKPLFGSVLVAKDDSSAENAVLKKPIDYTLVWSSKSQKIKQDNDGYVWLPISPDGYKALGHVITTIPEKPSVDKIRCVRSDFTEQCETYSWIWGPNKKIDDKDFNVFDVRPSNRGIQAPSVLVGTFYAQVGDTKTTTPLSISCLKNNNYLTFSSMPNLPQIESLIKAYSPFMYLHPNEKYQCSSINWYFTNGALLYKKEEKSNPIPIDPRGSNLPQGGTNDGAYWLDLPLDKTNKERVKKGDFKTTQAYIHVKPMFGGTFTDLAMWVFYPFNGPAKAKVVVINIPLGMIGDHIGDWEHVTLRISNFDGGLKKVYFSQHSSGQWVDASELEFQSGNKLVAYSSLNGHALYPKIGLVLQGVSEVGIGVRNDASKSDMVIDFGLGFEIVSGDYLGSEIIEPPWLNYLRKWGPGKDGPTGPKLKRNWSGDEI >OIV91528 pep chromosome:LupAngTanjil_v1.0:LG19:18020795:18025788:1 gene:TanjilG_08940 transcript:OIV91528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVSMAMQTDGASSNIRTSENKIPHVLTVAGSDSGSGAGIQADLKACAARRVYCSTVITAVTAQNTVGVQGVNIMPEDFVAEQLRSVLSDMHVDVVKTGMLPSLNILKVLCQNLREFPVKALVVDPVMVSTSGDVLSGPSVLAGFREELLPMADIVTPNIKEASALLNNVPLKSVSDMRNAAKLIHELGPRNVLVKGGDLPDSLDSIDVFFDGEEFYELCSLRVNTRNTHGTGCTLASSIAAELAKGSSMLSAVKVAKRYVATALDYSKDLLIGDGAQGPFDHFLALKNIAQNSCRQDRFNPNDLLLYAVTDSSMNRKWGHSIAEAVRAAVEGGATIVQLREKDAETRDFVDAAKVCLEICRSYGVPLLINDRIDVALASDADGVHVGQSDMPTNLARSLLGPEKIIGVSCKTPEQAEQAWIDGADYIGCGGVYPTNTKANNRTIGLDGLREICQASKLPVVAIGGIGLSNAGVVMESVPNLKGVAVVSALFDRECVLTETRNLQAVIREAVLSRI >OIV91911 pep chromosome:LupAngTanjil_v1.0:LG19:14146766:14150536:1 gene:TanjilG_17903 transcript:OIV91911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFIHVTVAALCCVVVVFVGFPLSSNAELDPSFYKNTCPNVSSIVREVVRNVSKSDPRIFTSLIRLHFHDCFVLGCDASILLNNTDTPTKIESEQQDSPNSNLRGFDVVNQIKTAVENACPGIVSCADILTLAAEVSSVLAGGPNWEVPLGRRDGLTANRTLANQNLPSGLFNLTQLKSAFAAQGLNTIDLVALSGAHTIGKAHCSSINSRLFNFSNNDKPDPTLDPSYLQTLRNTCPNNGPETNLANFDPTTPDTFDNNYYTNLQDKKGLLQSDQALFSTSDADTISIVNTFASNQDAFYESYKASMIKMGNIGVLMGKNGEIRKQCNFINKKLVDQLDLASVVPKKSLEEGIVSSF >OIV91778 pep chromosome:LupAngTanjil_v1.0:LG19:14703492:14708771:-1 gene:TanjilG_14357 transcript:OIV91778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGQLFSRTTQALFYNYKQLPIQRMLDFDFLCGRETPSVAGIINPGSEGFQKLFFGQEEIAIPVHATIEAACAAHPTADVFINFASFRSAAASSMTALKQPTIRVVAIIAEGVPESDTKQLIAYARSNNKVVIGPATVGGIQAGAFKIGDTAGTIDNIIQCKLYRPGSVGFVSKSGGMSNELYNTIARVTDGIYEGIAIGGDVFPGSTLSDHVLRFNNIPQVKMIVVLGELGGRDEYSLVEALKQGKVTKPVVAWVSGTCARLFKSEVQFGHAGAKSGGELESAQAKNQALKDAGAAVPTSYEAFEASIKETFDKLVEEGKITSVKEFTPPPIPEDLNIAIKSGKVRAPTHIISTISDDRGEEPCYAGVPMSSIIEKGYGVGDVISLLWFKRSLPRYCTQFIEICIMLCADHGPCVSGAHNTIVTARAGKDLVSSLVSGLLTIGPRFGGAIDDAARYFKDARDRGLTPYEFVESMKKKGIRVPGIGHRIKNRDNKDKRVELLQKFASTHFPSVKYMEYAVEVETYTLTKANNLVLNVDGAIGSLFLDLLAGSGMFTKQEIDEIVEIGYLNGLFVLARSIGLIGHTFDQKRLKQPLYRHPWEDVLYTK >OIV91970 pep chromosome:LupAngTanjil_v1.0:LG19:12035176:12044578:-1 gene:TanjilG_26492 transcript:OIV91970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYRRRQNNLSTFEDFSQQEIPNPNPDPVVPSSSNLPNEIDTSSSSLAAKAIRASSARCDSSLSSLYGHSNLSSNAPQLNLPQPTPMSREDSRSYEFTSLKSLNQTKNGFWGVLARKAKSIVEDDNATRHSEMPAISRSHLPGVASREKFQSSNHFEENNLKKGTPTFLKGLDAFASSLNHIGGTIGKSLEEGFTIVENRTSDIIQETRKHIRKKPGNSVGQNQEINHSTTSQQSQLRTQMPPVQTDQDLQLKASRDVAMAMATKAKLLLRELKTVKADLAFAKDRCAQLEEENKILRENRERGDNQEDDDLIRLQLETLLAEKARLAHENSVYARENCFLRDVVEYHQLTMQDVVYLDENNEEVTEVNPHNFHPVAILAPDSITPSITSISSLSPEINRCMDSELTRGISHPSGKDAKSSEVTRSISSVTGKGAK >OIV92549 pep chromosome:LupAngTanjil_v1.0:LG19:275557:281324:-1 gene:TanjilG_02312 transcript:OIV92549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRSNGVKLLHCVARRSLPADKSIYETMFRKGYRTLNSGLCNPSSVIGNRSSDVGYGVNLKNWLLWGAANTYWGASRSIHGSAPLAKDFYDVLGVSKNSSASEIKKAYYGLAKKLHPDTNKNDPEAEKKFQEVSLAYEVLKDEEKRQQYDQVGHDAYVNQQSTGFEGNGGFNPFEQIFRDHDFVKSFFHQNIGGEDVKAFIDLSFMEAVQGCTKTVTFQTDLLCNTCGGSGVPPGTRPETCKRCKGAGVTYVQAGPFRMEHTCGTCKGTGKIVSEFRYDGLNCDLRITDMNAYPPVTPLGMDNNETLKVYRSGGADPDGDHPGDLYVTIKVREDPVFRREGSNIHVDAVLSITQAILGGTIQVPTLTGDVVLKVRPGTQPGQKVVLKKKGIKTKNSYSFGDQYVHFIVSIPTNLTERQRELIEEFSKEEQGESDKQKTASASG >OIV92433 pep chromosome:LupAngTanjil_v1.0:LG19:1341808:1344516:-1 gene:TanjilG_25163 transcript:OIV92433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQITDSEMDQQRQGYFHSEPGIVSRGSASTSQPNIRTRVAASGTTTNVNSYYLQDAYDNTGVYGLAQYNGIQPQHNLDMGGAAAAANIYYSDMNPPSSTGVFTTLPPNHQASDQLPGSSAFAISGGSSDSFGRNNSFVDDVRGPYKRKIAEGIRGNHQYFNASASSSIAPPNARQADGIAMDTASFSLHMPSLVEVGQHGSGWNRSGESIMVHDHNHLIRGNYLGQHFPPAPPPWFDQQLNNDGHTIVWNQPHPMPYMQAPNVNGSSIVNGSPIVNGSPLENASMGLQRYHDTSNNRNGLRFPHPPPANHPHHNYHHPALPMQGVRGHAINFHPPVTAAPFRVPTNPSRGAVIPPQTGFEMIPRHAGPVPSAGLRIYRPHRAIMPDTTLGHRNFPPMGFLRVDDGALIDEVGNLVDHHRDMRLDIEDMSYEASTQDLLALGERIGKVSTGLSEETITAQLKTKTYLTPATVINLEEEVSDDQESESCMICQDEYKDEEKIGVLRCEHEYHADCLTKWLLVKNVCPICKSEALTTEMKDI >OIV92272 pep chromosome:LupAngTanjil_v1.0:LG19:4146031:4149758:-1 gene:TanjilG_00290 transcript:OIV92272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIHNKDMKHEEDKDSKSSSEDQVNHIREVVKKEELSKPKPKMLQTVKRVKTSGLEEDSVLLTKSGNLKEYYNLGIELGKGQYGITSLCIEKATRKKYACKSIAKEKLLVDDDVEDVRREIQIMHHLIGSPNVISIEGAYEDSAAVHVVMELCAGGELFDRIVEKGHYTERKAAKLARTIVSVVEACHSLGVMHRDLKPENFLFVDEKEDSTLKAIDFGMSVFFKPGDVFTDMVGSPYYIAPEVLRKKYGPEADVWSAGVIIYILLCGTPPFWGESEQEIFKEVLDGDLDFSLDPWPTISESAKDLIRKMLVRDPRNRITAHEVLCHPWIQVDGVAPDKPLDSAVLSRLKQFSAMNKLKKMALRIIAENLSEEEISGLKEMFKMIDTDNSGQITFEKLKIGLKTFGANLTEPEIYDLMQAADVDNSGTIDYGEFLAATLHLNKVDREDHLVAAFSYFDKDASGYITQDELQQACEEFGMENIHLEEMIQEADQNNDGRVDYNEFVAMMQRGDVDLSKSVQKSTTNFGIGFMEALSVC >OIV92222 pep chromosome:LupAngTanjil_v1.0:LG19:4738842:4740647:-1 gene:TanjilG_31141 transcript:OIV92222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAKEISKEETMKRILLVLNCIIQVLGACGGPLVMRLYFIHGGSHIWLSSFLQCAGFPIMFIPLFISYIIRRHTTAAAAATTNTTKMKMFTIKLPLFGIFAIIGVLQGLDTITYSIGLSRLPVSTSALVIATQLAFTAIFAFLLVKQKFTAYSVNAIIMLILGAAVLALQGRGDKPIGESNKKYVMGFVMTLLAALLFGFIMPLIELVYKKTKQDITYSLVVELQIVVSFFSTLLAIVGMIIAKDFKAIPKEARHFGLGETSYYVLLVATAIICQLASLGAMGVIFCSSSLLSGIMVAMSIPLTEVLAVLFYKEKFQAVKGISLILSLWGFVSYFYEGG >OIV91981 pep chromosome:LupAngTanjil_v1.0:LG19:11558830:11566662:1 gene:TanjilG_06609 transcript:OIV91981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMSTSDLLSKLNPTQHFPLHSLLHYCSSNVSGFPHSPSHFTISQFGHGQSNPTYLLEVGAEGSVVKRYVLRKKPPGTLLASAHAVDREFQVLQALGTHTEVPVPKVFCLCNDPAVIGTAFYIMEFLEGRIYIDPKLPAVAPETRRAIYLETARTLASLHSSNVDSIGLGKYGRRNDYCKRTIERWAKQYIASTSEGKPARNQKMFALIDWLKSQIPPEDSSGATAGLVHGDFRIDNLVFHPTEDRVIGILDWELSTLGNQMCDVAYSCLPYIADIGHDKVQQGLEVSGLPEGIPSLPEYLAEYCSLAGRKWPLAEWKFYVAFSLFRGASIFAGVYSRWVKGNASGGERARYTGKLANELIDAAWKFIEMKSVLPQHPPSVANARHYSKELVTEKDTLGHSNGRRFIPSQKVLTLRNKLIKFMEEHIYPMENEFYKLAQSESRWTVHPAEGELKELAKKEGLWNLFIPLDSAARAKKLLFGGSNNDLSSDANNLLLGAGLTNLEYGYLCEIMGRSMWAPQVFNCGAPDTGNMEVLLRYGNKEQQREWLIPLLEGKIRSGFAMTEPQVASSDATNIECSIRRQGDSYIINGTKWWTSGAMDPRCKVLIVMGKTDFNAAMHKQQSMILVDIQTPGVHVKRPLLVFGFDDAPHGHAEVTFENVRVPAKNVLLGEGCGFEIAQGRLGPGRLHHCMRLVGAAERGMQLMAQRAVSRKTFGKFIAQHGSFLSDMAKCRIELEKTRLLVLEAADQLDRHGNKKARGILAMAKVAAPNMALKVLDMAIQVHGAAGVSSDTVLAHLWAGARTLRIADGPDEVHLGTIAKLELQKAKL >OIV91635 pep chromosome:LupAngTanjil_v1.0:LG19:17161499:17162218:1 gene:TanjilG_09047 transcript:OIV91635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELVDYTCTRTLGRKRVEASPPASPLKRMCSGGITFNSDKSPLEALPLDILVKVLCGVEHEDLNQLFHVSKTIREATLIAKGTHFEYRTPKKKLVALYDPTDMDNANGFHEIEAPNAPLRKSNFKLSGKKFDDISINLFELMEEEM >OIV92241 pep chromosome:LupAngTanjil_v1.0:LG19:3501683:3503462:1 gene:TanjilG_00259 transcript:OIV92241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IVFQNMHQDYPTETLLRFLKAREWNVGKAHKMKPISADLYRAVRDSQLIGMSELPEPDPDDAKIVKAIETEFHKLENQNSFSNKVNGVAINGH >OIV91761 pep chromosome:LupAngTanjil_v1.0:LG19:16879915:16881486:1 gene:TanjilG_26614 transcript:OIV91761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSISPLFFLSSINIVIVLVGLCGVATLSNNLLALAAPISPPDATTCGPADLPSGANSTDCCPPSSTNIIDFKFPSSTQKLRVRQAAHLVNSDYIAKYKKAVALMKALPSNDPRSFYQQANVHCAYCDAAYHQVGYPNLELDIHNSWLFFPWHRWYTYFHEKILGSLINDTTFALPFWNYDAPRGMQIPSIYTDPKSPLYDILRNPNHQPPKLIDLDYNLMEDPNVSVSANLAIMYRQVVSNGKTPTLFFGRAYRAGDKPDPGAGSVENVPHGPVHIWTGDLNQPNIEDMGNFYSAARDPIFFAHHSNIDRIWTIWKTFGGKRKDITDSDWLESGFIFYDENKNLVRVKVKDSLDTKRLGYVYQDVDIPWLHAKPTPGRAKVQNKRNTSVEFPLVLDSVVSTVVERPKKSRSKKEKEEEEEVLVIEGIKYDGLLPVKFDVLINSEDDKVIKPDNAHFAGSFVSVPHSPRHKKKKTNTYLRLALTDLLEDLGADDDESVVVTLVPRYGKGKVKIGGINIQLIDD >OIV92126 pep chromosome:LupAngTanjil_v1.0:LG19:6399764:6405118:-1 gene:TanjilG_18698 transcript:OIV92126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKGGENYGRRENVNSEKSDREIFKAWAKDVAECEEHFKVSVKTGLSSEEVQNRRRIYGLNELEKHEGQSIWKLVLEQFNDTLVRILLAAAIISFVLAWYDGKEGGEMDITAFVEPLVIFLILIVNAIVGVWQESNAEKALEALKEIQSEHATVIRDNQKIPDLLAKELVPGDIVELKVGDKVPADMRVVQLISSTLRLEQGSLTGESEAVNKTNKPVLEDTDIQGKRCIVFAGTTVVNGNCICLVTQIGMDTEIGKVHNQIQEASQSEEDTPLKKKLNEFGETLTKIIGLICILVWLINVKYFLSWEIVDGWPRNFKFSFEKCTYYFEIAVALAVAAIPEGLPAVITTCLALGTRKMAQKNALVRKLPSVETLGCTTVICSDKTGTLTTNQMSVTKLVAIGTNVDTLRAFKVEGTTYNPAAGRIENWPAGKLDANLEMIAKIAAICNDAGVTQSEHKFVAHGMPTEAALKVLVEKMGLPEGSKDGSSATSSSVLRCSEWWQKHDPRIATLEFDRDRKSMGVIVDSSLGKKSLLVKGAVENLLERSSKIQLSDGSVVKLDNNAKNLVLQALHEMSTSALRCLGFAYKDELPDFESYSGNDDHPAHQLLLNPSNYSSIESDLIFVGLAGLRDPPREEVYQAIQDCRAAGIRVMVITGDNKNTAEAICHEIGVFGPNEDISSRSLTGRDFMELRDKKAHLRQSGGLLFSRAEPRHKQEIVRLLKDDGEVVAMTGDGVNDAPALKLADIGIAMGIAGTEVAKEASDMVLADDNFSSIVSAVGEGRSIYNNMKAFIRYMISSNIGEVASIFLTAALGIPEGLIPVQLLWVNLVTDGPPATALGFNPPDKDIMKKPPRRSDDSLINLWILFRYLVIGVYVGLATVGVFIIWYTHDSFLGIDLSGDGHTLVTYSQLANWGQCSSWDNFTASPFTAGSKVISFDTPCDYFQAGKVKAMTLSLSVLVAIEMFNSLNALSEDGSLLTMPPWVNPWLLVAMSVSFGLHFLILYVPFLAQVFGIVPLSVNEWLLVLAVALPVILIDEILKFIGRWRSTSGSQAAAARSKQKSE >OIV91611 pep chromosome:LupAngTanjil_v1.0:LG19:17368205:17370842:-1 gene:TanjilG_09023 transcript:OIV91611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTCCDLEVDINGEETFIVNKNVLSSFSHKFSNLFGNFLNTKVCLKVIFNDFPGGAHVFEHIVQLCYNNNSKMEIVTPSNVVLLCSAANFLEMECDAIENFLEGISLWTWYEILEALKQCQCLFSSNKYIPILDRIVDQLIERLALSSITSPYTCSSNRSSFQFSCDTSSNNSLRNSFSGATWWFEHLIFLKIDLMDNVIRRMIYNDFDNGIVSKFLFYYHKCTCFGDEQDEKNEITKFVINLLSLLDKRSISCKDLFDLYRIGITSKTSTYCKSMIESLIGPLLDKATIDYLLLPPPHGQDHAYDVDFVLRLMHIFFFEGSFELTSDRLKRVAKMMDLFLVEVAPDPHLKPSKFEALITVLPDSARESHDQLYIAMNMYLKVHAGLGKKEKMSICCTLNNEKLSADILRHLNRNLAFPSETKPICQVNRQSRMKNLLKENDHLKTYFDTMFRKTFKNLDHVKEDVDKRIYYDEELRGDFEGMQIGTVTQLVSVKK >OIV92537 pep chromosome:LupAngTanjil_v1.0:LG19:346189:349259:-1 gene:TanjilG_02300 transcript:OIV92537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAKNGEVRRLHIIYFLNHMGGHAEHPHLIRVLHLARNGVYLRDVKRWIGELRGKDLPEAFSWSYKRRYKSGYVWQDLLDDDLLTPICDNEYVLKGSQIHPTLFESHSPDVKKTSSDILAEKKSSQVQDSEKNQHQQQHHSLLEEQDQIQELKTDNPTKVSSEINQEPLVFSSDKSSISDEYDESSKVEEEKPLWTCKENFNEEINEKLENCSLPFLYHNLLSKKDNKDEQNKTDTADYSFSSASSSSSSSQSSFTKLRSNSARVSSAFRNWISCCTVETNDAALVLTNSSLNDVSKEPKNRPENKDQICKGDIFGGSAKCYGTTWNNQHQQEHGSRSCDERETNMRRKKLGDFMNQTTNIPPFRLPRCSQCGKCFKPEKMHKHMKSCRGMKAMRMFASSASEKTQINTF >OIV92528 pep chromosome:LupAngTanjil_v1.0:LG19:439452:442983:1 gene:TanjilG_02291 transcript:OIV92528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRRMQWSISSQILMIIISFFFIPIIVHGFNVEKDHEGHKVKLELVHRHNARFAKHGGGNLNKVEAIKGFIHRDYIRRMRMNQRWGLYHPISSHRRKGFETFQMSMHAGADYGFGEYFVQVQVGTPSQKFWLVADTGNELTWFNCKDALETKNHSGVRKRHKKSSKKKSKSRHKSRSRSKKKKTRTRTKTRVRAKTNPCNGVFCPKRSGSFQAVTCSSDKCKFDLSNLFSLTECPRPSDPCQYDISYIDGSSAKGYFGTDTITVDLTNGKKGKLHNLTIGCTKSVLNGITFNQETGGILGLGYGKDSFVDKSVIEYGGKFSYCLMDHLSNKNVSNYLTFGSYNAKLLGEMQTSELLLFPPFYGVNITGISVGGQMLKIPSQVWDFDAKGGVILDSGTTLTQLIEPAYVAVFEALTKSLTKVNKVSDDFGGLEFCFSSEGFNMSTVAKLVFHFGGGANFEPPVKSYIIDVAPGVKCIGIIVINGPGVSVMGNIMQQNHLWEFDLAQYTVGFAPSTCT >OIV92099 pep chromosome:LupAngTanjil_v1.0:LG19:7245224:7249353:1 gene:TanjilG_27254 transcript:OIV92099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGNALVLDISSDAEEEEESKSLLKKEEREGDKVVVEYDWIKHFFHVTDEEIEQGNKVVVVDEVKKKNEEATLSSKCSSIISTKPVNDEDDDCVILDFDPEKSVNSVEQPLSESDELLVVGEKGQIACRDYPHPRHLCGNFPFSSTPHQSHCDMCHCYVCDSLAPCPKWGTGLLTSDHCHATEKSSMWKTLRKNVKLGKNAPFSVSTNYATSHDVRLPQCNILQPHWSANSMLPNQTPSSTSLHTWSTISSPSLIPQNQPFRPALMHTRSSPNSTLWNRVSRPNSTIPVCHTATNLSHSFPRQLLGVRNHAIGKQRGHIGTMNVGLQFRGSHMMSNNVNPSWQCDGYRAATGFSSNNTHRPNYSCGNGAETQAYSQWNHSQNVYGSWVQVNGPPTRCEPHALGHPFWIQGNGAVQSSYVAHINTNQQLNKQHQIGSFQNENNAQGNIIQCGIAKQDSYQQKSQTEHATKPVFSAFDSNWAEITSQSTYQVSATSMNQPSDVKVSGTQFSGSTNLTSLDDIKDWLFGDQDSVPVVASDATALASEVKIPSPALGKIDTGMFLSDFVDSLEW >OIV92348 pep chromosome:LupAngTanjil_v1.0:LG19:3355011:3359205:-1 gene:TanjilG_10558 transcript:OIV92348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEIEKRKVSRDSQSQTKSSRRTQRRDTKLHQDNSSKKKFSESIIASENSETYENVVIHYLDDVNKSEEVHEMVANENKNGVLDDHFSDLEKEQKEGNEEVSDTDTGKDSVSSRADSFKNEDEKLEKASKDPKSKVKLNPSDANRGSRERFDRNTSKLQHKVSNSNQKKHMNSNKGPARVTSKNTSLTISKAVKVPAKVSSESSIRVDKKPFQEVKEIEILDGSSSGAQSVGSDDESHERVVVEENSEHEDEAARESKIEEMKSRIEKLEEELREVAALEVSLYSIVPEHGSSAHKVHTPARRLSRLYIHACKHWTQDRRATIAKNTVSGLILVAKSCGNDVSRLTFWLSNTIVLREIISQAFGNSCQGISHGKQGNGFMQHVEDWNETGTITSALERVESWIFSRIVESVWWQALTPYMQSPTGDSSSNKIIGRLIPGDQKQGNLSINLWRNAFQDAFRCLCPVRAGGHECGCLPVLARMVMEQCIARLDVAMFNAILRESALEIPTDPISDPIVDSKVLPIPAGDLSFLSGAQLKNSVGTWSRWLTDMFGMDVEDYSQEEHENNENEDSERKSFVLLNDLSDLLMLPKDMLMDTQVRHKVCPSISISLIIRILCNFTPDEFCPDAVPGAVLEALNAETIVEQRLSSESGRSFPYAAAPVVYVPPSTANVSARKSQLERNISAVQRRGYTSDEEHEELDSPFTSIIGNHSSSPTLTLNGEDNHKKRGSRSTINARYQLLREVWSIVTL >OIV91704 pep chromosome:LupAngTanjil_v1.0:LG19:16354451:16356026:-1 gene:TanjilG_26557 transcript:OIV91704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSQRVQTLLNHFRSSSPTTNPNPNNNNNNSFSSKPNKRAAVLICLFEDPDDGNLHVFLTLRSSSLSTHSGEVALPGGKRDESDADDVETALREAKEEIGLDPSLVSVITLLEPFHTRYGITVIPVVGILSNKDAFSPVLNPAEVEAIFDVPLEMFLKNENRRAEERDWTGEKYLIHYFNYEVENKQYVIWAITAAILIRAATVVLQRPPAFLERRPKTWGGITESDMIMLQNTSR >OIV91523 pep chromosome:LupAngTanjil_v1.0:LG19:18053196:18054629:-1 gene:TanjilG_08935 transcript:OIV91523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSDLQNNKNNNKNSKKKKKNENIDENPPSQPQVGPIHIMGSINHPSNSSSSNSSSSPSTTTTTTTTTTTIPHQLPRSEPQLSISTIPKRSTKDRHTKVDGRGRRIRMPATCAARVFQLTRELGHKSDGETIEWLLQQAEPAIIAATGTGTIPANFSTLNLSIRTTGTTLSAPASKSAPHAFHGALALAHHHPYEEAFHHTPMLGFQHHSLLTGEPILGTSAGESSDNYMRKRFREDLFKDDSNNSQNENDHDGNASSPKHFKTNISQQQQQHQQGGVVSSLRPSNMLPATAMWAVAAPPTTTTTPPPPPPGSTIWMLPVAGGTTSVTAAPSSDTHQMWPFTASGSGGGGVGGVNPVHFMPRFNLQGGGVEFQGGGRGGGGGGGALQLGSMLMQQQQQPSQHLGLAMSESNLGMLAALNAYARAGAALNINSDHQSHNHHHHMEQQQQQHHHQHQHHHQSHEPADSGEDGGPDSSH >OIV91877 pep chromosome:LupAngTanjil_v1.0:LG19:13837438:13839371:1 gene:TanjilG_17869 transcript:OIV91877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTQHQKDDDVDLDTDDENVQESEKWKKHYSSNQKILLVGEGDFSFSLSLATAFGTAHNLVATSLDSQENIGKKYSNGISNVRELEERGCLVLYGVDAKEMSNHFFLKTQRLNKRLVKGFLSNAKVVLRKEGGEIHITHKEGDPYNKWDLVKKAEKRGLVLVQVVPFFKDDYPGYDNKRAHGKLSDATFIIGESSTYKFKLQND >OIV91860 pep chromosome:LupAngTanjil_v1.0:LG19:13679711:13680391:1 gene:TanjilG_17852 transcript:OIV91860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGFDNCYARALGRKRIVISNNLEASNQMNTPPKRVCSARFTAISEKSCLEALPFDILIKVLCGVYHEDLEQLFQVSRTIREAAEIAKQLHFEYSTPKKKTFPTFHMLTDVDDAKGFEEIEAPCAPLKKSRSRLNGRNLDSISANLFPSMDEEM >OIV92444 pep chromosome:LupAngTanjil_v1.0:LG19:1250577:1251729:-1 gene:TanjilG_02207 transcript:OIV92444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAITTPMDIVSYITIRGTNKVVRVGDCVLIRPSDGSDRARVARVELIGRDDNNNVNVHVMWYYRPEESFAGRRQFHGARELFLSDQYSVQSVDNIQGKCNVHSLKNYTELRNVNAWDYFSRFCYNVSTGTYTPDQVAVFCKCELPQNPDEFMLQCKQCEDW >OIV91517 pep chromosome:LupAngTanjil_v1.0:LG19:18095343:18096027:1 gene:TanjilG_08929 transcript:OIV91517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDLNAERTKPWDIYTASNPSPSQGRGVDEEAGPWKSFGTSMSAISFGFVATAILISMFLIMAIFEHLFKPTPQFSSPQSMLATSQQSVPTRKHGNTHTVESSYASDFSVLMPGQHYPTYIAQPAPLPCPREGTYWPSHEHNFVVFN >OIV91823 pep chromosome:LupAngTanjil_v1.0:LG19:13021812:13026643:1 gene:TanjilG_17815 transcript:OIV91823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLIIFSFSNFMSLSLILSLFLLPFSLSITDPFDVEALQVLYNALNSPNVLTGWKISDGDPCGESWKGITCEDSSVVSIELSGLGLNGTLGYLLSDLMSLRKLDLSDNKIHDIIPYQLPPNLTSMNLARNNLSGNLPYSFSAMTSLNYLNVSNNALSMTIGDIFQTLSDLGTLDLSFNSFSGDLPLSFASLSNISSLFLQNNQLTGSLVALVGLPLDTLNVAHNNFSGWIPHELSSIGNFIYDGNSFADGPAPPSPPSTSPPPSGSHSSHHRSESGSHDKAWGSSDEKTDDHKGLTTGAIVGIVLGSVLVTVLVLLALVFCIRKQNKKENGARTSSGSLPRGITNVTPQVQEQRVKSTAVVADLKPPPAENAMIGRLPIKSGSIRQMKSPITSTPYTVASLQSATNSFSQEFIIGEGSLGRVYKADFPNGKIMAIKKIDKSALSLQEEDNFLEAISNMSRLRHPNIVTLSGYCAEHGQRLLVYEHIGNGNLHDMLHFAEDSSKDLSWNARVRIALGTARALEYLHEVCLPSIVHRNFKSANILLDEELNPHLSDCGLAALTPSTERQVSTQMVGSFGYSAPEFALSGVYTVKSDVYSFGVVMLELLTSRKPLDSSRARSEQSLVRWATPQLHDIDALAKMVDPDLNGMYPAKSLSRFADIIALCVQPEPEFRPPMSEVVQALVRLVQRASMVKRRPSEESGYGHKTPDHESIDMSF >OIV92577 pep chromosome:LupAngTanjil_v1.0:LG19:36860:38715:1 gene:TanjilG_02340 transcript:OIV92577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASQSISTQSIHEFTVKDAKDKDVSLKTYEGKVLLVVNVASKCGFTNSNYTQLTELYSKYKDRGFEILAFPCNQFLKQEPGSSQQAHEFACTRYKAEYPIFGKIHVNGQDAAPVYKFLKAQKPGFLGSSIKWNFTKFLIDKEGYVIQRFGTITPPLAIEKDIKKALGEA >OIV92298 pep chromosome:LupAngTanjil_v1.0:LG19:2833475:2833777:1 gene:TanjilG_10508 transcript:OIV92298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLQQYNFFPTDLFYPRPKPQPSSNPTVVIPLQNPNTNEDKNQQQQPLPRSMFKVTPSSSPLVYIHKRQQSLTRVHNKVSKFPPSWVVFMDQEQDSKPF >OIV92419 pep chromosome:LupAngTanjil_v1.0:LG19:1599177:1601403:-1 gene:TanjilG_23019 transcript:OIV92419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQAASLIPTSFSVLKEGKSCVKDSSLFGLSLSDTLRADFTSSALRCKFQQKIGAVRAETAATASPAVNKSASEGKKTLRKGTVVITGASSGLGLATAKALAETGKWHVVMACRDFLKTARAAKSAGIAKENYTIMHLDLSSLDSVHQFVDNFRRSELPLDVLVCNAAVYLPTAKEPRFTAEGFEISVGTNHLGHFLLARLLLDDLNKSDYPSRRLIIVGSITGNTNTLAGNVPPKANLGDLRGLAGGLNGLNSSVMIDGGEFDGAKAYKDSKVCNMLTMQEFHRRYHEETGITFASLYPGCIATTGLFREHIPLFRTLFPPFQKYITKGYVSEDEAGKRLAQVVSEPSLTKSGVYWSWNKTSASFENQLSEEASNAEKARKVWEVSEKLVGLV >OIV92394 pep chromosome:LupAngTanjil_v1.0:LG19:1713143:1724712:-1 gene:TanjilG_09992 transcript:OIV92394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCSISGEVPEEPVVSKSGILFEKRLIERHILDYAKCPITGEPLTLDDIVPIKTGKIVKPRPLQAASIPGMLGMFQNEWDGLMLSNFALEQQLHTARQELSHALYQHDAACRVIARLKKERDDARSLLTQAERQFPISSPNATPADVSVLSNGKRAAEDELAPGAKKIHPGITTSIISELTDCNAALSQQRKKRQIPATLASVDDIERYTQTSSHPIHKTNKPGIVSLDILYPKDIIATGGIDTNAVIFDRPSGQILSTLSGHSKKVTSVKFVGQGDSILTGSADKTVRLWQSSDDGKYNCRHVLRDHTAEVEAVTVHATNNYFVTASLDGTWCFYDLPSGTCLTQCPGANCPLTLEHILQAIRTQSTAGPKYHRKVILKGKKQTTSKSFCLNRKQNINLAVLLLKGVLQDPYHKDLTTYQVSESLGSSEGYTSASFHPDGLILGTGTTEGLVKIWDVKSQANVARFDGHVGPVTAISFSENGYFLATAAHDGVRLWDLRKLKNFRNFAPYDSETPTNYVEFDHSGSYLAVAGSDTRIYQVASVKHEWNCIKTFPDLSGTGKATCVKFGQDSKYIAVGSMDRNLRIFGLPIEDGSAES >OIV92102 pep chromosome:LupAngTanjil_v1.0:LG19:7185478:7187406:-1 gene:TanjilG_27257 transcript:OIV92102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLENLLLEAAGRNRHSLPPLRRGQHGAYSDDGEDSSDDELNYNDNMPPGSHVPFKKRIDPAERGDDEVNSEEGDDGVDHEDESSDESNVGNDLYKNDDDRRKLSEMTELEREMILSDRAAKKGDKTLLGKIVLKRDKGKTTVPREQTPPLPSSRVRSSARSADRSTAKDDALNELRAKRLKQQDSETHRKLIDAPRSSAPSLFSSKQEPFTSTSLSSSGESESRSHSDDEVSNGYGGIIDSDDDRTISEFEAPSFEDIKEITICRSKLAKWLMEPFFEELIVGCFVRVGIGRLKSGPMYRLCMVKNVDVTESDQQYKLENKTTCKYLNLVWGSETSAAKWQMAMVSDSAPREEEFKQWVKEVDRSGGRMPIKKDVLEKKQAIKKINTYVYSAATVKQMLQEKKSASTRPLNIAAEKDRLRKELVKARSMQNDAEVERIEIRLQELEASRHAQEKDSKALRLAEMNRKNRFENFKNASELIPKTTGLKAGEAGYDPFSRRWTRSRNYYAAKPSEEIEAASNSATGTMADTGSNEMGAAVTAEGGMVATAVALEAAAGAGKLVDTSAPTDQGTESYRLHNFDLPISLSALQDFGGAQGVQAGFMANKQRIEATVGVRVPENDGKRHALTLTVSDYKRRRGLL >OIV91800 pep chromosome:LupAngTanjil_v1.0:LG19:14442134:14457032:-1 gene:TanjilG_14379 transcript:OIV91800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPAQRDATFANDALKKAKPDYKVLIEIACTRTSEEDVASKTNGDFRKLLVAIISTYRYDGDEFDEGVAHSEANILHQAIENKVFSHDEIIRILSTRSKKQLNVTFNAFRNIYGTTITKVLLANPIDGEYLGSLRTAIRCIKYPQRYFAKHACTDLRDDIRYGDSGIYKALMFGNPSVIVTTPETCKKVLTDDDNFTPGWPISTIELIGKKSFIAMSYEEHKRLRRLTSSSINGMESLSLYLTYIEENVISSLEKWSNMGEIEFLTHIRKLTFKIIMHIFLSSESEHVMEALEREYTTLNYGVRAMRINVPGFAYHKAFKARKNLVNIFQSIVDERKKLRNGYIPKKSKDMMDALIDVEDENGRKLDDEEIIDIMLMYLNAGHESSGHITMWATYFLQKHPQYHQKAKEEQEEIIRKRPSTQKGLTLKEIRQMDFLYKVIDETMRVITFSLVVFREAKFDVNINGYTIPKGWKALVWFRSVHLNPKIYPNPKEFNPHRWDKEHKAGEFLPFGAGTRLCPGNDLAKMEIAVFLHHFLLNYQLEQINPNCPVRYLPHTRPIDNCLGRVKKCSSTSM >OIV91539 pep chromosome:LupAngTanjil_v1.0:LG19:17900671:17904026:1 gene:TanjilG_08951 transcript:OIV91539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQNNKLDTSSYSHYIRFMANQLDAPNMLQLYTSIPNQSAKINVYLCNSVLRCLVRKAKFDTAIKLFQQMKQDGLVPDVVTYSTLLSGCIKIKDGYSKAQELIQELQHNKLKMDGVIYGAILAVCASNSKLLEAEYYFKQMKNESHSPNVYHYSSLLNAYSACGDYKKADRLIQDMKSEGLVPNKVILTTLLKVYVRGGLFEKSRKSLSELQSLGYAEDEMPYCVLMDGLAKAGQMDEAKLVFDEMMKNHVRSDGYAHSIMISAFCRAKRFTEAKELAKDFEATFNKYDLVILNTMLCAFCRAGEMESVMETLKKMDELAISPDYNTFNILIKYFSKEKMYVLAYRTMEDMHNKGYQPVEVNAYSEAFAVYNILRYSKRTMCKALHEKILGILLAGKLFKDAYVVVKDNAASISRPATRKFARVFMKSGNINMINDVMKTLHSCGYKIDQDLFETAVLRYLGEPEKKDLLLHLLRWMPGQGYAVDSSTRNLILKNSHLFGRQLIAEVLSKQQVNSRPQKSH >OIV91672 pep chromosome:LupAngTanjil_v1.0:LG19:16078465:16081347:1 gene:TanjilG_26525 transcript:OIV91672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAECNREDYFTAQDVEPTSTPKKYGGLVPKKKPLISKDHERAFFDSADWALCKQGAGVNQQSKEAVETLRPKLQRTPHQRLPPRQPACTSG >OIV91793 pep chromosome:LupAngTanjil_v1.0:LG19:14529061:14532116:1 gene:TanjilG_14372 transcript:OIV91793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYDVSETRNPDAYLIEAADLNSHFTSPNLGQTEFMLNEGAPEFVVDQNLYYPATTNYGYYYTGFESPREWEDHHRIFGVDGPDIQYTGAQNENMQYVYCNYGYTQSPYNPYNPYIPGAVIGVDGSFGGQQYYTLPNYQNPVSSSAYIPFVVPPDNFPDSSVDSLYGTSASVSRPDGRGLKHKFNPSSGNFSRNSSKPSSNQTSSLARVSEGLRANNERKQDLMHASVSGSSFPNLPPSAVIQGRNSGASNQPVDSVANGNTLFHGTQLTHNSGYSDFGSTANGLPAAAKLPPKVHIGKVSSDVNGGSDVLSEQNRGPRISRSKQQLSVNTYTTNAGDDNEHGNIIIYPDQYNKEDFPTDYQNAKFFVIKSYSEDDVHKSIKYNVWSSTPHGNEKLHSAYVDAKKIAAEKSGVCPIFLFFSVNASGQFCGIAEMVGPVDYNKDMNFWQQDKWSGSFPVKWHFIKDVQNSNFRHIILENNEHKPVTNSRDTQEIMYNKGLEMLKIFKNHTLKTSLLDDFMYYENRQKIMQDEKAKFVIKSFGSPFIPASEAPRKLNFVVDTPLVNAEKNSKPKDDVDSLKQNSKPKDDFGCLKQTSISSPVDEKVEIDKDISSILKIGSVTITPKLVETKQSVGIGNKEPSDVVTVGSMQVKVKGFAESSGILKVGSIPLHTRSLQPKKGAVKNGSQR >OIV91976 pep chromosome:LupAngTanjil_v1.0:LG19:11721102:11721653:-1 gene:TanjilG_07307 transcript:OIV91976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSSSSSSSFTSLHITALDAIVNVNSLFTLAVFIGLSFNPNDPSNNLNTNPSCAPTTALAENLVAFHVYSFSSFLFSSLIALALKQAIRLSRTHSFHYPHAVEFLAQINRTILRVGMLVSGFGSVFGCGFLMLALVNVVQIKLGTLACGSSYAYAAVVPLLILVPIALLIYLSLVFYAFTR >OIV91609 pep chromosome:LupAngTanjil_v1.0:LG19:17378946:17381790:1 gene:TanjilG_09021 transcript:OIV91609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVCFILGLGSLVSWNSMLTIGDYYYILFPNYHPARVLTLAYQPFAFGTTAILTYYEAKINTRLRNLSGYILFFASTFMVLVLDLATSGKGGLGHYIGICLLSACFGLADGQVQGGMVGDLSFMCPEFIQSFLAGLAASGALISILRMLTKVAFEKSHNGLRKGAILFLAISTFVEFLCIILYAIYFPKLPIVKYYRAKAALEGSKTVSADLAAAGIQSTTNDQAENKHDEKEQERLSNKQLFLQNVDYAVDLFLIYVVTLSIFPGFLYENTGSHQLGTWYPVVLIATYNVTDFIARYLPLVKWLKLESRKTLLIAVISRFLLIPAFYFTAKYADQGWMIFLVSFLGLTNGHLTGPEQNALGNFLVMCLIAGIFAGVVLDWLWIIGKGSF >OIV91865 pep chromosome:LupAngTanjil_v1.0:LG19:13714614:13716592:1 gene:TanjilG_17857 transcript:OIV91865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIFAADAVSPFPDSGDLIYDALFSTTDIISHHHHNHHNPQKLRPIRPNGISSPPHLPPLDAPGSGHYYGFPLTGSFLDDDENNVYDDNSSGSTKEPGSRKRSKREGENLENFVQNLVTEMMEKQERMHKELVDMIEQKENERIMREEAWRHETMEKFKRDEEARAEEKSRSLAIISLIQNLIGHEIQIHQPAEGDANTHRELIADQNNSRWPDVEVQELIALRTPLEHKFQVMGPKGSMWEEISEAMHKKGFNRSAKKCKEKWENITKYYKRTVGNGKKRRQNSKACPYFDELDTLYRNGILNHGSASSNTNNVTKIEKEESRTQ >OIV92442 pep chromosome:LupAngTanjil_v1.0:LG19:1404520:1417029:-1 gene:TanjilG_25172 transcript:OIV92442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLQGVEPQTLFLVILTRFDKELNKEVAIKVIDLEESEDEIDDIQKEISVLSQCRSQYITEYYGSYLNQTKLWIIMEYMAGGSVADLLQSGPPLDEMSIACILRDLLHAIDYLHTEGKIHRDIKAANILLNENGDVKVADFGVSAQLSRTISRRKADIWSLGITVIEMAKGEPPLADLHPMRVLFIIPRENPPQVVLFNRPSAKELLKHRFIRNARKSPKLSERIRERPKYQIKEDQETPKNGRRGMGEASDTMKVARDSRGPPLDEMSIACILRDLLHAIDYLHTEGKIHRDIKAANILLNENGDVKVADFGVSAQLSRTISRRKTFVGTPFWMAPEVIQNSEGYNEKADIWSLGITVIEMAKGEPPLADLHPMRVLFIIPRENPPQVVLFNLDEHFSRPLKEFVSLCLKKVPAEASVSRPSAKELLKHRFIRNARKSPKLSERIRERPKYQIKEDQETPKNGRRGMGEASDTMKVARDSRGEETNRPIDQGKTMKNSGWDFSIGGSQGTGTFRSVARPPQFRDKKTEVSHNQLTQRKAPDSGYQGASDNRSALNESLESSFGKDPRVSYHDEHLANLEDDEYSGSGTVVIRSPKGSRSSVLRDQSSQSSSSYTSFEDTSTTGTVVFRNQRDDSGSPETSSSRDGLHDRNSNTSLEDSAANLAEAKAVIQGGLRKGNARERFALGKNINQQESKREMTSSSGSSRSSSQKGMPRSHYSSGDEESAKIMSSSVPLSVLLIPSLKEAIADDPEGSILGAVINSLVNMESTKPRSSDVLVKKLLQRLASSNEDSLKDLQELAGQLFSKTKSGDTESDSIRKQQNKELHPNTNLSPLARFLLSRWQGQTSRDLNPS >OIV92042 pep chromosome:LupAngTanjil_v1.0:LG19:8667187:8667660:-1 gene:TanjilG_15033 transcript:OIV92042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMMSYNSNNSSGGGGGYGHGGGEDMNVKPAWLERLMAETFFGGCGVHQNQRKNEKNIFCLHCCLSICPHCLSSHRPHPLLQGN >OIV92005 pep chromosome:LupAngTanjil_v1.0:LG19:11439771:11448067:-1 gene:TanjilG_07744 transcript:OIV92005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMVPLNLVAETVRNLAHDHAQVVPISLFVAVLCLCLVIGHLLEENRWVNESIVAIIVGCIAGMILLFITKGKSSRILTFDEEVFFIYLLPPIIFNAGFQVKKKQFFHNFLTIMLFGVIGVFVSTAVITCGSRFLFPKLNFLGLSGQEYLAIGTIFSSTDTVCTLQVLHQDETPLLYSLVFGEGVVNDATSVVLFNAVQKIDLSRLDGRVFQVLGDFLYLFSTSTALGVVAGLLTAYLLKALSFGRHSSIREIALMMLMAYLSYMLSELFDLSGILTVFFCGVLMSHYAWHNVTEISRVTTRHLFAAISFIAETFIFLYVGMDALDIEKWRMTKLSLGSLIGIYSSLILLILLGRAAFVFPLSSLSNYMNRRADRTSKITFKHQIVIWWAGLMRGAVSIALAFKQFTFSGVTSDPVNATMVTNTIIVVLFSTLVFGFLTKPLIRYLLPHHATRKNNGHEESGPHPEDMNLPFLSLEESTETNLSRAKESLSMFIESPVYTMHYYWRSFDDSYMRPIFGGPRANFHNANTANHGHLSNVV >OIV91610 pep chromosome:LupAngTanjil_v1.0:LG19:17373955:17374224:-1 gene:TanjilG_09022 transcript:OIV91610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLDSIRSSPSLKRQFTKDELGSWLTLVERHRYLLTALVLLVVLCTIYLYFAVTLGANGSCDGMNGAQKASCHMELIRDTIAKGKLKFL >OIV91907 pep chromosome:LupAngTanjil_v1.0:LG19:14110044:14111471:-1 gene:TanjilG_17899 transcript:OIV91907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFTKNLFLIISISSFLLLHTTNAIRLHIPIEIGVTKLCSGTTDPALCVRTILPQMHGGFNRYKALEIEILVAKNQALKAVAIIDELIKSPSCSQGMKDSLAVCQNQYGNIIDSINEAITTVQQRNAGMTNLKFSAVFSYRSTCEDEFQPEIPPPNLANAAEALKVIGGNVLDISKGLENREIRMMQKKGLMPDYSKVTSPPSKCLHVVGPCSE >OIV92362 pep chromosome:LupAngTanjil_v1.0:LG19:2489040:2492493:1 gene:TanjilG_09960 transcript:OIV92362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGDSYAPSHSLEKQFEAFRTELEESGTLRERIRSVVSEIESTTRVMYATLLLVHQSRSISELLEKAKSQIVVLKEKYKQLAQILGGCSGQYYRYYGDWRSETQSVVSILTFIHWLETGSLLEHKEAEEKLGLNNSEFGLDVEDYLIGVCFMSNELPRYVVNQVTAGDYDCPRKVLKFLTDLHAAFRLLNLRNDFLRKKFDGMKYDLRKVEEVYYDVKIRGLTPNGESVGDKGIEG >OIV91848 pep chromosome:LupAngTanjil_v1.0:LG19:13506209:13508464:1 gene:TanjilG_17840 transcript:OIV91848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSIEESRSNSTRFSLNRNHQFHSSKTHRFIGRSMRTIRSNFSQHENHINTCTFTDKSTCLSENLSDSVVDHRLRELASRNGKSVKFSVASDEELIDLSHAFSDFSACSSDISGELQKLACLPSPEYIHKNDSACGISENEPEPVPCTGFLQRENFSTEIIESISPEDLQPTVKMCIDGLQSPSVAVKRSAAAKLRLLAKNRADNRVLIAESGAVPALVPLLRCSDPWTQEHAVTALLNLSLHEDNKILITNAGAVKSLIYVLKTGTEASKQNAACALLSLALVEENKASIGASGAIPPLVSLLLNGSNRGKKDALTTLYKLCSVKQNKERAVSAGAVKPLVELVAEHGSGMTEKAMVVLNSMAAIQEGKEAIVEEGGIGVLVEVFEEGSMKGKEFAVFTLLQLCSDSVKNRGLLVREGGIPPLVALSQSGTARAKNKAEQLLRYLRESRQEASTSTS >OIV91576 pep chromosome:LupAngTanjil_v1.0:LG19:17622005:17629987:-1 gene:TanjilG_08988 transcript:OIV91576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRDLYFMIAGGSLFLFDRKVLRYFRKDGHNWRKKKDGKTVKEAHEKLKVGSVDVLHCYYAHGEDNENFQRRSYWMLEPDMMHIVFVHYLEVKGNKTTIGGITESDDVTTDSQRVTSPSSGFPVSYSTAPSLSTDSMSPTSSLTSLREDADSEDIHQASSGLRPLRASQYIGNGLLMDKLDAGLNSSNLLHPILGGHGQSSTSGTDYTPLLPGDKFRGDDTTYIDGQKAHGMASWDNGLNQCTTALHTDPSLISFPSIPSSLVGNILDQEHTVFSDLLVGRNGLTGEAGSSQSLQSNWQITFEDNSGHLPAITQSPSLDFGSEYSTGLLGNETHSGSSEIAPNLFSFHGKPKEQHLQQYYPEQHADGQSQLALKFSSVNNVPGEESINFAFTAKRTLLDRDESLKKVDSFSRWVSKELGEVDDLNMQSSPGISWSTDECGHVIDDASLSPSLSRDQLFSINDFSPKWAYSESETEVLIIGAFLKSQPEGTNCNWSCMFGEVEVPAEVLANGILCCQAPPLKIGRVPFYVTCSNRLACSEVREFDYRESISGNVDFADIYHSSFEMALHLQVEELLSLKPVHLPNQTFEGYMEQRNIIFKLISLREEEEYSSKEEHSVEMDISQQKVKDHLHRQVKEKLYSWLLRIVTDSGKGPNILDKNGQGVLHLAAALGFDWGIKPILFAGVNINFRDVNGWTALHWAAFCGRERTVAVLVSMGADSGASTDPSPAFPSGRTPADIASSNGHKGISGFLAESLLTRHLESLTMDDQHKGDRQQISGLNSVQTVSEKIATPVFYGDMPDSLSLKDSLTAVRNAIQAADRIHQVFRMQSFQRKQLNQYEDGDDEYGLAEQQALSLIASKKCKSGQGDGLVNAAAVKIQKKFRGWKKRQEFLFIRQRVVKIQAHVRGHQVRKKYKTIIWSVGILEKVILRWRRKGSGLRGFRQDALPKAPSQQSDPVKEDDYDFLKEGRKQSEEKFQKALSRVKSMVQYPEARAQYRRLLNVVEEFHQTKECNMDLINTEDTVEGVEDLIDIDMLLDDDNFIPIAFH >OIV92195 pep chromosome:LupAngTanjil_v1.0:LG19:4977454:4985485:1 gene:TanjilG_30903 transcript:OIV92195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLSSYNDLERSRSRGLSFEEVWNSGAPDVFNVSRHSQNDAEENLMWAAIERLPTYDRMRKGVLKQMHDDGNIVHNEIDIVNLKFQDKKVIMDKILHLVEQDNEKFLSKLRDRIDRVGITLPKIEVRYEDLYVEGDVHVGGRALPTLLNVLLNILEVIAGVLHLIKSKKKEIQILKNVSGIVKPSRITLLLGPPASGKSTLLLALAGKLDKDLRTSGKITYCGHELNEFVPQKTSAYISQQDLHIGQMTVKETLDFSGRCLGVGSRYDMLAELTRREKQEGIKPDPEIDAFMKALAMSGQETSLSTDYILKILGLDICADIMVGDNMNRGISGGQKKRVTTGEMLVGPAKALFMDEISTGLDSSTTFQICKVMRQMVHIMDITMVISLLQPAPETYELFDDIILLSEGQTVYQGPRENVLEFFEHMGFKCPARKGVADFLQEVTSKKDQQQYWNRENEPYRYVTVPEFVNAFSSFKIGEQIRNELKVPYEKEQTHPAALVKDKYGISNRELLQACFTREVLLMKRGSFVYIFKTIQITIMSIVALTVFLRTQNHPGTFDGGQKYMGGLFFAVTNLMFNGMSEQATIIQRLPIFYKQRELLFFPPWAFALPIWVLRIPLSLMDSGIWIIFTYYTIGYAPSAGSGDNRMKKIALTSHFPLFHQILQTSLDAIKKWLIWGYYMSPMMYGQNAIVINEFLDDRWSQPNTDQRIHATTIGKAILKSRGFYTQGYWYWIGIGALLGFAVLFNLIFIVALTYLSPFGDSKSSIITDEDEEKKKKNEKPSTRKKDTRGKSEINGSSNQEPRTGMTLPFQPLSLTFNHVNYYVDMPAEMKKQGIPDDRLQLLKDVSGAFRPGILTALMGVSGAGKTTLMDVLAGRKTGGYIEGSITISGYPKNQETFARISGYCEQNDIHSPNVTVYESLLFSAWLRLSADVNTQERKMFVDEVMELLELKPIGDTLVGLPGTGGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVNTGRTVVCTIHQPSIDIFESFDEACLDSNDHSLVLMKRGGQISYAGPIGHQSQKLIEYFEGIAGVEKITDGYNPATWMLEVSTPLIESQLDVDFAQLYTNSTQYQRNQELIKELSTPPPDSKDLFFESVYAQSFYVQWKACFWKLHWSYWRNPQYNFTRYSLTIIMGLIFGAVFWNKGKMISEQQDLLNMFGGLYVAVVFLGFMNASAVQPIVDIERTVLYREKAAGMYSAFPYAISQVAIEGIYIIIQTVVYSLILYSMIGLKWRADKFFWFYYYITTCFLYYTLYGMMAVALTPGYQVAAISMSFFMTLWNLFAGFTIPMTQIPIWWRWFYWICPVPWTFNGIITSQYGDLESEIIIPGSANMGFKAFLKDRLRLRHQILELPEKIA >OIV91975 pep chromosome:LupAngTanjil_v1.0:LG19:11939341:11940603:-1 gene:TanjilG_09516 transcript:OIV91975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKDDEIQAQACKFPRIGNGSNKLGSTRGNEEEDKRRVGGCGNDGSTNHFNSGSNWNNSSRIVRVSRASGGKDRHSKVMTSKGLRDRRVRLSVTTAIQFYDLQDRLGFEQPSKAVEWLINAASDSISELPSLNTTFPDTPNQPSDEKRPSFDEVDVDTNNYHQNQNQKLSLSKSACSSTSETSKGSGLSLSRPEICVKAREGGTKDKEKEYESSHHITNHHHNVSQTASFTELLTGGFGNVPTTTSANASDECNLFNKAKQWSPMVMDYFNNNTLMVGPSSSSRNVSLSPFSDENHSVQLQHFSFIPDHNFIPCSQPSENLNFTISPTLAGYNRGTLQSNSQPHLMHPMQRFSSPIDGSNNNLPFFIGGSSAHSASAASTMDTGSNHHQQLQFSPLFDGSLQLCYGDGTQHSEQKGKAKN >OIV92424 pep chromosome:LupAngTanjil_v1.0:LG19:1668200:1670127:1 gene:TanjilG_23024 transcript:OIV92424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTDSSTGSQQQPNLPPGFRFHPTDEELVVHYLKKKTASAPLPVHIIAEVDLYKFDPWELPAKAVFGDQEWYFFSPRDRKYPNGARPNRAATSGYWKATGTDKPVLTCGGTQKVGVKKALVFYGGKPPKGIKTNWIMHEYRIADNKANNRPPGCDLGNKKNSLRLDDWVLCRIYKKNTTHRSPLEHDREDSMDDMIKGIPLSINLGQMNGRFHLSKMSTNYNNALLENDQNLLEGMVLNNGLGSLTSKTELPFVPNIANSGSKRTLSSLYWNGDQDMDVAGTSSTNKRFSLESGEHDGSVVRTEENNNNNNNGTSSSFATLLNKFPQTPSLHQQQTLLGSLGEGLLRTTSYQIAGMNWYA >OIV92397 pep chromosome:LupAngTanjil_v1.0:LG19:1441421:1442126:-1 gene:TanjilG_22997 transcript:OIV92397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSISKPLPLQASPLLSIAAFSTAYERAEGANAVAEASNENATLRGDTMYKEKEEDLSISNDAARDGPKKAEEGSEMVRDTAKKSMDGRWKASQETSHKVRDHQIQRTAGN >OIV91886 pep chromosome:LupAngTanjil_v1.0:LG19:13928575:13932435:1 gene:TanjilG_17878 transcript:OIV91886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQNQGDEMEYVANADDNEMADVDDDIYFRGRGFGESDSDDDDDEYDPLENRITDTTAAEARRGKDIQGIPWDRLSISRKKYRQTRLEQYKNYENIPQSGERSEKECKPTEKGGMYYDFWQNTRSVKSTILHFQLRNLVWSTSKHDVYLVSHYSIVHWSSLNSKRSEVLNVSGHVAPCEKHPGSLLEGFTQTQISTLAVRDKLLIAGGFQGELICKYLDRPGVSFCSRTTYEDNAITNAVEIYDHPSGAVHFMASNNDGGVRDFDLERFQLSKHFCFPWPVNHTSLSPDSKLIAIVGDNPEGLLVDSQTGKTITSLCGHLDYSFASAWHPDGRIFATGNQDKTCRVWDIRNLSQSVAVLKGNLGAIRSIRFTSDGQFMAMAEPADFVHIYDAKHGFEKEQGIDFFGEISGVSFSPDTESLFIGVWDRTYGSLLQYNRRRNYMYLDSL >OIV92349 pep chromosome:LupAngTanjil_v1.0:LG19:3363455:3368749:-1 gene:TanjilG_10559 transcript:OIV92349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQANEILRGSTQNDDVVALDSIQFNEEIQGIMAPVPAPENASSFTALLELPPTQAVELLHSPDSSVAAAARKPPLVNTKGYLVNAFNGNSLTFPSNSVLIERAAKFSVFAGENSSSPEEACLVPVGSGDMVKNEPQETDSNPCSTQGCVSDPAVENKNQRNVKRKEREKKVKASSKKSKSVADENSGDGEKLPYVHVRVRRGQATDSHSLAERARREKINARMKLLQELVPGCNKISGTTLVLDEIINHVQNLQRQVEFLSMKLAAVNPRIDFSLDSLLATDGASLVESNLPSMVTPLMWPEIPHNGIRQHYQQQWQYDAFHQPLWGREEENHNFMTPENSLLSYDSSANSGRSGSSFCCTFSSQKTCLTK >OIV91511 pep chromosome:LupAngTanjil_v1.0:LG19:18127599:18128798:1 gene:TanjilG_08923 transcript:OIV91511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQTRFAADAFGVVTICVVAILILLGLMCIAYSFYFRSRIRHQGFVHLNYFSGPWIIRITFVLFAIWWGLGEIIRLTLLRRILHLKWQGTVCKCYIVSNMGFAEPCLFLTLVFLLRAPLQKSETGILSRKWNMKTAGYILLYCLPVFVLQLFVILIGPKLDKKSVSGKRLPHYFTKTAVSTSMEKEDDVVLCTYPLLSSIVLGLFAIILTSYLFWLGSRILKLVINKGLQKRVYTLLFAVSCFLPLRVIFLGLSVSSGPENFMFEAFVFLAFLALVCCAGVCMCTLVYRPIADSLALGNLQDIERKRFNEVLNDAVSLIAIQNHLEDNVEENAQSSHGRYSDASTKHGSISFRTLEKDVTSTTGTFVELSLFSSSRNATPPGSPPPLLGWPMRSPTQAG >OIV92013 pep chromosome:LupAngTanjil_v1.0:LG19:10966349:10966786:1 gene:TanjilG_20943 transcript:OIV92013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVVEEILTINVKPGWKKGTKITFQEKGNKLPNMTLADLVFIVDERPNDVFSRDGNDLIVTQNISLADALTGYTVNITTLDGRNLTIPVNNVIHPDYEEVVAREGMPLSKDPTKKGDLRIKFNIKFPAWLSSDEKVGIKRLLAAD >OIV91516 pep chromosome:LupAngTanjil_v1.0:LG19:18097936:18100428:-1 gene:TanjilG_08928 transcript:OIV91516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIPMQSTPGSSGYLDLYPERKVSFFKNSYILALASVAGIGGLLFGYDTGVISGALLYIKQDFHDVRDSSFLQETIVSMAIAGAIVGAAAGGWINDVFGRKKATLLADVVFAIGAIVMAAAPNSYVLIFGRLFIGLAVGIASVTAPVYIAESSPSEIRGSLVSTNVLMITGGQFISYLINICFTQVPGTWRWMLGVSGVPAVIQFILMLFLPESPRWLFIKNRKDEAVDVISRIYDLARLEDEIDFLTAESEQERHRMNNIRMLDVFKSKEIFLAFLVGGGLLAFQQFAGINTVMYYSPTIVQMAGFHANELALLLSLIVAGMNAAGTVLGIYLIDHAGRKKLALSSLGGVVVSLIILSFTFYKQASSSSSSSSDFYGWIAVLGLAMYIGFFAPGMGPVPWTVNSEIYPEEYRGILGGMSATVCWVSNLIVSESFLSIADGIGVGPTFLILAGIAVVAFLFVLFYVPETKGLTFDEVEVIWKERAWGKNPNTESLLERASQS >OIV92402 pep chromosome:LupAngTanjil_v1.0:LG19:1482361:1482693:1 gene:TanjilG_23002 transcript:OIV92402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEILKSPTSAPHQDETPTQLNQAKVKIHQAKLKIHRPIAPHYRGKTNVDRGIMIHDRRNEKLNQHSASPNVHQPEAHNQLSDRGALTVSSKSGRIMTEAHKCPGAMPQAH >OIV91937 pep chromosome:LupAngTanjil_v1.0:LG19:12572610:12573332:-1 gene:TanjilG_25418 transcript:OIV91937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHHHFIFTTLATTMPSSSATPSPPPSSNPKHKSSSHSHLCNHHSPSATLDLLILLLVLFSATFLLSSYFSYIFSSLSLLSQSHSSFSFPLPSSSYLLGFLLFFLSSFTAVACCSSRSRKCGKRGCRGLKKSMEFDLQIQTEESFRIGSRSGFGEIDKLPWKGGSEGNPDYECLRAELRKMAPPNGRAVLLFRSPCGCPIAKLEGWGSKKGKRQKRCVVTFLLHFIIKFGKFSFIFGWSRK >OIV92092 pep chromosome:LupAngTanjil_v1.0:LG19:7371949:7373905:-1 gene:TanjilG_27247 transcript:OIV92092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHMMQGSSSSIYSTLSKDDNFVFSSEDSSCPDESNLHLGLGLSLSPSPIAPYAGILSAKDFPSSSASSSSSSSSLNRKSNVTYGNKRGADSVVSTNGSRQVVGWPPLRTYRMNSLNNNSKLPDAEVIKSMVDKSKSNVAVESSIDKNIIAKEKENFKSSLFVKVNKDGVPIGRKVDLSAHCSYETLARTLEDMFNEPTTVTACIGSNGEGHGITAGTDGQSKLLDGSSNFVLTYEDGEGDWMLVGDVPWW >OIV91703 pep chromosome:LupAngTanjil_v1.0:LG19:16351869:16353729:1 gene:TanjilG_26556 transcript:OIV91703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKVNISERIVILPSHPPFLNDHTLSLSHLDTDTNLHLTFRYLRVYSTSHHDPFPLISSSLSHSLNHYYPLTATLRRSHHQPHRLELHCTAGKGVPFIRATVECTLPSLNYLDDTDFSFVEQLVPDPGPEEGMEHPCMLQLTVFKCGGFALGAAMHHSLCDGLGGSLFFNAVAELARGSTRITVEPVWNRDKFLGPREPVRVDSPLIREFLYLDKGFLAYEQDIGNVVRECFHVKDECLERFKKSLFDQCGLNFTTFEFLGAYIWRSKVKAFGVKAHEKVKFAYSVNIRRLVKPPLSTGYWGNGCVPMYVQLSAKDLIEEPIWKTAELIKKSKRNVTDEYVSSFINYQELHFADRITAGKGVSGFTDWRHLGHSTVDFGWGGPVTVLPLGRNLLGSVEPCFFLPYSTATEGKKDGFKVLVTLAEASLPAFREDMQVFTSSQKQLANNKF >OIV91951 pep chromosome:LupAngTanjil_v1.0:LG19:12245632:12246145:-1 gene:TanjilG_23212 transcript:OIV91951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFLRRLFGGKKHPPGASADVSRPKPSKDRKKKKTTKDNYNNHNHFDPSVSSLDANNHAIAVAAATAAVAEAALAAAHAAAKVVRLTNGSGPGSSRRDAAAARVQRQLVEETAAVKIQSAFRGYLPSPTINFWSF >OIV91878 pep chromosome:LupAngTanjil_v1.0:LG19:13879445:13882163:1 gene:TanjilG_17870 transcript:OIV91878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GVGKSCLLLQFTDKRFQPVHDLTIGVEFGARMITIDNKPIKLQIWDTAGQESFRSITRSYYRGAAGALLVYDITRRETFNHLASWLEDARQHANANMTIMLIGNKCDLAHRRAVSTEEGEQFAKEHGLIFMEASAKTAQNVEEAFIKTAGTIYKKIQDGVFDVSNESHGIKVGYGGIPGPSGGRDGPVAASGGCCS >OIV91949 pep chromosome:LupAngTanjil_v1.0:LG19:12234197:12234583:1 gene:TanjilG_23210 transcript:OIV91949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEGKHSVQIPSAELFRFSHRVHSFKMTGEERLGVPVGSYELDPASLPQNLEEIVVPEDVELKAGHNPSVGMSTKPERDASTSKVNLKNSNSAVETKNSVGLNGGSAPPASAVEAFEVPDSSIFCTLS >OIV92367 pep chromosome:LupAngTanjil_v1.0:LG19:2336944:2338803:-1 gene:TanjilG_09965 transcript:OIV92367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEKKEQQKNETEKKPGGGDKKNDASASVVLKLELHCGGCVKKIKKAVRHFEGVEDVKADISASKLIVIGKVDPAQVRDNLAAKTKKKVDLVSPQPKKDAGTGGEKPPEKKAEEEKTVEKRTEAKTQKQRTVVLKTRLHCDGCIQKIRKIILNFKGAESLNIDGGKDLITVQGTMNVKDLLPYLSEKLKRNVEVIPPKKEKDKDKDIEGEKKVKKNKDKEIVGDNNKQNGKKEESAVKVEVNKMEHHGYGYGYGYPSAPIYAYPYGHGESSASTGYAMEVQPSGYDGNYHVDQGYNQQRYGYVEQPPMYMHTQNYPPPQMFSDENPNACSIM >OIV92355 pep chromosome:LupAngTanjil_v1.0:LG19:2558533:2559174:1 gene:TanjilG_09953 transcript:OIV92355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPTTTTMASSQTHLFFSFSSSSLRLHPLHHKPNPISFPSLFRVKLSQSVTSNRHSNPVTEKTQQNHDILRGSTRTVTTAVATALLFLKLILQKTTTTVIPNLIATSSPLFFASLKDRPPGYLNTPLTVVAAGLGKWLDIYSGVLMVRVLLSWFPNIPWERQPLSAIRDLCDPYLNLFRNIIPPVFDTLDVSPLLAFAVLGTLGSILHTAMV >OIV92254 pep chromosome:LupAngTanjil_v1.0:LG19:3899911:3903169:1 gene:TanjilG_00272 transcript:OIV92254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLIGDGGCGGRKKVLVGVKLDERSTELLTWTLVNVAVPGDLVIALHVLETIPAGTASVLSLLKSFDSVISGYKGFCNLQQVDLRLKLCRGDSPRKVIVKEAKSFGVSTVILGISKTHHTICSYSLIAKYCARKLPKCVSVFSVDNGKIAFQREASRTCSCEEKIHEGQNSSPNLLVPCTKKTLKNCVSCVKRFSGYDLVKENSLALVPLLKHDDSDPPSYSTVASNSNQLKSGCSIIDQVLEPRKCSHSMSLRKRGFDYQPALRRLNWNFSAVVHPDHKQTNDDKNNDSTLYGESGAIVAFGFANFLHPSICGQVTSLPEELLGLQKKYSSLCRIYSLQELVFATANFSSENLVGKGGSSYVYRGCLPDGKELAVKILKPSEDVVKEFVQEIEIITTLHHKNIIPLSGFCFERNHLLLVYKFLSRGSLEENLHGDKKDRNALGWQERYQVAVGVAEALDYLHNGCAKAVIHRDVKSSNILLSADFEPQLSDFGLASWSCSSSHITSIDVAGTFGYLAPEYFMHGKVTDRIDVYAFGVVLLELLSNRKPINNDCPKGQESLVMWATPILKDGKISDLLDPSLGIEYDHGQIKRMVLAATLCIRRAPRSRPEISLILKLLQGDEEVTRWAEQEVSAPQEFDGADGEPVAINIQSHLNLALLDLNDDAFSTSSTAQNISLENHLLGRWSDSSCSD >OIV92280 pep chromosome:LupAngTanjil_v1.0:LG19:4285739:4289414:-1 gene:TanjilG_00298 transcript:OIV92280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTYYHGNSEIQGASADGLQTLVLMNPGYIHYSDAPPQTPLSHSPSNLVFLNNNNNNNFNPHAPPPPSHHTQQFVGIPLSATAASSQDLNHHSLHPHHHDVSALHGFMSPRMQYNLWNTIDPNSTARETPRAQQGLSLSLSSQQAGFGSFLVDRDIPPTQGQATAMSSDDIRGGSPSPGTMNGGATSIQSVLLSSKYLNAAHELLDEVVNVNNGINNELAKKSGGGGGGNKVVGECSGAVSGEGGGSIGGENSGKRSTDLSNAERQEIQMKKAKLISMLDEVEQRYRQYHHQMEIVVSSFEQAAGIESARTYTSLALQTISRQFRCLKDAITCQIRAANKSLGEEDCFGGKIEGSRLKYVDNHLRQQRTLQQLGMIQHNAWRPQRGLPERSVSVLRAWLFEHFLHPYPKDSDKHMLAKQTGLTRSQVSNWFINARVRLWKPMVEEMYMEEMKENEQNNGSDEKSSKSNEDKGPSNENEPKNFNPKHVSKSQNNPIVSVEESVRNQSGLSFNIGSSELDGITQQSPKKARNHEIMHSPNSVPSMNIDIKPNEQISMKFGDERQGRDEYSFMGNQTNFIGGFGQYPIGDIGRFDAEQFTPRFQGNGVSLTLGLDSLPGTHQNFLPNQSIQLGRSMDISEPNEFGAINTSPSHSNAAFENINMQNPKRFEAQLLPDFVA >OIV92171 pep chromosome:LupAngTanjil_v1.0:LG19:5604285:5605762:1 gene:TanjilG_30812 transcript:OIV92171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIRNIAIGRPEEATHPDTLKAGLAEFISTFIFVFAGSGSSIAYNKLTNDGPATPAGLISASIAHAFALFVAVSVGANISGGHVNPAVTFGAFVGGNITLLRGIVYVIAQLLGSIVASLLLTFVTGLGVPAFGLAAGVGIGNAVVLEIVLTFGLVYTVYATAVDPKKGSLGTIAPIAIGFIVGANILVGGAFSGASMNPAVTFGPAVVSWTWTNHWIYWVGPLVGGGLAGLIYEVLFINHSHEQLPTTDY >OIV92146 pep chromosome:LupAngTanjil_v1.0:LG19:6159875:6162998:1 gene:TanjilG_18718 transcript:OIV92146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPQPLLLLLQARLESSKLSEDINSSLDLVKVFDLKGNEIPISDLWKDRKAVVAFARHFGCVFCRKRADYLASKKDIMDSSGVTLVIIGPGSIDQAKAFAEKTKFKGEIYADPAHTSYEALKFVSGALTTFTPNAGLKIIQLYMEGYRQDWKLSFEKDTVERGGWIKKPVMTQTSKIS >OIV91994 pep chromosome:LupAngTanjil_v1.0:LG19:11282075:11284788:1 gene:TanjilG_07733 transcript:OIV91994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERLLLSLVLVVLVSSIVLSVSAIDVEFGHCNCDEEGIWSIQSILVCQKVSDLFIAIAYFSIPIELLYFVSYSNVPFKLVFLQFIAFIVLCGLTHLLNAYTYYGPHSFQLLLSLTVAKFLTALVSCATAITFPTLIPLLLKVKVREFFLRQNVFELGQEVGMMKKQKETSWHVRMLTREIRKSLDKHTILYTMLVELSKSLDLHNCAVWMPDEERHVMHLTHKLKPGSGNKFLSPIPINEQEVLEIRKSKGAWILRPDSALGAASYGGGGGTEVYGNVAAIRMPILHVSNFKGGTPEFVETSYAILVLVLPNSKSRVWTSHEMEIVEVVADQVAVALSHASVLEESQLMRQKLADQNRALEQAQKNAMMASQARSSFQRVMNQGMRRPMHSILGLLSIFKEDNIKPEQKIIIDTMLKVSTALSSLINDMMEISENDKGSFRSQLDESISTRRHGGRRHNDNEPREGLSFSMCKKLVQMMQGNIWITTNSLGLPQGMTLFLKFQTGPSLGRSILTPKQFSHTQFRSFKVVLADDDGVNRTVTKKLLEKLGFQVTAVSSGFECLSAISASGNSFKIIVLDLHMPEMDSFEVVRRIRKFHSHNWPLIIALTASAEKHVKERCLEVGMQGLMRKPILLHELADELRTVLQCEGENL >OIV92016 pep chromosome:LupAngTanjil_v1.0:LG19:11042237:11045430:1 gene:TanjilG_20946 transcript:OIV92016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSWQDFSSYQKIPSYANFGTNTTNHQHHQLNTTTNNNNNNNNNNNDINPSWVDEFLDFSSVRRGAHRRVVSDSITLLDAPPMRQENNDNNEFDKFDDEQFMSMFNDEIYGVDVPPTLSSSNPSSPSDQNFNNDEEKTKNEKKVMEEEKKNLGEEEKQQQNEMKNEPDEVESECIQEFSTPPSNTNTTKTTSSNDRITDPKRVKRILANRQSAQRSRVRKLQYISELERSVTSLQAEVSLLSPRVAFLDHQRLLLTVDNSALKQRIAALGQDKIFKDAHQEALKREIERLRQVYHQQNLKNMENAAGSPSPKPRFDAQTEKEQLQLLNV >OIV92110 pep chromosome:LupAngTanjil_v1.0:LG19:6961462:6969147:-1 gene:TanjilG_27265 transcript:OIV92110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFIPVLFKLVVITVLVIAESSDNCIKTMLRNCKVSRLLPRVADCAKNDRNAILRARCCEYGLLILEHWPDAPEIHRSANLYEDMIKCCVKDALSEVRSTARMCYRMFAKTWPDRTRRLFLSFDPVIQRLINEEDGGIHRRHASPSVRDRGAAMSLPSQASASSYLPGYGTSAIVAMDRSSSLSSGISISSGVRLSQTKSLGEGTERSLESVLHASKQKVTAIESMLRGLDFSDKPNSSRRPSSLDLGVDPPLSRDPPFPAAVPASNHLTSSLTTESTVSCVNKGSKRIGGLGLSDIITQIQASKDFAKLSHHSNFGIEPLPYSSKRGPERFPERSSVEYNSDIGEARRFMNPNTDKHYLDDSYREGNFRGSHDSYVPNFQRPLSRKNVSGRMSSGRSFDDNQLSLGEMTHYVERPTSLHEALSEGLRSGSDWSARVDAFNYLHSLFQQGTKGIQEVVQNFEKVMKLFFQHLDDPHHKVAKAAHSTLADIIPACRKPFEGYMERILPHVFSRMIDPKELVRQPCSTTSEILSKTYNVDSLLPALLRSLDEQRSPKAKLAVIEFASNSFNKHVMNPEVLNFILSLSVEEQNSLRRALKQYTPRVEVDLMNYLQNKKERQHSKSSYDPSDVVGTSSEDRYIGLSRKAHYLERYSTGSVDSDSGRKWSSQDSTLIKASLDEAASGETQEHFYQNIETDCNSGSLGSKTKDLAYAGNPMGQNFGLQTSQLRHVDSSLNFEGVLTPCLDVNAEALMINSLTDIGPSIPQILQVICSGGNGSPISSKWTALDQLVEASMTNDHSIWTKYFNQILTVVLEVWDDSDSSMREHALLLIVEMLKNQKGTMEKNSVEIVIEKLLHGTKDIIPKVSNESEHCLTIVLSQYDPFRCLSVIVPLLVTEDEKTLVTCINCLTKLVGRLSQEELMAQLPSFLPALFEAFGNQSADVHKSVVFCLVDIYIMLGKAFLPYLQGLNSTQLKLATIYANRISQARIGKAIDATND >OIV91647 pep chromosome:LupAngTanjil_v1.0:LG19:14813541:14814200:1 gene:TanjilG_26500 transcript:OIV91647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTATTDQYLMQFYQQQPLMQDGTMVPSKEGFSDAPNTQLNTPKGNNNNVSKPIRRRSRASKRTPITLLNANTTNFRALVQQFTGCPNTTMSSLSIHKGPITLNFKNGSSRQKNQPNTTSRVMQQIYTISDVNQVHQVAASAAAAAVQFPLLDQQVQPQQELFQEQHGGGYSFDYMKSNSFHPILGNSRPSMEVFDGLVVGNDFSFHDLTSVNAFSNDTY >OIV91716 pep chromosome:LupAngTanjil_v1.0:LG19:16434383:16437437:-1 gene:TanjilG_26569 transcript:OIV91716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLELLIPFLLLVLYIGFRPFSPKSNSKSRHPYPPSPKLRLPFVGHLHLLKLPLHHSLIRLSKQYGPLYSLYFGSMPTIVASTPELFKLFLQTHEASSFNTRFQTSAIKRLTYDNSVAMVPFAPYWKFIRKLIMNDLLNATTVNKLRPLRSREIRKVLKVLANNSESNKVVNVTEELLKWTNSTISMMMLGEAELVKDIVREVLKIFGEYSLSDFIWPLKKLKVGEYEKRIEDIFNKFDPVIEKVINKRQEIRERRKNGEVIEGESVVFLDTLLEFAEDPTMEIKISRDQIKGLVVDFFSAGTDSTAVATEWALAELINNPHVLKKAQEELNEVVGKDRLADELDVPNLPYIRAIVKETFRLHPPLPVVKRKCVQECVVDNYTIPQGALILFNVWAVGRDPKYWNNPSEFRPERFLENVEGEQGIDVKGQHFQLLPFGSGRRMCPGVSLATSGISTLLATLIQCFELNPVGPQGNVLKGHDAKVSMEERPGLSVPRAHNLMCVPVARVGSPVKLLSI >OIV91919 pep chromosome:LupAngTanjil_v1.0:LG19:12922739:12924600:1 gene:TanjilG_26038 transcript:OIV91919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGGLWQLGQSITRRLANGDKKAVARRYFATEAELKKTALYDFHVANGGKMVPFAGWSMPIQYKDSIMDSTLNCRENGSLFDVSHMCGLSLKGKDSVSFLEKLVIADVAGLAPGTGSLTVFTNEKGGAIDDSVITKVTDHHIYLVVNAGCRDKDLAHIEEHMKSFKAKGGDVSWHIHDERSLLALQGPLAAPILQHLTKEDLSKLYFGEFRVLDINGSQCFLTRTGYTGEDGFEISVPSENALDLAKAILEKSEGKIRLTGLGARDSLRLEAGLCLYGNDMEQHITPIEAGLTWAIGKRRRAEGGFLGAEFILKQLEEGPKIRRVGFFSSGPPPRSHSEIQDEGGNNIGEVTSGGFSPSLKKNIAMGYVKSGLHKAGTKVKIIIRGKANEGVVTKMPFVPTKYYKP >OIV92316 pep chromosome:LupAngTanjil_v1.0:LG19:2979305:2984509:-1 gene:TanjilG_10526 transcript:OIV92316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELKGDIVENNNNKKGICLIWEDIRVVAPNNLKVLNGLSGYAEPNRIMALMGPSGSGKSTLLDAMAGIVSTNVSMSGNVLLNGTKRSTCCRDISYVTQEDYFLGTLTVRETLTYAAHLRLPCNMTKDEINKVVTKILDEMGLQDCADCRLGNWHLRGISNGEKRRLSISIEIITQPHILLLDEPTSGLDSAASFYVMSSLRSIAHNGRIVICSIHQPCSEVFNLFDDLLLLAAGETVFFGERTMAVKFFADAGFPCPTRKNPPEHFLRCVSAEFDSVATLIQSRNIKVASPSWNSSMNKTTKEIKSELIKNYKNSMHSAKAREKISEIKLREVPLIGRTYDTSMLKQLCTLTQRSFLNMCRDIGYYWLRIVFYILVSGCAGFLYFNIGTGSGAILSRGKCDGFIYGFMAFLCLGGLPFFLEELKVFRRERFGRHYGEGVYVLSSFLSSFPFVVAISLSSGTILYLMMNFHPGFSHYFYFCINLLCCISVTESCILLVAALVSNLLLAIGTATGIIVFMMMPTIIFRRLTDLPKFFWRYPMSYISYTAWSTQGQFKNDLIGLEFEPLVAGDAKIKGEVILQDTYGISTDYSKWWDLGALVCLIISYRLLFFLVLKHKERVKSLLHTKKTVLDILLRRPSLKDKYISSKRHQSLHPLSDQEGFGSPMA >OIV91508 pep chromosome:LupAngTanjil_v1.0:LG19:18141255:18153387:-1 gene:TanjilG_08920 transcript:OIV91508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNHTSAPGATNDALYKELWHACAGPLVTLPREGERVYYFPQGHMEQLEASMNQGSEQKMPSFNLPSKILCKVANVHLKAEPETDEVYAQITLLPEPDQSEVTSPDDPLPEPSRCTVHSFCKTLTASDTSTHGGFSVLRRHADDCLPPLDMSQQPPWQELVATDLHGNEWHFRHIFRGQPRRHLLTTGWSVFVSSKKLVAGDAFIFLRGENGELRVGVRRLMRQQSNMPSSVISSHSMHLGVLATASHAIATGTLFSVFYKPRTSRSEFVVGVNKYLEARSHILSVGMRFKMRFEGDEVPERRFSGTIVGVEDNKSSVWADSEWRSLKVQWDEPSSILRPDRVSSWELEPLVSTAPANSQPTQRNKRSRPPILPSTMPDSSLQGVWKSPVDSPTFSYYEPQHRQDLHPSPKFNSTASNFLGFCGNSSASNKSIYWSNRIENFTESFSPVALKESGEKRQGTGNGCKLFGIQLLGNSNAEESLPTVTLSGRVVDDRSVPCLDADSDQHSEPSNVNRSDIPSVSFDAEKSSMQSPQDSQNRQIRSCTKVHMQGMAVGRAVDLTRFDGYEDLLGKLEEMFDIKDELCGSTQKWQVVYTDNEDDMMMVGDDPWLEFCSVVRKIFIYTAAEVKKLSPKIGFPINEEVKPSKLDSEAVVNPEDQSSIVGSGI >OIV92372 pep chromosome:LupAngTanjil_v1.0:LG19:2197442:2197882:1 gene:TanjilG_09970 transcript:OIV92372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSILCGVEVVVLLFSVGGKAYSFGHPSIEAVTKKFLHQGEGSHVSHGESSNDDDNIGKLSQQLQELKDQIQVEKDKKKDLDKALSKYKFIRGKLPINNLSFEELVEFKASWVMVQDNMSESNNEMEAASSLLLLRENRMYETGI >OIV91775 pep chromosome:LupAngTanjil_v1.0:LG19:14748064:14748678:-1 gene:TanjilG_14354 transcript:OIV91775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSKTSYPPSQASAQFSDTPYTASSPSDASAPTTDDALGSFSDFLKGKFGDNSKIKNSPGLEQICAKTHHPDVCIATISPLLKNKVDVVHVLQAAIAVTTQNVKMIISKIEKHPHVSSEVTSSLKECKEHYNKALANLQKAMTATQSKDFGSVNAMLSSVLADVSSAESKVHDLKTPAFNADNFYSLVSLTASNCLSIASLIRN >OIV92068 pep chromosome:LupAngTanjil_v1.0:LG19:7790848:7791856:1 gene:TanjilG_08741 transcript:OIV92068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKEKVIDSSNGGNTPGEEAKMQKKGPSFLGQGLEIAPMLTSNMATLADVVVSKKLAGLNGSRGFMGHVSSSLPSSPMVSLIHTSIRLNSEGFLVQDAIYQHGESSFYEDERVTSHLPISNGTCCNNMQEVVTHVLRSIKETMRDNGRSPNDGDISMDCH >OIV92186 pep chromosome:LupAngTanjil_v1.0:LG19:5305422:5309421:1 gene:TanjilG_30894 transcript:OIV92186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFWGVEVKSGESLKVDPGNDTIIHLSMACLGEVNKDKGGEPVLLYVKFDDQKLVLGTLSSDKFPQISYDLIFEKEFELSHNWKHGSVFFNGYRAEAQVGSDNDDNEDDADFRKYIQVSTANGESEADVKNSVKPDANEAKQNEKIADPIKNEKAKEKEDSSDESDEDSSENEPMANGEIRSSEDEDDSNEEEDDEDESDDEDESDDEDESEDEETPKKAEPSNKRALDSSKKTPVPEKKAKFITPQKTGGKSGSGHVDTPHPSKQAGKAAVSNNQPAKQHTPKSGGEYSCKPCNRSFKTEDALGSHNKAKHSAK >OIV91940 pep chromosome:LupAngTanjil_v1.0:LG19:12108986:12115204:1 gene:TanjilG_23201 transcript:OIV91940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKIRWLILVTCLSLFAFSDGRELKVWRKSKLPEYNHTLATILVQYASSVYLSDLTELITWTCCRCDGLTKGFEIIELVVDVEHCLQAFVGVAEDPHAIIIAFRGTNEHSLQNWVEDLYWKQHDINYPGMDDAMVHRGFYSAYHNTTIRPAILDAVERAKKFYGDIQIIVTGHSMGGAMAAFCALDLTVNQHEKSVQVMTFGQPRVGNSAFASLYSKRVPNTIRVTHDHDIVPHLPPYYSYLPQKTYRHFPREVWLYNIGLGSLIYSVEKICDGSGEDPDCSRSVSGNSISDHLEYYGVRLGSDEPMSCKIVMDAHVLNLSSRDSRGNIILSRDPATPLLKMSTTKFDNQKNPISVD >OIV91565 pep chromosome:LupAngTanjil_v1.0:LG19:17704277:17705707:-1 gene:TanjilG_08977 transcript:OIV91565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSTTQFSSNGNGNGKIDKPLHVAMLPWLAMGHIYPYFEVAKILAQKGHFVTFINSPKNIDRMPKTPKTLEAFIKLVKLPLPHIEHLPQHAESTMDIPTNKGCYLKQAYDGLQDAVAELLQTSKPDWVLYDFAAAWLPPIAKSLNIPCAHYNITPAWNKVFFDPPKGQVKSLEDMCGPPKWVPFTTTIHLRPYEIIRAHAALKDEETGGAATFDLSKAYSSCDLFLLRTSREVEGEWLDYLADRYNVPVVPVGLLPPSMQIRDDEDEESNPDWLGIKAWLDTQVPSSVVYIGFGSELKLSQQDLTELAHGIELSGLPFFWALKNLKEGSLDLPEGFEDRTKERGIVWKTWAPQLKILAHGAIGGCMSHCGSGSVIEKLHYGHVLVTLPYLLDQALFSRALEEKKVAIEVPRSKEDGSFTRDSVAKTLKLAIVDEEGSTYRKNAKEMGNVFSSKDLHNQYIEDFIAALQKYKVLSSS >OIV91727 pep chromosome:LupAngTanjil_v1.0:LG19:16570534:16571181:1 gene:TanjilG_26580 transcript:OIV91727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKTGRVNVNGKDVKELHFRGVRKRPWGKYAAEIRDPTKKTRVWLGTFDTAEEAARAYDAAARDFRGPKAKTNFPLPSVSDNLNTPSQSSTVESSSRDRDRDHESPLLVVSGSSPLDLNFAPSAVTFPFHHQLTAVPAENKVLFFDAVLRGGLVGSRFNYNNMVAASEFHVASGTQSNSDSSSVIDLNHDERDLKSARVFDIDLNQLPPQEYEA >OIV91957 pep chromosome:LupAngTanjil_v1.0:LG19:12412538:12417558:1 gene:TanjilG_23218 transcript:OIV91957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFSFFKALRPKTPQEVARSIKESLMALDTKTVVEVKALEKALEEVEKNFAAMRTLLSGDGESEPNLEQISQLVEEICREDVLTLLIHKLPILGWEARKDLVHCWSILLKQKVESNYCCVEYIEQHFELLDFLVVCYDNKEIALSTGIMLRDCIKFPTLAQYILESASFVLFFKFVELPNFDVASDAFSTFKDLLTKHANVVSEFLNAHYDEFFDLYEKLLMSPNYVTRRQSLKLLSEILLESPNTQIMRRYILEVRYLKVMMTLLRVFVANPNKPREVKIILAKNKEKLLELLQNLSPGKGSEDEELEEEKEFIMKEIGKISV >OIV91524 pep chromosome:LupAngTanjil_v1.0:LG19:18044862:18046838:-1 gene:TanjilG_08936 transcript:OIV91524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVHIKYRLITYSQELVDGQPIFVSSNCLPVKALKLEPAGHAYHSAALKLLGVEEDNGDGADNKKVVDEKESSYLPSFESYSGKSKKKSGSGDKQQDHYALLGLGHLRYLATEDQIRKSYRETALRFHPDKQAALVLAEETEAAKQAKKDEIETHFKAIQESYEVLIDPVKRRIYDSTDEFDDDTPTDCAPQDFFKVFGPAFMRNGRWSVTQPIPTLGDENTSLTEVDNFYNFWYSFKSWREFPHDDEFDLEQAESRDHKRWMERQNAKLSEKARKEETVRIRALVDNAYKRDPRILRRKEEEKAARQKKKQAKHIAKKLQEEEAARIAEEERRRKEEEDKKAAEVASQLKKVKEKEKKLLRKERARLRTLSGPILSQHLLEISEDDVEKLCMSLAIDQLRGLCERIEGKPVMEQAEVLKDAVGGETDVVKKDNIDPKPTIQQNGSVKSNGSVSLLSNIEKKEKPWNKEEIELLRKGMLKYPKGTSRRWEVISEYIGTGRSVEDIMKATKTVLLQKPDSAKAFDAFLEKRKPAAQIASPLTTRDEIQGASTDVQPENSGSAAATTNNSEDSQSNKSTDTQNSPGAPVTANEVSSSSTEQDVWSAIHERALVKALKTFPKETSQRWERVAAAVPGKTVVQCKKKFASMKESFRNKKSTV >OIV92208 pep chromosome:LupAngTanjil_v1.0:LG19:4558772:4561431:-1 gene:TanjilG_31127 transcript:OIV92208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLKYNKWTHQSHADPNGPIYYNGYYHLFYQYNPNGSVWGNIVWAHSVSKDLVNWKALEHAIYPSKTFDKFGCWSGSTTVVPGKGPVILYTGIVDDKNTQVQCYAEPEDPSDPLLVKWKKPDDINPIVIADQGVNGSAFRDPTTAWWSTDGQWRMLVGSRKKHRGISYLYKSKDFIKWVKAKHPIHSKPKTGMWECPDFFPVALEGKEGLDTGMLGNNVRHVLKNSLDMTRFDYYTLGTYTPDMDKYVPDNTSEDGWNGLRYDYGNFYASKSFFDPSKNRRILWGWANESDSKEDDVNKGWAGIQAIPRTIWLDPSKRQLVLWPIEELNNLRGKEVKMNNQKLNKGDYVEVKGITAPQADVEATFSFSSLDKAEAFDPSWVNAQDLCAQKGSKLQGGVGPFGLLTLASQDLQEFTPVFFRIFKAATKHVVLMCSDATSSSIKINLYKPSFAGFLDVDLSTGKIPLRSLIDHSVVESFGAGGKTNILSRVYPALAIGNEAHLFVFNNGTEPITVENLQAWSMGKADIQ >OIV91872 pep chromosome:LupAngTanjil_v1.0:LG19:13791703:13794758:-1 gene:TanjilG_17864 transcript:OIV91872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSHGFGQSDLIWNSLAYPMDSKTSSRAHPKHCQKTAGIETLEAKDIRPPSLPDSKAGEPEVIARESILKSVQNSSRQNTVEIPDIKKLGISAETGSASSSALDKEVDPAVNENIDSQESAIDQDKKKSGYGSVKNSCVSSKVSDGASGLAKTSGSAKTSERADFVESGKSSICRGSTSSDVSDESTCSSFSSSINKPHKANDMSWEAIQVLRTRDGVLGLSHFRLLKRLGCGDIGSVYLSELSGTKCHFAMKVMDKGSLASRKKLLRAQTEREILQSLDHPFLPTLYTHFETEKFSCLVMEFCPGGDLHTLRQKQPGKHFPEQAVKFYVAEVLLAMEYLHMLGIVYRDLKPENVLVREDGHIMLSDFDLSLRCAVSPTLVKSTTMDSELLRKNPTYCAQPACIQPPSCIQPSCVAPTTCFSPRFFSSKSKKDRKPKNEIGNQVSPLPELVAEPTDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTYGIFLYELLFGKTPFKGSGNRATLFNVVGQPLRFPEAPVVSFAARDLIRGLLVKEPQHRLAYKRGATEIKQHPFFEGVNWALIRCATPPEIPKPVEFEKVPSPASAAVEKPVNHMSIANNQKGSDNYLEFDFF >OIV91650 pep chromosome:LupAngTanjil_v1.0:LG19:14864462:14866887:1 gene:TanjilG_26503 transcript:OIV91650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADEQVQVVVFAQTNLDTRIAFNTPLDITASAFKRDFERVHFTCLPNIGEIQVNGLMVKRKSYFYHLPDSLPLKYAFPGKRSTWFLHVEVRHLKNPCTSPQVAEKRLEPQDLTCNNEDKARCNNEEKKMEGLQSPANLFEEHGIANHLISTKQTTENENSHKNYMQSAANKSQHVMPPPENKCENVAELSAKSMQVSPSEAISVTGIINKYFMGSNGVDSFNSPSISEVTSKVDNGEIEVQSKAKSHSFSKKQIHSLPKSSSETPPRVLHAPSDVNLVLNNSRDKIGRSKVGKRLLVASRSLGASASKNSPTLSFVRYKDGKVLEYMSQIKGSIFSISDSDD >OIV92073 pep chromosome:LupAngTanjil_v1.0:LG19:7897993:7904810:1 gene:TanjilG_08746 transcript:OIV92073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKGLHAQNLPADVALVVDHLERHCMAPDGSLISKPLFNDLQLAREEMCRERLRYLEAMAIYSEAVAMVEEYQQAISVSNLGAIRDTGGLYPQLGLRNSPQVYETLEHQMVVAEAAQRLRLPLISKDGEVHTEDIEKLSIVSRSSLDSTSPTTTINSSMNSTNYTTINSSVSGANSSLASMDTAEPGVGGVPNRFLGITPAYLWQTQHHQTPLSVDMAEYRLSVSREVESRLNVKCDKLTDAFVLDDNAGSLFLDSDSSSSGIQSSSSRLPERVKLLIEEIEREETALRDELYSADRKFAEYYNVLEQILGVLIKLVKDLKLEHQHKYDELQKTWLCKRCETMSAKLRVLEHVLLLETYTKDSIPALHKIRKYLVEATEEASIAYNKAVTRLREYQGVDPHFDNIARQYHDIVKKFENMQWTIHQVEMDLKRLPDNPST >OIV92341 pep chromosome:LupAngTanjil_v1.0:LG19:3283126:3283299:1 gene:TanjilG_10551 transcript:OIV92341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMKKVTCAVLIVVASMSAAMAATEVSAPGPSTSAATLPIVGSLVGASVLSFFALFH >OIV92384 pep chromosome:LupAngTanjil_v1.0:LG19:2070621:2071490:1 gene:TanjilG_09982 transcript:OIV92384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKVSSFSVTEMAKHNDPFDFIIDLCQISPSQEELLRHQLFVGETVDQPSPSPSAAAGILMMSMPQTQYPTEKDASAPKDQDVFLTPLEKSLSLPISSDDPFDNVPQFVDLRGDSDATVYVDLGRDSDLGFSDVQLTQVVHEELIDGNSSGAKRVVVGDKFKGFERELDSNEKISESAMKRLKLSDPKSGFDSPMVCLGIQSQKGKDQFGSEAKTHFCNVEKGSEKNNSKISCVFDVLKFLSENCNDDDDEEDGVENLSLLEAFKKGGLSFPRPRWWPENDRFRRERIL >OIV91968 pep chromosome:LupAngTanjil_v1.0:LG19:12011207:12014623:-1 gene:TanjilG_26490 transcript:OIV91968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSSMVLEPPPSLPSTQPSSSSEGEDLYRTLKYLQRQIEFLDIQEEYVKDEQKNLKRELLRAQEEVKRIQSVPLVIGQFMEMVDTNNGIVGSTTGSNYYVRILSTINRELLKPSASVALHRHSNALVDVLPPEADSSISLLSQSEKPDVTYNDIGGCDIQKQEIREAVELPLTHHDLYKQIGIDPPRGVLLYGPPGTGKTMLAKAVANHTTAAFIRVVGSEFVQKYLGEGPRMVRDVFRLAKENAPAIIFIDEVDAIATARFDAQTGADREVQRILMELLNQMDGFDQTVNVKVIMATNRADTLDPALLRPGRLDRKIEFPLPDRRQKRLVCTAKMNLGDEVDLEDYVSRPDKISAAEISAICQEAGMHAVRKNRYVILPKDFEKGYRTNVKKPDTDFEFYK >OIV92507 pep chromosome:LupAngTanjil_v1.0:LG19:644968:650828:1 gene:TanjilG_02270 transcript:OIV92507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLLLGDVSGGSQRYSPEMPEDGSRWYFSRKEIEENSPSKQDGVDLKKETYLRKSYCTFLQDLGMRLKVPQVTIATAIIFCHRFFLRHSHARNDRRSIATVCMFLAGKVEETPRPLKDVIMVSYEIMNKKDPAAVQRIKQKEVYEQQKELILTAERVVLATLGFDLNVNHPYKPLVEAIKKFKVAQNALAQVAWNFVNDGLRTSLCLQFKPHHIAAGAIFLAAKFLKVKLPSDGEKVWWQEFDVTPRQLEEVSNQMLELYEQNRMPPSNDVEGTTGAGTTNRTTAKASASNDETATTNSNSQTGATSSRLEMSKPASSSKAVFDSSASNHVGRPVSNHGRSNDYGGTEIKHRVENDAKGNHHPEFGLPTNKENLQEAQDILRSRSDNDEKDQESIIGRTEMKETAEIKDKHSGRSIDHKDGTFNRPPQDAIKKIDRDKVKAILEKRRKSTGHITKKPDFMDDDDLIEKELEDGIELAPPSEKNKQDRRQSFSKPSDRPDYENMHGRHPDRDDEHHHGIKGLPSYEPDLSAVEEGEVSALDDIGSGLQSPKSSNHKRKAGGSPDRMVEGKQRHSAGPGPHHNNRYDYSEDRNKVSRLGHTERDSKRHVPENHR >OIV91784 pep chromosome:LupAngTanjil_v1.0:LG19:14659051:14662537:-1 gene:TanjilG_14363 transcript:OIV91784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRFTCWNPSVGPDAGTLCKDGLLWYRDIGKHAFGDFSMAVVQANQVLEDQCQIETGRFGTFVGIYDGHGGPDAARYVCDYLFRNFQAINAQSQELSTEETIQMAFLQTEEGFTALVSQMWRNLPDLATTGTCCLVGMIFQENLFVASLGDSRLVLGTRDGNNGRVNAIQLSTEHNANIEAIRQELADLHPHDPDIVVDKNGAWRVKGIIQVTRSIGDVYLKDAQFNRAPIKQKFRLPEPMNMPILSANPTILSHHLQPNDSFLIFASDGLWEYLSNERAVDIVHSNPHAGSAKRLVKAALHEAARRREAQPHQRQNLNRKVWCHFHDDITVIVLFLNHDLISRPATLNSKCSRITDIYDCRTCLSCMGVLAAVLIPEDTPLDSAKKLVKTVLQGKRKVQYEELHKLGKEVRREFHDDISVIVLFLNHDRILRGAAANQAVLSVRSALDH >OIV92321 pep chromosome:LupAngTanjil_v1.0:LG19:3049927:3051959:1 gene:TanjilG_10531 transcript:OIV92321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESSLSFIADSLITKIDSSHIYEASLDIGVYVHLQSFKESLLSMKACLQKVDLEKEQLYSAMLLLREIKHVLYDAENVLDEFEYERLRNEVVNADVNSITKVLNLVGCTKLENLPKGLRNLSSLRQLGITTKETVLPENDIANLKSLEILNIESCENLESLFIGIKLLTLRTLTVTKYCKNLEVLPEWLSTFSSLGSFGMANCPKLTFLPNDIHRLTALGYLRIEGCPKLCRKCQPQVGEYWPKISHINQIFIDEPEDLKEDAEEERLEVEQ >OIV91812 pep chromosome:LupAngTanjil_v1.0:LG19:14260785:14270557:-1 gene:TanjilG_14391 transcript:OIV91812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEVTMEVDTCDKQQQQQLSSHALPFARSYQLEALEKAIKENTIVFLETGSGKTLIAIMLLRSYAYLLRKPSPYIAVFLVPKVVLVSQQAEAVKALTDLKVGMYWGEMGVDYWDAATWKKETDEHEVLVMTPAILLRSLRHSFLKLNMIKVLIMDECHHAKGRDPYACIMREFYHDQLKSGVSDLPRIFGMTASPIKSKVGNAEWILAENIRKLMTLMHSKVYTCASETVTEFIPISTPKFKFYTEHVIPYVIFQELAVKLKMLKQQYELALRDSDFTQSAAESAHKRIEKIFDALMFCLDELGVWLALKAAESLSTDEYESFSRGNSGDGLVKSFSLATVHHLTTYLPSGPHWSIGDNIKSDEDMGLLTSKVCCLVDSLVEYRVVTAVVLETLLNALLPKYNSWKTKFIAGHNSGLKNQTRKTQNEIVEEFRDGLVNIIVATSILEEGLDVQSCNLVIRFDPCPTVCSFIQSRGRARMQNSDYLLMVKSGDSVTHSRLEKYLACGDIMRKESMRQSSIPCGFYEELPEEVYRVESTGAIVNLSSSITLIYLYCSWLPSDGYFKPSPRWDKESGDKKFLKNIACLEACKQLHKIGALTDNLVPDIVMEEAEVDAFESEPYIEEQPSYVPYQLVNSFSKNEKKVYHCYLIELKQNFSYDISVRDIVLATRNELDPEIGSTQFEMCFDRGSLSVNLRCFGTVHLSPNEVLLCKKFQVTILKTLVDHNIDKLAASLKSLNKLYLDDDLEIDYLLLPATAIQHRPAIIDWLSITSSDPSKITCEKHSPKVWTKNGLVCPCVLQDSLVYTPHNGHIYMTTNIMELDGNSTLELKDGGVTTYKKYYEEKHDTQLRFEHQRLLNARHIFLVKNYSHGRRQEKDREARKNFVELPPELCCIIMSPISVSTIYSFSFVPSIMHRLESLLGAYNLKKTYLDHCMQNEIQTVKVLEAITTKRCKEPFHYESLETLGDSFLKYAASKELFKSYQNLHEGLLTMKRTKIISNAALSKFGCNCGLPGFIRNAPFDPHTWLIPGDKSESFKLKEELDSKRGKIYVSGERKLKRKIIADVVEALIGAFLINGGENAALLFMDWVGIKVNFDIIPYERHLTNQPEKLVNVNFLESLLKYSFRDRSLLVEALTHGSYMLPEIPSCYQRLEFLGDSILDYLITMHLYEKYPGLSPGQLTDMRSASVNNDCYAWSAIKAGLHKHVLHASQELHKHIFSILNTFEKLASSTTFGWESETSLPKVLGDIIESLAGAILVDSSYNKEVVWQSIRPLLEPLITPETLKLHPVRELTELCQREGYTQSITFSSKDGVICARVEVDANGVMHQYEYSGCVNKPTAKKLACKEILKSLQNIESK >OIV92517 pep chromosome:LupAngTanjil_v1.0:LG19:550884:556451:1 gene:TanjilG_02280 transcript:OIV92517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSFTYWDDCVDLQDLEAMWEVPEVRTEWLKAGEALADIVISRHFQSKIDPSMICAIAELESDRQLLVMRSDKDPTEATLGLMQLSSKTVKWLMRLTGVYSELGYRQYGEGDEYFLFRPFVNVYFGAACIKWLSKFDNKKPVIVVRTPRNDAPEPSSKKSTDVVVASDDKYWDSRASPEDMADMWNHPEVRRHWKKSKEKRGKARFKQDENKTPYLSPVELKAVADIVLSKHFRTKKIKSTVLCAIGEVVSKRFVNGFGDHPGIMGIDYSTAYWIYLELGYRAYKLETVHDLKCPFVSMYFAAAYVAWLSEYEGRERTLDFVAQAYFVGPKKVKPQDNSPLWLNFVEALNKYEESKRYKLWMLMR >OIV91632 pep chromosome:LupAngTanjil_v1.0:LG19:17184042:17185760:1 gene:TanjilG_09044 transcript:OIV91632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METTLPETSTAGDSNLRRAIDLISSLISISHSIRVFAGKWQLIRTKLEELHSALLTAENSDSGENPSLSVTLRSIMTTADECHDLARRCSAVSYSGKLLMQSDLDVTLVKFNHHVKKLSELYDTGILIRSYALVVSKPCLGASKEDMRFYIRDLLTRMKIGDVGMKKMALINLHEVVVEDDRYVKVITEVVDVVHVLVEFLGSSEVVIQEESVKVVALIAGFVDSSYKGVLIGAGVVANLIKVLECGSEVGKVGAARCLMKLTKNSENAWSVSAHGGVNVLLRICGNVDSKGDLVGPACGVLRNLVGVEEIKRFMVEEGAVSTFIRLFRSKDEPIQVCAIEFIQDIAFKDELVRQIVVSEGGVRVLLRVLDPKFSYSSKIREVVMRAIENLCFTSSTCVTTLMSYGFVDHLLYYVRNGEVSVQESALKVTFRLCATSEEAKKALGDAGFIPELVKFLNAKSFEVREMAAEALSGMVMVPRNRKKFVQEDQNIALLLRLIDPGEGNSGSKKFLISILMSLTNCNSGRKKIISSSYAKNIERLAESDICSDAKRLVKKLSTNRFHSILSRIWHS >OIV92544 pep chromosome:LupAngTanjil_v1.0:LG19:300668:302038:1 gene:TanjilG_02307 transcript:OIV92544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAPLHIAIYPWFAMGHLTPYLHLSNKLAKRGHKISFFIPKRTQTKLQHLNLHPHLITFVPITVPHVHGLPHHAETTADVPFSLFTLIATAMDQTDKDIELLLKKLKPQIVLFDFQYWLPNMTKSLGIKCVQYFILCPLSIAYLGAGPRQSIGKGLSEDDLNKPPPGFPDSYEFHAHELRFLSATRKLEFGSGVFLYDRLAIGASLADAIGFKGCKEIDGPYADYLESVYKKHVLLSGPLLPEPPNSTLEEKWVNWLGKFEVGSVIYCAYGSESPLSQNEFEDLLLGLEETGFPFLAALKPPSEFESIEEALPEGLKERVKGRGVAYGGWVQQQLILGHPSVGCFITHCGAASITEALVNECQLVLLPRLGADHIMNARMMSRKLKVGIEVEKGEEDGLFSKESVCKAVKSVMDDGNEVGRKLRANHTKIRNFLLSNNLESSCLDTFCEKLQDLL >OIV92273 pep chromosome:LupAngTanjil_v1.0:LG19:4153799:4159026:-1 gene:TanjilG_00291 transcript:OIV92273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFVVWNFTQFSLIKTIIIAQLQKFSIFVERLDKTLASADLTNDQMLKTLVESQFLPSSKQEVEGYKEKLIETKTAEVSNILDMLRSVSVDDKGAEGCSTSHTDWKIKQDNDEFRVMYHEAPEGTPFHTLLVEGYVDGPVDVGLCLSWETSLYKKWWPQSTIPPFKITASDCLQKVQIGEQISLVRMKVSWPLSMREVIVHYYAFEYFQEDLIVVILNSISESNRVNGTIFGFDKDKIPEAKDFVRLDLVGGLVIQKVTSERSYFRTIANMDIKLDFVPPSLINFISRQLIGSGFRLFQKAVASKMSNDKEFIQALRDPLYVRIREALYSANGSKAMGGEELNPVDNVLPAEDPIQSKQDGAKHESCEDRNDRYATNFDCENVEHVGEIAEEDSKEIVQIEEEDKKDGIPNEEVDIRSAVKDNKNVYIRPEVKLALETLDRAISSVRKNGVRSRRSPSSSADEEPHRMEKGGTVDSYSSKLNQQGPQNDFSFQVSNSTMPEETSQELGDNSVIQNFRHTSKNPNLKEVDYKKVVPASPKQNLSRPIEATMDQTICNNKPLNIDTVQDMSSDDPKNSTCQKKSNTLVTQGISSDVPKQPKRQKKYRYCCFLHNLT >OIV92055 pep chromosome:LupAngTanjil_v1.0:LG19:9076963:9078010:1 gene:TanjilG_15046 transcript:OIV92055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYWKTNVLPKIKKVFEKDGTKKAAAAEITKSFDESKEEHNKTFEENKIELQSKVVKIYEASSTEIKSLVKEHDEAGLKKHSKAVNNFLEELVKIDFPGSKPVYEASSKFGPALISGPVFFVFEKVSTFIVTEEKVDAPTETKTEEETSTTKEKEIVIAEEKKEEKQEVVETIEKTESSEPPKAEPAKVEEQVGEPSKVEEKHAEVPVTVEKVEAPKP >OIV91985 pep chromosome:LupAngTanjil_v1.0:LG19:11689762:11692094:-1 gene:TanjilG_06613 transcript:OIV91985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTETKMKKRNVTTRSEVSRTLINTASEFGIEDEEKAMQLQHQNQNQNQNEDYEGDGFFACYLLTSLNPRHKRSTYIGFTVNPRRRIRQHNGEIGAGAFRTKKKRPWEMVLCIYGFPTNVSALQFEWAWQHPAKSLAVRKAAAGFKSLSGLASRIKLAFTMLTLPSWQSMSITVNFFSTKYMNHCAGCPILPEHMVVKLGSMDELPCYTERVDGMSVNEDYSLDEAEFENNTSNSDSVTDELPCYTERVDGVLENEEYSLDEAEFENNTSNSDSLPEVCDDSIAHDSPNSRNQGYKVSASFGWNQESEAREPPSHSFTSEDQSQPSDSITLQMVKSSSSTTSLKKVEIIEDTDLMPVPNESSAAFCQQEREQSGAIPAANKNLEVRSTSILPHEAEIIDLCSPSPKDIG >OIV92214 pep chromosome:LupAngTanjil_v1.0:LG19:4679047:4680261:-1 gene:TanjilG_31133 transcript:OIV92214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVSSKHVKKEHVTFNNGGFNHVVSLTSSTLGALNLDNHQQPIFTETTTIVSEPKNVVFHKCSPVRGGEDPEVINAWELMEGLEEGVPISTQLKKTPKSSPFLRGLINTPDKRSSPLKSFNDFGSPKSMRKLSGKENKNKVQVNGVKGMRCLDYSYSPKGILKESYSCPSTCKSMLKLNNLKVSPRNGFGSDSLRKNSDSPLFDPELVASYEKELSVEEEEHIKRMVWATPKARRVRKCFGSETFLQKFEMNCPKGGENCVVIYTTTLRGIRKTFEDCNKVRAIVESFNVFVVERDVSMHSGFKEELRKLMGTKEVKVPVMFVKGKFIGGAEEVVKLEEEDKLGALFEGIPKALGECKWCGGVRFVMCMECDGSCKVLDEDKKKSVRCSQCNENGIIQCPICS >OIV91804 pep chromosome:LupAngTanjil_v1.0:LG19:14360371:14369231:1 gene:TanjilG_14383 transcript:OIV91804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIFICSIYPLASAQSDCVSTCGSVNIPYPFGMNNPNCYLGKWFEIECRNATSVAARKPYLKYIDMEVTKIDETYSTVDIMNPIFRWNCYGKTDMPVIDLRGSPFVYSQKYDKFIAFGCNKLAFLQSNGTTVGGCVSICDNNEEVNNNFDFGINGCHGRYCCETSLPLYLSEYNTTHADLKNKSSDECNYALIVSEDWLTSYNNYDMLAIPPIFEVKNRSRKWAIVGSSSSLGSIILLFGLWWSYKVVRKRVIKKRKENFFKQNGGLLLQRKLSSDEVCVDKTILFTLKDLERATNNFNMNRVLGKGGQGTVYKGMLEDGKIVAVKKFKVQGKVEEFINEFVILSQINHRNVVKLLGCCLETEIPLLVYEFIPNGNLFEYLHNQNEDLAMTWDIRLRIATEIAGALFYLHSIASQPIYHRDIKSTNILLDEKNRAKVADFGTSRIVSIEATHLTTMVQGTFGYLDPEYFHTSQFTDKSDVYSFGVVLAEILTGRRPIPVVSSEEAMNLASYFVLSMEENNLFDIIDKRLEKEADKEHIIAVANLAYTCLELNGRKRPTMKEVTLELERIGVPNRKFSTNQNHEGIELSRIEDYQHFGGYSMSNTFSTISSQTFS >OIV91815 pep chromosome:LupAngTanjil_v1.0:LG19:14233739:14244038:1 gene:TanjilG_14394 transcript:OIV91815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQLPSQDILALLEFKKGIRHDPTGYVLNSWNEESIDFDGCPSSWNGVLCNGGNVAGVVLDNLGLSADADLSVFSNLTKLVKLSMSNNLISGNVPDNIGTFESLQFLDISNNLFSSSLPSGIGNLGSLQNLSLAGNNFAGLIPDTISKMASVQSLDLSRNSFSGAMPASLTKLKSLVSLNLSHNSFTGKIPEGFALMSTLEKLDLHGNMLDGHLDAEFILLSRASYVDFSDNRLVSSDSQRQKFLPQISESIKHLNLSHNLLTGTLVSGAEQPVFENLKVLDLSYNNLDGELPGFDFVYDLQVLKLSSNRFSGFIPDGLLKGDSLVLTELDLSANNLSGPLSIITSTTLHFLNLSSNGFTGDLPLLTGSCAVLDLSNNKFEGNLTRMVKWGNIEYLDLSVNHLTGSIPEATPQFLRLNYLNLSHNSLSSFLPRIITQYPKLRVLDISFNQLGGLLLADLLAMPTLHELHLENNMISGSINLSSPSGHSNLQILDLSHNRFNGYFPDQFGSLTGMKVLNIAGNNFSGSLPTTIADMISLETLDMSENHFTGPLPNNMPNGLNNFNVSQNDLSGVVPEDLRKFTSSSFFPGNAKLHFPNGPPGSTASSPESSKRKHMNTIVKVIIIVSCVVALFILILLAIFIHYIRISRSSPEYDTSKDIHGRAQPIISGPIPVRTSERGGALVVSAEDLVTSRKGSPSEAISPDEKMAAVTGFSPSKVSRFSWSPESGDSLPAENLARLDTRSPDRLVGELHFLDDTIALTPEELSRAPAEVLGRSSHVMGQLPSQDILALLEFKKGIRHDPTGYVLNSWNEESIDFDGCPSSWNGVLCNGGNVAGVVLDNLGLSADADLSVFSNLTKLVKLSMSNNLISGNVPDNIGTFESLQFLDISNNLFSSSLPSGIGNLGSLQNLSLAGNNFAGLIPDTISKMASVQSLDLSRNSFSGAMPASLTKLKSLVSLNLSHNSFTGKIPEGFALMSTLEKLDLHGNMLDGHLDAEFILLSRASYVDFSDNRLVSSDSQRQKFLPQISESIKHLNLSHNLLTGTLVSGAEQPVFENLKVLDLSYNNLDGELPGFDFVYDLQVLKLSSNRFSGFIPDGLLKGDSLVLTELDLSANNLSGPLSIITSTTLHFLNLSSNGFTGDLPLLTGSCAVLDLSNNKFEGNLTRMVKWGNIEYLDLSVNHLTGSIPEATPQFLRLNYLNLSHNSLSSFLPRIITQYPKLRVLDISFNQLGGLLLADLLAMPTLHELHLENNMISGSINLSSPSGHSNLQILDLSHNRFNGYFPDQFGSLTGMKVLNIAGNNFSGSLPTTIADMISLETLDMSENHFTGPLPNNMPNGLNNFNVSQNDLSGVVPEDLRKFTSSSFFPGNAKLHFPNGPPGSTASSPESSKRKHMNTIVKVIIIVSCVVALFILILLAIFIHYIRISRSSPEYDTSKDIHGRAQPIISGPIPVRTSERGGALVVSAEDLVTSRKGSPSEAISPDEKMAAVTGFSPSKVSRFSWSPESGDSLPAENLARLDTRSPDRLVGELHFLDDTIALTPEELSRAPAEVLGRSSHGTSYKATLDNGLLLRVKWLREGVAKQRKEFVKEIKKFANIRHPNVVGLRGYYWGPTQHEKLILSDYISPGSLASFLYDRPGRKGPPLTWAQRLKIAVDVARGLNYLHFDRAVPHGNLKATNVLLDTADMNARVSDYCLHRLMTQAGTVEQILDAGVLGYRAPELAASKKPMPSFKSDVYAFGVILLELLSGRCAGDVIFGDEGGADLTDWIRLRVSEGRGSECFDATLMSEISNTAVEKGMEVLGIAIRCIRSVSERPGIKTIYEDLSSI >OIV91772 pep chromosome:LupAngTanjil_v1.0:LG19:17025165:17038174:1 gene:TanjilG_26625 transcript:OIV91772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHSGAVNCLKIGKKECRRFITGGDDHKVNLWTIGKPTYLTVSDHCDSQPLLFKHRVILFVILATATTLSLSGHNSPVQSLAFDSAEVLVLGGASSGVIKLWDLEEAKTVRTVAGQRSSCTAIEFHPFGEFFASGYMDANLKIRDIRKKGCIHTYKGHRQGISSIKFTPDGRWVVSGGFDNAVKVWDLTAGKLLHDFKFHGGHIMSIDFHPLEFLMASASADRTVKFWDLETFELIGSSKREVTGTQSIAFHPDGRTLFTGHQNGLKVYSWEPAICHDTVDMGWTTLGDLCIHDGKVLGCSYFRNSVGVWVADISLIGPYADASDPKKKSEDTEQKLSLHGSKLDKVEDDVGPTSRLNSMSPDESKEIKNIYIDSSGGKPVVALQKFEASNSPKVDLSEESVENSKLGALKQSPATGVHVKSSEQMLRKSLVVPGIVPRETPDGKDLEKYGKETITFSKTRPGMLLKPAHARRASTGRFDVDKFSVDANSGIFCDIARKLNRAKDPKFQVNLGSQNEVRESCEDKYPIKTVTDKLYKTLSLQGISDQTKIAVIRGRTRSLVERFESGEQIQIDEDQTNSHVPTMSEEREKVHDEDQIYASSTGVFERREKNPRNDDQNSMPSERTPRNEDQKNMPSLPDTISETSTVVLERRERIFRKEDRNNTPSVSNRISGTSNMVFQRRERLAREEDLNNIPYIPNAISGLDKSPTILKVEPQTSGGDSKSENEGQIIEALLQTHDVTLSTLRSRLTKLQVERHFWERNDIKGAINALRKLHDQSVQADIISVLVEKMEILTLDLFSCLLPVLTGLLDSKTERHVKVSLDMLLKLVAVFGPTVHETVSACPSLWVDLHQEQRRECCNQCFMELQKIEMTLPIGEYTWTVMGTEVVDRWGTWEELLLGGAVLRHGTRNWNIVAAELRSRTLFPYTFTPEVCKAKYEDLQQQYSGNMVWFEELRKKRVEELKRALELSEDSIGSLESKIESLKAGKKNEKRNDCRVDNVLDSPELHMPMPELERVESSTKEMSKDGLSAGSFTHETRTNWSPECQVPAVSAEDVETKLEIEQDKVRNVDKLAHTIYEVQGGYFKKRRGKRKRKECGRNIKEASVGESDLLDSTDVLSWCKESSTSNCGELAKSRGVDDHNRNSKRDGVEHVMEILDSIFETKGASAFRRRLDSQKRGRYKKMIRRHMDFDTIRSRISSQTIKSAIELFRDLLLLANNALVFYSKSTREYKSALLLRDLVATKTRESFKDVRSSKVTQTDWSIKSHVHNKKPHVNVKPRSVRPGNRKIVANAVVVGGNNSASLVTEGTKKPSKSDSPSSVESLPFKKKAFGRPKKVARGTSGQRPALPMKGKKRVRTK >OIV91567 pep chromosome:LupAngTanjil_v1.0:LG19:17691042:17692472:1 gene:TanjilG_08979 transcript:OIV91567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNMISINSSKTRTRLSDSCEVLQGQACKRQRLLSSTYEDNLRLIPSLPDEISIQILARVPRISYLSLKSVSRAWKEAILSSELFCVRKELGTVEEWLYILMKVNDDKLLWYALDPLSRRWLRLPPMPNVSSEDEVAKKGLAALPLRMWSMVGSSIRIADVIMSWLGRRDALDRMPFCGCSIGAVDGCIYALGGFSRASAMKSVWRYDPVKNCWTEASPMLVGRAYCKTGILNDKLYVVGGVTRGRGGLIPLQSAEVYDPYTSLWSQLPSMPFVKAQVLPTAFLADLLKPIATGMTSHRGRLFVPQSLYCWPFFVDAGGEVYDPNENSWLEMPVGMGEGWPAKQAGTKLSVIVNDNLYALDPSSSLDSAKIKIYDYEGDTWKVVPGDVPIQDFTDSESPYLLASLLGKLHVITKDANHNITVLQADMQNGLSSSQPLLSSPELTESSSESEGEVWRVFASMSGRSAELVSCQSLKV >OIV92275 pep chromosome:LupAngTanjil_v1.0:LG19:4170338:4177361:-1 gene:TanjilG_00293 transcript:OIV92275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNLFADNDYFNDGDGDFDSPYSLRSNRRFLQDDDPDFGLDRVYLIPFRWWIEAQCEGDRVEGVLYTVSCYSDSEDEILLDLRKEEEDREKIKRLELGFSGRQYALVPEGSWLRVLKRYNDFNNAMKNIGSLFHTESEDCLPDLYPLQLRIFVCWETSSLVAKINQKVHIWDFSGQTTQLFMNDKVRLPGESAIQSRKEVILELHVHGLSDSMRDDGSSGMIADRSQLKCSSHSGSAMVNGCSESVIRNVKATNSFQDNSYKAIQSLGLTGLQNLGNTCFMNSAIQCLAHTPKLVDFFLGDYRKEINFENPLGMNGELALAFGDLLRRLWVPGARPVSPATFKMKLSNFAPQFSGYSQHDSQELLAFLLDGLHEDLNRVKRKPYHEVKDGDGRPDDEVAEEYWRNHLARNDSIVVDLCQGQFRSTLVCPMCKKVSITFDPFMYLSLPLPSTTMRTMTLTVMSTDGITMPSTITITVPERGTLKDLIGALSASCSLGDDESLLVVEVYKNRIFRFLEEPSESLTEIRDLDKLAAYRLQKNNEAGPLVVFVHELAEKYCSAGRDRFEYRSFGVPVIARLSSISDENDVRREFLKLINPFIMSNEDILDECDKDDNTNKKRSKDDELGDTTSSAAVGSDADSNNGTEDDFEFFLSGGQGFGNSKIKLNEPLPFTELPQKLEVHVVWPDKMLKKCDTYHLNLLPEVFKPQLFTKRMQESVSIYKCLESFLKEEPLGLEDMWHCPTCKKPQQATKKLDLWRLPEILVVHLKRFSYNQFFKNKLETFVDFPIDDLDFSSYIAQRNNQSSNHYMLYAISCHYGGLGAGHYTAFVRYGHDTWYEFDDSRVAPANEEMIKTSAAYVLFYKKV >OIV91829 pep chromosome:LupAngTanjil_v1.0:LG19:13139183:13141328:-1 gene:TanjilG_17821 transcript:OIV91829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLIQLHCSTFSVTSSPTPNPSIFPPRAPVTAFLTKPIGKSRLQAHIPRQVLPVRALTYDGGLGSEDKASGSEDEVSGVAVVEEEKTEVEKVKKLLVDSLYGTDRGLRASRETRSEIVELITQLEALNPTPAPTQALPLLNGKWILAYTSFIGLFPLLSQVIAPLIRAGDISQTIDSETYAVQNSVQFVGPWTTTNVSYHGKYEVLSPKRVQLKIEERFIGTPQLTDSLTIPEEVEFLGQTIDLKPLKGIVHEKAKKLARKPPIRLPVPRCFAKSWLLTTYLDEDIRVSRGDFGSVFVFFKEGSSLLTS >OIV92439 pep chromosome:LupAngTanjil_v1.0:LG19:1373667:1380830:-1 gene:TanjilG_25169 transcript:OIV92439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSGSSLEESNTGRSLRLRKKHKRLDAIYEEEYNRNNGEFNEDDDNDNDDDALNHDVGVRRSSRVRRAPVLLDASPPPPRKRQRLGESGMQRGVESVKTLERENGSSNVGAESPGTWNSRLRSRVRNAGVDVKEKRESRVRNVGFEVERESRRGKRKLFEDVVGSVDDDELKVAEVDDKEDLDVDNKEESEGSIREIVKSKRLERINVIEIESRGSVDESKSQEVELVLDKDNDEESASIPENELSGMKDILDGDAPPLLENKERNESNIFQTEECGGGNEPSLVECVDIPDDLLESVKEGQNASDVAEVVPKNVEIEGSVDKEVGIDRNDLKGANVTRMGELKHASNDEPGLRRIKEGRRCGLCGGGTDGKPPKRLVHDNGESENEAYSGSSASEEPNYDVWDGFGDEPGWLGRLLGPINDRDGIARIWVHLLCAVWSPEVYFAGLGCLKNVRAALCRGRALKCSSCGRRGATTGCRVDRCPKTYHLSCARANGCIFDHHKFLIACTDHRHLFQPRGNKYLAHMRAKKMKWEMKKRSNDALRKDIGEEERWLENCGEDEEFLKRESKRLHRDLLRIAPVYIGDSDSTSEKSFQGWESVAGLKDVIRCMKEVVILPLLYPELFDNLGLTPPRGVLLHGYPGTGKTLVVRALIGACARGNKRIAYFARKGADCLGKYVGDSERQLRLLFQVAEKCQPSIIFFDEIDGLAPCRTRHQDQTHSSVVSTLLALMDGLKSRGSVVVIGATNRPDAVDPALRRPGRFDREIYFPLPSVEDRASILSVHTQKWPKPINGSLHEWIARKTLGYAGADLQALCTQAAINALKRNFPLQEVLSVAEEKHAGSKHLPLPSFAVEERDWLEAFLRSPLPCSRREAGNAANDVVCSPLPIHLIPCLLRPLCTLLVSLYLNERLWLPPPISKAVTMIKAVIFSALDKKKMPSHHWWLYVDDFLQETHIASEAKMKLACSGILSVTDCFTDFHDAVDDTDDGVVKVEPSMKNNVNMRKCLLPNMSFGLTNQSGFRILISGNTRSGQRHLASCLLHCFNENLEIQKIDMATISQEGHGDVVQGIAQILMKCASLRSCIVFMPRIDLWAVEKHFQIDEKTDSCSTTRLLPGNEKSCFTSSQDEKEIKLNTKKNSENVTNGQANIKASRAWMSFIQQVESIGVSTSLMILASSEVPYAEFPSKIKDFFQTYQCNGDRSIPLEQTVPRFSLQVDGNFDHDMVINVSAAEVLRNLVEQQVQLIHKRSHAHIGVLKGYGAYDSIEICKDKVCKRKDNVSTNEKQSQIQLPESLTKVTPTSNSRSLKGKSTLLSAISTFGYQILLYPHFAELCWVTSNFKEGPCADVNGPWRGWPFNSCIIRPNNSQDEVVVSCSSGGIKNKERSGIVRGLIAVGLSAYRGVYKSVREVSCNVRKVLEILIEHINAKIQAGKDRHQYLRILSQVAYLEDLVNNWAYALLSLEHDSPELAQKVTPATVGSLDSHLRCEDHQTEPEDCHLVVPKNGDDLKGHKGIVAETTGSIALNGKNDNSDNLDPIAQNATSEGSLQNHDLSDKHINNTASSNQPVDPSINQESRLPFGLSESVAVCEQEGVELGNSNTISNKPLSSSSVETGVKLSDGSDHGKCDNAIDINVASSEGSDHGKADNVIDINVASSEGSYHAESGVICFYQCCPRCISNLYHLTQKLLLREYRLNNSHWTVEDVHDSVASLSVDLISALRKCYLAEDFSDSSNKTSRHEEHGRTCNPLNQGKDVVPAECVSHSTMQDATGGKDEALNESLKLDLKFIFKDGVLMHMDPDKDVPLHCKFENLCLCSVRELIEMMKQPFH >OIV92138 pep chromosome:LupAngTanjil_v1.0:LG19:6237088:6241051:1 gene:TanjilG_18710 transcript:OIV92138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDTAALQNRRLSIIDFSSEDDSLLLPNSTLSENEDHADILYTPNSKKFEDATTKLEQWEREPNSNEKLTSQTENPKKNTKCNLRKSLAWDSAFFTSAGFLDAKELSSIIEGAEKDEKHETEDDLYRSCESISTLGSDSLTLDESVEGDLFEDIRASIQKSSKKSNLSSEYSKVPTGISRLQIDDSSKKVGMASRNKLFDVGAYLRPRSNPMAEALIINYGQMKAPASKHPTPSPEVQGLGKMTKRTPIFPQLPQAVASRRESSISRQPKVAGKSSSSPSPSSVISAKRVLIGDKHDKNEKDKAKRMIGDRASSMSKASVTGGSRGNVPKPTLPSRSSSGLSVSAKNKSTTFTPSANNLPVGNSPFNSIKRKVGAGTLKPPSSISAVRTPSRIASSNKIETGNPSLSRLMSVNKLSSSISPASSVSDWSSESSSSTFMAKHICNSSRTSIDSCSSRKVLSDTEADQGKNYQIPQNDSNLEGQETTVFTSQTASHAPGGPVLPPALKKPSGLRLPSPKIGFFDGVKSSVRTPRGETQPHSVIPRSLPKHRAATPSEGQNKEKLRKLESASSAVSIQNTIFNNQKINDSLNNQETGHYNSQVDCLSKQVALMDINFEIGDKFNGDSLSFSQSDISFHDKSYDLDLPSHKKLLKSSSTPCLSISPTSFDMAASIRTPFAVRDSFCNMDGSIFPESTVSEVKPVNLTVLDIIMKENN >OIV91953 pep chromosome:LupAngTanjil_v1.0:LG19:12310363:12315380:-1 gene:TanjilG_23214 transcript:OIV91953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQIEVASLFDYTMKGQWREILEAYKNNPGTLEAKITKAEDTVLHMAVYVGQTCLVKTLLDNITEDMSLTILNVQNLKGNTLLHIAAELGNVDICKDIVKRDPGLICIRNNEGLALQILGLYPHLAGSVNEDGLTPLHILARKPNCFLSCTRMELYERIVYSCLFVDEVQEEANDQCNNRADTDRYPKNYRTCMNFFSLFKTALKVLTTGKDFNAATDDVENDVSQKSYLRREQAKKEKRPYRFPPNWVVVIHFLSLTVKILLIICGVGVSWLAKIQRKKERHMRAKQVMNELIERASLYMYDDVLGRNPHAYALQHGRGNESINSSSYMKKGNFKERNIESPILVAARMGVAEMVESILDKFPVAIQDADTNNKNVVLLAIENRQPHVYRLLSKMDLIKESAFRQVDNQGNSAVHLAATYRNHKPWRVPGAAMQMQWEYKWYKLVKNSMPPNFYERYNNKGQTAKQIFINTHGLLVKEGSKWLCNTAESCSVVAALVAAVAFSTSTTIPGGPNQESGIPIFQGRTAFKLFALASLVALCSSVTSLVLFLSILSSRFQEKDFVVDLPRKLLVGLTTLLTSIASVLISFCAGHYFVIEDQMKVAVYPIYAATCLPISFFAFVQLPLYLDLTSAIFRKVPQRSYKMFSP >OIV91846 pep chromosome:LupAngTanjil_v1.0:LG19:13489317:13493269:1 gene:TanjilG_17838 transcript:OIV91846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDNASLSVFLESAIDAARKAGEIIRKGFYEFKNVEHKGQVDLVTETDKACEELIFNLLKQRHPTHKFIGEETTAAYGTTELTNEPTWIVDPLDGTTNFVHGFPFVCVSIGLTIGKIPTVGVVYNPIINELFTGIRGKGAFLNEKPIKVSSQTELMSALLATEAGTKRDKLTVDAFTKRINNLLFKVRSLRMSGSCALNLCGVACGRLDIFYEAGFGGPWDVAGGAVIVREAGGEIFDPTGGDFDITSQRVAASNPSLKDKLVTALRETE >OIV91709 pep chromosome:LupAngTanjil_v1.0:LG19:16399852:16400685:1 gene:TanjilG_26562 transcript:OIV91709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIHNNNHANSEEILENVWAKIMSSDRANRRTSEVAESCNSWEELPNLDGRDGSMEMLQRLPSLGRWISMGADFWEEVLDGILPTTNNTEDSTSNKNLEIVSVTKKLEDGVRKEKVVAKHYRGVRRRPWGKYAAEIRDSSKKGARVWLGTFDTAEEAALAYDKAALRIRGSKAYLNFPLETVSKALGFTCASTTCTQKGCCYACTCTCTNVENKDNCYKSKKRVSREGEEFVDMMVMNEQPATKRVATLEGMLENEIDVLVFQDLGTDYLDNLLSSF >OIV91942 pep chromosome:LupAngTanjil_v1.0:LG19:12122431:12125955:-1 gene:TanjilG_23203 transcript:OIV91942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATHLHVSQSAPTQNFEVSPSLHIGHNDLLIVGPGVLGRLVAQKWRQEYPGCHVFGQTVTTDHHEELIKVGISPSLKWTGAGQKFPYVIFCAPPYQSSDYHGDLRLAALSWNGEGSFLFTSSSAPYDCSDNGSCDEDTPVVPIGRSRRTDVLLNAENIVLEFGGSVIIISIMFVPHANLDLLNKEDKGAHAYWLEKGSVEFRPDHILNLIHYEDAASLSVAILKKKFRGQIFLGCDNHPLSRQEIMDLVNQSGKFSKKFEKFTGTDDPLGKRLNNSRTRQEVGWEPKYSSFAHFLDTL >OIV92025 pep chromosome:LupAngTanjil_v1.0:LG19:10673351:10676424:1 gene:TanjilG_20182 transcript:OIV92025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFSVVLSNSPIFSLFPKNKNSIISSSSEPLPLLPSTTSSCSSPSSPSSPFHFVLPKTVNGFSGAGSAPSSSALKRKRPAKLDIPVVASLTVGVQDAAVPMAEERDVVEVEGRGFAVYCKRGRREHMEDRYSAAVDFHGEPKQAFFGIYDGHGGTKASEFAAHNLEKNVMDEVVSRDESDVEEAVKCGYLNTDSDFLKENLHGGSCCVTTLIRNGNLIVSNVGDCRAVISRGGVAEALTSDHRPSREDERERIETQGGYIDIYHGVWRIEGSLAVSRGIGDRHLKQWVIAEPETKVFRIEPLHDLLILASDGLWEKVSNQEAVDFSRPFCVGSNRQQPLLACKMLVDLSVSRGSIDDISVMIIKLQNYI >OIV92479 pep chromosome:LupAngTanjil_v1.0:LG19:982678:995148:1 gene:TanjilG_02242 transcript:OIV92479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEIVPAEPIHEIYFEQGETSTCREETTQHEHANLAITIDTSSSSSSNNNNDNLGYVPGPFDSERLPTIFASEIQRFLRVANLVGREEPRVAYLCRFHAFEISHNLDKNSSGRGVRQFKTALLQRLEQDEHVTIRKRKEKSDIRELKRVYHEYRDYFMRHDKTFDLEQSHREKLINARNIASVLFEVLKTVSNTAGPRALHEGNAIHKKTEFNILPLEQGGIQHAIMQQPEIKAAIAVIHNVRGLPPAQEFKKQGPFLDLFDFLQHCFGFQEANVANQKEHLILLLANMQTRQTKNQTSVLKLGEGGVDELMRKFFKNYTNWCKFVERKSNIRLPFVKQEAQQYKILYIGLYLLIWGEAANLRFMPECLCYIFHHMAYELHGNLSGAISLTTWEKVMPAYGGEPESFLNNVVTPIYKVIEKEVKNSKGGTTDHSSWRNYDDLNEYFWHPDCFGIGWPMRSDHDFFYVECEDKPKVVNKNALVVSPDETEEKKIRENEDEEQEEQNDTAEETRESHWLGKTNFVEIRSFWQIFRSFDRMWSFFIISLQAIIIIAFHDLGSPLQLFDANVFEDIMSIFITAAILKLIQAILDIGFTWKARYTLEYSQKLKLVMKLVFALIWTIVLPVCYANSRGKYTCYSTKYGSLIEQWCFTSYMAAAAIYLTSNAVEVVLYFFPAIAKYIEVSNHKICRVLSWWTQPRIYVGRGMQEDQVSVLKYTVFWVLVLASKFLFSYSFEIKPLIAPTRQIMKIGVRKYEWHELFPKVKSNAGAIVAVWTPVIIVYFMDTQIWYSVFCTIFGGLYGIFHHLGEIRTLGMLRSRFDSLPSAFNVCLIPPSTKRGKKKRKGFLSNIFQKMPGEKKAIAKFVVVWNQIINHLRLEDLISNREMDLMMMPMSSELFSGKVRWPVFLLANKFSTALTIAKDFKGKDEVLARKITKDKYMFYAVRECYESLKYVLEILIVGNLEKRMICDILSQIETHIQEGCLLKNFKMKALPALQAKIIELAELLIEGEKDHQYKVVKVLQDMFEMITNDMMVDSRILDIIHIPEQNESGFLYLTKDIEQLFASEGMNSDIEGLLFANGDSIHFPLPESGPLIEKIKRFHLLLTVKDTAMDMPSNIDARRRISFFATSLFTDMPDAPKVRSMMPFSVITPYYTEDINFSQKELGSDKEEDSIIFYMQKIFPDEWTDFLERLGCDNLKSLENEHKTEELRQWASFRGQTLGRTVRGMMYYREAFKLQAFLDMAEDEDILEGYENAEKGNRALFAHLEALADMKYTYVISCQAFASQKASRDPHAQDIIDLMKRYPSLRVAYVDEKEEIVPGRAPKVYYSKLVKVVNGYEQVIYQIKLPGPPDLGEGKPENQNNAIIFTRGEALQTIDMNQDNYLEEALKMRNLLQEFLHHQGRRPPTILGLREHIFTGSVSSLAWFMSYQETSFVTIGQRLLANPLRVRFHYGHPDVFDRIFHITRGGVSKASKTINLSEDVFAGFNSTLRRGCISYHEYLQVGKGRDVGLNQISKFEAKVANGNSEQTISRDIFRLGRQFDFFRMLSCYFTTIGFYFSSLISVIGIYVFLYGQLYLVLSGLERALIIEARIKNVQSLETALASQSFIQLGLLTGLPMVMEIGLEKGFLSALKDFVLMQLQLASVFFTFSLGSKIHHYGRTILHGGAKYRPTGRKVVVFHASFTENYRLYSRSHFVKGFELILLLIVYNMFRKSYQSTMAYVLITYAIWFMSLTWLCAPFLFNPAGFSWTKTVDDWKEWNKWIRQQGGIGIHQDKSWHSWWEDEQTHLRRTGLASRVTEILLSLRFFIYQYGLVYHLDIAQHNKDFVVYVLSWIVIVAVFLLVKAVNMGRQLFSANYQLGFRFFKAFLFVAVITITITLSFICDLSLTDLFVCCLAFMPTAWGLILTAQAARPILGNTGLWDFTRALARGFDYGMGIVLFGPIAILAWLPIIKAFHARFLFNEAFKRHLQIQPLLAGKKKQHKP >OIV92034 pep chromosome:LupAngTanjil_v1.0:LG19:9608373:9608816:-1 gene:TanjilG_01743 transcript:OIV92034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSISIALRFGTVPKTKVSVSGRSISRSALAFRVLAVQENGGPRRLVDIIRIVPELSRNYFRSPSRRALFGGISLLGGFYVAQTISLSFGALGVNDVIAAVVCVLLTEYVTKFYYSRPKVTFPIALLNNFKMGFTYGLFIDAFKLAS >OIV92310 pep chromosome:LupAngTanjil_v1.0:LG19:2924846:2928722:-1 gene:TanjilG_10520 transcript:OIV92310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLPNASDDLSSEMEVDAFRRLFPLRFFERHLAESIRPDGRPLGKARDTSVFLGAVASANGSALAKIGSTTMLTAIKMEVMTPSLESPDEGCIAIDFHMPPICSPIVRPGRPAEAAPVISKQLSDTISRQVLAILVTSSGLVNLKELSLVNGKAAWMAYLDVYCLDADGALFDAALLSAVSALSHLQIPAVAINDDGKIVLMYEEDGQKQAQEQVNKEKRKLTLKSIPFSLTSILHKNYILADPTAEEESIMETLVTIALDSSGQLISLYKPGGSVLAYTAALQDCVALTRQRVKELKSILDEQNSVMEIEQD >OIV92480 pep chromosome:LupAngTanjil_v1.0:LG19:974301:979271:1 gene:TanjilG_02243 transcript:OIV92480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGAESFALKVANVVVGQVGNQLGYLITYERNIDNLSVNVEKLEEAKDVIQHSVDDATKKGEDIVRMVKNWLERVDAIVTEAKTFQKADGHAKAECSCGHFPDLWARHKLSKKAKEIAKDILEAINDGNFQRISYEPRLLVGITSSNARGYEALDSRTYIMNKIVQTLMDAEVCKTGVCGMGGVGKSTLVKELAWRAVQDCSFQTVVSVELTESPDVRTIQVKIAECLGMKKFEVDTIDVRASLLRERIRKEKSILIIMDNIWEKIDLIKVGVPFGADHKGCKLFFTSRSSKVLTEQMEVDEKFCYKLDVLSEHESWNLFEKKVGDAVKDSNLRPTAINVVEACRGLPVLIFPVAIALKNKPKPIWDDALKQLTTFDNKDLNATVYSAINLSYDNLANNELKSLFLLLGSFGQTEIWTRYLLIYFWSLNLYEDADCLANARNRLYNLVAELRSACLLLEEENGESVKMHDLVHEVASKIASKDRTIFAVQMHSELKKWPKMDTLRQCYRMFLPDCRIPDLPERLECPELEILVLVSRNNFLKVPDHFFAGTKEMKVLYLGGMDCLPSLPSSLSQLPKLRALYLRECMLDDIALVAELENLEILGFEISEIRELPAVIGKLTRLRLLDLSNVSGLRAIPAKLISSLTHLEELYMVNTFIQWEGKRSRSKSGSQSQSRNASLDELRHLDQLKALEITIQDASVLPKDLDIFGKLDKYRIYIGKGWTRSWNRKISKTLKLDQGNTKNIHLDGGVKLLLNNAEELCLTNLNGVSNDICQLNEEGFPQLMYLEIKNSKNLQYIIDTKQHSCEAFPKLESLVLCNLPKMQKICDGPLPLQSFAELKVVKVKACDRLQNVFSYSTVKTLSKLAEFEISQCKVMSEIIADTDTDIDEIEFPRLHSLTIDCVPSLASFYSKPVTNDIELTSIVESEDDSTIPMPLFDEKISFPNLESLKISSINLVTIWGDQLSERSSIKKLKSLAINGCANLKSLFTSSAARGLVNLQHLSISGCGMLDEIFSTEGLSSNKISVSNDEAAFPNLETLTISHMDSLKSIWNDQSASNSFPKLITLEISFCSRLINVFSPYVLPTQLKTLTLKNLPMLKNIWSTDPPRSSGFQNLCEVKVTECQSLNHIFPLCVAVELKKLEALDVSSCGIEHIVGSDELGEKVPKLDLPQLKTLRFWHLTNLRSFCSEMQTLECPNLKNLDVYDHDMLEIFAMESQDPEDALVDQQPLFSFEKVIQSLEELSISSEDVALICNDEQSDDDFHRVKSLRLQCFDESDEFSSDFLQRFTNLEKLTLFSSAFKSIPDIDLVEANIKLKSIRINSLWNLEHICEQETEMEQILQELETLEVFHCSRLKTVAPSFVQFENLDTLSLYNCASLVTIISSSTARSLARLRRLWIYNCNMLEEILVNDDDAGEIAFTKLEVIELGSLPRLTSFCNGNLKFKFPLLQSLFLIECPMMEIFSQEISRVPLLRYVYVSGNGTKRRWDGDLNSTVSEVFRQSTNPTS >OIV92551 pep chromosome:LupAngTanjil_v1.0:LG19:252454:270154:-1 gene:TanjilG_02314 transcript:OIV92551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKSNKGRNRKGSNSRNASTVSNHSEPLVPSDVPAKDNVEDALESSKADAVEVPAAGESSSAVPEVKEDEAGKEETQPNQGDLHLYAVPVKTYTGEKLELQLNPGDSVMDIRQFLLDASETCFVTCYGLLLHTKDGSTHHLEDYNEISEVADITTGGCSLEMVPGILLNFCPALYDDRSIRAHVLRTRELLSLSNLHASLSTSLALQNETTQDKAANSGDTLKPEVPELDGLGYMEDVSGSLSNLLSSPLKDIKCVESIVFSSFNPPPSYRRLVGDLIYLDVVTLEGNKFCITGSTKVFYVNSSSANVLDPVPSKATFEASTLVVLLQKISPKFKKAFREIYESRAAAHPFENVQSLLPPNSWLGLHPIPDHRCDASRAENALTLLYGSEPIGMQRDWNEELQSCREFPHTSPQERILRDRALYKVTSDFVDAAINGAVGVISGCIPPINPTDPECFHMYVHNNIFFSFAVDSDLEKLSKKRTDANPKAGGTSTRHNSSAKAASISLDDDSLVPNGGKDDGPSSENLIKSAEVAQDVSPETELAENEQATYASANNDLKGTKAYQEANVPGLYNLALAIIDYRGQRVVAQSVLPGILQGDKSDSLLYGSVDNGKKICWNEDFHSKVSEAAKRLHLKEHSVIDGSGNVFKLAAPVESKGIVGGDDRHYLLDLLRVTPRDVNYTGLGSQFCILRPELIAAFCQAQAAETSKSQGVDNVATDSQNATETGMVDWANKEKVDDVKELAAAAAEASDHYEEIVFNPNVFTEFKLAGSPEVFYLLFCLHLPLTLYLSSISEFPPFIFPILRDRALYKVTSDFVDAAINGAVGVISGCIPPINPTDPECFHMYVHNNIFFSFAVDSDLEKLSKKRTDANPKAGGTSTRHNSSAKAASISLDDDSLVPNGGKDDGPSSENLIKSAEVAQDVSPETELAENEQATYASANNDLKGTKAYQEANVPGLYNLALAIIDYRGQRVVAQSVLPGILQGDKSDSLLYGSVDNGKKICWNEDFHSKVSEAAKRLHLKEHSVIDGSGNVFKLAAPVESKGIVGGDDRHYLLDLLRVTPRDVNYTGLGSQFCILRPELIAAFCQAQAAETSKSQGVDNVATDSQNATETGMVDWANKEKVDDVKELAAAAAEASDHYEEIVFNPNVFTEFKLAGSPEEIAADEDNVRKVGLYLTNVVLQKFIKDLCTLEVSPMDGQTLTEALHAHGINVRYIGKVATGTRHLPHLWDLCSNEIVVRSAKHVIKFELPEDARSRVKKVSVLRNFCQKVGIIIAARKYDLNSTVPFQTSDVLDLCPVVKHSVPSCSEAKELIETGKLHLAEGMLSEAYTLFSEAFSILQQYLHAHLISIKILNFRYLAMVLYHAGDMAGAIIQQHKELIINERCLGLDHPDTAHSYGNMALFYHGLNQTELALRHMSRALLLLSLSSGPDHPDVAATFINVAMMYQDIGKMNTALRYLQEALKKNERLLGVEHIQTAVCYHALAIAFNCMGAFKLSHQHEKKTYDILVKQLGEDDSRTKDSQNWMNTFKMRELQVNAQKQKGHALDAASAQKAIDILKAHPDLVHAFQAAAVAGGSGGSGASANKSLNAAIMGESLPRGRGIDERAARAAAEVRKKAAAKGLLVRPHGVPAQPLPPLTQLMNIINSGMTPDSVDNGNADVVANKEANDVPPSDPTDAKEGQSLPVKEQAPVGLGKGLSSLDGKKQKSKPKVGA >OIV91876 pep chromosome:LupAngTanjil_v1.0:LG19:13830398:13834179:1 gene:TanjilG_17868 transcript:OIV91876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDKTASMLNKGGLKGKDDSATKSAKGRRVQFSREGPFESVMSDSPKSGGKGDFSKGGKGDKGANGKKTSTSKEPQPSEPKIEFPENVKCLMDSEAADILQRIQDQMVMLSRDSSIKIPVSFDKGLEYAKSNSKYAKPEFVGQTLQHLAGYGVSDSELCVIGNACPETADEAYALVPSLKGKRSLNSEILQDALSELAKLKQPI >OIV91521 pep chromosome:LupAngTanjil_v1.0:LG19:18063337:18066239:1 gene:TanjilG_08933 transcript:OIV91521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLCFLFLFALFLFLSISYSSSDSHFEGFEAEEDDFDYQPQPHSHSSLSSQPKPTTKLSTQPIPEPNPEPQQHQQPPPIPNTFNDLWDEDEFEGLPIQQQQQQQQQQPSQSHDSSSNPNSINHPNSANNSSHSLHSQRLNRPSSFTVEIVCGIFLIIFAFNYFSGKKENENIALSWASQFAAKDSIFEKNFSLLGIGDGGDDSPLLLKEGQTTFKFYASGRRCCQGLLATLELKSRHDLIARIYNMIVPTRDEISFEVYMNDDAMDHVVFALAKKKAAKTMHKEERDLQRFAVLLSTPNGKKWVSDDLAVISESKEVAADLLTDAVIDQVFGDKAYDKVGKSLISLHFSDQHSGMHRKVLLFKFVLPAAKNMADMTRLVALVPYYIDLIGRYKLSSQARSKTEIARQKAAQEAQRELRNLQQEAIQRKKAEKKKMLEEAEAKLSAEAIRKKEAKERARQMKKSMPRMKMTRGA >OIV91605 pep chromosome:LupAngTanjil_v1.0:LG19:17409607:17409888:-1 gene:TanjilG_09017 transcript:OIV91605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSPYIVITTIFFIMFSTCQAQNTPTDFLEVHTQARKEVGVGPLSWNKTLEAYAQNYANGKIKDCQMEHSNGPYGENLAEGYGEMKGSDAVKF >OIV92039 pep chromosome:LupAngTanjil_v1.0:LG19:8527077:8538342:1 gene:TanjilG_15030 transcript:OIV92039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGVESNEESENKGSMWSLDQKLDQPMDEEAGWLRNMHKEKKLSSLLLLRLAYQSLGVVYGDLGTSPLYVFYNTFPNGVKDQEDVIGALSLIIYSLTLVPLLKYVFIVLRANDNGQGGTLALYSLLCRHANIKTIPNQHRTDEELTTYSRSTIHEKSFADKTKRWLEGKGYIKNTILILVLVGTCMMIGDGILTPAISVLSASGGIKVNHPDLSGDVVLVVAVVILVGLFSMQHYGADRVGWLFAPVVLLWFLLIGGIGIFNLWKHGSNVLRAFSPVYIFRYFKRGGKESWTSLGGILLCITGTEALFADLGHFPVLSVQIAFTLVVFPCLLLAYSGQAAYLMNNMDHSPDVFYRSIPGTAVVMVFLVTTLLMVLIMILVWRCHWILVLVFTGLSLIVECTYFTAVLFKLDQGGWAPIVIAAAFLIVMYVWHYGTKKRYEFEMHSKISMAWILGLGPSLGLVRVPGIGLVYTELASGVPHIFSHFITNLPAIHSVVVFVCVKYLPVYTVPEEERFLVKRIGPKNFHMFRCVARYGYKDLHKKDDDFEKKLLHNLFIFVRLEAMMEGGSDSDEYSLYEQQTEQSKDGVLNNRNIDSPNLDLTLTSLDSIVSIRSPSPRSSKIEVEELEYLNNCKDAGVVHILGNTVVRASRDSTFYKKIAPVLDRRCPFSFPSLWP >OIV92461 pep chromosome:LupAngTanjil_v1.0:LG19:1135304:1136629:-1 gene:TanjilG_02224 transcript:OIV92461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLSFSIPSKSSSKPNPIKTFNDSSIDSSTPSKTLITQFDPSKPPINPDAKVTVIAPIQDQWRRPRMVNLELPITDPNSDAQSLQFESETLSTEPASDISYGLTLRAPAKRDGGGAEDANDDDRVRRRDPVENVLLQKFKDDLKSLPEDQGFEEFKDVPVEGFGAALLAGYGWTEGMGIGKNAKEDVKIVQFDRRAGKEGLGFVSVDRSSVSKKKDERDDRNKRKNESGRDRDVVDASNEKLGSGEEERYSRKSKESRIRGDDNDKGLRKKHGRDHGKVEEMRVDVNGRKKRDRDRGEVEEMRVEVNGERRKEKRVKNQVSWLTSHIRVRVISKDLKRGRLYLKKGKVLDVVGPTTCDISMDESKEIIQGVSQDFLETAIPRRGGPVLVLYGKHKGAYGSLVERDVDREIGVVKDADTHEFLNVKLEQIAEYTGDPSLLGY >OIV92248 pep chromosome:LupAngTanjil_v1.0:LG19:3686958:3688613:-1 gene:TanjilG_00266 transcript:OIV92248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVEAVDPYVTNPGPLDGSVLYDQDKHVSTAVWEGQERGALRCHEHTSKLDQWTLTPKQIELVEMAGFGYLRSIPAISLDNPLISALVERWRRETNTFHLNVGEMTVTLKDVALLLGLAIDGEPVIGLTYTSCSSVCEKYLGRVPESGYTSGGMVKLSWLKEFFFHCPVDATIEVTEQHTRAYLLYLVGSTIFSTTTGNKVPVMYLPLFENFDRCGQYAWGAAALAFLYRALGNASLKTQSTISGCLTLLQCWSYFHLNIGRPKLNLDLMYDRFPFALRWKGKQSGSTANRDVIFYRKTLDSLKPCDVEWLPYRNLDSMVIPEHIKSSLILGRSKTMLICFDKAERHLPNRCLRQYGMLQSIPDDVERWERKSRGVDGGVDLSGKMESELNEWMDRLLNIVDGDEGVDESEYMDWYLRITRKFIGRPISLSSEFRRTVRI >OIV92416 pep chromosome:LupAngTanjil_v1.0:LG19:1589269:1590991:1 gene:TanjilG_23016 transcript:OIV92416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAKITTPHNDKEYEDEEYVLLDLNHVSSLLDIPPNAKYVLTGLNTLNPTLIIDEKFKLIGEYDETIGTCIAFTEQDNPVVHEETGPSEVNLFSGTRIIDSNQPPTKQVKPLCQLHKVLRFRMSPDSEIQSATAEEAK >OIV92004 pep chromosome:LupAngTanjil_v1.0:LG19:11434784:11437702:1 gene:TanjilG_07743 transcript:OIV92004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTDEEICEALKSVLRESNPRTFTNLNQLLQVLQSKLGGHDLTHKLDFITHQIHLLFGSQQPQQPPPPPSPPLLPQRQFLHPHQPQQQQQPPHKDHFALYQNPNFQTSPTVVPASSGFLNFSAGTVSPVKHAAAAVAVADASGARGEAHSLPVNSEVPKEGTQPKAKRRGGPGGLNKLCGVSPELQVIVGQPALPRTEIVKQLWAYIRKNNLQDPSNKRKIICNDELRVVFETDCTDMFKMNKLLAKHIITLEPTKQPVPKKQKVEVESGTISGQPAPSVIISDALANFFGIAEREMLQSEVLRRIWEYIKVNQLEDPVNPMTIVCDAKLQELFGCESISALGIPEVLGRHHIVRRS >OIV92470 pep chromosome:LupAngTanjil_v1.0:LG19:1063149:1066058:1 gene:TanjilG_02233 transcript:OIV92470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSGSRLPTWKERENNKRRERRRRAIAAKIFSGLRMYGNFKLPKHCDNNEVLKALCSEAGWTVQPDGTTYRKGCKAIECMDIVGGLSSAAAAASPCSSYHPSPCASYNPSPDSSSFPSPSSSPYVANPKNDGNSLIPWLKNLSTASSSPKLSHLYLGSISAPVTPPLSSPTARTPRISADWDDQAARPGWTGRQYSFMPSSTPQSPARQAVDPEWFAGIKLPHVSPTSPTFALVSSNPFAFNDGGGSRMWTPGQSGTCSPAIAVGSDHTADIPMCEAFSDEFAFGSNTSGIVKPWEGERIHEEFVADDLELTLGSSKAR >OIV92390 pep chromosome:LupAngTanjil_v1.0:LG19:2019505:2029116:1 gene:TanjilG_09988 transcript:OIV92390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLTATRSSLEEMLDSLRRRDEEEKPKDLPPALPARPASKARLPPARRTLPNSFKVGNENGKGHRRKGSFGNKKMKMDVESPYIVKSEEKIMCEESPQGQVLTSADTTASVAPPPGELEDDNVAYFIKKKLNVWCRQTKGKWGLGRIQSTSGEAASVSLSNGNVMKVSRLEILPANPDILDGVDDLIQLSYLNEPSVLHNLQFRYSQDMIYSKAGPVLIALNPFKDVHIYGNDYVAAYRQKLLDSPHVYAMADAAYNEMIRDEVNQSIIISGESGAGKTETAKVAMQYLAALGGGSCGIENEVLQTNFILEAFGNAKTSRNDNSSRFGKLIEIHFSTLGKICGAKIQTFLLEKSRVVQLVNGERSYHIFYQLCAGSSPDLKERLNLRVASEYKYLNHSDCMTIDSVDDAKNFHRLMKALDVVRMSKEDQELVFKMLAAILWLGNMSFNVTDNENHIEVVEDEAVTTAALLMGCSSEELMTALSTRKIQAGKDTIAKTLTLRQAIDTRDALAKFIYANLFDWLVEQVNKSLEVGKRRTGRSISILDIYGFESFQKNSFEQFCINYANERLQQHFNRHLFKLEQEDYELDGVDWTKVDFDDNQECLDLFEKKPLGLISLLDEESNFPRATDLTLASKLKQHLNANPCFKAEMGRAFSVRHYAGEVLYDTNGFLEKNRDPLPSDSIQLLSSCTCELLQLFSKMINRYQKQSNSVHAGALDSQKQSVGTKFKGQLFKLMHQLESTTPHFIRCIKPNTKQHPGIFDEDLVLQQLKCCGVLEVVRISRAGYPTRVTHQEFARRYGFLLSEVNTSQDPLSVSVSVLQQFNIPPEMYQVGFTKLYLRTGQIGALEDKRKQVLHGTVGIQKCFRGQKARSCYHELKNGVATIQSFVRGEIERRKYGVMVKSSMTISSEKIEEIQAVIVLQSVVRGWLVRRQIRGTDKFKIYPENARSRRRSRTKMAEVKDMSQNQPSALAELEKRVIKAEATAEQKEEENAKLREQLKQFEGRWIEYETKMKTMEEMWQKQMASLQMSLAAARKSLASENGSSQPVRYDVASPLCYDSEDNTSIGSRTPGARTPAPSTPMKYSSSFSVGAGRAANGTSIGSLTPGPSTPMKYSSSLSVGAGRGANGTLNSSVSTLMKEFDHRRHTFDDDARTLLEVRSSQSGNTNSIEEYRKLKHRFEAWKKEYKVRLKETKVRLHKLGNSEVEKSRRTWWGKISSIAL >OIV91533 pep chromosome:LupAngTanjil_v1.0:LG19:17951017:17984506:1 gene:TanjilG_08945 transcript:OIV91533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPEQTFIRVQERFSHMLTPKIRIALEYLYLFIAITLFCILVVMHANYVQQITSDGLWFHNDSELTRIGPPESEIIKDKIEVSGINVDELTLLASKFWWNWIGFGARRGKLVFKFWKTDSEVEHQVETSAGSQNTRPVVEEAAHKEESRNGFGLSAKETLKAAVVHFGKKWYRRISFIWRHTKQLIGSFQKLWGYFLLPESAKSRHNIRTVNVSISAWHSCFGNRWQQLLINRFVGYDTILINSLLSSPGQGYLYNYQTKEFYNLSYAQEPLEGPARFGDYLVTKCGVLMMSLFVFFTTTMSVSFTLRETQTRMLKFTVQLQHHARHHLPTFQLIFVHVIESLVFVPFVISPNVCASIETVPDWISKVGNNKALESGCKPDLTDLSLCDVCLSAGLQVKQELISIDGNASHSIDCFYFTILYAAGFVNEFGPESNGAVTCIFGLSVYSQIGSGGKGHQTLVFGLTGAGVALLVMCCLLGVYVWYDRKYKRKKLGSFKYDLDPEEQGSRPRMRPNTGSIWFKIEELQKATDKFSNKNFIGRGGFGLVFKGTLADGTVVAVKRILESDFQGDAEFCNEVEIISNLKHRNLVPLRGCCVVDENENPDESGSQRYLVYDYMPNGNLEDHLFVSTDPQKANKSLTWPQRKAIILDVAKGLAYLHYGIKPAIFHRDIKATNILLDAEMRARVADFGLAKQSREGQSHLTTRVAGTHGYLAPEYALYGQLTEKSDVYSFGVVVLEIMCGRKALDLSSTGLSRAFLITDWAWSLVKSGNIEEALDSSLLEDGDHPSSNPKSIMERFLLVGILCSHVMVALRPTISDALKMLEGDIEVPTIPDRPMPLGHPSFYANDCNTFSISPALSGPKLQAIDMLSELLSKQRQHFFWEFIHIWLNTNNNNNDDDVHDDVTSYSAKACVNKIVEHGKLLLTDPLSSFFQFSLILRSASPTLLLYRQLAAKSLSSYPRRRDVAVQLESPEGRKCCWVDNGYTLFFDLAGLLVWLQSPDQRVGDSYQRLEVFDFDHVHFDSSVGSPIAILYGALGTSCFEEFHSALVAASKQGKVKYVVRPVLPSGCEAVGHCGSVGANESVNLGGYGVELALKNMEYKAMDDSTIKKGVTLEDPRIEDLSQEVRGFIFSKILERKPELTSEIMAFRDYLLSSTVSDTLDVWELKDLGHQTVQRIVHASDPLQSMQEINQNFPSIVSSLSRMKVVDSLRDEIMANQRMIPPGKSLMALNGALLNIEDIDLYLLLDLVHQDLLLADQFSKLKIPHSTVQKLLSTLPPSESSMFRVDFRSTHVHYLNNLEEDSKYKWWRSNLNEMLMPVFPGQLRQIRKNLFHAIFVLDPATTCGLEATLQSIDKIISLYEKNFPVRFGIVLYSSKYITQLENHSSKEVDNKFEEDISTLIIRLYNYIKGNYDIQMAFEFLSNVKKLSIESDSDVDDAIERHQVEGAFVETILPKVSTPPQEILQKLENEQKLKGLSQESSMFVFKLGLSKLQCSLLMNGLVIDPTEEALLSALNEETQRIQEQVYYGQIKSHTDVLSKFLSEAGIQRYNPQIISDSKPRFISLSTAIFGEASVLNDIDYLHSPGTIDDLKPVTQLLAVDITSRNGLKLLRQGLNYLIDGSKDARVGLLFTASQSTDLSSLLFVKVFEISTSSYSHKKNILDFLDQLCSFYEQKYIVTSVSEVDNTQAFIDKVCELAEANRLPSKGYRSALIEFPAEEVRKHLSKVEKFVNRVLGIESGVNAVFSNGRVTYPIDERTLLSADLHLLESIEFKQRTKHIVEIIEEVKWLDVDPDMLTSKFISDIVMAISSTMATRKRNSDSARFEILNDQHSAIILQSENSSIHIDAVLDPLSPTSQKLSGILRVLWKYVQPSMRIVLNPLSSLADLPLKNYYRYVVPTMDDFSSTDSSIDGPKAFFANMPLSKTLTMNLDVPEPWLVEPVVAVHDLDNILLENLGDTRTLQAVFELEALVLTGHCSEKDHDPPRGLQLILGTRSTPHLVDTLVMANLGYWQMKVSPGVWFLQLAPGRSSELYILKEGDDETQDKQSSKLITINDLRGKVVHMEVVKRKGKEHEKLLIPDDDEHPQDNKGGSTWNSNLIKWASGFISSNEPSKKAEISSPERRDGRHGKTINIFSIASGHLYERFLKIMILSVLKNTHRPVKFWFIKNYLSPPFKDLIPQMAEEYGFEYELITYKWPTWLHKQKEKQRIIWAFKILFLDVIFPLSLEKVIFVDADQIVRADMGELYDMDLKGKPLAYTPFCDNNKEMDGYRFWRQGFWKDHLRGKPYHISALYVVDLKKFRETAAGDNLRVFYETLSKDPNSLSNLDQDLPNYAQHAVPIFSLPQEWLWCESWCGNATKSKAKTIDLCNNPMTKEPKLQGARRIVSEWPDLDLEARRFTARVLDDDQELVQSPNQSEDLTTKDSSKDDLEWKAEL >OIV91845 pep chromosome:LupAngTanjil_v1.0:LG19:13482839:13487083:1 gene:TanjilG_17837 transcript:OIV91845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLFKSKPRTPAEIVRQTRDLLLFVDRNIEVRESKREEKMLDLYKNLRELKQILYGNSESEPVSEACAQLTQEFFKENTLRLVIKCLPKLNLEARKDVTQVVANLQRQPVQSKLVASDYLEKNMDLMDTLMVGYENTDMALHYGAMLRECIRHQIVAKYVLNSPRMKKFFDYIQLPNFDIAADAAATFKELLTRHKSTVAEFLSKNYEWFFAEYNSKLLESSNYITRRQAVKLLGDMLLDRSNSAVMTRYVSSRDNLRILMNLLRESSKSIQIEAFHVFKLFAANQNKPADIVGIFVANKSKILRLLDDFKIDKEDEQFEADKAQVMREIASLEPRT >OIV91859 pep chromosome:LupAngTanjil_v1.0:LG19:13630545:13635739:-1 gene:TanjilG_17851 transcript:OIV91859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEEIAPIFVSWEEQVICPERGNRVTHFYLKDAFGNSVLAVVGTERSVRHMVYIVPDHFLQTYGSNESINAVKWRARREVVDWLTCLTLQNLPCRASDQVDGSGQAVESLGLLKAGIHANKKLLPAKVISRKLKFQRSDIEWSGIAWFCHKQLKHYPGFCRNGTTINVHSFVHIMAQEERPYLGYVEDMYEDKKKQRKVKVRWFHHGQEVKHMIPELNLQDGEVFITPHVQVISAECINGPATVLTAQDYEKYLACMPHTSLYEVHMCSRQFKNNKHKPFSLTKLHGYSNQPVLSCLYSPILSKRKADCLKPLMEDDENFTQDDPLLSSSKRKRSSKGHQVLEKCYPDLKNSAPLNEMTKCEPKYPSLKLKLSRKTMGINVIGSKPQCEPPFKVDEMIEVLCQDSGIRGCWFRCKILTASQNRLKVRYDDVLDVDGLEKLEEWVRAFKVAAPDKLGMRSSGRLTVRPCPPEDTTDHIFEIGAAVDAWWSDGWWEGVVTAVNFCGVGVLLVYSPGEDKFLTVEKKNIRISRDWVGNKWVDIPGKPNIYSYISSNVSSSIRLSANSAMIDGSISNFESKPLSDPKVEVAEKVEQELSVLEAPDDPVESRKGMTTKPLHAIREDKDYNSNGGCDRDNGNVDADMKLLHAIHEEDKDNNSGAGSEGDPDNDGGRRGGNDGIQETTEENLKEETLASAEPKLDAAEAIQVA >OIV91729 pep chromosome:LupAngTanjil_v1.0:LG19:16605208:16609513:1 gene:TanjilG_26582 transcript:OIV91729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLSDSPIADDSDNDPSLEEDDPDEEDDPEEEDVEEVEEVEDEEEEVEEVEEEEEVEEEEEVEEEEEEEEVEEEEEEEVEVEEEEEVEVEEEVEEEEEFEEEVEEEEEEEEEEEEEEEEEKVVEEDKVTVQDESRAPDNDRKDSCLHMESPGQDGNLKPVSSMAENNEVMPSSSMHLSEKNEAILEASVPSCETLTLNKEEFHSKHEISSNPKSRENATIVEGGNSQGLNVDTENAGSLPQSKTFVSCNELSCNNEAELCGVEDMDARATKSSNNTGKDVAIGLPERDDFVKDAVGGQKSKNEVMLSEMETSDSVKEKTPRRTRSGSPNAEMKDGNKRLRHVCDFFAKGWCIRGSSCRFLHIKDPVNNTDQETDGDSITANQTRELELKEGVRGNVERSRTNEQEASPTWHPSQEKQKFPLRDNLLQESRFAFNASSNYFNTNHSSYSTRSEGMATAQNQHMYNGYTSAILSHSPNSSLAAQFPATSMSLSHRSGISLPFSSSYSDRDYHAPRSTFSVSGQEDLPLGSSSSRGPPHSSGYKSKVDSYDWEPSVPFQPSFFITSMSGSSPGDLYDPLRDSIEIPNIGDGSLKASLLIHRPSVEAPPQVQTYGDSAVVGKHKSDVNDAKSSVSSHIRINENELSKRSVPHEKDCLVTEAEITSGTDENYQNSKIGMEQYTIDVEDFTTMEKERTGRDTRHHGEGSGHKKRRVDRDKKISEMDVDSVLDGSLQKESKALKNFHAVLVDHVKDLLKPYWHEGHLSKDAHIVIVKKSVDKVISTLEPHQIPTTIDTAKHYVSSCRMKIAKLVDGYVNKYSKS >OIV92105 pep chromosome:LupAngTanjil_v1.0:LG19:7124856:7130218:-1 gene:TanjilG_27260 transcript:OIV92105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPPPPPPVTLPEFHPSPLPFNSFLVKSFSLSVSFISSEQAKTWLVKPSSRPSPAPAPAPSYQGPSLTPRPRHYRHRGHHHSMTPNTVAPPPSKDQACVCTEPLTSTPYGSPCGCVFPMRVRLSLDIALYAVFPVMNELEIEVALGTYLEQSQVKIMGASADSQNQGRTNVDIYLVPLGEKFDDTTAALTSERFWHKKVPLNRSIFGDYTIVYLTYQGFPPSPPYGNYDGSDPSESPGGLPLSANFDTKNQKMNLRTIIIIALSSFVLLLVLVGACFVILKWRKVGKPSSAVGPTFTSSINKRSGLGSMLSSSITSSTSVSLMSTMPTSILSAKTFSLSELEKATDRFNSKRVLGEGGFGRVYSGTLEDGTEVAVKMLTRDNNQNGDREFIAEVEMLSRLHHRNLVKLIGICIDGRRRCLVYELVHNGSVESHLHGVDKKDEPLDWEARMKIALGAARGLAYLHEDSNPRVIHRDFKASNVLLEIDFTPKVSDFGLAREATEGSHHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSFGVVLLELLTGRKPVDMSQPEGQENLVTWARPLLTSREGLEQLVDPSLSGTYNFDDMAKFASIASMCVHPEVTHRPFMGEVVQAIKLIYNDTDHDTYGDCFSLKDSSAHESDYRGGNLAPSDSSWWNDGGLTPRLTYGETSFITMEYSSGPLQMENRQFSTSSLVEDISVPIRHGNMSGPLRTNRSKLSLYRFTGSRSEHGEFSARREW >OIV92501 pep chromosome:LupAngTanjil_v1.0:LG19:698768:700180:1 gene:TanjilG_02264 transcript:OIV92501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANHKSPIKFDMKNVVFVKPSKPIHSSILSLSTVDNRPDLDSISKVVCVYQSTNNHDFIVDQLDPTFVIKEALSKALLYYYPFAGRLVKYNDGNYRIHFNADYGVPFMEAYADCHLSSLQYMDGNDMEISKYLVFDLPLEDKNGHQYPLLFKVTKFLCGGFTIGLGLSHRICDGFGGAKFIKAMAELARGNSEPFVKPVWERERLVGSITTKQPFQILLDKASAATSPFGPNTTLVHECIKVDYDSIRRLKMKLVKENENENETMEHNFTTFEALGACVWRSRARALELNNKGKTILSITMGMRGYLDPPLPEGYYGNAIMDAYLALTVRELNEISLSKIAKLIRETKKAKNTVDCIKNSINTSYPSLNYFNNFCHAFTSLVDWTHLGLMEKIDFGGNKLVNMIPIPCEESGSLYECIFTPPAKLDTSMKGGVKIFLSLPSAAMLKFKEEMEDLGLIKPFARDWWVNDKS >OIV92328 pep chromosome:LupAngTanjil_v1.0:LG19:3110135:3112300:-1 gene:TanjilG_10538 transcript:OIV92328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNNQSGSTTSHEAQDDDQDDEQMIREIHALTPTHPPPPTRHNHRSSSMSIASTEGGASSENFTSMSREFSALVIAGSSIDHNNMSPMMTNYGHENEGGGRNNNNNNNNNDNNNLGRIGEDDLMEETNPLAIVTDNHPFDSVPSSMRHGGGGGGGGGRGQSGEEVVSVQRVKKEEVDAKISAWQNAKIAKINNRFKREDACINGWESEQVQKASSSMKKIERKLEEKRARALEKMQNKVAKARRKAEEKRASAEAKRGTKVARVLEIANLMRVVGRPPAKKSFFKVV >OIV91553 pep chromosome:LupAngTanjil_v1.0:LG19:17776028:17780674:1 gene:TanjilG_08965 transcript:OIV91553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMMKSMRNLVHGLKPALLMVLVQIANAWVNVMYKLAVNDGMSLRVVVAYRYIFATAFIAPLAFILERKKRPKMSWTILFQAFLCGLFGGALPQNLHMEALALTSVTFTTAVSNLIPAITFILSLLFRLETLNLRAAGGRAKMIGTIIGIGGAMVLTFIKGVEIKMLSFHINLFNHKNNHVVHPQASSHAMFILGAFSSFASNISYALWLIIQGIVVSGIMVAAISWCVRTRGPLFVSVFSPLMLVVVAFAGSTILDEKLYLGSIIGSVLIVCGLYIVLWGKSKEMRKNIQSVSPNGHHDFKTVEIIVKSQVLDNANHENYNTLNNIGGKEVISRNTCLNT >OIV92578 pep chromosome:LupAngTanjil_v1.0:LG19:30789:35908:1 gene:TanjilG_02341 transcript:OIV92578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSIDHVLHLSHNEPEPEPEAAEAEAGVVLVQFLFSILSQLLEATLHDEGLLNHHHMDHMDMDNNNNNIIITEEKEEKDDNKTENTEESHRANTAMAIEIIAHFLQNKITSKILSLHHRNMPSKWGSFIQQLQILASKSLVLRNLKHITPEALLLSTQNIISHESRPPKSKLDSNGLIAAGQSYGDPCSSLWLPFDIILEEAMAPGQVSAFSAVDILAGLVKSLHAVNGSTWHNAFLALWVAALRLVLRGRDSNEAPFCIDTRLCMLLCITVLAVTKVIDEEEGELIEEAEHSRTNQRRDKQRRREMVTSLQLLGDYECLLAPPQSVVLEANQAAAKAIMFLSGNPVCNINDLPMKCSGNFRHLIVEACIARNLLDTSAYTWPGYVNACNNQIPCSISSQVPGWSSLMKGLQLTPELVNVLVSTPASSLAEIEKIYEIAIKGSDEEKIYAATILCGSSLVRGWNVQEHTVLFIIKLLSPTVPPNYSGTKSHLISQAPLLNVLLVGISSSDCIHIFSLHGLVPLLAGGLMLICEVFGSCVSDASQTLATGEELSYLEVFSNAFTLLLRFWRFDLPSHEHLRRDGGPPFGSLICPEYLLTVRNSKLESCGRSAKDKLKIKRQSKIISFSKESVFMHSFPKLNFWYRQHQECIASIRSGLVPGGPVHQIVDALLNIMFRKINKGAEPLTSMPLGSSSSSGSDMDDDALVKLKVPAWDILNVIPFVLDASLTACAHGRLSTRQLATGLKALADFLPASLVTIASYFSAEVTRGVWKPAFMNGTDWPSPAANLSLVEQQIKKILAATGVDVPSLVVDGDSPATLPLPLAAFVSLTITYKLDKASERLLKLISPAMSALASSCPWPCMPIVTSLWVQKVKRWSNYLVFLTSGTVFHHNRDAVVQLLRSCFTSTLGLGSASASIYNNSGVSALLGHGFGCKTPGGVSPVAPGILYLKVYRSIGDISFLTEEILSILMLSVRDIASSELPKAKGDVKKTKHGMKNGQVSLARSMQHVKHAAILGASLLWISGGPKLVQSLMKETLPSWLLSTNMLEMDGGESGVLVAMHRGYALAYFAILSGTFAWGIDNSFICSKRRAKVLSRHVKFLASTLDKEISLRCHCATWRAYVTGFVSLMVACTPLWVPEVDADILKRLSRGLIRLNEYELAFRLLEIGRIAVMGAAAELIIELQHTF >OIV92476 pep chromosome:LupAngTanjil_v1.0:LG19:1007446:1007685:-1 gene:TanjilG_02239 transcript:OIV92476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQVNARDQRLLKEPDYFDVKAVIVKGMVNGDGKRQINKLQGCPYGFDCQNYHCHIGLQRHKAKSKFTRKKELPFWWIL >OIV92234 pep chromosome:LupAngTanjil_v1.0:LG19:4940662:4942035:1 gene:TanjilG_31153 transcript:OIV92234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGI >OIV92064 pep chromosome:LupAngTanjil_v1.0:LG19:7646485:7647870:-1 gene:TanjilG_08737 transcript:OIV92064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGFGAKPTKFVLCTALSSCAKTLNWRFGIQIHAYMIRSGYKDNLFLSSALVDFYAKCDAIVDARKVFSGMKKHDQVSWTSLIAGFSINGQGRDALLLFKEMLGTEIMPNCFTFASVISACVGKSGALEHCSTLHAHVIKRGFYTSNFVISSLVDCYANSEQIDDAVLLFDETSEKDTIVYNSMISGYSKNLCSEDALKLFVEMRKKNLSPTDHTLSAILNACSNLAMLLQGRLVHSLVIKMGSQRNVFVASALIDMYSKGGDIDAAEFVLAQTSKKNSVLWTSIIMGYAQCGRGSEALELFDRLLSEQTFVPDHICFTAVLTACNHAGFLDKGVQYFNKMRTNYGLSPDIDQYACLIDLYARNGNLRKARDLIEQMPCDPNYVIWSSFLSSCKIYGEVELGSEAADQLIKMEPCNAAPYLTLAHIYARKGLWNEVAEIRSLMHKRRIRKSAGWSSVEVDL >OIV91959 pep chromosome:LupAngTanjil_v1.0:LG19:12467966:12471857:1 gene:TanjilG_23220 transcript:OIV91959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYAETGLFFPYLQNFSQELHQLEEYCKTQKSNVSMNDLVQSSAMAEYDFAAEGDLFKAPEPIIEEPFMDLDPMTAAISMMSCGEGVSSQGLQPTDIDVLQKEQLLSDMLYECEKDLLEKAAIESPFSEILEIKAPALNTDEYSIQEDKPFPDMPKSVSSVSLSSMDWMYGAAIKPAFLDFPGIDFNSDYGMRRSFSEGDIKTLGNGNLNIVQSPRERPFLISNCTSEERQEKLSRYRNKKTKRNFGRKIKFPNSTNDILRFQYACRKALADSQPRIRGRFAKTEESDVKRQ >OIV91649 pep chromosome:LupAngTanjil_v1.0:LG19:14852996:14854393:1 gene:TanjilG_26502 transcript:OIV91649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADEQVQVAVFAQTNLDTRIAFNTPLDITASAFKRDFERVHFTCLPNIGEIQVNGLMVKQKSCFYYLPDSLPLKYAFPGTRSTWFIHVEVRHLKNPCTSTKRK >OIV91595 pep chromosome:LupAngTanjil_v1.0:LG19:17496169:17499102:-1 gene:TanjilG_09007 transcript:OIV91595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESFALHSLSSPISLSLHHHNHSSYSFIPRSQFSLPFKPTSLKSSQNFSFPSKASTFTLFSKPHHNPIHASSSKSPPSTPSPITIQGAKPIPFIISISLGLILRFLVPKPIEVTPQAWQLLSIFVSTIAGLVLSPLPVGAWAFLGLTTSVVTKTLTFSAAFGAFTNEVIWLIVISFFFARGFVKTGLGDRIATYFVKWMGKSTLGLAYGLTFSEVLIAPAMPSTTARAGGVFLPIIKSLSLSAGSEPGPTSKRLGAYLIQNQFQSAGNSSALFLTAAAQNLLCVKLAEELGVVISSPWVTWFKAASLPALICLLATPLILYKLYPPEIKDTPEAPAIAAKKLENMGPVTKNEWIMVGTMLLAVSLWIFGDAIGIASTVAAMIGLSILLVLGVLEWNDCLLEKSAWDTLAWFAILIGMASQLTNLGIVSWMSGCVANSLQSLSLSWPAALAVLQGAYFFIHYLFASQTGHVGALFSAFLAMLRAAGVPGVLATLALGYNTNLFGAITHYSSGQSAVYYGAGYVELPDIFKYGFLMAVTNAIIWGGVGSVWWKFLGLY >OIV92548 pep chromosome:LupAngTanjil_v1.0:LG19:283695:286925:1 gene:TanjilG_02311 transcript:OIV92548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVVVHVIDAHDLMPKDGEGSTSPFVEVDFENQLSRTITIHKNLNPTWNHKLFFHLDTTKPGHRQIIEVSVYHERRPIPGRNFLGRVRIPCSNLVNEGEEVYQTYPLENKWFFYPVKGEIGLKIYIASPSKPKDRSSFFHSELEKLPPSISPQVQDSTITNLLHCTPSTKENICLTQKTLESDPKVEGLAFDTSQAITEAEEKVNSVTLSNFPAAATQSGCIDTDPEPKEERVEPVLEVTQQLHKHQVHQQPMISIRRRQRGTPATMQPVNHQVHPGSRNPPVNHQVNPSSHDEDYSLKDTNPQLGERWPNGGRGWMSGGSERFASTYDLVEQMFYLYVRVVKAKDLPTSALTSSCDPYVEVRLGNYKGRTKHFEKKMNPEWNQVFAFSKDRIQSSFLEVFMKDKEMVGRDDYLGRVVFDLNEVPTRVPPDSPLAPQWYRLEDQRGEGRVRGDIMLAVWMGTQADEAFSDAWHSDAASVFGEGVFNIRSKVYVSPKLWYLRVNIIEAQDVIPSDRNHLPEVFVKAQVGNQVLKTKICPSRTTTPLWNEDLIFVAAEPFEEQLTITVEDHVHPSKDEVLGKIMLPLTLFEKRLDHRPVHSRWFNLEKFGFGMLEADRRNELKFSSRIHMRICLEGGYHVLDESTLYASDHRPTVRQLWKQPIGVLEVGILGAQGLIPMKMKDSRGSIDAYCVAKYGQKWIRTRTILDTFSPKWNEQYTWEVYDPCTVITLGVFDNCHLGGREKAPGESVARDSQIGKVRIRLSTLEAHRIYTHSYPLLVLHPHGVKKMGELQLAVRFTTLSLANMIHIYGQPLLPKMHYLHPFTVNQIDNLRYQAMNIVAMRLGRAEPPLRKEVVEYMLDVDSHIWSMRRSKANFIRIMSLFSSMITMGQWFNQVCHWKNPITSVLVHILFLILIWYPELILPTMFLYMLLIGLWNYRFRPRHPPHMDTKLSWAEAVHPDELDEEFDTFPTSRPHDVVRMRYDRLRSVAGRIQTVVGDIATQGERFQSLLSWRDSRATCLFLAFSFCSAVVLYATPPRVVALVAGLYYLRHPKFRSKLPSVPSNFFKRLPARTDSML >OIV92177 pep chromosome:LupAngTanjil_v1.0:LG19:5430757:5462710:-1 gene:TanjilG_30818 transcript:OIV92177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTSIPAPEAVQVLVSLLSDDSSTVRKSSMSSLKDVAALNPLLVLECCAAVSRGGRRGFGNMAGVFQVMAFGVRALDKRDVDPVFMAKLAKIATAEMISSKELNSDWQRSATSLLVAIGSHLPDLMMEEIFLHLSGTNAALPAMVQILAEFASADPLQFIPRWKGVLSRILPILGNVRDMHRPIFANAFKCWCQAAWQYSTDFPSHFPLGGDVMSFLNSAFELLLRVWAASRDLKVRVASVEALGQMVGLITRTQLKAALPRLVPTILDLYKKDQDIAFLATCSLHHLLNASLLSDSGPPMIDFEDLTLILSTLLPVVSFNSDSNEQSDFSVGLKMYNEVHHCFLTVGLVYPEDLFLFLVNKCRLREEPLTFGALCVLKHLLPRLSEAWHSKIPLLVEAVKSLLEELNLGLIVVMASHCYLVGSSGELFIEYLVRHCALTDQYWSDFESIPYKKTEMKIGAVTPAELRAVCEKGLLLVTITIPEMEHILWPFLLRMIVPRTYTGAVATVCRCISELWRHRSYGNDMLSECKNRPDMPIAEELLARLIVLLHNPLAREQLATQILTFLAESLDVIQDADWVMSLGNVFAKHYELYTSDDEHAALLHRCLGILLQKVNDRAYVHDKIDLMYRQASIAIPTNRLGLAKGMGLVAASHLDTVLEKLKDILDNVGQTIFQRIMSLFSHSFRTEESDDIHAALALMYGYAAKYAPSSVIEARTNALVGTNVLARLLHVRHPRAKQAVITAIDLLGNAVINAAESGAPFPLKRRDQLLDYILTLMGRDDSDGFADYNEHLRTQALAISACTTLVSVEPKLTVETRNHVMKATLGFFAIPNDPVHVVNPLIDNLIALLCAILLTSGEDGRSRAELLLVILRQIDQFVSSPVEYQRKRGCHAVHEMLLKFRLVCVSGYCGLGSQGSRLHNKQIDRTIYGNFSKLPSAFVLPSREALCLGDRIIMYLPRCADTNSEVRKLSAQILDQLFSISLSLPRPAGLSMSAEAIELAYSALSSLEDVIAILRNDTSIDPSEVFNRIVSSLCILLIKDEAIVLYYLVATLHGCSAAICDKIKQSAEGAIEAVVELVTKRGNELTEIDIARTTQSLISATVYATDKHLRIETLGAICTLAESTSPKTVFDEVLATAGRDTVTKDISRLRGGWPMQDAFYAFSQHMVLSILFLEHVISVLTQTPILKGDVDKVEDSQIDNNTEDGKLQAAIFALTAFFRGGGKVGKKAVEQNYASVLSELTLQLGSCHGLANSGQHGPLRNLLAAFQAFCECVGDLEMGKILARDGEITENERWVNLIGDIAGCISIKRPKEHCHFRSTRLRFVSPHAYCTTMLAALHLASQLNCTFTGCPPLRHTYIARICLDMIFCLGRPQKYQREAAAAALSEFVRYSGELGSLLEQMVEVLCRHVSDESSTVRRLCLRGLVQIPSIHILKYTAQLLGVILALLDDSDESVQLTAVSCLLMILESSPDDAVEPILLNLSLRLRNLQTSLNAKMRASSFAAFGALSNYGIRGLNEAFVEQVHAAVPRLVLHLHDEDVSVRLACRNTMKQVCPLIEIEGLVSLLNTHSFLSDHRSDYVDFLRDIAKQFMQYLPSRIDTYMASTVQAFDAPWPIIQANAIYFCSSMLSLSDNQHILAVYHTQVFGMLVGQMSRSPDAVVRATCSSALGLLLKSSNSTWRAVHLDRLESTTRNHELES >OIV91520 pep chromosome:LupAngTanjil_v1.0:LG19:18070491:18076610:1 gene:TanjilG_08932 transcript:OIV91520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFCYSAVSTSVAVQNQTINGSVTAKSVPRPSGLAKPNNFTSIHRNDRSNRTLSNTVADTKRIPNKGPHLHPKFQAATHPSSYHQMGRFSSSANPRQHDFPHTNNYRPNGSVSGANAGHTLTDKFWSRESEMPKELTRGPRCNYNNFVAESSAVKDDIEIPFLLRDQYNLPDFQTEYTTAKFYVIKSFNEDDVHKSIKYGVWTSTPIGNKKLNASFHDAEAASTQTDIKCPIFLFFSVNGSGQFLGVAEMFGPVDFAKDMHFWKLDQYNGFFPIKWHIIRDVPNHLLRHIILDRNENRDVTFTRDTQEIPLKQGVEMLKIFKGYSGKKSLMDDFNFYEKREKEYREKREKELREKKSTKHTRPEHELYGNDNYYKSVRGRDRTETRSSEGREGFCPNKGEEECEKLLKDRSMLHDSKDRFEEEEESDCDENRPTKISKTRHKNINSKSMNGKVQRYLVAIEYIGTHFCGSQQQPTHRTVIGVLQDAFSKFIGQPISITSSSRTVSILFFYSLLQNLHYICAADDDDNGVRDAGVHALSNVCHVDIERISKRKPGELLPPHEPHVVRRAVNHFLQHASDVTIIDVRCVPSDFHARYKALERTYFYRLLSGPESLSTFEKDRAWHVPEELNLRAMQEACRVLVGHHDFSSFRASGCQAKSPIRTLDELSVCEVISSQYFPSVKDREQHNKVSDDLHGCPSNSETDSPLSSLASIDKVTTLSNDVGFGKRRSHRCLVVTARARAFLYHQVRLLVGVLKAVGTGSLTISDGTDHSNPFRI >OIV91591 pep chromosome:LupAngTanjil_v1.0:LG19:17532885:17535729:1 gene:TanjilG_09003 transcript:OIV91591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWESGSEAGQEYGAGILSSSKHSVRTEGFVQRGHSWYVATDIPSDFLVQIGEAKFHMHKYPLLSRSGKLNRVINESRDRDLNNILMDDIPGGPEAFELAAKFCYGVAVDLTAGNISGLRCASEYLEMTEDLEEGNLIFKTEAFLSYVVLSSWRDSIVVLKSCEKLSPWAENLQIVRRCSESIAWKACANPKGVKWSYTGRTASTSSPSRSSNQQVPNDWWFEDVSILRIDHFVRVITAIKVKGMRFELIGAAIMHYATKWLPGLVSDTTIIQGDETSKCSIFNSSSITSSGTSWKGALDMIVAGTKDENSSVQAKEQRMIIESLISIIPPQKDSVSCSFLLRLLRMANMLKVSAALVTELEKRVGMQFEQATLSDLLIPSYDKSETMYDVDLLQRLLEHFLVQEQSESPSPSRQSLSENNMGGGSVNAKARVARIVDSYLTEVSRDRNLSMTKFQVLAEALPESARTCDDGLYRAIDSYLKAHPTLSEHERKRLCRVMDCQKLSIDACMHAAQNERLPLRVVVQVLFSEQVKISNALANNSVKSVDSHTQPIVINRKALLEGTPQSFQEGWVSAKKDINTLKFELESVKTKYLELQNDMESLQKQFDKVMMKQKQTSAWSSGWKKLSKLTKMTHVENHQCLSPKVPVSDEQNRKTTRRWRNSIS >OIV91547 pep chromosome:LupAngTanjil_v1.0:LG19:17837294:17839588:1 gene:TanjilG_08959 transcript:OIV91547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYSGGWRDYSSRGSGYSRFFNSSRGRWNEKDWEKDVDNWNKDKLFVHPNNRKFPGYMDNGSANWFKRDQIRHSPSMTYERRWRMRSAKTPRGLNNPQRNNDKLKTRFEEEFPLLGDKQKHDISRSGRVLSSHSSNSSHGLPTDTSAVIVSDSSTKASLEVPATVGTNGIATKLDQHTISASPSPIDPGLSMAETLAQGPSRSDTRSHESVSTEKREELARRRSRALIPMIPYKPRILVDGPEFKTWKQHYPPTHSPHGGNTKLNGGASSAEKDSANPGGKNSRNITSAAMTTLEKKPTPQSQSRIAFFKNLSMKSSLKNSCPDPFSTAITTPCATEKSAVVTMNSGDAPSMENKIETSAINTENNANACCEHLKSSSKEAQNSVLYPEEEEEIAFLRSLGWEESAGEDESLTEEEIREFVEKVNYSFSIDSI >OIV92430 pep chromosome:LupAngTanjil_v1.0:LG19:1300963:1301876:-1 gene:TanjilG_25160 transcript:OIV92430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDITAKLRSGTDIDSYTIKGTENIIRAGDCVLILHSDLRNPQNVARVEKLRKDNSSNVNVHVRWYYRPEEAVGGRKIFHGANELFLTDHYDVKSADAIEGKCVVHPFNDYMRIENPGAKDFYCRFEYKVITGYFTPDSVPVYCKCEMPCNPDIFMLQCVMCRDW >OIV92483 pep chromosome:LupAngTanjil_v1.0:LG19:923268:927305:-1 gene:TanjilG_02246 transcript:OIV92483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGERKVLNKYYPPDFDPAKLPRARRPKNQQIKVRMMLPMSIRCNTCGNYIYKGTKFNSRKEDVIGETYLGIQIFRFYFKCTKCSAELTMKTDPQNSDYIVESGASRNYEPWRAEDEETDKMKQKRETEELGDAMKSLENRTLDSKREMDILAALDEMKSMKSRHATVTVDEMLEALQRTAADKEKRLEEEDEKLIKSVVFHNSNAFVRRVRDEDIENEEETVQLSNAHGETSSNNPKRQKTSEDLPGNATDAPRKAPLDDSGKQENSHGGGGGKLNPLVRISVIKKPVISDVRSSAEPEQKKNEEDGKTNTTSGLLSLCQNYGSDDD >OIV92290 pep chromosome:LupAngTanjil_v1.0:LG19:2718883:2723200:1 gene:TanjilG_10500 transcript:OIV92290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALMASSRTKLVTIITEASSKWRNYCSSYSTTADATIELDSFFGLKDYVDYRRSIYGEITHKALLVDVVGTLLIPSQPTAQIYREIGEKYGVEYSEDEILHRYRRAYSKPWGKSRLRYVNDGRPFWQYIVSYSTGCSDSQYFEEVYNYYITDKAWHLCDPESEEVFRALRESGVKLAIVSNFDTRLRPLLQALNCDNWFDAVAVSAEVAAEKPNPTIFLKACDMLGVKPEDAVHVGDDRRNDIWGARDAGCDAWLWGSDVHSFKEVAQRIGVQV >OIV92196 pep chromosome:LupAngTanjil_v1.0:LG19:4477575:4482009:1 gene:TanjilG_31115 transcript:OIV92196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDLITQERKDQSLTFEDLVIAKATYEKGTKDEIEEFIFRLLDISGDNFVGKSDLESVVISIFNDILCIKDPEVTSSSDQDIVNIFLSAANFSVHDEGSNEETLSFEDFRRWCARLPSVRKLLGSLLISPDSGRPGFRIPNLLTSKGIDSNIILLRKEYAWHIGGALSQHELEDWKLLYHSAVNGHSFNTFLGHTSNHAGPTVLIIKDKEGNIYGGYASQPWERHAEFYGDMKSYIFQLNPVASIFRPTGANNNLQWCAVNFTSEEIPNGIGFGGRVNHFGLFISANFDQGHTFSCSTFGSPCLSNTSRILPEVIECWGVTQGEAQGKNDAVKGTVLERFKEDRNMLNLVGLANSSE >OIV92206 pep chromosome:LupAngTanjil_v1.0:LG19:4546503:4550124:1 gene:TanjilG_31125 transcript:OIV92206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVALTLRNRTTAFNLLTRSLNSLTQLGGATTTSTRSLETIAYEELKSNPDKPHTSTAVIIHGFLGSARNWRSFSRNLLSTLSDSSASSNWRTVMLDMRNHGRSAERKLNPPHDLDNAAKDLAELVKEEGWRWPEVVIGHSMGGKVALQFAQSCSQGQYGDSVVLPKQIWVLDSVPGAVNSQSDEVRNVLATLQSLPSQIPSRKWLVSHLMGLGYSKALSDWIGTNLKKDGNHETWVFDLQAAKAMFDSYGEKSYWNLLENPPKGMEIVIVRAEKSDRWDENTIQRIQKLASQVGPDSVGKVSLSVLPNAGHWVHVDNPKGLLEIVAPKIASL >OIV91653 pep chromosome:LupAngTanjil_v1.0:LG19:14894930:14897002:-1 gene:TanjilG_26506 transcript:OIV91653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQVTTSSKLHIQISNFRNKLEKKIASSLSQSDLASESAQTKRSVRVLYPAGYGADPTGTNDSSDAILKAIGEAFEMESGVELMPGVKDLGGVVIDLQGGNYTISKPILFPSSGGGNVVVKEGTLRASDSFPTDRYLVELIAPASMHKNRYSNSINLQQIREIYYEAITFRDILFDSRYRGGGISIVDSARIRINNCFFLHFITEGILVQRGHETYVSNCFLGQHSTVGGDEWEKEFSGTAIDIASNDNAITDVAIFSAAIGIVLRGQANMITGVHCYNKATVFGGIGILVKLPGYSQTRIDNCYMDYTSIVLEDPFQVHVTNGFFLGDANIVLKSIRGQIYGLTIVDNMFSGDPNANVQMVALDGEFSNIDQVVIDRNNVNGMRLKSTVGKLTVEGIGPKWVADFSNIMVFPNRINHFHYSFFSQEGPKFLTHSVTDVSNNVVVVESEKPVKGVVSFFVEQ >OIV91713 pep chromosome:LupAngTanjil_v1.0:LG19:16419474:16420850:-1 gene:TanjilG_26566 transcript:OIV91713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVNTFHVAMYPWFALGHLTSYLHISNKLAERGHMISFLMPRNTIPKLEHFNLHPGLISFIPISVPRIEGLPPNSETTADLPFSLHSLLMTAMDLTEPVIEVSLRELKPHMVFYDFTHWLPALACRLGIKALHYCTISPATVGYLISPERKLHEKPLTEADLINPPPSFPPSAIRLQPHEARGLTTITTNDYGKGISFMERQLISLASSDAVIFKTCREMEGPYCDYLENQMRKQVLLAGPVLPDPPTSTLEEKWVTWLGSFQPKTVIFCAFGSECILKSNQFQELLSGFELTGMPFLAALKPPIGAETVESALPEGFNDTTKGRGVVHGDWVQQQLILSHPSVGCFVTHCGSGSLTEAMVNECQLVLLPHAGDQFINARIMSGDLKVGVEVKKSEEDGVFTKEAVCKAVKAVMDNDSEVGHVVRENHVKWREFLLSKRLENSYVDDLVQKLHSMLKS >OIV92572 pep chromosome:LupAngTanjil_v1.0:LG19:115800:119773:1 gene:TanjilG_02335 transcript:OIV92572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGEDNDDVGYITEDPHFFPRFDFDDGNDLDDFGFPNLLHPDAPNLVGEANPNQNHFHGHDHGYLPKSPDFFIDMHSDHQTLLNNNEFPQLLHPHEHDQGTLLENANPNQNHLHVHDVAYVPEEPISPLQIHSHPEEHVAGTLIEDADPSVNHFHGHDVGDFPENTYYPSQERITHENHVHGLELDVEVFPKNTYYAQEHITEEPKHHVERNVTGDFAQSQQEGSKSNSKGNETCRWPGWPGHNVFRLLVPMRKVGNVIGPKGEYIKKIMEETKARVKVLSGPHGIPERAVLISAKEEPDRTIPPAVDGLLRVHKQLFSVEHDSADIASSARHSMITRLLVANSQGISLIGKQGKTIKSIQEASGCAIRVIRSEILPVFALQDDSVVEIQGEAARVHKAVELVALYLRKYLVHHSIVGMQRLDVRVNNNMPPPQTWTPPPQGVPAPPDFAPIYQHMNLPDHYVNYYPHTTLPPADTHLYQGSPPAYATDASMRIHPSSVQPQQFVETKDTQHMQIPISYADTVIGASGANISHVVPGKMTFEISRTSSEILAAQQLVQNDMAGAASVSATQDHIDIEGSVSEGDSSYAPPPPSTDFGQ >OIV91963 pep chromosome:LupAngTanjil_v1.0:LG19:12502934:12503656:-1 gene:TanjilG_23224 transcript:OIV91963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNPRTPLSIPIPSIDKSNRSELLRALESSLGSSFNSQPIHPNPNPLIIVISGPSGVGKDALITRLRSTRRNLHFVVTATTRPMRPTETDGKDYHFVTKDQFLAMVEKDELLEYALVYGDYKGVPKQQIRDFMGKGCDIVLRVDIQGAQTLKKVLGKSAVFVFLVAESEMAMVERLVDRKTETAESLLVRIATAREEVKHMKNFDYVVVNAKGKLENAVKLMESIIDAEKAKVIQRNAIV >OIV91909 pep chromosome:LupAngTanjil_v1.0:LG19:14125935:14128697:1 gene:TanjilG_17901 transcript:OIV91909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESNVGDKTLVKIAVAFDSLATVAADSNNGAAVEVAPFSHACSLVSPLFGCLGIAFKFAEMDYVAKVKDLAEASKSIQTLPSMIDLDVQANCVRNAGSHTRNLLKVKRGLDMVRVLFEQMLVTEGNSLRDPASKAYEQVFAPYHGWAIRKAVSAGMYAIPTKEQLMKKLNEDVPERGWTERK >OIV92463 pep chromosome:LupAngTanjil_v1.0:LG19:1121375:1126169:1 gene:TanjilG_02226 transcript:OIV92463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISSNEAKRLLQEFVEKRDVGLEVVYALSGWLKSSQPSYHVKLVTAPKLADAQQEFDGNCSVHVYSVQASIPKDPAMLWNAEFIQAEELSKQPFSVDNCLRDNRSNEGTGYPHYDVHNDINKPTEDKEKVLPLPTGKRKAQADKSSSVTGSSLASLWGRASAKPKPCSPPAENNNTASKPTVATDGAQTRACEAGEDDSGDDDNHIDVSVKRSTNRRRVIFDFSDEDEDAVNLASPEPIKQSPLDSRQNDKKSSEKATLKFDKQIESKSRVKEETESDQKANQPRREDLSVISKCTSTGKSSTEKVESRAPEILVNKDSQNNAAPCSPKRRRVMKTKIDERGREVTEVVWEGEETEAKKTDKATTKKSDNNTTNAVNSAPASKKSANTISNPTGKGGNKKAGKTKDPKQGNILSFFKKV >OIV92320 pep chromosome:LupAngTanjil_v1.0:LG19:3036891:3044758:-1 gene:TanjilG_10530 transcript:OIV92320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNMRSKPSYENGTVKESEVHSPGKLGKMVSPSSKEFLFICFLVTLWYSSNIGVILLNKYLLSNYGFKFPIFLTMCHMSACSVLSYVSIAFFKVVPQQMIKSRSQFIKIATLSIVFCGSVVGGNISLRYLAVSFNQAVGATTPFFTAVFAYLATFKREAWVTYAALVPVVTGVVIASGGEPAFHLFGFIMCLSATAARAFKSVLQGILLSSEGEKLNSMNLLLYMSPIAVIFLLPTALIMEPNVVDVTLALGRENKSMWLLLLLNSVTAYAANLTNFLVTKHTSALTLQVLGNAKGAVAVVISILLFKNPVTVIGMGGYTITVLGVAAYGETKRRERILLRHLRHVTPIATITGMEGKVWGYCSSEEDIDDDNTDFHLQNASDDDQNHYFSSHSFPKFQPRIAKSKGVWKYDIGMAEIIEKNGKMWVTTGICRSNDTYSSIEETVYLMELGALQLLDDGGDSSLSLMDMYQKVLGRTCGCCWEQFEVYRHLKYLGYIVGRHNVFWSLKGIRSSHKTTALEDTNESKQLVDMGCKVELPIDELFNELQINDFRPDFDVYPPNSRFKKSSPGDPSFLLYLARSHPPSRTGIEIEALEKQCDGIPLKIGRVTGGQVSFFSFDKVELPVLP >OIV92140 pep chromosome:LupAngTanjil_v1.0:LG19:6203791:6205388:1 gene:TanjilG_18712 transcript:OIV92140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAPGSPGGGSHESGDHSPRSNIREQDRYLPIANISRIMKKALPANGKIAKDAKETVQECVSEFISFITSEASDKCQREKRKTINGDDLLWAMATLGFEDYIDPLKIYLGRYREGDTKGSAKGGESSSKKDQPNPNVQLAHQGSFSQGVSYTNSQVTLLFLHVLLGCRVE >OIV91894 pep chromosome:LupAngTanjil_v1.0:LG19:13982380:13986413:1 gene:TanjilG_17886 transcript:OIV91894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGNGNSNGNLPNDIMISILKRLPVKSLFRFKCVSKDWFNLFNSPYFISHHLQYSTLFLLFQRIPLPNLNQSQSPSSSSCLIGQDFKVHNPQLIDFALTDKIIGSCNGLLCVKHTNNHMLSIWNPATREIRQVLEILHDIKSFSYFGFGFSPIVNDYKVVRISVPDEDRILDLDDIRVSRAEVFSLTSGSWREIDATILQTLNLMFNSVTANGVMFWQAFGIDPDAEFVVSFDIGREMFTLLEGPPPPPSTSLTHSHTNVLAVHNNKLAMFHYFINGSSESSSIELWVLEAERWVKQYSVGPFSRILYPLSIWRDEIVCREELHGRVDEYSKVETVLSLFNPYSNELKKLPAQRDEYYYVSFNYAESIVPVANFHHEQ >OIV91614 pep chromosome:LupAngTanjil_v1.0:LG19:17333089:17333439:-1 gene:TanjilG_09026 transcript:OIV91614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKATTIDDSTTYMKELQGKVESLTQELHQMEATSYETTVTKIYEVEVGEDMNKEHCYKDRESKRGSFKKLMEEMNLLGFELIDTNLIIITSALFIEGCMQMTLGKVRTMLNLRED >OIV92437 pep chromosome:LupAngTanjil_v1.0:LG19:1365715:1367847:1 gene:TanjilG_25167 transcript:OIV92437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQNSSKLHIAMYPWLATGHATPFLYLSNELAKRGHKITFFIPKKGQLQLQHLNHFPKLITFNIVQIPHVEGLPLDTETASEIPISLNHLLVIGMNRTCDQVEKALSAMKPDIVLYDTAHWLPQIARRFGIKTVCYNVVCAASLAIALVPARNFPKDRPITVEELSQPPPGYPSPKLVLRGHEAKTLLFLSMPWGEGLTFYESITTAMKESDAIAIRTSKEIEESLCDYISSQYKKPVLLTGPVLPKESNQEKLEEPWCKWLDEFRQGSVIFCAFGSQIMLEKSQFQELLLGFEITGLPFLVSLKTPQGCETIEEALPEGFEERVKGRGVVSKGWVQQPLILNHSSVGCFVNHCGFGSMWESLMSDKQIVLIPHLGDQLLSTKLLVEELEVAVEVKRGENNWVSKENLSESIKLVMDKDSEVGDRLKKNHKKWMDVLAKPGFMDGYIDSFVQSLQQLRKK >OIV92249 pep chromosome:LupAngTanjil_v1.0:LG19:3734275:3759065:-1 gene:TanjilG_00267 transcript:OIV92249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIPQAVDDIVTTSLAFMVKVTRSVERGKVVAGMLKEDDGFECHDQVVSGIDWSRRSNRIVTASHDRNSYVWNLEGSEWVPTLVILRLNRAALCVQWSPEENKFAVGSGAKTVCICYYEQENNCVSCNCILVCSLSGVLTKSHLVFATSTIASKIELVHAPPSSPLIAASSQILLATTSTDGKCRVFSTFIKGVDAKDSKKVTSSDFKFGELIVQLDLSSSWTFGVKWSPSGNTLAYVGHNSMIYFVDDVGPSPLAQNVVFRDLPLRDVLFVSEKMVIGVGFDCNPMVFAADERGIWSFIRYLGEREVVSSGSSYGSQFSEAFGKFYGQSKHGASNDAVETSKTRGFYLAYDGAGNIDKAFQHIWTGWKDCSVEFGKCARSIGIISTLVNCGI >OIV92553 pep chromosome:LupAngTanjil_v1.0:LG19:241582:243959:1 gene:TanjilG_02316 transcript:OIV92553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIWFLISDPDVILKASVFVVLCKFFAHGACLKGEHCEFSHDWKVPANNICTFYQKGVCAYGSRCRYDHVKASRSLSSTPSSSVTEHQPVVLDSAVFANTIITSNGIPTTADDFPSGGPYLLPSQPAWIQESEYQDFLGEDDVAQSRITSPSELSICSFAAAGSCPRGEKCPHIHGDLCPTCGKHCLHPFRPEEREEHTKSCENRQKHLEALKRSQEIECSVCLERVLSKPTAAERKFGLLSECDHPFCISCIRNWRSSNPTLGMDVNGTLRACPICRKLSYFVVPSVIWYSTNEEKLEIVDSYKAKLKSMDCKHFDFGDGNCPFGTSCFYKHAYRDGRLEEVVLRHLGAADGDTVIAKEIRLSDFLANMHLR >OIV92259 pep chromosome:LupAngTanjil_v1.0:LG19:3946968:3947165:1 gene:TanjilG_00277 transcript:OIV92259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESAVKHAVVVKVIGRTGSRGQVTQVRVKFLDDQNRLIMRNVKGPVREGDFLTLLESEREARRLR >OIV91755 pep chromosome:LupAngTanjil_v1.0:LG19:16817884:16828736:-1 gene:TanjilG_26608 transcript:OIV91755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMNQIGVPKDPDEEPVPHPQEEEVSNSKGSLKNNEAIVSSEKEEEKEEEYVPMEEDSLKPATVFTIRLNQPSSNLLHKMSVPELCRNFSAVSWCGKLNAIACASETCARIPSSTTNPPFWIPIHIVIPERPTECASFNVTADSPRDSVQFIQWSPASVPRALLIANFLGRVTIWTQPSQGQAHRVLDTNFWLCEHEWRQDIAVVTKWLSGVSPYKWLSSKSTAPANSKSTFEKFLSQQSQTSARWPNFLCICSVFSSGSVQLHWSQWPPSQNATPPKWFCTNKGLLGCGPSGIMTADAIITDSGAMHVAGVPLANPSTIVVWEVMPGPGNGFHVTPKTSINNGFPPLNPPNWTGFAPLAAYLFSWQDYLLSEEKQGKKQTDHNLGDDSITLHSSPISNFSAYVSPETAAQSAATTTWGSAVTAVAFDPIHGGSVIAVVIVEGQYMSPHDPDEGPSITRWRVQRWESSLQHVVIHPIFGDPTSSIGGQPPMQTVWHSKVDLTIPPTRDVKTHQVPAVGMNNDVQKALELGFGKSNRVDFDPFDLPSDVRTLATVVYSAHGGEIAVNFLQGGVHIFSGPNFEPVDNYQINVGSTIAAPAFSSTSCCSACVWHDTSKDQTILEIIRVLPPAIPANQVKARSSTWERAIAERFWWSLLVGVDWWDAVGCTQSAAEDGIVSLYSVIAFLDVDFHSLPSAQHRQHYCPSLDRIKCRLLEGANAQEVRALVLDMQARLLLDMLGKGIESAMVNPSALVPDPWQASSETMSSIDPEALAVEPALVPNIQAYVDSVLDLASHFLTRLRRYTSFCRTLASHAMTAGSGSNRNVGASPAQNSATPPTNQGGQSGMSSSSGNTQMQAWVQGAIAKINSTPDGVSNPTPNPPFSGPSPIMPIGINTGTFPGTPSVRLIGDCHFLHRLCQLLLFCFFFRRTQLPRYMGGMHRASDTNTTKPQLNASVPGKVEDIAKPVSALVRSDDGQVGRTGQLVPGVKGGEPTPGRSRLGTGNAGQGYTFDEVKVLFLVLMDLCRRTAGLQHPLPVSQVGSSNIQVRLHYIDGNYTVMPEVVEASLGPHMQNMPRPRGADAAGLLLRELELHPPAEEWHKRNMFGGPWSDPDYLESVNDAPKLVSSNPLDVRSLEKCDDYYVDHGLWPRKRRMTERDAAFGLNISVGLGAYFGIMGSRRDVVTALWKNGLDGVWYKCIRCLRQTCAFSPPGSTNIPNQNDRESWWISRWAYGCPMCGGTWVRVV >OIV92246 pep chromosome:LupAngTanjil_v1.0:LG19:3601173:3602825:1 gene:TanjilG_00264 transcript:OIV92246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVEAVDPYVTNPGPLDGSVLYDQDKHVSTAVWEGQERGALRCHEHTSKLDQWTLTPKQIELVEMAGFGYLRSIPAISLDNPLISALVERWRRETNTFHLNVGEMTVTLKDVALLLGLAIDGEPVIGLTYTSCSSVCEKYLGRVPESGYTSGGMVKLSWLKEFFPHCPVDAPIEVTEQHTRAYLLYLLGSTIFSTTTGNKVPVMYLPLFENFDRCGQYAWGAAALAFLYRALGNASLKTQSTISGCLTLLQCWSYFHLNIGRPKLNLDLMYDRFPFALRWKGKQSGSTANRDVIFYRKTLDSLKPCDVEWLPYRNLDSMVIPEHIKSSLILGRSKTMLICFDKAERHLPNRCLRQYGMLQSIPDDVERWERKSRGVDGGVDLSGKMESELNEWMDRLLNIVDGDEGVDESEYMDWYLRITRKFIGRPISLSSEFRRTVRI >OIV92457 pep chromosome:LupAngTanjil_v1.0:LG19:1156082:1159342:-1 gene:TanjilG_02220 transcript:OIV92457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEKEPEDAAKAEWPEGSLEETVQNAIKSWEMELSHKTRLQDFKTINPHKFKFFVNGREGLSAEETLRLGSYNALLGSSLPEELKPYKADKETFESSHETFKSAFPRGFAWEVIKVYTGPPEIAFKFRHWGFFEGPFKGHVPTGNMVQFYGLGTLKVDDSLKVEEVEIYYDPAELLGGLLSGKHANYPIEDDSTKVSEDSQCPFSK >OIV91536 pep chromosome:LupAngTanjil_v1.0:LG19:17918674:17920509:1 gene:TanjilG_08948 transcript:OIV91536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEGITVNVPPSSNSPMEEENVNIIDDADSNTHSSFSTVSSSTLADIPQVLLFQEFNMVLAQTQFYYPSVIGQNHIARETYIKQLFDDAMEVLTKGGYETLDRWNIADALNTLGNRAMSDLCYVKAIDIGAALTKVCQIWDATYDCYEAIRLEPRYMLAHYRLGCAYFESGKYIEAIREGFIKALELDPNNEDVLASIEINRILIPRGKRPSAKLEWVSQESDEERSFKGKGLAN >OIV91540 pep chromosome:LupAngTanjil_v1.0:LG19:17895738:17898747:-1 gene:TanjilG_08952 transcript:OIV91540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMLEIQSNVVVLADYVVIAPDQSINPTISVKVTSPFGNNLHHKENATIGNFAFTAQESGNYLACFWVDHNKGGGDVSVNLDWKTGIAAKDWDSVARKEKIEGVELELRKLEGAVEAIHENLIYLKGREAEMRSVSEATNTRVALFSIMSLAICIAVSALQLWHLKRYFQKKKLI >OIV92232 pep chromosome:LupAngTanjil_v1.0:LG19:4924087:4924662:1 gene:TanjilG_31151 transcript:OIV92232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTIEGNKKGLHVATDSMSEYSLSFAGLVCIHDKQQQQQPKPHVPNRDKYIRVSKTNLDFEFVTNNKAELNTSSATPMKISHADVLFSNGQIKAQQEVAAFPTNSPSSLSTLQGIGDHSNMISNNGYTQVTNKGRNHANKERSVTTTTTTSFGKKVCKSFLAPCRECKVIQPSAVKGQKTSVSGEKSKLLT >OIV91658 pep chromosome:LupAngTanjil_v1.0:LG19:15212274:15217665:-1 gene:TanjilG_26511 transcript:OIV91658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFHKNIIVSVFVLCLFLFLVVSYVDGRFVVEKSSIKVLSPQKLRSNHDGAIGNFGIPDYGGFMVGSLVYPEKGNHGCQPFEGDKPFKFRSYRPTFVLLDRGECYFALKVWHAQLAGAAAVLVTDTLDEPLLTMDTPEESKDADGYIEKIGIPSALIEKSFGDTLKEALNNKDEVLLRIDWRESVPHPDNRVEYEFWTNSNDECGVRCDEQMDFVKNFKGHAQILERGGYTLFTPHYITWFCPKPFVLTSQCKSQCINNGRYCAPDPEKDFGEGYQGKDVVYENLRQLCVHRVANETNRSWVWWDYVTDFHVRCSMKEKRYSKECADDVMRSLDLPIEKIKKCMGDPEADEENEVLKTEQQLQIGQGSRGDVTILPTLVVNNVQYRGKLERTAVLKALCAGFKETTEPAVCLTGDVETNECLERNGGCWQDKDANITACKDTFRGRVCECPVLNGVQYRGDGYTSCEAFGPARCSINNGGCWSETKNGLTFSACSESKINGCQCPVGFRGDGKKCEGIDECKERSACQCDGCSCKDTWGGYDCKCKGDLLYIKEQDVCIERSGSKSGRILAFVVVAVVAGAALAGYIFYKYRLRSYMDSEIMAIMSQYMPLDQQNNEVQAEVQPLRQQGAV >OIV91868 pep chromosome:LupAngTanjil_v1.0:LG19:13757436:13759308:1 gene:TanjilG_17860 transcript:OIV91868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEQLGLFKVIVVQGKRLVIRDFKSSDPYVVLKVGNQTAKTKVINSCLNPVWNEELNFTVTEPLGVLNLEVFDKDLLKSDDKMGNAFLNLQPLVSAARLRDILRVSSGETTIRKVIPESDNCLVRESSINCVNGEVVQNVWLRLRGVESGEIELTVKLITPIAPSK >OIV92262 pep chromosome:LupAngTanjil_v1.0:LG19:3982974:3985920:-1 gene:TanjilG_00280 transcript:OIV92262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHGTTTTTNPLLESLPPSKRASKLQTLGNIIVSVVGTGVLGLPFAFKIAGWVAGSIGVAIVGITTFYCMLLLVRCREKLASEEPSAESRTYGDLGYRCFGTSGRYLTELLILVALCGGSVAYLVFIGQNLHSVFQSHGLTLTSFIFVLVPVEIGLSWIRSLSALAPFSIFADICNVLAIGIVVKEDIGQALGSGFSFGERTTITSNIGGLPFAAGMAVFCFEGIGMTLALENSMQDKAKFPRLLAQTFGGITFVYILFGFCGYMAFGEETRDIVTLNLPRNWSSIAVQLGLCLGLVFTFPVMIHPINEIVEGKLKIVDKDNNEPTGLRKIWTYISRAIVVVVLAILASFVPEFGDFASFVGSTICAVISFVLPATFHLKLFGSSLSIWQKALDSFVVFCGLFFAVYGTYNTVVGF >OIV91881 pep chromosome:LupAngTanjil_v1.0:LG19:13901406:13904620:1 gene:TanjilG_17873 transcript:OIV91881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISLRNIVLLLLSITVVAPIVLYTDRLSTFNSPSTKQEFIEDVTAFSLSATDSGHLNLLPQETSNVLKEPAGFVYTEDSTKTKNLPRGLRFRESREHAFARVLYTTTEEDQAQRDNPIKQVTDGIRHERQGGSIYEKGDSTSENVSGEDVIDANDNDGKLTKSDQVSDQESPIKATKQEQPAMETSSNVNENGPILSNRQNEQMPSDAFVHRLKDQLIQAKVYLSLPAIKANPHLIRELRLRVKEVTRTLGDASNDSELPRNANERVKAMEQSLMKGKQIQDDCAAFVKKLRAMIHSTEEQLRAHKKQTLLLTQLTAKTLPRGLHCLPLRLTTEYYYSNSSQKQFPNQEKLEDPQLYHYAIFSDNILATAVVVNSVTANAEDASKHVFHIVTDKLNHAAMRMWFLVNPPGKATIQVQNIEDFTWLNSSYSPVLKQLNSPDMIDYYFKAHRASSDSNLKYRNPKYLSILNHLRFYLPEIFPKLNKVLFLDDDIVVQKDLTGLWSVDLKGNVNGAVETCGESFHRFDRYLNFSNPLIAKNFDPRACGWAYGMNIFDLVEWKRQNITEVYHNWQNLNHDRTLWKLGTLPPGLITFWKRTFPLNRSWHTLGLGYNPNVSQKDIDRAAVVHYNGNLKPWLEISIPRFRSYWTKYVNYDHVYLRECNINP >OIV91965 pep chromosome:LupAngTanjil_v1.0:LG19:12073354:12077769:-1 gene:TanjilG_29332 transcript:OIV91965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASLSTIGAVNRTPLNFNGTGAAVPSSSFFGSNLKKITSRLPNTKGSSGSFKVVAVEEIDPKKQTDQDRWRGLAYDVSDDQQDITRGKGMVDSLFQAPQDAGTHYAVMNSYEYISTGLRQYNLDNTKDGFYIAPAFMDKLVVHVTKNFMTLPNIKVPLILGVWGGKGQGKSFQCELVFSKLGINPIMMSAGELESGNAGEPAKLIRQRYREAADIIRKGKMCALFINDLDAGAGRMGGTTQYTVNNQMVNATLMNIADNPTNVQLPGMYNKEDNPRVPIIVTGNDFSTLYAPLIRDGRMEKFYWAPTREDRIGVCIGIFRSDNVAQDDIIKIVDTFPGQSIDFFGALRARVYDDEVRKWIGGVGVEGIGKRLVNSKEGPPTFDQPKMTLETLLGYGNMLVQEQDNVKRVQLADKYLNEAALGNANEDAIKSGSFFTS >OIV91686 pep chromosome:LupAngTanjil_v1.0:LG19:16225354:16234384:-1 gene:TanjilG_26539 transcript:OIV91686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLSRELVFLILQFLDEEKFKDTVCKLEQESGFLFNVKHFEEKALAGEWDEVEKYLSGFTKVDDNRYSMKIFFEIRKQKYIEALDRRDKARAVEILVNDLKVFSTLNEDLYKEITHLLTLDNFRENEQLSKYGDTKSARNIMLIELKKLIEANPLFRDKLVFPSLNTSRLRTLINQSLNWQHQQCNNRLPNPDIKTLLSDHTCSPSNGARAATPVTFPVASVSKPSSYDPLGVHGGPFTPAPVPANVNALAGWMVNANPSSSVQSPFFAASPFPVPPSQVSALKHSRTPSNALGMMDYQNSDHEQQLMKRLRSAQSVDDVAYSAPTQQASSSLDDLPRTVVCTLQQGSAVISMDFHPSFHSLLAVGCRNGEVSLWEAGLRKKLTSKPFKILNISACSTIFQALTVKDLSISVNRVSWSPDGNFIGVAFTKHLIHLYAYQALNDLHHHLEIDAHVGGVNDLAFSHINKQLCFVTCGDDKLVKVWDWTGRKLFNFEGHEAPVYSVCPHQKDKTQFIFSTAFDGKIKAWLYDNMGSRVDYDAPGQWCTTMLYSSDGSRLFSCGTSKDRDYFLVEWNESEGTLKRTYSGFRKQFTGIVQFDTSKNRFLAVGEDNQIKFWDMDNVNILTSTHAEGGLLSLPRLRFNKEGNLLAATTEDNGFKILANADGIKYLRAIEARSFESSKAPVETKVLGSSMATSINPLTNKVEHVDQSSPFRHAPPMLNGVESSTRSIDRKRSLDDLSDKSKAWELTEIVDSVQCRTVTMPDSMGSTNKVARLLYTNSGVGLLALGSKGIQRLWKWSRNELNPGGKATASVVPEHWQPPNGVLMTNDVPENSEAAVPCIALSKNDSYVMSACGRKISLFNMTTFKVMATFMSPPPTSTFLAFHPQDNNIVAIGMEDSTIHIYNVRVDEVKSKLKSHQKHITGLAFSAKLNILVSSGADAQLVFWNIDSWDEKKSLSIQLPAGNAPFGDTLVQFHIDQVNLLVCHELQLAIYDASRMEMVRQWVPQDGLSASLSSATYSCNSQLVYAAFKDGSIGVFDTDSLKLRCRIALSAYLHTSSNSQNAYPVVITAHPHEPNQFAVGLTDGSIKVIEPIESEGRWGFKAPLDNAMQNGITASPSLTNNHTPHQLQR >OIV91932 pep chromosome:LupAngTanjil_v1.0:LG19:12691314:12691673:-1 gene:TanjilG_00600 transcript:OIV91932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCCMVASSMEWDGEDWGSVTSKHKVFDEVNHVFKKVEKEKVLGSLRASSDANGRLTIMISKKELVELLGGKGTEKHDIGARHASAEEVLVRMINAKYHVNHNHRSWRPMLQSIPEVN >OIV92000 pep chromosome:LupAngTanjil_v1.0:LG19:11378626:11380726:1 gene:TanjilG_07739 transcript:OIV92000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSDSWMKEYNEAVKLSDDISGMISERNSFPASGPETQRHASAIRRKITILGTRLDSLQSLLSKNPGKSEKDMNRRKDTLQNLRSKVNQMASTLNMSNFANRDSLLGPDIKSDAMSRTVGLDNSGLVGLQRQIMKEQDEGLEKLEETVISTKHIALAVNEELGLHTRLIDDLDEHVDVTDSRLRRVQKNLAVLNKRTKGGCSCLCMLLSVVGIVVLVLVIWLLVKYL >OIV91719 pep chromosome:LupAngTanjil_v1.0:LG19:16493462:16498510:-1 gene:TanjilG_26572 transcript:OIV91719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVGGSPHLDDDEYEEDNDEIFLDESDIIHEVPIDDEDLPDADDSDSEHVEEPDDSVHIFTGHTGELYSVACSPTDAVLVATGGGDDKGFLWKLGQGDWASELQGHKDSVSSLAFSYDGQFLASGSLDGTVQLWNASGNPTGVLDGPGGGIEWLKWHPRGHVLLAGSEDSTVWMWNADKVAFLNMFAGHASSVTCGDFTPDGKTICTGSDDATLRIWNPKSGENIHVVRGHPYHTEGLTCLSINSTSTLALTGSKDGSAHIVNITTGKVISTLASHSDSIECLGFAPSDSWAAIGGLDKKLVIWDVEHSLSRGTCDHEDGVTCLTWLGAWHVATGCVDGNVRLWDSRSGECVRTFRGHSDAIQSISVSANKDYLVSASIDGTARVFELEGLQ >OIV91795 pep chromosome:LupAngTanjil_v1.0:LG19:14508435:14511642:-1 gene:TanjilG_14374 transcript:OIV91795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPDHLFNVRNNFYLGAYQASISAADVTNLSPDDVVERDTFVNRCHIAQGHGAFVISDIDANAPTPLQAVKLLALYLSSPDDKESTISSLKEWLSDPAIGNNATLRLIAGIIFLHEQDFNEALKYTNAGGTMELHALNVQIFIKMHRSDYAERQLRLMQQIDEDHTLTQLANAWIDLAVGGSKIQEAYLIFQDLSERYQSTSLLLNGKAVCCMHMGNFDEAETLLDARDPETLANLVVCCLHLGKPSSKSFSQLKLLHPNHALVKRVSAAEENFDRALQSFS >OIV92153 pep chromosome:LupAngTanjil_v1.0:LG19:6094920:6095861:-1 gene:TanjilG_18725 transcript:OIV92153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLYRKPISSPVRTDKFPPPLMRFWRSNVGNGSRGRSRSNSSTMFVRKRNTNIEATQEPTSPKVTCMGQVKVKRSSKSKEQKISTRAAAKDGAPVQFRWFWIPKNAFQIKPCHCKPTWPKWGFIFKVGSFRRKSRKMKEGSEKTELETEHEGEYDESERVMNGDDGSSFASNSGTPPRNALSLTRCRSAPYRSSSLGSRFLGSPLRNEEETEKKQGNEVENGGSNYSENEIPHLERNSVSDEEENRVSENEEKLEFFNEIEDSVRDRFASMKNISENVDALKKREKEEGDCEVPHPVVLTRCKSDSGLKLILR >OIV92381 pep chromosome:LupAngTanjil_v1.0:LG19:2106358:2106900:1 gene:TanjilG_09979 transcript:OIV92381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGDSDATVYVDLGRDSDLGFSDVQLMQVVHEELIDGNSSGTKRVVVGDKFKGFERELDSDEKISESAMKRLKLSDPKSGFDSSRACLGIQSQKGKEKLGSDAKNHFCNVEKGSEKNNSKLSCVFDVLKFLSENCNDDDDDEEDGVENLSLLEAFKKGGLSFPRPRWWPENDRFRRERIL >OIV91731 pep chromosome:LupAngTanjil_v1.0:LG19:16614879:16617854:-1 gene:TanjilG_26584 transcript:OIV91731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGNWVEGKRILEYEDEEEEEEVVSDIMSYGEERMNKKRVVSIDLYSSKKGSKAGGSVPPSCQVDSCKTDLSEAKNYHRRHKVCEFHAKAPSVLIADQHQRFCQQCSRFHELSEFDESKRSCRRRLAGHNERRRKNAADYNGEGFH >OIV91728 pep chromosome:LupAngTanjil_v1.0:LG19:16590684:16595977:-1 gene:TanjilG_26581 transcript:OIV91728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRISCGARQNVQTVPTTTLWFKDFGYTGGIPANASRPSFITPPLKTLRFFPLSGGPSNCYNINGVPKGHYSVRIFFGLVEQASATNEPLFDISIQGTQIYSLKSGWTSQDDQAFTEAQVFLTDGSASICFHSTGHGDPAILSIEILQIDDNAYYFVPQWSKGIILRTVKRLSCGFGQSKFGVDYGADPRGGDRFWEHIKTFGDDSDRPRSVETRINQASRPPNFYPETLYRSALVSTSSQPDLTYTLDVDPNKNYSIWLHFAEIENSVTAIGQRVFNILINGDVVFEDVDIVKLSGGRFTALVLNTTVPVNGRTLTITLSPKEGSSAIITAVEIFEYAQYTCNLDLFWVLGKALQTLKKALGLPPRFGWNGDPCVPQQHPWTGADCQLEKSSGKWVIDGLNLSGNSIGGAIPSSLGTVTSLQVLFTDNSGLCGIPGLPTCGPHLTTGAKIGIGLGTSFAFLLLITFSVCWWKRRQNIIRVQQIAARAAPYAKARTHFSRDIQMTRHNNNHANSHTASENGPILLS >OIV92560 pep chromosome:LupAngTanjil_v1.0:LG19:198628:200230:-1 gene:TanjilG_02323 transcript:OIV92560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLFFYFSIIFLVSLVTLKFLFQTKKLKNFPPSPPHFPIIGNLQQIKQPLHQSFYELAKKYGKIFSLRFGSCLVVVVSSYKTAQECFTKNDVTFSNRPKLLLGKYIGYNYTAVVFAPYGDHWRNLRRIITLELLSSHRLNSSLEIRTDEIMRLLQKLGQVSCKDFVKVELKSKFKELTFNTMMRVLAGKRYYGEDVDPSDLEEANKFREIMKELGKFGDFIPTVWSRLFDLFSENGLKKTGEKLDAFIQKIVDEHRARKQDDNSMIGHLLKQQQAQPEYYTDQIIKGLLMDLLNAGTDTSAVTLEWVISNLVNNPEILNKLRKEIDTEIGQDRLMIETDVPKLPYLQNVVSETLRLHPAAPLLVPHFSSDECTVGEYKVPKDTTLYVNIWAIHRDPDLWKDPMVFRPERFEKEGEVNKLISFGIGRRACPGENMAQRTLTLGLGLLVQCFDWKRIGEELVDLSEGQGITVPKKYPLEAMCRMRHIPATKSFI >OIV92422 pep chromosome:LupAngTanjil_v1.0:LG19:1643774:1644865:1 gene:TanjilG_23022 transcript:OIV92422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRSLAKNLQQRFLSEKMQTQMMMAKRMKEPCDIFLNHRCMDTKKTVATLLYDHLFQHGFNPFLDNKNMKPGDKLFDKINSAVLECKIGVAVFSPRYCESYFCLHELALLMGCRKKIIPIFCDVKPSQLKVVNNGKWSEQEFRRFRWALEEAKSTVGLTFNSSKGNLSEIVTSASEIIIGSMVELENEEQMQKHNSPIAL >OIV92377 pep chromosome:LupAngTanjil_v1.0:LG19:2134801:2138430:-1 gene:TanjilG_09975 transcript:OIV92377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECYTILLFCLTLLNNIAARDTITSLQSINDSETIVSASEIFALGFFSPHNSENRYLGIWYQKFPAETAVWVANRDNPLTDSSGVLKFSENGILVLLNHNNSVIWSSNTTRLVQNPIAKLLDSGNFIVQDSSKSDPNEEFLWQSFDYPSDSILPGQKFGRNLITGLNRYLTSWNSSDDPSHGKYTYQVDVVGFPQIVLREGNAKRFRLGSWNGIYFSGGPQMKQNTIFTFKFVSNEKEAYFLFEPVNSSFLHRLVLTSDGLIEGRHWRGVNKDWTLTAKIPLDDCDQYAKCGAYASCNIEDIPVCSCLEGFVTEKKDIYGDCIRRTSLTCNKDGFLKFSRKKLPDTGKSWFNRDISVEDCRILCKNNCSCTAYAASDISKGASGCLHWYDKLVDMKEFAESDDDIYIRMAGTELRKMEENTEKDTNAINEHHREDPDLPLFDMPTITSATNNFSTGNILGEGGFGLVFKGILEDGREIAVKRLSLNSSQGIPEFKNEVMHIAKLQHRNIVRLLGCCFHGGERLLIYEFMPNKSLDYFIFDGKKDKLLDWSMRLDIINGIARGILYLHQDSRHRIVHRDLKAANILLDNEMNPKISDFGLARSFGGNGTEANTQHVVGTYGYLSPEYIIDGEYSTKSDVFSFGVLVLEIISGKRNRGFYHQEHRFNLLGHAWRLFTEGKCHELVDETIRDSFNICSVTRSIHVGLLCVQVSQDERPNMSSVVLMLSSEFPLPQPKEPGFYTERDPLHHTSSSSSSKPFSTNDITITTLDAR >OIV92561 pep chromosome:LupAngTanjil_v1.0:LG19:192907:194844:-1 gene:TanjilG_02324 transcript:OIV92561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLLQKLGQVSCKDFVKVELKSKFKELTFNPMMRVLAGKRYYGEDVDPSDLEEANKFREIMNELGKFGDFIPTVWSRLFDLFSENGLKKTGEKLDAFIQKIVDEHRARKQDDNSMIGHLLKQQQAQPEYYTDQIIKGLLMDLLNAGTDTSAVTLEWVISNLVNNPEILNKLRKEIDTEIGQDRLMIETDVPKLPYLQNVVSETLRLHPAAPLLVPHFSSDECTVGEYKVPKDTTLYVNIWAIHRDPDLWKDPMVFRPERFEKEGEVNKLISFGIGRRACPGENMAQRTLTLETLRLHPAAPLLVPHFSSDECTVGEYKVPKDTTLYVNIWAIHRDPDLWKDPMVFRPERFEKEGEVNKLISFGIGRRACPGENMAQRTLTLGLGLLVQCFDWKRIGEELVDLSEGQGITVPKKYPLEAMCRMRHIPATKSFI >OIV92247 pep chromosome:LupAngTanjil_v1.0:LG19:3651767:3666029:1 gene:TanjilG_00265 transcript:OIV92247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKALGYNIIVSHAENNFFLVALEQLGDSLEEIRGSASGGNMLWGGGPACGVKSIRSKKKYWNLIDLIIFLNKFLDNKPKGFLIDDSDDSDDSEDSDDSDDSDDSDDIDRDPDTELDLLTIMNALTMDMIPEIDRFYIPLQFELAKAMSPCIIWIPNIHDLDVNESNYLSLEVEGALMGSSRTEKDCSQFDNDRVTLLLRPEPRNPLNMIQNGSCSIVDQRFIYEKYESEFEEGEGVLDSQQIEEDLFNHIVGAPRTWRPWGFLFDCIERPNELGFPYWAGSFRGKQIIYDEEDELQENDSEFLQGGTLQYQTRDRSCKEQGFFRISQFIWDPADPLFFLFKDQPFVSVFSHREFFADEEMSRGLLTSQTDLPTSIYKRWFIKNTQEKHFELLIHRQRWLRTNSSLSNGFFISNTLSESY >OIV92035 pep chromosome:LupAngTanjil_v1.0:LG19:9228407:9229408:-1 gene:TanjilG_25015 transcript:OIV92035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATCVDSSATLSQKSNMPQPDDHCFNYMKFYLRPSFSDRVTSLPICKNPEKALLMIVEQDKVEAEDLWLKMHEETKLAIEQEQILSSYYYGSVLSHKSMESALANQISIKLSSLNLPSFTLFDLFVEILVENQDIMDAVKDDLRAVKERDPACISYVHCLLNFKGFLACQAHRVAHKLWLQGRKVLALLTQNRVSEVFAVDIHPGAKMGRGILLDHATGLVVGETAVIGNNVSILHNVTLGGTGKLSGDRHPKIGDGVLIGAGTCILGNIKIGDGAKIGAGSVVLKEVPPRTTAVGNPAKVVGGKNNPMKLDKIPSFTMDHASHISDWCDYVI >OIV91752 pep chromosome:LupAngTanjil_v1.0:LG19:16793654:16795621:1 gene:TanjilG_26605 transcript:OIV91752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATTTPNPTFTPLPSSSSKQILRFTLPVTSFPSIRRRSLRITSSLSSNPKIPAPRATSTAAAAPTLTTEPFISRFAPDEPRKGSDILVEALERQGVTNVFAYPGGASMEIHQALTRSNTIRNILPRHEQGGIFAAEGYARSSGLPGVCMATSGPGATNLVSGLADALLDSVPIVAITGQVPRRMIGTDAFQETPIVEVTRSITKHNYLVLDIDDIPRVVNEAFFLATSGRPGPVLIDVPKDIQQQLAVPNWDQPIRLTGYVSRLPKSPDEKHLHQIVRLISESKKPVLYVGGGSLDSSEELRKFVELTGIPVASTLMGLGSYPLGDEKSLQMLGMHGTVYANYAVDKSDLLLAFGVRFDDRVTGKLEAFASRAKIVHIDIDSAEIGKNKQPHVSVCADLKVALEGINRILESKGIKDKVDFRGWREELNEQKVKFPLSFKTFEDRISPQYAIQVLDELTNGNAIVSTGVGQHQMWAAQFYKYKRPRQWLTSGGLGAMGFGLPAAIGAAVANPGAIVVDIDGDGSFIMNVQELATIRVENLPVKVLLLNNQHLGMVVQWEDRFYKANRAHTYLGNPANEIEIFPNMLKFADACGIPAARVTKKDEVTAAIQKMLDTPGPYLLDVIVPHQEHVLPMIPSNGSFQDVITEGDGRISY >OIV91929 pep chromosome:LupAngTanjil_v1.0:LG19:12751513:12753193:-1 gene:TanjilG_00597 transcript:OIV91929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEYRSIPYKLQMQSKISSFFKCPPAYAPTSHIDELTTWENKEHHISTTYGQTRTQTRSNPNSSVSCSEQEELTIVGTTVVKNKNRSYAQFHLDCGQSDFLLCTCSTCGVKFAPGDAEDEKTHKDFHKHYTQGIQFRGWTSETVVPMVSVKGGRIILILNTDPSAHRNKVEEVVKMMEIEFGSGWIGHELCKVYLFISQHRIVGCLVAEPIEEAFKVMSCSISGHSDSARKKETKSNSTTLQFGNIIFQREVEKRTTSVGHAEVMDGSHGGAIFCENKAVPAICGIRTIWVTPSNRRKCIASQLLDAVR >OIV92513 pep chromosome:LupAngTanjil_v1.0:LG19:579881:581217:1 gene:TanjilG_02276 transcript:OIV92513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRVLYFAIILFHLSIPSSAKPNGLNIDLIHRDSPLSPFYNSSLAHKEVLINAAMRSISRNKAGAVIIPNNGDYLMKIFIGTPPIESLAIVDIGIDHIWVQHSESCSFLKKRACIASTQCSIEISVADAVEYDAVLSPPKPYKLCYELGSMDDDVVPSIKFHFPKVDMHLPKHNVFVNVGNNLCLSIVPTEGVSVLGNSAQVNFKVEFDLKRNTVSFAPTDCTKE >OIV92169 pep chromosome:LupAngTanjil_v1.0:LG19:5691407:5697032:1 gene:TanjilG_30810 transcript:OIV92169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQKTYILLIFYGLAYFLPLGTSMLNLTLPGTHPDPEAVAHEVHRKINASITRREMLALSQKDESSCLTGNPIDDCWKCDPDWPNNRQRLADCAIGFGQYAKGGKGGEFYIVTDSSDNDAVNPKPGTLRYAVIQNEPLWIVFPSNMMIKLSQELIFNSYKTLDGRGADVHIVGGGCITLQFISNVIIHNIHIHHCHPSGNTNVRSSPEHYGYRTESDGDGISIFGSKDLWIDHCTLSRCKDGLIDAVMGSTGITISNNFFSHHNEVMLLGHSDHYTPDSGMQVTIAFNHFGEELVQRMPRCRRGYIHVVNNDFTRWEMYAIGGSGEPTINSQGNRYMAPTNPFAKEVTKRVDTEEGMWKGWNWRSEGDIMVNGAFFVASGEEVEVKYEKAYSVEPKSADRISILTMSAGVLGVARDNNLGMWSRGPNGEGDDITDSGGLEYSDEMSLSARNTISCYSITLNNSRTMPLQCVVAMLLFSLE >OIV91525 pep chromosome:LupAngTanjil_v1.0:LG19:18038495:18043129:1 gene:TanjilG_08937 transcript:OIV91525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKHSGESSEEEYVRKHSRKQQNSDSSEDDVRDRYHNRKTSHRRHRYNTDSEGSEEKYRNKAKRDTRIRRDHKDDRGRSVKVLEEEGRKSKQREIKETENSAEENGKSKDRERKDDSQSSEEEGQIVSNRKVREDRGERSLQHRREEAEVDDAPLGIRESYPRSNVDGRQVEKDRHKPRDMAYGGDRRRGGEDNRHEIRDRRERHDLEEDDRELVRKSEREGERERRRVRDRERGKDRERERERRSVVGKDGDSDRDRWDKDRSKQSEESRKEENDGHGRRVVENTKQPTIPEGKVNGGDSTNSGKSGGVYIPPFKLARMMKQVEDKSSVEYQRLTWDALRKSINGLVNKVNATNIKNIIPELFAENLIRGRGLFCRSCMKSQMASPGFTDVFAALVAVVNTKFPEVGDLLLRRIILQLKRAYKRNDKPQLLAAVKFIAHLVNQQVAHEIIALELLTVLLEKPTDDSVEVAVGFVTECGSILSDLSPKGLHGIFERFRGILHEGEIDKRVQFLIEGLFAIRKAKFQGYPAVRPELDLVEQEDQLTHEVSLDEEIDPEISLDIFKPDPNFPENEKRYEDLKKTILGEESEDEDGSEAGSDEDDESDDDNESDDEDEESMQIKDETETNLINLRRTIYLTIMSSVDFEEAGHKLLKIKLEPGQEMELCIMLLECCSQERTYLRYYGLLGQRFCMINKVHQENFEKCFVQQYSMIHRLETNKLRNVAKFFAHLLGTDALPWHVLSYIRLTEEDTTSSSRIFIKILFQELSEHLGIRLLNERLNDPTVQESFESIFPKDNPKNTRFCINFFTSIGLGGLTENLREYLKNMPRLIMEQQKQVSESDDDESGKSDSSDSGTSSSESESSSSDESDRKRRKRRRK >OIV92062 pep chromosome:LupAngTanjil_v1.0:LG19:7622806:7623204:1 gene:TanjilG_08735 transcript:OIV92062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTELEALAHNNTWSLVSFPPNKSPIGCKWVYVTKLHDDGSIERYKARLVAKGYTQREGVDFFEIVPILLKSQLFELSLLLLLPNDGFYNNYVLIMPSYMEISTKKYICFPLHASFYLSLTLFVDYINPYMA >OIV92306 pep chromosome:LupAngTanjil_v1.0:LG19:2888480:2903777:1 gene:TanjilG_10516 transcript:OIV92306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTPAVHLRSPINTSSVDSTSHFPPLPHQVPFPHFNADNLTSSATAATTARTRSKPRLTKLRKQSTKSRTRTTDSGFNPFCSDQVTVSDDISRKFGDTGFVFSASVPARDLNSEQEEASTIVKETEVRKCGGVEFVFSAKPSVKESNSRGNEAITVSGEGEVNIRGFVFNANGSNLVSEKGKSSEFVENSVGESKSGAKVEPEKLNCLNFVFGDNHSGKASKINVEKQESIGGMRYSDCVTGTNTVAHQNGYLGNDDIGKVKSAFGSSHGSPTAYSAFPSYKLTDEMKKLNIDRSQDDTNRDSTNAHVSSSFGFVFGGSEKAFGDFNVTSGSISNDQESRTNAASENIGGKLFKKCEANNVQNETGCGIAYGSIGTPCSKPSSNKGKIPVPEVSQVNGTAAPLSSSSFGLNSIQNNYASTDHPLNEDHNTRKDCFTSTPDASKESFMDFKPPTWSPDCFKENLFPELNRKSESTQKGKSCKEKGSKYMRRKSRPHSLNKKPTRLDHLSKENNSLESPDCSASYSPMDFSPYQETGADDQDVKASKDLNDLHPKFPIGCEDEHLAAANRRVDTNTADQRCGDPDNDKLLSRNGSSSVGDSHSSGPEIVLPSLETHQFSSSSLSGASADAGIDFSSNTEKQKPDHFVHHLGDSKETDFAFSASTAEDTSSFKGKQKKYRRRKGRDSFVICPNMNGKFESSVQFSPLTPANISSQSDGMDRSRMNDQIKEGGIAYSSTIHEACDKWRLRGNQAYKDGGLSEAEDFYTLGINSVPSTERSGCLIKPLLLCYSNRAATRMRLGRIREALGDCGLAIALDPTFQKAKMRIANCHLLLGEVENAQQCFNKCMESGSVVCLDRRVIVEAAEGLHKAQKVAECISSAAELLKKRTSDAAGTALELLTTALSISPSSEKMLQMKAEALYLLQKYDAAIQLCESSQHLAEKNFVSLPNSGSSSNISMRDNYSSVNLWRWSLISKCYFHLGKLEASLKVLEKLQQVVSVNDRCVIGNVGDPLSLAATIRELLDHKNAGNENFKLGKYKEAVENYTVALSSNIKSRPFAAICFCNRAAAHQALGQIADSIADCSMAMAISRNYAKAISRRATLHEKVRDYEQAACDLRKLISVFESQSDEKAKPSDSPSGSNGVKESRQAHQRLLSVEDQAKKKTLLDFYLILGTKPADTASDIKKAYHKAALRHHPDKAGQWLPRSEVGDEGKVWKEISQEVHKDADRLFKMIGEAYAVLSDPAKRSEYDLEEEIKKASQSSQEEEFSYIKGSAKGPENWGNINPKWKVCGNGKLQSPIDLVDKRVQVSPKLCKLQRYYKPAPAVLRNMGHAIMLQWNGDAGQFIIDGIQYNLLQYHWHTPSEHTLNGSIFDLELHAFHQSSKGEMAVIGVWYKIGRPDPLLSKLLEHIKSLKEQDINLGVINPKDILFEGTSYYRYAGSLTTPPCTEGVSWTVVKKVRTVSVEQLNALKEAVHRGFEQNARPTLEVCGREVYVFSPEDEKEF >OIV92508 pep chromosome:LupAngTanjil_v1.0:LG19:635738:636178:-1 gene:TanjilG_02271 transcript:OIV92508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQLIRRFSRVADFPRYTRLRSENPHPRRFRRNHKIRHSSSDVPEGHVPIYVGDEMERFVVSTELFHHPIFVKLLNQSAQEYGYEHKGVLRLPCHVIVFERVLDALLLGHDTREIQKMLSLSAEELSAEEEEEEEEEKFEEENMEW >OIV91637 pep chromosome:LupAngTanjil_v1.0:LG19:17142037:17146250:-1 gene:TanjilG_09049 transcript:OIV91637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSDRRPLITDRVTVNGTVTPLALLADGRLWWSESGQRCLVVEKDVLGFIADGPYIKIKTLVEKRDGCCSSGHLVRNDVVFQPSSHELHALWCNKLREFIDSIGRPKRLFVFVNPYGGKKSGKKIFVDKVKPLLEDAQIQITVQETKHQLHAKEIAHSLDITKYDGIVCVSGDGILVEVVNGLLQREDWDTAIKIPLGVVPAGTGNGIAKSLLDAVGDPCAVANAVLAIIRGHKRLLDVATITQGETRFFSVLMLAWGLIADVDIESEKYRWMGSARLDFYALCRFINLRHYNGCVSFVPAPGFEAYGEPTSYRGKSISKGNNIDLSEAESVKLQRFCYQGPEIDVENLNWRIINGPFISIWLHNVPWGAEDTMAAPDAKFSDGYLDLIVMKNCPKLPLLSLMSELSTGGHVKSPYVTYIKVKAFILEPGPRTKDQGKEGIIDSDGEVLARGKGSYKCEKKALMTYDKLQITVDQGLATVFTPI >OIV92132 pep chromosome:LupAngTanjil_v1.0:LG19:6317151:6319449:-1 gene:TanjilG_18704 transcript:OIV92132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFVEGNKETGESSVEGDKSEGTHDSLSRQPSESSVYATDQEEEDEDGNKIELGPQCTLKEQLEKDKDDESLRKWKEQLLGSVDVNNIGEILEPEVKITSLSIISPGKDDIVLPIPENGKPQGLWFTLKEGSHYILKFTFEVSNNIVSGLKYTNTVWKTGVKVDSSKEMLGTFSPQPEPYTHEMPEETTPSGLFARGQYSARSKFLDDDNKCYLEINYTFDIRRDWA >OIV92078 pep chromosome:LupAngTanjil_v1.0:LG19:8128162:8176090:1 gene:TanjilG_08751 transcript:OIV92078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIDGSFSVQSSLRRFFDRCPKLQFCPEFSSITETEYLLTEDEVVNMLVGVFLHPNYTIPLMGCFRPIAQNFVDKAIALLRLVPNLRSNTKDATLEDDFDRVLEEVVNVIEFYSRQGRGLDLHELACLAFCRAIDMAPFLLSSVLIYFDFAPPPFERFSTKQVAVETRELLVARISYRFLLLDAEVFSKLWDWSCFLDLVKEPLKPDLIWCGVQIVRVVLKLGYRATESLNVGAEEAFACLLHWEEFCRDTSVEKAGWYIEPIAGNGSASPDRSIDFGPENCLKSFGFSNQGVSSPRLHELHSPHRNHRPATRDNISIGNTFVLTSAMKQTYKRVLLAVSHKWPVLLYGPSVLSIQMDDQIDGRTLVGSYVCTERPGEFRWQPGSLTQAVQNGFWIVFEDIDKAPSDVHSILVPLLEGAGSFVTGHGEVIRVAESFRVFSTISVSKFDSSQTTGRDSLSVLWRRVRIRPPDDEDLLNILKVRFPNLEVLVDNLKDTFVSVNSVYTLQIVGVHSRSPASVECLNRFSLRDLLKWCNRITGLGFCFNGGISEYHRYVIKEAIDIFATFSTSFENRLSIIEHIATWWQVPVSATENLYPRDKPIIQDSVTDLRIGRVSLPYTRKSSHESRRPFVEIRCSLHVLERIACSVKYNEPVLLVGETGTGKTTLVQNLALRLGQKLTVLNLSQQSDVADLLGGYKPMDAQFVYFPLYKEFEDLFSKTYSKKGNEVFLTHLQKFLIDKNWEMLLRGFQKGVEKSLELIRTGPSKKRRKPLEEEKIQAWERFSIKLESVCQSNPSSGMMFSFVEGSFVTALRNGEWILLDEVNLAPPETLQRIIGVLEGEDGALCLAERGDIDYIRRHPNFRIFACMNPATDAGKRDLPFSLRSRFTEYYVDDVLDDDDLSLFISQFINNGHRDQQLVNNIVRFYKASKKESEERLQDGANQKPQYSLRSLYRALEYTRKAERKFGFKKALYDGFSMFFLTLLDGPSTKIMKQMILSFLLGGHMPQHEPFDRYLTFKSDDNSGCYVLTRSVKEQLGNLARAVLIKRYPVLLQGPTSSGKTSLVQYLAAITGHVFVRINNHEHTDLQEYLGSYTTDGSGKLVFNEGVLVKAVRNGYWIVLDELNLAPSDVLEALNRLLDDNRELFVPELQITIQAHPDFMLFATQNPPTFYGGRKMLSRAFRNRFVEIHVGEIPNDELSEILTNRCEIPESYAKKTVDVMKDLQLHRQSSRAFDGKHGFITPRDLFRWADRYRRFGKSYEDLAKDGYYLLAERLRDVDEKSVVQEVLEKHLRVKLNVKGLYDQILFGGNSSSNLTVGLGGSNSRQSVMLTKSMQRLFFLLERCFKLREPVLLVGETGGGKTTVCQLLSEYLQLKLHILNCHQYTETSDFIGGFRPVRERSRLISSYKEIIEQLKQLKAFTHFPEDFSVSSDIDEASSTLDLLNGLLRKYKGQVCSADVSKEDIHAFEMLKSNLDDLHQKWQSIFVWQDGPLVKAMTDGDLFLVDEISLADDSVLERLNSVLEPERKLHERIVRFEGMPRKLLCVNEINESLGGVVVAYLKNRCWQLLVIACPIESLAEKGGSDLETITAHSNFFVLATMNPGGDYGKKELSPALRNRFTEIWVPPVSDLDELQGIALKRISEFKVGENLDPTYQERLSLVVNAMISFWEWFNQLHQGRMLTVRDLISWLAFFDVTAESLGSEYALLHGIFLVLLDGLSLGCLFQHVEKLFLLVSILHEVDICNVSYSKLSRMGNYGWGEFGTTVDESHIADSQCSDLFGINPFYIQKGFDCCEDNGFEFKAPTTRRNALRVLRAMQLSKPVLLEGSPGVGKTSLIVALGKYSGHRVVRINLSEQTDMMDLLGSDLPVEGDEGMNFSWSDGILLQALREGSWVLLDELNLAPQSVLEGLNAILDHRAEVFIPELGNTYKCPPSFRVFACQNPSCQGGGRKGLPRSFLNRFTKVYVDELVQEDYLSICESKFSSIPRPLLSKLILFNTRMHEDTMLNHKFAKDGFPWEFNLRDIFRSCEIIEGSPKNLGSCSFLNFVYIQRMRTASDRKEVLRLFKEIFEVVPFINPYPRVQLNSHNLVVGNVTIKRNCVQLSTAPSRELLILPEICQSLEAAAQCVERQWLCILVGPSCSGKTSLIRLLANLTGNILNEINLSSASDISELLGCFEQYDALRHLRSVIAQLERYINEYCSLQLEASNEAIIWERDLYTRWIALLSAMKFDSLAASASNYFENWKNVVCSLSLLVDVIEQLKLSIERSSCPISYSVHELDLALKTVLKLKADDPKNMVSKKFEWVTGLLIKAIEQGEWIVLENANLCNPTVLDRINSLVEPHGSITVNERGIVDGHPLVLHPHRNFRMFLTVNPHHGEVSRAMRNRGVEIFMMSPCWALDDIDGYNCEDAELKDVKRFLVLSGIPVAQLVDSMAIAHIYAKKKGSELNAHITYLDLSHWVQLFVQLLMKGCRPIWSLHMSWEHVYLSSLGEVEGENIISFAKAKYLSITDLCGYDSLVVRPLSLPGGWPMPLKLREHIHHSTEASVKRNCMYLKFLGTQFASHQYRFARNRYSKARLQTSGDHARIYLMDMKTLHEIMFPKASNAIITDFESKCEFDSESTIKMLFFAANWAIEQALESDLKFYLIWFRWFSSQLQPFCQFFCNFVTLTEQMVKHPIWEYISCHRKLNFDLQLIPPLSLDFVHLASSNEKIKHLYNAICCIDPLRLTYQQRETESQHNFNDEARCFIPLLKSLHVLEDEFLNKLVASTPKLIEDQSFDFKIQLYSDLIADHALFWHYFISSKFDEMIISWHSLVKDAGKFIDICPQAVDDFLMESKNLKRFSLSEKSLLWIHGGHPFLSPSSDIHDKQDQLLRFVEMLWPRKTASSNQGLLSSHHADVALFDSNLRFLVMQGVSMSSFTMEKWGNEYDDVHIVKQLEEMYQTLLRCFEEEKNKLMMNTGSEDLSAFRESSSCCLFTCEMLCQKSVFEGWQDTLPPVDNTSLFWDMELLQELTTIPLDNPIELHHAVEHLSNLLDSALKFSLSFSSRTPQMFSPHQKILWTLNAWTTVDAVNLKISSFILEMWFIWHQSLWESFPRFNMDFSKMEGFDIVSVALPQMLTQPVCASTVLQNTKSTHAIKEFWVQGLKFRATLSNLWQSSRRGVQLPSFLLSTARSLFQQIVFAHRKSFDAAQFTTIKLTFSSFEKNIVTEQNIHLMSTLISSSSHHRLKNSINEFIIPLLKELYHLSSTADFNYVIGRAWVHIGQLRIHLLLSCNDIDPAMKYHCKYSQLVETISSLELEIQVRKECGYLAGQFFIGEADNRKAERLEKLQAERRKLQKKIVFRSEPWKFKRLMNECNEFLKHVAALEVLISSIEAEEFQLVLNKACSWQETATCFIDGLTAEFTAYNDIIQPIQVAVYEMKLGLSLVLSSKLEKEYLNKIGQENISLVMEMLHTLMRFPRAASSKFISVKYDIGLHMRPSYELDSDTGFYLVDMGLMQRLINLSTGIAADKKNNEKQVSVMQYKAAIYRNILVQIAHSIANAKLIDNESYKLLHTIFDEFANLWMSMKVYAKSKSEYDAQQYKFKPRAFQVESVIDVEIETLANSSATETFSEWKEFSSEEKSADKIESSEECENLDEEWKQLEESILSNVVFVHNQLFGSGDLVQIPGTFQVSDADRMLSFIDTYTLGTDLIKGVHTSMLPKLDAKLMPEHLFYLCLDYKRKFLSSHKTVTRYNFYKDSNAPEMANMLNVLTPIQKEILPLLNEWADHNDLQKILDIIDMLLSLPSGIPLAKAFSGLQFLLHKAQIMQESGSKFSLSNQFKSVFDLLSSWQKMEIGSWPTLLDEVLDQFENNAAKEELMSFACLICGFLCILFFNPVNLLFNQLSKGILEDFIHTSSVGEFRKRLQLIFAFLGQNHISTCLKINSSPCWMEQSTFLYNIFGFYVQFLPFVLKYIDDSRKEIDTELKALVKLCRWEHGKSYLSLDNLKKSRQKLKKLIQKFTDILEEPVLIFLKQEAAHNGAKSQLFHGHKLSYNVLNKGSVNGAFDLMLFSEENRSAWFENCSKKLECALHKFFNVLSSHGDNVKDVLGISIPCGDSVHELNVKGWEAVWHMIEQIYFTAVDCGDLWKEEKKSRGKRRALAELLKLLESSGLSRHKSTYKEEQIKTWWFLQLSENMEHLLPSSNKLACVTSEIDAVVEHKDDPEENLLVEWKTGTEHYFKSVVSVRHLQDICDNPHKDITLEQVDRSRSFINQLVEIQQKQLAAASVFDKQLKCLRNCVSTLGKCSSADDNTIYSCSIIPKQLSTYKCMWQQKQLFDNLCAISHEELLLLRTLENSHLNTCQKTRPSVSEMIASIEEFLPVFCKSKESLDQYLVGRTKAVTAVGSSSQLCFVTQEMEQLVCENFKAIKDFKEHFLAQWERDQARSTAKEVLILHFEEIIETAKLIEKEFTTSNSNSVDSYGEDRFCTQNLAELNAGFDEALTSTYQHISTVLQNLILSSNIPMVEDSVVNIASWEFIFDSIVTIVSVDKLCENVYKTIASGEKLANHCGTEINSYSLKVGACFRDLHMFMDLFLNFGDELMKSFLTMHRSVSLTTHVIANILASLFSKGFGISNENEENDGTVDTSEDANGTGMGEGVGLKDVSDQITDEDQLLGTRDQPNDKEDDSKEVPSSNTTGIEMEQDFAADAVSLSEDSGEDEDEDVDGENNELDSEMGPTGPDSEAVDEKVWDKNEDETPNNDTKENSEPGPSVKDKDGSKKELRAKDDSATDEPGGDNGDEGDAQNDDIETQDDIGDEENETELNMDKEADHSDPNGLNPDELEQKSEVEDPMEVEDMDPVEDPEEQGDPAENGNDNQDEETYPTDENMEEAHTELDQTSEKDEQCPDQQDNADMNSTEPKKDTSEPSNLVNEQVSSAELASQSKVDQQTSGPENMASESNWSNNDHDIDNPSLLGGFPSTGMSDMDVKMSDSSNTGGFEESLPKSHPPQDEHSSFQENKPNPYRSLGDALEYWKEKIRVSGDIEGDDIDEKDKMEDDNADEYGYVSEFEKGTDQALGPASSEQVDKNIDADKLDKDSHAGEKDVQLQFEKKKPEVDSVNSSSLIPKNEKKDQLNASAIEKSQDEESVKPITMENNDPENQPKDLISFRRSYISENIDRLNELSVEDNDLGKSHDPSDDPNHMKDNATALWRKYELSTTKLSLELAEQLRLVMQPTVASKLQGDYRTGKRINMKKVIAYIASHYRKDKIWLRRTRPNKRDYQVVIAIDDSHSMSESCCGDVAVEAMITVCRAISQLEMGSLAVASFGTKGNIKLLHDFDRPFTGEAGVKMISNLTFKQENTIADEPVVDLLKFLTNKLDSAVVKARLPSGRSPLQQLVLIIADGRFHEKENLKRYVRDVSTGNRMVAFLLLDNSQESIMDLMEASFEGGNMKFSKYMDSFPFPYYIILRNIEALPRTLANLLRQWMELMQNSMDY >OIV91992 pep chromosome:LupAngTanjil_v1.0:LG19:11242922:11246440:-1 gene:TanjilG_07731 transcript:OIV91992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTYFHLMKLMEFEGRITFDQASMETTNTFILALQDLKNLRPQLYSAAQYCENSYLHTHQKQMVLHNLKDYAVRALVNTVDHLGTVAYKLTNLLHHQTLDVSIMDLKISTLNQKLHTCQIYTDEEGLRQQQLLAIIPRHHKHYILPNSTNKKVHFNPKVQIDARQNELQSRKRVQSSGIPVAKTLSWHLASETKSTLKKRASRSSTKTKHQKFSAKTYGVFQLLDKRESMSKSNSNSVMKWSYYIQTLIPLLSHPLKAALLINHDPNGPSRLLSTIAEQEGIKANPIELNHFVDFIKRNQLHTETFIIASNQYLVTSIHDNWFSARCINTSKPAGEGAIVMQTPAYLLVALYEGSIGPASRAMAAADQLAWQLGRKNL >OIV91849 pep chromosome:LupAngTanjil_v1.0:LG19:13513485:13517138:-1 gene:TanjilG_17841 transcript:OIV91849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMDPGKLFIGGISWDTNEDRLRQYFQNFGDVVEAVIMKDRTTGRARGFGFIVFADPSVAERVVMEKHVIDGRTVEAKKAVPRDDQNVLNRSNSSSHGSPGPTPTRTKKIFVGGLASTVTESDFKSYFDQFGTITDVVVMYDHNTQRPRGFGFITFDSEDGVEKVLYKTFHELHGKMVEVKRAVPKELSPSPSRAQIGGYNYGLSRVSSFANGFLQGYNPSLVGGNGLRIDDRLSPITVGRNGYPLMSPTYGSGLNLEPPLSQLLLGRALNPSYSGSPSRYVNAIGYAGTSAGNNSTISSANQNLWGNGNLNYATNHRSSDSFAGYGSENSNMGSFGRIGPLWNSSLGIDQAGTIGSGYGKSSLSYSSGDVFLGSKAVGYGKSRENIAAPASSYAALPNGSYDDAYNYKDNTYETAGSFYGDHWGSSPSELANAGFLGFGLGNAVSDLMSKSSGGHVEAYAVSNTQPDRGIAA >OIV92185 pep chromosome:LupAngTanjil_v1.0:LG19:5312369:5315230:-1 gene:TanjilG_30893 transcript:OIV92185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEHLASIFGTEKDRVNCPFYFKIGACRHGDRCSRLHTKPTISPTILLSNMYQRPDMNININLNVSDPDQPPQSEPPQSQSSLDPDKVQEHFEDFYQDLFEELSKYGQIQSLNICDNLADHMVGNVYVQFKEEDHAANALMNLTGRFYSGRPIIVDFSPVTDFREATCRQYEENVCNRGGYCNFMHLKKISRELRKQLFGRNKRWNDRSGSRSRSPPRSRAYEEHSHSGRGSGRRDIDRYHDSRDRRPRSRSPRRREKRSRSPVDRNARRARESSAERRAKIEQWNREKELKDSGNKNNTNSNDHDEQGAAQNGSESGDH >OIV92217 pep chromosome:LupAngTanjil_v1.0:LG19:4700821:4702956:-1 gene:TanjilG_31136 transcript:OIV92217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAAQRRGGGVFEGLYKVLMRRNSVYVTFVIVGAFLGERAVDYGVHKIWENNNVGKRYEDIPVLGQRPTDE >OIV92118 pep chromosome:LupAngTanjil_v1.0:LG19:6755042:6756629:-1 gene:TanjilG_26976 transcript:OIV92118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEIQGDVAAMKETIHAQQQLLQKLCIELDQEREASASAASEALDMILRLQGEKAAVKMEASHYKIMAEEKMDHAEATLEIFEELMYQKEMEIASLEYQVQAYKRKLLRLGCDLNASEFEFPDYLLLNRSDQQDGENGQSRTVRRLHSLPPLQFTNFLRVDRNEDGSTTPFPIPVSDVTSKVVDDSNKKEVSPSPPRLDWRRKSVEFACGTIDSYWEQMKWFDEKVKVRSCSIFPQASTKITYDQIDRFSSTNLDKVNLGEDTPHRKELASPHCSPNEHDVFEVPQTSEKHKVSENVRKRLVKWYSEVGNRLRKQNSLSEGMVASHVKHDAEKRNGIFRVPSEIKKPILNDMMAITGQKKHEMDMDSNAQAEFQSLNQRIERLEKERISRRQEIIHGSDGEELLRLLKTIQSQITLVLSEMKSWNTKKSAPKDNVPLGPLQEAMLNFWF >OIV91971 pep chromosome:LupAngTanjil_v1.0:LG19:11803694:11804371:1 gene:TanjilG_09512 transcript:OIV91971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNTLILIIVADYGAFSSSKKKNDLYEEYVLHGQQRNHDTTSYVSKYEQVDKQCIEMGGKFLHEKKKTINDESLLKGPTISREISLLSTEHEDIMGNQEKHSKIIPERVLEIVAQDKPKKASECSNYKKSVLSLKVDDGYKEFEEKVIHARIGRSKSDRYRRDRVKSVVVDERKQIVTSSKTMEVEEENEFSKMTNEDLNKRVEEFIQKFNRQIRLQATRKNNQI >OIV92054 pep chromosome:LupAngTanjil_v1.0:LG19:9011735:9012952:-1 gene:TanjilG_15045 transcript:OIV92054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASTLNHQSLISMTSIKNKTSDIESIEQDGGEEAYQDQPNSSMESKMQLHLDQKSSTNKIYMPLLVINYIMLFVGSVSSLLLSKYYFKHKGSSKWVSTWVQSAGFPLLTIPIFLPYVLKVTKRKPFTDFNPKILFSSFFVGIMLGVNNLLISWGVSYLPVSTSSLVLSSQLVFTLILSAIIVKQKITFLNLNCVILITLSSILLSLDSNNERPSGVTQKKYLIGFLCTIGAGLLFASYLPVMEMIYKKVYCYVMVMEMQLIMEIAATILATIGMACDGGFSQIKEEGQKVFDKGPKIYWVTIVSNIVTWQICFMGTAGMVFLTTSLTGGICMTALLCMNVLGGVVFYRDIFNGFKAVATVLCIWGFCSYVYGMHTKMVGQKAQMTNRKESSKELMHILNPAATH >OIV92414 pep chromosome:LupAngTanjil_v1.0:LG19:1570147:1578643:-1 gene:TanjilG_23014 transcript:OIV92414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFCCVHFHVLSSNEVGIGISRSQFPEGFLFGASTSSYQIEGAPLEDGKGLSNWDVFSHTAGKIENNENGDIADDHYHHYLEDINLMSSLGINVYRFSISWARILPRGIYGDINPPGIMFYNKIIDNLLLRGIEPFVTIHHHDMPQELQQKYGGWLSPLMQRDFVHFAEICFKSFGDRVKHWVTINEPALATDMAFIRGTYPPGHCSPPFGNCSTGNSDVEPLIVMHNMLLSHAKAVELYRKHFQVKQDGTIGIVVHTFMYEPLRDEECDRQAKNRALAFHLGWVLDPLVFGEYPAEMRSVLGSRLPRFSPEEKSILKGSLDFIGINHYGSLYAKDCSHSACALGADHPIRGFVETTGMRDGIPIGESTGMPKFFVVPRGMEKTVDYIKIRYHNMVMYITENGYSSPPQQDVTMQYFLQDSKRIEYHEAYLEALLRAIRKGANVRGYMVWSLFDNFEWNNGYGIRFGLYYVDRETLQRIPKFSVQCSNEVGAGITRSQFPEGFLFGVSTSSYQIEGAPLEDGKGWSNWDDFSHTPGKIKNDENGDIADDHFHLNLEDIKLMSFLGINVYRFSISWSRILPRGIYGDINPPGIMFYNKIIDNLLLRGIEPFVTIHHHDMPQELQEKYGGWLSPRIRRDFVHFAEVCFKSFGDRVKHWLTINEPNEVAETAFTWGIYPPSHCSPPFGNCSTGNSDVEPLAAMHNMLLSHAKAVELYRKNFQAKQGGTIGIVAITFMFEPLRDEECDREAVNRALAFLIAWVLDPLVFGEYPAEMRSILGSQLPSFSPKEKSILKGSLDFIGINHYGTLYVKDCSHSACSLFAKRPIRGFLEATGMRDGIPIGDPTGIPEFFVVPRGMEKIVDYIKIRYHNMVMYITENGYSSPPNQDVTKQDFLQDFKRIEYFEAYLEALLRAIRKGANVRGYMVWSLLDSFEWINGYGTRFGLYYVDRETLQRIPKLSVQWFSSFLNNNIHTKTEGFRIERSIS >OIV91641 pep chromosome:LupAngTanjil_v1.0:LG19:17117252:17120152:1 gene:TanjilG_09053 transcript:OIV91641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAIVITKPGGPEVLQLQEVEDPQIKDDEVLIRVEATALNRADTVQRQGSYPTPKGASPYPGLECSGTIESVGKNVSRWKIGDQVCALLSGGGYAEKVAAPAGQVLPVPPGLSLKDAASFPEVACTVWSTIFMTSRLSAGETLLIHGGSSGIGTFAIQIAKYRGSRVFVTAGNEEKLAFCKDIGADVGINYKTEDFVARVKEETGGQGVDVILDCMGASYYRKNLECLNFDGRLFIIGFQGGVSTEFDLRPLVGKRLTVQGAGLRNRSPENKALIINEVEKNVWPAIAEGKVKPIVYITFPLSEAAEGHRLMESNQHIGKILLFS >OIV92129 pep chromosome:LupAngTanjil_v1.0:LG19:6349187:6356605:1 gene:TanjilG_18701 transcript:OIV92129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPHNHTLVQHQFPLQDMDLTWLVEFMKGMVNPVASTAVVFLAVSLSFSQKLGMEVEIVVAIVRAFIQLSIIGFVLQFIFNQDNALWILLAYLFMVSIAGYTAGERAKEVPRGKYVGGASILTGTSVTMCLLVLLSVFPFTPRYIIPIAGMMVGSSMTVTGVTMKRIHDDIQTQMNLVETALALGATPRQATHEQVKRALIIALSPVVDNTKTVGLISLPGAMTGLIMGGASPLEAIQLQIVVINMTIGASTISSIMATYLCWPAFFTKAYQLETKVFSN >OIV91630 pep chromosome:LupAngTanjil_v1.0:LG19:17202113:17203770:1 gene:TanjilG_09042 transcript:OIV91630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRVPCCEKNGLKKGPWTPEEDQKLIDYIQKHGYGNWRTLPKNAGLQRCGKSCRLRWTNYLRPDIKRGRFTLEEEESIIQLHSILGNKWSTIASHLPGRTDNEIKNYWNTHIRKKLLSMGIDPVTHSPRLDLLYISSILNSSLYANSSNFNIQRLLTMQPIENTELLKLASSLLFSQQCEDQNLCAQNDQYNPQILNQIQFQNPVQQVACTELVEPNMSSFTDLSSQQHSLLNDWHRNNGTSLSTFSEDYIPQLLSSYSYSNYNSDNQNLMDPPLSETTTLNSNNSSNKNFSFASVLSTPSSSPIELNSSSTFINGSITEDERESYGSSSNMLKFEIPEILDVNEFM >OIV91564 pep chromosome:LupAngTanjil_v1.0:LG19:17707437:17709465:-1 gene:TanjilG_08976 transcript:OIV91564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSATPLSCNDKISKPLHVVMFPWLAMGHIYPCFEVSKILAQKGHHVTLLSTPGIIDKLPKLSQNLSPFVKLTKLNISLHIDKNHIPQDADSTMDIPSNKVYYLKLAFDGLQDSVAEVLKTSNPDWVFYDFAASWLPQVARSLNIPCAYFSPCPAWSICFFDTPKEQIGDAASNRTKHEHYYGPPKWISFPTNIGLRPYEVTKLFEDVKVNETGASPVFDLNIANSGCDMFIIRSSRDLEPDWLDYLAEFYNKPVIPVGLLPPSMQIRDSDDEDITLDWLQIKAWLDTQQPSSVVYIAFGSEVKLSQQNLNELAFGIELSGLPFFWVLRKGSVELPDRFQDRTIDRGVVWKTWAPQPKILAHGSVGGCLTHCGSGSMIENLYFGHVLVMLPFLLDQALYSRVMEEKKVGIEIPRNEQDGSFTRSSVSNALRLAMVDEEGNAYRKNAKDIGKKFSNKDLHNQYIENFIDSLHNHKYV >OIV92370 pep chromosome:LupAngTanjil_v1.0:LG19:2284031:2286823:-1 gene:TanjilG_09968 transcript:OIV92370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPSSNAYWPPSPGYWPSSKFRSLSFYKGFTNLWGPQHQRLDQNALTIWLDRTSGSGFKSVRPFRSGYFGASIKLQPGYTAGVITAFYLSNNEAHPGFHDEVDIEFLGTTFGKPYTLQTNVYIRGSGDGKIIGREMKFHLWFDPTKNFHHYAILWSPKEIIFLVDDVPIRRYTRKSDATFPLRPMWLYGSIWDASSWATEDGKYKADYRYQPFIAKYTNFKASGCTAYAPRWCHPVSASPYRSGGLTPQQNGAMRWVQRYHMVYNYCQDSKRDHRQTPECWG >OIV92527 pep chromosome:LupAngTanjil_v1.0:LG19:445035:450190:1 gene:TanjilG_02290 transcript:OIV92527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSRRLAVLSTSVIRSSIRSKPILTFPSVQFHPSQLPHSSNSDATFIPNAFVDSLGFRAYSLLSLNDLRDNIPRKKPTRKGRGIGSGKGKTAGRGHKGQRARKGSKLGFEGGQTPLRRRMPKRGFKNPFSLTFQPVGLGKIAWLINAGKIDSSELITMKTLKDTGAIGKQIKDGVRLMGRGAEQIQWPIHLEVSRVTVRAKAAVEAAGGSVRRVYYNKLGFQALLKPEWFEKKGRLLPKAARPPPKQKDKVDSIDSLIPAEAFLSAGHYHTTCPNAEGIISQTVAAWVKKDPTLAPSIIRLHFHDCAIRGCDASILLNHQGSERSAFNSKTLRGFQLIDEIKAQIEKRCPKIVSCADILTAAARDATILAGGPFWEVPFGRKDGKISLESEASLVPHGHENITGLLEFFKERGLDILDLVTLSGSHTIGRTTCYSFIDRLYNFNGTKKPDPLLSFSFLKLLRKRCKGVMDLVFLDVITPRTFDITYYTNLKRKAGLLSTDQSLFSDARTAPFVEAFATQPFLFTSQFAVSMVKLGNVQVLARPNEGEIRVNCNYVNK >OIV91918 pep chromosome:LupAngTanjil_v1.0:LG19:12926565:12931922:-1 gene:TanjilG_26037 transcript:OIV91918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGDATNWDEDGYKESILKERDFQTRTVFRTAWAPSLNPNPDTLLVASSDGSIASYSISSCISNLNTHSGLSNTNNDRLLAQPSCFIQGHDGPTYDLKFYGDGEDALLLSCGDDGRIRGWRWKELTGSNCSVSSHGNDINPILDLVNPQHRGPWGALSPIPENNAIAVNTKEGSIFAASGDSYAYSWDLETGKVKMVFKGHSDYLHCIVARNSSNQIITGSEDGTARIWDCKSGKSVQVINPGKDFKLEGSVSWVGCVALDASESWLACSRGRNISLWNLPASECISRISSQATVQDMLFENNQILAVGADPILNRFDMNGVILSQIQCAPQSAFSISLYPTGVVAVGGYGCCVDVISQFGSHMCTFHCQCV >OIV92499 pep chromosome:LupAngTanjil_v1.0:LG19:720032:720813:1 gene:TanjilG_02262 transcript:OIV92499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHFNNQQDTPVVSYPPPGQAYPTTSYVSAPPPMGYPSKDSSIGYPQQSVPNQTTSKGDGFLKGCCAALCCCWVLDCCF >OIV92490 pep chromosome:LupAngTanjil_v1.0:LG19:785719:786591:1 gene:TanjilG_02253 transcript:OIV92490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVSTSIGKHDVEYASVFRVDSRFFMRKGYLAPEYAIRGKLTRKADIYSFGVLLMEIISGRCNINSRLPIEEQFLLERAWDHYERKELVRLVDTSLNEEFDPDQASKFLKISLLCTQESPASAIDVICGKNA >OIV91723 pep chromosome:LupAngTanjil_v1.0:LG19:16538602:16539222:1 gene:TanjilG_26576 transcript:OIV91723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDINLNENHDEQNLPLLANKEVPEAERNLIQRAISQTFQSTAHLANLLPTGTVLAFQLLSPIFTNLGNCDPISKFMTATLVAISGASCFLLCFTDSFRDSKGNICYGFATFRGLWVIDGSTMLPPQVAAKYRLRVIDFMHAVMSVMVFAAVALFDQNVVNCFFPEPSKETQEILTVLPVGIGVFSSMMFVAFPTQRHGIGFPLSTN >OIV92469 pep chromosome:LupAngTanjil_v1.0:LG19:1068330:1069892:-1 gene:TanjilG_02232 transcript:OIV92469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQNKGGHRIFRPPVSLREEATGNIHTNPSTNAKSMLRFNHLKKLAMWATKDTHLPSLGAFYGNQLATLGESLGLSNDPSLITCQRCETVLHPGLNSTVRIEKNRSKVKHKCKLSGNNAQNNVVYKCHFCLHQNLKRGTPKGHVKGLCPPKPKSKHKSNSKSSLKSKPSTKPIKHEPSKLENSIISKDEVNETDVLASQVVAKGPTHIDDSPATPSSIGILTLLEGNKRKKNSTSKKAAETASMSAKVGDKTVGASSKRRRKSWTSLKEIAQRNEFDKSRVANLTIPFFL >OIV92434 pep chromosome:LupAngTanjil_v1.0:LG19:1350940:1354361:1 gene:TanjilG_25164 transcript:OIV92434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGEVIKKKPKPTQMNPNWVQLQQKLKLNAPKPYSRNFKKSEEDDTSKSILGKRKDRSDDESDDSEINPLAPTNDDSSLTDAVAMDCEMVGVGEGNKSALGRVTLINKWGNVIYDEFVRPLERVVDFRTFVSGIRPRDLRKAKDLWSAQKKVAELIKGRILVGHALHNDLKALLLSHPKKDIRDTSEYQPFQKTGSRRALKHLAEEVLGAKIQSGEHCPIEDARAAMMLYQRNRKEWEKSVKDQVRNKKKQGKRKQKKKPKNEDASNVTQAGYESL >OIV91954 pep chromosome:LupAngTanjil_v1.0:LG19:12345766:12352233:1 gene:TanjilG_23215 transcript:OIV91954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEDPSSPIWDLSYLLDFNLDETDPIPSVDLPEEFHLPPPPHQILAPDNDRVRKRDPRLTCPNFLAGHVPCACPELDAMLDDQGLPEKKRVRTARASAVVLRCQVPTCEADISELKGYHKRHRVCLRCANAATVLLRGEANRYCQQCGKFHILLDFDEGKRSCRRKLERHNKRRRRKPADSEAAAGHELQHVTENEDFSHDWEAVKDCSNSSGEINEKEASLDHEDEPLAVLCSAPDAQNINSDDPSLVAGSETQVNSRGKDVPKISNSPPYGGNKSAYSSRCQTGRISFKLYDWNPAEFPRRLRHKIFQWLESMPLELEGYIRPGWKMLSGRGSALIHLDDMIFRVVKDGTSVMKVEVNMQAPRLHYVHPTYFEAGKPMEFVACGSNLLQPKFRLLVSFSGKYQKFESCVRSPHNWTRDNVSCAFDNQLYKIHVPHTEESLFGPAFIEVENESGLSNFIPVLIGDKEICIEMKRLQQELDVSLLSEQFQSVSVGSVCSSCQAFALRHTSSSSDLLVDIAWLLKDPTSENFDRVMTASQIQRYCYLLGFLICNDSTIILGKILPNLTILTEIMRSNVVTNRMSDIDMTELLKCMHSARDVISQKLHKGGGITVDSEMEGVKVAQSCFQDNMPSVAINSQGLMSIADARLGVSRSSTYNDRSERVALLKREIIMNIEELPRTSCHRYLPRGFSSSQPAMFVIASIAVCFGLCVAVLHHGRVSDFALSIRSSLIQEKG >OIV91669 pep chromosome:LupAngTanjil_v1.0:LG19:16033791:16035674:1 gene:TanjilG_26522 transcript:OIV91669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFFDWFYGILASLGLWQKEAKILFLGLDNAGKTTLLHMLKDERLVQHQPTQHPTSEELSIGKIKFKAFDLGGHQVARRVWKDYYAKVDAVVYLVDAYDKERFSESKKELDGLLSDESLANVPFLVLGNKIDIPYAASEDELRYHLGLTNFTTGKGKVNLIDSNLRPLEVFMCSIVRKMGYGDGFKWLSQYIK >OIV91889 pep chromosome:LupAngTanjil_v1.0:LG19:13941995:13945182:-1 gene:TanjilG_17881 transcript:OIV91889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQQHINVSQNPNSETTDSVPPPPLPPTPSHNRRPRVREVSSRFMSPSVSTVQRRRHQQSEGDENNRPIENSETPFPNGNSNSNNNSHCKPNLGPTSNMNQRKQRSVKLFKESNGIGRVEHVPPPHPSKSCSGRIGIGVNNGFATPYSRPDTPTINVSSRYRITHQHRSSANMNGNASAASKLLQASGMSSSNSNNHQLKLSAITSGLSQVETNSDTGSVYSDDECHDSDVSCSVQSLPELCSQGDVLPTVSTRSVVEKIGNMSGLSSSNGDLKFHTLLSRSISLPASSGSEHLVVNSVKGSEKQQTFSLSKQCGNQTNHVKVGGLSLPPVPPCAKQVNDTRKGRKGSSHLDDVHSMRLLYNRHLQWRFANAKAVATMKSQQRGSEKALYSLAMKLSELRDSVNMKRIELGLLQSLQTVSKILEAQIPYLDEWSALEEDYSVSITETIQGLMNALVQLPTGGKVRVDVREMEEALNSALKMMETIVFHIQRFMPKAEETDTSISELAIVAGGERSLVGECGDLLSKTHKSQMEECTLRSQLIQLHSVCHKNKSTEQVTDNSIASPHK >OIV92411 pep chromosome:LupAngTanjil_v1.0:LG19:1553983:1555811:1 gene:TanjilG_23011 transcript:OIV92411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLTLSLSSTLSPPITASSVTLLSARRSSGKLPHYTGLKLRPAAASRFSGSRIAPRSARVVCEDQDTAVEVAGIADANWQSLVLESETPVLVEFWAPWCGPCRMIHPIIDELAKDYAGKLKFFRLNTDESPSTATRYGIRSIPTVIIFKNGEKKDAVIGAVPKTTLTTSIEKFL >OIV91679 pep chromosome:LupAngTanjil_v1.0:LG19:16151063:16151524:-1 gene:TanjilG_26532 transcript:OIV91679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVDQNLKTITNDDIKCTPCGTANPLPPPSPPPPSPPPPATTTNCPPPPSPPRSGGSGGGTYYYSSPPPPTQYTYSSPPPPAYTGGGGGGNGGTYYPPPNYRNYPGPPPPNPIVPYFPFYYHSPPPPSTAAPPPLMGLTMYAIGFCSLMIYLL >OIV91606 pep chromosome:LupAngTanjil_v1.0:LG19:17398922:17404563:1 gene:TanjilG_09018 transcript:OIV91606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRNQNRPPRSPNTRKVGTEEIPLDKRRRIVGARGRDPFGVISNKPEDVANAEGSECSTVVVDFTSEEVEALLNQRMKKGNSYDKKEMDHRADLVKRLKLCVRWYKRVEEGYIQEKEKLRIELQSAEKKCIDTETEMKNKIEELNETITNLRMTISSLEERIAKEESDKLEAIDCYRKEKEARSVAEKLQACLSTELEKVRDEKSAAERKAISNEDLYKRSQEYNMSLQQYNSRLQSDLETTNEAHKRLETEKATIVENLSNVRAHNKALQDQLSSLKASQDDITKQKEMLVNDTSCLREELKQIRDDRDRQLGQVQTLAEEVSKYKEYTGKSVAQLDTLTIKTNALEETCSYQREEILKLQQQLIAEKEKSKMANLSASETRIVFEDQQRTIHELQERLADKEFQVIEGEKLRKKLHNTILELKGNIRVFCRVRPLLPDDATGMDMVVSYPTSTEAHGQSIDLIQSGQKYPFTFDKVFNHDASQQDVFTEISQLVQSALDGYKVCIFAYGQTGSGKTYTMMGRPDAPDLKGLIPRSLEQIFQISQSLKNQGWKYKMQASILEIYNETIRDLLANRSSGIDPTRTENGVPGKQYTIKHDANGNTHVTDLTVVDVCSVNEISSLLQKAAQSRSVGRTQMNEQSSRSHFVFTLRICGTNENTEQQVQGVLNLIDLAGSERLSRSGATGDRLKETQAINKSLSSLSDVIFALARKEEHVPFRNSKLTYLLQPCLGGDSKTLMFVNISPDPSSTGESLCSLRFAARVNACEIGIPRRQTSTKSFDSSRLSYG >OIV92357 pep chromosome:LupAngTanjil_v1.0:LG19:2526021:2533338:-1 gene:TanjilG_09955 transcript:OIV92357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAETSSTTNHLPGFLHRSLPAVVPTLLVSIGYVDPGKWAATIEGGARFGSDLMAFMLIFNFAAIFCQYMSAKIGIITGRDLAQICSDEYDTWTCMLLGIQAEVSVIMLDLNMILGMAQGLNLVFGWDLFTCVFLTATGAVFHLLLALLLDIEKAKILGLYVTGFVLLSFVLGVLINQPGIPLFMNGVLTKLSGESAFVLMSLLGATLMPHNLYLHSFIVQWHQRPIDISQEALCHKHFLAIFCVFNGLYLVNNVVMNASANEFYGTGLVLLTFQDALASTEQVLHSPIALLAFLLILFLANQATALTWSLGGEVVVNGFLKLDIPSWLHYATIRMIAVLPALYCAWSSGAEGMYRLLIFTQVLVALQLPSSVIPLFRVATSSSIMGVHKVSQFVELLALVIVIGMLGLNIVFVVEMLFGSSDWVCDLRWNVGNGVSISYLVLLIVVFASICFMLWLATTPLRSASIHLEAQVLKRDMPETVSNLPIDGEESYLTEERYHGDTSVQVKEPTPTLVARTLNYSDVTVQSFHPDLPETKTEPGLHVTAVKESHSLTSFPSSPKSLAKESESEAVSTVVSEISDSRVAGTKTVKVETSAPVGKKVEVEGDSIVERDDDVDSWETEKSSKVVSTCALSSTSDGPASFRSLNGKSDEGENSIGSLSRLGGLGRAGRRQLAAVLDEFWGQLYDFHGQATREAKAKKLDVLLGGGIDSRSADSLQKVVACGKEYSEDLASVGGRASNTLMNSNLYDSSKQPRIQSSLESSHGLQRSSSSIQANPIQLLDAYVHSQNSSCNLLDSGERRYSSVRNLPSSEDWDYQPATIHGYQPASYLNRDGNGRNFDYLNGPMQPSSLKFPSMGNTNYRDSIAFALGGKLHNRVGLGQPPGFQHVSVSRNSQLQSERPYYDLVSSGLADNAVSSVNNKKYHSLPDISGYAIPHRTGYVSDKNAPWDGSVGYRPSASKTYYEQSSYSNSGSRTRPHLAFDELSPSKVYREALSSQLNSGFDTGSLWSRQPFEQFGVAEKSNNVAMEGVGIRPNTAVEETTSFVDIEAKLLQSFRLCIVKLLKLEGSDWLFRQNDGIDEDLIDRVAAREKFVYEVESREMDQVAHKGEAQYFSSDRKPGSSIKNNDAYSSSFSVSSVPNCGEGCIWRSDLIISFGVWCIHRILDLSLMESRPELWGKYTYVLNRLQGIVDPAFSKPRSPMVPCFCLQVLVSHQQKSSPPLSNGMLPPTSKPGRGKYTTASTLLELIKDVEIAISSRKGRSGTAAGDVAFPKGKENLASVLKRYKRKLSSKPANTH >OIV92228 pep chromosome:LupAngTanjil_v1.0:LG19:4901855:4902170:1 gene:TanjilG_31147 transcript:OIV92228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAQKQRSNETPEEDLLTTVDNNNEVPVDEFESDRNHSSSNGDKKEYVEFNPLCTFLLRFSSI >OIV91718 pep chromosome:LupAngTanjil_v1.0:LG19:16491196:16491633:1 gene:TanjilG_26571 transcript:OIV91718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQAIPYAYRTLVTPTTRATRDLPVAGATLFPNGMNMDPSNTVIKLVSENAVIIIGQRGCCMCHVVQRLLQSLGVNPPVHEIDEHHETAVVAACLLSQGGKGGGTMQLPVVFVGGKLFGGLEKVIATHISGELVPILKDAGALWL >OIV92256 pep chromosome:LupAngTanjil_v1.0:LG19:3924347:3929543:-1 gene:TanjilG_00274 transcript:OIV92256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGANSIPSEGSHDLDEQISQLMQCKPLSEQQVKVLCEKAKEILTDESNVQPVKSPVTICGDIHGQFHDLAELFRIGGKCPDTNYLFMGDYVDRGYYSVETVTLLVALKVRHPQRITILRGNHESRQITQVYGFYDECLRKYGSANVWKIFTDLFDFFPLTALVESEIFCLHGGLSPSIETLDNIRNFDRVQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDISEQFNHTNSLKLIARAHQLVMEGFNWAHEQKVVTIFSAPNYCYRCGNMASILEVDDCRSHTFIQFDPAPRRGEPDVTRRTPDYFL >OIV92245 pep chromosome:LupAngTanjil_v1.0:LG19:3581057:3592195:1 gene:TanjilG_00263 transcript:OIV92245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAEKSNDSKAEELKLLANEAFSARKYSQAIDLYTQAIELKSESAVYWANRAFAHIRLEEYGSAIEDATKAIEVDPKYSKGYYRRGAAHLGLGKFKEALKDFQQVKKMCPNDPDATKKLKECEKAVTKLKFEEAIAAPEAERHSIAESIDYKSIGKGRNSSVPTKMAIAAVTVAVMAVVVMLFRSSMTIIVAAIVVGLLLLLGAFGWSGRNTDVEPQYSGARIEGDIITLDFVKKMMDDFKNQKSLHKRYAYQIVLQTRERLQALPSLVDINVPDGKHFTVCGDVHGQFYDLLNIFELNGLPSEENPYLFNGDFVDRGSFSLEVILTLFAFKCMSPSAIYLARGNHESKSMNKIYGFEGEVRSKLSDSFVELFAEVFCSLPLAHVINQKVFVVHGGLFSVDGVKLSDIRAINRFCEPPEEGLMCELLWSDPQPLPGRGPSKRGVGLSFGADVTKRFLQENNLDLVVRSHEVKDEGYEVDHDGKLITVFSAPNYCDQMGNKGAFIRFEAPDLKPNIVTFSAVPHPDVKPMAYANNFLRMFS >OIV92322 pep chromosome:LupAngTanjil_v1.0:LG19:3055261:3057903:1 gene:TanjilG_10532 transcript:OIV92322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPSLSRIANSLITKIASSAIQAASVEIGVYDELQAFKASLLSIKFGILEAELKQGQNPELQDWMMKLKPVLYEAENVVDEFECEILQNKVVNAHVNSITKVGRFFSTFNPFVLGLRMAKQTKELKERLYHCKIQIYSFDLQINTVVDRSVVDEYCYYEMMRSLKSSDCTIPDVIIGREEDKETVIRLLMHQNPCGDDPQSLTVIPIVGMEGLGKTALAHSVFNDQRIDQSFSCKIWVSVTDGFNIKQVIAQIIQCITGTLVEVEEQQINQLRDILPKQKFLLVLDDVRNDDPLKWNEFWNLISTCIQGSKILVTTRNHFIASMMGTIHSHTLKGLCVEDSLSLFEKYAFKEGEEKKFPDLIKVGREILNKCEGVPLSIRSMGSMLFSKYEIKHWELIRDMEFWDLPIANPILRALRLSYLQMPSHLKQCFELFSLYPDDFVFHSSEVASLWAALGLLPSPNKDETLIDVANQCLLELMSRSFLHEFVNFGTSYYFQVHDLMNDLARSIAKDECHMVSSNIQNVPENVQHLSFVEDEFLGKSFTSKSLMVRTILFPIEGVGASNKAFLNMCVSRYRYLRILDLSDSTYETLPLSIGKLRHLRFLSLERNEKIKRVPNSICNLYNLQVLNLVGCTNLEKLPKGLGNLISLRQLGITTKESTLPENDIANLNSLEILNIESCENLKSLFVGIKLPTLRTLIVTKCRSLKSLPLDINHFPQLETLLVDNCEYLDLTMGYDDCEYLDLTMGYDDQNSNLRLKAICLHSLPQLWTLPTWLQESTITLQSLIIVDCKNFLVLPEWLSTLSFLGSFGMINCPKLMFLPNDIHYLTALRYLRIEGCPELCRKCQPKFGEYWSKISHIYQIFIDEPEDIKEDHLEEEE >OIV92519 pep chromosome:LupAngTanjil_v1.0:LG19:528923:535038:-1 gene:TanjilG_02282 transcript:OIV92519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDSGVHGGAAVENTARKGEWKLDVKGFQQPPQTQKDDNNKNQNSVSHFPFRNNNYYKKQEMLLEGFNEVETMNETGLFPGSLTKDEMKQLAKSERVAVLVSNACNLVLFGAKVFTSIESKSLAVIASTLDSLLDLLSGFILWFTSNAMKTPNHYHYPIGKKRMQPVGIIVFASVMATLGLQVLIESGRGIISKTKPESDPLKLKWTIGIMTFATVVKFILMVYCRRFKNEIVRAYAQDHLFDVITNSVGLAAAVLSVKFAWWIDPTGAILVNTPQGIHDKKQPKDEFYVNLGIAIRTLREDMPLIFIKDLNYDIYRDDITFKDPLNTFTGIDKYKLIFWALRFHGKILFREISLDVYRVWQPSENVILIRWNLRGVPRVPWEAEGEFQGTSRYKLDRNGKIYEHKVDNLAFNFPQNIKQVSVLNLVTASPNPTFLWGPMDSYSCSSWIAFYKLVMETLDQEGILLPQDSLATCS >OIV92447 pep chromosome:LupAngTanjil_v1.0:LG19:1225968:1232331:-1 gene:TanjilG_02210 transcript:OIV92447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLISKVAEDLCHDNIEGSNEERQIFAEVFSGSDIFQSSERCLVSGVINFECESNKKTFKSFCSSNENTVVMQPLSSRLMHPDEDLNAIQHSKETAPGCMPESLICDDQNDEDMNAKRMNFSLHGLPCSISNSGNVLSSSGLSKVVSNLSYAATDCDSEPFLFRLVESSKHGVISSQYLLKHNLLQNKEIATDDVDVTNCKSTTAGESAAKEMSVTKVVASPVSQESFANKLVVPNPSVSVVDKPGSPLHPGEMPKDFLSSEMDISNSSSKLDQKDPRTSLQFYISQLLTSAGWSIEKRHRPSRRYMDSVYRTPKGKPVREFTKAWRLCGQLLSVEKCNVMYEYCKEWTDISQFCSDLSSALINVEKTRNQSEPCVMLAHQWWLLDPFVVVMFLDRKIGALKKGEIVKATWSLVSSKYKMARAPIGSAWEDTSGAHFDPNHDKAIHCDYSTGIASPIPQSECSDEEGRKISVTSEVEPDNTCSATNVLKKKMRRKCKRVSEINLSMSYYSDMLGSTVTDPVQPLNGDACDNQLALEEDQDYLVDSARKKRSCRKLSSVNAFEQNIMKANHSMTGMNKSKKCQIKDDDLLVSAIFRNKDFSSKTTRGNSRGKSCKSRVQRKLKSKKGHCRLLPRNPCNAGKHNKDGKWYHLRARTVLSWLIENGVICLNDMIQYRNPKDNAVIKDGRITKDGIICKCCGKVHTLSGFKFHAGFMLNRPCLNLFMGSGEPFTLCLLQAWSDEYKARKSQNQAVKVDNDDRNDDSCGLCGEGGELICCDNCPSTFHMTCLSTQEIPDGNWYCTYCTCRICGDLVIDKEASDAYGALQCAQCEHKYHEKCLRARDQQEVAVSDTWFCGQSCQEVYTGLQSQTGLVNQAADGFSWMLLKCIHDDQKCLSAQWFALKAVCNTKLAVALTIMEECFLSMLDPRTGIHMIPQVLYNWGSDFTRLNFQGFYTVVLEKHDVLISVASVRVHGTTVAEMPLIATCSQYRRQGMCRLLVSAIEEMLISIKVEKLVVAAIPDLVETWTKGFGFMPVDDVEKQRLKKVNLMVFPGTVILEKPLYQKEKTEDVTVKVGISSEGMAAAESLLEDVGNLSTDKVGAESESEPVDIENHLDCEAGSEAIRIDSEQQNANDNEQIIQLTVSSSPGKSNEVYNTQESGAEKEIQMVVIESVQQSSDNCCANKDGAESDVKIVEDKNIEVGEVQENALQDHFSNLSCRTSLGSNFDMVSNIECSAMYDETAFLEQHLQILQAESQVERK >OIV92166 pep chromosome:LupAngTanjil_v1.0:LG19:5746465:5750843:1 gene:TanjilG_26029 transcript:OIV92166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGGVNRKISAASARAHTRKPVKKSSFPLGILRTTLAVLLISFVAWAYQAIQPPPPKICGSPHGPHITAPRIKLRDGRHLAYQEHGVPKDAAKNKIIYVHGFDSFRHDAVVAKTLSPDVAEDLGVYIVSFDRPGYGESDPDPNRTLKSLALDIEELADKLELGSKFFITGFSLGGHAVWGCLKYIPKRLAGAILVAPPINYWWPGLPINLTSEVFYKQKLQDQWTLRVAHYTPWLTYWWNTQQWFPSSSLIAGSVDILSSQDIELVSKRSDRQSYKAQVKQQGVYETLHSDLNVGFGNWEFSPVDLENPFPNNEGSIHLWQGDEDMLVPVEAQRYIAEKLPWIHYHELQGAGHFFPHANGMADTFIKSLLLGK >OIV91870 pep chromosome:LupAngTanjil_v1.0:LG19:13767146:13771010:-1 gene:TanjilG_17862 transcript:OIV91870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLIVEEPLKKTFQNVHQGFATENLARFLKAREWNVSKAHKMLVECLNWRVQNEIDNILSKPIVPPDLYRSVRDSQLIGLSGYSREGLPVFAIGVGLSTFDKASVHYYVQSHIQINEYRDRVVLPSASRKHGRPITTCIKVLDMTGLKLSALNQIKLLTIISSIDDLNYPEKSNTYYIVNAPYIFSACWKVVKPLLQERTRRKVQVLPGSGRDELLKIMEYESLPHFCKKQSSGSSKHSVSVSENCYSLDHPFHQELYNYIKQQSRIHEAVEPMKQGSFHVDFPELPAEEEEIAKTIESEINKFKGSNGDSESDYNMAFKSMVIED >OIV92276 pep chromosome:LupAngTanjil_v1.0:LG19:4184306:4185103:-1 gene:TanjilG_00294 transcript:OIV92276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGEIGMYPRNLKLIPTISNLNQGLVLQLNPSDVFFNSIYVDHKEINNMAVLEEDDDDKEEMDLEEKMMINKDKVALTIGFKETDFEELLETNMELNRVPSMEDFEELCPPEGSNSIILYTTSLTGIRKTFQDCNTVHFLLRSFKILYQERDVSLNLEYREELWKILGGKVIPPKLFIKGRYIGGADEVVKLHEMGWLEKLLEGAPPIDNSDCPCIGCSNMRFIICTNCCGSCKVFTTNEDTNDEFFIKCSECNENGLVKCPICN >OIV92299 pep chromosome:LupAngTanjil_v1.0:LG19:2846458:2851114:-1 gene:TanjilG_10509 transcript:OIV92299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMRRHCRLWWPKQLLSNQESPSDVVLGWFVTCSPSSLDIIVAFTCSEVLLSSSSPNLEEVIHDTHGSMPVILQDKSAFSVLGLCTSDTTSKSLMAETEDDKTFNCSNDWAEGSTSLYRENKCESCCRLQIDGSLRKSGQYFLGKSNWVILMFDSPEKNNAGIHRIPKLHHIHWNGQPVSNYDVHVIIYETPSYGAHHFSLCHPGSNEQTATSVKNPRWVDELHKNQQVIDLDTVILAINCTDAAKRILEKHVVTRSSFSQLSIFPMFFVIIGHLFSKFMASFSTLFYIVLQFFQTHLNYKSESWMYVTSANVLRTAWINIQIRCCQILYWPIFLQENDLRSRSCVEYAEKAAMHRHSMWSTLVVDILLGNLVGWPLLYHTESICLSLLNFIHGFASFLRTGCVWLMGNPAGFKLNAELAGALGMISLNAIQIWSTLWAFVGFIFNYIIQGLAILGILCGFTAPAALIIDMIALTTLHVSTLHWLISLVYSLQIQALAALWRLFRGRKWNPLRQRLDSFDYTVKQHIVGSLLFTPLLLLLPTTSVFYIFFSIVDTTINLICILFEVTISVIHATPYIKIFLRLVRPGRFPSGIWFEISGCQTNNTVSPKAVFADKMTSSKEPLHLKDFDREKSGVLVSVLHSNYLSIGKVILPHYKNVFWGAYGSSISTVAYGILIGQRMPSIRGTLLPSLMPWMSLPYKEYWRLCHDSLIACFR >OIV92197 pep chromosome:LupAngTanjil_v1.0:LG19:4483555:4487848:-1 gene:TanjilG_31116 transcript:OIV92197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEIMIPGKIRKRGCSSSASDTSSLINNNNYRLKRAIMVGTAKKCGSTTPVPTWKLMRALESPKYPPSYTGGSFHSKVKQNQHHHHHHHQPQQHGAPVSARKLAATLWEMNEIPSPSMKEMREEGMGMMKNKKKEVRGKERVVRSIRSGSFPPHLSDPSHSPVSERMDRSGTGSRHRRTPSISQRPRLPEHHVGPLDSLSNASVMEIETRSHAQTPASSTLGVKTRLKDVSNALTTSKELLKIINHMWGHEDRPSSSMSLISALHTELERARLQVNQLIQEQRSDQNEINYLMKCFAEEKATWKIKEQEIVEAAIESVAGELDVERKLRRRLESLNKKLGRELAETKASLLKVVKELESEKRAREIFEQVCNELARDVGEDKSEIEKQMKTSTRVYEEVEKEKEMMQLKDMLREERAQKKLSEAKYQLEEKNAAVDKLRNQLEAFLGSKQVREKGRSSTHLNDDEIAAYLGRSRFSYHHNEDKDGDGGEVDNGVECEEDSGESDLHSIELNMDNKKSYKCTYPVERFDTRRYPIEEVKARRSTTSVRASRKSTSLQRSISEGMEWGVQGEKIQNSGDGINWESFYELEKQAQGKGYGDEMEDYKSVKALRDQILADSRLGSSRGYANASPTRQFSQPRPSRDPTNTFQERPPTWQGTGLKSRLGEARGDGRMYGGVCRVQ >OIV91832 pep chromosome:LupAngTanjil_v1.0:LG19:13284884:13285485:1 gene:TanjilG_17824 transcript:OIV91832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGALSSIFGGAAASVDSSSENSPVKSIHKSELWKIHFNELKNSSKLAVIDFSASWCGPCKFIEPAIHAMAGKFPNVVFFKIDVDELSDVAKEFEVQAMPTFVLLKEGKEIDRVIGAKKDELEIKIKKHGGS >OIV92032 pep chromosome:LupAngTanjil_v1.0:LG19:9513694:9514500:1 gene:TanjilG_01741 transcript:OIV92032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLFSVLVIGENCSKYADDGDGRQMAPKRQRTVDPSSSFYGSPSSSFMYNPAPYGYVSQPPPPPPFPVVRLRGLPFDCTETDVAEFFHGLDIVDVLFVHKGGKFSGEAFCVLGYPLQVDYALQRNRQNIGRRYVEVFRSKRQEYYKAIANEVSDVKGGSPRASRTKSYDEGKDSAEHTGVLRLRGLPFSASKDDIMDFFKDFVMSEDSIYIIMNSEGRPSGEAYVEFENADESKAAMAKDRMTLGSRYIELFPSSHSEMEDAISRGR >OIV92216 pep chromosome:LupAngTanjil_v1.0:LG19:4696701:4699588:1 gene:TanjilG_31135 transcript:OIV92216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSLIRRLAPYLASRFRQNQRFIITATTTTSSSSSYSSSAPVIHHASSSSTSTETVNMTESCVRRMKELEGSESSLAGKLLRLSVETGGCSGFQYVFNLDNTVNSDDRIFEKEGIKLVVDNISYDFVKGATVDYVEELIRAAFVVTENPSAVGGCSCKSSFMVKQ >OIV92173 pep chromosome:LupAngTanjil_v1.0:LG19:5523307:5523531:1 gene:TanjilG_30814 transcript:OIV92173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEGESIADYFTKIRSLSNLMKGCGEAVRDQLVVEKVLRTLTSKFDHVVVAIEESKDLESFKIEELQSSLEAHE >OIV92569 pep chromosome:LupAngTanjil_v1.0:LG19:135392:136656:1 gene:TanjilG_02332 transcript:OIV92569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSSIQQFMNTCNSNPNLTNNTNTSINNITSFGGSTAGSSSSLASTAATTSRYENQKRRDWNTFGQYLKNHRPPLSLSRCSGAHVLEFLRYLDQFGKTKVHSSICPFYGHPNPPAPCPCPLRQAWGSLDALIGRLRAAFEENGGRPEANPFGARAVGLYLREVRDLQSKARGISYEKKRKRPPQQQQQSMLPPSSNATQQGN >OIV91934 pep chromosome:LupAngTanjil_v1.0:LG19:12667602:12671391:1 gene:TanjilG_00602 transcript:OIV91934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQPGGPGGIAPPNLGQQPYVMLPPQPQPPPIWQQPPPQSVAPPQQQQSQPTSADEVRTLWIGDLQYWMDENYLYQCFAHTGEVASVKVIRNKQTNQSEGYGFIEFNSRAGAERVLQSYNGSIMPNGGQPFRLNWATFSAGERRHDDSPDYTIFVGDLAADVTDYLLTETFRSRFNSVKGAKVVIDRLTGRTKGYGFVRFADENEQTRAMTEMQGVLCSTRPMRIGPAANKNLGTPQKASYQNSQGQGSQNENDPNNTTIFVGNLDPNATDDYLRQVFSQYGELVHVKIPSGKRCGFVQFADRSCAEEAIRALNGTLLGGQNLRLSWGRSPANKQAQPDSNQWNGGGGSGGYYGYTQQGYENYGYAAPAAAAVQDPNLYGSYPAGYANYQPPQQQQQIGYS >OIV91621 pep chromosome:LupAngTanjil_v1.0:LG19:17274186:17277982:1 gene:TanjilG_09033 transcript:OIV91621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITQGEFLRDGETLISEKLNFQMGFFTPNNNNNSSYSRYVGIWYYNIPKPEFVWVANRDKPINGRGGYITITSDGNLVIFDDKNTLLWSTNVSSSKKNSEAVLHDDGNLVLSSKGGNNGKKQVLWKSFDNPTDTYLPGMKVPVSGVEVKKNMKNYAFTSWKSNTDPSLGNYTMGIDPEKLPQIVVWEGGNRRWRSGYWDGRIFSGVNMTGSYLYGFTLNVDSEGGKYFVYSPLNIGDKVRFQIGFDGYEREFKWSEDEKKWNQIQKGPINECEVYNKCGSFAACYISTSSPMCSCIKGFEPKHRDEWSNLNWSSGCKRITPLKDEISNSSGSEVNVREDGFLELRGMKLPDFARLVDVNNHNGTEGCKRSCLRNSSCIAYANVIGIGCMVWDEELVDVQHYETGGNTLHIRLANSDLDTGDGGKKNKILIISSVLLGSICLGIFVLLVWRFKRKLKVLPTVSSASCCKDSDVDIPFYDVRMSRDLSAELSGSADLGLVGNELSRPELPLFYFSYISKATNNFSEENKLGQGGFGPVYKGKLQSGEQIAVKRLSKRSGQGLEEFKNEMVLIAKLQHRNLVRLMGCSIQGEEKLLVYEYMPNKSLDCFLFDPVKQAQLDWTRRLEIIEGIARGLLYLHRDSRLRIIHRDLKASNILLDQNMNPKISDFGLARIFGGNQNEANTTRVVGTYGYMSPEYAMEGLFSVKSDVYSFGVLLLEIISGRRNASFRNSNDSSLIEYAWHLWSEQRAMELVDPCIRDSSPKIQALRCIHIGMLCVQDSAAHRPNMSTVVLMLESETTTLTMPKQPLITSMRVSQEREFHMDSLDVSNDLTVTMVVGR >OIV91989 pep chromosome:LupAngTanjil_v1.0:LG19:11235042:11236235:-1 gene:TanjilG_07728 transcript:OIV91989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSPISAPTPSPDPTTTPMLQKPPGYKSPFKESTPSRKPVLPPTLRPKKKRHCGCCCICCCVIFIIIFVLICAIAIAAGMIYIVYDPKLPEFNVSSFRLNNINVTQKPDGVYLNAETIAKVEVKNKSGKMGWLFDETKVDVTAENGDLDLGTTTVPGFEVKEKEMKELNAGTKIKDIALNERLGKKVGGKEIVPVVNIRTKSGVGLSGWKSWKIGVSVVCGDKSLKQLEAGDTPKCTLTTLKWIKIHF >OIV91769 pep chromosome:LupAngTanjil_v1.0:LG19:16980043:16980336:-1 gene:TanjilG_26622 transcript:OIV91769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLGKLEWVNAYGKKHCRILFWKMKKALKKSGKKQQLKFQYDPSSYALNFDDGCSHFDIRDATKESMEDAKVQDLTDMNKNNNNKTWVLVLLVKTK >OIV92128 pep chromosome:LupAngTanjil_v1.0:LG19:6361311:6362516:1 gene:TanjilG_18700 transcript:OIV92128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNFSSKKCKRCGLYEEDRLLSDYEFDEWEFCPSDFASPYGKYVRVKENEFNATFLCPECLSFAGDLAGNCVMEYCNVLFAKGSTSTSAVAIAASDWNDKRKGVLIAVVVLLTVLISAGVIVGVMAAFKYWQKKKREKDQARFLKLFEEGDDIEDELGLGTII >OIV91901 pep chromosome:LupAngTanjil_v1.0:LG19:14056011:14061523:1 gene:TanjilG_17893 transcript:OIV91901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVLVPKFQSLKFPSPICCCSITTWNSSYLNFGFRRLSSLSVSAASKVNEAVVESVIASPSLGHSTRPHFPILHQEVNGSKLVYLDNAATSQKPTAVLKALQNYYEAYNSNVHRGIHFLSAKATDEYESARRKVATFINASDSREIIFTRNATEAINLVAYSWGLSNLKSGDEIIVTVAEHHSAIVPWQLVAQKVGAVLKFVTLNQDEVPDLDNLKEVLSRKTKLVVVHHVSNVLASVLPIREITQWAHDVGAKVLVDACQSVPHMIVDVQNLNVDFLVASSHKMCGPTGIGFLYGKIDILSSMPPFLGGGEMISDVYLDHSTYAEPPSRFEAGTPAIGEAIGLGAAIDYLSGIGMQTIHDYEVELGRYLYERLLSIPNIRIYGPAPSEEVQRAALCSFNVENVHPTDLATFLDQQLSDQVTIVLNRSIAT >OIV92417 pep chromosome:LupAngTanjil_v1.0:LG19:1592459:1594352:1 gene:TanjilG_23017 transcript:OIV92417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAIFHKAFAHPPEELHSPASYNGSKKPKIPEQTLKDFLSHHPHNTSSMTFAHAAVMAYVHPHHKPFSIHQRLFCGIDDIYCLFLGSLNNLSLLNKQYGLTKGTDEAMLVIEAYKTLRDRGPYPADQVVKDLDGSFAFVVYDSKVGSVFAALGSDGGVKLYWGIAADGSVVISDDLGVIKEGCAKSFAPFPKGCMFHSEGGLMSFEHPMNKLKAMPRVDSEGAMCGAYFKVDKFARVNTIPRVGSHSNWMEWEQH >OIV92331 pep chromosome:LupAngTanjil_v1.0:LG19:3147367:3159539:1 gene:TanjilG_10541 transcript:OIV92331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKTKMEEVEVEESIVSVTLARSITSLLTATPKKLNDAVSTLSSSSSNSHHHTQSIEHSLWFLHKYVNDAAHNNHSLHQFLAPIIHNALKCKDSKQGGQAMILLNWLFQDELLFEPVAEGLISIITRKDDQYLLFGWCVLVRSLVEYENSIHQSTFTGIKERHADLLKVLSTRLPDLAGIVSKGSTLLDGFEFPSRLAVSAADCFLTLSGALTKLAEVQGKKLKLNIKAKDRAITSIQTPTAYKNVKVDSKSLLISMMERNYILWHHLDELICLVQRLLAWSKKSRFLHAKGLEQVLKWLVEIKDHYGSFQNEADFNALKTGDLLLSSCWKHYSMLLHLEDQKFSQNYKELLNQYLSGIEYYMDNHAGGHADSKDGGETRKFFVNCLCLLLGRLGSKRFESTMLEFGMNISRILVPQLNCTDEDVIVGIVSIFKAIILKPNYSQEDTHTDTSQANIVVPFLLHLLDERDGTARAVVMLIAEYCLMSNDNKCIIEVLKRLASANISQRRNAMDVISEVIHISSESQKPFPFSAWQDVANKLLERLGDKEAVLREQASKLLPRIDPSLYLPALITLVYSPDECQSPASDAIAGVLKQHNQRTEVIFLLLDCLSNISQSLDLPQFTGDKGSKLDTDRVLKLVPEWSKSVEDWNSLIGPLVDKLFADPSNATIVKFLSCISENLANVADLVLHHVLLHAREQTEIGESFLSRWESRSYTSDEFEEMQRSMFEHLCPLLIIKMLPLKTFNNLNSSIMYGHLTQNIGSGNTNIGCESIAALLLSRAFYEFEFEDVRKLSAELCGRIHPQVLFPVLCSVLEQAVDSKNILKIKTCLFSICTSLVVRGQESLYHPSMLAIRRMIELVLLWPCLNSDSVSKAQHGCIDCLALMICAEQQAGELITDSIPKRTSVVGKKGNSVITYVIKRFVNDKEITSAPELGDESCEFVGAVPLSFRLCLGNALISACQKISESCKKQFAAQVIPFLLNSLEFEKKSEIRAACIQVLFSAVYHLRSAILPYASDLLKVSLKALRKDSEKERMAGAKLIASLMASDDVIVANISGGLLKARSVLSPISSSDPSPQLQQICTKLLACISL >OIV91572 pep chromosome:LupAngTanjil_v1.0:LG19:17657409:17659612:1 gene:TanjilG_08984 transcript:OIV91572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSSLLSNTFLSPIPLPSSNSSHPKPSSLNFPTNTFRSLTHFNKTKNPTPFTTFCSSDSLKDDSSIPIESRYPAFPTVMDINQIREILPHRFPFLLVDRVIEYNPGVSAVAIKNVTINDNFFPGHFPERPIMPGVLMVEALAQVGGIVMLQPEVGGSRDNFFFAGIDKVRFRKPVIAGDTLVMRMTLLKMRVGIAKMEGKAYVGGEVVCEGEFLMASGKA >OIV92334 pep chromosome:LupAngTanjil_v1.0:LG19:3171284:3172135:1 gene:TanjilG_10544 transcript:OIV92334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTCRKSPLKPWKKGPTRGKGGPQNASCKYRGVRQRTWGKWVAEIREPKKRTRLWLGSFATAEEAAMAYDEAARKLYGPDAYLNLPHLQPCSTDSPIKSGKFKWLPSKNFMSMFPSCGLLNVNAQPSVHLIHQRLQQFKKKGVASQSSFPFSSSTNGLNTETLKSHPENRPGEKNVQTSSEDMLGYFQEKPQIDLHEFLQQMGIVREERQTEGTDSSGSSTAVPEAVSRDESDQLGVFSDKSVNWETLIEMHEIAGIQETEGTQFEAYDINDDPTFSTSIWNF >OIV92431 pep chromosome:LupAngTanjil_v1.0:LG19:1314835:1317595:1 gene:TanjilG_25161 transcript:OIV92431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFMAKLRSGETDINSYRIKGTENIIRVGDCVLIRPSDARKPKYVACVEKFEKDNNNNVNVHVRWYYRPEEAFGGRRIFHGANELFLTDHYDVKSADVIEGKCVVHPFNDYTKIDNPGPKDFYCRFEYKVDTQVFTPDDVQVYCICEIPYNPDIFMVQCLRCRDRYHLACVGLTIEKAKAMNQYLCSDCFSKCKEEVGENNLNNFTDEEHNGNAKKPTQVPTELETSTQNSVTDIIKEPVMDSCTRMMNVLNNIDGLECGSTLWLEALQLLEDPVRREMFLAMKNDAARLAWIKLRCNIKDN >OIV92339 pep chromosome:LupAngTanjil_v1.0:LG19:3240987:3243223:1 gene:TanjilG_10549 transcript:OIV92339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVEERIYLHKEEEEHEDDTTVTVALHIGLPSPSAAEMASMLSSNNCSSEITDKEQHGGGDGGNEDSSGFMFNNRLNKGQYWIPTPSQILIGPTQFSCPVCCKTFNRYNNMQMHMWGHGSQYRKGPESLRGTQPTAMLRLPCYCCAPGCRNNIDHPRTKPLKDFRTLQTHYKRKHGIKPFMCRKCGKAFAVRGDWRTHEKNCGKLWYCICGSDFKHKRSLKDHIKAFGNGHAAYGIDGFEEEDEPASEVEQDNDDSTTQ >OIV91912 pep chromosome:LupAngTanjil_v1.0:LG19:12982396:12985906:-1 gene:TanjilG_26031 transcript:OIV91912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNMFNVIVLGLCLSTLFSLVSCAPNDDGLQLHRIGLKKVKLDSNPDERLAARLGFKEAATLSSAIRKYHLKSNLLGAAETDIVGLKNYLDAQYYGEISVGTPAQKFTVIFDTGSSNLWVPSTKCYFSVACLFHAKYKSSQSSSYKANGTSAAIQYGTGAISGFFSYDDVRVGDVLVKNQIFIEATKEPGVTFVAAKFDGILGLGFQEISVGNAVPVWYGMVKQGLVKEPVFSFWLNRNPEEEQGGEIVFGGVDHAHFKGEHTYVPVTRKGYWQFDMEDVLIAGKPTGYCAKDCSAIADSGTSLLAGPTAVITMINQAIGASGVASQECKSVVDKYGQTILDLLFSNAPPKKICSQIGLCTFDGTQGVSTGIESVVNKNERQSTGGFHDATCSACEMAVVWMQNQVSQNQTRERIISYINELCDKLPSPMGESSVDCGKLSSMPIVSFSIGGKTFDLAPEEYILKVGEGSAAQCISGFTALDVPPPRGPLWILGDIFMGRYHTVFDYGKQRVGFAEAA >OIV91905 pep chromosome:LupAngTanjil_v1.0:LG19:14100735:14101439:-1 gene:TanjilG_17897 transcript:OIV91905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLTIPTYLSKPTFNPKLIGTPTLKPRFTTTTTTTTIQCSTTPTTNTSSSSSSSSSDLKIFSTALALSSILLSAPLPATADISGLTPCKDSKQFAKREKQQIKKLESSLKLYAPDSAPALAINATVEKTKRRFENYGKQGLLCGSDGLPHLIVSGDQRHWGEFITPGVLFLYIAGWIGWVGRSYLIAIRDEKKPTQKEIIIDVPLASRLLFKGFSWPIAAYREFLNGELVAKDV >OIV91566 pep chromosome:LupAngTanjil_v1.0:LG19:17699299:17703653:1 gene:TanjilG_08978 transcript:OIV91566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYIKNRETFVSSLLLCFSVFIATFLLTCDKVDGQTSSVFACDVDKNNALSGFGFCDKSLSVEDRVSDLVKRLTLEEKIGNLGDSAIAINRLGIPKYEWWSEALHGVSNVGPGTHFSSLVPGATSFPMPILIASSFNTSLFEAIGKVVSTEARAMYNVGLAGLTYWSPNINIFRDPRWGRGQETPGEDPLLASKYATGYVKGLQQTDDGDSDKLKVAACCKHYTAYDLDNWKGVQRYSFNSVVTKQDMDDTFQPPFKSCVVDGNVASVMCSYNQVNGKPTCADPDLLKGIIRGEWKLNGYIVSDCDSVEVLFKDQHYTSTPEEAAAKSILAGLDLDCGNYLGQYTQGAVNKGFVDEASINTAVSNNFATLMRLGFFDGDPSKQPYGNLGPKDVCTSDNQELAREAARQGIVLLKNSPGSLPLDAKAIKSLAVIGPNANATTVMIGNYAGVPCKYITPLQALTALVPTTYAPGCPDVQCANAQVDDATQIAASAEATVIIVGASLAIEAESLDRVNILLPGQQQLLVSEVASVSKGPVILVIMSGGGMDVSFAKTNDKITSILWVGYPGEAGGAAIADVIFGFHNPSGRLPITWYPQSYVDNVPMTNMNMRADPTTGYPGRSYRFYKGETVFSFGDGISFSTIKHKIVNTPHLISVPLSEDHECRSSECKSLDVAHEHCQNLVFDIHLGVKNMGQMNTRHTVLLFFSPPNVHNAPQKHLLGFEKVHLAGRSEAQVRFKVDVCKDLSVVDELGNRKVPLGEHLLHVGNLKHALSVRI >OIV92450 pep chromosome:LupAngTanjil_v1.0:LG19:1205929:1206646:1 gene:TanjilG_02213 transcript:OIV92450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSFATVALVIFAFCFTSTLAFRQGGYHLESKVHYAVPVAGAEDFGKAKGSATDKFFVEGKVYCDPCQFEFESRLSKPLSGVKVILECRKPDTDVKTFSQEATADANGFYALNVVGDHEDEICAVRTEVNSHKHCNIPMKTSDSDRIVLTKHDGVSSALRFVNPLGFKTQKINDECVKVYKELELDTLDN >OIV92458 pep chromosome:LupAngTanjil_v1.0:LG19:1151541:1154456:1 gene:TanjilG_02221 transcript:OIV92458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGYRAEDDYDYLFKVVLIGDSGVGKSNLLSRFTKNEFNLESKSTIGVEFATRTLNVDSKVIKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTRHATFENVDRWLKELRNHTDANIVVMLVGNKSDLRHLVAVSTEDGKSYAEKESLYFMETSALESTNVENAFAEVLTQIYHIVSRKAVEAAENGNASVPAKGEKIDIKNDVSALKRVGCCSS >OIV91987 pep chromosome:LupAngTanjil_v1.0:LG19:11713195:11714502:-1 gene:TanjilG_06615 transcript:OIV91987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEIEHAAAAEEETALFGKYELGRLLGCGAFAKVYYARNVKTGQSVAVKIINKKRLAGTGLAGNVKREITIMSKLHHPHIVRLHEVLATKTKIFFVIELVRGGELFAKISKGRFSEELSRRYFHQLISAIGYCHSRGVFHRDLKPENLLLDENGNLKVSDFGLSAVRDQIRPDGLLHTLCGTPAYVAPEILAKKGYDGAKVDVWSCGVVLFVLAAGYLPFNDPQLMVMYKKIYKGDFRCPRWMSQELRRFLSKLLDTNPDTRITLDAMVRDPWFRKGYKEVKFHEEDYGGELWSKGDRSEKAVNLNAFDIISFSSGLDLSGMFHQMNGGERLVLEGLPEKVVELMEEVAVAEGLAVRWKNECGVELEGLNGNFRIGVEVYRLTEELVVVEVNRRGCDAVAFKEAWENKIKAKLLCSPSVSTTSLTESPELKVAGD >OIV92500 pep chromosome:LupAngTanjil_v1.0:LG19:709385:711707:-1 gene:TanjilG_02263 transcript:OIV92500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNPVDNINLYNSHQMGYDSLLPLSATTTADNIFRPPCNTFIAADSFPQKTAMNSDSSLTYNVHNCDSFSFLGEDLSLHLQKQQQQQLNINNIISEQIEKLKMEVVEKRKRETRKIMETIEVSVMKRVKAKENEIEKIGNLNYGLEEKVKSLCMENKTWRNLAQSNEATANALRNILEQVLTHANGGATINHAGATVPASSCCGSTDGVKNKEKDKDGVWRRIIGCAGVKDKEDLEIK >OIV92194 pep chromosome:LupAngTanjil_v1.0:LG19:4989110:5010901:1 gene:TanjilG_30902 transcript:OIV92194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYTISGIRFPVVPSSVHKPSQPSFFHGDRTRGKTFAVKVSRDSDSTSSTIAESDKVLIPQDQDNSESLTDQHETPDIISEGPESVHDEEDLTLEDENKHNDNEAASSYREDEDVEGSFVSSLVDVDAHAQAETTSVSIVRKVKIESDEVKPKIIPPPGTGKKIYEIDPFLQAHSEHLDFRYEQYKRLREKIDKYEGGLDAFSRGYEKFGFTRSATGITYREWAPGAKAAALIGDFNGWNPNVDEMVRNEFGVWEIFLPNNVDGSPAIPHGSRVKIRMTTPSGIKDSIPAWIKFSVQAPGEIPYNGVYYDPPEEEKYVIKHPQPKRPKSLRIYESHVGMSSPEPKINTYANFRDDVLPRIKKLGYNAVQIMAIQEHSYYASFGYHVTNFFAPSSRFGTPDELKSLIDRAHELGLLVLMDIVHSHASSNTLDGLNLFDGTDSHYFHPGSRGYHWMWDSRLFNYGSWEVLRYLLSNARWWLDEYKFDGFRFDGVTSMMYTHHGLEVTFTGNYNEYFGFATDVDAVAYLMLVNDLIHGLFPEAVTIGEDVSGMPAFCIPTQDGGIGFDYRLHMAIADKWIELLKKQDEDWRMGDIIHTLTNRRWGEKCVAYAESHDQALVGDKTIAFWLMDKDMYDFMALDRPSTPLIDRGIALHKMIRLITMGLGGEGYLNFMGNEFGHPEWIDFPRGDQHLPNGSVVPGNNNSYDKCRRRFDLGDAEFLRYRGMQEFDQALQHLEESFDFMTSENQYISRKDESDRVIVFERGNLVFVFNFHWTNSYSDYKIGCFYPGKYKIVLDSDDALFGGFNRLDHTAEYFTSDGWYDDRPRSFLVYAPSRTAVVYALADKVEPVLVTP >OIV92506 pep chromosome:LupAngTanjil_v1.0:LG19:652260:655357:-1 gene:TanjilG_02269 transcript:OIV92506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEGGRKQGAMSPCAACKLLRRRCSKDCVFAPYFPADEPHKFGSVHKVFGASNVNKMLQELPEHQRSDAVSSMVYEANARVRDPVYGCAGAISSLQQQVDVLQTQLALAQAEVVHMRMHQFSASSNQHHQSVPHVASNSSSQNLYQTSRTLSSQTKSLFGMDMVVDQTNMDHSLWSC >OIV92021 pep chromosome:LupAngTanjil_v1.0:LG19:10427607:10429067:1 gene:TanjilG_20178 transcript:OIV92021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFKTRRLSTCHRHPTEPLTGFCASCLRERLAGIESSTTAAGDCPAVETRPALKLRRTKSFSCSAAEPPSSSSTVPEPCRRSCEVHAAQGTLCDLLKFDGTEENGQRWKPVIDSRKLDFQIREEEEEEEHRNNGGEGVRVCGDDFACFEETKTMKEFIDLEFQSRKNSGRDFREIAGSFYKRLRKWRRKHKVPKNSSERGDRDNGNGNDSVGGRRIKETQSEVGEYGLFGRRSCDTDPRLSIDVARMSLDGYGYDPRFSFEVSRASWDGYLIGKACPRFSPMIRVNGVVDDNRVLVEEEEEEGMNLASVGEHCPGGSVQTKDYYSDSHGKKSFDRSNSRRKPSMADVDELRVISNAKVSPATTELFYGAKVLITENDLGNGNLNNVQYDSVTGSASTDVSDVVTQVDQRGSKKLHKWERLWNKLGLVQRRKEDKLGEEKHGAGGTGNRPISESWQKLRRVVNRQASGSVSRKLIQSYSVSHWPRTS >OIV91773 pep chromosome:LupAngTanjil_v1.0:LG19:17068662:17078290:-1 gene:TanjilG_26626 transcript:OIV91773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTRIGSGIGGGEDEDRRREEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEDRMRLWRRMRMRRRTXXAGG >OIV92142 pep chromosome:LupAngTanjil_v1.0:LG19:6179848:6181783:1 gene:TanjilG_18714 transcript:OIV92142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAARPLVTVQTVTGDIATDTAPTVALPDVMKASIRPDIVNFVHSNISRNSRQPYAVSRKAGHQTSAESWGTGRAVSRIPRVSGGGTHRAGQAAFGNMCRGGRMFAPTRIWRRWHRKVNINQKRYAVVSAIAASAIPSLVQARGHRIETVPELPLVVSDTVEGVEKSKEAIKVLKEIGAFSDAEKAKDSRGIRPGKGKSRNRRYISRKGPLIVYGTEGAKAVKAFRNIPGVELANVERLNLLKLAPGGHLGRFIVWTKSAFEKLDSIYGTFEKGSEKKKGYVLPRSKLVNADLARIINSDEVQSVVKPIKKEVRRSGIKKNPLKNLNVLLKLNPYAKTAKRMALLAEKQRVIAKKEKLEKKRGTVSKEEASAIKAAGKAWYKTMVSDSDYAEFDNFSKWLGVSQ >OIV91979 pep chromosome:LupAngTanjil_v1.0:LG19:11524553:11526856:-1 gene:TanjilG_06607 transcript:OIV91979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSTIILVSALCFLSLFDSAYCKDRFFVEGLVYCDTCRIQFITKLTEFLEGATVRMECKEENGGKVTFSKDAVTDSSGSYKVEVDGDHEEDICEVKLIKSPRPDCSEIDTEFHLEQSAKVSITKNNGIVSNVQSANPLGFLRKKRLPACAEVLKDLGVDDDGTPI >OIV92168 pep chromosome:LupAngTanjil_v1.0:LG19:5698797:5704283:-1 gene:TanjilG_30809 transcript:OIV92168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKRIMKGGQKKPSKFDANDLNPPPNAPNVTVNHASRGATTNSTSAGATAMMSNLPPASGTVEPLPLFRDVPVSERQNLFLRKCQICCYALDFSDTMKSVREKEIKRQTLVELVDFIHSGSGKINENCQEEMIRMISVNIFRCLPPALHENTGQETTDPEEEEPCLEPAWPHLQLVYELLLRYIVSSDTDTKVAKRYINHSFVLKLLDLFDSEDPREREYLKTILHRIYGKFMVHRPFIRKAINNIFYRFIYETERHSGIGELLEILGSIINGFALPMKEEHKLFLVRALLPLHKPKPVAMYHQQLSYCISQFVEKDYKLADTVIRGLLKFWPVTNCQKEVLFLGELEEVLEATQAAEFQRCMVPLFRRITRCLNSPHFQVAERAIFLWNNEHIVSLIAQNRTVVLPIIFEALEKNIKSHWNLAVNGLTVNVRKMFLEMDAELFEECQIQYAEKVAKAEEVEEQRELNWKRLTEAAGLNNGVEDMVTV >OIV91884 pep chromosome:LupAngTanjil_v1.0:LG19:13913574:13917756:1 gene:TanjilG_17876 transcript:OIV91884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPRMIHPIDGLVEDVQGGCGGSNLSHNHKGDPCLVLTADPKPRLRWTQDLHERFVDAVTQLGGASKATPKAILRTMNVKGLTLFHLKSHLQKYRLGKQSGKDISEACKDGMSGSYLLESPGNDNSPPNLPASDTNEGYEIKEALRAQMEVQSKLHLQVEAEKHVQIRQDAERRYMAMLERACKMLADQFIGATVTDTDSQKFQGIGSKSPRGPMVDALGFYSMSSVEVVGVHLPEEEIPPSLPPQRGDCSTESCLTSHETSGGIGFEGTQGGGKIRMLGMESMAAPLIWSEAKMRTQAINVSQGNPHGMSRYGM >OIV92033 pep chromosome:LupAngTanjil_v1.0:LG19:9548254:9548679:1 gene:TanjilG_01742 transcript:OIV92033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSGRTTTRSTSKTKPTAATKTRTTRQPRKTNFKKQKQHIKSKKQEIDNVFPSSCSSMSSQDLSKEGDNEVCEVIDVSSSSCSTPKGQKFKIPEISTCPPAPKKPTRVVSSNCSLRRSQLSFFSPPDLEHFFVALRDVLV >OIV92511 pep chromosome:LupAngTanjil_v1.0:LG19:591537:594242:-1 gene:TanjilG_02274 transcript:OIV92511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGRAPCCDKTQVKRGPWSPEEDLKLIAFIQKNGHDNWRSLPKQSGLLRCGKSCRLRWINYLRPDVKRGNFTIQEEEAIIRLHKDFGNKWSKIASCLPGRTDNEIKNVWNTHLKKRLSIQSSESSTDESKLESSITSSSSSLSESLSSNDAPNLAAKTIPSNELNEQETLETMNDKIEQHSEKQISNEVISIIEDPKELTTSLSFVESNILNSNHIVAFTPEQQLCSPLTNLEPYDADNNNTLQEVDKPNHLNEIPWDLDDDIWKMVDNFVSMQSNEVQLEGVPSNQISNPIEESVQDVETMKWFHEFDNVFGLVGETNESNKDGYLPKNYSSELGVDPQTFDLDVMESTESELDLSYIQLWLMA >OIV91694 pep chromosome:LupAngTanjil_v1.0:LG19:16279148:16279708:-1 gene:TanjilG_26547 transcript:OIV91694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMTMAMVSPWISTNTNTTRSSLTLFTTSRPITTISSLSFNSSPLLHSSFISSSSLSFPSSLSGLSLGLDLASNVGGRGGKRRGLVVRAGKAALCQTKRSRSRKSLARTHGFRRRMRTTGGRAILKRRRAKGRKILCTKTHSNSGK >OIV91887 pep chromosome:LupAngTanjil_v1.0:LG19:13933517:13934620:-1 gene:TanjilG_17879 transcript:OIV91887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCISSKIIARSLSYHEERMQGSRRSANDIPLLEDIIISANGSDQYLALVCAANNVTNKLYSRSFSSNKSPKQAIESACSETIEKLEISSRQEQEGGKQIEGDEKTRSKSWHWFPDYIVSSLAQESLFDIEEQHDLNSKGAARSRSFHTVEDYDDMVNRIWLSKYHSVDQCEFNDEEDNGSVTNMDLQVSEDKDSAIKKVQPFGLHKKYSFQERKIVEKKSILSTASESSVVSTSPKSQTCSSGIKHEVVPNQKTNISTMDKGNKRKALAERLESLRVPSNIESPAVARLREWLPAGGIYSPGSYVTPKFGSYSLMDIKNANESSENEDSIFSPELVSAFEQCMQELNADEENILKQIMEGVEEESY >OIV92418 pep chromosome:LupAngTanjil_v1.0:LG19:1595890:1598311:1 gene:TanjilG_23018 transcript:OIV92418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDRFFRNEMPPFVSETTETDETLQTSKTTSEPYSLTTLLSLPYQTLSSKFQVSAFHLKQLVVRETWGSSGKRVKDYTLYTGALGTAYLVFKAYQVTHDSDDLNLCSEIVKACDSASANSSRVTFICGNAGVCALGAVLAKHTGDERLLNYYLRKFKEINVPHDSPYELLYGRAGYLWACSFLNKYISKDTIPSTHMRSVVEDVIAAGRQLAHKGRCPLKYEWHGKKYWGAAHGLAGIMHVLMDMELKPDEVEDVEGTLRYMIKNRFPSGNYTSSEGNESDRLVHWCHGAPGITLTLVKAAEVFEDKEFSQAAIDAGEVVWKRGLLKRVGMCHGISGNTYVFLSLYRLTGNVEYLYRAKAFACFLLDRAQRLISEGNMHGGDHPYSLFEGLGGMAYTFLDMIDPQGAKFPGYDL >OIV92277 pep chromosome:LupAngTanjil_v1.0:LG19:4216060:4222659:-1 gene:TanjilG_00295 transcript:OIV92277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNLLSGSFSGFQSSQDNNNSHVIQMSETMSSQVPNLEKFFSEVEMVKQELKQLEHLYNKLESSNEQSKTLHSLKAVKDLHSRMDADVALTLKKAKIIKSMLQALDRSNEVSRSLPGLGTGSSSDRTRTSVVSGLRKNMKDSMESFNSLREQISSEYRDTVQRRYYTVTGDKPDDKTIDLLISTGESETLLQKAIQQQGRGTIMDTIQEIKERHGTVKEIERNLKELHQVFLDMSVLVQTQGEHLDDIESHMARADSDVRGGVQQLQVARKHQKNTLGEANMAAIRMIDIAVNFTDGMFKGLYHGKQCHVADIATVLSRAWAAGVDRIIVTGGSLEESREALAIAETDGRLFCTVGVHPTRCTEFEESGDPEKHFQALVSLAKEGIQKGKVVAVGECGLDYDRLHFCPEEIQKKYFEKQFELAHITKLPMFLHMRAAAADFCEIVEKNKDRFTAGVTHSFTGSMDDCIKLLSFDNMYIGINGCSMKTTENLDVVKGIPAERMMIETDSPYCDIKNTHAGIGFVKSAWPSKKKEKYDQECIVKGRNEPCLVRQVLEVVAGCKGINDVGALSKTLYHNTCRVFFPHDLDSAADALLVGVGVHEVKLRMSVWSLFRKCSIKTQAISRGQLDDFAGDR >OIV91730 pep chromosome:LupAngTanjil_v1.0:LG19:16612855:16613357:-1 gene:TanjilG_26583 transcript:OIV91730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKLVSKQDPEFEFISTKANLNSAVNPIKITPADLLISNGQLKPQALTCQTTNQPLKPSSLSLTLATPNSHKVSRGKTGGAMKYHEKLDKASKHTNMESMVKGAHFGQKMRCSLCRNSRAITLSTVKAQTAPRQ >OIV92509 pep chromosome:LupAngTanjil_v1.0:LG19:607943:609754:1 gene:TanjilG_02272 transcript:OIV92509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEVSSLIRVLGGGGGGGGGDKEEKQRHHHHHRVVGNESHGDKSTALITRDLLGGSTIESQELDLDSQVPSGWEKRLDLQTGRVSIHRCKTLESAPIFEQKLKVKQTSPKKLKDLNFPSCPTKVPLNLFDDTSLDLKLFSSSLPSNNYQSVCTLDKVKSALERVEKVPRIKRTSFFKSSLSTTSPSYSSSSSSIKETQEEESDLISSPMAVGCPGCLSYVLIMKNNPKCPRCNNVVPIPSIKKPRIDLNISI >OIV91871 pep chromosome:LupAngTanjil_v1.0:LG19:13779665:13782007:-1 gene:TanjilG_17863 transcript:OIV91871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNNNNQMSNWYGSNSVSEIEELENSSELYLDETHNHDVNVPLSTISEENEDTVYVAVGKGETSMEALSWTLKNLVTPYTMVYLIHVYPEIKHIPSPLGVGMVPKSQVSVDQIENYMAQERGKRRELLQKFLQSCSASKVKVDTILIESDMIAKALIDLIPILQIRTLVLGANKSQLRKLRSRKGNSIADQILQNACESCKVSIVCEGKEVMNEQTTQSPSPHAIANDASSMSQKEDHQNNSFSCICLTFKPKFK >OIV92534 pep chromosome:LupAngTanjil_v1.0:LG19:360656:360994:1 gene:TanjilG_02297 transcript:OIV92534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYSSALASFMLLILLISTSEATLSCSDVIKDLKPCISYLVSGSGKPPAACCSGAKALASSAITSEDKKAACNCIKSSAKSISLNSQLAQALPGNCGISLSISISPNADCSK >OIV92574 pep chromosome:LupAngTanjil_v1.0:LG19:68250:74948:1 gene:TanjilG_02337 transcript:OIV92574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDGEITVSAYDTAWVALVEDVNGSGAPQFPSALEWIAKNQIPDGSWGDSEIFIAHDRILNTLACVVALRSWNLHPEKCEKENLSKIQNENAEHMPVGFEVAFPSLLDIARSLNIEVPNDSPILNDILEKRNVKLARIPREMMHKVPTTLLHSLEGMSNLDWKQLQKLQCEDGSFLFSPSSTAFALINTKDEKCLKYLNKAVNKFNGGDRLGISRYFQQEIKDCMNYVYRYWSEKGICWARNTNVEDIDDTAMGFRLLRLHGHQVSANVFKQFERNGEFFCFGGQSTQAVTGMYNVYRASQVMFPGEEILEHAKQFSAMFLREKQAANELLDKWIIMKNLPQEVAYALDVPWYANLPRVETRFYIDQYGGENDVWIGKTLYRMPYVNNKNYLELAKLDYNNCQALHLTEWARIQEWYSESRLGEFGLSRKRLLLAYFLAAANIFEPERSHERLAWAKTTALLETITIYLSDAEMRKAFVINFIHLINVQQHSTTWMNKTGHALAETLVSTIDQISLDIFVSHGLEIRYDMHHTWKKWLSSWQSEVDKCEGEAELLVQIINLSGGHWISKEQLFHPQYQRLLQLTNTICHRLHFYHKDKELENARNIYNITTPEVESDMQELVQLVFQKSLAGIDFNIKKTFLMVAKNFYYAAFFDSRTIGFHIAKVLFDKVV >OIV92079 pep chromosome:LupAngTanjil_v1.0:LG19:8179398:8180793:-1 gene:TanjilG_08752 transcript:OIV92079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQQNPNDALDGSGDVPLKRKRGRPRKYPRPDSEESSYVLFGQNKKLNPGSGEQTALPPGYEGFNGNQQLQRDQENGSNDAMVGQTVSGLIEAVFDAGYLLNVRVGDSDTTLRGLVFKPGRYVPISPENDVAPGVPMIRRNEVPFPSGTTSQVQNPLVKERNQQHVNVHRNESLTMNGSPIVPQVPRGAVSPINMVASSGNNAPSSAVQTTPQLPSGNMVPVLLQPDNFSSGVPISNQPSQVMAHISLGSGEIVSKVIPVGGNQTITSHTQTSQNMLPSSMPSEGVPHYQPSSNVLNGDGANSVRPPSLPFEHLVTEVVNRVQPRSDAMDTETDNINSGDKIPLQDPSIRKEDKTNDMDQPAPINPLQAVQSHPHENTASVPTFSDYARTGKMTELLQDNNIENQASKTEELGSGNKLDDVRI >OIV91777 pep chromosome:LupAngTanjil_v1.0:LG19:14712904:14718855:-1 gene:TanjilG_14356 transcript:OIV91777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMRYLLSTKFSTQQHYHHVTSSLVPNSHNNTYDGAIPTKVELRNIKNKCVQNVKSLVNTLKLSTPLESLHRGNWVKLICGASFEDVVDIRNLSLVYTLAGVDCIDCAADASVVSAVNEGIEAARDIVCLRRPWVMISVNDDKDLHFRKAEFDPEDCPEDCSRPCENVCPANAISFQGKSTFGISYNTEAPRLPKDGVITERCYGCGRCLPVCPYDKIREVTYIRDAIATADLIKRNDVDAMEIHTSGRQSTMFEELWCALGDSVGNLRLIAVSLPNDRDSTISCMNKLFSIMKPNLQSFNLWQLDGRPMSGDIGRGATKESIAFAVQLAKAKERPPGFLQLAGGTNAHTIDGLKKEGLFQTTSIANGETSTISSSNSSHALIGGIAYGGYARKIVGRVLRSMQSQHGGAAPIEDHPEHLLMALKEALSLVGPVKCLQPMAAPQSQSHMLDGRPMSGDIGRGATKESIAFAVQLAKAKERPPDGETSTISSSNSSHALIGGIAYGGYARKIVGRVLRSMQSQHGGAAPIEDHPEHLLMALKEALSLVGPVKCLQPMAAPQSQSHMV >OIV92141 pep chromosome:LupAngTanjil_v1.0:LG19:6185564:6185749:1 gene:TanjilG_18713 transcript:OIV92141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFNLNGFNFNQSVVDSQGRVINTWADIINRANLGMEVMHERNAHNFPLDLAAAEAPSING >OIV92024 pep chromosome:LupAngTanjil_v1.0:LG19:10599142:10599579:1 gene:TanjilG_20181 transcript:OIV92024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLWRLATAQDPNPEDYQGIEFWSNPERTGWLTKQGDYIKTWRRRWFILKQGKLLWFKDPTAAAPSGIPRGVISVSDCLTVKGAEDVLRKPSAFELSTAHSTMFFLADSDKEKEDWINSIGRAIVQHSRSLADNEVVDYDSSRR >OIV91631 pep chromosome:LupAngTanjil_v1.0:LG19:17191587:17195935:1 gene:TanjilG_09043 transcript:OIV91631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIVIHNLRSAPITHLSAVHRRSFATSLSSPRRFNVRANLLPFPEKSSKYYKHLEAAVEVVQRASSLCVDVKSSLFSTDDKVLEKNDNTPVTVADFGVQALLSLELNKLFPDIPLVAEEDSAFVRSRNLAGTVLDAVTTKASSTSKPLTQDDVLKAIDRGGKDAFVFGSKPATYWVLDPIDGTRGFLKASKALYVVGLALVVEGEVVIGVMGCPNWQEDLSEKNPAEMKEHTDSLSGSGIVMIAHIGFGTWTKRLNSTSNLPDVWTRCFVDGFDLVHKARLCLPDSQTWESLPLSSLFNATSDADNVVNNQILVLTACCGSLSKYLMVASGRASIFIQRKKEKTIIKSWDHAVGMLCVHEAGGKVSDWEGSEIDLAADESGRRLIFPSGGVLVTNGNLHHKILQIISQTSTV >OIV91982 pep chromosome:LupAngTanjil_v1.0:LG19:11588417:11600780:1 gene:TanjilG_06610 transcript:OIV91982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSVAESGQDKKKRFALLGVSSILLVAMVGAVAIGLTRSGSPEHGDTEISSSQKNAEVLCQSTQYKDTCIKSLDKASNTTDVKELVKMAFNSTAEELLSKINNSTLLKELAKDNMTKQALDICKEVFDYAIDDIQKSINSLDNFEVSKLSQYAYELKVWLAGTLSHQQTCLDGFENSKTKGAETMKKALNTSIEMSSNAVDLINAASELLKDFNLNSSELSTIASRRLLSDEEEQQQQQQKQQQQQQQQQEPLVDGFPSWASEGQRSLLQAAPGGGGGIKANAVVAQDGSGQFKTLTDALNTVPKKNALPFVIHVKEGVYKEYVSVLKHMTNVTIIGDGPTKTIFSGGKNYKDGVQTYKTATFGVNAAMFTAKDIGFENTAGSERHQAVALRVTADQAVFHNCKMDGFQDTLYVQSKRQFYRDCTISGTIDFIFGDAVAVFQNCKLVRFCQMTMTKVTTEPSISGGGGFHAVHAERDLESNWEVDLAKKLEEYLLKISSGQITGDEENNITLNFAEAALLLQGSIQVYSRKVEYLYTLVLCALEFLSQKRQQDYIDGASVQPEGNDPCAVTDEENDQFWGLDNIPVDEKNFLDVTTGKEVNLDHFIKPPANLVVLEGDCLDSTGGGRSGGSAHKSSAAKSQQANPNCSPKLNCSYNDNNLRPSSPMSAGGLDDCNFGFNMDDGFDPSRDSDNSDSDDPWKPLNPHEPGNLRVKPFRKVKTLKKNSINVTRRVSMSMLFPVAKLHGPISPELIEMWEMRRHDHERQKDSQSALLYEKLRQTLTTEVPETGRTLNSEADNDDNEDDIGNPDFDMPWNAYMDEDLSPCSKEHEVDDAHDKDSDYPEHPNSQESLEDLCRSHLNALLASIAESEKQTEMAARVSTWKQRIEHHLEKQESHPPFDIRDYGERILDKLSLEESNSPVLPFSYLVSGQEKYDVARSFSSLLQLVNNGEVNLERNGVDGESVCYTDVNPFRVKLLKHNKKPNDVQSRLSKKRAKSPTKKPPTKDHQHFSDYGFDPQFNYFQVLEEAMKHKHETSTRSIDSIHFKLQKPISKDTNNDSRTKVQNKSKKKKRWWKSALFFFKWRKTHHNDDVHQARARAFRSSISGPVYLTESRSGSTTPYRTTNRPSSGPLLGSLTPLSKGEVDIPYLSLRELTIEQQQQRMSTSAMPIYLVT >OIV91828 pep chromosome:LupAngTanjil_v1.0:LG19:13122562:13127587:-1 gene:TanjilG_17820 transcript:OIV91828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPSFVQNGWTPSSRTAPTSTTGRFASVYSDVQNSRVDHTLPLPSVLKSPFTVLDGSKSSAAGNPDEIAKLFPHLFGQSSATLVPSDDSKVALPDQKLKIGVVLSGGQAPGGHNVISGIFDYLQDRAKGSTLYGFRGGPAGIMKCKYIELTSDYIHPYRNQGGFDMICSGRDKIETPEQFKQAQETTQKLDLDGLVVIGGDDSNTNACLLAENFRSNNLKTRVIGCPKTIDGDLKSKEVPTSFGFDTACKIYAEMIGNVMVDARSTGKYYHFVRLMGRAASHITLECALQTHPNITIIGEEVAAKKLTLKNVTDYIVDVICKRAEDKYNYGVILIPEGLIDFIPEVQHLIAELNEILANDIVDEDGLWKKKLTDQSLKLFDFLPQAIQEQLMLERDPHGNVQVAKIETEKMLIQMAETELEKRKKEGNYKGEFKGQSHFFGYEGRCGLPTNFDATYCYALGYGAGALLHSGKTGLISSIANLSAPVEEWVVGGTALTSLMDVERRHGKFKPVIKKAMVELEGAPFKKFASLRDEWALKNCYISPGER >OIV92098 pep chromosome:LupAngTanjil_v1.0:LG19:7258241:7262297:-1 gene:TanjilG_27253 transcript:OIV92098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDSTEGELYDGVRSQFPLSFGKQSKSHTPLEAIHNATRRNISLSSVNPTPPPPPPESHDDGVLVGPPPPPHGSIDDDDDDDGEMIGPPPPPPAANLTSDEDEEEEDSDEDEVGKRFRIPITNEIVLKGHTKVVSALAVDHTGSRVLSGSYDYTVRMYDFQGMNSRLQSFRQLEPSEGHQVRHLSWSPSADRFLCVTGSAQAKIYDRDGLTLGEFMKGDMYIRDLKNTKGHITGLTCGEWHPKNKETILTSSEDGSLRIWDVNDFKSQKQVIKPKLARPGRVPVTTCAWDRDGKCIAGGIGDGSIQVWNIKPGWGSRPDVHIEKSHSDDITALKFSSDGRLLLSRSFDGSLKVWDLRKAKEALKVFEDLPNNYGQTNITFSPDEQLFLTGTSVERESSTGGLLCFFDRVNLELVSRVGISPTCSVVQCSWHPKLNQIFATVGDKSQGGTHVLYDPTLSERGALVCVARAPRKKSIDDFEAKPVIHNPHSLPLFRDQPSRKRQREKILKDPMKSHKPELPMTGPGFGGRVGTTQGSLLTQYLMKQGGMIKETWMEEDPREAILKYADVAAKEPKFIAPAYAETQPEPVFAKSDSEDEEK >OIV91081 pep chromosome:LupAngTanjil_v1.0:LG20:4716614:4718505:-1 gene:TanjilG_17041 transcript:OIV91081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFEYPPAAAAVTTVHVTGFKKFHGVSENPSETIVNNLKVYMNKKGLPKGLVIGSCDVLETAGQGALVPLYQTLQSSISAKESEFSSSNKIIWLHFGVNSGATRFAIERQAVNEATFLCPDEMGWKPQKVPIVSSDGAISGIRESTLPAEEITKALAKKGYDVVTSNDAGRFVCNYVYYHSLRFSEENSTKSLFVHVPLFFTIDEETQMQFAASLLEILASLC >OIV90674 pep chromosome:LupAngTanjil_v1.0:LG20:7774169:7775752:1 gene:TanjilG_23787 transcript:OIV90674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKIFITLLLFSVFSIASSRITPSSNSNIDWWCNLTPHPKPCKHYISQINHFSNIKHKSEFRAMLVKLVLKQVVIMQSEAQDFEQNLVTKKHKAVHNDCLKLYENTIFHLNRTLEGLHVKKTCSPVDTQTWLSTTLTNIQTCQTGATDLSVEDFKVSKLSNNVTKMIRNSLAINMDFMKQNGDNHKAETKEAFPSWFSRHDRKLLQSKSSIKAHLVVAKDGSGHFKTVQEALNSASNRKTKRRYIIHVKKGVYAENIEVKKNNDNIMLIGDGMAKTIITSHLSVKDGFTTYSSATAGIDGLHFIARDITFQNTAGPHKGQAVALRSASDLSVFYRCAIAGYQDTLMAHAQRQFYRQCYIYGTVDFIFGNAAVVFQNCNIFARKPLDGQDNMITAQGRGDPFQNSGISIHNCQIKAAQDLKPFVDKYRTFLGRPWQQYSRVMVMKTFMDTLVNPLGWSQWGDSDFAQDTLYYGEYKNYGPGSSTRNRVKWPGFHAIKNSAEASQFTVTALLAGHTWLPTAVVPFTSGL >OIV91479 pep chromosome:LupAngTanjil_v1.0:LG20:154908:156329:1 gene:TanjilG_02097 transcript:OIV91479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHSQTLSDTKIYLIPSLYNPRLWFLFILLTLQIFFLSNLPYFLHPAPHTYSIPTSTPSSLLAPAPNSTNQCPHGHVFVYNLPPYFNRHILQSCDDLNPWTSRCQALSNRALGTTAAAALAGVIPDDLTPAWFWTDQFVSEIIFHNRILNHNCRVTDPQSATAFYIPFYAGLAVGKHLWTNSSTAEDRDRHCDMMLTWLSHQPFYQKSYGWNHFITMGRITWDFRRSNDHDWGSSCIYKPRMRNITRLLIEKNPWDYFDVAVPYPTGFHPASASDVTRWQSFVRGRRRNALFCFAGAPRKEFKNDFRGVLLNQCRDSGNSCRAVNCSGSRCANGTSAILESFLDSDFCLQPRGDSFTRRSIFDCMIAGSIPVFFWRRSAYLQYEWFLPEKPGSYSVFIDRNAVKNGTSVKAVLESYSKEDVMKMREKVIEYIPRLVYAKNNNGLEGMKDAFDVAIDGVLKRFKDQEDPSFHKW >OIV91376 pep chromosome:LupAngTanjil_v1.0:LG20:856159:857076:1 gene:TanjilG_01994 transcript:OIV91376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGDLGVSAFPDGESIFTWIGTIEGGKGTLFEGLSYKLSLRFPLDYPFKPPQVKFETMCFHPNVDQFGNICLDILQDKWSSAYDCRTLLLSIQSLLEEPNLESPLNSYAAALWNDKEDYRRMVHKHYFSGEAVES >OIV90166 pep chromosome:LupAngTanjil_v1.0:LG20:20297791:20308931:-1 gene:TanjilG_01620 transcript:OIV90166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTLNDRRRVIPAAVDVDTTDSVSNNRREKPRRFAGENSHVASFRKDIGHVATETYLITGLTFTLLQYLGIGYRWISRFLALACYAMLLMPGFLQVALCYFFSSKVKRSIVYGDQPRNRLDLYLPTDTHKPMPVMIFVTGGAWIIGYKAWGSLLGLQLAERDIIVACIDYRNFPQGTISDMVHDASQGISFVINNIANYGGDPNRIYLMGQSAGAHISSCALLDQAIRESVKGDTVSWSISQIKAYFGLSGGYNLLDLVDHFNNRGLHRSIFLSIMEGEHSLKKFSPEIRIQDPCIKDSIPLLPPIILFHGTDDYSIPPVARIGYRWISRFLALACYAMLLMPGFLQVAFSYFFSSKVKRSIVYGDQPRNRLDLYLPTDTHKPMPVMIFVTGGAWIIGYKAWGSLLGLQLAERDIIVACIDYRNFPQGTISDMVHDASQGISFVINNIANYGGDPNRIYLMGQSAGAHISSCALLDQAIRESVKGDTVSWSISQIKAYFGLSGGYNLLDLVDHFNNRGLHRSIFLSIMEGEHSLKKFSPEIRIQDPCIKDSIPLLPPIILFHGTDDYSIPPVASATQTLYNAPFELVFELSIISDPLRGGKDDLFDYAVAYIHSNDEDALAKDATAPPRRRLVPEILLKLARKISPF >OIV91506 pep chromosome:LupAngTanjil_v1.0:LG20:15454:18467:-1 gene:TanjilG_26475 transcript:OIV91506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIPFLLLFFFLFFSPSQTTTTTTATPTTTTPPKGFLIDCGALSGTQFEGRVWLPDSDFVSTGIPKNVTTPSVIIPTLQTVRSFPRLLNKKNCYNVPVYRGAKYMIRSTYFYGGVNGPDHPFPPVFDQIIDGTIWSVVNTTIDYANGNSTFYEAVFLAQSKFMTFCIASNIYTLSDPFLSALEFIILGDSLYNTTDFTRFGLTLVARNTFGYSGPSIRYPDDHFDRIWEPFGKSISNNFTKPITANVSVSGFWNLPPLKLFETHLGSDQLESLELRWPTPSLPTSKYYTALYFADNAAFSRIFNISVNGITYYRNLNAIPSGVVVFSNQWPLSGPTTITLTPSTTSSLGPLINAAEIFEVLSLGGRTSTRDVIALEKVKESFRNPPLDWNGDPCVPRQYSWSGITCSDGPRIRVVTLNLTSMQISGSLSPFVDNMTALINIWLRNNSLSGHIPNLNSLRMLETLHLEDNQFSGEIPSSLGNISSLNEVFLQNNNLTGQIPANLIGKPKLNLRTHGNNLLSPSAP >OIV91487 pep chromosome:LupAngTanjil_v1.0:LG20:102989:103567:-1 gene:TanjilG_02105 transcript:OIV91487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAGSGKGMKICMVVSGVLIAIVLLFVILGLTVFKAKHPVITVDSVTLKDLDVNLDILRLRVDLNVTLNVDASVKNPNKVGFKYSDSTALLNYRGKLIGEVPLPAGEISSDETKGFNLTLTIMADRLLSDSQVYSDFTSGTLPLNTFVRISGKVNVLGFIKVHVVSSSSCDFAVDISNKTVGKQECQYKTKL >OIV90306 pep chromosome:LupAngTanjil_v1.0:LG20:17704555:17706231:-1 gene:TanjilG_13161 transcript:OIV90306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEIQQTLKLLVHRKITHNNKNKKPEPITPRLKWKQMFFQQTPSKPNTKNKITEKPPEEFLCPITKTLMSDPVIVSSGHSFDRVTVQTCQNLNFTPHLSDGTTPDFSTLIPNLALKSAITKWCMNSNNSLVTPDSTTVENIIRKIIAKHSPYQNLPTQVATVSEKELILGINENPNFNFNFNHAETQIPSRPTHLYSSSNESIATIASASTSTPPLQFSTKPSCYYSYSSNSSSEIESVTTPELEQIMSKLKDPQIFIIEEGLISLRKITRTKEEIRVSLCTTQLLSVLKSLIVSKYKNIQVNALASLVNLSLEKLNKVKIVRSGIVPPLIEVLRLGSTESQEHASGALFSLAIEDDNKTAIGVLGGLQPLLHALGSESERTRHDAALALYHLSMVQSNRSKMVKFGSVPTLLGMVKAGHMIGQVLLILCNLGYGSDGRATMLDAGVVECLVGLLGGTELGTRSTQDSCVSVMYALSHGGLRFKALAKDAGVVEVLQKVEKVGSERAQEKARRILEMLRVKEDEDEDVDWDALLESGLGCRTRSRQGSELDDSVANSS >OIV91455 pep chromosome:LupAngTanjil_v1.0:LG20:289472:292203:1 gene:TanjilG_02073 transcript:OIV91455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNPMERYHKLELKESLSKIYRYPIACKELSFILRHAFHQFPKNLQSIIFQDTLSAFRLLPQMQTQSAVSAAHLLLQSVETALPKQKRNMAVTEFKQAMIAHKRFGKAHRVEKGSLQLPYDTLVHIFSFLDMQSLVSVGQVCWSWNFAANDNHLWELQYGVLYSSVARQQPMRLVEDRNNRTPKEPIDTRSGTYWKEAVKGAYTGALSMKLTANRGYCGHCKTIVWLNNSKCPNVHCGIVSETRDIKAVTAFQVVEYVLDDSLSVTLTSSSDSDSDSEGGQIPRLWAYPKHIRK >OIV91494 pep chromosome:LupAngTanjil_v1.0:LG20:61078:63099:-1 gene:TanjilG_26463 transcript:OIV91494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKRQIYVPTVKLGSHGLEVSRMGFGCGGLSGIYNAPLSHEDGCSIIKKVFNEGVTFFDTSNLYGHNHHNEIMVGKALKELPREKVQLATKFGVTVSDGLVFGVKGTPQYVRECCEASLKRLHVNYIDLYYQHRVDTSVPIEDTMGELKKLVSEGKIKYIGLSEANADTIKRAYAVHPITALQMEYSLWTREIEEEIIPLCRELGIGIVAYSPLGCGFFAGKAVVETLPSQSLLAMHPRFSGENLEKNKLLYKRIDDLASKHVCTPSQLALAWLLHQGNDIIPIPGTTKVKNFENNIRSLAVKLTDEDLREISDAVPVYEVAGNREYDTLSKYAWKFATTPSKQV >OIV90437 pep chromosome:LupAngTanjil_v1.0:LG20:12170482:12170856:-1 gene:TanjilG_01915 transcript:OIV90437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METSIVNDSGSDQVSEGAGRIVEEERRMKMKVMVAIDESEGSFYALQWALDQLFVPITNVDNASSESSIESVGMVYLVHVQPTLDEYGYQAGPYGTVKSV >OIV90818 pep chromosome:LupAngTanjil_v1.0:LG20:5561409:5564486:-1 gene:TanjilG_15551 transcript:OIV90818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGVSQLELDDSDFVEVDPTRRYGRYNEILGKGASKTVYDSKFMIYRAFDEYEGIEVAWNQVKLYDFLQSPEDLERLYCEIHLLKTLKHRNIMKFYTSWVDTANRNINFVTEMFTSGTLRQYRLKHKRVHIRAVKHWCRQILKGLLYLHSHDPPVIHRDLKCDNIFINGNHGEVKIGDLGLAAILRKSHAAHCVGTPEFMAPEVYEEAYNELVDIYSFGMCILEMVTFEFPYSECTHPAQIYKKVISGKKPDALYKVKDPEVRQFVEKCLATVSLRLSAKELLDDPFLRDDDYEYDLRTINSGGLDDLVPLIKQPFFDLHRSYSNFSNEYSNGFGYEGDWSSHPAEVEPSGIELFEYHDDEPSEDVGISIKGKRKDDDGIFLRLRITDKEGRIRNIYFPFDIEMDTAISVATEMVAELDITDQDVTRIADMIDGEIASLVPGWRPGPGFEESPHLANQGLCQNCVSNHTSSGSLLDFISHNPGSKNLQVLECCRHGCASMHGRFEEISFQSEEYDNHVREVVLDISSQTNCLQYPGLWNQHESRELSPVESDQSHSDEPYEQLDKSVLAEDKEQDALENNLAPNAGNPLRNLSGTHDLSTIRSLYCDLDNDYEKEIQKELRWLRAKYQMELREIKDQQFELTANHKTECSFMSTSPTEMLNRGNNGIDLKKPLISYLNSDFRSHPHAHKSSPNSDTRRDQNCEAAGSLGEEGMVTAMSFYTGSLLPHSLHRTVSLPVDAVDI >OIV90059 pep chromosome:LupAngTanjil_v1.0:LG20:21480689:21482537:-1 gene:TanjilG_21191 transcript:OIV90059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEPIVVNKGEESLDLPPGFRFHPTDEEIITYYLTKKVLNNNFSAIAMGEADFNKCEPWDLPKKAKMGEKDNWFFFCQRDRKYPTGMRTNRATQSGYWKATGKDKEIYKGNDNLVGMKKTLVFYRGRAPKGEKTNWVIHEFRLEGKYANYNLPKVAKDEWVVSRVFHRNTDVRKIPPFRMNSIGDDFLDFSSLPLLMDPPYGTNQPSSSSDNHYVPNFINNNNQNQNMLMKLEEDRTYEIPNPSNNNQWNYCTNGSSIMLTTVSQPQQNMISDYYVHQGNNKENMSLLLNNMQCKMEQFSSNNQSMVSVSQETCLSNDRNTDTTSSVVSKHDNIGRNNNGALYEDLEVPSSDLECMWDNSHYRL >OIV90096 pep chromosome:LupAngTanjil_v1.0:LG20:21024738:21025880:-1 gene:TanjilG_01550 transcript:OIV90096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATIVASIEYLVHEILLRLPVKSVLRFKCVSKQWLALISEPKFCHSHTLRLYKSSRVFPSAILLPLVSSTSCPIIPIKTNDVSDSSNFRNVEVPKGTVIQSCNGLILIKRCTSKPWSKVEYFICNPTTNKSVPVVFPTEDFGYSLVSLFICFDPLKSQYYKLVSVRYKYYTSGPYWEKKVISYNPEAEYVCNVYSSETDAWIESGFTFTASDSEPPRKNNAVYHDGVLYCYMFRLGKFCYFDFDTLSFKTCPMPVSIDENSSVLYFGESGGYLLFVLRSRLQIEILKLKVEKLEFSLVSNVKKRESFFRNEICKGNCVFIQEKDKDTGIVSFRRGMVMSINVAASSFKVLSRFSSGRRPTLVTGVFQHCENLSCVGPFKV >OIV90954 pep chromosome:LupAngTanjil_v1.0:LG20:3615217:3616879:1 gene:TanjilG_16914 transcript:OIV90954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQRGLIYSFVAKGTVVLAEHTQYTGNFSTIAVQCLQKLPSNSSKYTYSCDGHTFNFLIDNGFVFLIVADESVGRSVPFVFLDRVKDDFKQRYGGSIKNNDAHPLDEDDEDDDLFEDRFSIAYHLDREFGPRLKEHMQYCMNHPEEMSKLSKLKAQITEVKGIMMDNIEKVLDRGEKIELLVDKTENLQFQLPKAGKAAEKEDVAAESADEVDGGRRNPYLNNNIVAYCL >OIV90887 pep chromosome:LupAngTanjil_v1.0:LG20:4939862:4943459:-1 gene:TanjilG_15620 transcript:OIV90887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIEKKRSKGSFLSFFDWNVKSRKKLFSDNPNLPEVSKQGKENLENVPKSQLNKMNVHDSGGNPSNIASCDVNYASSVNSDEGCGTQAPGLVARLMGLDSLPASTVSELSLSSTSLYGSNSLGSSHSHEGDDLHLMDDYYPMDYINMPLKVEKSSLVVMESKAHKVGTKRFQSEMLPPKSAKPIPVAHNELLSPVKSHGCIPPKNAVRVKADTKINEASSLPYMRNRMSSVGPSSVPVKNLDPTVKSESAQYVPKLVDQHTPNQGSDKSSEESNDLYKSTSTFKGSRDPVNNGSRHIVSKGKSVSLPTLSKGSVQRRATSILNGNRGYLKQKEKNKIKQNKLSMSQKKTTADQARVMQRKACTGNTSNVLVQNNEKQICVTNKGNSTSKMDSNKPTRTWSSESFTGSKKTTNYGAVNANIEPKRSRTMVTDTQKEFPVSKRKIISENKRYISRDVQNEARGSDNAAKFFDSMSIKCFVTTDGSIDQGAFNMKESKDVVSFTFTSPLRRSMPESPSSTEQLMETKNGIDINSLDHSDKLYPKEISLSPRSDIIDGNDISSLLDKKLQELTSRINSSQCTLATEGSSSGLRSSLEDKFHSLVSAIAREHDRSFHPHLLGNELDNMYENGCSSSHNLVLKIYQKQQTSEPMKWPSCCSSGESGNDLGSPHSRAVTDFDNPFVNGSYLDREDIDTYGSSVYCSMQSEEVSNSSLINEYALLENEVKWYEKSSSTAPGGNTTIKELMSILVDFTRSTWKIELEYVKDILTNAELMAEEFVVGQTDKIITPNLFDVLENRSNETENYNKEYSKLERKVLFDTISECLELRCRKAFVGSCKGWPIWMASVKRKSRLAGELYKEVLRFKNVEEETMVVDELVSKDMSIGLGTWLDFDIEAFQEGLDVELDIVTYLINEIVVDLLCV >OIV91076 pep chromosome:LupAngTanjil_v1.0:LG20:4679527:4681417:-1 gene:TanjilG_17036 transcript:OIV91076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGGAMSYAEAQYISAKTSVWWDTENCQVPKDCDPHAIAQNISSALFHMKYCGPVSISACGDTTRITSSVQKALSSTGISLNHVPAVKYSPNPPSQPPFVPTSSYSPNPPSQPPFVPTNSYSPNPQNYGFSVVPPWTGGPSFSARPLTNVADIGNPNIFVYPSAHDLCPVKQWNGELEPSSNSSAPHPVRLFDKQNGHMVHSTQQSYNGYPHRPEYRSKSSAPMGDKNAPGNGIWGSEGHPKPSEYVQGLIGVVLLALNTLKTDKIMPTESSIADCLHYGDPKHRNTDVKKALERAIEQQVVVKQNFGSLQLYVGKNDKVWKCVNPEGGSPSSIL >OIV91264 pep chromosome:LupAngTanjil_v1.0:LG20:1751785:1758571:1 gene:TanjilG_30486 transcript:OIV91264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEANQSLQENSESFDLNTQKQEEDIVIHNGEGSVSAVLETKECDSKAQGCSVQAPKKKRGRKSNAEREAMKLKEKVDDDNVAASVIETRGIRLNTLNEGVEEDESEGVNGGTVKKRRGRKRKTLGKGEASDAKAKDEANGEEIFKDGHEEQSGFSKQSRRGRKRKTSNNDDEASDAKVEDGSNGESEMPSESTTQRRSLRSARRHSNTPETLKVKRNVKFTEEECLMCHQCQRNDKGEVVRYPEWKNDDVAEACPVCRGNCNCKACLRSDELIKKMKEEAKKKRYEGNEVELSKYLLIRLLPFVRQLNEEQMLELGLEAKRQGLSLSELNIEKAEYSAKERVYCDNCKTSIFDYHRSCRKCSFDLCLTCCHELRNGQLLGGADPVEWEFIKCSLDYLHGGKKKEKESEIHELPADPEPDIRGWSRSGWHAESDASIPCPKVNDVCCHSFLELKSIFGQAFISELVYKAEELAKSYKVQNAIETPDNSCSCPKICKNTDVRNNMRKAASREDSSDNWLYCPRAVDLQPVDLKHFQWHWNKGEPVIVSNVLECTSGLSWEPLVMWRAFRQITNTKHGQHLDVKVLDCLDWCEGEINIHQFFTGYTKGRYDWKDWPQILKLKDWPPSNLFEERLPRHCAEFISSLPYKEYTDPLRGALNLAVKLPEKCLKPDMGPKTYIAYGLAQELGRGDSVTKLHCDMSDAVNVLTHIADVKLEHKNFVAIDTLKLRHLEQDKKELFRDDQEGETNVGMPASSPSTVDDLSNGSELQDVEKVKMKHESMMSIGEDASDGALWDIFRRQDVPKLQEYLKKHFREFRHVHCRPLNQVMHPIHDQTMYLTEEHKRKLKDEYGIEPWTFIQKLGDAVFIPTGCPHQVRNLKSCIKVALDFVSPENVGECFRLTEEFRTLPINHKSSEDKLEVKKMTVYAMDNVVKKLEKTRLVTLASGLGKPRFPM >OIV90187 pep chromosome:LupAngTanjil_v1.0:LG20:20060845:20064408:1 gene:TanjilG_01383 transcript:OIV90187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGDDNLIIKSSLIEPDGGALVDLVVGEGERGAKRVEAESLPKVKLTRIDVEWVHVIGEGWASPLKGFMREDEYLQSLHFNSLRLKNGSLVNMSLPIVLAIDDETKGTIGSSSNVGLVGLDGDCVAILRSIEIYKHNKEERIARTWGTTAPGLPYVEEVITPSGNWLIGGDLEVIQPIKYNDGLDNYRLSPKQLRQEFDRRKADAVFAFQLRNPVHNGHALLMNDTRKQLLEMGYRNPILLLHPLGGFTKADDVPLDVRMEQHSKVLEDGVLDPETTIVAIFPSPMHYAGPTEVQWHAKARINAGANFYIVGRDPAGMGHPTEKRDLYDPDHGKKVLSVAPGLEKLKVLPFRVAAYDTTKNKMAFFDPTCSKDFLFISGTKMRAYARSGENPPDGFMCPSGWNVLVKYYKRLQEEFYKHNYGSSNFHVWSSNFMIMNFAMH >OIV91464 pep chromosome:LupAngTanjil_v1.0:LG20:247819:249344:-1 gene:TanjilG_02082 transcript:OIV91464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACSNSEEIAKQICTEIASIFTNPTHPYPSPLHLLLTELSTVASHNARVFLYGVGREGLMLKALCMRLAHLGLSAHLVFDMTTPPITAHDLLIASAGPGGFSTVDAICAVARGNGGRVVVITAQPESGSCVKHANAVAYVAAQTMANDEGEVKDRTLLPMGSVYEGALFVLFEMLVYKLAQALGLRLRDLLEGGLRNHSV >OIV90342 pep chromosome:LupAngTanjil_v1.0:LG20:16979298:16981574:-1 gene:TanjilG_14740 transcript:OIV90342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMVRSGEIIKDNIQVSIAKLSDDDVDTLDKAFEGCLGVFHTSSFTDPAGLSGYTKSMAKIEVRVAEIVIEACTRIPSIKKCVLTSSLSACVWQDNAQSNLYPLINHNSWSNESFCIDKKLWYALGKMRAEKAAWRIANEKGLKLTIICSALITGPRFYHRNPTPTIAYLKGAQEMYSHGLLATIDVTKLAEAHVSVFNEMNMNNGCGRYICFDHIIDTQNEAEKLAKEIGMPKEKICGDTSNNSLQRFIMSNEKLCRLMSGPLRCYSEHN >OIV90154 pep chromosome:LupAngTanjil_v1.0:LG20:20437801:20439180:-1 gene:TanjilG_01608 transcript:OIV90154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAIRVTPCQSGIYAKNKSRVHRSPSASCVSPMDVNPLSRTSLSLGANPSSGLQCMPVLKSRRPLHVCLAGGKGMMDNNENSPWKSLENEMQKLKGQSLEDVLREQIQKGEYYQNGGNGGKPPGNGGRGGGGPGGSEDGRFSGISDETLQVILATIGFIFLYICVNDGVELAKLTRDFIKYLSGGGQSVRLQRALYKWVRLYKNITQKKEVDKDASEKEPTRWYQPDHYRDIIRNYVRSDSNE >OIV90438 pep chromosome:LupAngTanjil_v1.0:LG20:12252229:12254689:-1 gene:TanjilG_01916 transcript:OIV90438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEETGGDSNYLGAGALERRMNMKVMVAIDESEGSFYALKWALDKLFSNKVTGSENEGKVFLVHVQHKVHNYVYPVPVGPGGAAVFYPASVVADSVQKAQEQISASIISRALQICKDNLVKAESIILKGDPREMICQAVEQIQVDLLIIGSRGLGMLKRTFLGSVSDYCAHHAKTPILIVKPPEEHSKKH >OIV91280 pep chromosome:LupAngTanjil_v1.0:LG20:1621828:1623917:-1 gene:TanjilG_01811 transcript:OIV91280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQQLLRSLRQCPWLHHRNYGASFPLLHHHFLISPQQPTKSITSRPFLLAPLASSKRNVHSRRSRGLRISNVPLLDNNDNDDRKGGNADSEDSEIEKSRNQMKRDAKRAVKWGMDLASFSHPQIKRILRVFSLDQIVYEALTIVKEYVDEDKMFVVLTQKLGPDVREGKRRQFNYIGKLLRDVEPELMDRLIAATKNSDHKELQALTGLGSEDLEDDDALIETEDEEEEEEPNWHDNQVTRWFDGLIDKDIQITNEVYSIQGVEFDRQELRKLVRRVHSTQEVKAANEEEEKKAETATIGAKKALTRFLRGIAKRIPSEYEPINL >OIV90730 pep chromosome:LupAngTanjil_v1.0:LG20:7275900:7276139:1 gene:TanjilG_15116 transcript:OIV90730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKWSIVCLPENQGGLGVRDLRLFKLTLSGTCKSGLLVEGDALWEKVVTSKYGNCNPFSTEVGNLRRNYKSRWWYDSHC >OIV91330 pep chromosome:LupAngTanjil_v1.0:LG20:1259544:1261244:1 gene:TanjilG_01948 transcript:OIV91330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTMRRFCCNDLLRFTAVNLDHLTETFNMSFYMTYLARWPDYFHVALAPGNRIMGYIMGKVEGQGESWHGHVTAVTVAPEFRRQQLAKKLMNLLENISDNNYNAYFVDLFVRASNAPAIKMYEKLGYVIYRRVLRYYSGEEDGLDMRKALSRDIEKKSVIPLKRPITPDELEYD >OIV91284 pep chromosome:LupAngTanjil_v1.0:LG20:1578873:1582790:-1 gene:TanjilG_01815 transcript:OIV91284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEFEWKANDLSGVSLKKFKRDSVYIDKNGKLRDFNHKKVSRKRCGSLRGRGWKFGSGFVDGIFPVLSPTAKKILDYVQKVMDNESIWGSLDMLPPSHDTWDDIFTVAVQLRMTKQIIQWNSYRDQIVIKANYVQICRWILFRSSFKPDIICYNLLIDAFGQKFQYKEAEATYLQLVGAKCIPTEDTYALLIKSYCMCGLLEKAEAVFAEMRNYGFPSSAVVYNAYMNGLMKGGNHSKAEEIFQRMKRDGCVPSKETYTMLINLYGKAGTSYMALKVFNEMLSHKCKPNICTYTALVNAFAREGLCEKAEEVFEQMQEAGIEPDVYAYNALMESYSRAGYPYGAAEIFSLMQHMGCEPDRASYNILVDAYGRAGFQDDAEAVFEDMKRVGITPTMKSHMVLLSAYSKMGSVSKCEDIVNQICKAGLKLDTYVLNSMLNLYGRIGQFEKMEEVLTVMEKGSYIADISTYNILINRYGQAGFIDRMEELFDLLHGKGLKPDVVTWTSRIGSYSKKKLYVRCLELFEEMIDAGCYPDGGTVKVLLAACSNEDQTEQVTTVIRTIHKGMKPVLAV >OIV91155 pep chromosome:LupAngTanjil_v1.0:LG20:2567180:2567605:1 gene:TanjilG_30377 transcript:OIV91155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFVLKLLCIQLVLCEIREEPPESLFMKELRRRGMSPTLLEDYKQDNLGLDEEVYVNEENRSFPDRKSVATDVKRSLYNQREQSIALNSEGLEVGPLLTFLE >OIV90833 pep chromosome:LupAngTanjil_v1.0:LG20:5364787:5365767:-1 gene:TanjilG_15566 transcript:OIV90833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVREVSESCLDSVIREMVSCYCNVFYADKPDLAARRIEAIGYQLGFQLSERYTMERPPFNDNLEAIKFICKDFWSNLFKKQIDNLRTNHRGTFVLQDNKFRWLTRMSIDSSADNGSSVEDNSTPVAENKTAHAISMHLYFPCGIIRGALSNLGIPCAVSADISNLPACAYL >OIV91035 pep chromosome:LupAngTanjil_v1.0:LG20:4314654:4323414:1 gene:TanjilG_16995 transcript:OIV91035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALTSSLSSSFTSNTSNPHSRSYYHLKTLTLSGSGSSYLNGDIRFPLISVSSCGAKTQRIRRRRLGTVASLGGLLSGIFKGTDTGEETRKKYAATVNIINGLESKVSALTDSELRDKTFALRERAQKGETLDSLLPEAFAVVREASKRVLGLRPFDVQLVGGMVLHKGEIAEMRTGEGKTLVAILPAYLNALSGKGVHVVTVNDYLARRDCEWVGQVPRFLGLKVGLIQQNMSSEQRKENYLCDITYVTNSELGFDYLRDNLATESNCSFIYFFNSSVEELVIRGFNYCIIDEVDSILIDEARTPLIISGPAEKPSDRYYKAAKIAEAFEQDIHYTLITVLPGKRFVGGRLEERKISFARHLCPATGWFSWDFTYGWIKEFMLIRLKIESKRVYAIFYESCDFYLFWMVDEKQKTVLLSEQGYEDAEEILGVKDLYDPREQWASYVLNAIKAKELFLRDVNYIIRGTDVLIVDEFTGRVMQGRRWSDGLHQAVEAKEGLPIQNETVTLASISYQNFFLQFPKLCGMTGTAATESTEFESIYKLKVTIVPTNKPMLRKDESDVVFRATTGKWRAVVVEISRMYKTGRPVLVGTTSVEQSDSLSKQLKEAGIPHEVLNAKPENVEREAEIVAQSGRLGAVTIATNMAGRGTDIILGGNAEFMARLKLREILMPRVVKPADGVFVSVKKPPPTKIWKVNEKLFPCQLSKGNTELVEKAVQLAVKTWGPRSLTELEAEERLSYSCEKGPSQDDVIAKLRNAFLEIVKEYKVFTEEERKKVVSAGGLHVVGTERHESRRIDNQLRGRSGRQGDPGSSRFFLSLEDNIFRIFGGDRIQGLMRAFRVEDLPIESKMLTKALDEAQRKVENYFFDIRKQLFDYDEVLNSQRDRVYTERRRALESDNLQSLLIEYAELTMDDILEANIGSGAPKDGWDLEKLIAKIQQYCYLLNDLTPDLLSSQCSNYEELQAYLRRRGYEAYLQKREIVEQQAPDLMKEAERFLILSNIDRLWKEHLQALKFVQQAVGLRGYAQRDPLIEYKLEGYNLFLEMMAQIRRNVIYSIYQFKPVLVKQDKDKKENQKSGKRNACSEANTKPDPVGTVEPSASSASS >OIV91348 pep chromosome:LupAngTanjil_v1.0:LG20:1113467:1114422:1 gene:TanjilG_01966 transcript:OIV91348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKGGKGLLAAANKDKDKKKPISRSSRAGIQFPVGRIHRQLKQRVSAHGRVGATAAVYLASILEYLTAEVLELAGNASKDLKVKRITPRHLQLAIRGDEELDTLIKGTIAGGGVIPHIHKSLINKTSKE >OIV91166 pep chromosome:LupAngTanjil_v1.0:LG20:2481793:2489545:1 gene:TanjilG_30388 transcript:OIV91166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGNEGLGEAYDGDIAFASSLETFGGGHNDPLSVAFGGPVMTKFTIALREIGTYKEVLRSKVEHMLNDRLFQFVNIDLQEVKEARKIFDKASIIYDQAREKFLSLRKGTKSDIVTAVEEELHNARYAFDQARFNLVTALSNVEAKKRFEFLEAVSGTMDAHLRYFKQGYELLHQMEPYINQVLTYAQQSRERSNYEHAALNEKMQEYKRKIDRERWFSNGSTGPPNGDGIQAISRSSHKMIEAVMQSAAKGKVQTIRQGYLSKRSSNLRGDWKRRFFVLDSRGMLYYYRKQHNKSSGPSSQHSGQRNSSELSSGLLSRWLSSHYHGGVHDEKSVAHHTVNLLTSTIKVDADQSDLRFCFRIISPTKNYTLQAESGLDQMDWIEKITGVIASLLSSQIPERYLPSSPMGSGYHRCASESSSLGSSDFDHSAAEEYAADRRLATAHMERPSRSLPQQRSCTKSEKTIDMLRRVSGNDKCADCGAPEPDWASLNLGVLVCIECSGVHRNLGVHISKVRSLTLDVKVWEPSVISLFQSLGNTFTNSIWEELLQSRSAFQIGLVSTGKPCQSDSPSVKEKFIHAKYAEKIFVRKPKDNQCHLPVSQQIWEAVHSNDIKAVYRYIVNSDVDINASYEQTCSNSLTLANDILLQEQGDHSSTLAGSSSDLSSTSSLNSVSIKEGRTMDNLIGRRLLHLACESADIGMLELLLQYGANINATDLKGQTPLHRCILKGKTAFARLLLSRGADPRAVDEEERTPIELAAQSSFDDNEVHALLSDSSGKHW >OIV90447 pep chromosome:LupAngTanjil_v1.0:LG20:12602770:12605154:1 gene:TanjilG_01925 transcript:OIV90447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLNFRESNNAGNRLIAALSLPRYHYVKQINLEFAPDVDDTHLILIKDKCFDSLGRLESLNLNGCRKISDTGIEAITSCCPQLKTFSIYWNVRVTDTGLIHTVRNCKHIVDLNISGCKVGQECRFFGI >OIV90655 pep chromosome:LupAngTanjil_v1.0:LG20:8036253:8039645:1 gene:TanjilG_01736 transcript:OIV90655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIMVAIKRVVDYAVKIRVKPDKTGVVTQNVKMSMNPFCEIALEEALRIRESGLASEIVAVSMGPTQCIDTLRTGLAMGADRGIHVEFNDALYPLSVANIFKKLVEIEKPSLVILGKQAIDDDCNQTGQMIAGLLNWPQGTFASKVVLDKEKQVVTVDREVDDGTETVCLNLPAVITTDLRLNQPRYATLPNIMKAKSKPIKKFTPEDLNVEIKSDLEIVEVTEPPKRKAGVMLSSVDELIDKLKNEANVI >OIV90149 pep chromosome:LupAngTanjil_v1.0:LG20:20504318:20504980:1 gene:TanjilG_01603 transcript:OIV90149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPRISFSHDFSQSDAIPVEIQQHPLKSNSYGLNSSIDFDFCVKKSSHLESSSADELFCDGIILPIEIKKKNIVPPPHVICHNASTNKNLKKKCNTTKEMKEFNDEKHISNSFWKFKRSSSCSSGYVRSLCPLPLLYRSNSTGSTSPNVKIKQSSLKQFSTTTRFSQTFGPNNYQKPPLKSHRNNGANSIRVTPVLNVLPVNIIGLCSIFSKSKDKIKKK >OIV90579 pep chromosome:LupAngTanjil_v1.0:LG20:9154673:9156229:1 gene:TanjilG_01660 transcript:OIV90579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLTSSPSFSPYYHLIFTAIAFLTSGLVFLLAKKTKTKTKSKNLNLPPGPPGWPIVGNLFQFACSGKPFFDYVNDLRSKYGSIFTLKMGSRTMIILTDPKLVHEAMIQKGATYATRPSENPTRNIFSANKCTVNASVYGPVWKSLRRNMVQNMLSSSRIKEFKGVRDKAMNKLIKRLKSEAEKNNDGVVLVIKEARFAVFCILVAMCFGLEMDEEAVEKMDQVMKNVLITLNPRLDDYLPILSPFFSKQRKRALQVRKEQVEFIVPIMEQRKRAIQNPGSDHTATTFSYLDTLFDLKIEGRKTTPSNAELVSLCSEFLNGGTDTTATAVEWGIAQLIANPEVQTKLFQEIKDTVGDKKVDEKSVEKMPYLHAVVKELLRKHPPTHFVLTHAVTEATSLAGYDIPTYANVEVYTPAIAEDPRLWTNPSKFDPERFISGGEDADITGVTGVKMMPFGVGRRICPGLAMGTVHIHLMLARMVQEFEWSAYPLGKNLDFTGKLEFTVVMKESLRATIKARA >OIV91450 pep chromosome:LupAngTanjil_v1.0:LG20:314164:314689:-1 gene:TanjilG_02068 transcript:OIV91450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKVSSLSGVESVSVDLKNQKLTLTGDIDPVVIVGKLRKLCLTEILSVGPAQEEEKKKNKEPKKDEKKNPEVKKNDQQENLAHIVKAYETYHYNQMRQPPYYYTSVEENPNACSIC >OIV91399 pep chromosome:LupAngTanjil_v1.0:LG20:692922:693516:-1 gene:TanjilG_02017 transcript:OIV91399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSATLKQHHTKVVPKQCDTFPCERYLSSRRTPSFSSSCSSLSSLESLYFHDDPLLSPATPLRFSGVPFSWEHLPGIPKKQNSKNKKDSSLKILPLPPPTHSSKKKNSVQTSVKKDPFFAAMVECSKDDNNHEETSASLWSGAKFTFQLQEQVPINMLVAGSYELSAP >OIV91402 pep chromosome:LupAngTanjil_v1.0:LG20:673615:676356:1 gene:TanjilG_02020 transcript:OIV91402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAFFTFFLLFFTGFLHFPSVSPSSLHQQQQPIIKNNDPTITSHTNEPFTDLVRAFRKWDSQVGCARFREKQPDGVALNGSKVASLQEGISDSACKGLGMNHVSVLVKGWTWIPDNLDNMYSCSCGLTCLWTKSPVLADKPDALLFETTTPPIQRRIGEPIRVYMDLEAGRKRSGQEELYISYHAEDDVQATYAGALFHNSRNYHISNNKNNEALVYWSSSRCLSERNELAKKLLGLLSHHSFGKCLNNVGGPDMALSFYPECANDANAAPKWWDHLHCAMSHYKFVLAIENTWIDSYVTEKLFYALDSGAVPIYFGAPNVMDFVPPHSIIDGRKFTSLEELASYVKALANDPVAYAEYHAWRRCGVLGNYGKTRAVSLDTLPCRLCEAVSRKGGRNARS >OIV90266 pep chromosome:LupAngTanjil_v1.0:LG20:17947215:17948214:-1 gene:TanjilG_08303 transcript:OIV90266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTINVGSNKACAACKYQRRRCSKDCPLAPYFPSNKPETFSNAHRLFGVSNITRILNHVEPHQKDEAMKSIIFESDVRAKFPVQGCYGLAMYYNYMINKTEEELHDTKMLVENFKEYSQVKQHHTLMPLVPTMSIDPCSILPTRSNEFPIYNRDKDASLFDPNLGLVYGANDYMQKNDIYMETLTCMSDIINDVNTSFLDEKSTSMSMDGSSYHENQLESIALENNTRAMDARSSKFNLMEELESSYHSKQDIPKYYHGTTHVDEFGDNIRAFVDCKDACRSFSHYPASTSKKGY >OIV90828 pep chromosome:LupAngTanjil_v1.0:LG20:5394944:5399889:-1 gene:TanjilG_15561 transcript:OIV90828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVFSREVSSGIISEVKENKNLSVESDRKVGEVSTNNAEGNVVENQNGHKEKEEKGGDDATQTQWPQGERRRASRPNPRLSNPPKHLQGEQVAAGWPPWLTAVCGEALSGWTPRKADTFEKIDKIGQGTYSNVYKAKDMLTGKIVALKKVRFDNLEPESVKFMAREILILRRLDHPNVIKLEGLVTSRMSMSLYLVFDYMVHDLAGLSASPEIKFSEPQVKYYMHQLLSGLEHCHKRHVLHRDIKGSNLLINEEGILKVADFGLASFFDPNRRHPMTNRVVTLWYRPPELLLGATEYGVGVDLWSAGCILGELLAGKPIMPGRTEVEQLHKIYKLCGSPSDEYWKKSKLPNATLFKPREPYKRYIRETFKKIPPSALSLIDTLLAIDPAERLSASDALGSEFFTTEPYACDPSDLPKYPPTKEMDAKRRDDEARRLRAAGKAQGGGPKKHRTRDRAVKPNPAPEANAELQYNIDRRRLVTRANAKSKSEKFPPPHEDGQLGFPLGSSQHIDPDIVSSVVSLGSTSYIYSREPFQDWSGPIGNSASNNVMPKRKKKQSAGDALDFSKPHKGTLKDKVNGNKIIA >OIV91323 pep chromosome:LupAngTanjil_v1.0:LG20:1309710:1310207:-1 gene:TanjilG_01941 transcript:OIV91323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVAPVESIASLSSDFFYDILRRLDGATLASASCTCATFCSISKEERLWENVCSSVWPSTNREDVKSLISCMGGFRKFCADCFPLIVNKHVAEYQQNNYLEYPDNLTEAEYYGDTNEFEVLESCHMYSKVQNELKEEKLRNESRSITLCILSGIAAFMTLWYCCL >OIV90287 pep chromosome:LupAngTanjil_v1.0:LG20:17215551:17222825:1 gene:TanjilG_13142 transcript:OIV90287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAYCNGDVSMAPSNDVISTRDFRLSKYVHDNVHGNIYLDPLSLKFIDTEQFQRQVYNFFLFYVMGLTNMVYPGAVHSRFEHSLGVYWLAGQSVEKLKSYQGVELGIDRFDIQTVKLAGLMHDVGHGPFSHLFEREFLPQVNSGSNWSHEQMSVNMVDYIVDEHHIEVEPDMMKRVKEMILASTEFPLPRSSSEKGFLYDIVANGRNGIDVDKFDYIVRDCRACGVGCNFEFQRLLETMRVMDDEICYRAKDYLTIHKLFATRADLYRTVYTHPKVKAMELMVVDALVQANSYLDISSHILNPSEYWKLDDTILKTIETAPIQELKEARELILRIRRRNLYQFCNEYAVPKDKVDNFKKVTAQDIVCSQKNGGVTLKEDDVAVSNVKIDLTRGKHNPLESINFFKDYESTEKFTIPDGRISHLLPTSYQDMIVRVYSKKPELVDAISEAFENFQLKTYGIKAQVHSTPSKKRRYD >OIV91501 pep chromosome:LupAngTanjil_v1.0:LG20:39546:39884:-1 gene:TanjilG_26470 transcript:OIV91501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSVCWSSSSYKVVVEHEGKSTELEVEGDESILSKALESGLSVPHDCKLGVCMTCPARLLSGSVDQSEGMLSDDVVQSGYALLCVSYPRSDCHVRIIPEEDLLSLQLATAND >OIV90763 pep chromosome:LupAngTanjil_v1.0:LG20:6122676:6126164:1 gene:TanjilG_15496 transcript:OIV90763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKRNTSSALFVILVFTLSTTLFICTSKGLNEEGKYLLDIKGSLFDKHKHLHNWNSNDSTPCAWKGVNCSINNNPVVESLDLHLMNLSGSLSPSIGGLVHLYQLDLSQNSLFGIIPKEIGKCTNLQVLSLNNNLFEGQIPKEVGMLSNLTELYLYNNRISGPFPMEIGNLSSLSILIVYTNNLSGPLPSSIGKLTRLTRFRAGQNKISGSLPQEIGGCQSLQYLGLTGNQISGEIPKALGLLKNLQCLTLRENNLHGTIPKELGNCTNLEVLALYQNNLVGEIPKELGNLVLLKKLYLYGNELTGEIPREIGNLSQATEIDFSENLLIGDIPVELAKLTRLQLLHLFQNMLTGVIPNELTRLKNLTEVDLSINYLKGTIPVGFQDLANLTILELFNNSLSGTIPQALGANSPLWVLDLSYNHLVGRIPVHLCQNSNLMLLNLGSNRLTGNIPYEIIRCESLVHLRVFGNQLRGRFPSNLCKLVNITTVELDQNDFSGPIPPQIGNCMKLQRLHLSNNHFLSELPKEIGNLSKLVTFNVSSNHLYGRVPLEVLNCRMLQRLDLSHNNFVGTLPGEIGTLLQLELLRLSDNNFSGNIPVSVGKLFRLTELQMGGNSFSGCIPHELGFLSTLQIALNLSYNKLSGKIPNELGDLIMLESLQLNNNYLTGVIPTSFLKLLSLLSFNFSNNNLTGNLPSLPLFQNSTLSCFSGNKGLCGGRLGLCTTSFSPSPPNRLGKVLAIAATAISGVSLVLIMVLIYLMRGPCSMDSIVPQQDNIDRPNSPPVSDMYFFPTEDLTFQNMVEATENFHSKYVIGKGGSGTVYRADISTGNTIAIKKLASGRESINVNGCFRAEILTLGKIRHQNIVKLYGSCNYHGSHILLYEYMAMGSLGELLHGASCSLDWHARFRIALGTAQGLSYLHHDCKPRIIHRDIKPNNILLDHEFEAHVGDFGLAKVIDVSRSKSMSAVVGSYGYIAPEYAYTMKVTEKCDVYSYGVVLLELLTRKKPVQPVDEGGDLVTWVRNQIHKHSLTLDILDPRLDLVDEIDVAQIFDVLKIAVLCTEANPSKRPTMCKVVSMLLSCSKRTEQTSSSPSQESRC >OIV90637 pep chromosome:LupAngTanjil_v1.0:LG20:8255365:8257850:-1 gene:TanjilG_01718 transcript:OIV90637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSANLGSKEGKSLSSYLSYVIPSIGFGGSKTNKHQHDPIPSDSVEYNYEKIENQDDKLDNYVDCSPPCNNLDLLKDDIIGEDQTSRRSSSSSEVFEEANEQPTPNISKKSLLNLTDDSTFISPELYEFFESCLPNIVKGRQWVLLYSTLKDGISLRTLIRKSAELSSPGLLIVGDRKGAVFGGLLECPLKPTPKRKYQGTNQTFVFTTIYGQPTLFRPTGANRYYYMCLSDLLAIGGGGDYALCLDEDLLTGTSGPCDTFGNKCLAHSPEFELKNVEVIF >OIV91179 pep chromosome:LupAngTanjil_v1.0:LG20:2377506:2379182:-1 gene:TanjilG_30401 transcript:OIV91179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLGWMHRKFRQNSNEQFKDLVMGQQTLDDEFNYPKPNFGTKHVKQTHKDHNQRKSFAGSEAARTENDEYDEESSDAMYDLFHGFLTIGTLGSEQAVADASQTPTFAFYVENITEKEDEVTENELKLINYELEKVLAAETKDDCSNYDSSARSSLVSNARSSYGSTITLSGKPLEGANESNVNGSAICPLQGYLFGSGVELSETKMAAKKENRTSLGELFQKSKLEEENFGAKCEKDDRRTEREAYKSAMQLVKKKLKIGILHTVDPASAEKKLHKILHMFNKKVHPENSTAAQKRDKQQQKNEKKKKIMNDGGNRKSDVVHPEEDIILYPNGSLVKENIEDYKSQSNPLHFRLSTEEVSYENQNKEHWIKTDADYLVLEL >OIV90889 pep chromosome:LupAngTanjil_v1.0:LG20:4931318:4932772:-1 gene:TanjilG_15622 transcript:OIV90889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKSLQKIERKILHLLHGRNTRTQLTQIHAHIFRHGLNQSNQILSHFVSICSSLHKMHYASLIFDQTHNPNILLFNSMIKGYSLSPPHHFSFHIFSEMNARSISPDEYTFAPLLKAVVNLRHFRLGQCVHKQALGLGYARHCSIRVGLVEFYAGCEGMEDADKVFGEMRERDVIVWNLMVRGFCKVGDLDRGLRLFGKMKERSVVSWNIMISCLAERNEDEKALEVFREMLDKGFEPDDATLVTVLPVCARLGALDVAEWIRSYANDKGLLRDVIAVGNSLVDFYCKCGNLELAWSIFNEMACKNVVSWNAMISGLAYNGKGEVGVELFEKMVREGVKPSDSSFVGVLACCAHAGLVDRWSELFESMVVKFQLSPKLEHYGCVVDMLGRCGHVREAYELIRSMPMKPTAALWGALLSACRTYGERKIAEIAAKQLIDLEPWNSGNYVLLSNIYAEEGRWDEVEKVRVLMRGSGIKKVPGQSATG >OIV91164 pep chromosome:LupAngTanjil_v1.0:LG20:2501647:2505848:-1 gene:TanjilG_30386 transcript:OIV91164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMSLRKLRGVVAVEKHERSNNLLPLSQLEEEELAQATQDMQDIRDCYDTLLSAAAAAANTAYEFSESLGELGSCLLEKTALNDDEDSGKVLLMLGKTQFQLQKLIDNYRSHISQTITIPSQSLLNELGIVEEMKRHTDEKRDVYEHILTRYRERGRFRSGKAESFSLQQLQTARDEYDEEATSFIFRLKSLKQGQSWSLLTQAARHHAAQLYFFKKAVRSLETVEPHVQLVTKQQHIDYHFIGLEGEDEDEGDDVDDDDSSDENDNGELSFDYGENEHEEDVSTSQNSFKLDLVKFTFPKGSTVKAFKDNLDMLPRISFSFRDKSRSQSAPLYADNRPDYSGKLRQMQPSLSRKFNSYVLPTPVDTKSSMSSRPSNQVPTKRKTNLNEPTKNLWHSSPLEPKKYGKILGDERFSGPNVRNAQSILQESNSKTVSTTLPPPLIDSILSSNNDFAASYSKKIKIHAFSGPVASNPWLPTKPVSVESVHLFSGPLFRTPIPQPRSSSPTLTSPIPQPRSSSPTLTSSPKISELHELPRPPSNTQSNSKLLGLVGHSGPLVSRGQNLSAANNLVITSAASPLPMPPPAMPRSFSIPSGGTQVAALLGSRPVEGPRKSTVSEDIASPPLMPIDLSGSPRSSNG >OIV90523 pep chromosome:LupAngTanjil_v1.0:LG20:10553812:10558533:-1 gene:TanjilG_32400 transcript:OIV90523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLRDISASLPPGFRFYPSDEELVLHYLYKKITNEEVMKGTLVEIDLHTCEPWQLPEVAKLNATEWYFFSFRDRKYATGFRTNRATTSGYWKATGKDRTVVDPITHEVVGMRKTLVFYRNRAPNGIKSNWIMHEFRLETPHMPPKEDWVLCRVFHKGKEDNSAKLNPQLIFDAIAPSSTNQTIPVGYNQLAYFTSSTPNNIHHHQNLNENNSLMNLLNYSHDTNTNCSAVTQISPKGDDGYGFLWDMDIEENSFHDGVASNLDGIRFEVDNNSMVSL >OIV91387 pep chromosome:LupAngTanjil_v1.0:LG20:788594:790537:1 gene:TanjilG_02005 transcript:OIV91387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGTVKKVTDVAFKAGKTIDWDGMAKLLVSDEARKEFSNLRRAFDEVNSQLQTKFNQEPEPIDWDYYRKGIGNRLVDMYKEHYDSIEVPKFVDNVTPQYKPKFDALLVELKEAEQKSLKESERLEKEIVDVQELKKKLSTMTADEYFGKHPELKKKFDDEIRNDYWGY >OIV90529 pep chromosome:LupAngTanjil_v1.0:LG20:10712414:10720193:1 gene:TanjilG_32406 transcript:OIV90529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTRSWLQRLNPQVKTKVVSKKKGEDNDNGVNDYSNVHVDEVTKQKVAAAKQYIENHYREKMKNLQERKERCFLNHEAIVYSNSLAYDSRTVLEKKVYDADVCEEDQKNLFKFLEKKETEYMRLKRHKMGADDFELLTMIGRGAFGEVRLCREKTTGHVYAMKKLKKSEMLRRRQVEHVISERNLLAEVDSNCIVKLYCSFQDDDYLYLIMEYLPGGDVMTLLMRKDTLTEDEARFYVAEIVLAIESIHKRNYIHRDIKPDNLLLDRYGHLKLSDFGLCKPLDCRTLEEKDFSMGQSVNRSRFTQNGERAAPKRTQQEQLQHWQQNRRTVAYSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYSDEPITTCRKIVNWESHLKFPVEAMLSLEAKDLISKLLCNVDQRLGSKGADEIKAHAFFEGVEWNKLYQMEAAFIPEVKDELDTQNFEDFKESNIQTKTAARTGPCRKMLSSKDLNFVGYTYKNFEIVNNYQVPGTSKSLSLF >OIV90278 pep chromosome:LupAngTanjil_v1.0:LG20:18101788:18105678:1 gene:TanjilG_08315 transcript:OIV90278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTERTLADRKGRDLCELPTETITSNKSRKKNRWRTKKMVSPVQMLFETCKDVFSSGGVSIVPPPQQIQKLQSVLDGIKPEDVGLTPDMPYFQTNAAQRIPRITYLHIYECEKFSIGIFCLPPSGVIPLHNHPGMTVFSKLLFGTVHIKSYDWVIDLPADSSTFVKPSESERLVPETRLAKVKVDADFTAPCNPSILYPADGGNMHCFTAVTACAVLDVLGPPYSDPDGRHCTYYTNHPFSRFSVDEISIAEEEKKDYEWLQERVLPDDVEVVGKMYSGPMIVET >OIV91385 pep chromosome:LupAngTanjil_v1.0:LG20:799029:802279:-1 gene:TanjilG_02003 transcript:OIV91385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKQKQKYNKSNLGCFGYHETLESGSEEARAPVAVVPSLPPRKDVVVVKPRETENEQSKQALSLVLATAVAAGAAVAAASAAAAAAEVARLNNVSRYAGKSREEVSAIKIQTAFRRYSARRILRGMRGWVRLKRLIQGQSVKRQTTTALKCMQNFSRLQSQIHARRIRMSEENQALQRQLNQKREKELEKLLAAKVAEKWDASLKSKEQIEAKMLHRQVAAMRREKALAYSLSHQQTWRNSPKSANATFMDPNDPHWGWNWLERWMASRPWEGQSIMQQHNDHASAKSASSYATMSVGEITQLYTLRDQNHHESKNYSPATNQKASHVHSHTNPPSASKAISKVKASTSQGGSWGGNVDDSRSMFRINHESNRRHSIAISSVRDDESIASSPAFPTRIPSIKVAKSKSQIPSPFNNKGTILEKGGVVSAKKRLSFSPSPSGARRLSVPTKMGIVSNKSVANATIPEDNEKVKSKVKN >OIV91308 pep chromosome:LupAngTanjil_v1.0:LG20:1421347:1422992:-1 gene:TanjilG_01839 transcript:OIV91308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGSSEVNLIESKRVVPLNTWVLISNFKLAYNLLRRADGTFNRELAEFLDRKVPANTIIDGVLSFDHVDKNTGLFNRVYQPAPENEFRWGILELNKPLSTTEIVPVIIFFHGGSFSHSSANSAIYDTFCRRLVSICRAVVVSVNYRRSPEHRYPCAYDDGWAALNWVKSRTWLQSGKDSKVYVYMAGDSSGGNIAHHVAVRAAEEDVEVLGNILLHPLFGGEKRTESEKKLDGKYFVRLQDRDWYWRAFLPEGEDRDHPACNPFGPRGRSIAGTKFPKSLVCVAGLDLVQDWQLDYVEGLKNSGHEVKLLNLKEATIGFYFLPNNDHFYILMEEMKNFVNSNR >OIV91493 pep chromosome:LupAngTanjil_v1.0:LG20:64045:65401:1 gene:TanjilG_26462 transcript:OIV91493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDETQRKMCGVLMYLMLSCLFGRIDSLSVTVNDVECVYDYVLYEGDSVSGNFVVVDHHIFWSSDHPGIDFTVTSPAGNTVHSIKGTSGDKFQFKAPTHGTYKFCFHNPYSTPETVSFYIHVGHIPSEHDLAKDEHLDPVNVKIAELREALESVAAEQKYLRARDARHRHTNESTRKRVVFYTVGEYLLLAAVSVLQVIYIRHLFGKSVAYNRV >OIV90284 pep chromosome:LupAngTanjil_v1.0:LG20:18354501:18362176:-1 gene:TanjilG_08321 transcript:OIV90284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENGELNKASLPEAEKKKEQTLPFYQLFSFADKYDYVLMISGSVGAIIHGSSMPVFFLLFGQMVNGFGKNQMDLHKMTNEVSKYALYFVYLGLIVCISSYAEIACWMYTGERQVSTLRKKYLEAVLKQDVGFFDTDARTGDIVFSVSTDTLLVQDAISEKVGNFIHYLSTFLAGLVVGFISAWRLALLSVAVIPGIAFAGGLYAYTLTGLTSKSRESYANAGIIAEQAIAQVRTVYSYVGESKALNSYSDAIQNTLKLGYKAGMAKGLGLGCTYGIACMSWALVFWYAGVFIRNGQTDGGKAFTAIFSAIVGGMSLGQSFSNLGAFSKGKAAGYKLMEIIKQKPTIVEDVSDGKTLLEVNGNIEFKDVAFSYPSRPDVMIFSKFSIFFPAGKTVAVVGGSGSGKSTVVSLIERFYDPNEGQVLLDNVDIKTLQLKWLRDQIGLVNQEPALFATTILENILYGKPDATIAEVEAATSAANAHSFITLLPNGYNTQVGERGVQLSGGQKQRIAIARAMLKNPKILLLDEATSALDAGSESIVQEALDRLMIGRTTVVVAHRLSTIRNVDTIAVIQQGQVVETGTHEELLAKAGTYASLIRFQEMVRNRDFSNPSTHRTRSSRLSHSLSTKSLSLRSGSLRNLSYQYSTGADGRIEMISNAETDKKNPAPEGYFFRLLMLNAPEWPYSIMGAVGSILSGFIGPTFAIVMSNMIEVFYFTNYASMERKTKEYVFIYIGAGIYAVGAYLIQHYFFSIMGENLTTRVRRMMLAAILRNEVGWYDEEEHNSSLVAARLATDAADVKSAIAERISVILQNMTSLLTSFIVAFIVEWRVSLLILGTFPLLVLANFAQQLSLKGFAGDTAKAHAKTSMIAGEGVSNIRTVAAFNAQNKMLSIFCHELRVPQLRSLRRSQTSGLLFGLSQLALYASEALILWYGAHLVSKGISTFSKVIKVFVVLVITANSVAETVSLAPEIIRGGEAVGSVFSILDRSTKIEGDDPDAEMVESLRGEIELRHVDFAYPSRPDVMVFKDFSLRIRAGQSQALVGASGSGKSSVVALIERFYDPIAGKVMIDGKDIRKLNLKSLRLKIGLVQQEPALFASSIFENIAYGKDGATEAEVIEAARAANVHGFVSGLPEGYKTPVGERGVQLSGGQKQRIAIARAVLKDPAILLLDEATSALDAESECILQEALERLMRGRTTVLVAHRLSTIRSVDCIGVVQDGCIVEQGSHGELISRPEGAYSRLLQLQHHHI >OIV90209 pep chromosome:LupAngTanjil_v1.0:LG20:19690947:19693163:-1 gene:TanjilG_01405 transcript:OIV90209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFFLVTNAYGHNNHEAMLRLQAFKASLTTLHSIASSPLSSFSPSTSPSPLPSQGVKKPRVFLVTSYGADPTGNSDSTEAILAAIAEAANSSSERFLMEGINDLGGVQINLQGGNYIISKPLKLPVAGVGNLMIHGGTIKASNNFPSDEYLIDLSNSRESNKISTSYSYNYEYITLKDLLLDSNFRGGGISVINSLRTNIDNCYITHFTTNGILVQSGHETYIRNSFLGQHITAGGDKGEKNFSGTGINLQGNDNAVTDVVIFSAAIGIMVSGQANIISGVHCYNKASNFGGTGIYLKLPGLTQTRIVNSYMDYTKIVAEDPVQLHISSSFFLGDANIVLKSIKGIANGVSIVDNMFTGLNNGVEIVKLDQSNSPFKQIEQVIVDRNIVKGMNLKATAAKISKQGNGTSWTIDFNKILLFPNLIKHVMYSFSATGNTFPNHALRNMSDNRVVIESNEVVTANVFVTVDQGVVS >OIV91259 pep chromosome:LupAngTanjil_v1.0:LG20:1779284:1780012:1 gene:TanjilG_30481 transcript:OIV91259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSDGTTNGVTNIDPNQRHTLSAKKPPSKDRHTKVDGRGRRIRMPIICAARVFQLTRELGHKSDGQTIEWLLRQAEPSIIAATGTGTTPATFSSLSVSLRSSANSLSSPSSTSDHKSLLSTTPFILGKRIRTDDDASVTAKDDVVSAAPAGLWALPARHDFGQIWSFAAAAAPPPEMVSVSQQQQASFFLHHPQHQHQQQQAAMGEASAARLGNYLPGHLNLLASLSGGHGNNGRRDDEHH >OIV91211 pep chromosome:LupAngTanjil_v1.0:LG20:2100140:2103911:-1 gene:TanjilG_30433 transcript:OIV91211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLKVVSTNVGFQFSSPCFTSPKLSNLRKESFMLRSTQVEQISFTESENSLIEALLGIQGRGRSSSPQQLNAVERAVQVLERLGGVPNPTDSNLIEGRWQLIFTTRPGTASPIQRTFVGVDFFSVFQEVYLRSNDPRVCNIVSFSDAIGELKVEAAASIEDGKRILFRFDRAAFSFKFLPFKVPYPVPFKLLGDEAKGWLDTTYLSHSGNLRISRGNKGTTFVLQKQTEPRQRLLTAVSSGKGVREAIDEFISLNRTTGEEDPELEEGEWQMIWNSQTTTDSWIENAANGLMGKQIIGKDGRIKYLVDILLGLKFSMTGSFVKSGSKTFDITMDDAAIIGGPFGYPVELKNKFSLELL >OIV91096 pep chromosome:LupAngTanjil_v1.0:LG20:3010136:3010603:1 gene:TanjilG_30318 transcript:OIV91096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METTSLCSIALLSYYPSCNLLRPKNTSFVSNKKLSATPTITNRSYCIYASKRDSYGQHYDGKLVDENMILLRMRIREIEMVEMRMKAPSDWTEWEKKYSVDYVSDVCEAVGMLQRLLMNTRPSLALGMMALLMLSMSLSMSQLLFHVVELTKGII >OIV91430 pep chromosome:LupAngTanjil_v1.0:LG20:473185:474384:1 gene:TanjilG_02048 transcript:OIV91430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEGVKNGRWAELDHDLLNEIAMKLNYSYNDYIQARSVCTEWKMALPKVPCLVLPFSEDNTLETYLAHRKIYHIRLPELCGTKIRGSSYGWLICVGIDDTLQMIKPLNPKVHFFLPPLSTIPNVVSYHPNEIDNEYLLQEFGDDDIYPMGRITLQKCLQIHKVILSSPPDDNNQDFMAIVIFSSYSRLAFCRRDDTKWIDIPKSGEAEYTYDYKDAIFHEGKIYAIDFKGQLFEYHIKKGGIPIASSRKVPPPPHFNSSTIDPNYNQAYLIGCPKGDLIMVARNFNYYQAEEDAPDCYNSAKFDIYRLSSVNMKQWSRVFRLENGAIFIGFNSSTWMVNHTLPHCQRNKIYYTDNNLEYHYREQMGGHDIGMLNLDEGTICRFSPNSYLLCPPPVWSLY >OIV91121 pep chromosome:LupAngTanjil_v1.0:LG20:2828467:2837586:1 gene:TanjilG_30343 transcript:OIV91121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSPTGNLTNHNEGTGEQMHNLDSGMEQSGLSEKTPQMGSECSGSEKKVLGTALNNPLIDEKSNHVSDILAENPVIQLPAPPQHDLEKSRQTGEGLCLQQSTSEQVPVHLSNDKSENKCQPFSQNVQHELVQMSDAVTGFLVEDQVQSISAQVPIHLSDDNSENKSQPFSQDVDNAFEEMNNTATDFLVEDQTQSISAQVPDHLSDDKLKNTSQPLPQNVQNEFEEMKIAVTGSLVEDQTLYIPAQVPINLSNDESENKCQSFSQNELVEKSDAVTAILVEDQSQCIIGEVPVHLPNDKSENKSQLLSQDVQNELVQKSDAETGVIVDNQTQTQANMSYVDPSFGDFAKSTTPARLRHKGKGNSKLLKKKYMLRSIGSSDRSLRSRTQEKPKAPESSSTLVDVNNDGVKRKKRKKKSRRDEGKIDEFSRIKAHLRYFLNRVSYEQSLIDAYSGEGWKGYSMEKLKPEKELQRAKSAILRRKSQIRDLFQNLDSLCAEGRLPESLFDSEGEIDSEDIFCAKCQSKELSMDNDIILCDGACDRGFHQLCLDPPLLTENIPSGDEGWLCPGCNCKDDCLDLVNDSLGTRLALSDTWERVFPEAAAGNSEDHDFELPSDDSSDDYNPDVSQDEEVEGGESSSDESQYASASEKLEDSHHEDPYLGLPSEDSEDDDYNPNAPDRDNKVTEESSSSDFTSDSEDLAAIRKDNKSPGQGEDITSASLDDFENSKNSSKQKCKVGKRPSLTDELSSLKESDPGQEGCAHVSGKRNVERLDYKRLYDETYKSDTSDDDEDWTATTGRKKKASGELTSVSPDGKVSNNSRPNPRRNLHQNRVVNTNNSPAKSPEGSGKSSKPRFSASKKRLGEAVVQRLYKSFKENQYPDRNTKETLAQELGLTIRQVDKWFGNSRWSFRHSSTSAGGNASRQATDTMAENKGALGGEERDGELVSQEGNGEKSKTPSTRKRKYMSEPQASEIPTDVEIAGTVTPANAQEMQKVEIDEKDLKAAGAEVFFDGGRRGVRIHGWLIETRRNSILNSSTVQQWEQKLETTHLPEMEALPPVEVPAAVKWKFRTKPSMQVILDYDYTFTTPYCESGTIEIDKELNGGEFSEENRNIHWEECKEQIDVATLASKEPILFYDEVVLYEDELADNGVSLLSVKVRVMPSSWFLLLRFWLRVDGVLIRLRETRMHCVFGGSTKPTILRETCWRESSCKGHPFDSDVYTDDPSIISQRLPIIMQRTQKLVIPQL >OIV90715 pep chromosome:LupAngTanjil_v1.0:LG20:7014153:7020468:-1 gene:TanjilG_15101 transcript:OIV90715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWVHTEIMELEFDRIEWFKKTGFKDIKLKRIGPKWYRCVRRHGLIMGCSVTGVKPSSGDSPLKLGPKVEDVKKPVNPLLLLSRVNLGAIAATYYILVPIYMWIKDQIIPKGIPI >OIV90400 pep chromosome:LupAngTanjil_v1.0:LG20:14681386:14681625:-1 gene:TanjilG_10700 transcript:OIV90400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELRWCEGHPEDNIDVDNCVELVVIEDMFEVVDLTSNSDHEVVGLVGAGVGAVPMANKDDTEGDPIEGSSAPSIEMAIC >OIV90648 pep chromosome:LupAngTanjil_v1.0:LG20:8120399:8123210:-1 gene:TanjilG_01729 transcript:OIV90648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKSLASVLFVTSLFICVTASDNGFPRCNCDDEASLWTIESILECQRVGDFLIAVAYFSIPIELLYFISCSNVPFKWVLIQFIAFIVLCGMTHLLNGWTYGPHTFQLMVALTVFKILTALVSCATAITLVTLIPLLLKVKVREFMLKKKTWDLGREVGIIMKQKEAAMHVRMLTQEIRKSLDRHTILYTTLVELSKTLGLQNCAVWMPNVDKTEMNLTHELNGRNFNFSIPITDPDVVRIKGSAGVNILGPDSALTGASSGVSGEAGPVAAIRMPMLRVCNFKGGTPELTQACYAILVLILPTAELRSWSNQELEIIKVVADQVAVALSHAAILEESQLMREKLEEQNRALQQAKKNAMMASQARNSFQKVMSDGMRRPMHSILGLLSMIQDDNLKNEQKLIVDAMLRTSSVVSNLINDAMDNSAKDDGRFPLEIRSFGLHSLIREAACLAKCMCVYRGFGFTVEVEKSLPDNVMGDERRVFQVILHMVGNLLDSDHGEGILVLRVFAETGSEGRNDNKGWASWRPSSSSGDVNIRFEIGINSSNSEVRSSVAPGMWGRKYTSDRVEGRLSFSICQRIVQLMQGNIWLVPNNLGFPQSMALVLRFQLRPSIAIVISDPGESSERTDSNSMLRGLQVLLADNDDINRAVTQKLLQRLGCAVTCVSSGFECLTVIGPAGSSFQVILLDLNMPDLDSFEVATRIRKFRSRNWPMIVALTASTEEDLWERCMQIGINGVIRKPVLLQGIASELRRILMQGNNVM >OIV90417 pep chromosome:LupAngTanjil_v1.0:LG20:13770633:13771499:-1 gene:TanjilG_10903 transcript:OIV90417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASGAVEFLASVVISNIVSSLSSSNHDDDCDDRFHLKTGACDEALSVLYNLHLSEAGMKTLIVANQVHNIASLKSELFMDLVQVLKDQISPKASKATLMLVLLEMLCQCAEGRAEMMSHSASLAIVSKKILRASTMANDRALRILLSISGFSATPNVVQEMLQVGVVVKLCLMLQVDCGNKAKENAREILKLHARAWRNSPCIPSNLLDSYP >OIV90496 pep chromosome:LupAngTanjil_v1.0:LG20:10946930:10951120:-1 gene:TanjilG_10260 transcript:OIV90496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAARRLRDLQSHSGNKICVDCSQKNPQWASVSYGVFMCLECSGKHRGLGVHISFVRSVTMDSWSEIQIKKMEAGGNDKLNSFLSRYEIPKETDIVTKYNTNAASVYRDRIQAIAEGRAWRDPPVVKENLKGKQSGGGGGGGGSGRSNGGWDDDWGNDDGFGNSGEIRRNHSTGDFRSGGGGGGAPVRSRSTTGFARSELEASAANKETFFARKMAENESRPEGLPPSKGGKYVGFGSSPVPSAQRSNPQSDYFSVVSQGIGKLSLVAASAAQEITSKAVLGPSLTRTTVFILVFNFCILVLVPAEPDIMIPVFVTSATDVLLRLRLSRSLLCLRLPLVGLSSSAFGWFVFVSLWLLRLRLPSVASSSSSATKQMLHSLQQLVKEGGYDGKVNETVTVVSQKTTEIGQKTWGIMKGVLAMASQKVEELAGEGPNSKTDNWQGNENGRNGYYQDFNQENKGGNSSMGREQSSSGQFKTHSSSSWDDWGNKDSRKEEPAKGSNHSYNSSSWDDWDRKDSSKEGPAKGSAPHNNDGWAGWDDAKDDGFDNFHEGASNTKGAGHKAKSDSTWTGGGFN >OIV90920 pep chromosome:LupAngTanjil_v1.0:LG20:3248067:3250288:1 gene:TanjilG_16880 transcript:OIV90920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLRGPKFASLFNFLVLGQCLKHEMTENSMNLWYIPTICGLLLSLEDHKKAYRGVINEQCLQVEFFIHYLKADGVFDVVFYKAQRQGGIYFYATSETLSILHQPQPMSWWLQVEFYWLKANGVSDTVFYKAQRQGGIFFPRNK >OIV90588 pep chromosome:LupAngTanjil_v1.0:LG20:8911939:8914067:1 gene:TanjilG_01669 transcript:OIV90588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTLLSFTPHPHFLAFSAVQRRHQPPTTTTLKKPKTNENVRFLCSPYQRVSRFVVPCGVSAIAEDLVYNAGATVGVLGGAYALVFAFDDLTKREILHQDSNSNEARYFAAFVPLVNCLRLLVNGLSLVSDKGLVKSVTREGDPRELLRGPLYYVMILMLSALVFWRESPVGVVSLAMMCGGDGVADIIGRRYGSIKIPYNDKKSWAGSISMLVIGFLVSLGMLYYYSILGHVQLEWVSTVPRVAFVAFVATVVESLPITQIVDDNISVPLVTMALASFIFQH >OIV91208 pep chromosome:LupAngTanjil_v1.0:LG20:2114040:2150380:1 gene:TanjilG_30430 transcript:OIV91208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQVEKLWERLVRAALRKARTGGDAYAHPVGGIVGNVPSALAKNRDIDEILRVADGIQDEDPNISRILCEHAYSLSQNLDPNSEGRGVLQFKTGLLSVIKQKLAKREVGTIDRSQDIARLQEFYKLYRDNNNADKLREEETKLRESGAFSREILGELERKTVKRKRVFATLKVLGTVLEQLTKEIPDELKRVLESDSALTEDLIAYNIIPLDAPSSTNAIVLFPEVQAAVSALKYFSGLPELPTGYFISPTRNPDILDFLQYTFGFQKDNVANQREHIVHMLANEQSRLGIPDETDPKLDEAAVQKTFLKPLENYIKWCNYLNIQPVWSSLEAVSKEKKLLYVSLYFLIWGEAANIRFLPECLCYIFHHMAREMEEIIRQQIAQPAESCTSENGTDISFLDQVIFPLYDIVATEAASNDNGKAPHSSWRNYDDFNEYFWSLHCFELSWPWRTSSSFFHKPQPRSKGLSIIAFNNGNLNAKTLRELLSLGPTYVVMKFIESVLDILMMYGAYSTTRRLAVSRIILRFLWFSIASVVITFLYVKVLQEDNSNANSILFRVYMLFWLFILSGKFSFAYFLQIKPLVNPTRDIIKETAIEYSWHDFVSKNNYNALTVASLWGPVFAIYLLDIYVFYTLVSAVWGFFLGARAHLGEIRSLEALHRLFEQFPGAFMDTLHIPLPSRSSQPSAIQPVEKNKFDAAQFSPVWNEIIRNLREEDYITNFELELLLMPRNSGDLRLVQWPLFLLASKIFLAKDIAAESRDTQDELWDRISRDEYMKYAVQECFYAIQHILTEILDEVGRMWVERIYDDINACVTQKTIHLDFQLNKLHIVISRVIALMGILKEAQTPELERGAVRAVQDLYDVVRYDVFSVNMRENYDTWNLLTKARDEGHLFSKLKWPKNTDLKAQVKRLHSLLTIKESASSIPKNLEARRRLEYFANSLFMKMPVTKPIREMLSFSVFTPYYSEVVLYSMAELLKKNEDGISILFYLQKIYPDEWKNFLARIGRDENALDTDLYDSPSDILELRFWASYRGQTLARTVRGMMYYRKALMLQTYLERTTAGDLEAAIGCDEVTDTHGFDLSPEARAQADLKFTYVVTCQIYGKQKEEQKPEATDIALLMQRNEALRVAFIDVVETVREGKVSTEYYSKLVKADLNGKDKEIYSLKLPGNPKLGEGKPENQNHAIIFTRGYAVQTIDMNQDNYFEEALKMRNLLEEFHSDHGLRPPTILGVREHVFTGSVSSLASFMSNQETSFVTLGQRVLANPLKVRMHYGHPDVFDRVFHITRGGISKASRVINISEDIYSGFNSTLRQGNITHHEYIQVGKGRDVGLNQIALFEGKVAGGNGEQVLSRDVYRLGQLFDFFRMMSFYFTTVGYYFCTMLTVLTVYIFLYGKAYLALSGVGQAIGERAKITKNTALNAALNTQFLLQIGIFTAVPMILGFILEQGFLRYQATGRGFVVRHLLGGKVAGGKGEQVLSRDVYRLGQLFDFFRMMSFYFTTVGYYFCTMLTVLTVYIFLYGKAYLALSGVGQAIGERAKITKNTALNAALNTQFLLQIGIFTAVPMILGFILEQGFLRAVVSFVTMQLQLCAVFFTFSLGTRTHYFGRTILHGGARYQATGRGFVVRHIKFSENYRLYSRSHFVKGLEVVLLMIVYRAYGYNEGGTLSYILLTISSWFMALSWLFAPYLFNPSGFEWQKVVEDFRDWMNWLLYRGGIGVKGEDSWEAWWEEELAHIRTFGSRIAETILSLRFFIFQYGVVYKLQVKGTDTSLTVYGLSWIVLVGLIILFKVFTFNQKTSVNFQLLLRLIQGLSFFLALAGLAVAVVLTKLTIGDIFASLLAFIPTGWGILSIAVAWKPVMKKLGLWKSIRSIGRLYDAGMGAIIFLPIVFFSWFPFVSTFQTRLMFNQAFSRGLEISLILAGNNPNTGM >OIV90429 pep chromosome:LupAngTanjil_v1.0:LG20:11846258:11849626:-1 gene:TanjilG_01907 transcript:OIV90429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRLKSPARLMIVSDLDHTMVDHHDPENTSLFRFNTLWEAHYRHDSLLVFSTGRSPTLYKQLRKEKPMITPDITIMSVGTEITYGKSMVPDDGWVHVLNQKWNRDIIIEETSKFPELTRQAETEQRPHKVSFYVKKDKAKDVTNSLSKIFEERGLDVKIIYSGGIDLDILPKGAGKGQALAYLLKKFEANGKPPVNTLACGDSGNDADLFTVPGVYGVMVSNAQEELLQWHAENAKDNPKILHASERCASGIIQALGHFNLGPNLSPRDIPDHENNVINPLPGHEIVNFNLLVEKWRRAEVEKSDLFIAGLEALTCPSGFFIHPSGTEHGIKEYVSVLRKVYGDRQGKQYRILVDNVLATQIGSDTWLLTFDKWEYSGEEREGCVVTSILKKDSDWFSWVHVHQTWLEQPGKNEWIL >OIV90215 pep chromosome:LupAngTanjil_v1.0:LG20:19637211:19638125:-1 gene:TanjilG_01411 transcript:OIV90215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKVTSDSRSDMKVMALRRRRANERTETYVLLEPGKDEKFVSEEELKAILKVWLENWPGKSLPPDLARYESIDDAVSFLVRSVCELEIDGNVGSVQWYEVRLE >OIV90172 pep chromosome:LupAngTanjil_v1.0:LG20:20245336:20249951:1 gene:TanjilG_01626 transcript:OIV90172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKGRIPLPPQHMRHPLPQGSASMLHPEMHPLHGPYSPFGMLPPPEVMEHKLASQHGEMQRLLTENRRLAATHGTLRQELAAAQQELQMLDMQIGSTRAEREQQLRDVADNIARMEAELQAAEPVKVELQKAHAEAQKLVMSREELASKANQLSQELQRTFAEVQQIPALVSELERLRQDYQHCRATFEYEKKLYNDHLESLQVMEKNYTSMSREVEKLRAELTKTAIVDQRSSGPYGGTSGTHENEASGLPHGQNAYEDGYAVAQGHGSLPTASGGNATTTAAGAPPVPASVNTGYNALRGPIYDASAATAYDAQRATYDAQRSTGYDAVRGSTYDAQRAAIFDAQRTGYDPQRSQAGYEVQRGGPGYEVQRGGPGYDASRASSYDAQSRGVADPHGHAPTMNNIPNMPYGSRTPPTRGGGGYENVPQGANPPRR >OIV91421 pep chromosome:LupAngTanjil_v1.0:LG20:539213:544521:1 gene:TanjilG_02039 transcript:OIV91421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLHKKQKEEDIAICECKYNANDPDSACGDGCLNVLTSTECTPGYCPCGIVCKNQKFQKCEYAKTKLFKTEGRGWGLVADENIKAGQFVIEYCGEVISWKEAKRRSQAYETQGLKDAFIICLHASESIDATRKGSLGRFINHSCQPNCETRKWNVLGEIRVGIFAKHDIPIGAELAYDYNFEWFGGAKVRCLCGALKCSGFLGAKSRGFQEDTYLWEDDDDRYSVEKIPVYDSAEDEPVSRLRYLNSETYVNNFVGRTEHSMDDILIAENLSEATTFNVQPLDSVQMMDLDVKTIKTEVVSSDRKLYTQDTEQDFPQKNNAIVSRIRSTTAGRNYRIGPGSISTKRSSRAYNGGRLKNPIQKKVDAKFAAGLLASKEAQDEILKCEEIKNDATSGLDYLYNEIRPAIEEHERDSQDSVSTSVAEKWIEACCLKLKAEFDLYSTIVKNFACTAHNPPGQPKPTQVDNNDNEIKLLTVSLIFTIIILLCHRHNSSKTRTNAVRTRPAPNPNLSHSVSLVDASWSFDPNLKISMEELAHATNNFSSHLIVGDGSFGLVYKARLSSGVLVAVKKLSPDAFQGFREFRAEMETLSKVRHHNIVKILGYWASGPERLLVYEFIEKGSLDQWLHEPSFVNGDGINDVVRLPLSWETRVKIMNGVAHGLCYLHGLDSPIIHRDIKASNVLLDSEFEAHIADFGLARRINSAHSHVSTQFAGTMGYMPPEYRDGLKVVNKKVDVYSFGVLMLETMSGQRPNLPKKLGQTDIGIVQWARKMKEENDEMEMVDVNISREGMREESVKEYVRIACMCTGELQKERPEMSHVVQLLDSMLL >OIV91411 pep chromosome:LupAngTanjil_v1.0:LG20:603346:604082:-1 gene:TanjilG_02029 transcript:OIV91411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASADHEHRDDEDSPAAGDEEDTGAQVAPIVRLEEVSVTTGEENEDTILDLKSKLYRFDKDGNQWKERGAGTVKFLKHKVTGKVRLLMRQSKTLKICANHLVLPTMSVQEHAGNEKSCVWHAKDFADGDELKDELFCIRFPSIENFLEFSVIPS >OIV90625 pep chromosome:LupAngTanjil_v1.0:LG20:8389021:8398515:1 gene:TanjilG_01706 transcript:OIV90625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAPKESFTIYCQLMELYNFLMHRAKENPTFLNRCLDYRIKAKHKRIKMKVSMSLRIDETENVLPLYICLARQTPNNRNAEFEDFPEIDGDAEVQAKFKLPEVNKLAEEAKSGSLYLMVFTTVKNRNSSSEANRNPIPSDESIAGELCLCGKASLEFLYCAWDCSPSFVSGQGAEIVMSIDLIPCYLKCVEKDQSISVQAPYKSYYEGVRYHMHSSHDLFDFEFTIVGDVNPRQETFMLRAKPFKRRNLAAMREIPRNLSFENSNNADLTDPESECLVQDRVDEFSDKGDGMLQVEPSHEIPIVQHVPNKEKLQVKSDYKTDVKEQVVEKRMFPTKPGNETHGVQQFAEKSKVAIETSHGTPVMQDLPNNRKLLVNETQNVQQFSEKRNLTSEPAHETQVAKQVEKKGNLLVESNYDTIAMQQVANKENLPIETSHENEQQVTKKGNLLVESHHVTLEVQQVAKKVKLSPEEQHVAKKRKSLVEPSHGTSANQHVPNKEMLPGEPSFKTSTMQQVSKKGRLLIGPDPKTLVAQHVAKKVKSLAKLRDGTPIVQVDKKRRLPIKLSHGTLQGQGQHVPNKEMLEGEPSHETPMVQEVIEPTQDIPIVKQVSKQGKKLHVESNHETLVVPHIVKKGKSPIEPSNGTRIVQKVAKKGMLRGVPDNVDPDMQQHVPKRVELPIEGVNETLAVQKVTKKAKLSDKSIDEAPPIQLVFEEGKLPVENSHPQRRQFYHSTKYQPMSLEEVLSSQDNEDEIDDDTKDIEHLKLIDEYEVTKDEKEIIFMWSSFVRRQRVVADCHIPWACEAFAKLHAPLLVKSPQLAL >OIV90478 pep chromosome:LupAngTanjil_v1.0:LG20:11442564:11453265:1 gene:TanjilG_18662 transcript:OIV90478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCRTETVLKEEEDERSDKWRSFLEQVAKSSQPSSSEYKHIDRLKSEGNEIIEETIVDRVSKGDSSDRNSFEGTEVEEDTSLGRVGEGDASSGSKSVSGSSTGNNIGKEQHRLEERKKRKVQQWAEIMPSLTGIDKIMCPRVKNGKNVKGEKINGNNDRLPSIEESEPVEGVSKEDMQEHVCTNGTPEDSALQDQESPELFSPWKELETLVQGGVPKDLRGEVWQAFVGVRTRRKENYYEDLLAQETHSSESKEQDASSVAFGKWRKQIEKDIPRTFPGHPALDEHGRDSLRRLLLAYARHNPSVGYCQAMNFFAGLLLLLMPEENAFWTFVGIIDDYFEGYYTEEMIESQVDQLVFEELMRERFPKLVNHLDYLGVQVAWISGPWFLSIFMNMIPWESVLRVWDVLLFEGNRVMLFRTALALMELYGPALVTTKDAGDAITLLQSLAGSTFDSSQLVFTACMGYLAVTEARLQELREKHRPSVLDVLEERARKGRVWKDSKGLASKLYSFKHDQAPLVEERKTTEGGDMVADEDKSQLESRSSNIDELLNSLNVDSELDALPDVQDQVVWLKVELCRLLEEKRSAVLRAEELETALVEMVKEDNRLQLSARVEELEQEVAELKEALAENKEQEAAMLQVLMRLEQDQKVTEEARRRAEQDLAAQKYEVHVLQEKYDNAVASIAEMQKRVVMAESTLEATLQYESGQSKALSSPSMELIIVCKDHPLQLLIHAMNQGKQESGEILSNNSTPREESNNKEQDR >OIV91018 pep chromosome:LupAngTanjil_v1.0:LG20:4161468:4163451:1 gene:TanjilG_16978 transcript:OIV91018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVTERKRKVSLFDVVDSTAVKMLKSNGGSAVNGVCNSLINRQIILLCDNRFPYLVLNCFVQPKEAQKAVDEAKARFGHIDGDHLTLLNVYMSTSKIVNGFSDEDLSWCYENFINRWAMISVGNVRHMWGMSIGSSNVFLGFSFLKGQMPQKCGDALVTFYD >OIV90292 pep chromosome:LupAngTanjil_v1.0:LG20:17383410:17385277:-1 gene:TanjilG_13147 transcript:OIV90292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVIEKFVIASLFMWVAPIATLYAFNHNLLPGTENLSPYSVTLVSGFLAVISVNVVIAFYIYLAMKEPADKHEPDPKFVAEAKNSVKQFTRDTQQSSQPLKKQQ >OIV90543 pep chromosome:LupAngTanjil_v1.0:LG20:10897885:10904911:-1 gene:TanjilG_32420 transcript:OIV90543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNVTRRLGHQSSISSLKSIYPISHHHYGSNHEQRNVSTLAPKGVGHLVRKGTGGRSSVSGIVAAVFGATGFLGRYAVQQLAKMGSQVLVPFRSSEDCHRHLKLMGDLGQIVPMKYNPRDENSIKAVLAKANVVINLIGRDHETRNFSFEEVHHHMAEQLALISKEHGGIRRFIQVSCLGASPSSPSRVLRAKAAAEEAILRELPEATILRPAVMVGTEDRILNRWAHCAKQYNFLPLIGGGKTKIQPVYVVDVAAALTTSLKDNGTSMGKIYELGGPEVFTVHELADLMFDTIREWPRYVKVPFPIAKAIAAPREILLNKVPFPVPTPNMFNLDEIHSLTTDTVVSNDALTFNDLGIVPHKLAGYPIEFLISYRKGGPQFGTTVSEKVSPDAWP >OIV90439 pep chromosome:LupAngTanjil_v1.0:LG20:12262025:12264042:-1 gene:TanjilG_01917 transcript:OIV90439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENQQRSDETAETFTWTIKNFSKLHNKLYSENFFIGGHPWRIFIFPKGNNVDYLLSIYLDAGDSANLPYGWSRFAKFKLSLINKVNSNMTKTKETEHEFNARENDWGFTAFMPLNELCDPSTGFIVDDTCIIEAEIFVTKRERENQVDQAAKPATVTLVSTQVNVVSDNPSPKETSSTSLGELMELRGLGKIENAFVPLLEEVCSRYPSLIKCQQNRSCRFTEWAFTALGRVLHFLKTKKVKDMNDVLFMDLQTLWEELETFKFDLTWLEPHVQSALGMKSYMERAAEVKKMKENVTVREMEIKRLKAKMAAAEIDLDIAKRDLVKAEEGFEERDLDGKLGYEA >OIV90243 pep chromosome:LupAngTanjil_v1.0:LG20:19115236:19115544:-1 gene:TanjilG_11971 transcript:OIV90243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASFFRFLKIVGVGYKARAEDAGRLLYLKLGYSHEVELLVPPAVRVFCFKNNVICCTGIDKQRVHQFAATVRNCKPPEVYKGKGIMYVDEVIKKKQGKKSK >OIV91053 pep chromosome:LupAngTanjil_v1.0:LG20:4455930:4456241:1 gene:TanjilG_17013 transcript:OIV91053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLTMNPLFRTESFGHYNYNPEQNYTMIEKRQLFLRSYQFSRKKSLSERIKGSMIRAKKVIWLRLRSARRLVLSRLKCAFYYRRRRFSKLLNRKSDSSLCFW >OIV90411 pep chromosome:LupAngTanjil_v1.0:LG20:14015901:14018568:-1 gene:TanjilG_00055 transcript:OIV90411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLGSGGSSVVVPRNFRLLEELERGEKGIGDGTVSYGMDDGDDIYMRSWTGTIIGPHNTVHEGRIYQLKLFCDKDYPEKSPSVRFHSRINMTCVNHETGVVEPKKFGILSNWQREYTMEDILTQLKKEMASPHNRKLVQPPEGTYF >OIV91097 pep chromosome:LupAngTanjil_v1.0:LG20:2998676:3006585:1 gene:TanjilG_30319 transcript:OIV91097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVHGGKKLHQIVAGFGGNGVGQVLAAVAVSFLVRLFTAPGPALLPENDNNDDEPETDSHDDEAHNSGKVTPVTIRWNNINCSLSDKSSNVVRFLLKNVSGEAKPGRLLAIMGPSGSGKTTLLNVLAGQLAASQRLHLSGLLEFNGKPSSKNPYKFAYVRQEDLFFSQLTVRETLSLATELQLPNISSAEGRDEYVNNLLFKLGLVSCADTNVGDAKVRGISGGEKKRLAMACELLASPSVIFADEPTTGLDAFQAEKVMETLQQLAQDGHTVICSIHQPRGSVYSKFDDIVLLTQGSLVYAGPASDEPLAYFSKSGYHCPEHVNPAEFLADLISIDYSSADSVYSSKKRIDGLVESFSQRLSTVIYATPITIDDLSKSKKQITKRSVAKKKGSWWKQFRLLLKRAWMQASRDAPTNKVRARMSIASAIIFGSVFWRMGKSQTSIQDRMGLLQVAAINTAMAALTKTVGVFPKERAIVNRERAKGSYALGPYLLSKLFAEIPIGAAFPLMFGAVLYPMARLHPTLLRFAEFCGIVTVESFAASAMGLTVGAMVPTTEAAMAVGPSLMTVFIVFGGYYVNPENTPIIFRWIPSVSLIRWAFQGLCVNEFKGLQFDHQHSFDIQTGEQALERISFGGSRIRDTVVAQNRILLFLYCTTFLLLEKNKPKYQQLEPTPIDHNKPHLELKDLDSEQVDQRLESPPATEDESNQPLESPPVTEDESNQPLESPEVDNPIGSFVLEGL >OIV90936 pep chromosome:LupAngTanjil_v1.0:LG20:3437892:3439293:-1 gene:TanjilG_16896 transcript:OIV90936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYRLILLHREKGSLESETIGGRLSRRETLDSNLGWWFLRPGLSRESLGGLALLSSSINLKLALKRMKTELGEFHSPRRERDGEEGFALPSFPPHFHGEPPGDSGNGTEAWIEVSNKAQRSPPRLAIVAISTTRKRKEKKMVF >OIV90296 pep chromosome:LupAngTanjil_v1.0:LG20:17511719:17512582:-1 gene:TanjilG_13151 transcript:OIV90296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHEISPSHALQRQLQQLFHLHDSGLDQAFIDALPVFEYREILGPKEPFDCAVCLCEFSDKDKLRLLPMCSHAFHMCCIDTWLLSNSTCPICRVTLFTQGFSIHNPMFDFDDLREEDEGCPCNVENGFDKEKKVVIEESVVVEKGVLPIRLGKLRKVSLEEDCNSGGVGETSSSNLDARRCYSMGSYQYVVGNSELRVALNRDPECRDLKFISKGTEHQVEIEMRSVEGDMEEAKRIRSVSKGESFSESQIWLWPKKANFSSSSEAPQMSMPSFLNTDLPRMMKTEGV >OIV90112 pep chromosome:LupAngTanjil_v1.0:LG20:20856066:20859596:1 gene:TanjilG_01566 transcript:OIV90112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLGLWGFVAFMLCFLVLAHVPHQSHASSRSSISRKNLVVDPLSEGLCASMVIGHGYKCHELEVTTKDGFILSLQRIPEGRCENGGIGTKKQPIIIQHGVMVDGMIWFMNSPEQNLPMILADSGFDVWIVNSRGTRYSRRHTSLHPSNDEFWNWCLDDLVTYDLPAVFDFVFNQTGHKIHYVGHSLGTLMALTSFSERQLVHQVKSAALLSPVAFLSHMKTALGVIAARSLLSEALTILRVGEFNPKGLLVKGYVRTFCASPGVDCRDLLSAITGPNCCLNSSAVDQLLSHENLQPTSTKNMVHLAQIVRYGVLAKYNHMEPQNMMKNPQNIFPQTYYNLSNIPHDLPLFLSYGGKDALSDVADVHNLIDRLKSHDVDKLNVQYIKDYAHADHIMALNAKDIVYNAIVKFFKNQ >OIV91103 pep chromosome:LupAngTanjil_v1.0:LG20:2962310:2964958:-1 gene:TanjilG_30325 transcript:OIV91103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METTLLFHKVLTLLAILGALACSSSASAKLLDSSKLEMFVDELPDMPRIMAYQIVGGATKSKSLNIGMFKKKWKFHRDLPPTPVYGYGLTSRTATVPGPTIEAHYGVPTYVTWQNNLPPNHILPCDLTIPTALTNKTMGIPTVAHLHGGIHAPDSDGNPNSWFTARFEQKGPTWTSKGCHYPNNQQPGNLWYHDHAMGLTRVNLLAGLLGAYIIRDPSIEDPLGLPNSIEFDRPLVVFDRSFRSNGSLYLNSTGDNPSIHPQWQPEYFGDVIIVNGKAWPRLTVRKRKYRFRIINASNARFFRFFFTNGLKFIHVASDSTYLEKPVSTNETLLGPSEIADVIVDFSQSSSNVAILANDAPYPYPSGDPVDEDEVSSKVMKFIILPHKEVDTSRVPKSLIKYPAVDLSSVAQTRYIVMYEYASSIDEPTHLYINGKPYKAPVTETPKVGSTEVWYVINLTEDNHPLHIHLGLLKVLDQTELVQLKEFKNCMRELNDAIKCNVGNYTRGKKVSVPDHEKGWKNVYKMSPGFVTKIVVRFSYIHTNASYAFDPTLEPGYVYHCHILDHEDNDMMRPFKVIK >OIV90949 pep chromosome:LupAngTanjil_v1.0:LG20:3551508:3553827:-1 gene:TanjilG_16909 transcript:OIV90949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLPISCMLLMCLLLNSSFSFQIKASLNLSLPHQHPHPQAVVNELQRKVNVSLSRRELLSKDQSCLTGNPIDDCWRCDPNWAANRQRLADCGIGFGRDALGGKGGQIYLVTDSSDSNPSNPIPGTLRHAVIQDDPLWIIFSSDMTINLKHELIFNSFKTIDGRGTNVHVTGHGCITLQYISNVIIHNIHVHHCKPSGNAIVRSSPTHVGFRGLSDGDGISIFSAHKIWIDHCSLSYCTDGLIDAIMGSTGITISNNHFAHHDEVMLLGHDDRYVADKGMQVTIVFNHFGVGLVQRMPRCRHGYIHVANNDFTQWEMYAIGGSASPTINSQGNRYTAPSDPNSKEVTKRVDTNENEWSDWNWKTEGDIMVNGAFFVPSGAGASAQYAEATSLQPKSAVQIDQLTMYSGVFGDPRYNYPHY >OIV90710 pep chromosome:LupAngTanjil_v1.0:LG20:6971319:6973294:-1 gene:TanjilG_15096 transcript:OIV90710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRSFVQPIGQKRLTNVAVVRLKKHGMRFEIACYPNTVLSWRSGVEKDIDEVLQSHTVYSNVSKGVLTKSKDLNAAFGTDDQSKICLEILKKGELQVAGKERESILSSQFRDIATIVMQKTYNPETQRPYTISMIERLMREIHFAVDPNCTSKKQALGLIQELQKHFPIKRCPLRIRVAAPEEEVAALLEKLNIWKATIVSKEGSAGQLSVVFELEPGLYKDCHDFVMNNLHGRFEVLAHSLYVDGDTQVEQYNNYEDMPAPLPKQTRESLLELNDKLQKQTISSVSKPTTEGQQQKQNKCNTCNVSFEDAKVYREHHKSEWHKHNMKRKTRQLPPLTEEECMADLELSDSKSDLKDYSF >OIV91206 pep chromosome:LupAngTanjil_v1.0:LG20:2172677:2175425:1 gene:TanjilG_30428 transcript:OIV91206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDARNFHHRPSHLAHASPPGYFARLENSNRSKDNLNMNKKTRMRRWLCCTFQVEETYPSNENEHLKSPGNYGDGNHKGSKASAPIKLETQKAPPPIEVPALSLDELKEKTDNFGSKALIGEGSYGRVYYATLNNGKAVSVKKLDVSMVSRLKNDNFVQLQGYCIEGNLRVLAYEFATMGSLHDILHGRKGVQGAQPGPTLDWIERVRIAVDAARGLEYLHEKVQPPVIHRDIRSSNVLIFEDYKAKIADFNLSNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLTQKSDVYSFGVVLLELLTGRKPVDHTMPRGQQSLVTWATPRLSEDKVKQCVDPKLKGEYPPKGVAKLAAVAALCVQYEAEFRPNMSIVVKALQPLLKAPAPAAPES >OIV90713 pep chromosome:LupAngTanjil_v1.0:LG20:6991863:6996073:-1 gene:TanjilG_15099 transcript:OIV90713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNWGGASPKGSPRMFSASHKRQLSWIVVSVGGLAVFLIYASFVLVSSPIGDTVHGLFYGVGSSGKLDGSVVSSINKDTIDAILNKSLDLVDDKPSLDPQSSAVSEGVSSDSRVEQTGTKLSSELELRGSSLGNSVVTKEGGGMETSDAEDSNSQESVTSSGNGVDIVNSDLPAKSDPKVDMSLAGTNSSSAEAITSNEASVSLSDSTSTENPESLEKPDNLSSPTTVNPDCDLYHGNWIYDPLGPLYTNNSCPVITQMENCQGNGRPDKDYENFRWKPSQCDIPRFDPRKFLELMRGKTLAFIGDSVARNQMESMLCILWQVEEPKNRGNRNMQRYYFRSTSVMIVRIWSSWLVKLTSEPFDYAPAGVDKLFLDVPEEKLMEHIPKFDVVVLSSGHWFAKQSVYILNNEIVGGQLWWPDKSKPAKINSVEAYRISVETILSALVTHPNYTGITIVRSYSPDHYEGGAWNTGGSCTGKVKPLAPGELLENEHTNDMHQQQVTGFNLAIKKATNRSKLKLMDITEVFQYRHDGHPGPYRSSDPNKITKRGPDGRPPPQDCLHWCMPGPVDTWNELVFEIIKRELDG >OIV90584 pep chromosome:LupAngTanjil_v1.0:LG20:9037734:9048309:1 gene:TanjilG_01665 transcript:OIV90584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSRLRYPLNISRSLRLLSSTFSTRSIYRTSSSRIFSVGGGGNLRPASLSRLTGVCDSSLKSKWIGVRYLSSADPPHEVLGMPALSPTMTQGNIAKWRKKEGDKIEVGDVLCEIETDKATLEFESLEEGYLAKILVAEGSKDIPVGQPIAITVEDSNDIQNVPASVGGETGVKEKEPTSQNVTDEDTKPDSTSTKINASELPPHILLEMPALSPTMNQGNIVKWRKNEGDKIEVGDILCEIETDKATLEFETLEEGYLAKILVPEGSKEVAVGQAIAITVEDANDIEAVKSSISTSLSTQPEKATQSETKSEVKAQKSNTARISPAAKLLITEYGLDASTLNASGSLGTLLKGDVLSAIKSRKLSPKPASEEKASHQQVAASQESKSNLKQLDAYEDFPNSQIRKVIAKRLLESKQNTPHLYLSSDVILDPLLSLRKDLKEQYDVKVSVNDIIIKVVAAALRNVPEANAYWNAEKGEVILSDSVDISIAVATEKGLMTPIIKNADLKTISAISSEIKELAAKARTGKLAPHEFQGGTFSISNLGMFPVDKFCAIINPPQACILAVGRGNKVVEPVIGTDGIEKPSVVNKMNLTLSADHRVFDGKVGGAFISALQSNFSDIRRLLL >OIV91278 pep chromosome:LupAngTanjil_v1.0:LG20:1632895:1635920:-1 gene:TanjilG_01809 transcript:OIV91278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCGREGAVRQYIRSKVPRLRWTHDLHRCFVHAINSLGGHHKATPKLVLQLMDVKGLTISHVKSHLQMYRSMRGDLGRKGRTFTQHRNQSFEDYDGCVDEVNDVVVHNPTCSKAIAKESDSLFSYSNHSPKRPRIDTRSCCISKSLQCSQRFCDVVPNTYQCFYDIGEKKTEHNGIKETGYCTVDGSRLLTQQQQPHLHTLLPDLSNLTSFECPNQESNFLQVTRLHENKTTSQHGEDENVGKCELLLSLPLLNPSPQGSNGSSTSEMSETISSWPWFTNYKDCSNISSVKHRINLDLSLALCGN >OIV90839 pep chromosome:LupAngTanjil_v1.0:LG20:5334957:5335136:-1 gene:TanjilG_15572 transcript:OIV90839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYYLGPDGSMHFTNWKRGKGNEFKNITRIETKNFTIITSLFLSQVTTAPFESYASNFYK >OIV91346 pep chromosome:LupAngTanjil_v1.0:LG20:1126281:1126535:1 gene:TanjilG_01964 transcript:OIV91346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTALFIFGNKITLTVGPSGERQKTTAPTIIGLRNKSGGRVVQNFYALFLAYLFVYNHCVIFFVSLLGDGVFNLSCQFMFPVTSI >OIV90925 pep chromosome:LupAngTanjil_v1.0:LG20:3321696:3327596:1 gene:TanjilG_16885 transcript:OIV90925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMASSLNPLSSVSSFSLTKKSLTLPSLASPFKGTLKTLVAKQSQFYYLSSSHQRKPILMANKARALPVFVDPTRVRFRLDNLGPQPGSRKKAKRKGRGIASGQGASCGFGMRGQKSRSGPGVRPGFEGGQMPLYRRIPKLRGIAGGMRAGLPKYVHVNLRDIEPRFQDGEEVSLETLKEKRIINPSGRDRKLPLKILGHGELTKKLTIKARAYSASAKEKLETLGCSLTVLPGRKKWVKPSVAKNLARADEYFAKKRAAAAAAASEQASA >OIV90709 pep chromosome:LupAngTanjil_v1.0:LG20:6967289:6969960:1 gene:TanjilG_15095 transcript:OIV90709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNTFCLFCGCVEQSYVAVVERWGRFERVAQPGFNLFNPFAGEFIAGLLSTRLSSLDVRIETKTKDNVFVQLDCSIQYRVIKENADDAFYELQNPQEQIQAYVFDVARALVPRMNLDQLFEQKSDVAKAVLDELEKVMGAYGYSIEHILMVDIIPDPSVRKAMNEINAAQRMQLASVYKGESEKVLHVKKAEAEAEGKYLGGVGVARQRQAITDGLRENILDFSQKVEGTSAREVMDLIMITQYFDTIKELGNSSKNTTIFIPHGPGHVRDIGEQIRNGMMEAATAQANIE >OIV90389 pep chromosome:LupAngTanjil_v1.0:LG20:14399149:14399511:1 gene:TanjilG_10689 transcript:OIV90389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLKKLAKKVKAASDADPEPHHQESLLKAYKEESSNTTTPTGYFAVYVGEERQRYVVPTNYLSHPLFKMLLEKAYKEFGFEQKNGLVVPCSVSTFQEVVNAIECNIANFNLCKIYEEVI >OIV90324 pep chromosome:LupAngTanjil_v1.0:LG20:16645292:16647093:1 gene:TanjilG_14722 transcript:OIV90324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEREVKEKLWNGDREAQIEGAIELSRLSSKRRHKLVESGVIEPLISMLHSQSYEAIEASLSALLSLAYGSERHKILIMKSGVLPVLFNLFHCQCQRVIELAIAAMLTLSSCKENKVAFASSGAVKLLVEFVNSNNNIIESKLDAIATLHNLTTFKEIIPLIISSGVILSLLELIHNSFKSSTLVEKAMGLLESIVSSSRSALCKAASIGGAIKILVETIEDGSLLGKEHAVVILLLICKSCREKYRGLILREGVMPGLLQLSVDGTWRSKNMARELLLLLRDSCDCSKSTHNKEMKNHELIGRIMEEIDAEGEKMADSKLRLVEEMIAKLNVISFN >OIV90780 pep chromosome:LupAngTanjil_v1.0:LG20:5977353:5978507:1 gene:TanjilG_15513 transcript:OIV90780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAPCCDKMGLKKGPWTPEEDHILITHIQRYGHQNWRALPKQAGLLRCGKSCRLRWINYLRPDIKRGNFSNEEEETILKLHGILGNKWSAIAARLPGRTDNEIKNFWYTHLKKRAERSQMHSMSNSTSCPLQKAQATCSSEAQIRMPQVAADASNATTSNASNGCNEIDEEMEFWYNLFIQTGQ >OIV90138 pep chromosome:LupAngTanjil_v1.0:LG20:20617608:20620831:1 gene:TanjilG_01592 transcript:OIV90138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKFAFFPPNPPSYNLITDQLTGLLLLSTFPHRENVEILKLSTRRGTEIVAMYIRHPMATSTLLYSHGNAADLGQMYQLFIELSIHLRVNLMGYDYSGYGQSTGKPSEHNTYADIEAAYKCLEESYGAKQEDIILYGQSVGSGPTLDLAARLAQLRAVVLHSPILSGLRVMYPVRRSYWFDIYKNIDKIPQVSCPVLIIHGTSDEVVDCSHGKQLWELCKEKYEPLWLKGGNHCDLELFPEYMRHLKKFISTVEKSPSQRFSFRRSIDQFELPRKSTDIFEVSRKSTDHRENPRQSTDRPEKLKNLSSNTDKLEKLRMSFDHKERSRRSVDCHEKSRKSVDHQLEKARKSVDRLDRIRT >OIV90071 pep chromosome:LupAngTanjil_v1.0:LG20:21359667:21364899:1 gene:TanjilG_01525 transcript:OIV90071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHFPVVNELKCLFMTEESFEIPRGQSRGGGDVELGAYALNSGELGLDFFFKKVQELDKQYAKLDKLLGKLQEAHEESKSVTKAPSMKNIKQRMEKDVDEVKKTAHHIKTKLEELDKENLANRQKPGCGKGSGVDRSRTATTISLKKKLKDKMAEFQILREAIHQEYREVVERRVFTVTGTRADEETIDRLIETGDSEQIFKKAIQEQGRGQIMDTLAEIQERHDAVRDVERKLLDLNQIFMDIAVLVDAQGDMLNNIETQVSSAVDHVQQGNNALQKAKKLQRNSRKWMCIAIIILLIIVVIIVVAVIKPWGDKKGV >OIV91268 pep chromosome:LupAngTanjil_v1.0:LG20:1722829:1724313:-1 gene:TanjilG_30490 transcript:OIV91268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRFGLPRTSFSVGTNFQKSVSHFSTVSIKTQLQNCSFYGGKEQFTTLCFKGRIKEAFNSFISEIWSEPRLFGNLLQACIPTKSVPLAKQLHSLIITSGCSSDKFISNHLLNLYSKFGHFQGALSLFDIMPMRNIMSCNIMIKAFLEMGNFESAKKLFDEMPERNVATWNAMVTGLTKFEMNQESLVLFSSMNESGFMPDEYSLGSVLRGCAHLKALFAGQQVHAYVMKCGFELNLVVACSLAHMYMKAGRLNDGETVIKLMPNGNVVAWNTLMAGKAQNQNFEGVLDQYSVMKMAGFRPDRITFVIMISSCSELATLGQGKQIHAEAIKAGASFEVDIISSLVSMYSKSGSLEDSIKAFSECGERDIVLWSSMIAAYGFHGQGEEAIKLFNKMEQEKLAGNEVTFLSLLYACSHCGLKDKGLELFDLMVEKYGLKPRLEHYTCVVDLLGRSGCLKQAESMIRSMPLKADAIIWKTLLSACKIHKNAEMARMVA >OIV90321 pep chromosome:LupAngTanjil_v1.0:LG20:16535061:16594301:-1 gene:TanjilG_14719 transcript:OIV90321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKLRVPLQIWRHFMKGPMSYDAFTEIGNAREARISHDARVMAWVSFTKHSDHVPCHRSLSPSTREKCVMASISSSSTQTHVSWPLFHLTLGIPHKEPHHAQSTPTTRHHQPHTTNHGTWPEAMPHFTLFSLSVPVLGRMFDAWGRGPEGPVPNPSLDRHTTTRSRRESSSSSPPTTDGFGTGTPVPNPQSQYVSREYISILLTSLAYIVPSTRGCSPWRPDAICTDDRSARAHAPGFAATAAPSYSSSTGPCPDGRVSAQLGTVTQLPVDPASPFLLTKNGPLGALDSVAWLNKAATPSYLFKNSPVGSNYPEGNFGGNQLLNGSISLSPLYPSPTYPTPLKSFHKVGLELSSTWSSFPTDSTKLVPLAVIYTDDRSTRAHTRGFAATTAPSYSSRLGPCPDDWVENSPMGSTYPEGNFRGNQLLDGMLSLEPIIEDQGRSAVQPTRGSHQSTSFALRVYLPTDLHTCQTPWSMFKDGPNGEPAGLLLERAGSPKETLLRLLLPQNDKVQWTSHNVADSKPSTSLQFEHFTRPFNRQIAPPTKNGHAPPPIESRKSSQSVNPYYVWTCQPPHPGSGILTQFPFGIYTDDHSVRAHAIGFAATVASSYSLGHGPCPDGRVSTQLGTVTQLPVHPATPVLLTKKGPLAALNSMEWLNKAAISSYLFKNDQPAPGHLRPRFDMEDVSVKLPTSPWTNHQHAWGNLMGRWGGEGVIASISPSITQTHVSRPLFHLALGITYEEPHHSQSTPTTRHHDSALHIVSDFVSTVCLRNVDVALTRLIAHKRPLCYLQYPVAYLSHMQRILPAAQCRIALQGVPRGSSTLRGSPTARDSRRPRPPTAVLSRLCDARGSGLEGAIPNPSPDWHAMTYCRCTNSSSSPPTADRFGTGTPRLFTLETLCSYEYDRTSEALGPPDFHGPPEAHRKPRQYRYGTRLEFPLAWSRLGLVHHLWGPDRYALTRTHHRRSGSVSGATHKGIPPITFIAPYGFTCPLTRTLVRLLGSGHDEALTLYGSPFQGTWARSAAEDASPDYNSDTEGDQFSWRPKDPYESKSRKASGGDSHNPSRALAQPPSIKVPSTADSVFKQPGDITRGKPTFAPLGA >OIV90304 pep chromosome:LupAngTanjil_v1.0:LG20:17669141:17673913:1 gene:TanjilG_13159 transcript:OIV90304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEKDVFFVVKKGDVVGIYNSFTDIQPLLASSSVSGDSISIHKGYALPKKSEEYLISHGLKGASYSINASDVHEGLFGRLLTCPYQHPPSTEGRALDVISSSTKLQEAVQNSVAQSSPFPLNLSPVIVDVPRSEPSTSVPKSSLFSTNYPRPLIRNVPRSEPSTCKLAGSTSFSTNSPNPLIMNALRPKPITFKATGSSSFPSNTPGPDTTYYSKPEPSNCLSCTLHFDGASKGNPGPAGAGAVLRAEDDSKVQGKWKIKNQNMGILCNEAKELKNKFLSFQINHVLREFNSEADAQANLAVNLRAKEMDKWSKQCP >OIV91357 pep chromosome:LupAngTanjil_v1.0:LG20:1048004:1050958:1 gene:TanjilG_01975 transcript:OIV91357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREVFRRLGSVRRYAKQNLRIDGIKHTIAVASGKGGVGKSTTAVNLAVSLATRCQLKVGLLDADVYGPNIPIMMNINTKPHVNLDMKMIPVENYGVKCMSIGFLVEKDAPIVWRGPMVSNALEKMTRGVDWGNLDVLVMDMPPGTGDVQIAMSQKLQLSGALIVSTPQDVALMDARRGVKMFDKVDVPILGIVENMSCFKCPHCGEPSYIFGEGGTRRTAGEMELEFLGEIPLEVGIRESCDQGHPIVLAAPDSVVSKAYGDLAEKVLQKLKEQPSPPEIKL >OIV90500 pep chromosome:LupAngTanjil_v1.0:LG20:11038847:11049565:1 gene:TanjilG_10264 transcript:OIV90500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEVVCKQALENGKNENNKEESRMELKRDYNQCIADTIDNNTEPDQSPNKKQVKEASNDEVCSEVTNPNTSAAENGLTTFHDISSQPSESANIVECGELTSTCLENSSTSDETLSTDDPKKNKNSNTSQNDNKDTSSAAAMSCVVMEIPKHASSSGVRKITFKFSKKKEDYDEEYLAKADMDHNSELYESSCGMGHVGTGDMDFYAARNMELKMSKKVVPNYYPTNVKKLLSTGILDGAIVKYMYNPMKVELRGIIGGGGYLCSCSLCNYSRVLSAYEFEQHAGAKTRHPNNHIYLENGKPIYSIIQEIKIAPLNILDEVIKSVAGSSVNEECFQAWKESGEIVVLEPRIDEIDEPSDSIFSPVLVCDLESLLQSDGRVKTYKENSTNHTGMPHKRIRSSGPGVLKRNVDGCTKRSQSVESVCHLSSLHVPSHFEQQMYVQQTADGWKHAVKKSSGPGVLKRNVDGCTKRRDNDLHKLLFMPNGLPDGADLAYYVKGQKLLGGYKQGNGIVCGCCDLEISPSQFEAHAGMAARRQPYRHIYTSNGLTLHDIALSLASGQNLTTGDSDDMCAICGDGGDLILCNGCPRAFHAACLGFDCAPESSWHCLNCRDNVGNGRESSMARPIMIRLTQVDKAPEFEMGGCIVCRQHDFSVAKFDERTVIICDQCEKEYHVGCLRDIGLCELEELPKDKWFCCDDCNRIYVALQNSVSAGADIIPTSLSELIIKKHEERGLCSYEGMNGIQWRILSGKSRYPEHLPLLSRAAAIFRECFDPIVALSGRDLIPVMVYGRNISGQEFGGMYCIVLIVNSVVVSAGLLRIFGCNVAELPLVATSREYQGKGYFQVLFSCIERLLSSLNVEKLVLPAAGDAESIWTKKLGFRKMSEDQLSKHLREVQLTLFNKTSMLEKTVQRAIE >OIV90964 pep chromosome:LupAngTanjil_v1.0:LG20:3697834:3704163:-1 gene:TanjilG_16924 transcript:OIV90964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANESQNWVLMVTAQTPTNIAVIKYWGKRDETLILPVNDSISVTLDPNHLCTTTTVAVSPTFQQDRMWLNGKEISLSGGRFQSCLREIRSHACDVEDKKKGIKITKEDWSKLYLHIASYNNFPTAAGLASSAAGFACFVYALGKLMNVKEDESQLSAIARQGSGSACRSLYGGFVKWIMGKEENGSDSLAVQLADEKHWDDLVIVIAVVSSRQKETSSTSGMRETVETSLLLQHRAKEVVPKRILQMEEAIRNRNFASFSQLTCADSNQFHAVCLDTSPPIFYMNDTSHRIISIVEKWNHSEEAPQVAYTFDAGPNAVLIARNRKIAALLIQRLLYYFPPSSDDLNSYIIGDKSIAKDAGINGIPDVEALPPPPEIKDNIPSQKYKGDVNYFICTRPGRGPVLLSDESQALLNSEDGLPK >OIV90550 pep chromosome:LupAngTanjil_v1.0:LG20:9806380:9807978:-1 gene:TanjilG_18154 transcript:OIV90550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRILLIINCILLAIGVTGGPLIIRLYFIHGGNRVWLSSFLQVAGFPIILIPLFFSYMIRRRQVNTNVDSPKLKIVTMKLPLFLFSTIIGVITGLDGYLYSYGVSRLPVSTAALIVASQLAFTAIFAFFMVKQKFTAYSVNAVVLLTLGAGILALHTNGDRPIGESSKTYVMGFVLTLLAAVLYGFMLPLVELSYIKGRQTITYTLVLEIQLVMCFFASLFSLVGMIVNNDFQSISSEARHYELGEATYYVVLVGSSIIWQMNFVGAVGVIFCASSLFCGVMIALMVPVTEVLAVIFYKENFNTEKGVSLVLSIWGFVSYFYGEFKQAKEMEKNLTLGDDDLPQNESIPNP >OIV91322 pep chromosome:LupAngTanjil_v1.0:LG20:1311953:1313242:-1 gene:TanjilG_01940 transcript:OIV91322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNSNFSYLFTLLLLFFISPSLSEQSFRPKALVIPVTKDSSTLQYITQINQRTPLVPLNLVVDLGGQFQWTTCDNNYVSSTYRPVRCRSAQCSLAGANSCINNNTCGLTPDNTVTHTVTSGELVQDVVSIQSTNGFYSGQSVTVSRFLFSCAPTSLLQGLASGVSGMAGLGRTKISLPSQFASAFSFHRKFAICFSASDGVVFFGDGPYVFRGNRGGVPNVDASKLLTFTPLITNPVSTASAFSKGESSTEYFIGVKSIKIDEKVVAINTSLLSIDSNGVGGTKISTINPYTVLESSIYKAVTEAFISAADTRNITRTDSYAPFEVCFDSEDVEGTRLGKSVPTIELVLQNGVSWSIFGANSMVNINDLTMCLGVMDGGENPTTSVVLGGYQIDNHLLQFDLATSRLGFSPLLFAYQTECIYFNITSSP >OIV90858 pep chromosome:LupAngTanjil_v1.0:LG20:5165984:5167368:-1 gene:TanjilG_15591 transcript:OIV90858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVARSPPKQKKVLPPLNPLLIRETLNKVDRCMARLHELHHTVTAGTTRGYLKTSLRVKNGATSKSPKGKFPFPANTGEWRVMSLPAMLLGETVGEILQASQFAREIVSAAGMKTSTEDPKTPLSQRTNLKACHENTQLKARRKKEKQTKLQYEDSPTLQRARSRINFKVSPPKVREFDKENSKYIANRVSPKNRPWARKTVLFPNPLFLSTQSSQQKQFWKTRSPIISKNKGTPHKFLVKSPPSTSKFQVKIKKSPPTVSISPTRPTTSLSKSSQKRLAAASKFHIFHPPVVSISPKRPASLSISSPKRSAASKFRRSFSPSRLANRLISPLRGKKSVQKSDVLVSGLKQRPTSAVQFPLPRT >OIV91397 pep chromosome:LupAngTanjil_v1.0:LG20:708161:708529:1 gene:TanjilG_02015 transcript:OIV91397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISSQPSLVSMSLTNLLQGSEGHRNSSYGYLWNKVLEGGKVTKKSVQYKRPRRILMKRRVGSRRGVKGIQRKVRTLKKLVPNSESLELDGLFRETADYILALQTRVRIMKVLVNVLTGSDE >OIV91049 pep chromosome:LupAngTanjil_v1.0:LG20:4441141:4441817:-1 gene:TanjilG_17009 transcript:OIV91049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTKKGSPESGGSRKRKNSEVEEKTGDEAESQNVQGEGFEGNITGSEEMELNISLILEKIENFTQRVSELLESGKTMFKELLNDFEEKLIMIHKEQVEKWQEEIKELRALDASNEEANAVLHNARYVLQLTSNN >OIV90897 pep chromosome:LupAngTanjil_v1.0:LG20:4871929:4877171:1 gene:TanjilG_15630 transcript:OIV90897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFQGLLSDLQDWEVSRKGKTSQMNQTHKENKSSGGDTISFDRAGSYSGESYGLSRNNDLHMRSFAHEDFSDAVSEKDLGNEFFKQKKFKEAIDCYSRSIALSPTALAYANRAMAYIKLKRFQKAEDDCTEALNLDDRYIKAYSRRATARKELGKIKGSMDDAEFALRLEPDNQEIKKQYADAKSLYEKGIVQIASGALRSTVQESKKVGKSEPNVNGGTISHGTQKIGPTEVYPHTKGNDSAKASLLMEEVDSKDTKASNLNQGQEGDSSKVGSSASNSVEHVKRNQKISKPEIKASIQELASRAASRAMAEASKNITPPTTAYQFEASWRSFSGDRALQTRLLKAISPHELPKIFRNALSSALLIDIIKCVASFFIEDVGLGVSYLEHLAKVPRFDMIVMCLSSTDKDVLRKIWDEVFCSEAIPIEYAEILDNLRSKFSLGQ >OIV90766 pep chromosome:LupAngTanjil_v1.0:LG20:6100231:6100695:1 gene:TanjilG_15499 transcript:OIV90766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSTPGSSSELTIKVENNPSKSRLLELGINSWPKWGCPPGKYMLKFDAEETCYLVRGRVKVYPKGSSSEFVEFGAGDLVIIPKGLSCTWDVSVAVDKHYKFDSSSSN >OIV91023 pep chromosome:LupAngTanjil_v1.0:LG20:4207551:4209518:-1 gene:TanjilG_16983 transcript:OIV91023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHSSILPIGIFSIYFLTSLLCIQGRYHFHKHNNSHSHHTYAPSIASPPAEAPGPASNDNYTNEPGFYDIRAFGGIGDGISDDTESLKMAWDAACQSELQVNVIHLPRGFSFVIHPNIFTGPCKGSLMLRVDGTLMPPDGPESWPNNTSKRQWLIFYRITGMSLEGTGLIDGRGQKWWDLPCKPHKGPNGTTAPGPCDSPIALRFFMSSNLTVQGLRIKNSPQFHFRFDGCESVHIESIYITAPALSPNTDGIHIENTNDVRIYNSVVSNGDDCVSIGSGSYDVDIKNITCGPGHGISIGSLGNHDSRACVSNITVRDSVIKVSDNGVRIKTWQGGSGSVSGVTFSNIEMDSVKNPIIIDQFYCLSKECNNKTSAVFVSDILYTNIKGTYDIRHPPMHFACSDSVPCTNLTLSDIELLPAQGDMVLDPFCWNAYGNLDTLTIPPVSCLMEGIPPQSIVGNEIYHC >OIV91071 pep chromosome:LupAngTanjil_v1.0:LG20:4645319:4645579:-1 gene:TanjilG_17031 transcript:OIV91071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGNKHRKSSPSFFSIFNIFSSKKSRSVQYDSYDSDPKVWPSDYDRDQWGVAEPDIDRKAEAFILNYKRRVSESERFQLDPAAGIA >OIV90228 pep chromosome:LupAngTanjil_v1.0:LG20:19504725:19506862:1 gene:TanjilG_01424 transcript:OIV90228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVSAPRIYDLVILGASGFTGKHVLKEVLKFLNTPFSPLNSIALAGRNPSKLTQTLQWATRPDPPPITATIPIIAADTSDPISLRSLCLQTRLILNCVGPFRRHGEPVVSACVETGCDYLDITGESEFMERVEEKYHEKGVKMGSLVVSACGFDSVPAELGFLFNSRQWVGKEVPNQVEAFLSVESEKRLVGNFGTFESAVLAVSDVKKLREIRRTGPVRARPVIPGPPPKGEIIEHQKKIGLWGVKLPSADATLVGRTLSILSENPHGLPGLNDSADTVEKRKAFWSSVKPAHFGVKISSKSLITIFGYITLGLIIGLLGSTSFGRKLLLKYPSIFSLGGFSKKGPSEEEVKSASFKMWFVGHGYSNESLAAQVNTKPDMEIVTRVMGPEMGYVTTPIIMVQCALVLLSQRESLPKGGVYPPGIVFGATDLQDRLQQNGMSFDVISKSCLSS >OIV90068 pep chromosome:LupAngTanjil_v1.0:LG20:21385023:21387847:1 gene:TanjilG_01522 transcript:OIV90068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAKATVKAVAIIIGDNNVRGSLQFLQHPNGTTHVSGSIKGLSPGLHGFHIHALGDTTNGCNSTGPHFNPLKKDHGAPTDDTRHAGDLGNIVAGPDGVAEISIRDEQIPLSGVHSILGRAVVVHADPDDLGRDQRFRNNRKDVMKFEKMAFLGGHELSKATGNAGARVACGNTSVL >OIV90605 pep chromosome:LupAngTanjil_v1.0:LG20:8659039:8660067:1 gene:TanjilG_01686 transcript:OIV90605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEATEEVSLGGSKEQINIVKGKRTKRVRPQSPIPFSITAISSTGEKEHYNNVHDNNNNNIKDDNNNNNNNTTPTTSYAGLQDSTTEEEEDMANCLILLAQGQARESPKHAAAGGGEDLTGDMSYSKYSSRKFMEAAGIGSGRAGYYVYECKTCSRTFPSFQALGGHRVSHKKPKAMAATIAINGTQEKKQQLFLSSDEEEFQFKTNNNRPNSLQLNSRGNSYSNNKSKVHECSICGAEFKSGQALGGHMRRHRAPVGTNTTLSLAPIALEPEEDQQPRKRRNILSLDLDLNLPAPEPEDDPREPKFPFASKQQQQGKTQQQQQQQQQSNLSFSTPALVDCQY >OIV91424 pep chromosome:LupAngTanjil_v1.0:LG20:518130:520639:1 gene:TanjilG_02042 transcript:OIV91424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHRKFEHPRHGSLGFLPRKRAARHRGKVKAFPKDDQTKSPKLTAFLGYKAGMTHIVREVEKPGSKLHKKETCEPVTIIETPPMVVVGVVGYVKTPRGLRTLNTVWAQHLSEEIKRRFYKNWSKSKKKAFTKYSKQYESEDGKKNIEAQLEKIKKYATVVRVLAHTQIRKLKGLKQKKAHIMEIQVNGGTIPQKVDFAYSFFEKQVPIDAVFQKDEMIDLIGVTKGKGYEGVVTRWGVTRLPRKTHRGLRKVACIGAWHPARVSFTVARAGQNGYHHRTELNKKIYRLGKAGQESHEAVTDFDRTEKDITPMGGFPHYGIVKDDYLMVKGGCVGPKKRVITLRQSLLKQTSRLALEDIKLKFIDTSSKFGHGRFQTTEEKQKFFGRLKA >OIV90670 pep chromosome:LupAngTanjil_v1.0:LG20:7728048:7730068:1 gene:TanjilG_23783 transcript:OIV90670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFAEYGPRWKLLRKLSNLHMLGGKALDDWAQVREEEMSHMLDAMNDCSKKGEPVVVAEMLTYAMANMIGQVILSRRVFETKGSESNEFKDMVVELMTIAGYFNIGDFIPFFSWLDLHGIERKMKKLHIKFDKLLTRMIEEHGASSHNRNDKPDFLDVIMAYHSENSEGLTLPNIKALLLNLFTAGTDTSSSIIEWALSEMLKNPIIFKKAHEEMDQLIGKQRRLKESDLSMLPYLQAICKETYRLHPSTPLNLPRVSSQPCQVNGYHIPKNTRLSVNIWAIGRDPNVWENPLEFNPERFLSGKNAKIDPRGNDFELIPFGSGRRICAGTRMGVVMVQYILGTLIHSFEWKLPNDLAELNMEEAFGLALQKKVPLSAMISPRLHPSAYFH >OIV90158 pep chromosome:LupAngTanjil_v1.0:LG20:20400590:20401887:1 gene:TanjilG_01612 transcript:OIV90158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAAKKTKKTHESINNRLALVMKSGKYTLGYKTVLKSLRSSKGKLIIIANNCPPLRKSEIEYYAMLAKVGVHHYNGNNVDLGTACGKYYRVCCLSIVDPGDSDIIKTLPTEQ >OIV91257 pep chromosome:LupAngTanjil_v1.0:LG20:1792022:1797762:1 gene:TanjilG_30479 transcript:OIV91257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAWSSSRKLLKDYFIKRIVSNPLPRSRCFSSSPQSLPNTAHYSKKGRLFTGAALGLVIAGGAYASTVDEATFCGWLFSATTLVNPFFALLDPEFAHTLAVSAAARGWVPREKRPDPSSLGLEVWGRKFSNPLGLAAGFDKNAQAVDGLLALGFGFVEVGSVTPVPQDGNPKPRIFRLRSEGAIINRCGFNSEGIVAVAKRLGAQHGKRKLDETSSTSTSPNNEVKQGGKAGPGILGVNLGKNKTSEDAAADYVQGVHTLSQYADYLVINVSSPNTPGLRMLQGRKQLKDLVKKVQAARDEMQWGEEGPPPLLVKIAPDLSKEDLEDIAAVALALNLDGLIISNTTISRPDSVSSDPVASETGGLSGKPLFNLSTNILKDMYILTRGRIPLIGCGGISSGEDAYKKIRAGATLVQLYTAFAYGGPALIPQIKAELAECLERDGFKSIIDAVDSVSSDPVASETGGLSGKPLFNLSTNILKDMYILTRGRIPLIGCGGISSGEDAYKKIRAGATLVQLYTAFAYGGPALIPQIKAELAECLERDGFKSIIDAVGADYR >OIV91275 pep chromosome:LupAngTanjil_v1.0:LG20:1654869:1656113:1 gene:TanjilG_01806 transcript:OIV91275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSTKKIILKSLEGDAIEVDEMFIIQCKKIKSFIDGKFINTITISLKISTEILSKIIQYVKMHDKYGAAPSKANKKRLAAWDANFMKVDMNALYDILTAASNLGHEKLLDLACKTVAGKIRGKNPEQIRQTFNIENDLTPEEEEENKRISSYFFN >OIV90694 pep chromosome:LupAngTanjil_v1.0:LG20:6690023:6694797:-1 gene:TanjilG_15080 transcript:OIV90694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSLCYFTNLERLLQCFTPDLPKKILPKSCLNDVNKQWLPIGKDRIEYFTLKDLWECYDEWSVYGAGTPLVLKSGDTLTQFYIPFLSAIQIYTNNHVQAPSPRNGGVDNDGVGFESDSSSDSSGSGDLCRSSRKSDSSSDDGGGSGGLYRSSSSGNNSSKEWDDTSYDSSSDQVPKEEILGYLNFQYTETTQPFLRVPLAEKIAELAKCHPALMTLKSVDLSPASWMAVAWYPIYAIPTQQNETCFITYHSLSSSFEDCANKYDEIDLGEDICCPSGWGSIIGEKLERKKSDYTSLSPFGLATYRFHGDFWLSPSHDNEKLSDLFGAAESWLKQINAVHHDFNFFIDNNNL >OIV90241 pep chromosome:LupAngTanjil_v1.0:LG20:19217215:19219956:-1 gene:TanjilG_01437 transcript:OIV90241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGSLGVRKGAWSKEEDDLLTACVQQYGEGKWHLVPKQAGLNRCRKSCRLRWLNYLKPNINRGEFTQDEVDLMLRLHKLLGNRWSLIAGRLPGRTPNDLKNYWNTYIRKKLSSHKEDVNTSPKETVMEPHVVIKPQPRTISKTWLCVRGKSIREDKSGNKKCTTSEACVAASSKCNNNCWETLVEDKGSIDENNTNFLGEREKTLIKDFNCSEELSFIHNNRN >OIV91490 pep chromosome:LupAngTanjil_v1.0:LG20:88712:97117:1 gene:TanjilG_02108 transcript:OIV91490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDSIPEELRINILQRLYGPLISGNEVLHDISNEGYIKWELLSEDENGSVHRVVLLANSLLGEVGVLYCERHPFTIRTRTLSHMVKVNRKTIIDIGMTHADDIRLIMTNHCQYLSQHPIMKRASEMRRYTLTRPPIDLPLTLCSAVSATGDDDGLVTRLLQWGWDVNERDETGKSALYLAVSMTKTRRLNCLLENGADPNIKDFNGKLPLWEALQHGDKPMRDTLIRYGTILEERVKDEYAHSSNALNDNNLMDEILHYDVTLPTIASIVEECHEYECSVHIRDPYMEERRRAVPIAMDRAIKEIVSSIVQRSVSIATQTTKELVLKDYSMESDENRILNAAHLMVASLAGSLAHVTCKEPLRASISSQLRTSLQNLSIGSEILEQAVQLVTNDNLDLGCAVIEQAATDKAINTIDTDIGQQLSLRRKHREGMGSTFFDANLYTQGSMGGVPDYLRPKPGQLSLSQQRVYEDFVRLPWQNQSSQTSNSVSSVQSGNAGSVITGYEGVSRQLDDMAESNLSSQLSASSIHNRAADSSSQLSVEKDSVASFPSTASTPELHQVDSSDAVQESGASSQQLVSPGAVERFGSSFLESSLTTWDALDKYQIVAQKLEALVNNDSAEAEIQGVISEVPEIILKCVSRDEAALAVAQKVFKLLYDNASNSIHVSAHFGILTAIRDVCKLAMKELTSWVIYSEEERKFSKNITVGLIRSELLNLTDHSTLHDECAEAATEFSISLLQTLVIEEPKVISELHNLVDALAKLTTKPGSPETLPQLVEMVKKPAASSAALYAGNAGKDDKARQSTDNKDPGLLVANREELITVESVEPDPAGFREQVSMVFAEWYRICELPGANDTASAHFISQLHQNILLKGDDVTDRFFRLLMELSVAHCLSTEVINSGAMQSPQQLQPMSFLAIDVYAKLVFSILKGSSKLILLSKILAVTVRFILEDVEEKKMSFNPRPYFRLFINWLLDLGSLEPVIDGANLQVCVTFILAY >OIV90237 pep chromosome:LupAngTanjil_v1.0:LG20:19414185:19418042:1 gene:TanjilG_01433 transcript:OIV90237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSICNKLFMTSSSSFECRSDPDFSASPRFENLRRPNNVSPTTTSFYSLSSLIFRFPPNFHRQLSTKARRNCSNIGVAQIVAASWSNNDASVTGSPATSPAAASAVDAAIPPLSVAPAEIDNDVVVVVSDEGGNGNGAVHVNGSVDQLSYSSFLKSDASITIHAGERLGRGIVTDAITTPVVNTSAYFFKKTADLIDFKEQRQFSYEYGRYGNPTTQVLEDKISDLEGAESTLILASGMCASTVLLMALVPAGGHIVTTTDCYRKTRIFIETILPKMGITMCIIINGYKLIINFHFAEKATIIDPADVDALEAALKQNNVSLFFTESPTNPFLRCVDIKVVSDLCHKYGAKVCIDSTFATPMNQKALALGADLVVHSATKYIAGHNDVIAGTISGSLTLVAEVRKLHHVLGGTLNPNAAYLIIRGMKTLALRVQQQNSTGLRMAKVLEAHPKVKHVYYPGLPNHPEHELAKRQMTGFGGVVSFEIDGDLATTAKFIDSLKIPYIAPSFGGCESIVDQPAIMSYWDLPQSERAKYGIHDNLVRFSFGIEDFEDLKADILQALEAI >OIV90667 pep chromosome:LupAngTanjil_v1.0:LG20:7654615:7656869:1 gene:TanjilG_23780 transcript:OIV90667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGTVFAPAIEGIETIKSEQGEILSQPFLDVCKHILPVIVTSLAYKGPQHMAVNCFYLIGSCNLIYDILILLTFKISRAMDFLVALFRNLIEHEDWSMSQACTDSYTKTLKKWHGWLASSSFTVAMKLAPDRKKFMDVIGGTGDINADIEKFCSAFSPLLEENHKFLARFGLDDLKAS >OIV91354 pep chromosome:LupAngTanjil_v1.0:LG20:1066155:1067701:-1 gene:TanjilG_01972 transcript:OIV91354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVEVANRAAIESCHRILNMLSQPKDQIQQRTLMVETGEAVGRFKKVVSLLHNGLGHARFRKLKKLVPFSQSILLDNPNCQIKTVNHSKYLQFPQIPASFPENSVQEYGSSVRETLSLGNQSLELNSGGTTPLRLAQQASSAHYHFLQQQQQQRLIVQQQQMKHQAEMMYRRNNNSGINLNFDSSSCTPTMSSTRSFISSLSIDGSVPNVDGSAFHLIGAPPHSSDQNSQLHKRKCSAAGDEGSVKCGSSSRCHCSKKRKHRVKRSIKVPAISNKLADIPPDDFSWRKYGQKPIKGSPHPRGYYKCSSVRGCPARKHVERCLEEPTMLIVTYEGEHNHPKLTEQSANA >OIV90566 pep chromosome:LupAngTanjil_v1.0:LG20:9412849:9413884:1 gene:TanjilG_31640 transcript:OIV90566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYTQGNRDHQHDGGSSSKKRNCHRHTPSQIQRLQSIFKEYPHPDEKLRMRLSRELGITPKQVKFWFQNHRNQMKVQRERLDIFKLRDNNDKIRSENIALKEALKNCICPNCGPVTVDGDSFMDVQRMRLENLQLKEELDRVSNIAAMYTGKPWV >OIV90778 pep chromosome:LupAngTanjil_v1.0:LG20:6005632:6006192:1 gene:TanjilG_15511 transcript:OIV90778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGTTTTSRYENQKRRDWNTFCQYLRNYRPPLSLSHCSGAHVLEFLHYLDQFGKTKVHTQACPYFGLPNPPAHCPCPLRQAWGSLDALIGRLRAAYEENGGATESNPFGARAVRIYLRDVRDFQAKSRGVSYKKKRKRPKSKISSTATSST >OIV91440 pep chromosome:LupAngTanjil_v1.0:LG20:374323:376178:-1 gene:TanjilG_02058 transcript:OIV91440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCGVPIVVECVYCLGCASWAWKRCLCSGSHDSETWGNANAEEFEPVPRFCRYILAVYEDDIRNPQWEPPGGYGINTDWLIIKRTYKDTQGRAPPYLLYLDHDHADIVFAIRGLNMTRESDYAVLLDNSLGKRKFDGGYVHNGLLKAAGWVLDSECEILRELVEKHPNYTLTFVGHSLGSGVAAMLSMLVVQNRAKLGNIERKRVRCYAIAPTRCMSLNLAVRYADVINSVVLQDDFLPRTSTPLEDIFESIFWCMRDTCIPEEEMLKDRRRLYAPGRLYHIVERKPFRMGRFPPVVRTAVPVDGRFEHIVLSCNATSDHAIIRIHKESQKALQLMHEKDKSSMEIPAKQTMEREETLSRHYHEYKAALQRAKTLEVPHAYTLPSQYGTFHDEGEETPTISQAKSHSFGSPHKSTMDETWDQLIERCFHKDEHGHMLLE >OIV90380 pep chromosome:LupAngTanjil_v1.0:LG20:15263889:15264240:-1 gene:TanjilG_21916 transcript:OIV90380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQILLHGTLHATTIYQMDRLKAGGSKIWSKDDNGADEIKGAVPKCYEEQQ >OIV90100 pep chromosome:LupAngTanjil_v1.0:LG20:20987936:20988091:-1 gene:TanjilG_01554 transcript:OIV90100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAYNGPEPVASQRKISIFSHLQEVGKSLFPSLTSPPLIQISIPLFLLTNY >OIV91396 pep chromosome:LupAngTanjil_v1.0:LG20:709754:712808:1 gene:TanjilG_02014 transcript:OIV91396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKPNLASKSSSKCTTVLPYETPRLRDHYLLGKKLGQGQFGTTYLCTHKATGKLYACKSIPKRKLLCKEDYDDVWREIQIMHHLSEHPNVVQIQGTYEDSVFVHLVMELCAGGELFDRIIQKGHYSEKEAVKLIKTIVGVVEACHSLGVIHRDLKPENFLFDTPGEDAMMKATDFGLSVFYKPGQYFHDVVGSPYYVAPEVLCKQYGPHVDVWSAGVILYIILSGVPPFWAETEAGIFKQILHGEVDFASEPWPNISESAKDLVKKMLDRDPTRRISAHEVLCHPWIVDDTVAPDKPLDSAVLTRLKHFSAMNKLKKMALRVIAERLSEEEIGGLKELFKMIDTDNSGTITFEELKSGLKRVGSNLMESEIKSLMEAADIDNNGTIDYGEFLAATLHLNKMEREENLVAAFAYFDKDGSGYITIDELQQACKDFGLGEVRLDDMIEEIDTDHDGRIDYGEFAAMMKKGDPDMGRSRTMKGNLNFNIADAFAAKEDSS >OIV90537 pep chromosome:LupAngTanjil_v1.0:LG20:10805861:10812564:1 gene:TanjilG_32414 transcript:OIV90537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACNNSDMLSPMHESLLVPIVSYLPFKEAARTCTLSKQWKDVWKCTKSIEFNELFFVKYGDDFDDETREAQRRVFLNFITHWITNYQENVVHKFSLKVSDPENIRDVIERCVAFATQHATKELGLDFSHPKWEEIDFEIHDALFDLPNHVYGIASIESLKLYSCNFSLSKLLNFEALKDVSLGWIELAIDTVKTLLYTCNTIETLSLKKCWNLEHFDLSDKKLGLRRLVIDKCHFDCDYIAFSAPNLKFLKFSGLVGSFDIDIQQDVMEEAEIDFALMSEFDEKEFGNELYTLLEHLYSVRKLTVDSYLLQVIQNGDETMRIQCGLNVRHLTMKTQLHPQELCGFRFLLFSSPMLEKLTLEIDPQIILPRNSCGRPQQQCVSSVQDHSNTTHVTPSLQNHRDKSEEQLSVSTRKKVTFDSNVKTYEPILQDEEPEKKNIAEGKVEGLSEKPTQSKSSSFSEDSSVTSTGSYPPNHRYQNCRDSDDEVEDDELDYEASDLSDDEEGDNEEFEDGMVYSSPIQMCDNSDDVNSMGLNSNPNARDRSVYVHPVLNPVENLTQWKVVKSKRTKPLSPQKENYISSNHESKNAYGTEEEPSTKEVAFNFNSDTDISRKLKQEISVDSSLSNWLVSPETTPVNKPGLVPCYAGTPDRTTSQGSAVSREDRPILGALTLDEIRQFSANSSPRKSPSQSPVDMPIIGTVGTYWNFTDSAEDSNSVSRFKGIPNTTSKYREDKRVNWHSTPFEKRLEKALSEGAAEAASTYVPHVF >OIV90185 pep chromosome:LupAngTanjil_v1.0:LG20:20091549:20092076:1 gene:TanjilG_01381 transcript:OIV90185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQATDFAGLNYLLPSPYPTNYNTIHNNNIPTFQFPTFPNQLYGFHNNNTLHQFSDHFSPQSSGISSNSTSDEADEQNLGLINERKHRRMISNRESARRSRMRKQKHLDELWSQVMWLRNENHQLLDKLNHVSECHDQALEENAKLKEQTSELHQMIRDMQINSSCPSFESLEDIP >OIV91033 pep chromosome:LupAngTanjil_v1.0:LG20:4294785:4300033:1 gene:TanjilG_16993 transcript:OIV91033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASENGFDEATTPNNPPPSDTDGEKSKQKEKPETVPFHRLFSFADSTDILLMTVGTIGAIGNGLGLPIMTLLFGQMIDTFGSNQRTDHVVEEVSKVSLKFVCLAIGTGLAAFLQVSCWMVTGERQAARIRGLYLKTILRQDVAFFDKETNTGEVVGRMSGDTVLIQDAMGEKVGKFLQLIATFIGGFVIAFVKGWLLTLVMMTTLPLLVASGAAMAVIIGRMTSKGQTAYAKAAHVVEQTIGSIRTVASFTGEKQAVSSYGKFLVDAYRSGVHEGSIAGAGIGTVMFVIFCGYALAVWFGAKMIMEKGYNGGTVINVIIAVLTASMSLGQASPSMSAVAAGQAAAFKMFQTIERKPKIDAYDPNGKILEDIQGEIELREVHFRYPARPDELIFNGFSLHIPSGTTAALVGQSGSGKSTVISLVERFYDPHAGEVLIDGINLKEFQLRWIRTKIGLVSQEPVLFASSIKDNIAYGKEGAKIEEIRIATELANAAKFIDKLPQGLDTMVGEHGSQLSGGQKQRIAIARAILKNPRILLLDEATSALDADSERIVQEALDRIMVNRTTVVVAHRLSTVRNADMIAVIHRGKMVEKGTHSELLRDGEGAYSQLIRLQEINKETKETTDRNKRELSAESFRQSSQKRSFGRSISRGSSEGNSSNHSFSVSFGLPTGVNVPDTEPESSHAKEKSPEVPLWRLASLNKPEIPVLLIGCVAAVANGVILPIFGLLVSSVIKTFYEPFDELKKDSKFWAIMFMILGLASFIIIPARAYFFSVAGCKLIQRIRHMCFEKVVNMEVGWFDEPENSSGAVGARLSADAASVRALVGDALGLLIGNLATALAGLIIAFTASWQLALIILLLIPLIGLNGYVQMKFMKGFSADAKMMYEEASQVANDAVGSIRTIASFCAEDKVMELYRKKCEGPMKAGIRQGVISGSGFGVSLFLMFCVYATSFYAGARLVEAGKTEFADVFKVFFALTMAAIGVSQSSSFSPDSSKAKSAAASIFRIIDRKSKIDPSDESGTTLDSVKGEIELHHVSFKYPSRPDIQIFRDFNLTIHAGKTVALVGESGSGKSTVIALLQRFYDPDSGEIILDGIEIQRLQLKWLRQQMGLVSQEPVLFNETIHANIAYGKRGDATEAEIIAAAEMANAHRFISGLQQGYDTVVGERGTQLSGGQKQRVAIARAIIKSPRILLLDEATSALDAESERVVQDALDRVMVDRTTVVVAHRLSTIKNADVIAVVKSGVIVEKGRHETLINIKDGFYASLVQLHTSAATV >OIV90723 pep chromosome:LupAngTanjil_v1.0:LG20:7205759:7210029:1 gene:TanjilG_15109 transcript:OIV90723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGMAQDGNVVYADPLLVVKRTHSASSWILFDATGRGSMLDADKYAIMHRIQIHARDLRILDPLLSYPSTILGRERAIVLNLEHIKAIITAEEVLLRDPTDENVVPIVEELQRRLPQVGFSHQQKGYGKEYSGGRHDNEGDESPFEFRALEVALEAICSFFAARTAELEMSTYPTLDELTSKISSRNLDKVRKLKSAMTRLTGRVQKVRDELEQLLDDDDDMAELYLSRKAASASPVSGLGLTNSFFDSPTIESKISRASRASRASIANIRFEENGVEEIEMLLEAYFMQLDTTLNKLTTLREYIDDTEDYINIQLDNHRNQLIQLELFISSATFAISFYSLVTAVFGMNIPFSWNDDHDYMFKWVVIVTGAISITMFLLIVVYARQKGLVGS >OIV91112 pep chromosome:LupAngTanjil_v1.0:LG20:2905749:2907568:1 gene:TanjilG_30334 transcript:OIV91112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLEAQRTTVGWAARDSSGILSPYTFTLRNTGPDDIYIKVHYCGLCHSDLHQIKNDLGMSNYPMVPGHEVVGEVLEVGSDVTRFKVGEIVGAGLLVGCCKSCHACQSDIEQYCSKKIWSYNDVYTDGKPTQGGFAKTMVVEQKFVVKIPEGLTPEQVAPLLCAGVTVYSPLTHFGLKTSGLKGGILGLGGVGHMGVKIAKAFGHHVTVISSSDKKKKEALEHLGADEYLVSSDTTSMQGAADSLDYIIDTVPVGHPLEPYLSLLKVDGKLILMGVINTPLQFVSPMVMLGRKSITGSFVGSIKETEEMLELWKEKGLSSMIEVVKMDYINKALQRLENNDVRYRFVVDVKGSKFDQ >OIV90360 pep chromosome:LupAngTanjil_v1.0:LG20:15628044:15631033:1 gene:TanjilG_19769 transcript:OIV90360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDHHHHNHDHQQQQYAITDLMQLMTGPRSLHFPSIPLPTATQPTAAELFPGYHRNLIPHHHQHYEMMMFGRDIMHPSCNIHDFASTDSVAPPTATTAATTSASTPPLSGGLEVENGCCIGGDASTGRWPRQETLTLLDIRSQLHPKFKEANSKGPLWDEVSRIMSEDHGYQRSGKKCKEKFENLYKYYKKTKDGKAGRQDGKHYRFFRQLEALYGENINHFSVPETNFGTNIRLETSSINTQSQTNLQNFSLGLTNSTDFETSLTDNDGIDHNNDSIDGLMEINNGSMLEKRKNERKSRKCWKVKIKDFIDSQIRKLVEKQEEWLDKLVKTMEQKEKERVLREEEWRKQEVNRLEREHKFWAKERAWIEARDAALMEALQKVSGGEIKGSEAHEGVEIAAEKRINSENQSGDGSEILNSSVKVGDNWQEHETTRLQELRTEVETWEEVANKMACYGYERTPLMCKEKWENISSNNAKIGNKKRKENSSCFYFENNDQSSLYNQGNAYCDINDQRPQIKDGSSPSNSKVGHADPCFPFLMSEGGNLWENYGLKINKSNQNH >OIV90086 pep chromosome:LupAngTanjil_v1.0:LG20:21236982:21239966:1 gene:TanjilG_01540 transcript:OIV90086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETSGRKLIVEVCNAKNLMPKDGQGTASAYAIVDFDGQRRRTKTKSRDLNPLWDEKLEFIVHDKDSMASETLEINLYNDKKAGKRSTFLGKVKISGSTFVKLGSETTVFYPLEKRSVFSQIKGEIGLKVCYVDEDPPVTDTAGEQKEETVPKEEEKPPENAGDGEKKEEEKKEEEKPKEENPKPKEEAPAETAVAVVDAPVPPLPPAEVENPPIAHAEKQKQKEKHGEIQKRANLNVNDHELRSLSSNHRNHSAYDLVDRMPFLYVRVVKAKRAKPEPNSTVYAKLVIGTHSVKTKSEMENKDWDQVFAFDKEGLNSTSLEVSLWCEEKKEGEEKSTESSLGTVSFDLQEVPKRVPPDSPLAPQWYTLESETSPGNDVMIAVWIGTQADEAFQEAWQSDSGGLIPETRAKVYLSPKLWYLRLTVIQTQDLQLVSVTEPKVRNPELYVKAQLGAQVFKTGRTSAGSSSSSSANPTWNEDLVFIAAEPFEPFLVITVQDVSNSQSVGQTKIHVASIERRIDDQTEGKSRWFNLSMEDENHNSNYTGRIHVRVCLEGGYHVIDEAAHVTSDVRASCKQLTKPPIGLLEVGIRGATNLLPVKTKGGTRGTTDAYVVAKYGPKWVRTRTMMDRFNPRWNEQYTWDVYDPCTVLTIGVFDNGRYNKEEGKPNRDVRLGKIRVRLSTLDTNRVYLNSYSLTVLLPCGAKKMGEIEIAIRFSCSSWLSLMQAYATPILPRMHYVRPFGPAQQDILRHTAIRIVTARLARSEPALGQEVVQFMLDSDTHVWSMRRSKANWFRMVGILSRVATVSRVVDNIRTWVNPPTTILMHVLIVAIVLFPYLILPTVFMYAFMILILGFRYRQRVPQTMDVRLSYVDMVSVDELDEEFDGFPTTRTGEQVRIRYDRVRGLAGRAQTLLGDVAAQGERLEALFSWRDPRATGIFAVLCLVASLGFYTVPFKGFVLGIAFYYMRHPRFRNDMPSITANFFRRLPSLSDQIM >OIV91449 pep chromosome:LupAngTanjil_v1.0:LG20:317404:319694:-1 gene:TanjilG_02067 transcript:OIV91449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHTMKLGPKLINSYVLGWISIIVTLVSVGGGVEYPAINCRKHSAVLTDFGGVGDGTTSNTFAFKSAITNLSEYVDDGGALLVVPPGKWLTGSFNLTSHFTLFLQKDAVILASQDESEWPSLPVLPSYGRGRDAPDGRFSSLIFGTNLTDVVITGENGTIDGQGSYWWSKFKNDELKLTRPYLIEIMHSDQIQISNLTLLNSPSWFVHPIYSSNIIIQGLTILAPIDSPNTDGIDPDSCDNTRIEDCYIVSGDDCIAVKSGWDEYGIKVGIPTQHLIIRRLTCISPDSAMIALGSEMSGGIQDVRAEDNIAINTQSAVRIKTAVGRGAYVKDVFVKGMTLSTMKYVFWMTGSYGSHPDPDFDPSALPQITGINYRDIIADNVTYSARLEGITNDPFTGICISNVTIEVSEKKKKLQWNCTDIAGVTNSVTPQPCDLLPQNDGNECPYPDDILPIENVMFQTCTF >OIV91337 pep chromosome:LupAngTanjil_v1.0:LG20:1190955:1227746:-1 gene:TanjilG_01955 transcript:OIV91337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLVLVEQHENQYYNSRSKPRGHARFGSSPSKDFRGINCRTFQTRTVLLPFPFNSPSSPKSLSPTTPANNKTSGKTNPVTTPIPNNAKAFRNETNFNEDVLFSELWAGPTYSNSPPPSSLPIPKFSVRPKRTVSLELPGSSSPGIKIRSVAKSAPPSPGREDSSSATDLFVNADSATKNLRRILNLNINDDFKVLTQDEIVYFQTEENGSPVLEVKTTYVKDNHFSVEADGVRNDVNLACYNMDSKVKQMIKLIEEDADSFARRAEMYFKKRPELMKMVEEFYRAYRALAERYDNATAVIRHAHRTMSEAFPNQIPVMDENEAEPHTPDARHPSRAFLETDELPKDASTHFPSTKRDGAHTEGPYSAINKTGLKQLNDLVIPGEHVNVVKFAGGHARRGLNFLGTQEESNGINNESHDSRTQVLSESEQVKKAETEIMALKEALTKLESEKEDGLLQYQQSLERLSNLESEVSHAREKYQGLDERASKAEAEVIALKEALTRLQAEREASLNQYEECLDKISNLEKNISFAQKGAGELNERATIAETESGSLKQALTRVEAERDAALVKYTQCLESLSKLEERLKEAEENARRITEQANKAENEIEVLKLEVAKLIEEKEDAALRYQQSLEIISSLQHKISCDEEEVCRLISKVDDGAEKLHSSEQKCLLLETSNHTLQSELQSLAQKLGSQSEELSEKQRELSKLWTSLQEERLRFIEAETAFQTLQHLHSQSQEELRSLAADLHGKAEILESMESCNHALEDKVHEVKEENKILNEHKISSSLSINILQDEILNLREIIEKLEQEVELRVNERNALQQEIYCLKEELNDLNKRYENVVEEVRSTGLDPQYFVSSVKQMQDENSKLKETCEADKGVKASLLAKLETMEKLLEKNSVLENTLSDLNAEMESVRGMVNVLEETCRSLLEEKTTLVAEKATLFSQLQATVENLEKLSEKNKLLENSLFDVNAELEGLRVKSKILEDTCQLIVDEKSSIISEKENLVSQLNITQQTLKDLEKQHSELELQHLELKGEKESALLKIEELLVLLYYEKEEHSRIMKLNEDDLADKELRIDALQKDVNCQNREYGEELDRAVHAQTEIFILQKCIQDLEEKNFSLVVECQRLLEASEMSERMISTLEIENVQKQVDVNSLSEKTKILRIGLLQVLKTLDINSKHLCEDKLEEDQMLLNHIHGKLQETQKSFVTTFNENQQLIVENSVLVTFLGQLKLKVETVVSERDALDEEFRIQSKQFLALQIEAEKTLEKNRELKLTIAKGEERMEVMTTEIENLCKQRSDLEEGHKSLQEESCKALEEKKSLMKRVADLGEEKINLEEEISFVIHETIAQSSISLIYQNIIFEKLLELKELGEGLDKLRMMNNDLEGRLKIMACKLEEAEMENSNLKESFVKSNIELKLVESVNDQLSCQIRTEKELLSQRENELLEAAKMFCTLQSEKTESRRMVEDLKVKYDEARVVLEDKGDQILKLSSDMDHQNEELRCLREVNQKLESEMRHLHQDLAETKLREKELSYELHKGKDEIEQWETQAATLYAELQISAVNETLFEGKVSELADACENLERRNYSKDIESELLNERVTKLEGENERLCGHLAAYVPAVSALNDCITSLEMYTLVHAKSHQYEESKVQNLVNHQSTEDDRKTGKDQTVVTPDALLDFQDMQKRINMDSKVKQMIKLIEEDADSFARRAEMYFKKRPELMKMVEEFYRAYRALAERYDNATAVIRHAHRTMSEAFPNQIPVMDENEAEPHTPDARHPSRAFLETDELPKDASTHFPSTKRDGAHTEGPYSAINKTGLKQLNDLVIPGEHVNVVKFAGGHARRGLNFLGTQEESNGINNESHDSRTQVLSESEQVKKAETEIMALKEALTKLESEKEDGLLQYQQSLERLSNLESEVSHAREKYQGLDERASKAEAEVIALKEALTRLQAEREASLNQYEECLDKISNLEKNISFAQKGAGELNERATIAETESGSLKQALTRVEAERDAALVKYTQCLESLSKLEERLKEAEENARRITEQANKAENEIEVLKLEVAKLIEEKEDAALRYQQSLEIISSLQHKISCDEEEVCRLISKVDDGAEKLHSSEQKCLLLETSNHTLQSELQSLAQKLGSQSEELSEKQRELSKLWTSLQEERLRFIEAETAFQTLQHLHSQSQEELRSLAADLHGKAEILESMESCNHALEDKVHEVKEENKILNEHKISSSLSINILQDEILNLREIIEKLEQEVELRVNERNALQQEIYCLKEELNDLNKRYENVVEEVRSTGLDPQYFVSSVKQMQDENSKLKETCEADKGVKASLLAKLETMEKLLEKNSVLENTLSDLNAEMESVRGMVNVLEETCRSLLEEKTTLVAEKATLFSQLQATVENLEKLSEKNKLLENSLFDVNAELEGLRVKSKILEDTCQLIVDEKSSIISEKENLVSQLNITQQTLKDLEKQHSELELQHLELKGEKESALLKIEELLVLLYYEKEEHSRIMKLNEDDLADKELRIDALQKDVNCQNREYGEELDRAVHAQTEIFILQKCIQDLEEKNFSLVVECQRLLEASEMSERMISTLEIENVQKQVDVNSLSEKTKILRIGLLQVLKTLDINSKHLCEDKLEEDQMLLNHIHGKLQETQKSFVTTFNENQQLIVENSVLVTFLGQLKLKVETVVSERDALDEEFRIQSKQFLALQIEAEKTLEKNRELKLTIAKGEERMEVMTTEIENLCKQRSDLEEGHKSLQEESCKALEEKKSLMKRVADLGEEKINLEEEISFVIHETIAQSSISLIYQNIIFEKLLELKELGEGLDKLRMMNNDLEGRLKIMACKLEEAEMENSNLKESFVKSNIELKLVESVNDQLSCQIRTEKELLSQRENELLEAAKMFCTLQSEKTESRRMVEDLKVKYDEARVVLEDKGDQILKLSSDMDHQNEELRCLREVNQKLESEMRHLHQDLAETKLREKELSYELHKGKDEIEQWETQAATLYAELQISAVNETLFEGKVSELADACENLERRNYSKDIESELLNERVTKLEGENERLCGHLAAYVPAVSALNDCITSLEMYTLVHAKSHQYEESKVQNLVNHQSTEDDRKTGKDQTVVTPDALLDFQDMQKRISVIEMTVKQINGSLVHKDEIREEKENQHRPTNEIEVLPKDIMLDQISECSSYGISRRGTLEADDKMLELWETADKDGIIELTPNMAQRGATNEPKNKYPSSESLVEKELSVDKLEISRRLTQPHEVGNKSKVLERLDSDAQKLTNIQITILDLVKKVEIMGTSKKGKGVEYNSVKDQIEAAQETITKLFDGNQKLKKNVEDSIMSFDEKAVFESGEIGSVSKRRVMEHARRGSEKIGRLQLEVQRLQFLLLKLDGRKESKEKTKVADHRSPRVLLRDYLYGGTRTNNQKKRATFCACVEPLTHRD >OIV91027 pep chromosome:LupAngTanjil_v1.0:LG20:4232104:4241531:-1 gene:TanjilG_16987 transcript:OIV91027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEARFGTEAYSYYGIGDGSTDLRGLGKRSTEWDLNEWRWDGDLFLASRLNPTAAGGVGGQQFFPLGSGIPVAAVGGSGSPNSSSSCYEEADLGNQKGNKEGERKRRVIVLEDGGLNEEAGALSLKLGGRGEPLAHREVASWDGVNGKKSRVGGGTSNRAVCQVEDCGADLTKAKDYHRRHKVCEVHSKATMALVGNTMQRFCQQCSRFHLLPEFDEGKRSCRRRLAGHNKRRRKTNEEAVPNGSTLNDDHTSSYLLIRLLKILSNIHTDRSNQTTDQDALTHLLRSIASQNDEQGGKTLSNLLQVPENLLKEGGSSGKSEMVSTLFSNGSQGSPTAIRQHQMVSVSKMQQQVMLTHDVRVIDHQTISSTKPSITNSPPSSSEARDSSAGQVKMNNFDLNDIYIDSDDGTEDLERLPISTNQGTSSLDYPWAHQDSHQSSPPQTSRNSDSASAHSLSSSSGEAQSRTDRIVFKLFGKEPNDFPLVLRAQILHWLSHSPTDIESYIRPGCIVLTIYMRQDEAVWDELCYNLSSNLNRLLNVSEDSFWRTGWVHIRVQNQIAFIFNGQVVIDKSLPFKGNNYSKILSVSPVAVPASKQAQFSVKGVNLIDSATRLICALEGKYLLCEDAHESIDQHSKELDEIQCIQFSCSVPVMNGRGFIEIEDQGLSSSFFPFIVAEEDVCSEICLLEPLLEFNETDPDTVGTGKIEAKCQAMDFIHEIGWLLHRNQMKSRMLHLNSSAELFPLKRFKWLMEFSMDHDWCAVVKKLLNLLLDGTVYSGDHPSLYLALSEMTLLHRAVRRNSKQLVEFLLRYVPENISDKLGTEDKALHGGENKSFFFKPDVAGPAGLTPLHIAAGKDGSEDVLDALTNDPCMVGIKAWKSARDSTGSTPEDYARLRGHYTYIHLVQKKINKKQGPPHVVVKIPSTVTESTTNQKQNESSTTFEIGKAEVKRGQGLCKVCDTKSSCRTAVGRSLVYRPAMLSMVAIAAVCVCVALLFKSSPEVLYVFQPFRWESLEFEIGRKSVGYLVIRFSLLATGQSHLKMGGTSSFKDEHTFEHRLEESRDIVAKYPDRVPVIVERYVKCDLPELEKKKYLVPRDLSVGHFIHILSSRLTLPPGKALFVFVKNTLPQTASMMDSVYESFKDGDGFLYMYYSTEKTFG >OIV90329 pep chromosome:LupAngTanjil_v1.0:LG20:16734986:16741260:-1 gene:TanjilG_14727 transcript:OIV90329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGKHYRPPGKKKEGNAAKFVTRSQALKLLQISLPLFRKLCILKGVTPREPKKKFKGTHQTYYHVKDIAFLHHEPLLEVHRAIKTHERKIKKAEAKKNIERANRLRDQTPKPKIDRIIRQRYPRFVDALGELDDCLSMVHLFAALPASESKKIDVERVHKCRRKLCILKGVTPREPKKKFKGTHQTYYHVKDIAFLHHEPLLEVHRAIKTHERKIKKAEAKKNIERANRLRDQTPKPKIDRIIRQRYPRFVDALGELDDCLSMVHLFAALPASESKKIDVERVHKCRRLAHEWQAFISRTHKLRKAFVSVKGIYYQAAVEGQAITWLTPHSLQQVVSEEVDLHTMLNFLQLYEPLLGFVNFRLYHSINLKYPPLLDPRLEALAADLYALSRYVGRNTRPSAVNSESVYIVENEHVEVKQSGAQSEDEKSELRLAQLHHQLPSNEHGPGALMHLVEEAAGDEEEEDQDTQECRKLFQNLKFFLSREVPRESLLFVIPAFGGVVSWEGEGGPFGESDQSITHQIVDREAQGHKFLSREYVQPQWIFDCVNARIILPTNDYVVGKIPPPHLSPFVDNEEEGAYVPDYAQTIKHLQAAARKEILPLPGVGKDLDDPQNLLAEGVIDRAEANEAAQRKQKMMLLEQQYHKDLKKELQHATYTPMDSKVDEQVATEVTKTGEESSYDVQNVDDMGKLLMSRKKRKLLEAMQISNDRKQAKHDLIKQRKKKIEATQSQGS >OIV90938 pep chromosome:LupAngTanjil_v1.0:LG20:3457671:3461077:1 gene:TanjilG_16898 transcript:OIV90938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVFVKTLKGTHFEIEVKPENTVSEVKKNIETVQGADVYPAAQQMLIHQGKVLKDATTLEENKVAENSFIVIMLSKSKSTSGEGSATSTAPSVKTPQISAAPTSTPPVSVAPLAPQAPAGTVPPPVPVTAPAPSTAPASIPTSTAVEGSDIYGQAASNLVAGTNLEEIIQEILAMGGGSWDRDTVVRALRAAYNNPERAVEYLYSGIPEQAEAPVVAQVPPSAQPGNPTAPAPQAAQPSPATLGGPNALPLDLFPQGLPNVGSGAAGAGAGSLDFLRNSQQFQALRAMVQANPQILQPMLQELGKQNPQLMTLIRDHQADFLRLINEPMEGGEGDLLGQLAGGVPQAVTVTPEEREAIERLEAMGFDRATVLEVYFACNKNEELAANYLLDHMHEFDEQ >OIV90469 pep chromosome:LupAngTanjil_v1.0:LG20:11207942:11209954:1 gene:TanjilG_18653 transcript:OIV90469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKPLLAVNIQTPKSAANSNILHALLKTHGGGLDCSAYGSAIQHCTNRRLLLQGKQLHARLFLFSITPDNFLASKLITLYSKSNHTQQARHVFDAIPHKNSFSFNAMLFGYSFNNMFRHALNLFSSFDFASNKNVSTDNFTVTCVLKALSATLCNSKLTKQVQCFILQRGLGYDIYVLNALVMCYSRCDEISLARKVFDEMLERDIVSWNSMIAGYSQSGLYDECKRMYLDMLSVAGVMPNAVTVVSVMQACGQSKDLVFGMDVHRLVNKSGIEMDVSLCNAVISMYAKCGSLEYARELFDEMSEKDEVTYCSVISGYMVYGFVDEAMAVFREMESAGLSTWNAVISGMVQNNRYEGAVNLVREMQYSGSRANAVTLASILPSFSYFSNLRGGKEVHGYAIKRSYDQNIYVATAIIDTYAKLGFIRGARKIFDQPQSRSLVIWTAIISAYAAHGDANMALALYGQMLNKGIQPDPVTLTSVLTACAHSGLVDEAWDIFNEMPSKYGIQPLVEHYACMVGVLSRAGKLSDAAEFINKMPIEPSAKVWGALLHGASVYGDVEMGKFVCDHLFEIEPENTGNYIIMANLYSHAGRWEEADNVRERMNEIGLKKIRGSSWIETSSGLLSFIAKDVSNERSDEIYALLEGLLGLMREEEYNLREELDYENALSYQ >OIV91299 pep chromosome:LupAngTanjil_v1.0:LG20:1482743:1483168:-1 gene:TanjilG_01830 transcript:OIV91299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQITIMPRCKIVYSGSDAPLENGAGAGVDTDGGKADTDPGLPKTSMLGGLGLEGIVGNEDSDGEKTSPPGVGKRGSISGESVGEARGAFDNTVGAAEMVETCMFCIEAKANEQLNAIKAMNIDESSKQKEAIKNGENQYEV >OIV91148 pep chromosome:LupAngTanjil_v1.0:LG20:2626206:2626394:1 gene:TanjilG_30370 transcript:OIV91148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLLESIKSKVKKLKKKTKKKSYVQIDKSASVKVEIRSKKARNLINKTLQAADRPGNYAIS >OIV90703 pep chromosome:LupAngTanjil_v1.0:LG20:6876887:6879734:1 gene:TanjilG_15089 transcript:OIV90703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVTSAVHSVGFLHSQTHSSHVENLNAKAFFISTTIKGTRTSPVTYYHRPNNFNKGGKHRHNLITNSSSASNATTTTESYASADESLSGLENIKKKCLTWKWKEQYSINYFVSSDADSSQKLQTNYPPLLLVHGFGASIPHWRRNIKTLSQNYTVYAIDLLGFGASDKPPNFSYTMETWAQLILDFLDEVIQKPTVLIGNSVGSLACVIAASDSNQTLVRGIVLLNCSGGMNNKAIVDDWRIKLLLPLLWLIDFLLKQKGIASAIFERVKQRDNLRNILSSVYGNKEAVDEELVEIIRVPADEPGALDAFVSIVTGPPGPNPVQLMPNISMPVLLLWGNQDTFTPLDGPVGKYFSSLPFQQENVKLFVLEGVGHCPHDDRPELVHEKLLPWLSNLSSS >OIV90462 pep chromosome:LupAngTanjil_v1.0:LG20:11682503:11688080:1 gene:TanjilG_28540 transcript:OIV90462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPSNRRDPIKSSVGNVAGSRRREHAVTIGKERRESLVRAKRLCRVGIGGGEGEGDVPVECEMIIDEEQSILESQTSLAVEKLKSAIAYQGKGAVQKRVSGLQELRRLLSRSEFPPIESALKAGAVPILVQCLSFGSPDEQLLEAAWCLTNIAAGSPEETKALSPALPLLIAHLGERSSSPVAEQCAWALGNVAGEGDELRNVLLAQGALLPLARLMLPDKGSTVRTAAWTLSNLIKGPDPKASTELIRIDGVLDAIVRHLKKADDELATEVAWVVVYLSALSNVATGMLVKSDIIPLLVNRLAASNSLQLLIPVLRSLGNLIADNVIEVLVKCLNGEHRVLKKEAAWVLSNIAAGSIEHKQLIYSSEAVPLLLRLLSAAPFDIRKEVAYVLGNLCVATTEGDQKPSLILEHLVTLIEKGCLPGFIDLVRSADIEAARLGLQFMELVLRGMPNGKGPKLVEQENGIEAMERFQFHDNEDLRTMANSLVDKYFGEDYGIDE >OIV90848 pep chromosome:LupAngTanjil_v1.0:LG20:5225968:5226813:-1 gene:TanjilG_15581 transcript:OIV90848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVLLSWLHMKCRIDLFDFTWNRMDHQGHNQHPSMGVVGGGAPMPYGSNPYQHNQTTGSPGYGTVQSTGQPGGAQMGQNQLAYQHIHQQQQQLLQQQLQTFWANQYQEIEKVTDFKNHSLPLARIKKIMKADEDVRMISAEAPVIFARACEMFILELTLRSWNHTEENKRRTLQKNDIAAAINRTDIFDFLVDIVPREDLKDEILASMPRGTMPGAGGPADGLPYCYMPPQHAPQVGAPGVIMGEPVMDPNMYAQQSHNTYMAPQMWPQPPEQQQSSPPDH >OIV91446 pep chromosome:LupAngTanjil_v1.0:LG20:334371:338758:-1 gene:TanjilG_02064 transcript:OIV91446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMILSLPLTLRFPYSLPILRRRSRYILSLRPPPLRATFSAAAPETHNSSKKQEKLITPRSQDFNAWYLDIIANAELADYGPVRGTMVIRPYGYAIWESIQDYLNRKFKDTGHSNMYFPQFIPYSFIEKEASHVEGFSPELALVTIGGGKELEEKLVVRPTSETIVNHMFTQWINSYRDLPLLINQWANVTRWEMRTKPFVRTLEFLWQEGHTAHATPEEAENEAIQMIDIYTKFAYEQAAIPVIAGRKSKVETFAGACKTYTIEAMMGDKKALQAGTSHNLGQNFSRAFGTQFLDENGQRQHVWQTSWAISTRFIGGIIMTHGDDAGLMLPPKVAPVQVVIVPIWKKDDEKTTVLKATSSVKEALQAAGIRVKLDDSDQRTPGWKFNFWEMKGVPLRIEIGPRDVSSGSVVISRRDVPGKQGKVFGVSMDPPVLEAFVKDRLDEIQSSLLERAIAFRDSNIVDVSSYDDLKVAISQGKWARGPWSASNEDELKVKEETGATIRCFPFEQPSGTKRCLMTGDPAEEVAIFAKSY >OIV90038 pep chromosome:LupAngTanjil_v1.0:LG20:21980676:21981620:1 gene:TanjilG_23958 transcript:OIV90038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIHMTSPLMAGIAVAAAAYAGKYGIQAWQAFKARPATMRKFYEGGFQPTMTRREAAQILGVRERTPMDKVKEAHRRVMVANHPDAGGSHYIASKINEAKDMLLGKNKGGGSAF >OIV90039 pep chromosome:LupAngTanjil_v1.0:LG20:21983680:21987989:-1 gene:TanjilG_23959 transcript:OIV90039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISIPYLTALTTYFSYGLLFAFGQFRDFFRKIFDWFRYNNLHGYAPICLGLEDFYIRRLYLRIQDCFGRPISSAPDAWFDVVERYSNDNNKTLKRTHKVSRCLNLGSYNYLGFAAADEYCTPRVVESLEKYSPSTCSTRVDGGTTALHNELEDCVAHFVRKPAALVFGMGYVTNSAILPVLMGKGSLIISDSLNHNSIVNGARGSGATIRVFLHNTPQHLEEVLRELIAEGQPRTHRPWKKIMVIVEGIYSMEGELCKLPEIIAICKKYKVYTYLDEAHSIGAVGKTGRGVCELLDVDTADVDIMMGTFTKSFGSCGGYIAGSKELIQYLKYTCPAHLYATSISPPAAQQIISSIKVILGEDGSNRGAQKLAQIRENSNFFRSELQKMGFEVLGDNDSPVMPIMLYNPAKIPAFSRECLRQNVAVVTVAFPATPLLLARARICISASHSKEDLVKALQVVLGFSGPLCFSFHP >OIV90930 pep chromosome:LupAngTanjil_v1.0:LG20:3365476:3365784:1 gene:TanjilG_16890 transcript:OIV90930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWFTSGRRLLSLISVMVLLLILVYCHCCHVQAIRVFPEENAVAKVEFSGHRIIMENNKTEQKEDLFNKYFTGTRNFGPNNRTQKVINETKRRVPSCPDPLHN >OIV90344 pep chromosome:LupAngTanjil_v1.0:LG20:17025015:17028896:1 gene:TanjilG_14742 transcript:OIV90344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTFSINGWCISSISSAKTSTKRAALCPSVFASLNSSPSSSSTSSFPSLIQDKPVFAAPSSIITPVVREDMGKNYDEAIEELQKLLREKAGLKATAAEKVEQITAQLSTASSEAVPSSEASERIKTGFLYFKKEKYDKNPALYGELAKGQSPKFMVFACSDSRVCPSHVLDFQPGEAFVVRNVANIVPPYDQSKYAGAGAAIEYAVLHLKVSEIVVIGHSACGGIKGLLSFPYDGTYSTDFIEEWVKIGLPAKAKVKTAHGDAPFGELCTHCEKEAVNVSLGNLLTYPFVRDGLVNKTLALKGGYYDFVKGSFELWGLEFGLASSFSV >OIV90170 pep chromosome:LupAngTanjil_v1.0:LG20:20279899:20281391:1 gene:TanjilG_01624 transcript:OIV90170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVRTNEVLNVKYTARNTPKSIILILFTLLLIMLPLSLMRDSSEPSQKISSISVLKNSTEVKQCNIFSGKWVHYHEGPYYDNESCHWIIDQQNCMKFGRPDSEFLHWRWKPNECELPLFDATQFLNVVKGKKMVFVGDSVGRNQMQSLLCLLSHVSEPEDVSLKYTTDETYFKRYYYVEYNFTLANLWSPYFVKSSDDSIMKLYLDEADEAWASKVEEFDIAIISAGHWFFKPLLFYENKKLVGCNKCGKKNVTDLGHYYGYKKAFRTAFGTLLNLRRFKGVTFFRTFSPSHFENGDWNKGGNCIRTKPFSNEEMKLDGYVLETYLTQVKEFKAAKKVAIKRGLKFNMIDSTEIMLLRPDGHPNNFGHGKDKNVTFNDCVHWCLPGPVDTWNEFLFYMLKLGHKSGPKLQRII >OIV90909 pep chromosome:LupAngTanjil_v1.0:LG20:3168461:3171301:1 gene:TanjilG_16869 transcript:OIV90909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIPSHLIATILYITFLSHLLLIVQTSPTPDLDALISFKTASDTSKKLTTWNTTTNPCTWYGVSCINNRVSRLVLENLDLQGSVLPLTSLTHLRVLSLKHNRFTGPVPSLSNFTALKLLFLSHNTFDGNFPSTVTSLFRLYRLDLSYNHFAGDIPVTVERLTKLLTLRLENNQFSGHIPGVSIPSLQDFNVSGNHLSGEIPESFSGFPESSFRKNPSLCGAPVQKCNDVIADPSKPKSEGVIAASPVMPMPRDKTPTTTVSSSLPSNEEGPSSKKTHKNGNGGSKISPVGLIAIIVGDVLVLGIACLLLYCYFWRSYSKKLKEGKGSKLFESEKIVYSSSPYPAQAGFERGRMVFFEGEKRFELEDLLRASAEMLGKGGFGTAYKAVLDDENVVAVKRLKDAQIGGKREFEQHMEVIGRLRHPNIVSLRAYYFAREEKLLIYDFMPNATLFWLLHGNRGPGRTPLDWTTRLKIAAGAARGVAFIHNSCKSLRLTHGNIKSTNILLDKQGNACVSDFGLSIFAVPGCRSNGYHAPEAIALDGRKQSQKSDVYSFGVVLLELLTGKCPSSAVDSNSGSGTIDLPRWVQSVVREEWTAEVFDIELMRYKDIEEEMVGLLQIAMACTAAAPDQRPRMSHVAKMIEELRGVEVSPCHDTLDSVSESPSLSEDACGASQ >OIV90561 pep chromosome:LupAngTanjil_v1.0:LG20:9582311:9583271:-1 gene:TanjilG_10655 transcript:OIV90561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKGKGTTIDFSTNTVEDLSGRVHQLPCCVKYDGVAAVSQYFKPKLSGVHSEDEGLQLQKAHFRGRLLQGATLHLPHPYTGFVLEKNKIGDEEDSSASWHTNATFRDVTYWNHDDLPCHNDHFFRAFHCLTIANALHKPVTPEDLASTSTLF >OIV91250 pep chromosome:LupAngTanjil_v1.0:LG20:1835150:1836586:1 gene:TanjilG_30472 transcript:OIV91250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFMMLQLFLLFLTTTITITVTTSQNTSYPHFQNLNVKQTIEETKLKPTQIPKQPLQTESEEKTEKRKLKLLHIDKITTFITSNDHRTRFNARLNRDSKRVAALLRRLNISVSVAGEANYKSNAFGSDVVSGMEQGSGEYFVRIGIGSPPRNQYVVIDSGSDIVWIQCEPCTQCYHQSDPVFNPSDSSSFAGVSCGSSICNRVDNAGCHEGRCRYEVSYGDGSYTKGTVALETLTIGRTMIRNVAIGCGHRNQGMFIGAAGLMGLGGGSMSFVAQLGGQTGGAFSYCLVSRGTSSFGSLEFGREGVPIGAAWVPLLHNPRASSFYYVGLLGLGVGGVKVPISEDVFRLNELGDGGVVMDTGTAVTRLPTLAYNAFRDDFIAQTTNLPRASGVSIFDTCYDLFGFVSVRVPTVSFYFSGGPVLTLPAKNFLIPVDDVGTFCFAFAPSNSGLSIIGNIQQEGIEISVDGANGFVGFGPNIC >OIV90607 pep chromosome:LupAngTanjil_v1.0:LG20:8643313:8647189:1 gene:TanjilG_01688 transcript:OIV90607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHPHEGNEDMMIQGSGSSNHSSHNHVGDPCLVLTSDPKPRLRWTTDLHNRFVDAVTQLGGASKATPKAIMRTMNVKGLTLYHLKSHLQKYRLGKQPGKDSDEGCKDGSYPLESPGTGDSSPKLTASDANEGHEVKEALRAQMEMQSKLHLLVEAEKHVQIRQDAEQRYMAMLERACKMLADQFIGDTFMDTDNQKLQGLGCRASRSSLVDPLGFCSLPSTEVVGMHVSEFPPILQPQWVGRSNERCLTSLENLGGLTLEGSSPGGMKKRMQTLDSMVAPLILSEGNIRTQGINLAQVNSYGI >OIV90960 pep chromosome:LupAngTanjil_v1.0:LG20:3669720:3680257:1 gene:TanjilG_16920 transcript:OIV90960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNSSFELYAASHEELAFPNGQTGSLINNSLERSSNFCEGQVGKTGLRDVIGNTFEDSAFRTANLEPLPPVVKEDLKQFKAIMQEALSKRLGESLYKLNKCCEALNSKEQHQNDQFPNERLGGLHSSKMRSQTQQSPSEHVNQRLEDRPSNVVLNKRIRASVADIRGEGQSDSFLRHSFAVGKDRDIKDGGKGCDNVKVKIRKLPAGRETCDRKMRRKRSLGTVFSRPIDGEGELKRVMHPKLTNGSPQSSDAQDLRPGYSGSNSKLNVTSMPASSDACPTSKDEQEKGLRDSMDGSNKDQIVLQGNKLNVGDDNYTTSNYSLMKRKASRAPRTGPLMPRNSSSVLRSYETLDVSEQPSNVNKPHSVSGTINRKCPLPEGPSSSPMAQWVGQRPQKISRTRRMNVVSPLLNSDEVHMSLKGCSPSDVGTGVTSTTVGGSLISKGAVNSTQLGKVRHENFSSQTRLLESEELGAGENDKSKVKEKRLGSNEVDERAKNNSCNISSSLLAIEKKKLSFKEEIGENLHKQGRNSRGSPVLKTGITLMNEKIEISTLTQPIRSMKPGSEKNGSKSGRPRLKKSRNRKAIARLGHPSTSHSPDIAGELDDDQEELLAAANFASNASYVGCSSSFWKKLEPAFAPVRLEDVACLKQLDGLDHKDNTSSQNPLPGEREKSVEQTDSKHISSMVDMLDQHLDVSFLCRQMNSEGNIAAPLYQRVLTALIIDDETDEETDGGGKMPFLCESDHSLGLACFPEDIENQPRTGAEDEFKSDMFSCNGNATHDQELYDFSQLRQVALHPETERLPTVSENSNGGLVVMNRVSSCSSTSNRHFEQMSMEDKLLLELQSVGLYPEPVPDLADEDCEAINQDIIQLQKGLHEQVTKKKEYFMKLIQAVEQGREEEQRALQEIAMNKLVELAYKKKLATRGSSAARNGIPKVSRPIALAFMKRTLARCRDFEESGRSCFLEPAFKDVLFAAPTCVNYAGSDVAVKLPLAQKSQQESPLPDLFDWGIVLFSFFSYGIMDSALRLAGLLPCRKRGALEKINHPSDLDSASIGNSFMGGANGKRSEKEREKGTSGRNSVTKSRSSCAGHSSGEPNNKAKPKQKATQLSTSGNESVSKLVQNNNSGHQLACGSNEDKAGSVPRAHITEELPILTEEPVDVTNLHELDSIELVVANELGGDEDLDSWLNIDEDLQEDVIGLDIPMDDLSELNMLL >OIV90570 pep chromosome:LupAngTanjil_v1.0:LG20:9286646:9287728:-1 gene:TanjilG_19206 transcript:OIV90570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGVSCTDETTTTSDSPSISLPSKLSLPPLPNTLSRVGSGASTVVDPDGVFCGGAEAESRKLPSSKYKGVVPQPNGRWGAQIYEKHQRVWLGTFNGEDEAARAYDIAAVRFRGKDAVTNRKTFASDGSGDGEIEFLNSHSKSEIVDMLRKHTYHDELQQSKNNTCGKQRRHHESSAATPFGNRGACDTKAREILFEKTVTPSDVGKLNRLVIPKQHAEKHFPLSDGSSPSTAAAKGMLLNFEDVGGKVWRFRYSYWNSSQSYVLTKGWSRFVKEKNLKAGDAVQFYRSTGPDRKLYIDSKKRSDEVGPVVEPVHMVRLFGVNLLNLPGSDVVGGNCNGKRKEMELFALECSKKQKIIGAL >OIV91444 pep chromosome:LupAngTanjil_v1.0:LG20:342321:348516:1 gene:TanjilG_02062 transcript:OIV91444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSPERVVVGDEEDWDLTDNKKHRSRKYGEEASDGSGRRRAYTDNNNNNNRSDTRKRSSGSSRADSDDNNDYDSRKELRSKQMMRKRQEESELEKLSSWYEDGELDNNGDKTGKKTSSSKHLDHDASQNRSRSKEDRLRDAEVEKDYKYVEKSESGREKGHGSSEQVRSSRRRWDETDAVKRNEDSFAEKGDVRSGGKASDVKRESSRERSGSARNEHSEIKSKVVDSTSEKVVKSNSREDRRADSERGKSKGGKSEMLDAGREDNKLDRDRIDRGDNKQDRDRIEKPRRQRTPTGYDAAESWDRPLNADEDGNMRIRDKTTREPGNTNRSRTPERSGKHHQDSENSDMDYDRSGSFKRKENENDVYKDDRSKGIDDTLNDRKKDRESSKESWKRRQPNSFDRDSKGDDSALDHNRDWELPRHGYDRIDNERPHGRAGSRKDIIRGDAVKATSNFGISNANYDVIEIQLNNHGKTDSIANLARKTEATQQYNVKSGGNDEEWAYRQQERSRKNDSSGSGLPSEDLKERYGDDDYDFHGGRGRGQKGVVSGRSAGSQSSNSGGSQPQYGNMESGSFSRAGPQGMKGNRVGRGGRIRPTGRDNQQVGMPLPMMGSPYGPLGLPPPGPMQPLNHGMSPAPGPPMSPGVFISPFPPAIWPGARGVDMNIMGVAPAVSPVTPGPSGPRFNAPNIGNPPNPAMYYNQSGPGRGIPLSISSPGFNPTGPMARVTPSDKPQGGWVPPKGGTHGKAPSRGEQNDYSQNFVDTGMRPQNFIRELELTSVVEDYPKLRELITKKDEIVTKSASAPMYYKCDLNEFELSPEFFGTKFDVILVDPPWEEYVHRAPGVADHMDYWTFEEIMNLKIEAVADTPSFIFLWVGDGVGLEQGRQCLKKWGFRRCEDICWVKTNKSNATPGLRHDSHTLFQHSKEHCLMGIKGTVRRSTDGHIIHANIDTDVIIAEEPPYGSTQKPEDMYRIIEHFALGRRRLELFGEDHNIRAGWLTVGKELSSSNFNKEAYIKTFADKDGKVWQGGGGRNPPPEAPHLVVTTPDIEALRPKSPMKNQQQMQQQQQSASISLTTPTVSNRRAAGNSPQNPTAHGVSQDGSSSNPSTPAPWGEGFKGREGSALPSDDKVIDMYGFHGPATTSYLDFESYRQMNML >OIV91454 pep chromosome:LupAngTanjil_v1.0:LG20:293551:295498:-1 gene:TanjilG_02072 transcript:OIV91454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDNFHDSSSSSSDSDDGKLRSPPNSFKNNVYRLFGREQPVHKVLGGGKPADIFLWRNRRSSGIALGAGTALWLFFELLEYHLITLVCHLLIVSLGGLFLWSNASIFIHKSPLHIPHIAIPEECIVEIASALRIEINRGFVILREIGTGRDLKKFLSVTGGLWFISVIGNWFNFLTLFYLGFLSLFTLPLLYEKYEDQVDAFGEKAMIEIKKQYAVFDAKVLSQIPIAGLKKD >OIV90510 pep chromosome:LupAngTanjil_v1.0:LG20:10327161:10327310:-1 gene:TanjilG_32387 transcript:OIV90510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERQCEKENAKTAKYQTLIYSFLLIKNRRNNYSQAYFTKKKEVEDILYK >OIV91383 pep chromosome:LupAngTanjil_v1.0:LG20:808732:810188:1 gene:TanjilG_02001 transcript:OIV91383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKEKFHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIIKEVSSYLKKVGYNPDKIPFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGVVKPGMVVTFGPTGLTTEVKSVEMHHEALQEALPGDNVGFNVKNVAVKDLKRGFVASNSKDDPAKEAANFISQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAELVTKIDRRSGKEIEKEPKFLKNGDAGLVKMIPTKPMVVETFAEYPPLGRFAVRDMRQTVAVGVIKNVEKKDPTGAKVTKAAAKKK >OIV90070 pep chromosome:LupAngTanjil_v1.0:LG20:21375261:21376001:1 gene:TanjilG_01524 transcript:OIV90070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIKKRGKYKGRNNTNKLIGVRQRPSGKWVAEIKDTIQKIRMWLGTFDTAEEAARAYDEAACLLRGSNARTNFITHVSSDSPLAFRIRNLLNNRKGNKEHANATSSDASNSTSTSTSTNSSNYDKSNNSLSNVTTTQNTQLYDDAYRPDLSNFKEEFESCFQQNHSENFGYVFEGFPFAQVLEIPKIDGMQDTNISLEISEFERMKVERQISASLYAMNGVKEYMQTVQDSNETLWDLPPLCSFLF >OIV90548 pep chromosome:LupAngTanjil_v1.0:LG20:9758581:9760125:-1 gene:TanjilG_18152 transcript:OIV90548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRILLIINCILLAIGVTGGPLIIRLYFIHGGNRVWLSSFLQVAGFPIILIPLFFSYMIRRRQVNTNVDSPKLKIVTMKLPLFLFSTIIGVITGLDGYLYSYGVSRLPVSTAALIVASQLAFTAIFAFFMVKQKFTAYSVNAVVLLTLGAGILALHTNGDRPIGESSKTYVMGFVLTLLAAVLYGFILPLVELSYSKGRQAITYTLVLEIQLVMCFFASLFSLVGMIVNNDFQLISTEAKHYGLGEATYYVVLVVTTIVWQINSMGAVGVIFCASSLFCGVMIALMVPITEVLAVIFYKESFNAQKGVSLVLSIWGFVSYFYGEFKQAKEMKKNPNVGDDDLPQKQNIPNP >OIV90502 pep chromosome:LupAngTanjil_v1.0:LG20:10053633:10057584:-1 gene:TanjilG_32379 transcript:OIV90502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKDRERDSYADNERNEFDESTQHDEEPDPVEEIQRVIESVAQFGAYRRTQRKECHNLVRRFKLTLPLIEEVRDLNEPIPEMGLAWLRNLRDALFCAKELLRLCSQGSKIHLALEGEAVMVRFHCVYEKMSQAFDGVPCDLLGISEEVKEQLELMHVQLKRARKRTDTQDIELAMDMMVVFSEEDDRNADSAIVERLAKKLELHSVDDLNIETMAIRKLAKERKWQHAESTQRMIRVLNKFKRIVGMEETNVVDDPIMPRMLQKCTSLVIPHEFLCPITLEIMTDPVIVASGQTYERESIERWFKSNHKTCPKTRQPLAHLSLAPNYALKNLIAVWCDNNNFKLPKKVTSLDQESCSMDNTEEIPSLVESLSSIHLEEQRKAVEKIRLLSKENAENRVLVAEHGGIPPLVQLLFYPDPKIQEHAVTALLNLSIDEGNKKLISKDGAIPAIIEVLENGSTVAKENSAAALFSLSMLDENKEVVGLSNGIPPLVNLLQNGTVRGKKDAATALFNLSLIHANKGRAISAGIVAPLLQLLKDINLGMIDEALSILLLLVSNPEGRQEIGQLSFIETLVDFTREGTPKNKECATSVLLELCSTNSSFILTALQFGVYEHLVEIKQSGTNRAKRKANAILELISKSEQI >OIV90330 pep chromosome:LupAngTanjil_v1.0:LG20:16757123:16758424:1 gene:TanjilG_14728 transcript:OIV90330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKECNDNEIPSLDFEDLQVITPVGRGAKGVVFLTKTGQTGEQSCLALKVISKALLEKKKKEGCAEYKRLSFEQQVLRRFDHPLLPRLRGVIETQNIVGFAIDYCDGGTFHSLRSKQSEKMFSHDTIRFYAVELLLALEYLHNLGIVYRDLKPENIMIQQNGHIMLVDFDLSTKLNTKSISDESLSQYSYYNSSKLKKKRCNCLLSRFKYGISPCDGDSELVEKQVSICKNTIIPQIEKSNSFVGTEEYVAPEVVAGKGHDFSVDWWSYGVVLYEMLYGTTPFTGLNRNQTFHSILTKEPELTGTKTALRDLIRRLLEKDPGRRIKVHEIKGHDFFKGVKWDIVLEIARPPYIPHNEVRDIVGFSKKDVELFVHGIYFPNSGDEDKNNHKVSHSETKNEDFGIF >OIV90025 pep chromosome:LupAngTanjil_v1.0:LG20:21852756:21857252:1 gene:TanjilG_23945 transcript:OIV90025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSNLPSPPHDDSDAKNVFRKPSADATGRNYRRRSPAGESPPPDGSPKHEHSSSPNPLRDDSIRVSDHHSKKYDGREPDLQYGKNYHGRSSDSFRQSSRSSYGHSRNDKYADEDRYHERISSRSGHEPRGNHTREESDIRSKDYQRTSEKYSRDKYDRSDYKSKEKDGETYLEHQKNKDKDSSYDRSGSSRRHAPYDDLEREKRTRDRDRQDEKRDHRRSFGDHRSDRARLKESYKNEQKELGDQNLPWEEKRKYNDAEIGKDKDQSTIKVGGRFSNEDRESSGKKTKLFVVDKDENHGDNCVILLSCAKADERRTSKFSHESKADSKASKTSGFDVGNDLDAAKVAAMKAAELVNKNLVGVGGLTTDQKKKLLWGKKSITTEESGHRWDTALFTDRERQEKFNKLMGVKGEAKVEQNSDNLNVEKQREQLQEDLEKQYTAGLRRRDGRTVGLGL >OIV90844 pep chromosome:LupAngTanjil_v1.0:LG20:5289156:5290917:1 gene:TanjilG_15577 transcript:OIV90844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALEAVVYPQAQDPFDYGVVKDLYHHNFLSGATNWGYEHLLNLENEQEQQKGSISFVENKTEVNYDHLKNELNYLSNEPSSEATTHNLDSSTSNFRPKRRRARSRKNKEDIENQRMTHITVERNRRKQMNEYLSVLKTLMPDSYVQRGDQASIVGGAINFVKELEHRLQFLCAKKQTEGKSNVVDANMPFSEFFTFPQYSTSTTICDSSVAIGEEKVGKVQCCIADIEVTMVESHANLKIRSKKRPKQLLKMVSGLHSMRLTILHLNVTTSGEIILYSLCVKVEDDCKLGTVDDIAAAVYQMLDKIQQEAMLN >OIV90083 pep chromosome:LupAngTanjil_v1.0:LG20:21267983:21271438:1 gene:TanjilG_01537 transcript:OIV90083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLWVDKYRPKTLDHVVVHDLIAQNLKKLVTEQDCPHLLFYGPSGSGKKTLIMALLRQMFGPGAEKVKVENRTWKVDAGSRSIDIELTTLQSANHTEISPSDAGFQDRYVVQEIIKDMAKNRPIDTKGKKGGFKVLVLNDVDKLSREAQHSLRRTMEKYSAYCRLILCCNSSSRVTEAIRSRCLNVRINAPSEEQIVDVLEFIGKKEGLQLPSGFAARIAEKSNRNLRRAILSFETCRVQQYPFTSKQAIPPMDWEEYISEIASDIMKEQSPKRLFQVRGKLYELLINCIPPEIILKRLVYELLRKLDDELKHEVCHWASYYVSVIINVLFHISCRN >OIV90771 pep chromosome:LupAngTanjil_v1.0:LG20:6070508:6072747:-1 gene:TanjilG_15504 transcript:OIV90771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDTQVQHNSTQHELDQNDIEQKLKAFDREQELKAFDDTKAGVKGLVDAGISKIPHIFISSTNENPCKKTSSSSTSTEFHIPVIDLQVEQLQHGIGRKYIIEKVKEASETCGFFQVVNHGIPKDILDEVMEGGRRFHEQPHDVKKEYYTRDGLRTVRDIAIRYSEHVKKLGLTLLELLSEALGLKQKHLEEMECGQGHMLVFQYYPACPEPHKTLGISPHTDPDFFTILLQDNIGGLQVLYQNQWVDVKPMEGALILISNDKFKSAKHRVLANIVGPRTSVACFFSTHLQPFNEVYGPIKELLSKENPPLYKETTVRDYIDNYNSKGLGTLWLEDFRR >OIV90630 pep chromosome:LupAngTanjil_v1.0:LG20:8348052:8350098:1 gene:TanjilG_01711 transcript:OIV90630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKYMKKTKPKTEKQLSLLDTNTYFGVRTRAKTLALRKSQFSSISGGSYLQLRSRRLHKPPISIPSHDSSSKRQKLQNPKSPIRNPSSGSRFGNGSVVASGELEKEEVKGIVHENVDAASVVEASCGENCLDFEGRERNTRESTPCSLIRNPDAIRTPGSTTRPTRSTDRRTEQTARRQFPTAHEMDEFFAEIEETQQRQFIEKYNFNPVDDKPLPGRYEWEELKS >OIV90996 pep chromosome:LupAngTanjil_v1.0:LG20:3949045:3953410:-1 gene:TanjilG_16956 transcript:OIV90996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSQIWIKTTTFAAIAFALIFVSAYADDVVVLSEENFEKEIGQDKGALVEFYAPWCGHCKKLAPEYEKLGSSFKKAKNVVIGKVDCDEHKSLCSKYGVSGYPTIQWFPKGSLEPKKYEGPRNAEALAEYINTEGGTSVKIATAPSNVVVLTAENFNEVVLDETKDALVEFYAPWCGHCKSLAPIYEKVATAFKLEEDVVIANLDADKYRDLAEKYGVSGFPTLKFFPKNNKAGEEYGRGRDLDDFVAFINEKSGTSRDGNGQLTSKAGIVESLDALVKEFVAAGDEEKKAVFTKIEEEAGKLKGSASRHGKIYVKATKSYLEKGSDYAKNEIQRLQRILQKSISPSKADELTLKKNILSTYA >OIV90057 pep chromosome:LupAngTanjil_v1.0:LG20:21506464:21509710:-1 gene:TanjilG_21189 transcript:OIV90057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSRSRNPLVVGRVIGDVLDPFESSITMRVSYNNREVSNGCEFKPSHVVNQPRVSVGGDDLRNFYTLVVVDPDAPSPSDPNLREYLHWLVTDIPATTGPSFGHEVVSYENPRPLMGIHRIVFVLFRQLGRETVYAPGWRQNFNTREFAELYNLGSPVSAVYFNIQRESGSGGRRLY >OIV90200 pep chromosome:LupAngTanjil_v1.0:LG20:19807957:19808289:-1 gene:TanjilG_01396 transcript:OIV90200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKPSCLGSAIMIGNYAPNGLGSTILIENPASIALDGLGSTIPTENYALIAQDIVPTDQGISFQLIEIDTKLVGTKGTKALSQAYRDISHQGFDQGDRDNKAHQGRGDIR >OIV90061 pep chromosome:LupAngTanjil_v1.0:LG20:21446663:21448759:-1 gene:TanjilG_21193 transcript:OIV90061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKVLGEPMWGKSLRPKTKIVCTLGPSSRSVEMLEKLLKAGMNVARFNFSHGSHSYHQETLDNLRTAMNNTGILCAVMLDTKGPEIRTGFLKDGKPIQIHRGQEITISIDYSIKGDENMISMSYKKLAHHLNPGSNVLCADGTISFTVLECDTDNGSVENQEGIANFDEILENSDAFMVARGDLGMEIPIEKIFLAQKVMILKSNIQGKPVVTATQMLESMIKSPRPTRAEATDVANAVLDGTDCVMLSGETAAGAYPDIAVQTMARICVEAESSINYGELFKRKVEIAPTPMSTLESMASAAVRTANCVNAALILVLTRGGTTAKLVSKYRPSMPILSVIVPEISTNYFEWSCSEDTPARHSLIYRGLIPVLSTGPYGASQIESTEETIEFALRYAKNNELCKPGDCVVALHRVETSTVIKILDVK >OIV90821 pep chromosome:LupAngTanjil_v1.0:LG20:5472907:5475401:-1 gene:TanjilG_15554 transcript:OIV90821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEKSVLVEATSGNTGIGMAFIAALKGYKVIVAMPASVSLERIIVLRAFGAEVYLTDPDKGTIAVLQKAEEIITKTPGSIMLRQIDNPANPMVHYETTGPEIWRDTGGKVGALVAGIGTGGTITGVGRFLKERNPEIKMYGVEPTESAVLSGGKPGNHLIQGIGNGIIPNVLDVNLLDEVIQISSEEAIETAKLLALKEGLLMGISSGAAAAAAIKLGKRPENAGKLIVVVFPSCGERYLSSPLFESIRHEAEQMTFD >OIV91496 pep chromosome:LupAngTanjil_v1.0:LG20:55830:57736:-1 gene:TanjilG_26465 transcript:OIV91496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKTSKWFRNLLKGKKEKKEKDESASDMNCSSNGTENPKEKERGSLRRSPAKETTPRGSLTNLVESSNVTVSSQNEHTMAHGTPVTTIQEAAAIKIQSIFRSHLARKALCALRGLVKLQALVRGHLVRKQAKATLRCMQALVTAQARARAQRIRIGLQRKQDQKQSTYTNTSAYDLFRHICDEMDRGLEDKIKMVEMDVCESKGKSRSRITNAYHQDQYEHGFSTYYSNNSPHSKEENYKVSPAPSDLTGLSARACSGHFEECFSTAHSSPQGQYYAVSKDNEDSLQPFAFPKPPYTESIMSYDYPLFPNYMANTESSRAKVRSQSAPKQRPDSFERQLSRGRFSIEGRNVPRPVRMQRSSSHVSVTAQNYQDPWSIKLNKSTVSHKDSECGSTSTVITTKIINSPFTMSLQGI >OIV90034 pep chromosome:LupAngTanjil_v1.0:LG20:21932756:21945760:-1 gene:TanjilG_23954 transcript:OIV90034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEMKNTSTSHSFITPSLQLHHFLPSTFTFPSTHHPLHHTPLSINCSSIPPSNASLTKDHSSLAVKKAAHVSPELKGTSIFLISLGSSLKTDLGKLLADVLQYYYFDSDSLVEEALGGASAAKSVRESNENGFSESEVIDSSVTKVAATDDSSLVVKKKAANVFSELKGTSIFMIGLGISLKTDLGKLLADVLRYYYFDSDRLVEEALGGASAAKSVRESDENGFSESETEVLKQLSSMGRLVVCAGNGAVQSSTNLALLRHGISLLVDVPLEIVARDVIEYRGQFASFEVSTPGSYPGALGEIEKLTRVKKMMAEVLIQKKAADVSPELKGTSIFLIGLGSSLKTNLGKLLAEVLRYYYFDSDSLVEEALGGASAAKSVRESDEKGFFESETEVLKQLSSMGRLVVCAGNGAVQSSTNLALLRHGISLLVDVPLDIVARDVIEDRGQFASFEISTPGSYPDVTNQLAALYNKHKDGYATSDAVISLQKVASRLGYDNLNDITKEDMALEALGEIEKLTRVKKMMAEAARPF >OIV90333 pep chromosome:LupAngTanjil_v1.0:LG20:16780509:16781014:-1 gene:TanjilG_14731 transcript:OIV90333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEGVVTPDMVGEVVVQVLEVGVPMQDMVVIQIMEEGGLIMLAGVEAVGFTLTQSCCRSPDRNAPLQNSKIGFHQAIMQHSGGDNDRNKSFISPSSPGGGRYGVSYSNYKGEMEEGMIVNEGMISTR >OIV90857 pep chromosome:LupAngTanjil_v1.0:LG20:5168725:5172457:-1 gene:TanjilG_15590 transcript:OIV90857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGIISNGIHENSPDQGDTVQMPKKILDLSTKVQDLKQQHVALSDEVRLITKSFPVSDFLKSVQLLGSENDLLKRKLLEESSERRRLYNEVIELKGNIRVFCRCRPLSGSEIANGSASVVNFDSLPDNELQVVCADSSKKQFKFDHVFRPEDNQESVFAQTKPIVISVLDGFNVCIFAYGQTGTGKTFTMEGTPDHRGVNYRTLEELFQLTEERRGITKYELCVSMLEVYNEKIRDLLAENSNQPTKRLEIKQAADGTQEVPGLVEAPVSGTEDVWEILKTGNRVRSVGSTCANELSSRSHCLLRVTVVGDSLINGQRTRSHLWLIDLAGSERVGKTEAEGERLKESQFINKSLSALGDVISALASKSPHIPYRNSKLTHILQSSLGGDCKTLMFVQVSPSASDLGETLCSLNFATRVRGIESGPARKQVDLTELFKYKQMVSYSRIALLITITGFLILTLQSSSQKQAEKAKNDEKETRKLQDNLQNLQLRLAARDYHCKNLQEKVRDLENQIAEERKTRLKQESRSLAAVSTQPSSSTQTAAQKPVTNKKPPLNPSKSRMPLRRITNFLPPSPPLASKRYTTSMNGKENTGRRRRNSMTINTESFAKPRSRVSIAVPVRLPAPSTMQILQPRRRVSIAALRPDTAYDMTTPLRTSASQPSAAPMQVLQTRRRVSVATLRPDTTHDLKTPLRTSSSQFTSESSFGGQQSLRNNQRKARYSSLFAPLPELRASSVQTTPMSIRSSSKFRGSPIQADSRLARHPIALALQRKPLVWSPLKLKGLKNNRKSLLPSRPYSEMQ >OIV91441 pep chromosome:LupAngTanjil_v1.0:LG20:359301:369858:-1 gene:TanjilG_02059 transcript:OIV91441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METEDINDSLDTVIGKESILSFPRADDNPIQWIQLLHALDQQGLPFFSPVKIRLQKCDKCSREFCSPINYRRHIRVHHRLKKHDKDSKINRDLLGAYWDKLSVEEAMELVSFRNVMLEETPGSSILIALTNVVKNHIFSPLPQYYLRAGSALLDIIESRPSSFPISSKELFSILDDSSEKTFLCGTAMSMQRYVFDGEAGKIGLEPKNLVACTSFLLEQKLAKAWLADKDAEALRCQKLLMEEEDAAQRRQARILEKKHKKKVKQKEQKARGRFVAETEIKQNNRSTVEAVSPAEASMDARDFEAHNPDTIVSHAPSPDVTIHCTETTEVVDGGPQSRSDCVSYPNIEQQRSQGHNHQRMIVARRHELPKSQRGLSNDLHASQNSHTPKLEVIQKSGTLHEQKESPIVTGSKVWSRKPKPEIGRVMSDARLQKEPEQGKNHEVVIGSISIPLGNCSQSEGNLVASHADCMVENLSKQNSAQEKSMKTDSSQSGNPVKLWRPVSQHGTKDSTALQSGGTEADAVYGKDDQTLSGQSSLRLCNIDGSYIGSRNNLSYLGAKVDRQNFQLPSHAAKAFLAQKNNSLAGWKEALSSNHVKLVLYDDSEPPGCPEKNRRKVMWPFSRKGASGFSSSSTAQQVTDNIDATGLTAVVTGASSGIGTETTRVLALRGAHVIMGVRNMNAAKDVKEAILKEIPSAKVDAIELDLSSLDSVKKFASEFKSSGLPLNILINNAGIMACPFALSKDNIELQFATNHIGHFLLTSLLLDTMKNTANTTKKEGRIVNVSSEAHRFSYPGGIRFDKINDRSSYNNWRAYGQSKLANILHANELTRRLKEDGVNITANSLHPGAISTNLFRHNAAVNGLINVFGKFVLKNVQQGAATTCYVALHPQVKGISGKYFSDSNESKTTPHGTDADLAKRLWDFSMNLIK >OIV91130 pep chromosome:LupAngTanjil_v1.0:LG20:2763561:2764351:1 gene:TanjilG_30352 transcript:OIV91130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRGGITNNAKILLLRGAKRSESGVGKFSGTGSESCGKIKDSCAACWVPHPRTGIFFPKGHEWVMDDVPEGAACFTQNHWFRNIDGVDCPNPNLNAPQPHSH >OIV90966 pep chromosome:LupAngTanjil_v1.0:LG20:3709687:3711141:1 gene:TanjilG_16926 transcript:OIV90966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRVAVKGKKKGATFVIECAKPVEDKIMDIASLEKFLQERIKVGGKAGALGDSVTVTRDKTKITVTSDSNFSKRYLKYLTKKYLKKHNVRDWLRVIASNKDRNVYELRYFNIAENEAEEED >OIV90575 pep chromosome:LupAngTanjil_v1.0:LG20:9188710:9192992:1 gene:TanjilG_01656 transcript:OIV90575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFRRHEEEHFPAALSPSSKLRAQHRLGPGSGPNQMNRLNGMDPVQQRNLSPGKMDAVRRGCDGFEKRDFDWQLGCNRSGRFRPQLPVDEEFRKKSHFDDGVRHRSCSPPPLGLRPRYVVANSMDYVVDDDEHLNLKHIYVNRENNLIEHRLGGGADMVDHKYVIREDEARGSYSSIPDMGVNVTSRYEETGGHLPRPPRSIPREIFEHERLQHRESLPKDRIPITEKIIFYERDGSYATLSPSYTKDFAGTSHLRERDYGGSSVEMSRSDFLCSHGDDMYLRTPYDLSKSGTELAEPVGFSEHEQRPLEDTSRDPQIRHRNMMCHQGCEFSPTRTEHQDYLNNSKIPVRAAQDERAYQYDNLRRRMAPHGRLDYDQSPMEYDDKDLCRAYTSYPDLDRAGKSEDSYGNQRKGVIHDYPVLQKPECFDYHDMRKTSMVSTPDEAYLHSGYRHLEGKRMPQDYEISYMRAPETDRSSNLRSHYESRRDGAPGLRNERFQSSSLSKHNSETHRLAAKVQEMKPDLGIHDHDHSGRFMKRKYYANEDIDVHDSRAIKSMKWDATEEFLDGYENEEWVDEDMNMLCSTGNVGFNHKTYRKYKREFNELDSEEDFPSDEWTLPQDSAGHRQMQSFQFQKYPNHNIKHHSKPSSSSWYKPQHFSKRNVIHKQPNVWKKHHGYHDNKHTFNDESSKELISATESETAEGCEEFKQMVHEAFLMYSKNLNLSSSLQKRYQEQGKAGSFYCTVCGRSSSKDFMDTKRLVTHAFMSHKAGLRAKHLGLHKAICVLMGWDTVIPQDTVTWVPRVLPHAEALAQKEDLIIWTPIVILHNISMHDDNPQNWKVVSMDTIETFLRGKGFARGRIKLFLGKPADQSVILVKFLGTFVGLADAERLHKYLSDSNRGRAEYERVKSEGIKSTDIKDKDEGDKVENILYGYVAIAEDFDKLDFNNKNWSSIKSRKEIEDLDKAPVKTDER >OIV91279 pep chromosome:LupAngTanjil_v1.0:LG20:1625522:1631413:1 gene:TanjilG_01810 transcript:OIV91279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFRAFLNSPVGPKTTHFWGPVANWGFVAAGLADMQKPPEMISGNMTGAMCVYSALFMRFAWMVKPRNYLLLACHVSNEGVQLYQLSRWAKAQGWCHRIFPIQATCGLNEKELQEVVTTLVKEFIADKQDKLERPLKFAVGYNRRGLEDTKFVKENSDAFSLLDRNKCFGVVASAVHHVVEDSVVDLRSPELSVLVEFLPLSGVPNGSIIVAVSVLPKNLVTTKPRLCIKALTSNTKEASVAQKD >OIV90812 pep chromosome:LupAngTanjil_v1.0:LG20:5650160:5652474:1 gene:TanjilG_15545 transcript:OIV90812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDGVPDQFHQFITQRTSLPLHLPFPLHSSAVPSNSSFPSFDPYNPSSHQLPLQLQPNLLHQLQHQHQASIHKEHGAEKEEHGTVPMNFEVDQRDQNIDLWTNDEVLALLRIRSSMESWFPELTWEHVSRKLAELGYKRSAEKCKEKFEEESRYFNNINYTKNNNNNNNNNNYRFLSELEELYNQGDNHRVAGSEKPISIEGQDKIMDLEEDSTKQKVQDDDDEEDVVVEKPKEDMRAKRKRPDRFEMFKGFCESIVHKMMEQQEEMHNKLIKDMMKRDEEKFAKEDAWKKHEMDRMNQELEIMAQEQAIASDRQANMIEFLNKFSATTSSPISSQIKVTNVSNPNTCTTTPSSPPSQNPNPSIMVLNNHVNNVSSEVENPSSIPSQETLQNASSTTSPPIIQNPSSSSLNRQNNTNPVSNKASSLVIVEKDGVGRRWPKDEVLALINLRCNSLNNNNNEEMEGNKAPLWERISQGMLELGYERSSKRCKEKWENINKYFRKTKDVNKKRSLDSRTCPYFHQLSNLYNQGKLVLQSEKLENQLSPQANTVGVSTPDQNQSKLAESSSQVGSGGFSVDHHVGEKTLVHVPSLDFDQF >OIV91237 pep chromosome:LupAngTanjil_v1.0:LG20:1942600:1946778:-1 gene:TanjilG_30459 transcript:OIV91237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNLNSPVAQFRREPMTPRSPTYNNPLSEISPSPSPSSAPAPALVLSNSGKRIDQAGKKKYVKQVTGRHNDTELHLAAQRGDVGAVKQILLDIGSQIMGTLKGDDDDDDDVGDDDLTAEIAEVHACVVNEENELGETPLFTAAEKGHLDVVKELLKYSTNETVSKKNQSGFNPLHKAASQGHHAIVQVLLDYDPGLSKTIGPSNATPLITAAARGHTEVVNELLSKDRSLLEIARSNGKNALHLAARHGHVEIVKALLIKDPQLARRTDKKGQTALHMAVKGQSCDVVKLLLEADAAIVMLPDKFGNTALHVATRKKRVEIVNELLHLPDTNVNALTRDHKTALDIAEDLPLSEESSEIKECLTGCGALRANELNQPRDELRKTVTQIKRDVHTQLEQTRKTNKNVRNISKELRKLHREGINNATNSVTVVAVLFATVAFAAIFTVPGGDFDSGTAVVASNAAFKIFFIFNAIALFTSLAVVVVQITLVRGETKAEKRVVVVINKLMWLASVCTSVAFIASSYIVVGLKNEWAAILVTVVGGVIMCGVIGTMTYYVVRSKTMRSMRRKEKNARRSGSNSWYHSDFSNSEVDKIYAL >OIV90392 pep chromosome:LupAngTanjil_v1.0:LG20:14448180:14453887:-1 gene:TanjilG_10692 transcript:OIV90392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSILLNPHSPFPSPFQHHHLLKLRPTTIPSFTPLTPTKHPSFKASFKIHNKNNNNNNSNNNSTTSQTHSLPNTFQFLKPYLLSHHKPILSAWLCSALSVYSLSKLIAIFSTITTTAAIDAAQLPILGCLVATRLVTNYCQHALLWDAALKAVYELRVHVFERVLERELGYFESKDGVSSGDIAYRITSEASDVADNLYAVLNTIVPSTLQLFAMMAQMLIISPVLSLISAMVIPCMALVVAFLGQELRKISKEAHLSIAALSAYLNEILPAVLFVKSNNGELCERARFKWLAHIDYSAKLDKKRMKAVIPQVIQAIYFGILSILCAGSVMISRGSFGGSRLVSFVTSLVFLMEPIQDVGKAYNEWRQGEPAVERLFAMTRFQDKVVEKPEAVDLDYVNGELKFCDVSFNYNDDMPHVLNGLNLHIRAGEIAALVGPSGGGKTTISKLLLRLYDPISGCIVVDNHNIQNIRLQSLRRHVGLVSQDITLFSGTVAENIGYRDLMTKIDMERVKHVAETAHADEFIRKLPEGYKTNIGPRGSTLSGGQRQRLAIARALYQNPSILILDEATSALDSKSELLVKQAVQRLMENRTVLVISHRLETVLMAERIFLLDNGKLEELPRSTMFDNHKDSLLSSGLLI >OIV91260 pep chromosome:LupAngTanjil_v1.0:LG20:1772373:1774426:-1 gene:TanjilG_30482 transcript:OIV91260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSIISISSSLPPFRTFASSPSTSSSLQCSFFHFSSRTIFTTKTTTKLSFRNNSYALPQFTVRCGSGIVEIDETKFKDTVLEANRPVLVEFVANWCGPCRLISPAIQSLAQEYEDRLAVVKIDHDANPKLIEEYKVYGLPTLILFNNGQEVPESRREGAITKVKLKEYVDALLESISVP >OIV90921 pep chromosome:LupAngTanjil_v1.0:LG20:3254800:3262795:1 gene:TanjilG_16881 transcript:OIV90921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAKDDTSSDKEKCLELFLKIGLDERTAKNTVANNKVTTNLTSVINEAGVTDGCSRTVGNLLYTVATKYPANALPHRPTLLQYIVSSKVKTTAQLDAALSFLGATGSENLDLNKFEEACGVGVEVSTEDIKHAVDEVVEENKATILELRYRVNVGELLGHVRKRLPWADAKVVKQLVDAKLYEILGDRTAADNEKPKKKKEKPAKDKAAPVATSEKPLEEDLNPYLIFPNPEDNFKVHTEVPFSDGNILRCSNTKALLEKHLKATGGKVLTRFPPEPNGYLHIGHAKAMFVDFGLAKDRNGGCYLRFDDTNPEAEKKEYIDHIEEIVQWMGWEPFKITYTSNYFQELYEFAVELIRRGHAYVDHQTPDEIKEYREKKLNSPWRDRPISESLKLFEDMKCGLIEEGKATLRMKQDMQSDNYNMYDLIAYRIKFTPHPHAGDKWCIYPSYDYAHCIVDSIENVTHSLCTLEFETRRASYYWLLHALGIYQPYVWEYSRLNVSNTVMSKRKLNRLVTEKWVDGWDDPRLMTLAGLRRRGMTPTAINAFVRGIGITRSDGTLISVERLEYHVREELNKTASRAMVVLHPLKVVITNLEANSAIEVDAKKWPDAQADDASAFYKIPFSNVVYIERSDFRMQDSKDYYGLAPGKSVILRYAFPIKCTEVILADDNETILEIWAEYDPSKKTKPKGVLHWVSQPSPGVDPLKVEVRLFERLFLSENPAELDNWLGDLNPHSKVEISNAYGVSLLKDAKLGDRFQFERLGYFAVDQDSTPEKLVFNRTVTLKDSYGKGGK >OIV91038 pep chromosome:LupAngTanjil_v1.0:LG20:4335615:4340185:-1 gene:TanjilG_16998 transcript:OIV91038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTMVVDSGLKDDLVLGDPNAPRFVLWNGKLRPIPANPTDLPFFDLMSIGGKLRAGFGALGIRPPPPVCPLYPFLLFNYVGHEESIEEFVRRNLGDEVFERLIEPFCSGVYAGDPSKLSMKAAFGKVWKLEQTGGSIIGGTFKALQEKNKTSKQPRDPRLPKPKGQTVGSFRKGLTMLPEAISARLGNKVKLSWKLSSIIKLDDGGYSLTYETPEGVVSLKSKTVVMTVPSSVASTLLRPLSAAAADALSKFYYPPVAAVSVSYPKEAIRSECLIDGEFKGFGQLHPRTQGVETLGTIYSSSLFPNRAPPGRVLLLNYIGGATNPGILSKTESELVEAVDRDLRKILINPNAKDPLALGVRLWPQAIPQFLIGHLDLLDVAKGSLKNSGFEGLFLGGNYVAGVALGRCVEGAYEVAAEVNDFLSQRVYK >OIV91445 pep chromosome:LupAngTanjil_v1.0:LG20:340817:341182:1 gene:TanjilG_02063 transcript:OIV91445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGLITATSMNMTSDRKLTHVIAQLDAAAKGTYILNKDLDTTSRLVARLSDELEHIKTTMKFWLERKHDKVQADGEVALLMKKNQCNFGDQLDELEEHLYLCLMTINRARDLVLKQIISVT >OIV90685 pep chromosome:LupAngTanjil_v1.0:LG20:8001767:8005524:-1 gene:TanjilG_23798 transcript:OIV90685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAENENNPLTLSDEQILGQIYSTHVHSDSKFDAGTLFTLVENVITCSTYIVDNVVQGTQGNIDRACHPIPQDNFSSPLCTLKQISSELSCKPPGEENAHKTTLTILKKLSTYSWDAKAVLTLAAFAIEYGEFWLLSQQESTDLRAKSLAIIKRVPTLTKPSALQKHRNSILEVNNLIKIILKVIELIFELGKLASTHNTKDVPALIPALEQIPVDVYWTIITVAAIVTQIDSLTLDKDTRQELAPFAHKINIILNKLRKQISLTIPQIAEEKYLNTLRKYFQTPTEIFVTLKFLIFGENAPKVPIYDSAAKTQVSIRVVRNKEIFLFFSTLDITESDFDQLIPIYNKIKTGDQYKILWVPIVEEWNDELRIQFESLKSKMPWYVLQHFEPIRGIRFIKEELQFTNKPTIVVLSPQTKILHLNAFYMIEIWGLSGFPFTQTIQESLTLESSWIHSLVTDINPHIPQWFKEQKYIFFYGGKDNEWIQRFNTFASTLASDSTLKQANISIELFYVETETVGGNRFWKGVESLFITNVDKTTNSVTQEVQKLFSYKNESGWALLTHGSTVLLTGHGTTMLKTVSEFDNWKKLVIKIGFEISFKEHYEKVILSTHICSHIEIPKITGKIPDFIECPECHRKMEVFVSYKCCHIEEEKIATNA >OIV91347 pep chromosome:LupAngTanjil_v1.0:LG20:1116647:1119039:1 gene:TanjilG_01965 transcript:OIV91347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSLMLSGTQNLTLFTNRTQNGLGFTSSSSDFHGKSIPKLRFIATTNKIRTLNCSVSSSRPTSQPRFIQHKKEAFWFYRFLSIVYDHVINPGHWTEDMRDGALEPADLSDRNMLVVDVGGGTGFTTLGIVKHVDANNVTILDQSPHQLDKAKQKEPLKDCKIVEGDAEDLPFRTDYADRYVSAGSIEYWPDPQRGIKEAYRVLKLGGKACLIGPVHPTFWLSRFFADVWMLFPKEEEYIEWFEKAGFKDVQLKRIGPKWYRGVRRHGLIMGCSVTGIKPASGDSPLELGPKEEDVEKPVNPFVFLLRFALGALAATWFVLVPIYMWLKDQVVPKGQPI >OIV91233 pep chromosome:LupAngTanjil_v1.0:LG20:1965206:1969475:-1 gene:TanjilG_30455 transcript:OIV91233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLYNLTLQQPTGIVCAINGSFSGGKSQEIVVARGKVLDLLRPDDNGRIQTILSVEIFGSIRSLAQFRLTGAQKDYIVVGSDSGRIVILDYNKEKNVFDKIHQETFGKSGSRRIVPGQFIAIDPKGRAVMIGACEKQKLVYVLNRDTAARLTISSPLEAHKSHTLVYSICGVDCGFENPIFAAIELDYSEADLDSTGQAASEAQKHLTFYELDLGLNHVSRKWSEQVDNGANLLVTVPGGGDGPSGVLVCAENFVIYKNQGHQEVRAVIPRRADLPSERGVLIVSAAMHKLKSMFFFLLQTEYGDIFKVTLEHDGDRVSELKIKYFDTIPVTASMCVLKSGFLFAASEFGNHALYQFKAIGDDDDVEVSSATLEETQDGFKPVYFRPRKLKNLVRIDQVDSLMPIMDMKVSNLFEEETPQIFTLCGRGPRSSLRILRTGLAVSEMAVSRLPGIPSAVWTVKKNVIDEFDAYIVVSFTNATLVLSIGETVEEVSDSGFLDTTPSLAVSLIGDDSLMQVHPNGIRHIREDGRINEWRTPGKRTIAKVGYNRLQVVIALSGGELIYFEVDVTGQLMEVEKHEMSGDVACLDIAPVPEGRQRSRFLAVGSYDKTIRILSLDPDDCMQALSVQSVSSAPESLLFLEVQASVGGEDGADHPASLFLNAGLQNGVLFRTVVDMVTGQLSDSRSRFLGLRAPKLFPIIVRGKRAMLCLSSRPWLGYIHQGHFLLTPLSYETLEYAASFSSDQCVEGVVAVAGEALRIFTIERLGETFNETVIPLRYTPRKFVLHPKRKLLVIVESDQGALTAEERESARKECFEATQAGENGTGSDPMENGGEDEDKDDALSDEHYGDPKTESDKWVSCIRVLDPRTGNTTCLLELQENEAAFSVCTVNFHDKEYGTLLAVGTAKGLQFLPRRTLTAGYIHIYRFVEDGRSLELLHKTQVEGVPLALCQFQGRLLAGIGPVLRLYDLGKRRLLRKCENKLFPNTIVSIHAYRDRIYVGDMQESFHYCKYRRDENQLYIFADDCVPRWLTASYHIDFDTMAGADKFGNVYFVRLPQDVSDEIEEDPTGGRIKWEQGKLNGAPNKVEEIVQFHVGDLITSLQKASLIPGGGECILYGTTMGSIGALHAFTSRDDVDFFSHLEMHLRQDHPPLCGRDHMAYRSAYFPVKDVIDGDLCEQYPTLPMDLQRKIADELDRTPGEILKKLEEVRNKII >OIV91377 pep chromosome:LupAngTanjil_v1.0:LG20:846975:850453:-1 gene:TanjilG_01995 transcript:OIV91377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKWKKAKVALGMNLCMLVPRTLDDDSPHPAVVSERLSDAALLSPVANWDMGSSRSTTPVPSMYGLKKLSKSSSESSKQTCSICLTKMEQGSGHAIFTAECSHSFHFHCIASNVKHGNRICPVCRAKWKEIPFSGPSLDPIVGRVSPSPTTWSQNDALMAVVHGLPLPHPRRDLHRRHIVPLNQATEPGIFDDDESLNHQPVFPERSPSSKSNEDTGAYRAMEITTYPEVSAVPGCNTYSNFAVLVHLKATAAAATAAAKRESFSRNQSSLTQISQTPRAPVDLVTVLDISGSMAGTKLALLKRAMGFVIQNLGSNDRLSVIAFSSTARRLFPLRRLTDSGRQQALQAVNSLVANGGTNIAEGLRKGAKIMEDRKEKNPVASVILLSDGQDNYTVNGSGNNQPQPNYQLLLPTSISYHDNSGFQVPVHTFGFGTDHDALSMHSISETSGGTFSFIENEAVLQDAFAQCIGGLLSVTVQELQVEIECIHQDLSLVSLKAGSYPSRVTANGRKGFIDVGDLYADEERDFLVSVTVPATSGNETSLIKVKCVYKDPLTKETSTLESEEVKIERPAIAVRVETSLEVDRQRNRLQAAEAMSKARVAAEQGDLTGAVSVLENCRKMLSETISAKSQDRLCIALDAELKEMQERLVSRHVYEASGRAYILSGLSSHSWQRATARGDSTDVSSLIQAYQTPSMAEMLSRSQTMSLGSTSGQRLLQPLLSYRSQPSPR >OIV90270 pep chromosome:LupAngTanjil_v1.0:LG20:18023121:18027295:1 gene:TanjilG_08307 transcript:OIV90270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFGNNDNNAIEVGSLEVGKSKVDGGEEKKRGFLPKFSLCGSCIPSTSKVDTSISGTSSQNVEKKSSFEKSTHGTVAPPESSSTNSNAESIPSTPKFSEELKVASSLRKFTFNGLKVATRNFRPESLLGEGGFGCVFKGWIEENGTAPVKPGTGLTVAVKTLNHNGNQGHKEWLAELNYLGDLLHPNLVKLIGFCIEDDQRLLVYEFMPRGSLENHLFRKGPLPLPWSIRMKIALGAAKGLAFLHEEAKRPIIYRDFKTSNILLDAEYNAKLSDFGLAKDGPEGEKTHVSTRVMGTYGYAAPEYVMTGHLTSKSDVYSFGVVLLEMLTGRRSIDKRRPNGEHNLVEWARPVLGNWRMFLRMIDPRLEGHFSVKGAQKAAQLAAQCLSRDPKARPVMSEVVQTLKPLPNLKDMAISSYHFQVARVDRTLSMPNRKSVIKTQLVSLPKKGQPVRTLSSPNAPHGSPYPHYSKSPRPNG >OIV90795 pep chromosome:LupAngTanjil_v1.0:LG20:5807833:5810704:1 gene:TanjilG_15528 transcript:OIV90795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGKESNGNGSEKEIPSASEFEAKCHSTVVLVVGSGDGGILRELSRQSATAPFIRCFFSELEVGFEDPRVHLNVGDAIEFLRYAAEGKYDAIIVDSSDPVEGPHVDFVKPINPVEMVEGADKHRRELCFYNSGKYPQKLITYT >OIV90621 pep chromosome:LupAngTanjil_v1.0:LG20:8428995:8430287:-1 gene:TanjilG_01702 transcript:OIV90621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMSVLLNFIFPPPSSIFVSAMSVITFLILVKSGLSEIKGNHMNYSKFWNANSNTTPQNQIKLSSKAGMLLLYTPALLAGVASFWIFPHEGIRSTIFHAAITIHYLKRDFEVLFVHKYSGSMLLDSAFTITFSYFSSAATMIYAQHLTHELPEPSINLLYPGIVLFLVGIIGNFYHHYVLSKLRGKGEKEYKIPKGGFFNLVICPHYLFELIGWYGVSLICQTLYSFSFSIGSTFYLLGRSYATRKWYVSKFEDFPNGVKAIIPFVF >OIV90359 pep chromosome:LupAngTanjil_v1.0:LG20:15501716:15502276:-1 gene:TanjilG_19768 transcript:OIV90359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKRKAGRKKFHETRHPIYKGVRQRNGKWVCELRQPNNKTRVWLGTFAHPDMAAIAYDVAALAFKGDTASLNFPNTATLLPRLNSQTSSIRAIQFAAMKAAQKHFTRELKPKEIGARNCSELLCSVDEMGLEGGSRSFFWDEEEVFNMPGLMNSMAEGLIITPPALQRGFNWVGGETFVDLTLWGD >OIV90305 pep chromosome:LupAngTanjil_v1.0:LG20:17701707:17703129:1 gene:TanjilG_13160 transcript:OIV90305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKPQGFQSVEYGHVVESLYRKVICKELSAHDENISLSDSLLASIKLQLLALELTPLIQLPDILTRMKDLEEKFITLRKTSFDPSRKLNVLKKDMAQLEWYKKKTKNEGVGYYDSFRNMYSQWDHDIIGFHKNLTRCWEKMVEEADMEPQKEGAQFRSRWLYAGTNYRRMVEPLIISQYYRDKGQDYVNKKRYKALKNYEVSPEIFLPKSSYMSWWKEYKAIKGSSYDSTLASFMGNTRNYKQYALGTFDFLSMYNDCPIKCVIQHL >OIV90666 pep chromosome:LupAngTanjil_v1.0:LG20:7640113:7641387:-1 gene:TanjilG_23779 transcript:OIV90666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPITHGYYVVEQHMIRDDCSDDSDFDSVVIQREQINDTELWYFGIFDVLIGDMVTKYMQSHFFDKKLKESHMRSKGKKTLKRAYLGARAKIRDEHDSEETSRMGSTSVMVINGEKLVIANMGDYRTVVCRDGIAYQATGRYQESAKRHWSQRIFSGCESENVADTRHSRGSELVVRDERVDSDTEFVILASNGIWEVMKNQEAVNLISHIEDPQEAAECLAKEALIRMSRSSISCLIIRFD >OIV91113 pep chromosome:LupAngTanjil_v1.0:LG20:2900854:2901186:-1 gene:TanjilG_30335 transcript:OIV91113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTKLKSVTFVTLILASFLATLLSVSEARPFPFKDGIIREVDGVFRILKSSGANPGVGHKHKKLQNLGGMKDSGPTPGVGHGIKTLKVIGPMNSGPSPGEGHKYNINNHS >OIV91389 pep chromosome:LupAngTanjil_v1.0:LG20:767969:771052:1 gene:TanjilG_02007 transcript:OIV91389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGPLGLRKVGSHCSISEMDDFDPSRLFDRHRLNIERKRSFDERSFSELSASFSRVGLDNYDTYSPGGRSGFNTPASSTRNSFEPHPMVADAWESLRKSLVHFRGQPVGTIAAVDHQSEEVLNYDQVFVRDFVPSALAFLMNGEPEIVKNFLLKTLHLQGWEKRIDRFKLGEGVMPASFKVLHDPVRKTDTLIADFGESAIGRVAPVDSGFWWIILLRAYTKSTGDLSLAESSDCQKGMKLILTLCLSEGFDTFPTLLCADGCSMIDRRMGIYGYPIEIQALFFMALRCALAMLKQDDAEGKECVERIAKRLHALSYHMRSYFWLDFQQLNDIYRYKTEEYSHTAVNKFNVIPDSIPEWVFDFMPTRGGYFIGNVSPARMDFRWFALGNCVAILSSLATAEQSMAIMDLIEARWDELVGEMPLKISYPAIESHEWRIVTGCDPKNTRWSYHNGGSWPVLLWLVTAACIKTGRPQIARRAIELAESRLLKDGWPEYYDGKLGRYIGKQARKYQTWSIAGYLVAKMMLEDPSHLGMISLEEDRQMKPLIKRSSSWTC >OIV90425 pep chromosome:LupAngTanjil_v1.0:LG20:13216759:13222099:1 gene:TanjilG_25029 transcript:OIV90425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCTGEMRFLMLFSGIRNALARDELGQEILQISLPTTLALAADPIASLIDTAFIGQIGPVELAAVGVAIAIFNQVSKLAIIPLVSVTTSLVAEEDAAENLQIHPSEKEMLMKVSSAEQADPECSSSSTNIDRAAKLDHDKSHIPSASSAIVIGGVLGVLQTLFLIFTAKPILNYMGLDSNSPMLKPALQYLTLRSFGAPAVIISMAMQGIFRGIKDTRTPLYATIIGDVTNIILDPLLIFILQLGVSGAAIAHIISQYLISLILLWSLMKQVDLLPSRCLLLVKVAAATSCVTLSASLAARQGPTTMAAFQICLQIWMATSLLADGLAVAGQAILASAFARRDYSKVMTSASRLLQIGLILGLVLSFFLFSLLPFASRLFTNDINVLRLISVAIPYVAATQPINALAFVFDGINYGASDFTYSSYSMVSVENYFTTGQSKHLRWFS >OIV91227 pep chromosome:LupAngTanjil_v1.0:LG20:1998201:2007354:1 gene:TanjilG_30449 transcript:OIV91227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRGLFGWSPPHVQPLTPVSEVSEPPESPYVDPGAETSASLQVELEEEEMEEPEDMEPPPAAVPFSHLFACADRLDWLLMVVGSIAAAAHGAALVVYLHYFAKVIHHMQPQEGSAGSEEQFHKLKELALTIVYIAGGVFASGWIEVSCWILTGERQTAVIRSKYVQVLLNQDMSFFDTYGNNGDIVSQVLSDVLLIQSALSEKVGNYVHNMATFFSGLVIGFINCWQIALITLATGPFIVAAGGISNIFLHKLAENIQDAYAEAASIAEQAVSHIRTLYAFTNETLAKYSYATSLQATLKYGILISLVQGLGLGFTYGLAICSCALQLWVGRFLVIHGKAHGGEIITALFAVILSGLGLNQAATNFYSFDQGRIAAYRLFEMISRSSSSSNHDCDAHSSLQGNIEFRNVYFSYLSRPEIPILSGFYLTVPAKKAVALVGKNGSGKSSIIPLMERFYDPTLGEVLLDGQNIKNFKLEWLRSQIGLVTQEPALLSLSIRDNIAYGRDVTMDQIVEAAKIAHAHTFISLLDKGYDTQVGRAGLALTEKQKIKLSIARAVLLNPSILLLDEVTGGLDFEAERSIQEALDLLMLGRSTIIIARRLSLIRNADYIAVMEEGQLVEMGTHDELLTRDGLYAELLRREEAAKLPKRMPVRNYKDTAAFQIEKESSESQSFKESSSPKMMKSSSLQKLSAVLRPSDDLFNSQESPNVQSPPPEQMPENCQSLDAADKEPSIKRQDSFEMRLPELPKIDVESIYRQTSKGSDPESPVSPLLTSDPQNERSHSQTFSRQDSGSDDFSVKMRETDGAQHRKQPSLWRLAELSLAEWFYAVLGSIGAAIFGSFNPLLAYVVGLVVTTYYSIDGARHFRGEVEKLCLIIACMGIVTVVANFLQHFYFGIMGEKMTERVRRMMFSAMLRNETGWFDEEENSADNLSIRLANDATFVRAAFSNRLSIFIQDSAAVIVAILIGVLLHWRIALVALATLPILCVCAIAQKLWLAGFSRGIQEMHRKASLVLEDAVRNIYTVVAFCAGNKVMELYRLQLNKILKQSFFHGFAIGFGFGFSQFLLFACNALLLWYTAICIKSRYVSPTTALKEYMVFSFAAFALVEPFGLAPYILKRRKSLISVFEIIDRTPKIDPDDNSALKPPNVYGSIELKNVDFCYPSRPEMLVLSNFTLKVSGGQTVAVVGVSGSGKSAIISLIERFYDPVAGQVLLDGRDLKLYNLRWLRSHLGLVQQEPIIFSTTIRENIIYARHNASEAEMKEAARIANAHHFISSLPHGYDTHVGMRGVDLTPGQKQRIAIARVVLKNAPILLLDEASSAIESESSRVVQEALDTLIMGNKTTILIAHRAAMMRNVDNIVVLNGGRIVEEGTHDSLAAKNGLYVRLMQPHFGKALRHHRLV >OIV90456 pep chromosome:LupAngTanjil_v1.0:LG20:13127821:13132749:1 gene:TanjilG_01934 transcript:OIV90456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPVVEDKKLKGLEASFGSKNLVVKGNDVNSYSIEIERLTKDPEIIDTMTVQELRRTLRSIRVPAKGRKDELLSALRSFMDNNMHEQDSQSREEGILVSSEKTCEEVKDKNVIDADSAADGNASPDIHELNQGKRKLKQSRSESKTVKVTTKKLSMKSDKVSDFEPSRAKRKVSTDIVSAVSQSVEISRTTIQTEPWTVLAHKKPQTDWVAYNPRTMRPPPLTRDTQFVKILSWNVNGLRALLKLEGFSALQLAQREDFDILCLQETKLQEKDIEDIKCRLINEYENSFWTCSVSKLGYSGTAIISRIKPLSVRYGLGISDHDSEGRLVTAEFDTFYLICGYIPNSGDGLKRLELEKSKPVILTGDLNCAHEEIDIYNPAIDSFNHISDMPSHVFALKLEAWQYTRSLTYSFRMFETLLDEYVDIISIKFSG >OIV91408 pep chromosome:LupAngTanjil_v1.0:LG20:619787:621804:-1 gene:TanjilG_02026 transcript:OIV91408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMTKVSLALALKALFALLGTLMVATLLYTLFTDGFPFRMDLLTPWMIATLIDFYINVIPFAIWVTYKESNWFTSILWIILLICLGSITTCAYIVLQFAKLSSQESSQDPVYYVLLRHPNKSGTEPKRELSFVVTLRIIFSILGLLMLGTLVYTIVTDGSPFRMKLLTP >OIV90397 pep chromosome:LupAngTanjil_v1.0:LG20:14603536:14604042:1 gene:TanjilG_10697 transcript:OIV90397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHHYSADSDDQIQPKTMELKNLNQRKDNIIMEKEQENGSDKDDTQTQTQTPSSASKTHLSNNIENALQGLVLHPEVDITEKSVELETEAMEEDSGRERLKKHRVEVAGKVWVPDIWGQEEQLKDWVDGTTAFDAPLVPSSILTAKTALVEEGPRTSTSGGLIVENRC >OIV90363 pep chromosome:LupAngTanjil_v1.0:LG20:15697905:15700335:-1 gene:TanjilG_19772 transcript:OIV90363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTNKKNSCNSNGKGLAESETSQLGSEQFASAFDATAFSEHFNSSLMDFEVFSTLMNTPPMQPSSQEFENIGMPVSVSSLPEPLDCLKGNMVPSFLSKTFDLVDDPGSDRIISWGANGASFVVWDPLEFSRFVLPRNFKHNNFSSFVRQLNTYGFRKIDTDKWEFFNEAFQRGKKHLLKNIQRRRSQQSQPVGSYLGLCTDSRKSGVEVEINRLRKERSMLMKEVVDLQQQQRRTVHHAGEVNQRLQSAEQRQKQMVSFLAKLFQNPAFLARLTLKKEQKEIDSPRVRRKFVKQHQPEVGTSETMKEGHIVRYQPDWRNITISPETPEVTPGSIEHSPHYLSQDLATEINADTKNLTQQIQNIVTDELAAVQDIMPTQKIIEGSSSFGLKDHLFKGKNVMSPNEEVFPEYFVSFPEFPPLGTESIIKQEDIWDPDFNISGAASSCGNDLWGNPINYHVPEFEVTSGISDFWDIGSGSFGIDKWLVDDETPFDETVTRAGQRKDDRSKNDP >OIV91371 pep chromosome:LupAngTanjil_v1.0:LG20:913103:915709:-1 gene:TanjilG_01989 transcript:OIV91371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFSNNLMEMQEPSIETDKLSYEIFSVLESKFLFGYDEQKLWFPKQIPSSIHHIPELQQQPPPPPPAVDAISAVKNQRGKICILSIDGAGMRGILAGKALAYLENALKKKSGHENATIADYFDVAAGSGVGGIFTAMLFATKDHSRPIFSADDTWRFLAEQGKKFYRPSSGTGGGGLFRRLFSSGGSGSTDSATNGLEKIVKEAFTEKNGRSLTLKDTLKPVLIPCYDLSSTAPFLFSRADALETDSFDFRLWEVCRATSAEPGLFEPVLMRSVDGKTSCVAVDGGLAMSNPTGAAITHVLHNKQEFPFVRGVEDLLVLSLGTGQLLEVKYEYNQVRHWKAKDWARPVARISGDGSADVVDQAVAMAFGHCRSSNYVRIQANGSTMGRCGSNVDTDSSPSNVKMLIGIAEEMLNQENVESMLFGGKRIGEQRNFEKLDWFAGELVQEHNRRSCRIAPTVAFKQATPKRT >OIV91178 pep chromosome:LupAngTanjil_v1.0:LG20:2384106:2385917:-1 gene:TanjilG_30400 transcript:OIV91178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSFSIATPTTLTNVPELTIRNRACFNRNSTVSMGGFQNLRRLNLNLNTNGRSPTLRNNKGFSSLVVRASTEEAVVPQSKVTHKVYFDISIGNPVGKLAGRIVIGLYGDDVPQTVENFRALATGEKGFGYKGSAFHRVIKDFMIQGGDFDKGNGTGGKSIYGRTFKDENFKLTHTGPGVVSMANAGPNTNGSQFFICTVKTPWLDQRHVVFGQVLEGLDIVRLVESQQTDRGDRPTKKVVISDSGELPIA >OIV90371 pep chromosome:LupAngTanjil_v1.0:LG20:15285862:15296656:1 gene:TanjilG_10566 transcript:OIV90371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGGGGHKKPSKSDDPSAAARNSQAAANVIVNHASRGAGGGTAASVGTNSNIGPTSPMPILPPSGPMEPLPMFRDVPVSDRQNLFLRKLHVCCYILDFSDTLKNIREKEIKRQALMELVEFIQSNSGKMTENCQEEMIRMVSVNIFRCLPPALHENTGQEATDPDEEEPYLEPSWPHLQLVYELLLRYVVSSDTDTKVAKRYIDHSFVLKLLDLFDSEDPREREYLKTLVHRIYGKFMVHRPFIRKVINNIFYRFIYETERHSGIGELLEILGSIINGFALPMKEEHKLFLVRALLPLHKPKSVGLYHQQLSYCITQFVEKDFKLADTVIWGLLKYWPVTNCQKEVLFLGELEEVLEATQAAEFQRCMVPLFRQISRCLNNSHFQNSLLRHTCNAQVAERALFLWNNEHIVSLIAQNRTVILPIIFEAFEKNIQSHWNQAVHGLTLNVRKMFMEMDAELFEECQRQHAEKVAKAKDVAEQRELNWKKLADAAAQNGITLIADPTEVTTSLLVCCLNTLHVGALHVTSKIRLKKVTTLFQSLAHLWLTEVSVYLAGYLPRPESPRDH >OIV91182 pep chromosome:LupAngTanjil_v1.0:LG20:2343721:2353823:1 gene:TanjilG_30404 transcript:OIV91182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLPSLALILQAALSPNHDQRKAAEQSLDQIQYAPQHLVRLLQIVVDNNCDMAVRQVASIHFKNFIAKNWSPHDPDAQQHKIAPADKDIVRDHILMFVAQVPPLLRYNLSTLYMPCISLFFLIVSRFLCRVQLGECLKTIIHSDYPEQCPRLLDWVKHNLQDQQVYAALFVLRILSRKYEFKSDEERTPAYHIVDETFPHLLNIFSKLVHVDNPSIEVAELVKLICKIFWSSIYLEIPKHLLDQNVFSGWMVLFLNVLERPVPLEGQPVDPELRKSWGWWKVKKWTVHILNRLYSRFGDLKLQNPENRVFAQMFQKLYAAKILDCHLNLLNVIRVGGYLPDRVINLVLQYLSNSISKNSMYTVLQPRLDVLLFEIVFPLMCFNDNDQKLWDEDPHEYVRKGYDIIEDLYSPRTASMDFVSELVRKRGKDNLHKFIQFIVEIFKRYDEAPAEYKPYRQKDGALLAIGTLCDKLKQAEPYKSELERMLVQHVFPEFSSPVGHLRAKAAWVAGQYAHINFSDMNNFRKALQCVVSGMRDPELPVRVDSVFGLRSFVEACKDLNEIRPMLPQLLDEFFKLMNEVENEDLVFTLETIVDKFGEEMAPYALGLCQNLAAAFWRCMNSAEADDDADDPGALAAVGCLRAISTILESVSSLPQLYVNIEPTLLPIMRRMLTTDGQEVFEEILEIISYMTFFSPTISLDMWSLWPLMMEALADWAIDFFPNILVPLDNFISRGTANFLTCKEPDYQQSLWNMISSTLADQNLEDSDIDPAPKLIEVVFQNCRGQVDHWVEPYLRITIERLHRTERSYLKCLLMQVVADALYYNPALTLSILQKLGVAEDIFNLWFSMLQEVKKSGVRANFKREHAKKVCCLGLTSLLALPADQLPVEALGRVFRATLDLLVAYKDQAAETAKEEEAEDDDDMDGFLTDDDDEENGSDKEMGVDAEDGDEADSNKFRKLAEQAKSFRPDDEDDDYSDDDFSDDEELQSPIDDVDPFIFFVDSMKVLQSSDPLRFQNLSQTLEFSYQAIANGVAQHAEVRRVEIEKEKLEKASAVANS >OIV91093 pep chromosome:LupAngTanjil_v1.0:LG20:3039244:3043615:1 gene:TanjilG_30315 transcript:OIV91093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAFGFSFLLILFSMFLSLSSISALSSSGSMKHHIKFLVGEGEESFGSLENDVTQIAPAPGPQSDDALVLAAKRTRRPDILKGFRRYRGGWDIVDRHYWASVGFTGAAGFILSIIWFVSFGLALVIYLYCGWGINIEDKGSNHSQRICLILLILFTFTAATGCILLSVGQDKFHGEALDTLHYVVNQSDYSVQILRNVTEYLSLAKTISVRQMLLPSDVLDNIDHLNVDLKTAADTLSKKTNENSFKIRRVFNTVRLALIVVAAVMLLLALIGLLLSILGHQHAILIFVFSGWLLVAITFILCGVFMILNNAISDTCVAMGEWVANPQMESALSDILPCVDQSTTNKTLFQSKQVVINIASVVNRFIYEAANTHASQGSMGYYNQSGPAMPPLCYPFDSQLRESQCTAQEVTSANASMVWKNYECEVSESGICTTVGRVTPDIYLQLVAAVNESYALEHYTPLLLSLQNCNFVRDTFTGIISSYCPPLNHYLKTINAGLGLISVGVLLCLVLWILYANRSGSEEVFAKLSLSEKLKNRFSKNPISTNSSLSYENRQVHILQ >OIV90978 pep chromosome:LupAngTanjil_v1.0:LG20:3789586:3793899:1 gene:TanjilG_16938 transcript:OIV90978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNFRKIAPFLALVFVLFILGTHFTNTLKEPSPTQKHHIHFHKHIQVAQSTCQGTLYPDLCVSTLATFPNLASKSVPQIISSVVNQTVYEVKSSSYNCSSLRKKLKNLKPLEQRALDDCLKLFDDTTVELKTAIAELSKTTIGSKRYHDLQTLLSGAMTNLYTCLDGFAYTKGGKNKVRGKIEKRLLKISHHVSNSLAMLKKVPGVKKSSKSEVFPEYSKMKEGFPSWVNSKGRKLLQASVSETKFNFVVAKDGTGNFTTIGEALAAAPNSSTTRFVIHIKAGAYFENVEVSRKQTNLMFVGDGIGKTVVKSNRNVVDGWTTFQSATVAVVGQGFIAKGITFENSAGPDKHQAVALRSGADFSVFYNCSFIGYQDTLYVHSLRQFYRQCDIYGTVDFIFGNAAVVFQNCNLYARKPNENQKNLFTAQGREDPNQNTGISILNCKVAAAADLIPVKSSFKNYLGRPWKLYSRTVFLKSYIDDLIDPAGWLEWNETFALDTLYYGEYMNKGPGSKISGRVAWPGYRVINNSIEASQFTVGQFIQGNDWLNSTGVPFFANLN >OIV90761 pep chromosome:LupAngTanjil_v1.0:LG20:6157664:6160686:1 gene:TanjilG_15494 transcript:OIV90761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYVPPHKRQSNDKDKPPPSPELPPPPPPHTHFKNNPITKSSSPRSHSQKNVNKIIYADHARSKGLLVGLNYNDLSSFIKLHPISLDSVKYQAIENPHILLCTHTHLPQPEVGSQERKPWEVVADKTLQNLIQSFEHVRAEMEVQYLEQEQVKPTLVARIGKILFHGTPSATKEEIIQNLVAGTMPRYLKRLFYTDIPTSYVDNMTKGDAKKIGFEFTEEKDVFRVQLSDANRPESTITCKCSIMKELNKLKLFKIELNQVRQMVTDISCLTKNVDLRLMLCSKRILTVLKDDEMGCIQSLIESAVLDPNVKGGLRWPLGKSTSGDRFSVCGVWHTIIKVYANPSIKLKVRFVDRFDFRTSMGESASEVYLNLKGIVSLLQVQKVDTGLISKELEDNLKLIWDHLLDCENILG >OIV91451 pep chromosome:LupAngTanjil_v1.0:LG20:311115:311927:-1 gene:TanjilG_02069 transcript:OIV91451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSWSSSPSFPYIGVKLVTHFPLNSSLNLPGVQGSYVLFSSTTGQTIATMDSTQLTLYRTSCVSGLASTYLARDDSQVLVMVGAGAMAPHLIRAHLSARPSLRKVIIWNRTVEKARTLAQKLRESHGFEGLDFEGSGENLDEVVGLGDIVSCATNSETPLVKGEKLKAGAHLDLVGSFKHSMKECDDEAIRRGRVFVDNEAALEEAGELVGAFERGVIKKAEIVGNLIELVKGDKVGRSSVEEITVFKSVGSAVVDMLAAQLVYETYTRS >OIV90599 pep chromosome:LupAngTanjil_v1.0:LG20:8714991:8715959:1 gene:TanjilG_01680 transcript:OIV90599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYPILFLIFLCLPLSSAKLTLKYYNATCPDFEKIVRENVFNKQSAVPATAPGLLRMFFHDCITDGCDASILIASSAYNHHTERDAELNAALSGDAFDIISRIKNDMELACPGIVSCSDIVAQATRDLVKMVGGPYYNVRLGRKDSKVSDAKRVDASLPTTKMTMDQIIEKFTAKKFSIRDMVALTGAHTIGFTHCKEFSDRIYPTVDPTLHPKLAAGLRQICQNYTVDTSMAAFNDVRSPSTFDNAFFKNVLKGLGLLRTDYLLSVDPRTRPIVEEYAKDQQAFFKDFAKAMEKVSVLGVKTGHKGEVRNRCDQFNNNIHA >OIV90046 pep chromosome:LupAngTanjil_v1.0:LG20:21609006:21611111:1 gene:TanjilG_21178 transcript:OIV90046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSVEEIRNAQRAQGPATILAFGTATPSNCVTQAEYPDYYFRITNSEHMTDLKDKFKRMCEKSNIKKRYMHLTEDFLKQNPNMCAYMAPSLDVRQDIVVVEVPKLGKEAATKAIKEWGQPKSKITHLVFCTTSGVDMPGADYQLTKLLGLRPSVKRLMMYQQGCFAGGTVLRVAKDLAENNRGARVLVVCSEITAVTFRGPSDTHLDSLVGQALFGDGAAAMIIGSDPIVSVERPIFELVSVAQTILPDSDGAIDGHLREVGLTFHLLKDVPGIISKNIEKSLVEAFDPIGVRDWNSIFWVAHPGGPAILDQVESKLGLKEEKLAPTRHVLSEYGNMSSACVLFILDELRRRSAKEGKATTGDGLEWGVLFGFGPGLTVETVVLHSVPLEA >OIV91459 pep chromosome:LupAngTanjil_v1.0:LG20:266264:267479:-1 gene:TanjilG_02077 transcript:OIV91459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEEQPKKVESAASTSDPPPPPPPAPIEPEPEPVVHHDKPIDDSKALVLVEKPDEPAGEKPLEGSVNRDAVLERVSTEKRLSLIKAWEESEKSKAENKAHKKVAEILAWENTKKATTEAELRKIEEHLEKKKAGYAEKLKNKIATIHKEAEEKRAIIEAKKGEDLLKAEELAAKYRATGTEPKKLLGCF >OIV90054 pep chromosome:LupAngTanjil_v1.0:LG20:21544702:21547047:-1 gene:TanjilG_21186 transcript:OIV90054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSYLLKSLILISLVYVSFAAIPKRPIDVPFGRNYVPTWAFDHIKYFNGGSEIQLHLDKYTGTGFQSKGSYLFGHFSMYIKMVAGDSAGTVTAFYLSSQNSEHDEIDFEFLGNRTGQPYILQTNVFTGGKGDREQRIYLWFDPTQTYHRYSILWNLNQIVFYVDDVPIRVFKNSNDLGVKFPFNQPMKLYNSLWNADNWATRGGLEKTDWSKAPFIASYKGFHIDGCEASVNAKFCDTQGKRWWDQPEFHDLDVAQWQRLKWVRRKYTIYNYCSDRNRYPQQPSECIRDQDI >OIV90853 pep chromosome:LupAngTanjil_v1.0:LG20:5195111:5201321:-1 gene:TanjilG_15586 transcript:OIV90853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKASSGTVNPHGHSGVRIVVAGDQGTGKSSLIITAAAENFPINVPHILPPTRLPEDLYPDRVPITIIDTSSRSEDSDKVAEELQRADTVVLTYACDRPETLENLSTFWLPHVRKLEVKVPVIVVGCKLDLRDENQQVSLEQVMSPIMQQFREIETCIECSASRHIQVPEVFYYAQKAVLHPTAPLFDQESQTLKPRCVRALKRIFILCDHDRDGALSDAELNDFQVKCFNAPLQPSEIVGVKKVVQEKLSEGVNERGLTLTGFLFLHALFIEKGRLETTWTVLRKFGYNDEIKLADDLIPPLKLAPDQSVELTNEALDFLKAIFDAFDGDGDGMLRPRELEELFSTAPESPWTGTLYENAAEKNAFGGLSLDAFLSEWALMTLLNPTFSVENLIYIGYTGDPSSAIRVTRRRRLDRKKQRSERNVLQCFVFGPRNAGKSALLNSFIGRPYSENSNPTTEDRYAVNVVDISVENKKYLVLREIPEDGVRNLLSNKESLASCDIAVFVHDRSDESSWRASSELLVEIAGHGEDTGFEVPCLIVATKDDLDSFPMAIQESTRVSQDMGVEAPIPISVKLGDFNSLFRRIVTAAEHPHLSIPETEAGRSRKQYHRLINRSLMVVSVGAAVAVVGLAAYRVYASRKNSSG >OIV90597 pep chromosome:LupAngTanjil_v1.0:LG20:8760498:8765492:1 gene:TanjilG_01678 transcript:OIV90597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDFQPLQQKPEPEPAEARAGYECGMGEFMRGQLDDCMPFASCSSSRAQDDEDEEGDQLVRRRRRSDLEGDDLAESSAARRRHSRILSRWAARQAQEMITTIERRNRESELMALAGLHTVSMLDSSFLRGSQSPTSGQEGNVERPSTQASSILQMWRELEDEHLLNRAHERMRERLQQQRSPESNTNVSSTMSDSRGSENQRSLGDATENDYGAWSHNHIGPRNAHDDGNGSTREQSPDLGEVERERVRQIVRGWMESGISDHSSNVSQRNNNRRAEWLGETERERVRIVREWVQMNSQQRGSRGNRRDVQVSDGAQVDRVRDVVADHDDGQPEHVRRDMLRMRGRQALVDLLVRVERERQRELQGLLEHRAVSDFAHRNRIQSLLRGRFLRNERPVEDERPPSVAASELVQLRQQHTVSGLREGFRSRLETNSNVSESRSDENQGNNLVDAQQEDYEHEQIRSLETDVSQLPNQTGALENSTNENISWHEASNDGGNWQEQIAEDGRGNWQQRTYGPFNQLRDGSAVSDWPQDTPRNLAREESHPQEAQRIWHEDSTRETVGNWSEVPPGASRNHRGVPVRRINRFHPPDDDNVYSMELRELLSRRSVSNLLRSGFRESLDQLIQSYVERQSHAPINWDLHRNLPTPTLASPELDSGQQGVERNEGQREAINRPSLVMPSPPVPPPQPLWHQDLHQTGWPRHSVHRSEMEWEMMNDLRSDMARLQQGMNHMQRMLEACMDMQLELQRSVRQEVSAALNRSAGENGLGAETSDDGSKWGHVKKGTCCVCCDSHIDSLLYRCGHMCTCSKCANELVRGGGKCPLCRAPIVEVVRAYSIL >OIV90594 pep chromosome:LupAngTanjil_v1.0:LG20:8805759:8807311:1 gene:TanjilG_01675 transcript:OIV90594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAREEGEEAEDREEGEEVEDREKGELLRIGGLIEKALKNLRIGNYKVLVNKSKFAKDEVKNELEKDVSEESVNLDPKGDNFWPEPCSNWGNDYIPCGEDDDVEFNFQKFNGRLGKTYGSLHKALAEGGDSDSSSPCHIEAANLLKGAREGKLVLETLDTLSPKVILLQTLE >OIV91252 pep chromosome:LupAngTanjil_v1.0:LG20:1824339:1826527:-1 gene:TanjilG_30474 transcript:OIV91252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAPLCFQAVVKKPPEMSKYGLNLRPAKQKKQPTRPPLPTPFGFNDDDENDVEREIALQASKNKTLKEVEEQQKKALEEDPTIFDYDGVYDKMKEKVSRPLVHDREERKPKYIANLIKKAKEREQHRDIVYERKIAKERSKDDHLYADKDKFITEAYRKKLAEQERQMELERLRELQEERDDVTKKKDFLLDFYGNLDKNVAYGAQDAQRRKHDRAEQRIQETRDGMNPNASNQHQHDDASDDVQHSVDNSSSPVKSSKKNMGDQGETSNPVNRSNEPSDVKPSPEAFVEAKSSVEQSSTSQPKSDHHKRTQDALASAKERFLARKKAKEQ >OIV91204 pep chromosome:LupAngTanjil_v1.0:LG20:2191551:2191825:1 gene:TanjilG_30426 transcript:OIV91204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFAAGNHLTLLQLILMVRMLRNSLLDFAENIGPENVCAETMVSAAVAAC >OIV91232 pep chromosome:LupAngTanjil_v1.0:LG20:1971220:1972377:1 gene:TanjilG_30454 transcript:OIV91232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANVFLLNAGTVKAIFCFLFLTTTFLIANSARILDEVEPQEPQAIDNNLPLPGAATNPSVTTTTTTTVPVTTPQIAPTTTLTSDQTPIDTDTDSSNDEVANVVDPPVPQPEPIAPSGITAPAIVPQQPQPEAEVPATATPDETPIVAKEPSLSFFMHDILGGSHPSARVVAGIVANTDVTGLPFSKLNNNLFPITGGIPLVNPKLNGIITNSNLPNLVGLSGSQSSTVFQNRGTSNVVTGGNNQPFVSAGNLPSGFTIQRLMFGSVTVIDDQLTEGQELGSAEVGRAQGFYLASSLDGSSQSVVLTVLLHGAGNDEHHDHVVEDTISLFGVHRTVSHESEVAVIGGTGKYENAKGYAALETLLKEDQHTTDGADTILHFNVYLTE >OIV90878 pep chromosome:LupAngTanjil_v1.0:LG20:5007920:5012222:1 gene:TanjilG_15611 transcript:OIV90878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSETFNSSEISANTSSRSLGELPEIDAIRLGIDLVSASRRNIEFLRNVADSVWLHHRAILVEAIRRYHDLWMPLISNLTVPGSAPPMILPPFDVEWVWFCHTLNPVSYLEYCERRFSKVIGRAAIFDEENREYALMRCREIWSTEYPSQPFENEASWDSEENGPRKEDVVFKEVEAVEEKRRLLCSMFGEGYRSEVVYLVAARKRYKRFLYMLQRFGHQSSTRLVPTSDILLIWLTHQSYPTVYLEDLKALALDSDFHKVVATLSKTVNEKEVEETKNLWDMAFNQPYEKAGGDVALSLEGAISIKSLACVFVRVKPRIKASQKDINRDFLRLRILRCHSELKLDNAFSNFPFDSWKKAWHLYCEFGTKGVIIEYRRHGGSTCLKGSSLQDSVTFRWNDLLRAGSLTLEKEVSQQVNVVMSITPPAQAPYFLKCVPDRVTDDSGAMISDVILKMNNYRPQEGRWLSRTVLDHAGRVCFVIRMRVGGGFWRRGGETPLAVKWEDRIVEIREGSWSYVAGSIGRAPEKLVATATPKESADQWKATWDFSTGYELIIQWESSLSVSGLTFSLRNQTSPESTVKLLKGRQMQYQVKKTTKCKSEETKSELKEKEIREEGDEEGFLTLIRCTEDDPDGKATALLNWRLLVVEVLPKEDAVLMLLLCISILKSVSEMKKQDLGGLLVRTRLKEARHGSRDLGSVILYPSSSSSLVDSAYLQPWYWNAGAVIKSDAAEQLRRKPALSQSPVEGSDKLYKHGIIS >OIV90793 pep chromosome:LupAngTanjil_v1.0:LG20:5822378:5822650:-1 gene:TanjilG_15526 transcript:OIV90793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAIHFHHHEPPSRHHRECISIVMKEMEEIRRDGGLFITVKNEEERRMGGITIANHQETLRTGQNPGSALPARRNSPPPPLEAEVVAMEA >OIV91486 pep chromosome:LupAngTanjil_v1.0:LG20:109944:111079:-1 gene:TanjilG_02104 transcript:OIV91486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLEGMIEKLLEARKNKGNRIQLVESEIRDLCVTAKQIFLSQPNLLELEAPINVCGDIHGQYPDLLRVFEYGGFPPDSNYLFLGDYVDRGKQSIETICLLLAFKIKYPENFFLLRGNHECASINRIYGFYDECKRRFSVRLWKIFTDCFNCLPVSAVIDDKILCMHGGLSPDMESLNQIKAIERPVDIPDQGLLCDLLWSDPDTETRGWGENDRGVSYTFGPDKVSDFLKKHDLDLICRAHQIGN >OIV91345 pep chromosome:LupAngTanjil_v1.0:LG20:1128462:1145637:-1 gene:TanjilG_01963 transcript:OIV91345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKWVTLLKDFKDKVGLTQSQSISTTPSVSFSLSSYHDNNASSASQISSSFLTRDTPELGLDFKRFWEEFRSSSSEKEKEAALNLSIGAFCRLVKQHANVAELVAMLVETHIFSFVVGRAFVTDIEKLKISSKTSSLDVTQVLKFFSEATKDGISQGANLLASVEILVSGPRNKQSLLDSGIFCCLIHVLNALLDPDVTIQQRNVAVGLEEKNDNGDIGQDHRLEVEGSVVHIMKALASHPPAAQSLAEDDSLQLLFQMVAKGSLIVFSRYKEGLVPLHIIQLHRHAMQILVLLLVNDNGSTAKYIHKHHLIKVLLLAVKDFDHDCGDSGYTVGIVDLLLKCVELSYKAEAGSVRLQEDIHNAHGYQFLVQFALTLSNMTKSQGFQSIGSNSCDDQDVTTDRSQNSRENNSNELEKSSIQYPSPTLSRLLDVLVSLAQTGPNEFLYTYGDKGSKSSQNKKGGHDHRRRRSRTLSSDWLGDELWEKNNGKIKDLEAVQMLQDILLKANRQELQAEVLNRLFKIFSAHLENYKLCQQLRTVPLLILNMGDFPSPLQEMILKILEYVVTVVNCVPEQELLSLCCLLQQPITSGLKQTILSFFVKLLSFDQQYKKVLREVGVLEVMLDDLKQHRILDPDQQNVNSNQLGRKDSSSNFKKHLDKDVIITSPKLMESSSGKFLIFEVEATIAVAWDCMLSLLKKAEGNQASFRSANGVTSVLPFLVSDVHRQGVLRILSCLIIEDTSQAHPEELSVLVETLKSGMVSNALGSQYRLSIDAKCDTMGALWRILGVNNSAQKVFGEATGFSLLLTTLHGFQSDGENFDQSSLNLYVKVFTYLLRVVTAGVFGNAVNRMKLHAIISSQTFFDLLCESGLLCVEHEQQVIQLMLELALEIVIPPILASKGLTKSDAIENESSCNLLLTPSGPFIPDKERVYNADAVRVLIRALLLFTPMVQLKLLDLIDQLARAGPFNQENLTSIGCVELLLEIIHPFLMSSSRLLPHALKIVEVLGSYRLSASELRMLIRYVLQMRLKNSGHIIVEMMENLILMEDMDSESISLAPFVEIAMSKIGHAAIQVSLGERSWPPAAGYTFACWFQFQNFLKSHSKDTDPSKFVPSKKRSGANGLPEQHVLRIFSVGATNNNNATYTELYLQEDGVLNLATSNSSFLSLSGLELEEGRWHHLAVIHSKPNSFAGLFQASVAYVYLNGKLIHTGKLGYSPSPAGTPLQVTIGTSVGNARVSDLTWKLRSCYLFEEVLTPGCICFMYILGRGYRGIFQDTDLLQFVPNQACGGGSMAILDSLDADLTLAASGQRLDSTSKQGDLKADGSGIVWDLERLGNLSLQLSGKKLIFAFDGTSTELVRSSSSYSMLNLVDPMSAAASPIGGIPRFGRLSGDIYICKQGVIGETIRPIGGMELVLALVEAAETADMLHMALTLLACVLHQNPQNLKDMQTCRGYHLLALFLRRRMSLFDMQSLEIFFQIAACEASFSEPKNLETTLSPVSSLLETSLEDQYLSKFHDENPSVGSHGDVDDFYVQKDSFSHISELENTDIAAETSNCIVLSNADMVEHVLLDWTLWVTAPVSIQISLLGFLENLVSMHWYRNHNLTVLRRINLVQHLLVTLQRGDVEVPVLEKLVVLLGVILEDGFLSSELENVVRFVIMTFDPPRLTPRRPIMRESMGKHVIVRNMLLEVLIDLQDTIKSEDLLEQWHKVVSSKLITYFLDEAVHPTSMRWIMTLLGMCITSSPTFALKFRTSGGYQGLVHMLPSFYDSPDIYYILFCLIFGKPVYPRLPEVRMLDFHALMAGDGSCTELKYVELLESVIAMAKTTFDRVSMQSILAHQTGNISRVGASLVAGLVDGNSDLAGDIQGEALMHKTYAARLMGGEASAPAAATSVLRFMVDLAKMCPPFTAVCRRTEFLESCIDLYFSCVRAAHAVKIAKDLSAVAEEKTFNYDDDTCSSQNTFSSLPLDQDQSIKTSISVGSFPQGQLSTSSDDMAAPRNSMAGERSPNNVPVSELKSDKYVREDLQTFQSFDGDNADKDSATSSANEFTFHGIKGTLEILPPTYSKSSPSFAIRDSPVFSEKSSSRIPLPPSSSPVVALTSWLGSANDNEAKSPFDSCMSPGEFDPSSDLNSTSRGPSATNGYFAVTAKLLLDIDDSGYGGGPCSQGATAVLDFIAEVLSDFVIEQVKASQVMENILESIPLYVDGEAVLIFQGLCLSRFMNFLERRLMRDDDKSLDNIRWPSNLDALCWMIVDRVYMGAFPQPSVVLKTLEFFLSMLQLANKDGKIEETAPGGKRLLSISRGNKQLEAYIHSILKNTNRMILYCFLPSFLESIGEDDLLSELGLLTESKKRLSSTSWQDDSGIGICTFLQLLVAHKRIIFCPSNTDTDLNCCLCMNLIYLLYDTRQNVQHIAIDLFKYLLVHRRAALEDLLVSRPNRGQQLDVLHDGFDKLLTRSLSEFFEWYQNSEPVVNKVLEQCAGIMWVQYIAGSAKFPGVRIKGMEGRRKREMGRKSREAAKLDLRHWEHVNERRYALDLVRDAMSTELRVVRQDKYGWILHAESEWQCHLQQLVHERGIFPLSKSFLTEEPEWQLCPIEGPYRMRIKLESSKLKIDTIRNIFDRQFELEEPEMPELKTEKNHDALYSKPQLLSDSDKQSHSDGLFDESFFHKLDSVKDTVSDKNELNEDKPSSIIEASLHSALEHGAKSSAVSVPIEESTEGRSDVGYQRQSSMKVDERKIADDKYDKEVLNNGEYLIRPFLEPFEKIRFKYNCERVVGLDKHDGIFLIGEFCLYVIENFYIDYSGCFCEKECGDELSVIDQVLGVKKDVRGSADFQLKSPLSWSTTAKSLVGGRAWAYSGGAWGKEKVHSSGNLPHPWRMWKLDSVHEILKRDYQLRPVAVEIFSMDGCNDLLVFHKKEREEVFKNLVSINLPRNSMLDTTISGSSKQEINEGSRLFKIMAKSFSKRWQNGEISNFQYLMHLNTLAGRGYSDLTQYPVFPWVLADYDSENLDLSDPKIFRRLDKPMGCQTPEGEDEFRKRYDNWDDPEVPKFHYGSHYSSAGIVLFYLLRLPPFSVENQKLQGGQFDHADRLFNCIRDTWLSASGKGYTSDVKELIPEFFYMPEFLDNRFNLDLGEKQCGQKVGDVILPPWAKGSAREFISKHREALESDYVSENLNHWIDLIFGHKQRGKAAEESINVFYHYTYEGSVDIDSVTDPAMKESILAQINHFGQTPKQLFLKPHPKRRTDRKLPLHPLKHSSHLVPQEIRRNSSPITQIVTLNDKILIAGSNNLLKPSTYSKYVAWGFPDRSLRFISYEQDRLLSTHENLHGSNKIQCAGVSHDGRILVTGADDGLVNVWRVSKFGPRALRRLKLEKPLCGHTARITCLQVSQPYMLIVSGSDDCTVITWDLSSMAFVRQLPQFPAPVSAIFVNDLTGEIVTAAGILLAVWSINGDCLAMINTSQLPSDSILSVTNSTFSDWLDTKWYVTGHQSGSVKVWQMVHFSHPDCSHSKSSGFGGLRCSNFGAKEPEYKLILRKVLKSHKHPVTAVHLATDLKQLLSGDLGGNLLSWTLPDETLRVSSNQE >OIV91105 pep chromosome:LupAngTanjil_v1.0:LG20:2950254:2952701:-1 gene:TanjilG_30327 transcript:OIV91105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIHHHQMNDQFEQQQQHNENVSSVLDALYCDEAKWEDEEEGELSSEESNVTTTNNNNACLLSPLLLLEQDLFCEDEELDSLFSKEKNEQETFYLKNHITSNNINMGLDDSAFCVARLEAVEWMLKVNVHYGFSVLTATLAVTYFDRFISTFHFQKEKPWMIQLVAVTCISLAAKVEETQVPLLLDLQVQDTKYVFEAKTIQRMELLVLSTLKWKMYPVTPLSFLDHIIRRLGLKTNLHWEFLRRCEHLLLSVLLDSRFVGCLPSVLATATMLHVIDQIEHKDGVEYKNQLLSVLKISKEKVDECYNAILHLNLKDSNANNYGHNPLKRKNDQIPGSPSGVIDAAFSSDGSNDSWAVGSSLYSSPKTEPVLKKSRTQSQEKQMNLSPLNNRVIVGIVGTSP >OIV91320 pep chromosome:LupAngTanjil_v1.0:LG20:1324443:1327590:-1 gene:TanjilG_01851 transcript:OIV91320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLKDQVQTFLNQNPGLFDVYYDRIKPKTEPVRFLRVSDRLQNFLEEEKRIFKENENFIVSKLCKLLMMSKDKVVSADKLLHVKREFGFPNDFLVDIVPRYPQYFRLTGFPGEGKSFLELVSWEPEFAKSVIEQRAEEESRSTGIRARPGFNVKLPPGFVLKKEMREWVRDWMDLDYISPYEDASHLDQASREMEKRTVGVFHELLSLSLYKRVPVPILGKFCDEYRFSNAFSSVFTRHSGIFYMSLKGGIETAMLREAYRGDKLIDLDPLLEIKDKFNELLEEGWQQRREQLRSHQEKIRKDMEVLASKVSRMHIYHKLTHIAETLLIPNAIQSLTMLVQCCHSHHGPHLHHHHLRHDKGTQGHRNGTTIDVGIVTVTGTATQLKKKTVAGGLEKWAANVFVKF >OIV91184 pep chromosome:LupAngTanjil_v1.0:LG20:2330955:2335525:1 gene:TanjilG_30406 transcript:OIV91184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRGRGRGRGGRGFGGGFTFARQVEFVPFPEDVDLPKVKIDEIGPNMKKLLRWGDKFQNYWKASPYFLEETTLKGDDESQSMHIARYSDKKKTKFTRDSLSQILVFNGFAKELVQGKSGPMRSQKKVRWNPESGLKRLEFFEQQEKKGQGKVDKGEEEKKDEDEDEIGEDGEASDEDEIGDDDYIKGEYYDDNEDDYNDVDDGDDEGTY >OIV90678 pep chromosome:LupAngTanjil_v1.0:LG20:7838608:7838945:-1 gene:TanjilG_23791 transcript:OIV90678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNCHGKVNYMKVRKDELVGVKGEVAASIMKKENPRVREVRIVHDILVIPDLICDRVWVWVDDNGFVKRVPMLG >OIV90725 pep chromosome:LupAngTanjil_v1.0:LG20:7247777:7249096:1 gene:TanjilG_15111 transcript:OIV90725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVPMVVSVRFLILCSFLSLIISSSIAHTSFRPKALVLPITKDLSSSTPQYITKINQRTPLVPVKLTLDLGGGYLWVNCEDGYTSSTSKPARCGSAQCSLFGLYGCSGDKICGRSASNTITGLSTYGDIHGDIVSVQSTNGNNPGRVVSVPNFLFICGSNSLQNGLASGVKGMAGLGRTKVSLPSQFSSAFSFKRKFAICLSSSTSTNGVIFFGDGPYNLNIDVSKVLTFTPLITNHVNTISGFLGEPSVEYFIGVKSIRVSGKRVSLNNTLLSINQNGIGGTKISTVNPYTVLETTIYKAVTDAFVKAVGAQTVAPVAPFGTCFATKDIGFSRMGPGVPSIDLVLQNEKVVWNIIGANSMVQLNDVICLGFVDAGSNPSTSKVGFVMGGSNPMTSITIGAHQLENNLLQFDLATSRLGFRSLFLEHTNCANFNFTSSA >OIV90927 pep chromosome:LupAngTanjil_v1.0:LG20:3345651:3345962:1 gene:TanjilG_16887 transcript:OIV90927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRQRGGNVNPPKVEDVAANAIMKKKSKKEVEQKVVKVEERIEGTREVEQGVVDNVMGWNWEEYMPWMGGVVDEQMSWGSTWFPWWDMDFNGEAFSSLYCDAA >OIV90802 pep chromosome:LupAngTanjil_v1.0:LG20:5755215:5758037:1 gene:TanjilG_15535 transcript:OIV90802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSVVMEGELGDKYKMINFEETELRLGLPGESAVLKNTCNTTGKRGFSETNTAMDLKLNLSSSSSTTKNDDSSLAVEKAKENITTYAATTASRANDPAKPPAKAQVVGWPPVRSFRKNIVNNVLRNNNDEAEKEVTTTTNNQGFNNSSVGAFVKVSMDGAPYLRKVDLKLYKSYQELSDALAKMFSSFTIGKCGAQGMKDFMNESKLIDLLNGSDYVPTYEDKDGDWMLVGDVPWEMFVQSCKRLRIMKGSEAIGLG >OIV90340 pep chromosome:LupAngTanjil_v1.0:LG20:16948458:16948745:1 gene:TanjilG_14738 transcript:OIV90340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQEGYINPRQDVQANCAHELSRPNINYDLGIGEADPCIGEADSTKQKSCDQGHFTSDQEDITWIKTNDRTGQTSNSRIHLGITSNTSHKFEQIA >OIV90603 pep chromosome:LupAngTanjil_v1.0:LG20:8686838:8692459:1 gene:TanjilG_01684 transcript:OIV90603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHETPLVSSANTPPLPLSVAQPPLPSTPVLVACAASASPISPTSLAPGFRFHPTDEELVIYYLKRKVGGKSFRFDAICEVDVYRSEPWDLADKSRLKTRDQEWYFFSALDKKYGNGGRMNRATNKGYWKATGNDRPVRHEQRTVGLKKTLVFHSGRAPDGKRTNWVMHEYRLVDDELEKARTVNGSSQKDAFVLCRVFHKNNIGPPNGQRYAPFVEEEWDDESSLVPGAESAKPISVTQQPCVKTNDHVLCIQWRKDAEQDTQSVTKAPFDVNKLPIETQNLLAVCKRESMAEFPSPEKEDSKPTRDECPSPHADNHKAYSQIYKRRRYNLNNHLNASGDSIRTSQDPCSSTITTAATLPPTATAIANPTTVSTTPKKHFLSALAEFTLMESLESKEVQPPDFDPDNLESTVPPSCVKFIKHLQSEVQKLSVERDAMRFEMMSSQAMINILQSRVDRLSKDNEVLKNIVRNS >OIV90513 pep chromosome:LupAngTanjil_v1.0:LG20:10401769:10407499:1 gene:TanjilG_32390 transcript:OIV90513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AEIWVNNMSQDADDGTTYADIEGRPSRLGLGAKISRQSKVGPSDDPVDRKLYAKLGAEKRKRAKIAEESTTVARDDLDDSEDDEQEERYEDPKMSVAEKPKKVSSSQIVKLDKALKLAEIWVNNMSQDADDGTTYADIEGRPSRLGLGAKISRQSKVGPSDDPVDRKLYAKLGAEKRKRAKIAEESTTVARDDLDDSEDDEQEESRTSAFSKRKTVPLRSPILGNKKQK >OIV91435 pep chromosome:LupAngTanjil_v1.0:LG20:409012:410952:-1 gene:TanjilG_02053 transcript:OIV91435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREILHIQGGQCGNQIGAKFWEVVCAEHGIDSTGRYGGDNELQLERVNVYYNEASCGRFVPRAVLMDLEPGTMDSVRSGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSTVCDIPPTGLKMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATADEDGYEYEDEEDIGEEA >OIV90202 pep chromosome:LupAngTanjil_v1.0:LG20:19760776:19763177:-1 gene:TanjilG_01398 transcript:OIV90202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIILERYERQNHAAQLDGADNESQGNWSLECFKLTNKVEVLERNLRNYVGHDLDPLSLRELQSLEQQLDIAIKRVRNKKNQLMNESISELHKKVKLEYKSLGY >OIV91390 pep chromosome:LupAngTanjil_v1.0:LG20:754233:756122:1 gene:TanjilG_02008 transcript:OIV91390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSSNCTVYIGNLDERVTDRVLYDILIQAGPVVDLHIPKDKETDKPKGFAFAEYETEEVADYAVRLFSGLVTLYNRTLKFAISGKDKTTSKGSTAITPTSNSSQRPRPYQMPINNSENFPHSNRLSTPDRFSDYAVNHSQVPPLHVTDQSSGYGSHHTVNNYEYSRRAFGGTLDNISHSRSRRHERSSPITYPSY >OIV90958 pep chromosome:LupAngTanjil_v1.0:LG20:3647289:3650285:-1 gene:TanjilG_16918 transcript:OIV90958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSGSDSRKTSKGRRSSLPVEEAGEGSEGPLKKGPWTSAEDAILSEYVKKHGEGNWNAVQKNSGLSRCGKSCRLRWANHLRPDLRKGAFTPEEVERIIELHARMGNKWARMAAELPGRTDNEIKNYWNTRVKRMERSGLPIYPADICQRVLNNNQESLDDGTLPNGSGQHDDVSQTDDFDIPDLQFKNYKIPPALSYGPSSFDIPESDMFRKTSDSSHSYNALSATHPTKRLRVSDVLYNNSLDSHISSTVPLFDQYGNYTCEKISDHPRFPSPYDLIFDAGQFHGFNFAGSHAALNGNTSSSVPINGAMKLELPSLQYSENQQGSWGMPASPLPSLESIDTLIQSPPTDLARSDPVSPQNSGLLEAIILEAKNLNWSDNNSSKQTPESHVLNEAVKSSTLNPCRTECDEQGDLNSLLGQSAASVLSDYTHISFCSVDWSQSIETTQDHDIQHESVAQFPAYCSSTETWSKIDLTGPDAIFEYDLFDNSIESSIDQSALKDALDAFLAENL >OIV90823 pep chromosome:LupAngTanjil_v1.0:LG20:5458867:5459172:-1 gene:TanjilG_15556 transcript:OIV90823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PKSNDLGGKSFFFGPNELAAIHGLLPTNFGRCTTFEVLTAYIWRCYTKALQLESHKVVRMMRIVKARSKFNPPLPAGYYGNCFAYPTTVIEVSKLSKNPFE >OIV90972 pep chromosome:LupAngTanjil_v1.0:LG20:3760249:3760734:-1 gene:TanjilG_16932 transcript:OIV90972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSITAIILLLSVVFLLLPPPLTSSSAPTAYDLLESYNFPEGVLPKGITGYELDESSGKFRAYLNRTCSFSLEGSYQLSYKPIISGRISKNRIADLRGVSVKVLFLWLNIVEVVRDGDDLDFSVGIASASFSLDNFFVSPQCGCGLDCGVEFENPSLPLSSM >OIV91175 pep chromosome:LupAngTanjil_v1.0:LG20:2407495:2410586:1 gene:TanjilG_30397 transcript:OIV91175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPPPAPLRLRATAFSFLAVLLFLTTISPSLAIYCDEDDCYDLLGVTQSANASEIKKAYYKLSLKYHPDKNPDPESRKLFVKVANAYEILKDEATREKYDYAIAHPEEVFYNTAQYYRAYYGHKTDTRAVLVGLLIIISTFQYLNQSTRYNQAIAMVKKTPAYKNRLRALELERSGGVTNKKRNQKNVDKKAEEDLSNELDLQITGTEKPSVWKVFGVRFVLLPYTLSKLLLWSGCWFWRYNMKKHPYSWEDASYLTQRSLGIPHDRWITIDESTKEDLMLRRLWEKSNMESYVADMRKESKRRK >OIV91407 pep chromosome:LupAngTanjil_v1.0:LG20:623042:635840:1 gene:TanjilG_02025 transcript:OIV91407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATELNGVDNNDLKLIATLQARVKILLHVGTGYCTKFMSHHSKRYVALKVMYFGKRFYGFASEAQMEPTIESEIFKALEKTRLLVGDRKQSQYSRCGRTDKGVSSVGQAMESAGKKLLGEHDFRNLCKMDAANVHNYRRRITSFEISPTDVIDMLLDTEKIPRKPQFIMASEVPLVLQSCEFEDIKFMCSSDQSSLPIRETKKKASHVPLMSRSTELGNIPYDATEEQLIEICQEVGPVVSFRLVVDRETGKPKGYGFCEYKDEETALSARRNLQGYQINGRQLRVDFAENDKGNDRNREQGRGGPGLTANVDPQKQVVSPAVHGEAAQHQPIGLHIAITAAAVMTAALGGAQFSTQSNQNALQSQSALAHDPLTLHLAKMSRSQLIDILSDVKAMATQNRDLARQLLLSRPQLPKALFQLQMPNLRLVSDQASQLSIKEGQLGQPALLQTLSGLLPHGQNQLQSGLMPYVQDGQVSSIPHNPLIPNQLTAHPKPPVQPRIQPQQHPSNHVVQLGTLQGPSNLMLPSVRPQILGSVPVRPPIQPATVGHNNAQLVRLDASFQPGPSISSGSSQLINRGSDTSAKVLEDLSWAQRSSTYSKAPMGLEKTNMVHDSSESFTRPSKLMKMDQGSSTYFSAGIADVPVTNGSSRVVGSSSLPVHPTPIAEVQQPPQLVLSGQPQVPPDVESALLQQVLNLTPEQLSSLPPEQQQQVIQLQQALRRDQMQQPS >OIV91228 pep chromosome:LupAngTanjil_v1.0:LG20:1994072:1995120:1 gene:TanjilG_30450 transcript:OIV91228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAPSPSSSTKLSVKLLIDTKREKVLFAEASKAVIDFLFNLLSFPIGAVVRLLTKNQMVGSLGNLYESVEQLNHTYMQPNQNKDVLLKPTSLISSSVISGLLPPNDVLDSPVNVESLKFYTCHNKCSYVTCKSGTSCPSNDYSSCIMNSQLSFVGNIPVLKDVSSTNVNGFVKDVVTYMVMDDLVIQPMSTISSITLLNKFDVKEIGLLEERVVELGMEEGINLLKSSLQSKTVLTNVFLKKPVKK >OIV91122 pep chromosome:LupAngTanjil_v1.0:LG20:2817715:2819929:-1 gene:TanjilG_30344 transcript:OIV91122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHNNLPLPPHNNVHANNLLIHNSPIQHGTSQSHVLNDDFAIVQNHNFWNPILVAQETHESFVPPRNLHGYQGSSTSLPGPDDLESTMGRLSLSYPFRNSSAFTPNNFISQPLYENSASIHLAAAAPRVQAALNNPSVPSCSNSLSNNRYLNHQMQYNGNVVNGQIIRCHESNVLKGLRAKLVSMAKDQGKCRYLQFKIDEGSMEFIDMILFGVKDHLYELITHQFGNYLIQKIFESTSVSDEKKDLIVLSIIQNVHMLRDVCMDNNGTRVIQRMLENVKTRMQINEVINAIKQITVTLMKNENGGYVIEQCLKVFPVEYQCEILYVIAMKCVDIATDKKGCSVIQKCMEHALPDAIVPLVQAIIYNAALLAEDPYGNYVVQYLVEMEIVKVNGMIISELGGKYVQLSRNKHASNVVQNLLKYSEERDSMTIILELMNSTEFFSVLQDPYGNYVAQTALENSKGYLHKRMLDSILTKYRDLCNHFYGKKVLAFVEKRLRIQF >OIV90753 pep chromosome:LupAngTanjil_v1.0:LG20:6224344:6228952:1 gene:TanjilG_15486 transcript:OIV90753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPPQEHVVAESKSCLYALFPASFLIGLILFFTISISSQGSNQRLLQRLQILPSEGTEKPKVEEIDQCKNQCRPRGSEALPAGIVSNTSSFEMKHLWEIPKKEHSHAVDVKANATTNLLAMAVGIKQKDLVDKMVQKFIASNFMVMLFHYDGIVDEWKDFKWSNHVIHVAAINQGKWWFAKRFLHPDIVAEYDYIFLWDEDLGVENFNPDKYVSIIKHEGLEISQPALDPQKSVVHHQITARGRRSTVHRRTYKPGHGGKGCDKSSTAPPCTGWIEMMAPVFSRPAWRCVWYMIQSDLVHAWGLDMQLGYCAQGDRTKKVGVVDAEYIVHHNLPTLGGIDKTKVTSKEKDHRGDVRRLSHWELEVFRKRWEKAAEEDKCWVDPYQ >OIV90740 pep chromosome:LupAngTanjil_v1.0:LG20:6367605:6371598:1 gene:TanjilG_21871 transcript:OIV90740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVAASYVASRSVCLNHHGTMRAEAKLGSSQLCLKNQSLSYEGLRSLNKLQMRTRTTHATKTCSSSRKSNNDKVLGKIVCGKGMKFVFVGAEVGPWSKTGGLGDVLGGLPPALAGNGHRVMTVSPRYDQYQDAWDTSVMVEIKVGDKIETARFFHCYKRGVDRVFVDHPLFLEKVWGKTGSKLYGPKAGVDYEDNQLRFSLLCQAALAAPRVLNLNSSKYFSGPYGEDVIFVANDWHTAILPCYLKSMYKSRGIYKNAKAIIYLLSESYQGRHAFSDFSLLNLPNEFKSSFDFIDGHIKPVKGRKINWMKAGILESDKVLTVSPYYAMELVSGEERGVELDNILRASGITGIINGMDNKEWSPVTDRYIDVHYDATTVTEAKFMLKEALQAEVGLPVDRNIPLIGFIGRLEEQKGSDILVEAIPKFIDQNVQIIVLGTGKKTMENQIEQLEVLYPNKARGIAKFNSPLAHKIIAAADFIVIPSRFEPCGLVQLHAMSYGSVPIVSSTGGLVDTVREGFTGFHTGAFNVECEAVDPNDVDKLATTVKRALLVYGTHAMKDMIQNCMTQDFSWKGPAKKWEKVLLSLGVPGSEPGIDGDEIAPLARENIATP >OIV90741 pep chromosome:LupAngTanjil_v1.0:LG20:6375105:6385028:-1 gene:TanjilG_21872 transcript:OIV90741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIADSDFPMDNGASCLPIPPEEENLIVTELLKESELNLQAGNLFYVISNRWFSSWQMYAGPCIGIFSIDEQSYNSKHANDVHSDIAARPGPIDNSDIISSEINCDDNNLDIHRGLEEGTDYVLVPQEVWERLLEWYKGGPALPRKLISQGVGQKQYSVEVYPLTLNVTDARDDSKSIVKLSKKATLSELYEVVCKIKGVEQKKAHIWDYFNLERSTLLTVSDQTLEDASLTMDQDILLEVSLDRDPFSHSGMDSVGNELALIPLEPPTSSVSIAGVPTMSNGHSTGSSFNLCQGSSAGSSLTSLDDKNANVYEGERGGLAGLQNLGNTCFMNSALQCLAHTPPLVEYFLQDYSEDINMDNPLGMHGELALAFGDLLRKLWSSGRTAIPPRVFKGKLARFAPQFSGYNQHDSQELLAFLLDGLHEDLNRVKQKPYIEMKDSDGRPDEEVASECWKNHMARNDSLIVDVCQGQYKSTLVCPVCGNISITFDPFMYLSLPMPSTAIRTMTVTVFYGDGSGLPMPFTVNVLKHGCCRDLCTALGTACCLKSDEMLLLAEVYEHKIFQYLENPLEPLASIKDEEHIVAYRLKRGAHKTKLEIMHRDIMRGGDRKLFGSPLVTYLVEDPQYGANIEASVHKMLSPLRRAYSSTKSHDGKEDGFISVGSDEQSNICSEQEGTSCAESSFQLVLTNERCFSCYPIEKDSLIKPSQHIRVFLDWSGIEHELYDSSFLRDLPEVHKTGFTVKKTRQEAISLFSCLEAFLTEEPLGPDDMWYCPRCKEHRQATKKLDLWKLPEVLVFHLKRFSYSRYLKNKLDTYVNFPIHNLDLTKYVKTEDGQSYVYDLYAISNHYGGLGGGHYTAYAKLVDDNKWYHFDDGHVSPVTEADIKSSAAYVLFYQRRSKQEMEGESQVHTDPH >OIV90898 pep chromosome:LupAngTanjil_v1.0:LG20:4860791:4865132:1 gene:TanjilG_15631 transcript:OIV90898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLVAETSKKKAMWLYPKVLGFNPSERWGHSACFSNGLMYIFGGCCGGMHFSDIQCFDFEKMSWSKVATTGEKPGPRDSHSAVLVGHKMIVFGGTNGFKKVNDTHILDLETKEWARPICEGTPPSPRESHTATFVDDERLVIFGGSGEGDANYLNDLHILDLRTMRWTSPELKGDLPVPRDSHITLAIGNKLVVYGGDSGDKYHGDVNMLDMETMTWSRVYIIGGVGDKRYYNDIWVFDMCTYSWTQLEIRGQQPQGRFSHTAIVADKDIAIYGGCGEDERPLNELLVLQLGAEHPNGRYNISMCKVFGAYWKQEKNTIPGRVDTNGRTPHAGNNEVLGKWGYEVVSEKSQAYHLGSGSSQQKRRRVAAAKVWDVESEQEEHSLSLSQHSSPSQSDQEQTPCQKANASILNSQRYHLLKHINKTPSNWQHDNGSGYKRVLKNATQTSPHDLHQHQPKQEQFLHVHEDSRKGHIQNLIGAEVRGKVDGAFDSGLLMTASVNGRIFRGVLFAPGTGVVSNIIEPNCSLPSSLSSTQPLMNTNHVDNLRASQQVPINSHVEYCHGSQQTLLARPIPMIRDTTASFAKEHNKMRSDLQGLVLTLGGPASGNHA >OIV91341 pep chromosome:LupAngTanjil_v1.0:LG20:1166878:1168591:-1 gene:TanjilG_01959 transcript:OIV91341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIETIIESLGPTGSGLIAITGVSYASNLRSQLLPLARKLALLNPHTRNRILKEHNLGSDVPLKNPDRSVSSFAMKLGYAKAQELNKSTSTNRVDSLLHFEENSDHIERESYDTEFRNLGSIFKELGFCMMELGLCLARICDRAIGGIELEQSLLDSCAAKGRLIHYHSSLDGLLLKESERSTGTGKRRANVKRGEVCLAMNGKKSLEGSDSNSISHDDKSCGIHSNLWQQWHYDYGIFTVLTAPFFMLPSYSETTKIGDLFPESCYDECPSPIGHTCLQIYDPNKKRVLLVRAPPDSFIIQVGESADIISRGKLRSTLHSVHRPAKFENLSRETFVVFLQPAWTKTFSMTDPPHANSTFNDQCSLASDDEQQLGHDNYKLSCEIQKIVPPLSSRLKDGMTFAEFSRETTKQYYGGSGLQSNR >OIV90681 pep chromosome:LupAngTanjil_v1.0:LG20:7960269:7960672:1 gene:TanjilG_23794 transcript:OIV90681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQVIGMVVSNKMQKSVVVAVDRLFHHKLYNRYIKRTSKFMAHDENNLCNIGDRVRLDPSRPLSKRKNWVVAEILKKARIYVPPTTPVSENVSSTSDAPAS >OIV90430 pep chromosome:LupAngTanjil_v1.0:LG20:11900542:11902038:1 gene:TanjilG_01908 transcript:OIV90430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGVWWFSFSGKIVVQNRQNQKASISMSANEVKTSMKEGALACILLASLEGEEKPEIKDLRVICEYPDVFPDDIPSLPLMREVEF >OIV91474 pep chromosome:LupAngTanjil_v1.0:LG20:178797:181735:1 gene:TanjilG_02092 transcript:OIV91474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLKCLCCFASEEEQTKTGNVNNNNSSRDYPWEIYTLKELLRATNNFHQDNKIGEGGFGSVYWGRSMKRLKAMTAKAEMEFAVEVEVLGRVRHKNLLGLRGFYAGGEERLIVYDYMPNHSLLTHLHAHLASHCFLDWPTRMRIAIGSAEGLAYLHHEANPHIIHRDIKASNVLLDNEFEAKVADFGFAKLIPEGVTHVTTRVKGTLGYLAPEYAMWGKVSESCDVYSFGILLIEIISAKKPIEKLPGGVKRDIVQWVTPYIQKGVFNHIADAKLKGKFDIEQLKSVITIAMRCTDISPDKRPSMEEVVEWLKGNVGKRRKEILTLSYKNGEDNDENYEEIETKHSNLKVSPPCSNPNLPVLSKDLPINHSKHTWSRLYLPQPQPQPQLHHKLPLIVFYHGGGFILYSASSTYFHDFCINLANSTKSIVVSVDYRLAPEHRLPAAYDDSVEALHWIKASTDSWLRNHADYSRCYLMGESAGGNIAYSVGVRVPAELDQIKPLKIKGMILIQPFFGGKERTGSELRLAEDGTLPLPITDLMWELSLPVGADRDHEYSNPTVKDGYKVLDKRWRVGVFGCDGDPLVDRQIELVKLLKADDVKVNGQFYPGGRHGIFIGDPSMSAKVFHFVNHLYSSI >OIV90999 pep chromosome:LupAngTanjil_v1.0:LG20:3977425:3980388:1 gene:TanjilG_16959 transcript:OIV90999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METMCLKTGISGIVPSISTAASLSARAATNPSHVSAMSRSDKPSQKSAFSRFSFKYPLDSLWPRPRETGNSRYSGVSVNDAVLEENAEAENDVVESRAEEGQNGNWILKILRVKTVWKEEEGKEGVVEEEKGGESNECECDCCNVDDDDEKVEEEVQFDRDSFSRMLRRVSLVEARLYAQMSHLGNLAYSIPNINPAKLLKHYGLRFVTSSIEKKELAAATVENNPEEVETNEKEEERNKQKDNAYRLSASAAYHIAASAASYLHAQTRRVLPFKSSDAVDGEGSLEESNESLDINTEIASLMATTDSVTAVVAAKEEVKQAVADDLNSTRSSPCEWFVCDDDQSGTRFFIIQGSETLASWQANLLFEPIQFEGLDVLVHRGIYEAAKGMYQQMLPEVHAHLKAHGSCSTFRFTGHSLGGSLALLVNFMLLIRQEVPVSSLLPVITFGSPSIMCGGDSLLNKLGLPRSHVQSITMHRDIVPRAFSCSYPNHVAKLLKAINRNFRNHPCLNSQKLLFAPMGELLILQPNKKFSPSHPLLPSGSGLYILSCPLSESNETDNLIRAAQLVFLNSPHPLEILSDRSAYGSGGTIKRDHDMSSYLKTVRTVIRQELNQIRKARREQRRKYPFFGMIQTGRESLKRFSRLVASQHMHLFVLLLFPARLLLLGAYNVINFR >OIV90832 pep chromosome:LupAngTanjil_v1.0:LG20:5367636:5372001:-1 gene:TanjilG_15565 transcript:OIV90832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLIEIEPKELQFIFELKKQSTCSVQLTNNTKHYVAFKVKTTSPKKYSVRPNVGILAPKSTCEFIVTMQAQREAPADAASKDKFLIQSTLVPAETTSEDVNSSLFVKDGSRYIEENKMKVALISPPSSSKLSPINGDFKNELDHKKVEIYSKEEIQSPEPMVFKNVLKHEDDTELKLRQDKGLKTMNGVQEPKEAGLKVSKYENLNLVKDVKELKPEKAKLEVSKDLDLNITNNVKELRVVKEAESKWLKGMCLNTVKNVEELKPEETELKVSKDMDLTTLKNVEDLKPEKNAELGTVKNAEELKSEKEADPIVSRGIEELKLMEVIEQMKLKLDGLDSKLNESAETISRLTEERRLSNQETELLQEKLILLTKVQEEFKSDSHFSMCVWWRLSVSSWDTIYAVDTAGSY >OIV91302 pep chromosome:LupAngTanjil_v1.0:LG20:1463484:1465498:1 gene:TanjilG_01833 transcript:OIV91302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRYYCDYCDTYLTHDSPSVRKQHNAGYKHKANVRSYYQQFEEQQTQSLIDQRIKEHLGQATAFQQVGVAYNHLMGQRPNLPPMLPLPRLPIPGGVQVPGGQPLMPGGFRPLLPRPMPGAPGYVSGPTMPPMVPIPGAPQVPGQAITLPGPPTLAPPPTAPGSTATPASNGAPSSAMYQANPIAPQPSGSYDNYNANAQAPEGNH >OIV91020 pep chromosome:LupAngTanjil_v1.0:LG20:4177944:4182079:1 gene:TanjilG_16980 transcript:OIV91020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVPSPMIRTKKTKAVGIPTIDLSMERSELREQVVKACEEYGFFKVVNHGVPREVISRIGEEGTQFFAKPTSEKRKAGPATPFGYGSRNIGPNGDMGNLEYLLLHTNPVSISERSKTITNDPTKFSCVVNDYIGATKELVCEILELVAEGLWVPNKFSLSNLIRDAQGDSLFRINHYPSVSQKGNKNWNLSKDDSKNKDNKSNKIGFGEHSDPQILTILWSNNVNGLQISTHDGLWIPVPPDSNEFFVMFGDVLEVLTNGRFRSVRHRAVTNKMNPRMSMMYFAAPPLNWWIAPMPKMVTAQNPSVYKPFTWAQYKQAAYSLRLGDSRLDLFKVQHQQKQDSHQEHDSP >OIV90467 pep chromosome:LupAngTanjil_v1.0:LG20:11193389:11194084:1 gene:TanjilG_18651 transcript:OIV90467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLTLPFPLPVCSAACHPLRIAIVSSAARRPRKKATVKTDKKQKQTSVGFGENKEPLWQCVEGCGACCKLDKDPSFATPEEIFTDPSDVELYKSMTGPDGWCIHYEKSTRKCSIYPGDVIIPLNYDVSISPWEC >OIV90275 pep chromosome:LupAngTanjil_v1.0:LG20:18065868:18071340:-1 gene:TanjilG_08312 transcript:OIV90275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEGHQFNNITLGGRGGTNTGQMKIYSEGILWRRQGGGKLIEVDKDDIMGVTWMKVPRTNQLGVQIKDGLFYKFTGFRDQDVASLTTFFQNTFGIEVQEKQLSVTGRNWGEVDLNGNMLAFMVSSKQAFELSVADVAQTQLQGKNDVILEFHVDDTTGANEKDSLMEISFHIPNSNTQFIGDENCPPAQVFRDQIMSMADVGVGGEDAVVTFEGIAILTPRGRYSVELHLSFLRLQGQANDFKIQYSSVVRLFLLPKSNQPHTFVIISLDPPIRKGQTLYPHIVMQFETDLVVQSELSMGEDLYNTKYKDKLEMSYKGLIHEVFTTILRGLSGAKVTKPGKFRSCQDGYAVKSSLKAEDGILYPLEKSFFFLPKPPTLILHEEIDYVEFERHAAGGSNMHYFDLLVRLKSDTEHLFRNIQRNEYHNLYGFISSKGLKIMNIGDAQAPGGMAKVLETDDDDAVDPHLERIKNAAGGGDESDEEKPAKKEPKKDLSSKASTSKKKSKDADEDGKKKKQKKKKDPNAPKRALSGFMFFSQMERENLKKTNPGISFTDVGRVLGEKWKKMSVEEKEPYEAKARADKIRYKDEISGYNKNPQPMNVDSGNESDSS >OIV90246 pep chromosome:LupAngTanjil_v1.0:LG20:18850378:18853357:1 gene:TanjilG_11974 transcript:OIV90246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFFSRGARTHGGDDDTFDDYDEYDPTPYGGGYDIHLTYGRPIPPSDDTCYPLSGSSANDDFDYDRPNYTSYSEPSAYGDEALATEYSSYARPKPRPAAPGFNPGGGGGGGSGYGGGGGGGSGYNRPSEEYGRKQESEYGSGYGRKQESEYGSGRKQEYGEPVSEFGSGYGGRKQESEYGSGYGGRKQEYEAPTSEYGSGYGGRKQEYETPAAEYGSGYGGRKQEYEAPTSEYGSGYGGRKQEYEAPTSEYGSGYGRKTRGSEYGSGYGRKNEEENVTSGYGSGYGKKSEYETGGSEYGSGYGARKTSGYGEEQSGGYGGRSESEKKPNYGRSEEVEYGRTEEEGYRKPSYVRHDGDDEDRKPSYGRRKDDDDEGYGRKKYGDDDDDEDEEKKKHHHKHHYRKSYDDE >OIV90140 pep chromosome:LupAngTanjil_v1.0:LG20:20603817:20604233:1 gene:TanjilG_01594 transcript:OIV90140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRYQRLLESRPISQMAMNHSNFEFPTTTSTTIPNSSGSITSIFSGLRSSSGKENRYQKIGGSRKHYNGMFGTVKFPLQMELSDMKMRQERREKPLPKLPAMEEDNGGEGCWELIRPLKRRGMLMRALVRASLCIPMV >OIV91078 pep chromosome:LupAngTanjil_v1.0:LG20:4693476:4699826:1 gene:TanjilG_17038 transcript:OIV91078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTENPDRPTFPLRPHTVTPFTPTGSQPPPLRPTPPLSSATVFPFRPPTTTTFNVPPTRGPFHRFPTPPQFPSTAQTQPPPLGPPFMQPSIQQPQPQTPFVSVGPTVPPPVFQPSFPGYATTQTGAEMHAPPVHSSLGVYRPVPASSPFLSRQGGYVPPPPVAAPSVIQPQMQQPGYGQPIRAVQGLTEDFSSLSIQNRPGLVDPSVDPNELPRPLDGDVESDSLAETFPMNCNPRYMRFTTNAIPSSQSLASRWHLPLGAVVCPLAVPPEGDEVTVVNLSPGGVVRCRRCRTYINPYMTFTEGGRKYRCNICALLNEVPSEYYAQLDATGKRVDLNQRPELTKGTVEFVASAEYMVRPPMPPVYFFLIDVSISAVRSGMIEVVAQTIRSCLDELPGFPRTQIGFATFDSTIHFYNMKSSLTQPQMLVVSDLDDIFVPLPDDLLVNLSESRNVVETFLDSLPSMFKDNVNFESAFGPALKAAFMIMSQLGGKLLIFQNTLPSLGVGRLKLRGDDSRIYGTDKEHVLRLAEDPFYKQMAAEFSKYQISVDVYAFSDKYTDIASLGTLAKYTAGQVYYYPAFQSIIHGEKLRSELRRDLTRETAWEAVMRIRCATGVRLTTYHGNYMLRSTDLLALPAVDCDKAFAMQLSLDEALLTTQTMYFQVVLLYTASCGERRIRIHSAAVPVVTDLGEMYRLADTGAIASLFSRIAIEKALSHKLEEARGGVQLRIVKALREYRNLHAVQHRLANRMIYPDSLKFLPLYGLALCRSKPLRVGHGDVPLDEQCAVGHTMMTLPIKRLLKLLYPSLIRLDEHLLRAGDLKSIDRRLPLSRESLDSKGLYLYDDGFGFTIWFGRVIPPDIAKNLLGTDFAAELSKVSLNEQNNEMSKELMKVLVKFRNTDQAYYQLSHLVRQGEQPREGFLLANLVEDQMGGNSGYADWMAKISRQVQQS >OIV90773 pep chromosome:LupAngTanjil_v1.0:LG20:6061259:6063745:-1 gene:TanjilG_15506 transcript:OIV90773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEATLLDDIINRLLEVRGRPGKQVQISESEILKLCSASREIFIKQPILLELEAPIKICGDVHGQYSDLLRLFEYGGFPPEANYLFLGDYVDRGKQSVETICLLLAYKIKYPENFFLLRGNHECASINRIYGFYDECKKRFNVKTWKLFTECFNCLPVAALIDEKILCMHGGLSPDLVNLDQIRSLRRPTDVPDTGLLCDLLWSDPSKDAQGWEMNDRGVSYTFGADKVSEFLQKHDLDLVCRAHQVKLLPLVFNMLPGLHG >OIV90971 pep chromosome:LupAngTanjil_v1.0:LG20:3750826:3751738:1 gene:TanjilG_16931 transcript:OIV90971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSFSNVKLFSVPLLDGFSHFLARRGYAATTTQSARIGGTTYVSGKINPKSVDDKVKKGVVSENVSWVPDPITGYYKPENIKEIDVAELRASLVNKKFNH >OIV90622 pep chromosome:LupAngTanjil_v1.0:LG20:8408525:8424626:-1 gene:TanjilG_01703 transcript:OIV90622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVVQLFSCLDKISYIEWALDSEYILCGLYKRPMIQAWSLSQPEWTCKIDEGPAGIAYARWSPDSRHILTTSDFQLRLTVWSLLSTACVHLQWPKHASKGVSFTRDGKFAAICTRRDCKDYINLLSCHTWEIMGTFAVDTVDLADIEWSPDDSAIVIWDSPLEYKVLIYSPDGRCLFKYQAYESGLGVKSVSWSPCGQFLAVGSYDQMVRVLNHLTWKTFAEFMHPNTVRGPCYAAVFKEVDEPLQLDMSELCLSDDFPQGNDDAPEEPFRVRYEVTEVPINLPFQKPPADKPNPKQGIVISKPIAKEPSLFNCQIVIQKDSPRGVHFRRAGPREKVYFKPEEVRACIVTCGGLCPGINTVIREIVCGLNYMYGVEDILGIEGGYRGFYSKNTMKLTPKVVNDIHKRGGTFLRTSRGGHDTHKIVDNIEDRGINQVYIIGGDGTQKGAQLIYEEIAKRGLPVAVAGIPKTIDNDIAVIDKSFGFDTAVEEAQRAINAAHVEVESVENGVGIVKLMGRYSESPFYLEGEGGLFEFIEQRLKENGHLVIVVAEGAGQEYVAADVHAAGKKDASGNKLLLDNGIWLSDKIKDHFTKVQKMVINMKYIDPTYMIRAIPSNASDNIYCTLLAHSAVHGAMAGYTGFTVGPVNSRHAYIPIARVTERTNTVKLTDRMWGRLLASTNQPSFISSDKEIIQDLSNINITST >OIV90416 pep chromosome:LupAngTanjil_v1.0:LG20:13684732:13685607:1 gene:TanjilG_10902 transcript:OIV90416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGWKINTEISPNCPRCGSSNTKFCYYNNYSLTQPRYFCKGCRRYWTKGGSLRNVPVGGGCRKNRRGNKNLRQSIDGLAFKNSPCGGDATKNPVGHSYDPRMTSYSSSSMVSGPNIDLAVVYANFLTQKPDSGAGVDENHDQIHAVFDHSQENSRLLSNTEIGPSTILPQELGLIGCLNLPEQSSTGSRFCDGNNSLYFSGFNSMQIHQEATIEQCNIHHDALNFELPPLPGEEEVLHDMMWSNSEMMLNHASRATQPSLFQSEAHDDANLLVNNWSPFNLEMDPSFPKP >OIV90849 pep chromosome:LupAngTanjil_v1.0:LG20:5221970:5224313:-1 gene:TanjilG_15582 transcript:OIV90849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNKFQLPVHDDKATIGRKSVAVNCENPLDGTVSGRKLNRCVILPPNVPMVADSLERRNQNWIAQANSAIDLIIQVGDSSFHLHKLAMVSRSEYLNRLVFQRKINRESADDILNIQIENLPGGKKTFELIVKFCYGWKIDITSANVAPVYCAAHFLEMSEDLEEGNLISKTEAFLSFLILSSWKDTFRVLKSTESISSWAKELQIVKRCSEAIAWKACTNQNASSFNCEYAECLNVNTSKMNNSEYSFDAWWFEDLSLLRIDHFIEVITCIKRRGIKSKILGSCIEHWATKWFSQVTHGLDKVTPKHMTLQLRRVSIECLIRILPTEEHSVTCNFLLHLLKVGAMLKINPELLCVLERRVALILEQCHIQDLLVKNQGENDPLYDVAVILRVLQCYVCGMSSNPVPKLHNVGKLMDGYLIHVARDANLTMESFRSLVEVLPQNVRSSDDNLYRAIDMFLKAHPYLAEEDRTDVCRILEYHRLSQEARQHVMNNDRLPLNLTTRFVLLEQVKMGRSMTSNGSNYRRTNTQTVISISKDFQRRQISGQEIMMMRKDVELIKTQILELNTCKIKLQKQLKRCIC >OIV90133 pep chromosome:LupAngTanjil_v1.0:LG20:20667190:20669409:1 gene:TanjilG_01587 transcript:OIV90133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYAKLGDFKSALNLFDEMPQRNLVSYNSFISGYSRFGFYEKALNAFCQARVEGFMLDEYTYAGVLGVCGFICDVKLGKAVHGLVIVTGLNGMVFVINSLIDMYSKCGWIDQARLLFETSTANVDDVSWNSLIAGYVRLGGYNDVFELVMRMHLSGFMFSTFTLGTVLKACCMHNLNCFGKMLHAYMVKHGLDLDVVAGTALLDMYAKTGSLTDAIQIFMCFPYRNDVMYNAMIARFLQMQTISSEHGQAALHLFCQMRRQGLKSSKFTFSSILKACIAVENFEVGKQIHAQIFKNDLQSNEFIGTSLVDMYSFFGSMEDGLRCFNSTPKLDVVTWTSMIAGYVQNGQLENALSLFHQLLAYGRKPDEFIISSVMSACAEMAAARSGEQIQSYALKSGVVDVTIVQNSQICMYAKSGDLDSAQLTFQETKNPDVVSWSVMICSSAQHGFANEALRLFKLMIASGIEPNHITFLGVLTACSHGGLIEEGLRYFYVMKNDYGIAANVKHSACIVDLLGRAGRLQDAQNFIFDSGFEDDPVIWRALLGACRVHKDTVMGKHVAKRVIELEPHAAASYVLLYNIYDDAGNEKPASEVRKLMQDRGVKKEPGISWIEVGNKVHTFLVDDRSHEMSQLIYSRLEEMLVKINKISFNNEKLLLGISEAQLSGTIGMNHHSEKLAVTFGIISLPKSAPVRVMKNLRVCSDCHTTMKLISKVEKREIILRDTIRFHHFKEGSCSCKDYW >OIV91497 pep chromosome:LupAngTanjil_v1.0:LG20:53158:54188:1 gene:TanjilG_26466 transcript:OIV91497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVVVGGAASYAQQISTPCNTGMVNRLFTPCVNFITSSSGNGTSPTTECCSALKSLTTDGVDCLCLLLTATVPFKIPTIAISLPNACNMPGVPLQCKASGSPLPAPGPGSLGASPSPLSAAPIGFTPTASPQAATSSSSSSSTVFPSQNYPSLAPNSDNTTPLLTPPLDSGIPSSARSDITPSSAMPSYYFPYSLLLFIAFGFSVLQYY >OIV91489 pep chromosome:LupAngTanjil_v1.0:LG20:99810:100232:-1 gene:TanjilG_02107 transcript:OIV91489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDKNGDAIITVQEISQALNLLGLDAELKDIDSLIKSYIKTDNEGLMYKDFMEFAWDTLLFDSEQQESELCEAFKVFDEDGDGYISAQELQVVLRKLELIEGNEIDSVQNMIYCVDQNHDGRVDFFEFKDMFRTTFVTTS >OIV90935 pep chromosome:LupAngTanjil_v1.0:LG20:3432018:3432320:1 gene:TanjilG_16895 transcript:OIV90935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNAKDKRKHGEDSVFLKSQKKTREDVTDEEVEEFYAILRRINTAMKYYKSGRDNVASLEKEIRQELKGATMSGSEKEENVELNLNFSLDLNLISKSQRE >OIV90216 pep chromosome:LupAngTanjil_v1.0:LG20:19615534:19617282:-1 gene:TanjilG_01412 transcript:OIV90216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDQLGSKTIQFVSIPDGLSPDDDRTDLAKLSLSMINTMPSMLERLIEDIHLKGDNRIICVVADVVLGWGIQVASKMGIKGALLWTASAATFAMANNISMLIDRGVIDSDGKPITKNTFQLSPNMPAMDTELFFWSNIKDSETEKTIFHCFVNVLQSLDMTEWWLCNTTYELEPAVLSFIPKILPIGPLLSNSNNNTNPNSRSLGQFYEEDFSCINWLDQQSHCSVLYVAFGSFALFDQNQFTQIALGLELTKRPFLWVVREDYNRSHKMTYPNEFQGKNGKIVAWAPQQKVLSHPAIACFVSHCGWNSCMEGLSNGVPFLCWPYFSDQLYDKHFICDELKVGLGFDKDENGLVSSKEIKLKVEKLLNDENIRSRSLQVKEKLMSNIAEGGGSSENLKRFVVWLKE >OIV90341 pep chromosome:LupAngTanjil_v1.0:LG20:16958594:16958954:1 gene:TanjilG_14739 transcript:OIV90341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKRQCNSSQDPEVRKGPWTMEEDLILINYIANHGEGVWNSLAKSAGLKRTGKSCRLRWLNYLHPDVRRGNITPEEQLLIMELHAKWGNR >OIV90121 pep chromosome:LupAngTanjil_v1.0:LG20:20771520:20772425:1 gene:TanjilG_01575 transcript:OIV90121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGEKVWRLESSHVSDDGALPLDNRILNTIMLRFRPIAPKPVSDGSVGPVQVNQSKGPVYGKRTKRKYVRVRRNNGYVRKNNEKFVESRDRVAAVSTLQLMPERDKTEESSSIARDSWCKNLDLNGTVEKVQILDNNLKPPCVTVNVGSGTTTLDPPSAVVESWITVESVSDTCMSGGDEESLGCTDGEKLKNLESDTCPGFISDGYWKVRWVNDAFKKMIVSEKEKEKEECSEMVVLLKVKDNSIWRCCYSYPSFTCTVRLQYTCLKEKCTKMVPCDVWRLESNGFAWRLDVKAALSLGL >OIV90361 pep chromosome:LupAngTanjil_v1.0:LG20:15687844:15690032:1 gene:TanjilG_19770 transcript:OIV90361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHKIVLLFFVLLILSSFLASARDLLVPSQGTKQGEKVQKVSDNILGHAYAADLRDDMDELTDSKKCNENDEECLTRRMIAEAHLDYIYTEHHNP >OIV90368 pep chromosome:LupAngTanjil_v1.0:LG20:15789193:15793325:-1 gene:TanjilG_19777 transcript:OIV90368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSFSGTCEIVEAREDRNTGKAAEVYQSNSGYSVAEKNKKLPVLNLGCKNNPDNDINKLFESFTLKSSSRDLGILQDGTSPKIKSALKKPITVGVPRSPRVGTSEPATLKQALRDMCISKASEMAAMKRLSKSTSASPRISEVGKIQTLYNSVVVEGRQSGPSNLGSKASTFEISLVSEESKSLSLDKISQSHQITKSTSLVQSDHSSKIAFATTENDTDGSLMHSDVVCSSSKVGDQSLPLEPAQVEKQTSASSPSIRNTNGSEVELPEEFSSTKKLGSKAPFSKNGQKGRLQTVSSSSTSVYGNRVCKLSRNAPRTVKSIIKNKNLGKKKLNQGSGSVLCYPTSDEVNNKSVPGEAKLVCERCLCAIENTSKENNQGVVALGSISPGEGVNLTSVRPGSASTAYNNSREVSKVKKNTRLKEQLEFSQSSKSSQGDYSSSTSTSEDSNLSGSICGNRPHMSKDVRWEAIRHAQMQHGVLSLRHFNLLKKLGCGDIGTVYLAELIGTNCLFAIKVMDNDFLARRKKMPRAQTEREILRMLDHPFLPTMYVQFTSDNLSCLVMEYCPGGDLHVLRQKQLGRSFSEPAARFYVAEVLLALEYLHMLGVVYRDLKPENILVREDGHIMLTDFDLSLRCDVSPTLLKSSDIDPAKISGPCAQSSCIEPFCIEPACQVACFSPRFLPPAARARKLKADLAAHIRSLPQLVAEPTDARSNSFVGTHEYLAPEIIKGEGHGAAVDWWTFGVFLYELLYGKTPFKGSNNEETLANVVLQSLRFPDTPFVSFHARDLIRGLLVKEPESRLGSQKGAAEIKQHPFFEGLNWALIRCAIPPELPDPFEFGGSDMTSQSHGNGSKYLECRPGEQVEFELF >OIV90394 pep chromosome:LupAngTanjil_v1.0:LG20:14506927:14535915:-1 gene:TanjilG_10694 transcript:OIV90394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGAEIKEDEDLIQGTCLVNEISLSVLFDSGATHSFISHDVVNRLELPIVPLPYDLVMSTPTNEPVIVSTVCPQCPIVLDNKTFLVDLICLPLSQLDIILVRFEGKVGDININGKNYSLKQLHWHSPSEHRANGYKHVAELHLVHYTEDNNNIAVVAILYKLGAPNPLLSKVRIISKKQLKLLKAPLNQENKKNARPIQPLNGRKIQINY >OIV91034 pep chromosome:LupAngTanjil_v1.0:LG20:4309289:4309675:1 gene:TanjilG_16994 transcript:OIV91034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANHTHSKLGPDNTPIPPPSSPSTLSPQNANGAKTLTSVNQLSTPPGPPVFTSPVRSAAVPFRTSPALPQPLAFSPDSPLPTSSLPQFSNGSRELSCRVSGGVEDHVPLGLGESKFVLFSAHKVYSLS >OIV90527 pep chromosome:LupAngTanjil_v1.0:LG20:10698240:10705631:-1 gene:TanjilG_32404 transcript:OIV90527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEQDRILLNTLGVKSANPEDIERHIIQKARNDVVTDTAEGSTKEENHHVSENVDPSSSTKAEELQQKLRALEFEIGAVASTIEDVENNGDYSGVGEDEQEQGIATGDDSSNGSDLQHVLAAERLRSLKNTKAQLEKELKKLGKDNNSKSTEREKVIFDLVKEERTSKRKLKEKDKKLQKSSGKKLKKVSFNDDVDFDAVLDAASAGLVETERDELVRKGILTPFHKLKGFERRFQQPETSSSHNAAEDESAMDLVSDSVERTARSISEAARARRTTKLLNSEDVPKLEAPTIPFQRLRKPLRVKDSNKKKRRPLPGRKWTKRVSSEDTCLEEHENANDGLDTSSCENLEAQDVELADHDSSYIILEGGLKIPDKIFEALFDYQKVGVQWLWELHCQRAGGIIGDEMGLGKTVQVLSFLGALHFSGMYKPSIIVCPVTLLRQWKREANKWYPKFHVELLHDSAQDSAPRKKQAKSDETKDESDSSNDNDYERTSPTKSKRKWESLINRVVGSEFGLLITTYEQLRILGDQLLDIEWGYAVLDEGHRIRNPNAEVTLVCKQLQTVHRIIMTGAPIQNKLSELWSLFDFVFPGKLGVLPVFEAEFAVPIAVGGYANASPLQVSTAYRCAVVLRDLIMPYLLRRMKADVNAQLPKKTEHVLFCSLTSEQVSAYRAFLASTDVEEILDGQRNSLSGIDVMRKICNHPDLLEREHASSDPDYGNLERSGKMKVVAQVLNVWKEQGHRVLLFTQTQQMLDIFENFMTVSGHIYRRMDGLTPIRQRMALIDEFNVSTEIFIFILTTKVGGLGTNLTGADRVIIFDPDWNPSTDMQARERAWRIGQKRDVTVYRLITRGTIEEKVYHRQIYKHFLTNKILKNPQQKRFFKARDMKDLFVLNLDGEAGSTETSNIFSQISEEVNIVSTNEDNHEKHIDSRTSESGYEDVADNDSKSQRGRGKEKVEQSGGVDDETNILKCLFDANGIHSAMNHDLIMNANDEEKMRQEEQASQVAKRAAEALRQSRMLRSHDSVSVPTWTGRSGAAGAPTSVRRKFGSTVNPQVANNVKPSDELPSNGTNKINGFAAGASSGKALSSAELLARIKGNQEKAIGAGLEHQFGMMSSSTNQARSSRASENSSGFQPEVLIRKLCTFLQQQGGSSNSASIVEYFKDRIPSKDLALFKNLLKEIATLHKGPNGSHWVLKPDYQV >OIV90684 pep chromosome:LupAngTanjil_v1.0:LG20:7977097:7982312:-1 gene:TanjilG_23797 transcript:OIV90684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSNGASNATLAQKKSQLPSPFDLDDYQILDKVYLSHLHDDEKCDKDVLFNIVSTVVLNRSTDSRVVVTSFQPEFRALKLISCQMIKTPHGLHYVHQTTMWILQQLKTYSWDAKALITLASFALEYGNLLYLTDVSTPNQLVNSLKQLNQVQNRKLPNNNYIGLVGDVIQHIKDWAMWSGYDTEEVPSLSDALQEIPVVVYWTIAFLVASTGNITGVSDYILSEFTERLSTVDNKLKEHLKRIKEQIDYANDYLRRKKAFSNPKDIVDFLKLLTHRNGSVAPQVYDGSDKNNKDIEVLRQKHVLLFISSLNRIDDEILLLNSIYDRLQENPEEIIKGYKKEDFNILWIPIVEDVWDDILKIKFNNLKNKIKWYALEYFNELPGIGIIRENLNYKADPIIPVINSQGEIINRDAMQLIFQWGIDAFPFRKIDGDDLTLKWKWFWDVAKKVNLGIQVKGDRYTFIYGGADKKWIQDFTLAVDTIKRHETIVRADAIIEYYQLGKDDPKIVPRFWIEIESKKLKKHQEGLDCKVQEIVKSLLCLKQDPQGWVILSKGSNIKHLGHADPMYETVKDFETWKDKVLQKEGFDIAFKEYYETKVKEIYARQPCATINVDNYDSNVLATITCPNPTCGRLMEVTSINYKCCHSDGSDGGNGKI >OIV91051 pep chromosome:LupAngTanjil_v1.0:LG20:4444612:4450542:-1 gene:TanjilG_17011 transcript:OIV91051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIIGVSSIYQTPSLELLKRQQTNPSVSLKPLSFDNKSHFNTVLRAHLNNRTLSAIATNNSSVLSEEAFNGLGFDDVTRDDDELRGFEYENGNPSSVPVSKDELDVSKLDLPSRLIQSLNKRGITHLFPIQRAVLLPALEGKDIIARAKTGTGKTLAFGIPILKGLSDDDRNSLRRGRLPKALVLAPTRELAKQVEKEINESAPYLSTVCVYGGVSYVTQQSSLSRGVDVVVGTPGRIIDLINGNSLKLNEIEYLVLDEADRMLAVGFEEDVETILQTVPVERQTMLFSATMPGWVQKLSRKYLKKPLTIDLVGNEEEKLAEGIKLYAMSATSTSKRTVLSDLVTVYAKGGKTIVFTQTKKDADEVSLSLTNIISSEALHGDISQHQRERTLNGFRQGKFTVLVATDVASRGLDIPNVDLVIHYELPNDPETFVHRSGRTGRAGKLGTAILMYTSSQRRTVRSLERDVGCKFEFISPPAIGEILESSAQQVVATLKLVHPESIEFFMPTAQKLVEEQGANALAAALAQLSGFSRPPSSRSLINHEQGWVTLQLTRDGDNSRRFLSARSVTGFLSDVYSPAADEVGKIHLIADEKVQGAVFDLPEEIAKELLTKEVPPGNTISKITKLPPLQDDGPASDFYGRFSDDREQGSSRNRGGGFKSSRSWGGSGRNSDDDFGDSYGRGGGRGYRSGNNRSQSRRSGGDDWLIGGRGSSRSSSNRGGYGGGSYGGGAGACFNCGQSGHRAAECPKKRDFF >OIV91234 pep chromosome:LupAngTanjil_v1.0:LG20:1960537:1963122:1 gene:TanjilG_30456 transcript:OIV91234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANETEVKYEEESTLNSRGMQLLASRWLPQNEAPKALVFICHGYAIECSITLNSTATRLAKAGFAVYGLDYEGHGKSDGLQGFVNNFDDVINDCSNYFTTICEKVENKKRMRYLLGESMGGAVALLLHRKMPEYWDGAILVAPMCKIADDMKPNTMMFSILSALCKVVPTWRIVPTLDVIDLAFKVPQVREQIRANPYCYKGKPRLKTAYELVRVSTEIEQRLHEISLPFLVLHGEEDKVTDKSVSNELYDVASSLDKTIKMYPGMWHGLLYGEPLENLNIVFSDIINWLEEKSQYGNTRIEREEHEEQKGDFVNGCKQDC >OIV91014 pep chromosome:LupAngTanjil_v1.0:LG20:4117860:4122676:-1 gene:TanjilG_16974 transcript:OIV91014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTEGTKRSKIALPPRAINVHKYAETRQPELQSLQSIVENRVNNDYRSQRNKRRRTTAFRNQTTRKKKRQDPGIVSENNEEIKKIPRRIRRRHELKMNPDNGFCVCGDGTKRLRTHVWHAKRFSMTKLWGYNLPLGLHGRGKGSRAILKHLKHGVLVHDASYYTAIQLEGPEDSLVSVLRMVLEPSPVTCPENHNDSVVSGINYGSAMLYRIGAPVSQAIAPVTYMWQPISQQNTSMELDGCDESMKDLGEKSEIIRHGDSFRRLLVWIHASAFAEGYDNLKLACQKEMEKSAISINCVSLEGQLAKIELMGSGTFQLLQKILHPVRGISNNHFQLRKHVATEEDIVSQNNRPFTLKNEDNFSSHALLSLNVMDPRDLPRKRAVDPTDPISTEELSDAQEINYEKLDDMEGGMLEKNTDLSSSSCSKLDESWSGVDDLWFATSRGFNPPMDDSVLSKEKRRKHLVNFCLDDIDPVEEKSSTKVQCSTSCPILLLKNDTKELVIGWSVILPLSWVKAFWIPLISNGAHAIGLREKHWISCEKEISVVNSDVSTREDLTNANSLPNTSSRTFKTRKSDSKNNSFDGTVARSGCMLTTFLDEAKAGQLLLFPYADRKARISKFLNGELKLDNSHGSSVVYDHKLCFLRVHLHPFKEGFFEEGAVICAPFPSDISLWTSGEEKSEEGLQTTQSAVGLYFKEHSSGKWDMQIPDDSIAKESHRWPIGFVTTACVQGSKKLVAVGFCEAVLLAHLREEQWKEIPEKQRRREIYVLVRNLRSVAYRLALASIVLENQENDVDFL >OIV91055 pep chromosome:LupAngTanjil_v1.0:LG20:4464081:4464398:-1 gene:TanjilG_17015 transcript:OIV91055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRACRKILKSVPCTSRKTMRTDSMKDMEEILRAKLSTIKEEPELCEDNLTPPRHMRMAKKQRKKIQANEKMGRCLVPHVNLKQSYVLFISGIATKGTFAGFLQR >OIV90914 pep chromosome:LupAngTanjil_v1.0:LG20:3208262:3214606:-1 gene:TanjilG_16874 transcript:OIV90914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEQSELKIYNSMTNEKEIFKPKEPGKVSMYVCGVTAYDYSHLGHARAAVSFDILFRYLKHSGYEVTYVRNFTDVDDKIIKRANETGEDPFKLSNRFCDEYDLDMAYLQCERPSREPRVSAHIDEIKDMIIQIINNGYAYEVDGDVFYAVEKFPNYGMLSGQRLEHNRAGERVAVDSRKRHPADFALWKAAKPGEPYWDSPWGPGRPGWHIECSAMSACYLTHKFDIHGGGIDLIFPHHENEIAQSWAVDKESNISYWLHNGHVTNNNEKMSKSLGNFFTIRQILVRYHPLALRHFLISAHYRSPLNYSVSQLESSSDAIYYIFQTLQDCKDTLSSLQQESTEENKKVPQVEEAAKECIKKMRIEFQTKMSDDLQTPVIITGSLQEALKYINNSLKKLKKKMQKRAQLQLIQSLIEVEKEIGKVLDVLGFLSSKSYVKIQSRIDTEKDIGKVLDLVGSLPSNSYAEILQELKDMALKRAGLAEDEVLSLIEERTQARNNKDFPKSDKIRTDLTAKGIALMDVGTETIWRPCIPSEPIVVEVVQKAPIVVEEKQSTPPVNQKVEGEGNGPNTASS >OIV91266 pep chromosome:LupAngTanjil_v1.0:LG20:1734769:1738046:-1 gene:TanjilG_30488 transcript:OIV91266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSVTKSLKSYNKHSRKFSLTRNTDSSSSDHENLPILLHQDNNHSNPSSAVCDNAQHESFDFRRKVQPPLPEEDPPSRLIGKFLHKQRASGDFSLDMDLEMEELQEHYAGDGKMTPVEESPTMNHYRISRDVKVSFEEPAPVVVEVANDDVVGSKNSKDSPSIGEFQRPPQPDRRGGAPYHSGDGEVLRCTSNGSLERNLSMQRKSSLLKAKTRSRLLDPPEEPDKRSGRVPRSSQMFSGYMGKKGGDDEEDDPFLEDDFPDEYKKTHFSFWIFLEWLSLILIIGLLITTLSVPLLSNKKLWQLKLWKWEVMVLVLICGRLVSDWMIRIAVFFIERNFLLRKRVLYFVYGVKKPVQNCVWLGLVLIAWHFLFDKRVQRETTSSFLEYVTRILVCLLVGTLVWLVKTLAVKVLASSFHVSTYFDRIQESLFNQFVIETLSGPPLVELQKAEEEEQKLAEEVQKLQNGGATIPPDLRAATAFSTIKSGKLRSGVLQKSPMTSRPLSKKLDDGKGGITIDHLHKLNPNNVSAWNMKRLINMVRHGALTTLDEQILGSANEDENATQIRSENEAKAAAKKIFQNVARHGCRYIRVDDLMHFMREDEAEKTLSMFEGASDSGKISKSALKNWVMVVEEMNILTTIFLRYDNQKILIPNSVLATKAISNFYRSPDMGDAIEFCIHISTPIESISAVKHRIQSYIDNKKEHWYASPLIVFKDCEQLNMIRLAIWPTHKMNFQDMGERFARRSLLIEEMIKIFQELDLQYRLLPHDISIRSMPTTSDRLPPSWATIKN >OIV91024 pep chromosome:LupAngTanjil_v1.0:LG20:4220093:4222123:1 gene:TanjilG_16984 transcript:OIV91024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVADAELVCQQSMAMLDVKYFPNKENKVHEIEGEDSVSPPNFDRVRATELVSAELSTSQEDVEPGERIADAALESDVVQFVPCIRSGSFVDIGPRRYMEDEHIRIDDLSSHLGLLYNFPKPSAFYGVFDGHGGSEAAAYIRKNVMKFFFEDVNFPQTSEVDSEFLQELENSLTESFLLADSALADDCNVNSSSGTTALTALIFGRLLMVANAGDCRAVLCRKGEAIDMSQDHRPIYPSERMRVEELGGFIDDGYLNGVLSVTRALGDWDMKLPKGSSSPLIAEPEFRQVILSEDDEFLIMGCDGIWDVMSSQHAVGLVRRGLRRHDDPEQCARDLVMEALRLNTFDNLTVIIVCFSSLDHRESSPPRQRKLRCCSLSAEALCNLRSLLEGSASN >OIV90979 pep chromosome:LupAngTanjil_v1.0:LG20:3807144:3809997:1 gene:TanjilG_16939 transcript:OIV90979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFSLFFATFLSLFFTLLLHCNAFPTHYHSPHPHFATHNYKDALTKSILFFEGQRSGKLPSNQRMSWRKDSALSDGSTMHVDLVGGYYDAGDNVKFGFPMAFTTTMLSWSVIEFGGLMKNELQNAREAIRWGTDYLLKATAHPNTIYVQVGEATKDHACWERPEDMDTPRSVFKIDANNPGSEVAAETAAALAAASLVFRRSDPTYSKLLLSRAIRVFQFADKYRGTYSNVLKTIVCPFYCDYSGYQDELLWGAAWLHKATKNPLYLNYIQVNGKILGAADFDNTFGWDNKHVGARILLSKEFLIEKVQSLRDYKGHADNFVCSLIPGASFSSTQYTPGGLLFKMSDSNMQYVTSTSFLLLTYAKYLTASHMKVNCGGTTVTPRTLITIAKKQVDYLLGDNPLRMSYMVGYGPRYPRRIHHRGSSLPSIAVHPEKIQCSAGFSVMNSQSPNPNILVGAVVGGPDLHDRFPDERSDYEQSEPATYINAPLVGSLTYLAHSFGQL >OIV90381 pep chromosome:LupAngTanjil_v1.0:LG20:14170289:14180800:1 gene:TanjilG_10681 transcript:OIV90381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYAKVPMPQVIWLVQVVSVTKASYLPISPVPVPLPDPCLCRYPVPMALSLCKLSHASGLVTVPIVLACVNLLPVLRQCVTVTCSLSYANVACAYVHVACAHVRAKMAYAKVPMPQVIWLVQVVSVTKASYLPISPVPVPLPDPCLCRYPVPMALSLCKLSHASGLVTVPIVLACVNLLPVLRQCVTVTCSLSYANVACAYVHVACAHVRAKMAYAKVPMPQVIWLVQVVSVTKASYLPISPVPVPLPDPCLCRYPVPMALSLCKLSHASGLVTVPIVLACVNLLPVLRQCVTVTCSLSYANVACAYVHVACAHVRAKMAYAKVPMPQVIWLVQVVSVTKASYLPISPVPVPLPDPCLCRYPVPMALSLCKLSHASGLVTVPIVLACVNLLPVLRQCVTVTCSLSYANVACAYVHVACAHVRAKMAYAKVPMPQVIWLVQVVSVTKASYLPISPVPVPLPDPCLCRYPVPMALSLCKLSHASGLVTVPIVLACVKLVDHGTVLSSMSHNDTLKPTYNVLPFFLLNVSCHSTK >OIV91447 pep chromosome:LupAngTanjil_v1.0:LG20:327786:330251:-1 gene:TanjilG_02065 transcript:OIV91447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDILNLIPFIFLFFIPYSFCFTPLDNYLINSGSYSNASLFNRVFIPDSTFLYAHDSISLTNQNPPPNSPTLYHTARVFTNSASYTFNMKKNGIHFLRFHFFPFKAQGFYMNSAKFDVLVNGILVLSDLKLTNDVLVKEFILKIQSNLLEILFRPVGNSGFGFVNALEVFTAPPDFVSDYGTRLVGSNGLEKYKNLSTRVLETIHRINVGGLKITPFNDTLWRTWIPDEDFLVFKIAAKAAVSTHIPNYQKGGGATPEIAPDNVYMTAQQMNRENSILASRFNITWNFSVAPPGAGGVPHLVRLHFCDIVSPVLNVLYFDVYINEYIAYKDLDLSSITVHTLASPVYVDFVADSDDSGVIQISVGPSDLSSAMRMNAILNGAEIMKVVNVIDSDIVHRKKKLGMLVSSVIGGVVGLFTIITALLLAMRCRKKNRKLRTVESAGWTPLRMFGGSSLHRMLSEPGSHGYSGLKIPFADIQSATNNFDRSLIIGSGGFGMVYKGVLKDNVKVAVKRGMPGSRQGLPEFHTEITVLSKIRHRHLVSLVGFCEENSEMILVYEYVEKGPLKRHLYGSAAIPPLSWKQRLEICIGAARGLHYLHTGFVQGIIHRDIKSTNILLDEDYVAKVADFGLSRSGPCMNETHVSTGVKGSFGYLDPEYYRRQQLTDKSDVYSFGVVLFEVLCGRPAVDPQLTREQVNLAEWALEWLNKGMLEHIVDPHLVGQIKESSLKKFGETAEKCLAEYGVDRPTMGDVLWNLEYALQLQESGQQREPNIDSSTNEAVNVTTRIVLGSSSSNRTERDYDNGCSDIGTSQVFSQLMTNEGR >OIV90751 pep chromosome:LupAngTanjil_v1.0:LG20:6233385:6239046:-1 gene:TanjilG_15484 transcript:OIV90751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLLNLYYFIHSLIGLGYASFKKLTYSYHPISDAQWQIKSISSETMEAGVYPERPGEPDCSYYIRTGLCRFGVTCRFNHPPNRKLYYLKTGTCKFGATCKFHHPREQAGSAGRVALNTLGYPLRPNEPECTYYLRTGQCKFGNTCKFHHPQPSNMVLSLRGSPVYPTVQSPTAPGQQSYAGGIANWSRASYIASPRWQGPSSYTPLILPQGVVSVPGWSAYSNTLVINPLTLYNATVSLEPTIAEMCQHPDGMFILQGQMRSISASDSPQQIIGNDQTYGTSRQDEVTNSGSQGEYSQFRSGSVPVGFYALQRENIFPERPGQPECQFYMKTGDCKFGAVCRFHHPRERLIPVPDCVLSPIGLPLRPGEPLCVFYSRYGICKFGPSCKFDHPMGIFTYNLSASPSAEAPGRGLLGSSSGTAALNLSSRPAESGSSKPRRLSISETRQIPSGDDDIDDEG >OIV91388 pep chromosome:LupAngTanjil_v1.0:LG20:782878:784198:1 gene:TanjilG_02006 transcript:OIV91388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSNYSNSPPSSSSSPPSLSHSLSPSQSPPPPSAMPPPPPPIVVVSPCAACKILRRRCVDKCVLAPYFPPTDPLKFTIAHRVFGASNIIKFLQELPESQREDAVSSMVYEANARIRDPVYGCAGAICQLQKQISELQAQLAKAQAEVVNMQCQQANLVALICMEMTQSQDQNMLQPQPNDDDMNCFLDDTDFASAWDPLWT >OIV90442 pep chromosome:LupAngTanjil_v1.0:LG20:12418563:12422799:1 gene:TanjilG_01920 transcript:OIV90442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRFWTQGGSDSEEEESDYDEEVVATAGESASQAVASKYMDNGSDSDDSDGQKRTVRSAKDKRFDEMSSTVDQMKNAMKINDWVSLQESFDKINKQLEKVMRVIESAKVPTLYIKALVMLEDFLAQALANKDAKKKMSSSNAKALNSMKQKLKKNNKQYEDLINKFRENPESEGEKDEEETEEEYETDDEIIEPEQLKKKEALSDSEGSRYDDEKQDPNETPWDQKLSKKDRLLDRQFMKDPSEITWDIVNKKFKEVVAARGKKGTGRFEQVEQLTFLTKVAKTPAQKLEILFSLVSAQFDVNPGLSGHMPIKVWKKCLQNMLVILDILVQYPNIVVDDSVEPDENETQKGVGYNGPIHIWGNLVAFLERIDTEFFKSLQCIDPHTSEYVERLRDEPTFLVLAQNVQEYLARVGDMKAASKVALRRVELIYYKPQEVYDAMRKLAELTEDGGIGGDASEESKGFEETRTPTAFVVTPEVVPRNPTFPENSRALMDILVSLIYKYGDERTKARAMLCDINHHALLDEFSVARDLLLMSHLQDSVQQMDISTQILFNRTMAQLGLCAFRVGLISESHGCLSELYSGGRVRELLAQGVSQSRFYEKTPEQERLERRRQMPYHMHINLELLEAVHLICAMLLEVPNMAANVHDAKRKVISKTFRRLLEVNEKQTFTGPPENVRDHVMAATIVLTKGNFNKAFDIIQSLGVWKFVRNRDAALGMLKDQIKEEALRTYLFTFSSSYDSLSLDQLTKMFDLSVSRTHSIVSRMMINEELHASWDQPTGCIIFQDVEHSRLQGLAFQLTEKLSILSESNERATEARIGGGGLDLPLRRRDNQDYAAAAGGAATSGGRWQELSFSQQRQGGGSGRGGYNTGRGRQSAFGQAAGSGYSRDRTGRVTGAGYQRSAHSGSAPRGSQVDASTRMVSLKGVRA >OIV90917 pep chromosome:LupAngTanjil_v1.0:LG20:3231448:3231627:-1 gene:TanjilG_16877 transcript:OIV90917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNDLVPAEDRSTLSFLDSSSDFMFCITNGLSQNLFSADELFWNITKAQLNHPKFKIPD >OIV90560 pep chromosome:LupAngTanjil_v1.0:LG20:9594027:9615454:-1 gene:TanjilG_10654 transcript:OIV90560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEEEKLLKDAKKLPWEDRLSHKNWKVRNEANIDLASLCDSISDPKDPRIREFGHFFKKTVTDSNAPVQEKALDALIAYLRAADADAGRYGKEVCDAVVAKCLTGRTKTVEKAQAVFMLWVELEAVDAFLDSMEKAIKNKVAKAVVPAIDVMFQALSEFGAKIVPPKRLLKMLPELFDHPDQNVRASSKGLTLELCRWIGKDHVKAILFEKMRDTMKKELEAELVNVTGTAKPSRKIRSEQDKEPEQEAVSEVVGPGPSEESGNDGPTEIDQYDLVDPVDILTPLEKSGFWEGVKATKWSERKEAVAELTKLASIIRISPGDFSEVCRTLKKLITDVNIAVAVEAIQAIGNLARGLRTHFSASSRFLLPTLLEKLKEKKPTSNVKTATKNKVPLVRSLTLTWVAFCIETSNKGVITKVHKDYVPICMEEKLKEKKPTSSEALTQTLQAMHKAGCISLTDIVEGRISRSAAFFKMYAVHGYSLFDVKTATKNKVPLVRSLTLTWVAFCIETSNKGVITKVHKDYVPICMESLNDGTPDVRDASFSVLAAIAKSVGMRPLEKSLEKLDDVRRKKLSEMISGSEDAVPGGCATAPVHNTRAGMSSAESSESAFVKRSAASMLSGKRPVQAAPVIKKGGVAKTGTNKKVDGVAPAKASKSIELPEDVEPSEMSLDEIESRIGSLVQSDTITLLKSAVWKERLEVLTSVLENRGELATTDDSFGFGFVENCFFMIIDSAITSLKQQVEGLQELDRSVEILIRLLCILPGWSEKNVQVQQQVIEVITHIASTAIKFPKKCVVLCLSGLSERVADIKTRAHAMKCLTTFSEAVGPGFIFERLYKIMKEHKNPKVLSEGILWMVSAVEDFGVSHLKLKDLIDFLKEIGLQSSAAATRNASIKLLGVLHKFVGPDIKGFLTEVKPALLSALDTEYGKNPFEGASAAPKRAVRVSDSSSSVVAGGLDSLPREDISGKITPTLLKCLESPDWKVRMESVESVNKILEEANKRIQATGTGELFGALRGRLFDSNKNIVMATLTTIGNVASAMGQAAEKSSKGLLSDILKCLGDNKKHMRECALNTLDTWLAAVHFDKMVPYVTIALTDSKLGAEGRKDLFDWLSKQLSGLSSFAEAAQLLKPAASSLTDKSSDVRKAAEACINEIVRVSGHEMIEKMVKDIHGPALALVLDKLKPHGAFHESFETTRAVSAGVTSKGVLKAGKSTANGVSKHGSRAISSRTVITKGTRSDSITVQDIAVQSQALLNVKDSNKEDRERMVVRRFKFEDPRIEQIQDLENDMMKYFREDLHRRLLSADFKKQVDGLEMLQKALPSISKEIIEVLDILLKWFVLQFCKSNTTCLLKVLEFLPELLDILKDEGYSLTESEVALFLPCLVEKLGHNIEKVREKMRELTKQFVLIYSASKCFPYILEGLRSKNNRTRIECADLIGFIIDHHVAEISGQLKSLQIVASLTAERDGEIRKAALNTLATGYKIIGEDIWRFVGKLSDAQKSMLDDRFKWKVREMEKKKEGKPGEARAILRRSVRENGSDVAEQSGEIARSLSGPILRKNYAQPDVNIERQLMPRPLPVASGPTNWNEALDIISFGSPEQSVEGMKVVCHELAQAISDPEGSAMDELVKDADRLVARTFDFSLTGASSRSCKYVLNTLMQTFQNKRLAYAVKESTLDSLITELLLWLLDDRVPQMDDGSQLLKALNVLMLKILDNADRTSSFVVLINLLRPLDPSRWPSPASNESFASRNQKFSDLVVKCLIKLTKVLQSTIYEVDLDRILQSIHLYLQDLGMEEIRRRAGADDKPLRMVKTVLHELVKLRGAAIKGHLSMVPIDAKPQPIILAYIELNLETLAAARMLTASGPGSQNHWGDSATNNSASGTHSADVQLKQELAAIFKKIGEKQTCTIGLYELYRITQLYPKVDIFAQLQNASEAFRTYIRDGLAQMEKNAAAGRTPSSIPMSTPPPASLNISSPDFAPLSPVNANPLGDAKLNVKPEPTNFNLPPSYNEENRAVNAFTSRTLASDYTSGDQRNERFMTGVTSGTLDAIRERMKSMQLAAAGTTDSGTRPLTSVNENLNHGFPPSQIPHASEHAGNENTLQGGVLPMDEKALSGLQARMERLKSGSLEPL >OIV90484 pep chromosome:LupAngTanjil_v1.0:LG20:11588076:11591098:1 gene:TanjilG_18668 transcript:OIV90484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSESPVSPGGGDGGGSHDSGDHSPRSNHREQEGFLPIANISRIMKKVLPPNGKIAKDAKDIVQECVSEFVSFITSEASDKCMREKRKTINGDDLLWAMAIVGFEEYIEPLKIYLTRYREGDTKGLTKGGHPPSRKDVHPSPNAQFSHQSSFSQGFDYSNSQAQHMTLPYQGSE >OIV90913 pep chromosome:LupAngTanjil_v1.0:LG20:3202594:3203367:1 gene:TanjilG_16873 transcript:OIV90913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENPCHSKTTFPTSQTRLKLFGFNVQEETLEDEVLHEDATTPKTTSTSTSPPSSSSSERKYECQYCCREFSNSQALGGHQNAHKKERQQLKRAQLQASRNAFIRNPIISAFAPPPHLLAPSPGSVMIPPGTPSWVYMPPRAAPPPFHVSVSHGCVFPSAHSKTISSRSNNNSYGNYSGCCNSAKSAGIGVFPYGGAVGDSSSALSTMGSQVQARAHRGGNEGSSLSRFSNGDSGPNFDDALGLDLHLSLAPAGPNGI >OIV90992 pep chromosome:LupAngTanjil_v1.0:LG20:3922756:3923391:-1 gene:TanjilG_16952 transcript:OIV90992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPKSEKDNATISSNPNTIVAHPHDQETKPSLVNQKKKHGNKNIFKVALFMMRGHHRKSKLLPVDDSSRSIWTKLVGSMRPLHLQNNNSPIQSPNAKSNTIVTLPYENQNTTDHHNEVDGFDSASDFANSPSPASSRYASAVGLNEMVQDDENHKEEENVMVEEDSTGDEMIDAKAEEFIAQFYLEMKLQNLDIMDPHYKEISMRSLGLTC >OIV90504 pep chromosome:LupAngTanjil_v1.0:LG20:10164745:10167354:1 gene:TanjilG_32381 transcript:OIV90504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIYEKGFERPSPIQEESIPIALTGSDILARAKNGTGKTAAFCIPSLEKIDQDNNVIQVVILVPTRELALQTSQVCKELGKHLKIQVMVTTGGTSLKDDIMRLYQPVHLLVGTPGRILDLAKKGVCVLKDCSVLAMDEADKLLSPEFQPSIEQLIQFLPRNRQILMFSATFPVTVKDFSDRHLRKPYIINLMDELTLKGITQFYAFVEERQKVHCLNTLFSKLQINQSIIFCNSVNRVELLAKKITELGYSCFYIHAKMLQDHRNRVFHDFRNGACRNLVCTDLFTRGIDIQAVNVVINFDFPKNSETYLHRVGRSGRFGHLGLAVNLITYEDRFNLYRIEQELGTEIKQIPPHIDQAIYCQ >OIV91073 pep chromosome:LupAngTanjil_v1.0:LG20:4655671:4659093:-1 gene:TanjilG_17033 transcript:OIV91073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNKYLWVAFCLWVLTCLLLPSFSYGLMRIGLKKRSIDLQSIRAGRSASAELTLGRPMLGAYDQYIGEPQDDGTVPLKNFLDAQYYGEIGIGTPPQTFNVIFDTGSSNLWVPSSKCYFSLACYTHHWYKPKKSKTYTKNGTSCKIRYGSGAISGFFSQDNVKVGNLIVKNQDFIEATREGSLSFVLAKFDGLFGLGFQEISVENAVPVWYNIVQQHLINEQVFSFWLNGDPNAKNGGELIFGGVDPKHFKGNHSYVPVTKKGYWQIEIGDFFVGGLSTGVCGGGCAAIVDSGTSLLAGPTTVVTQINHAIGAEGVLSVECKDVVSEYGDLLWDLLVSGVRPGDVCSQVGLCFLENDQSNKSVGIEMVTEKEHREISAKNTPLCSSCQMLVVWIQNQLKQKSTKEVVFDYVNQLCENLPSPNGESVVSCDGISTLPNITFTIGDKPYILTPEQYILKTGEGITQVCLSGFIAFDIPPPRGPIWILGDIFMRVYHTVFDYGNLRVGFAKAA >OIV90928 pep chromosome:LupAngTanjil_v1.0:LG20:3346966:3347337:1 gene:TanjilG_16888 transcript:OIV90928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRQRGGNVNPPKVEDVAANAIMKKKSKKEVEQKVVKVEERIEGTREVEQGVVDNVMGWNWEEYMPWMGGVVDEQMSWGSTWFPWWDMDFNGEAFSSLYCDAVWDDDIWNLNKEIPITIDRKM >OIV90365 pep chromosome:LupAngTanjil_v1.0:LG20:15730592:15739181:-1 gene:TanjilG_19774 transcript:OIV90365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLGFLLHSLIPSWNSVVLLVGFFTYLAIAGSIVPAKLVPGVLLPDATRLHYRCNGLVSLLLLVALLGISANLGFISPTAIADRGLELLSTTFIFSFLVSLILYFAGYKSKSKGSSLKPHIGGNLIDDWWFGIQLNPHFTGIDLKFFFVRAGMMGWLLINLSVIAKSIDAGTLDSSMILYQLFCALYILDYFVHEEYMTSTWDIIAERLGFMLVFGDLVWIPFTFSIQGWWLLKNKVELTTAAIVANCFVFLIGYKVFRGANKQKHDFKKNPKALIWGKPPKVIGGKLLASGYWGVARHCNYLGDLMLALSFSLPCGISSPVPYFYPIYLLILLIWRERRDEARCAEKYKEIWAQYSKLVPWRILPYVY >OIV90367 pep chromosome:LupAngTanjil_v1.0:LG20:15762239:15764390:-1 gene:TanjilG_19776 transcript:OIV90367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEHLASIFGTEKDRVNCPFYFKIGACRHGDRCSRLHTKPTISPTILLSNMYQRPDMNAPIVDPNQSQSLDPDKVQDHFEDFYEDLFEELSKYGEIQSLNICDNLADHMVGNVYVQFKEEDHAANAVMNLTGRFYSGRPIIVDFSPVTDFREATCRQYEENVCNRGGYCNFMHLKKISRDLRKRLFGRNRRFHDRSGSRSQSPPRNRKHEERSHSGRSSDRRDYDRHHDNHSGRGRLPRSRSPQRRGRRSRSPAGRDSRSVRESSAERRAKIEQWNREKELADSGNKNSSNGNDYEERDDAQNGKESGRHQNDQI >OIV90872 pep chromosome:LupAngTanjil_v1.0:LG20:5045510:5047291:-1 gene:TanjilG_15605 transcript:OIV90872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAKTQIQSLRKWVVEHKLRTVGSLWLSGIAGSIAYNWSRPNMKTSVKIIHARLHAQALTLAALAGAAVVEYYDHKTEAKAKDH >OIV90838 pep chromosome:LupAngTanjil_v1.0:LG20:5336282:5337807:-1 gene:TanjilG_15571 transcript:OIV90838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPKRIILMRHGESQGNLDTSAYTTTPDHSIQLTPQGMAQARHAGAQLRQLMGGGGCSPDWRVYFYVSPYARTRSTLREVGRCFSKKRVIGVREESRVREQDFGNFQAEARMKVIKETRQRFGRFFYRFPEGESAADVFDRVSSFFESMWRDIHNNRLQHDPSNDLNLVIVSHGLTSRIFLMKWFKWTVEQFELLNNLGNCEFRVMQLGSGGEYSLAVNHTEEEMLAWGLSPDMIADQKWRATANRGSWNDQCSWYLDEFFDHLAESDEDIVEKEDEANF >OIV90315 pep chromosome:LupAngTanjil_v1.0:LG20:17845271:17857822:-1 gene:TanjilG_13170 transcript:OIV90315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSIIGGDIDKSSGMVRKKKNGSIRSIFMHADGHDWFLMVFGFIGAIGDGFSIPLVLLITSKIMNNIGGSSINSGSTFIQKMNQNAVDLLYLAIGSFVACFLEGYCWTRTGERQAARMRVRYLKAILRQEVAYFDLHVTSTSEVITSVSNDSLVIQDCLAEKAPNFLTNISMFAGSYIVAFALLWRLAIVGFPFVILLVIPGLIYGRTLMGLARKIREEYNKAGTIAEQAISSIRTVYSFVGENKTIVAFSDSLQGSVKLGLKQGLAKGLAIGSNGVVFAIWSFMSWYGSRMVMYHGSKGGTVFAVGASISVGGLALGAALSNVKYFSEASSAAEQVMEVIRRVPKIDSDNMDGDILENISGEVEFDKVKFAYPSRPDSIILNDMCLKVQAGKTLALVGGSGSGKSTVIGLLQRFYDPIGGEIRVDGVAINKLQIKWLRSQMGLVSQEPVLFGTSIKENILFGREDANENEIVESAKASNAHNFISMLPNGYNTQVGERGVQMSGGQKQRIAIARAIIKKPRILLLDEATSALDSESERIVQEALDKAALGRTTIIIAHRLSTIRNADTIAVMQNGKIMEMGSHNELIQNVHGLYTSLIHLQQIENTKGDQDDYNNTYPLPNSSILSNRENMHNTSSRRLSIVSRSSSANSALRASINDGDDVEDVVEDDKLPVPSFWRLLALNLPEWKQACLGCLNALFFGAVQPTYAFAMGSMISVYFLTDHEEIKKKTMIYSLCFLGLAVFSLVVNILQHYNFAYMGEYLTKRVRERMFSKILTFEVGWFDQDQNSTGAVCSRLAKDANVVRSLVGDRMALLVQTISAVVIACTMGLIIAWRLAIVMIAVQPIIIACFYTRRVLLKSMSEKAIKSQDESSKLAAEAVSNLRTITAFSSQDRILKMLEKAQEGPRIESIRQSWFAGLGLACSQSLTSCTWALDFWYGAKLISHGYITSKQLFETFMILVSTGRVIADAGSMTSDLAKGADAVGSVFAVLDRYTKIEPDDTEGYKPEKLRGQIELNDVHFAYPARPNVMIFQGFSMKIDAGKSTALVGQSGSGKSTIIGLIERFYDPLKGTVTLDGRDIKSYHLRSLRTHIALVSQEPTLFGGSIRDNIAYGSSDNKTNEAEIIEASRAANAHDFIASLKEGYDTFCGDKGVQLSGGQKQRIAIARAILKNPEVLLMDEATSALDSQSEKLVQDALEKVMVGRTSVVIAHRLSTIQNCDVIAVLDKGNVVEKGTHSSLLDRWIIDESTGQRFKLVCANWAGHLQPMLPEGLDKQPLRYLVAQVVKNKFNCIRLTYAVYMWTRYGNHIVEDTLKYLDIPEVVKGIEKTNPSLLKMTHIQVFDLVVKELGAYNVKVLLDNHVSEPKWCCNKNDENGFFNDRNFKPVEWLQGLHLAAKHFSGNSAVVAMSLRNELRGPRQNEGDWYNYMAQGAAAIHKTNAEVLVVVSGLNYDTEFQFLKKKPMKIDLGKKLVYETHLYSWSGVGTLKLKDIWTSQPLNRICANSIEGLENQAGFLTTGKDAAPLILSEFGFDQLGSTVSDNKFLTCLQTYLVEKDLDWCLWAFHGGYYLREDKVHPDESFGVLDASWKRLRYPNFSDKFQLLQRKNQDGAHILLVSNKKCLTATREGIPTMISDDCNKSSWKSVSLSKLHLATTDQNGEQFCLQKDSNSSRIVTSKCICIQDDSTCLDDPQNQWFKLVPTNV >OIV90775 pep chromosome:LupAngTanjil_v1.0:LG20:6027610:6036031:1 gene:TanjilG_15508 transcript:OIV90775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFVTDAASFDAELLQLPEFSSSALKSNINFAEKVFDQWLSLPESVRSVTSLLNEAKSGAPLNVPGNCSSPNAASNSLPSMFPAGTAPPLSPRSTSGSPRIVKQRAGPSNLGSPLKVVSEPVKEVIPQFYFQHGRPPPNDLKEQCLFKIDHLFHDHLDGLQKHEFKSVTKEVCKLPSFFSTSLFRKIDNGTGLVTRLFLNFPFLTNETGSASLCLPSYLSFVLMFLPGRKAFIDYWVNGNMLTMDIATQIYTILKQPQLKYLTQDDFKPVLRELLATHPGLEFLQSTPEFQERYAETVIYRIYYYVNRSGNGRLTLRELKRGNIIDAMQHADEEEDINKVLRYFSYEHFYVIYCKFWELDTDHDFFIDKENLIRYGNHALTYRIVDRIFSQVPRKFTSKVEGKMGYEDFVYFILSEEDKSSEPSLEYWFKCIDLDGNGVLTRNELQFFYEEQLHRMECMAQEPVLFEDILCQIIDMIGPENESFITLHDLKGGRLSGSVFNILFNLNKFMAFETRDPFLIRQERENPTLTDWDRFAHREYIRLSMEEDVEEASNGSAEVWDESLEAPF >OIV90452 pep chromosome:LupAngTanjil_v1.0:LG20:12843783:12844565:-1 gene:TanjilG_01930 transcript:OIV90452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METCPLPPQWEVLVLVSQHLDPQTLAIASCVSKSWLFSMSCDHLWKPILTTHFPSLSTLTPAVPFQRLFAIGHSAAKRRRKNPSKSKPKLSLSDLVFAVTLSSHESSCVVTITKPCDTLLVDPPGVFRFDVDVMDEGFKYGSADLRKGLEGVKVTWNVILKGWKEIFTMMDCEGNVGFVTGSGEGWFAQELPTPGCCSNAAASTVEADLKLQMCDGRESDGKVRVKKVNLGIMNVVNWRYVSVEDGLRYLEHFLLTCNEL >OIV90393 pep chromosome:LupAngTanjil_v1.0:LG20:14504518:14505333:1 gene:TanjilG_10693 transcript:OIV90393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPLDLEKLVSALASGSSDRKITCETLADEDEPYRHPDSPPESFWLSGDAEYDWWDRNAVVYERKESTKGNSIIINSNPNSTSNSQRYSMSLKSKAAILGLPKPQKTAFPDVKSRRHNRIGTGLLFPNRSGSAGKSESSVVVEPLSPKVSCIGRVRSNRNRRLRARQRSVSSTTAATSATTVVRQKSSRSGRRKTGFFESIRAIFRSDRRGKPVQRHEEPTTKKSKGRKAKGSSSKASLNDVSFNESVQSEPVGLGGMNRFASGRRSESWL >OIV91229 pep chromosome:LupAngTanjil_v1.0:LG20:1987922:1991628:1 gene:TanjilG_30451 transcript:OIV91229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDSLPFIRPLPKKFTFGNESLSLGPALSLSGNAASSHIVRAAFDRYKGIVFRNTDRYGLIRPDRTLYDVNKLNIIVHSFSEELQLGFDESYSLFQKLRFILLLEKPQLSFWCIAWIRGMYRVWNSIRSILLAYPFRSIVEHGMEKELVVGEMNVLRWHVIDAQSFPLEVPSYPNLWKGSYTKWERYAVEDAYEIVKGTGYPDLWPSPSCREPLDVSKNFTFDVLSVLAIWHKVINIMGFLKTGSCTFHVLLIFPPSTMLGPGGCPKAVAEGFRCIFSNQGVWYLDHLDVPWDVVYTAEPVEGIHKASEQKLVLGGEVCMWAENADISDVLQNIWP >OIV91222 pep chromosome:LupAngTanjil_v1.0:LG20:2025368:2027718:1 gene:TanjilG_30444 transcript:OIV91222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFQHKNCSIPRDAGCLAKENVGYENSSRIEAKRGHQWSMNTSEPEVFGSNKKQALEDVSGNPISGVSHVNISPLDTNSGFHSVTSQSSDRVFGSGLRAFNLVDKNMPSIEDGNLNTGRREFENQYGNDPSVGLSVSHTIEDPSSCPSFGGIRKVKVSRVSDSNKGMSSSMGYSYCRGDNNTISIGVGCSKDDGSISLGPTYSNANDNIIPTAPTITKADGDIVSAGDAFNKGGGSFMLMGHNYSKGDENVFSMSQAFDRRDGNFVSIGQSYEKEDDNMSSLGTIYSKGHENSISMGPIISRSRENFTTTAASYDNGISPIISIGPTYGKVDSNIASTVPSYDKEDSRSLATGNNHNKGDGSTSFGFFHDGPEPNQSGGIISGYHLLMMGNQTSSQGLDVKKALTESNSELTIYNTPKSNPESKAPKTTKKDTTNNFPLNVKSMLSTGIFDGVPVNYVSISRERTLTGIIKGAGYLCLCDDCKESKKALNAYEFERHAGSKSKHPNTHIYFENGKTIYAVVQELKSTPHDMLFDAIENVTGSTINEKNFRNWKASYQAATRELQRIYGKDEVITRF >OIV90855 pep chromosome:LupAngTanjil_v1.0:LG20:5180394:5186652:-1 gene:TanjilG_15588 transcript:OIV90855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVNVTEAEGKTILDSGWLAARSTEVHFNGIQLTTTNPPTGPTSPWMEALVPSTVLATLVKNKLVPDPFYGLGNEAIFDIGDSGRDYYTFWFFTTFHSKLSGNQYCDLNFRGINYSADVFLNGHQMVLPKGMFRRHSLDVTKILHPDGTNLLAVLVHPPDHPGMIPPQGGQGGDHEIGKDVATQYVEGWDWMTPIRDRNTGIWDEVSIYITGPVKIIDPHLVSSFFDNYKRAYLHTTIELENRSLTIAECSLTIQVTAELEGNIHSVEKLQTIHLSVPAKSHMQYTFPELFFYKPNLWWPNGMGKQALHNVVINVAVKGFGESDLWSHYFGFRKIESHIDKATGGRLFKVNGEPVFIRGGNWILSDGLLRLSKKRYETDIKFHADMNFNMIRVWGGALAERPEFYHYCDYYGLLVWQEFWITGDVDGRGDPISNENGPLDHAVFLVCARDTVKLIRNHPSLALWVGGNEQTPPDDINAALNNDLRLHPYFEHANENFNNVGALSPTLGDPSQYLDGTRIYIQGSLWDGFANGMGDFKDGPYEIQNPEDFFKDSFYKYGFNPEVGSVGMPVAATIRATMPAEGWQIPLFNKLPNGYVQEVPNPIWKYHKYIPYSKPTKVHDQIQLYGAAKDLDDFCLKAQLVNYIQYRALLEGWTSRMWSKYTGVLIWKTQNPWTGLRGQFYDHLLDQTAGFYGCRCAAEPVHVVNTTSEQLSNMAIEASVWDLEGKCPFSKVIDNLSLLPKKVTPIVEMEYPKSKNPKPVYFLLLKFYKMADSKTLSRNFYWLHLSGGDYKLLEPYREKKIPLKITSEVFIDGLTHKFQMHVKNTSNIPNSKSLTSRQSDSWFATDSLKTVHSGDGKEHETGWLKRIQRRVAGKHDGLKVSEIKGNDIGVAFFLHFSVHASKSDQKEGGDTRILPVHYSDNYFSLVPGEAMTVTLSFEVPQGVKPRVTLNGWNYQEGQTIHEVLQ >OIV91246 pep chromosome:LupAngTanjil_v1.0:LG20:1862502:1864844:1 gene:TanjilG_30468 transcript:OIV91246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGVGGTIMVDSSHGSGNRVLTRTDSMLFFSSPKGGVGFAYGFACASVLCMLFLVLNPSGYYVPPTRFSNNNNNNNNSSRAYHHFAHFFTTNISSFSEPSHHNDTSVNVNSVNASITHDKSSENSAKVDDHGSSQISRKEGSGLVKPKHNKKRKHHSPQKGMHLKRKDWRKLKDCDFFEGSWVRDDSYPLYKAGSCPLIDEPFNCVLNGRSSNLFEKFRWQPKNCNIPRLNGKDMLDLLRGKRLVFVGDSLNRNMWESLVCALRNSVEDKSKVFEVSGREEFRTEGSYSFIFTDYNCSIEFFRSPFLVQEWEIPDQKGTMKETLRLDLVERSCDKYKDADILIFNTGHWWTHEKRTEGKEYYQEGDYIHGKLNVEEAFHKALSTWSRWVDTNVDPNKTKVFFRGYSPSHFRGAEWDSGGRCENETEPMKNESDLSEQPSMMRTIESVIKEMKHPVFYLNITKMTDFRIDAHPSMYRSFNMTEETKSYMLKHQDCSHWCLPGVPDTWNELVYAHLLFSMDRNKGNLQKKS >OIV91052 pep chromosome:LupAngTanjil_v1.0:LG20:4453327:4453781:1 gene:TanjilG_17012 transcript:OIV91052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAWVGGPGAVDLSLNGRVLKPSWFLMTCSPQFIGDIFTPTYNDYVLYNHGEGEEGTRKYRSRTFVPTEPEMDSVRRNKLMFGSKVDELQFSGLSNSCTPSVSNAKSSNEMKSTLLVDMSNSLSVP >OIV90798 pep chromosome:LupAngTanjil_v1.0:LG20:5793086:5795632:1 gene:TanjilG_15531 transcript:OIV90798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAINALVSLLCTLVLLNSLIHASSKDSDPLILGCGLGEGGGKDDDGREWLPDNKYVSGGNSITSKALFQDPSLLSVIPYMTARIFTSEATYKFPVQPDKRYWLRLHFYPSVYDSYNPSNSYFSVTANGVTLLSNFSASITCQALSQAYLDREYSLAPLNSDTLTLVFKPSDKYNGAFAFVNGIQLMQMPELFDSASLVGYADQTVDAKSLHLQTMFRLNVGGQYISPNQDSGLSRMWYDDTPYIYGAATGVTNQVAKDVKIDYQTMAQYIAPSSVYSTSRSMGNNKDINMGYNLTWIFQVDPNSMYLTRLHFCDYYYSKVNEIVFNIYVNNQSAQAQADVIGWTGGKGVPTYKDYVVYVQDQAGEDTLWLALHPAPDTKPEYYDAILNGVEIFKLNDTNLSGPNPQPSEMMVEHEEEKKFEEKDTHYSKTSVIGGAAGSAIGVALVTAICIVVHKKKMSPGSYSHNSSWLPLYGNSQLSGNSKSTISGKSTVGSTNLSAMAQGLCRYFSLQEMKQATKNFDEANVIGVGGFGKVYKGVIDNGLKVAIKRSNPQSEQGVNEFQTEIEMLSKLRHKHLVSLIGFCEEDEEMCLVYDFMALGTMREHLYKGNKPISTLSWKQRLEICIGAARGLHYLHTGAKYTIIHRDVKTTNILLDENFVAKVSDFGLSKTGPNMNQGHVSTVVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLFEALCARPALNPSLPKEQVSLAEWALTCKRKGALDDIIDPSLKGKINPESLKKFADTAEKCLSDHGLDRPSMNDLCWNLEFALNLQENPDGSSSSSSNVVEGEFEEVNLLDNNNNGMAANYKNLSLGSEHEVSQ >OIV90124 pep chromosome:LupAngTanjil_v1.0:LG20:20742628:20750613:1 gene:TanjilG_01578 transcript:OIV90124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSEWHIEKRSISPNESPSFRDLANLEITSETGSLSIVVLGASGDLAKKKTFPALYHLYRQGFLPPDEVRIFGYARTKISDDELRSRLHGYLVQDKDASPEQLDVLSKFLHLIKYVSGSYDSEDGFRLLDKEISEHESSKNSCIIPNDFLAPIIFNLLSAIIIIVRCSFTSNSLFDTRFGEHFLHFGTLKDGFKDLANLEITSETGSLSIVVLGASGDLAKKKTFPALYHLYRQGFLPPDEVRIFGYARTKISDDELRSRLHGYLVQDKDASPEQLDVLSKFLHLIKYVSGSYDSEDGFRLLDKEISEHESSKNSSEGSSRRLFYFALPPSVYPSVSKMIKACCVNKSDLGGWTRIVVEKPFGKDLESAEQLSTQIGKLFDEPQIYRIDHYLGKELVQNMLVLRFANRMFLPLWNRDNIANVQIVFREDFGTDGRGGYFDQYGIIRDIIQNHLLQVFCLLAMEKPVSLKPEHIRDEKVKVLESVVPIKDDEVVLGQYEGYKDDPTVPDNSNTPTFASVILRVHNERWEGVPFILKAGKALGSRKADVRVQFKDVPGDIFKCQKQGRNEFVIRLQPHEAMYMKLTVKQPGLEMSTVQSELDLSYRQRYQGATIPEAYERLILDTIRGDQQHFVRRDELKASWKIFTPLLHRIDKGEFKSIPYEPGSRGPAQADELLEKAGYVQTHGYIWIPPTL >OIV91086 pep chromosome:LupAngTanjil_v1.0:LG20:3090276:3096691:1 gene:TanjilG_30308 transcript:OIV91086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERILVFIGQDPLIAHESGKFIIWLIPALFAYAIMQPLVRYFQMQSLLFPMLISSCVALCIHIPLCWALVYKTRLHNVGGALALGISNWSNVIFLGLYMRYSSTCEKTRAPISTELFHGIWEFFRFAVPSALMICLEWWSFELLVLLSGLLPNPQLETSVLSVCLNTISTLYTIPFGIGAAASTRVSNELGAGNPQTARIAVLAAMSLAVLETCVVSATLFGCRHIFGYVFSNEKEVIDYVTLMAPLVCISVILDSIQGVLTGIARGCGWQHLGVYVNLGAFYLFGIPAAATLAFWFKLRGKGLWIGIQVGSFVQTLLLSIITSGINWEQQANKARNRLLEDESSADNRLVSLIEKDREGEDEPCSGIITWSVFVQEVKDVCYLSAPMITVNLSEYFLQIISLMMVGHLGKLALSGTAIAISLCAVSGFSLLLLTLLGQDPLISQEAGNFAGCMIPALFAYAILQALVRFFLMQSLIRPLVISSTVSLFFHIAFCWLLVFKSGLGSIGAAFSIGASYWLNVILLGLYMFSTDSERIRTPISMEIFYGIGEFFRYAIPSAGMICLEWWSFELLTLLSGLLPNPELETSVLSICLSTISTIYTLPESIGSAASTRVSNSLGGGSPQAARVSVFAAMALAVSEALLVSSILFACRNVLGYAFSNDQDVLDYVTDMVPLLCLSVILDTLHGTLSGIARGRGWQHLGAYVNLGAYYVVGIPIAAILGFWIELRGKGLWIGIVIGAFCQTVMLSLITSGTNWEKQVSKARERVFQGRFAVEDLV >OIV91209 pep chromosome:LupAngTanjil_v1.0:LG20:2109070:2110876:1 gene:TanjilG_30431 transcript:OIV91209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRPGPSLPPQRRMTRTQTGGNLGEAIFDSEVVPSSLVEIALILRVANEVEKTHPRVSYLCRFYAFEKAHRLDPTSSGRGVRQIKTALLQRLERHYYKKYIQALQNAADKADHAKLTKAYQTANVLFEVLKVVNMTQSMEVDRKIAQALMPLVRRAGFWGSVKTLARGYEVIMGLLLFTPVAFLAWFPFVSEFQTRMLFNQAFSRGLQISRILGGQRKGRSSRNKE >OIV90736 pep chromosome:LupAngTanjil_v1.0:LG20:6294639:6299587:-1 gene:TanjilG_21867 transcript:OIV90736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDATAKAVPVELPHHRVFYGCDPPSHKCHSMAVVLSIDNTNAPCPGAVGLRIRVYDRIMCFVNCHFAAHLDAVSRRNADFDHVYRTMSFSRPTNFLNAAAASTSSSIPTFRVTNSAEAMPELSEAEMVVFLGDLNYRLDGISYDEARDFVSQRCFDWLRERDQLRAEMDTGNVFQGMREAIITFPPTYKFERHQVGLAGYDSGEKKRIPAWCDRILYRDCRPCLVADCNLDCPVVCSVLQYEACMDVTDSDHKPVRCIFSTDIARVDESTRRQEFGEILQSNEKIKYLLKGLCKIPDTIISTNNIILQNQDTLILRITNKCAEDNALFEIICEGQSTVLEGQKASNHQLRGSFGFPRWLEVSPATGIIRPDHMVEVSVHHEEFQTLEEFVDGVVQNSWCEDSRDMEAILVVKVRGNCTSQTRDHRVRVHHCYSAKKNQTESQPNNSSDIQASVLRRSDFQRLSSSYDVVDKLHNLHCP >OIV90932 pep chromosome:LupAngTanjil_v1.0:LG20:3378242:3380097:-1 gene:TanjilG_16892 transcript:OIV90932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSTTKNVPNPVSGKTARACDSCITKRARWYCAADDAFLCQACDSFVHYANPLARRHERLRLKTASFKFSNELNNYASPSWHHKKARTPRQGKQSSKQSSGNNNNNPFHLVPEVGFDEVNSHDDNEEQLLYRVPRFEPLCSTPSSVTSGEVAEKSKVSWDYDGNNHDGVENMLGSVPSDIELAEFAADVESLLGKGLENECIGMEELGLVDTKQEASWEYSVGSGGKMLKFEEEERNEVGDKMMMEEIERETYELSFHYDISNETSEEVKEKFALDVENDGEVKENDDEKRKKILLQLDYDAVISAWNSQKCPWTNGDKPNLDSDECWPHCMGSCGKELHYPYGGFMCHSVVGAGDREARVSRYREKRRTRLFSKKIRYEVRKLNAEKRPRMKGRFVKRASFEAPI >OIV90229 pep chromosome:LupAngTanjil_v1.0:LG20:19497096:19500222:-1 gene:TanjilG_01425 transcript:OIV90229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLPMGEVLTKRRIDESQNFTLDHIRHTLIRLEDSIIFSLLERAKYRYNEDTYDPNVFSMDGFHGSLVEYILRETEVLHAKVGRYKSPDEHPFFPDGLPEPLLPPLQYPKVLHPSADSININDKLWNVYFRVLIPKLVKEGDDGNCGSTAVWDTMCLQALSKRIHYGKFVAEAKFQADPDAYKAAIISQDKDKLMDLLTYPEVEETIKRRVEIKAKTYGQEVDIKMNEHQTEPVYKINPSLVADLYSDWIMPLTKEVQIAYLLRKLD >OIV90814 pep chromosome:LupAngTanjil_v1.0:LG20:5635516:5636064:-1 gene:TanjilG_15547 transcript:OIV90814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSTNDQKKTTRLYHPYQDLNVPIQKLYDLPTSPELLFSEESSKTHRSWGENIQYYAGCGYLSGAVIGGAKGTVEGLRAAEKGESLKLRLNRVLNSGGQTGRRFGNSVGVLGLIFAGLESGMIHFRGRDDLVNSAIAGLGTGALYRAASGPRSAVIAGAIGGISAAAAVAGKQAFKRYVPI >OIV91483 pep chromosome:LupAngTanjil_v1.0:LG20:132754:138787:-1 gene:TanjilG_02101 transcript:OIV91483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTEGLVPITRSFLASYYDRCPYTSLSQDISRLSSQIRSINLDFINQHPPTTQDETLLINEALHSQPPHKIDENMWKNREFIEESIFLLDTSNWPHQLQDDVELSTLLGDLKHKLHNTLNVLESFQIQNSEHVFNTVMTYLPQDFRGTLLRQQRERSERNKQAEVEALVNSGGSIRDRYALLWKQQMDRRRQLAQLGSATGVYKTLVKYLVGVPQVLLDFVRQINDDDGPMEEQRHRYGPPLYSLTSMILSIRLFLSLSWARYEARKFKNDIYKEISVPAGKIYEDIGFSLEFISPAGEKTALPSTFLPSLYSFVFYTFDMFVATSHFHGGWLVMTQLMLPYRRYESDQGNFCTLMAGSYKLIWDNTYSTFFKKVLRYKVDCIPPVAEPVQSD >OIV90891 pep chromosome:LupAngTanjil_v1.0:LG20:4922234:4925394:1 gene:TanjilG_15624 transcript:OIV90891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRVSHPNLRILFLLSLLAIASAKVFFEERFEDGWESRWVKSDWKSDEKLAGEWNYTSGQWNGDANDKGIQTSEDYRFYAISAEYPEFSNKDKTLVFQFSVKHEQKLDCGGGYMKLLSGDVDQKKFGGDTPYSIMFGPDICGYSTKKVHAILTYNDTNHLIKKDVPCETDQLTHVYTFILRPDSTYSILIDNVEKQTGSLYSDWDLLPPKKIKDPEAKKPEDWDDKEFIPDPEDKKPEGYDDIQKEIPDPDAKKPEDWDDEEDGEWTTPTIANPEYKGPWKAKKIKNPNYKGKWKAPLIDNPDFKDDPELYVFPKLKYVGIELWQVKSGTLFDNVLITDDPEYAKQLAEETWGKQKDAEKAAFEEAEKKSEQEETKDDPVDSDAEDDEEDADDANDSDADSKTEAGEDGEAKEEDGHDEL >OIV90084 pep chromosome:LupAngTanjil_v1.0:LG20:21260279:21261868:1 gene:TanjilG_01538 transcript:OIV90084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMNNNYPFDGNSENNCKTLHNLDDYKAKCFYLKSNDPCDSQGYIDYLYIFYCKFGKYPLLGHSFLFLWLLVLFYLLANTTSEYFCSSLENLSKLLNLSPTIAGVTLLSLGNGAPDVFSSIVSFQGSGTQSIGFNTVLGGVSFVTCVVVGIVSIAIRQRGVQVMKSAFIRDVCFLLLVLLCLFSILISGEINLHGAIGFSLLYVVYVVVVYVSSNKWKCNNDADADDADAEKDCSSSHGSDLSVPLIIGMEKGMIDSTENDMNIKERFCCLRSSIYQMLLNLLEMPLYLPRRLTIPVICEERWSKPYAVSSMILSPILLSILWAPHNNNYFSNTNLMIYGIGLLVGTIFGIVAFFTTETSMPPKKFLFPWHAGGFIMSVTWSYISAQELVGLLVSIGYISGISPSILGLTVLAWGNSLGDLMTNLTMSLNGGSQGTQIAISGCYAGPIFNIVVGLGLSLVCTTWSKYPQSVMIPRDQYLFETMALLVVGLVWALMVLIRKDMKLCGVLGVGLLVLYFISMSIRLIQTQD >OIV90638 pep chromosome:LupAngTanjil_v1.0:LG20:8218376:8220557:-1 gene:TanjilG_01719 transcript:OIV90638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFTLLLLYHWLQDEISIPISDQILELCDSELFSDPLQNSEVTSSSNCCYDENSSYVTNITLALDVDTKLNSNSNNTVTTPTSTITTTTTTTTAANNNTTNSSNLSILFDSQEEIDNDISASIDLSSSQNFNVPQFLQSQQEQFGNFSCLQQPNVQQLAACNSGVEGFSQYSTDPVAPLMQAPLPSVFEEDCISSVPSYMPLNPSSPSCNFLGPGMGQYMPQGPLATALSADRSGLFGASMLLGSELQAQEHEYQAENGGMFCTDSLSRVFNPPDLQALGVVESQQLVAGAGSSATLTPEISTLEDSTFKVGKLSVEQRKEKIHRYMKKRNERNFSKKIKYACRKTLADSRPRVRGRFAKNDDFGDTHNNHRSASSNHEDDDDEEVVVKEEDDMVDSSDIFAHISGVNSFKCNYSIQSWI >OIV90948 pep chromosome:LupAngTanjil_v1.0:LG20:3542043:3544687:1 gene:TanjilG_16908 transcript:OIV90948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNKIIKRAQKTSAKLGFNENSGNGYQFFEVVVKHASRAATNNSEPQILSPRVEPPPPDPNVIELLPPLRDVAVSERPNLFLKKIQLCCFLCDFSDTFKCAYEKEIKRQTLYELFDIIQSDSFKFSKHQEELVRMIAVNIFRCLPPALHESSATETVDPEDDDRYLDPSWPHLQIVYEILLRYIVSTETDIKTSKRYIDHIFVLKLLDLFESEDQREREYLKTILHRIYGKFMVHRPFIRKAINNIFYRFIFETQRHNGIAELLEILGSIINGFALPMKEEHKLFLIRALVPLHKPKSISSYHQQLSYCVIQFVEKDNRLADPVIRGLLKYWPVTNCQKEVLFLGELEEVLEVTQAAEFQRRMVSLFRQIGRCINSPHFQVAEKALYLWNNEHIISLVAQNRSVILPVIFEALEKNMKSHWNKSVHGLTANVRKMLQEMDAELFEECENQYLEKEARDRESEERRELTWKRLEAVAAQAVRDDMVLVN >OIV91456 pep chromosome:LupAngTanjil_v1.0:LG20:282769:285225:-1 gene:TanjilG_02074 transcript:OIV91456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENCQVEKYGLSEVPSLIKYISSNEVAAFYANDLQYPSLSSIKSETPSAEGQVDEPVTINHQKKHLVSINMPLSCEEVQPQNNIKVLCSGETDLRDGIPISSSQINTEDSKHPLPSKCYSQPVPKSSEKLEAISDVNFANHQSIKSLKDNRFDSFKTWSGKLEKQFSILSGRSPTLTVEEDNSLRNTDRPLPVNQYFDALEGPELETLRASEEIVLPPDNLWPFPLRFPISSFGICLGVSSQAILWKALAMSPSTEFLHISLKINFILWFISIALTATIFTIYLLKIILYFEAVRREYYHPIRVNFFFAPWIALLFLALGAPPSVAKNLHHALWYILMVPLVCLELKIYGQWMSGGQRRLSKVANPSNHLSVVGNFVGALLGASMGLKEGPMFFFAVGLAHYIVLFVTLYQRLPTNETLPKDLHPVFFLFVAAPSVASVAWARIEGSFDYRSRIAYFIAMFLYFSLAVRVNFFRGFKFSLSWWAYTFPMTGAAIATINYSNQVTNVMTKALSTMLSLTSSLIVTALLFSTMLHAFVLRDLFPNDLAIAISNRKLKPNKKRFHLRHGHAKEIENYLKFVNSDKHDLETSVTITPSFK >OIV90206 pep chromosome:LupAngTanjil_v1.0:LG20:19716487:19719015:-1 gene:TanjilG_01402 transcript:OIV90206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRWLRKGSDRKSGSGGDHCDNEEMEMMGFNVLGDGEVVKSKRLIIRPRIKVWMLRAISTVILWTCVVQLMALGELWGPRLLKGIPYCFNHIDSSKGVVAKAYVPPKVVLPYKRIYKNNGYLMVSCNGGLNQMRAAICDMVAIARHLNATLIVPELDKTSFWADPSEFQDIFDVDNFIASLRDEVRILKQLPPNLKRRVELGLSYTLSPISWSDISYYEHQILPLLLKHKVVHLNRTDARLANNGLPPEIQKLRCRVNFNALRFTSQIEELGRRIVRILRENGPFLVLHLRYEMDMLAFSGCTHGCDSREVEELTRMRYAYPWWKEKVINSELKRQDGLCPLTPEETAQILTALGVDRSVQIYIASGEIYGGERRMASLLLAFPNLVRKETLLEPSDLMYFQNHSSQMAALDYLVSLESDIFIPTYDGNMAKVVEGHRRFLGFKKTIIPDRRLLVRLIDRYTNGSLSRDEFSLLVKKSHVNRMGNPKRRVIIPDRPKEEDYFYANPQECLQLLDEPFRSTS >OIV90130 pep chromosome:LupAngTanjil_v1.0:LG20:20681119:20684984:-1 gene:TanjilG_01584 transcript:OIV90130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICLILTIGNSAIILGLWAAHCIWTYYCVVRSKQLGPLLKVVTCICVLPVLLITWPVVGIVVSIVGGAAYGFLSPIFATFEAVVEGKENKVFHCFTDGTWTTILNTFDMVTDVRHVCFDSYFSAMDDLRQEGPPNGNFYEIRLLYLPGAVIAAVLGIVVDMPVVSFVAICKGPYMLFKGWSRLIHDLVGREGPFLETICVPFAGLAILLWPLAVVGAVLASMLASTLLGAYAGVVAYQETSFSSGLRYIVAALSIYDEYSNDILDMREGSCFPRPQYRKNEKLSRTTSDTNSPPKTKPQRVPSRTFSLKDNIAELKPLELLDGLFKECHHAGEALVSQGLITREDIEEAESGKRSKVISIGLPAYCLLQALLRSAKANAKGLLISDDTELTTSNRPKEKFFEWFLNPLLILKEQIKAKNLTASEEDYFCKLVLLYGDSKRVKNSTIGPAPDSDLKLGELDGFARRIQGITKYITRFPTYKRRFDDLVRSLSDELADKHEASSIIRSKSAFARIISMKSFKGRKTNGSGQESEHVIDLEISA >OIV90162 pep chromosome:LupAngTanjil_v1.0:LG20:20351924:20353257:-1 gene:TanjilG_01616 transcript:OIV90162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPSTYTSSGPYPCYPSASNSYPFFPFLNPENASSSNNNNNHTLLHDPLVHVPYNLPTHHHIHNTPIIQETLTNLAVSDVAATMPKQDPIMSGGGGAHHHYGLSSLLTKKPAKKDRHSKIYTSQGLRDRRVRLSIEIARKFFDLQDMLGFDKASNTLEWLFNKSKRAIKELARSKKNNGSEGDANSFSSSSDREDCNEVVSGINNEQQGITIADNDSNALKDMKKLKRAQKEPACVRAKMKESREKARARARERTSNKMCNTNNNNGRVVQVQDLKKKCIATNENNTHTLQQLRSPIRLEECARSPNNKLLHPHFVSSSEVPRDDNFNVIEESIVIRRKLKPSMMSSSHHHHHQNPMIPKEASFNNNTDYNTFTNLSPNWDNGGNGINGRSNFCTIASMNLSTGLQIFGKSWE >OIV90339 pep chromosome:LupAngTanjil_v1.0:LG20:16922286:16923068:1 gene:TanjilG_14737 transcript:OIV90339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKGKAQTTGPNQSVDVLRWTDEMDQVFMNAFTEELTKGNMHDGSWTSEAYSNVINVLQHAIAPNMMKQHIKNRMRTLKEHFAESYDLFNNLSGFVWNLMTKRFEVEDDVWEDFIKDKPQAIKWRTMQIKHYDTLKELFGVDRATGKRATTTRQRAPQMQHDNINLNDAQDDISLSEQVVDELDEGNFSPLNLESLSPTHAQSHHTTGTSGSQGIKRKAQMIELVEG >OIV91205 pep chromosome:LupAngTanjil_v1.0:LG20:2177271:2178495:1 gene:TanjilG_30427 transcript:OIV91205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHVSDCNAVPPLTESQQEHIVLDSSKSEVELKKKRLLEELESVIPSFGIEMIDETALVGSTFPLAKKTNKQSRKKVGKGAGRKMKKENLIVMKNAVVSAAAAEAKYSRKEMEALRFVNVSQQRKFWKSIYKCLQSHDVAKEYDTLVAPHNSPQCLPNKKPILGVGAANGRWRIVNVLVH >OIV90970 pep chromosome:LupAngTanjil_v1.0:LG20:3730117:3736569:-1 gene:TanjilG_16930 transcript:OIV90970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHELLLSLLGYTGDLIIDTRESETLSSQTPISDECTFKLAPNIDFIDPSDRELIERIIALGFYYRELDRFSAKSRNLSWIRSSNEISNPLGTVSGMPEGKRENPSVYRRALANGIVEILSVYRSAVLHIEQLLLSETMPILATVTQGLNKFFSLLPPLYELILEIEHDDIRGGQLLNLLYKRYHCGVPELQTCIQRLLWHGHQVMYNQLASWMVYGILQDQHREFFITRQEDRDIENSSSHPDISEKLARLSTDDVSLTDWHLGFHICLDMLPEYIPMRVAESILFAGKAVRVLRNPSPSFQSGGAVYPQMPKSFHKIHGLAGRSQFQRVPVINKGMEEDLLPQSEADNIETMLLDLKESSEFHKRSFECAVDSIQAIAASHLWQLVVVRADLNGHLRALKDYFLLAKGDFFQCFLEESRQLMRLPPRQSTAEADLMVPFQLVNCNAFAMQAALKTIGEEDKYFSKVSLRMPSFGIAVKSSQLDPPKATSSTDGTRASSKMSFEGWDGIALEYSVDWPLHLFFTQEVLSKYLKVFQYLLRLKRTQMELEKLWASVMHQYHTDFAKRQNDRDKCSITNEKRQRLRPMWQVREHMAFLIRNLQFYIQVDVIESQWNILQAHIEESHDFTELVGFHQEYLSALISQAFLDIGSVSRILDGIMKLCLQFCWNNETQDTSELEHIAEEFNKKSNSLYTILRSSRLAGSQRAPFLRRFLLRLNLNSFFEATARGVLNVVRPRPSLVLNQH >OIV91004 pep chromosome:LupAngTanjil_v1.0:LG20:4030859:4031401:-1 gene:TanjilG_16964 transcript:OIV91004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNHISLCPATVKIVFYDGSVKEFEQPLTVAELMLEHPQQVVVEFHSAMNKKRPTPLPADKNLEMNKVYLMLPKKRGKPIGLSGEDSSRILFIVNSALSSKYLPWFARLCHTATVEEAEPKVLNHQRKEERCDFSVYLPEMLEGVPEYLSRELSGKGWKPTLDTIKEKKIERKVSHWLFL >OIV90781 pep chromosome:LupAngTanjil_v1.0:LG20:5959108:5961859:-1 gene:TanjilG_15514 transcript:OIV90781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLSKYAHSPAHLAVAKCDHAALRHLVATLPRLAKAGEVNTEAESLAAELIADKVTAVIDRRDVPGRETPLHLAVRLRDPVSAEILMAAGADWSLQNENGWSALQEAVCTREEAIAVIIARYYQPLAWAKWCRRLPRIIASTSRIRDFYMEISFHFESSVIPFIGRIAPSDTYRIWKRGSNLRADMTLAGFDGFRIQRSDQTFMFLGEGYTSEDGKLNLPPGSLIVLSHKEKEITNALEGAGTQPTEAEVAHEVSLMSQTNMYRPGIDVTQAELVPLLNWRRQEKSEMVGNWKAKSYDMLHVMVSVKSRRVPGAMTDEELFTVDDGESMVNGEKNDVYDDVLTAEERLQLDSALRMGNSDSICQDEEHGVFDGLENSSAAAYENREANVVVKEKKNWFGWNKKNSKSGIDESEDSKISKKFSIGPEGSKPRSGDQQKPASEFLKDDSGDTKKEKDKNNKKKKKKGINSEFKNESEYKKGLRPVLWLTPDFPLKTDELLPLLDILANKVKAIRRLRELLTTKLPHGTFPVKAAIPIVPTIRVIVTFTKFEELQPAEEFATPLSSPEHFQDARSKESEGSTSWISWVKGSRGTHFTDSDSHRFKDEVDPFSIPSDYKWVDANERKRRMKAKRAKSRKQKKQTVTKGGDGVLQGNEIVEE >OIV90309 pep chromosome:LupAngTanjil_v1.0:LG20:17720611:17720952:1 gene:TanjilG_13164 transcript:OIV90309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPISTASSMLRRRLLTSLRTRGGDSSGPSRWTSPGHEERPKGLFMNRTPPPPGQSRKWEDWELPCYITSFLTIVILGVGLNAKPDLTIETWAHEKALERLKLESNNTASAESE >OIV90419 pep chromosome:LupAngTanjil_v1.0:LG20:13866718:13871131:-1 gene:TanjilG_10905 transcript:OIV90419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTHPTNSNAFSFITMGWRKVRDSADSDLRLMRDRANSFKKLATSIDRDFENFLNYPPPPFSVIPYASSRPPPLPAEIDFVKNLKPRLTGERRGGFSKKVLKKWRRSRSRVRVDLSAIRDAIVSKVEEVDGIVDFDRGGSRRRLNFRDFWGDWNGDEEEQHLKDCKPIRVLKTRLKEFDKKSEFIEKVKSSLKSMCKEPQKSKEVPPLDVPELLAYFIRQSGPFLYQLGVRRDICDKIVESLYSKSKNQLLLQSLSGEESPILGKGNINEELDLRIASVLQSTGHRYDGALWKNHAKRDLSGNERHFAIVTTASLPWMTGTAINPLFRAAYLSQSEKQKVTLLIPWLCTSDQELVYPINLSFSSPEEQELYIRNWLEERIGFRADFKISFYPGKFSKERRSIIPAGDTSQFIPFKDADIAILEEPEHLNWYHHGKRWTDKFNHVVGIIHTNYLEYIKREKHGALQAFLVKHINNWVTRAYCHKVLRLSAATQNTPKSVICNVHGVNPKLLKIGKKVALDRELGQETFTKGAYFLGKMVWAKGYKELIHLLAEHKSDLEGFNLDVFGSGEDANEVQSMAKRLDLNLNFQKGRDHADDSLHGYKVFINPSLSDVLCTATAEALAMGKFVVCADHPSNEFFRSFPNCLTYKTSNEFVAKVKEALENEPHLLTPAQSYQLSWEAATQRFMEYSELDKIMNKEKDAAKSSTDNVKLIDKSVSMPNLTELVDGGLAFAHFCLTGNNFLRVCTGAIPGTRDYDLQHSKDLNLLPPLVENPIYGW >OIV91316 pep chromosome:LupAngTanjil_v1.0:LG20:1355894:1362455:-1 gene:TanjilG_01847 transcript:OIV91316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKILRETSRPSSNSYFPSPSSAPATTSSTSITDTVKGSHQFKITGYSLSKGIGIGKYIASETFSVGGYDWAIYFYPDGKSTEDDATYVSLFIALASEGTDVRALFELTLLDQSGKERHKVHSHFERTLESGPYTLKYRGSMWGYKRFFKRTALETSDYLKDDCLSVNCSVGVVKSHTEGPKIYSIAVPPSNMGQHFGKLMESGKGSDVSFEVNGEIFAAHKLVLAARSLVFRAQLFGPMKDQNTQCIKVEDMEAPVFKALLHVIYWDSLPDMQELTGLNSKWATTLMAQHLLAAADRYGLERLRLMCEESLCGDVAINTVATTLALAEQHHCFQLKAVCLKFIARPENLKAVMQTDGFEYLKESCPPVLTELLEYVARFTEHSDFMCKHRIEAILDGSDTNGRRLFFSYFKDLVGREVTVELKNDLAIRGTLHSVDQYLNIKLENTRVVDQDKYPHMLSVRNCFIRGSVVRYVQLPPEGVDIEILHDATRREARGG >OIV90383 pep chromosome:LupAngTanjil_v1.0:LG20:14253583:14254005:-1 gene:TanjilG_10683 transcript:OIV90383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCFCQNWCIRHYGSVRLGASGTTPRSDLVHQALRLGQTWCIKHNVPRPKLAHQTLRLGHNCRTRHKAPQSAMMHQTWDMALVHLAYDMAQAWRIRHKLAHQAWAM >OIV90146 pep chromosome:LupAngTanjil_v1.0:LG20:20530145:20535856:1 gene:TanjilG_01600 transcript:OIV90146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQASTFAVKGATFGVQKERASVGFGDFRNWNVLRPISVCITNNGTNSYGLRLSKATKGNEMSCARVGFNGIFGFSTKARSVTVQASDGDIEEVVPIVPQGKSSGTVLPYVGVACLGAILFGYHLGVVNSALEYLAKDLGIIENTVLQGWIVSALLAGATVGSFTGGTLADKFGRTKTFQLDAIPLAVGAFLCATAQSVQTMIIGRLLAGIGIGVTSAIVPLYISEISPTEIRGALGSVNQLFICIGILLALVAGLPLAGNPAWWRTMFGFSVVPSILLALGMAISPESPRWLFQQGRIAEAEEAIKKLYGKERVTAVMRDLTASTQGSSEPEAGWLDLFSSRYWKVVSVGAALFLFQQFAGINAVVYYSTAVFRSAGIASDVAASALVGASNVFGTAIASSLMDKQGRKSLLITSFSGMAASMLLLSLSFTWKALAPYSGTIAVLGTVLYVLSFSLGAGPVPALLLPEIFASRIRAKAVSLSLGMHWISNFVIGLYFLSVVNKFGISSVYLGFSAVCVLAVLYIAGNVVETKGRSLEEIERALSSTA >OIV90029 pep chromosome:LupAngTanjil_v1.0:LG20:21886076:21892032:-1 gene:TanjilG_23949 transcript:OIV90029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVLLLEMSCKKLFLALTLFSAHGQGDASGRSKQSRSEKKSRKAMLKLGMKPVTGVSRVTVKKSKNILFVISKPDVFKSPASDTYIIFGEAKIEDLSSQLQTQAAEQFKAPNVSTSASKPESSSTAQEEEDEDVDETGVDPKDIELVMTQAGVPRPRAVKALKAADGDIVAAIMELTN >OIV90385 pep chromosome:LupAngTanjil_v1.0:LG20:14276914:14282974:1 gene:TanjilG_10685 transcript:OIV90385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQQRSLSGSSQSPRSPSSSQPFLSVSVTDPVKLGNGVQAYISYRVITKTNFPEYQGPEKIVIRRYSDFVWLRDRLFEKYKGIFIPPLPEKSAVEKFRFSAEFIEMRRLALDIFVNRIASHHELQQSEDLRLFLQAEEETMERLRSHENGIFKKKPSDFMQIFKDVQSKVSDVVLGKEKPVEESDLEYEKLKNYIFELENHLAEAQKHAYRLVKRHRELGQSLSDFGKAVKLLGATEGNTLGKAFSELGMKSEALSVKLQNEAQQLLINFEEPLKDYVRAVQSIKLKAESEQATKTFEMIVRLMNEEIGRFQEQKTIDMGIAFHEFAKGQARLANGIADAWRSLIPKLEACSPSHRINQ >OIV90280 pep chromosome:LupAngTanjil_v1.0:LG20:18140075:18146105:-1 gene:TanjilG_08317 transcript:OIV90280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPLQGLEIRPLFLERYKGKSDKDVKKETGSNVWTKMMFSLGCMALWSNTGDSSGAANKYDDGNDRDQSVSSTNKNSESESHHGISEKLKAYPNLRSFSYNELKLATHNFKARHVLGVGGFGTVYKGWLNPIEKSPLRYGIKIPVAVKTLNHDGFQGHKEWLAEVNYLGELLHPNLVKLVGYCIEDEQRLLVYEFMCRGSLERHLFRRNVYLPWSIRIKILLGAAKGLAFLHEEAESPVIFRDFKTSNILLDRDYNAKLSDFGLAKDAPVGDLTHVSTQVFGTHGYAAPEYVTTGHLTSKSDVYSFGVVLLEMLTGRKTVDNDKPRGERILVEWARSKLKYKSRLNELMDPRFKDQFPVHGASKGLRLAGQCLSFDPKARPVMSAVVRELTEISDMPYSSSSSRPVKPSPPNQDSSSSERKSLIRFKPSPHHHQNYALPTPKPSGEKRP >OIV90402 pep chromosome:LupAngTanjil_v1.0:LG20:14699060:14699665:1 gene:TanjilG_10702 transcript:OIV90402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQIQKREILSHNTLLLLLPIFPLLFSITLASDPDPVQDFCIPNPKFGSIRTIHGLHTIIPCKNSSETNTEDFVFSGPKVAGIFSNTGLGVISASPTNFPGLNTLGMSFARADIKVGGINPPHFHPRATELVHVVKGKVYSGFVDSTNKVFSTILEEGEVMVFPRGLVHFLMNIGDKPATLFGSFYSQNPGLQKIPSALFG >OIV90869 pep chromosome:LupAngTanjil_v1.0:LG20:5069549:5074490:-1 gene:TanjilG_15602 transcript:OIV90869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTMAMIISSSTAAAAEPSRLVQCRVQFALRSLPIMGIDRGRSYNVAINFILLNTVPVIQTLVDCIGSAFNIKGAMQCPNCRKIEKGQWLYANGSRSYPEFSMDDWAHDEDFYDLSYTEMSFGVQWCPFGNLTRLPSSFEEGEFSSTAYHDVVGQHPLLAEQTAVSSASHPCPYVAYFGPIHPSSSNSGGTVSEGSNFSHWNGSSLPSDMPTSYAFPALDLHYRSWENHSPPFSTSGGHLLAADQPSVSSGTQRSARGGSDVPRYPFLVGHSSAARAASSMIPPYPGSNARARDRVQALQEYYQQQQPPNTVTMRTPISSGTRRSSSHSGPAQIAPVATSSDQNGGFFFIPSSSSGGNFQEVNHIPSHIYSWERDPLPSLSLSQADRDSGWRAYHQAVSRSDPSIRSSSFRLRPSDRMPPQNR >OIV90514 pep chromosome:LupAngTanjil_v1.0:LG20:10417523:10419703:-1 gene:TanjilG_32391 transcript:OIV90514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLKLKKVYRYVIFKIDESKREVVVEKTGVPSESYDDFAASLPQNDCRYAVFDFDFVTSENCQKSKIFFIAWSPSTSRIRAKMLYATSKDRFIRQLDGVHYEIQATDPTEMDLEVLRDRAN >OIV91432 pep chromosome:LupAngTanjil_v1.0:LG20:459848:461499:-1 gene:TanjilG_02050 transcript:OIV91432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEANKKEGVAPSMQQDGSNDERVLSHVTLNNNNDLQEFNLPSLPLSPPPVAAFGFHDVVVMASKDSLTVEELSKKLSVDSDLKSSCGGKGESKEDQCNWKSYSPQMGASSFVVKRVVSPEELLELAKVDPKKAKRILANRISATKSKERKKTYAKELEGRVKKLQALSDMVDEQLAICKRNIATRIALNNQFKMQIEALGQQLQQKCALREAMRKELEYYMMKNNEYAVDMVNDPSSTELVSKFSSMLALLRQCPNPSYQHQGTHLQQQISMPPLPPSNSFGQAFNEQCGPSFYYFNQLN >OIV90312 pep chromosome:LupAngTanjil_v1.0:LG20:17793609:17796031:1 gene:TanjilG_13167 transcript:OIV90312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSSRLSIFSRLTDFSFHPPKPPPPSFFLLRRRPPSSAVTSCLVSGLDGFSDDVVSTRKSNFDRGFTVIANMLKRIEPLDNSVITEGVSDSAKDSMKQTISTMLGLLPSNQFSVTVTVSKQPLHRLLVSSIITGYTLWNAEYRMSLMRNFNISPSVDERLNCETHSEVSEVRGGGEGGEVEVVSDLGFNDLESSYPGVFGDLPPHALDYIQQLQSELTNAKEELNAQKQEILQIEYERGTRNNLLEYLRSLDPDMVAELSRPSSIEVEDIIHQLVQNVLRKFYGDDASSNFMEQSIDGGNINNHADNGHEFSDTVGASRDYLAKLLFWLVHLILRS >OIV91468 pep chromosome:LupAngTanjil_v1.0:LG20:210747:212636:-1 gene:TanjilG_02086 transcript:OIV91468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSLPENEVTQVFPQGCCPTLLFNSSPQSHNITKPRNSSSECRHNFAATTTSSIFPHTKFTNHESLPSLQESLNEFNKVYPQYPQTEQVDKVRAKEYYHLSSSNHTCLDYIGIGLFSYYQHHQLQNHDASKTQLASSSTPPQSPQRYSDIPFFSIAYKTGSLKTLLLHGGYESEFESAMRRRIMKFLNISENDYLMVFTANRTAAFKLVADSYPYQSSEKLVTVYDYESEAVEAMINCSEKRGARAMSAEFSWPRLRIQSTKLRKKIESKRKKKRKGLFVFPLHSRVTGARYPYLWMSRARENGWHVLIDACALGPKDMDSFGLSLFHPDFLICSFYKVFGESPSGFGCLFVNKSAISVLEPSSIAGIVNLVPEKRLHPQSEDELASLTSFSGRLQTTPFEGEKKREIEAAELQIIEVPTDILGTQRPVENLQDKNVEDSEIGRFHIEYRCLDQVDSLGLRMITDRARYLINWLVNSMLKLKHPNTEGTSLVKIYGPKIKFDRGAALAFNVFDWKGEKVEPVLVQKLADRSNISLSYGFLHHIWFADKYAEEKGRVLQSKERKGQDVIVSKKKERDNLGVTVVTAALSFLANFEDVYKLWAFAARFLDADFVEKERWRYTAINQKTIEL >OIV90042 pep chromosome:LupAngTanjil_v1.0:LG20:21634878:21639485:-1 gene:TanjilG_21174 transcript:OIV90042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTLQFWCVKGNVPLSTRRSLNFKPRIGLVKFVPAELCCGKDVVFSSGYKVKSLLSVTCNATTIKSDVLEKKNNSVIEENNDESEKSSSFTCVMKFGGSSLASAERMKEVASLILSFPEERPIIVLSAMGKTTNKLLMAGEKAVSCGVTNASKIEELDFIKDLHIRTVDELGVDRNIITKHLEELEQLLKGVAMMKELTKRTQDYLVSFGECMSTRVFAAYLNKLGVKARQYDAFEIGFITTDDFTNADILEATYPAVAKRLHGDWVSDPAIPIVTGFLGKARKSCAVTTLGRGGSDLTATTIGKALGLPEIQVWKDVDGVLTCDPNICPQAIPVPYLTFDEAAELAYFGAQVLHPQSMRPAREGDIPVRVKNSYNPKAPGTLICKGRDMSKAVLTSIVLKRNVTMLDITSTRMLGQYGFLAKVFSTFEDLGISVDVVATSEVSISLTLDPSKLWSRELIQQELDHVVEELEKIAVVKLLQNRSIISLIGNVQMSSLILEKAFHVLRRLGVTVQMISQGASKVNISLVVNDNEAEQCVRALHSVFFESELSQLNKEHKTGNGSVAALS >OIV90026 pep chromosome:LupAngTanjil_v1.0:LG20:21860338:21863035:-1 gene:TanjilG_23946 transcript:OIV90026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLGSLDQWRNYFRTANSDIFDIINHAIMVAASDCPKEFRLRRDEIAQKLFSCRFTHCMGCDKIELSVSVDDNEKGDGGCKNCFDRDDGAEFEYEAGASKESKVNSSGNDHGEMNMNQVSNYSFEEAEALTNEIEEESQYHEEVLRIKEVMLNFEEESDKVLFESLRRLQLMELTVDLLKVTEIGKAVNPLRKHGSRDIRQLTRTLIDGWKEMVDEWVKATTPIAGSEGTPDSINPSVIDEEEGLPSPPMDEAFFAAQTGSMELSQFFDGMDDDLNPQQSREFIKNRDNGRRPSLDSQNMAKRKHQASNKANIIGKDSKNQQTKKNGTFVRLNKPVDADSGPGRPPKSSVQKKSNIEPKMQPKIVKTAVQKRHQIQQDKFKCSDDAAVQVKLEATKRKLQERYQQAENAKRQRTVQVMELHDLPKKAVCHRNPHVKPGNQKRQWAHGRR >OIV90733 pep chromosome:LupAngTanjil_v1.0:LG20:6276745:6282633:-1 gene:TanjilG_21864 transcript:OIV90733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLRGVVILVVEICLTITANANLVFEVERRDIRWNSSLSEMISHDVHRRGRFLSAVDLNLGGNGLPTDTGLYFTKLEIGSPPKDYYVQVDTGSDILWVNCANCINCPKRSSIGVELTLYDPKDSKTSDSVYCDQEFCTSTYDGPIPGCKSDTQCPYSITYGDGSATKGYYVKDNFTFNRVDGNLHTTPQNSSIIFGCGAVQSGTLGSSSEQALDGIIGFGQANSSVLSQLAASGKVKKIFSHCLDNIRGGGIFAIGEVVEPKVKTTPLVPKMAHYNVVLKDIEVDGYILQLPTDIFDSGNGKGTIIDSGTTLAYLPSMVYDQILTKVLAQHSGLKLYLVEQQFTCFQYTGNVDSGFPVVKFHFEDSLSLNVYPHDYLFTYKDDIRCVGWQKSVAQTKDGKDMTLLGDLVLSNKLVVYDLENMAIGWTDYNCSSSIKVKDASTGLN >OIV90260 pep chromosome:LupAngTanjil_v1.0:LG20:18538464:18539360:-1 gene:TanjilG_11988 transcript:OIV90260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCDDSINNPLLYLDPLCSALNMLADVASGLHHQDTTSSTPSLKIRFNMKTKTVKESPNDGSEEASNSNKPINFMASSFEEGTSSKAELNYNDDDEEEDLPIKKMKRRAMKGKNVAIINGTENADVIDQDWVPVKPPPNKSAARSSKKRQRYNIVVEAAPSELPQEFIDKINEMGGNETTLLITKSLFDSDLNEQQNRLSIPSKQIKNENFLREGELDDLERGKCVEVKLIQPSLEVTNLTLAKWNMHKNDGKVSSSYVLRSSSWKKVIKANQLKVGDRVQVWSFRVQEELCMAIVKV >OIV90193 pep chromosome:LupAngTanjil_v1.0:LG20:19913639:19915577:-1 gene:TanjilG_01389 transcript:OIV90193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFHCEAYDPLDPNGNITIKWDVMSWTADGYVAVVTVNNFQQYRHISAPGWSIGWTWAKKEVIWYMAGGQTTEQGDCSKFKGNIPHCCKKDPTVVDLLPGTPYNQQIANCCKGGVLSSWAQDPINAVAAFQLSVGSAGTTNKTVKLPKNFTLKAPGPGYTCGPAKIVTPTQFRLGDKRRVTQAFMTWNVTCTYSQFLAQRTPTCCVSLSSFYNDTIVPCPTCSCGCQGNSPQLGNCIDPDSPHLASSVSNTGKNSLTPLVQCTSHMCPIRVHWHIKVNYKKFWRVKVTVTNFNYRMNYSDWNLVIKHPNFDNLTEPFSFNYKSITPYGTISKSDPYLIVSRTYNLLVL >OIV90458 pep chromosome:LupAngTanjil_v1.0:LG20:13142893:13144175:1 gene:TanjilG_01936 transcript:OIV90458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKPRKRLSSTQTSTTPSSSSKENNNNNNTQQHKPNNKNNISSDPPIAPPKWGLIFKLSLFSIPPYLYLLFYHYPIEQDIRRSILINAAMSLAGFFVTVKLIPVASRYVLKRNLFGYDINKKGTPMGDIKVRLFDDEGCWVREEEDIDADTSLRPRKNLSALWGLTLKC >OIV91142 pep chromosome:LupAngTanjil_v1.0:LG20:2656750:2659498:1 gene:TanjilG_30364 transcript:OIV91142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGSKYGERSKSAFSIDNENSDIITHHMKPKTFSHYVRELDQKKASVRVDALSSIIKILNEGKERDRLEKDNAVETQEAMQLIWKFISPESNLDVPVRNHVPVIMPSAVSAWLFLFTKIEGWELSYQWKGAISIFLNMIESDDDSLCDPAAEALAMIYNSDQINKFLKVKPALSYSEIKNHIKDFILRRLENVSKAKNEISLTHVLNYFNDGISPQSLKNIDGNNLNLSSWSSMIQRQSIIDKSKTKVLNKRRTFAEERKGLIYQVESEF >OIV91162 pep chromosome:LupAngTanjil_v1.0:LG20:2515045:2517993:1 gene:TanjilG_30384 transcript:OIV91162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCIMKSSVTHFILLPLFIIGFLSFASDSEASPIYSYHVCTDGSYFSPNTTFQTNLNVLLSFLVSNATLGDGFYRTSVAKGSPDEVTGLFLCRGDIGPTICEDCVSVAAENITRHCTNTTQSIIWYDECMLRYASLSLLDNMVPATNLWNKDNIPDSDHYFSDLLAKTLKNLTGTATNSGNKFATKEVELTRMSEKLYTLAQCTPDLSTFDCNKCFSSAISSIPNCCNGALGARSLLPGCNVRFELYPFYNVSAITQPLPPPPSSGRSDDFVGKSNTSIIVAIVAPSVVAIALFLLGCCFLRKRASKDYNTFIQDSIPDDLKDAESLQFDLATIGVATNNFSDENKIGRGGFGVVYKGTLPDGQVIAVKRLSVTSLQGPVEFRNEASLVAKLQHRNLVRLLGFCLEGHEKLLIYEYIPNKSLDHFLFDPVKQTELDWSTRYKIIVGIARGVLYLHEDSVIRIIHRDLKASNVLLDENMNPKISDFGMAKIFQADQTQVNTGRIVGTYGYMSPEYAMRGQFSVKSDVFSFGVLVLEIVSGRKNTDFFQSQHADDLLSYAWKNWSGNTPLNLLDPTLRGSYSRNEVIRCIHIGLLCVQENPNDRPSMATIALMLNSYSVTLSLPRQPASFLRGRTPTRLKDGLDSSQSTTGSIPWSTNEVSITELHPR >OIV91005 pep chromosome:LupAngTanjil_v1.0:LG20:4043544:4045770:1 gene:TanjilG_16965 transcript:OIV91005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVVLFVDDLRLLSGVSRCRICHEEEFESLKSLEAPCACSGTVKFAHRDCIQKWCDEKGNTVCEICLQKYEPGYTAVPKKSQISDAAMTIRGSLQISRMEQEPLNARIEGIVEGIAIEDHYSECTSAADRSASCCRSMALTFTLILLVRHLFEVLSNGMEDYPFTILTVFILRASGIIVPMYIIIRTLGGIHKSIQRHYLQDSDDDSSMFDGDEENEISHVATLRH >OIV90820 pep chromosome:LupAngTanjil_v1.0:LG20:5482094:5486045:-1 gene:TanjilG_15553 transcript:OIV90820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPECAIKKDVTELIGHTPMVYLNNIVDGCVARIAAKLESMQPCSSVKDRHGIPPFDKLELIALSMIKDAEDKGLITPGKTILVEPTSGNTGIGLAFIAALRGYKLLVTMPSYVSLERKIVLRAFGAEVYLTDPAKGVDGVFEKAEELLAKNPGSYMLQQFENPANPLIHYETTGPEIWKDSGEKVDALVAGIGTGGTITGSGKFLKEKNPKIKVYGVEPAESAVLNGGKPGKHQIQGIGAGIIPNVLDVNLLDEVFQISSEEAIETAKLLALKEGLLMGISSGAAAAAAIKLGKRPENAGKLIVVVFPSFGERYLSSPLFESIRHEAEQMTIS >OIV90116 pep chromosome:LupAngTanjil_v1.0:LG20:20807857:20812080:-1 gene:TanjilG_01570 transcript:OIV90116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVFSAGNQFNPVNYVAEVSQRLLEASHSGDLISALNCIADPFVDVNFVGVVTLRTRRTELVLFNESPSQVRVEFDEFKSDVTPLFLAVHAGNAKLVRKLLNVGADVNQKLFRGFATTAAVREGHLDILQILLKAGASQAACEEALSEASCHGHAELAELLMSSELIRPHITVHALVTACCRGFIDVIQTLIKCGVDVSATDRLLLQSIKPSLHTNVDCTALFAAVIHRQISVVCLLLKNGARLDYEARLGAWSWDMSTGEEIRVGAGLGECYPITWCAVEYFEKSGDILLMLLHHVSHNAPHCGRTLLHHAILCGNIEAVRILLEYGVDVESPVKTTTCQTKFHPIHMASRLGLSTIVQCLIDFGCNLNSTTDAGDTALMICAKYKQEKCFNVLTSAGADFGIVNTAGQSVCSIAESTHWWLGFQQAVLHTIRNGKIPKSRNPSAFSPIMFAAQVGDAESLKMVIGSGEFDLDYQDDNGFSAVMVTALKGHVESFRLLVYAGADISLCNKAGETTLTLSEFNPRSDLFEKVMLEFALHKRNCNVGGFYALHYAARDGNLNAVKLLTSKGYDVNAFDGGHYTPLMLAAREGHRSICELLISNGANCNAKNTRGETALSLARNKGMKNDAEAVILDELARRLVLGGAYVQKHTKGGKGSPHEKHLQMLESKRVLRWGKSGRKNVMCCEAELGPSSTFRRNRYNKGDVDEPGMFRVLTKTNKEVHFVCDGGLEVAELWVRGIKLVK >OIV91083 pep chromosome:LupAngTanjil_v1.0:LG20:3107124:3110091:-1 gene:TanjilG_30305 transcript:OIV91083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESNGHRRVKRHDYVKENLFMYDGDDDEHDPWTAWAYKPRTITLLHIGACFLIWASGALDPERDASGDLVTSVKRGVWAMIAVFLAYCLLQAPSTVLIRPHPAIWRLVHGMAVVYLVALTFLLFQKRDDARQFMKYLHPDLGFELPERSYGADCRLYLADNPTSRFKNVYETLFDEFVLAHIIGWWGKAILIRNQPLLWVLSIGFEMMELTFRHMLPNFNECWWDSIILDILICNWFGISAGMRTVRYFDGKTYKWVGLSRQPNIIGKVKRTLGQFTPAHWDKDEWHPLLGPWRFIQKASEKGWSILLALSCYLYCGTSDLHQVRTW >OIV91139 pep chromosome:LupAngTanjil_v1.0:LG20:2675752:2677147:-1 gene:TanjilG_30361 transcript:OIV91139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTQLISEEWCSLSGINTAEEADFMTQLLGGNSTLWPGHESTNTNNTNSFLPSNVANTNLYFSHGSISSADSGNIFSTTSSRTCSIEPATNFDSMPMDFCLGDSKFSPHILKWNDNLSQQINVLSSGEEPGLDQGGQVLNYYNLHAEEYKNKNLVNTAKRSRRSIDELSENMRNTKSRKIPKSASMSSFNEEDKSVGLQRQGSSSCISEGDSNPSLELNGEVSPSLSPKDPTLPNFNRKSRSNTSPATDPQTLYARKRRERINERLRILQSLVPNGTKVDISTMLEEAVQYVKFLQHQIKLLSSDELWMYAPIAYNGINIGLDLITSKEQ >OIV90043 pep chromosome:LupAngTanjil_v1.0:LG20:21630175:21630959:-1 gene:TanjilG_21175 transcript:OIV90043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSNLVPMPSFWVLQLGFATESLINPKENSIFPDIPATVAAIKNPSSKIVYDDHNHERFPPGDPSKRAFAYFVLTGGRFVYASLVRLLVLKFVLSMSASKDVLALASVEVDLSSIEPGSTVTIKWRGKPVFIRRRTEDDINLANSVDVGSLRDPQPDSDRVKNPEWLIVVGVCTHLGCIPLPNAGDFGGWFCPCHGSHYDISGRIRKGPAPYNLEVPTYSFLEENKLLIG >OIV90785 pep chromosome:LupAngTanjil_v1.0:LG20:5923083:5924382:-1 gene:TanjilG_15518 transcript:OIV90785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLTSSILASPFQLLEINLISAQDLAPVSKSIKAYAVAWVNPERKLTTQIDLDGHNNPIWNEKFVFRVDDEFLTAEDSAIIIEIYASAWIRDVLIGTVGVLLTNLLPPSVRANNRKSSKVRFVALQVRKPSGRPEGILNIGVNLVDATMRSMPMYSELSASEVGYYDILDPKKHKINQTPQQQYTKENNNAYDSKLFTLQRSQSEKNESTITDYTYNPSKVGYGDESQVGDSELNAPITKRGKIVNANGSLCSDVGPSPSVVAAAIAKGLYPMPLPMPRKPGNTIFEEWKQSHKEEGLKTKIERWGSTEQPLIYDHLGQNNIMKEKIITKGKGKNQKKPGRSGSGLFSCFGTAYGCEFSISCGGGNRKKKYEGSKARPTTASELTYDESYI >OIV90711 pep chromosome:LupAngTanjil_v1.0:LG20:6979083:6983471:-1 gene:TanjilG_15097 transcript:OIV90711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKENFVGVGQPHAVLGGEAPDSFQLAPRIENNLDFSRATVPVSSPATEGKKKRGRPRKYGADGKAAALALSPMPISSSIPLTGDFSAWKRGRGRPVESVKKSTYKYEVEGPRPGEGIAYSVSANFTAHVLTVNAGEDVTMKIMSFSQQGSHAICILSANGMISNVTLRQPTSSGGTLTYEGRYEILSLSGSFMPTENGIARSRSGGMSVSLAGPDGRVMGGGLAGLLIAAGPVQVVVGSFLPGHQLEHKHKKQMVEHVSTITPTHANPISNNEGIKISFGGFKPIMTPAAFQEENIASYNNVQDSRNSSSDDKEPFAEKEYNPSQ >OIV90444 pep chromosome:LupAngTanjil_v1.0:LG20:12494114:12496107:-1 gene:TanjilG_01922 transcript:OIV90444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENQQRSDETAETFTWTIKNFSKLLKKLYSENFFIGGHPWRILMFPKGNNVDYLSIYLDAGDSANLPYGWSRFAKFKLSLINKVNSKRTKTLETEHEFNAKEDDWGFTSFMPLNEIWDPSKGFIVDDTCIIEAEIFVTKREHENQVDQAAKTATVTPLSKQINALGRVLHFLKTKKVKDMNDVLFMDLQTLWEELETFKFDLTWLEPHVQSALGMKSYMERAAEVKKMKENVTVREMEIKRLKAKMAAAEIDLEIAKRDLVKAEEGFEERDLDGELGYEA >OIV91281 pep chromosome:LupAngTanjil_v1.0:LG20:1605338:1620015:1 gene:TanjilG_01812 transcript:OIV91281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPVPPPGAPRTRNNAPPPNYIPNIRGTPDSVADNFHNMNLNRPPMTSNPVSRPPQFGQPPPFASSAPSVGIPSPSPPFSRPGPPPGALVRPAGPPSGPPLSSLTPNVATGKPTGPPPGQPPSFASRPPPNAAAPVTGVHPPAGSPSTRSFASPPPTMGARPSPSPFNSPAMSTPPVMPLTSAPSHLMNNGPPAFSGAALSGHQRFPPVGGAPQPPVGPPTMRAPPGPAVQPQPPYPTASQHAMQPTGSPFGAPSWQMQSQQVVPPPPVPGPSAPRMFSMAPPLPNQSMTTTISPVVGQTGAPMAGPSKIDPNQIPRPSPGSSVTFHETRQGNQATIPPPATSDYIVRDTGNCGPRYMRCTINQIPFTADLLSTSGMQLAMLVQPLALPHPSEDPIHVVDFGESGPVRCSRCKAYVNPFMKFIDQGRRFICNLCGFSDETPQDYRCNLGPDGRRRDADERPELCRGTVEFVATKEFMVREPMPAVYFFLIDVSMNAVQTGATAAACSAISQVIMDLPKGPRTMVGIATFDSTIHFYNLKRALQQPLMLIVPDVQDVYTPLQTDVIVPLSECCQHLELLLESIPTMFQSNRTSESAFGAAIKAAFLAIKDTGGKLLVFQSVLPSIGIGALSAREAEGRTNIFAGEKEAHKLLQPADKAFMELAVEFAEYQVCVDVFVTTQTYVDIASISIIPRTTGGQVYYYYPFSALSDPAKLYNDLRWNITRPQGFEAVMRVRCSQGIQVQDYYGNFCKRIPTDVDLPGIDCDKTFMVTLKHDDKLQDGSECAFQCALLYTTVNGERRIRVITLSLPVTSMLSNLFRAADLDTQFCCFLKQAANEVPSKPLPLVREQVTNLCINALFSYRKYCATVSSSGQLILPEALKLLPLYTLALTKSTGLRTEGKIDDRSFWINYVSSLSAPLAIPLVYPRMIAIHDLDSKEDEESVIPSFLPLSSEHISDDGVYLLENGHDCLIYIGDSVSPDTVGRLFGVATVEEIPLLFVLQQYDNRLSKKLNEVVNEIRQQRCSYLRFKLCKKGDPSGQCIRMIA >OIV91138 pep chromosome:LupAngTanjil_v1.0:LG20:2698182:2708108:-1 gene:TanjilG_30360 transcript:OIV91138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLLLPLSTPFAPSYLFDNSAAKSSFVYGTTKLFPSFPKSTTKVSRRCFNSPSAKSSFDHIPKQFRKDNLKDGMMDNYKNAPQYLYGLSPSQMDMFMTEDNPIRQQTERVTEESISSAKNYLDHGGMWSDSCMVNNGPSKYSMSVSMYRGGGRGAGRPRTAPPDLPSLLLDARICYLGMPIVPAVAELLVAQFMWLDYDNPKKPIYLYINSSGTQNEKNETVGSETEAYSIADMMSYVKADVYTVNCGMAYGQAAMLLSLGTKGYRAVQPNSSTKLYLPKVNRSSGAVIDMWIKAKELEANTEYYIELLAKGIGKSKEEIAKDVQRPKYFQAQEAIEYGIVDKIIDSRDATFDKRNYDEMIAQSRATRRQAGGNPQVAPSGFRFSCCSESHIYIDWIHMLFSMMEDKVPFYNAEFKIEATKLYCFVHKVPVCGECICFPDHQICVIRTYSEWVIDGEYDWPPKCCKCQSVLEEGSGSQTTRLGCLHVIHTSCLVSHIKSFPPHTAPAGYVCPSCSTPIWPPKSVKDSSSRLHSKLKEAIIQTGMEKNLFGNHPVSLSVTESRGPPPAFASDPLIGRENHGNSDSVDGFSPATGSDPSKLSVTDIVEVDGPNSAGNFIRGSSPVGPGATTRKSPIYVERQNSEISYYADDEDANRKKYTRRGPFRHKFLRALLPFWSSALPTLPVTAPPRKDATNSAEASEGRTRHQRSSRMDPRKILLLIAIMACLATMGILYYRLAQRGPGEEFSSDEQI >OIV90791 pep chromosome:LupAngTanjil_v1.0:LG20:5832697:5834168:1 gene:TanjilG_15524 transcript:OIV90791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIDVSKKFFPELAVGFEDPRVHLHVGDAIEFLRYAPEGKYEAIIVDSSDPVGPAQELVEKPFFEIVAKALRPEGHMTINPIEMVEGADKHRRGLRFYKSEVTISCEVIV >OIV90308 pep chromosome:LupAngTanjil_v1.0:LG20:17716169:17719454:-1 gene:TanjilG_13163 transcript:OIV90308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLQASTFAVAIPFSTATPLRRHRNLFTVRAQDGNNLLVLAVEPSDKNVEIMRKFSEQYARKSGTYFCVDKGVTSVVIKGLADHKDSLGAPLCPCRHYDDKAAEASQGFWNCPCVPMRERKECHCMLFLTPDNDFAGQEQTITLEEIKEATANM >OIV90962 pep chromosome:LupAngTanjil_v1.0:LG20:3691586:3692215:1 gene:TanjilG_16922 transcript:OIV90962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKKVAVLLVLVPFIIVVMASDPDPINDFCIANSADNSFSCNNSSTATVEDFTFSGIKFPGNFKKTGFYSVAVNSNVFPGLNTLGVSFVRADFDVGGVNVPHFHPRATEVAFVLEGKIYSGFVDTKNKVFAKVLEKGEVMVFPRGLMHFQMNVGDGHATILGSFDSQNPGLVRIPNAVFGSEINEELLEKAFGLSSKELSRLKKRFSAS >OIV91002 pep chromosome:LupAngTanjil_v1.0:LG20:4000950:4004256:-1 gene:TanjilG_16962 transcript:OIV91002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAITSPSLNATEKKYWWLTNRKIAEKYIRDARNLIAMQDENAIASALNLVDAALALSPCLDEALELRARSLLYLRRFKEVADMLQDYIPSMKIANDESGSASSDSSSQTLSREGVNLISSSNERDQSFKCFSVLDLKKKVMARLSKNCEKEGYWRYVVLGKACCHLGLMEDAMVLLQTGKRLASAAFRRESICWSDDSFSLSTIGINSQPLTPPRTPLTETESITHLLTHIKLLLRRRASALAALDAGLHSEAIRHFSKLLHGRRCVPQGFLAECYMHRASAYYSSGRIAESIGDCNRTLALDPTCIQALETRASILETIRCYTDSLHDLEHLKLLYNTILRDRKLPGPAWKRHNVRYREIPGKLCALTVKIQELKKRVASGEIGNVDYYALIGLRRGCSRSELQRAHLLLCLKHKPDQATNFIDRCELANDCDLESVTDRAKMSALLLYRLLQKGYTNVMRTIMDEEAAEKQRKKAALALQLQQQAAEVNEKKIVENNNKCLISSPSIVDPSVFQGVFCRDLSVVGNLLSQGGFNRPIPMKYEALSC >OIV90033 pep chromosome:LupAngTanjil_v1.0:LG20:21914913:21931470:1 gene:TanjilG_23953 transcript:OIV90033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKESMIDLYQGDAPTLCPNPGLPATRHRRIASSFRDQSLFQIYQISLTSLFQSKADVGSKLQELSLSLALSCLSFDFMGTAYDESSDEIGTVQFPPPWKAALEDPSKLQIFFDYYAMNQTFSKEALECLLRLASARRSVFPNDAARLKFLSHLMQGTKEILQTGIGLADHDNYHAFCRLLGRFKVNYQLSELVSAEGYSDWIHLVAEFTLKSLHSWKWAGSSIFYLLNLWSRSVTSLRYLNSDKPNRLDEYVPKVIEGFVSSRFDSLQSELSDEIGENPLDNVEALQDQLEFFPHLCKFQEEANRQIPADSYGLSVTETKLAWFVHIVAAILKAKQTSNFGGESNEILDAELSARTLQLIYISDTGLHSRRYGDVSKQRLDRAILTFLDYLRRCYIGDQSVLSSKQLYARLSELGLHDHTLLLNAIVGKIATNLKSYTKCKEVIDQTISLLLEMASGRTTLYYAIGMLVFMEDSPMKFKSSMEQFLQVFVRLESTPDALFQSDAVKYAFIGLMRDLRGMAMATNSRRTYGFLFDWLYPARMSLILKAIEHCADVPEVTTPLLKFVAELVLNKSQRVTFDSSSPNGILLFREVSKLILAYGSRIVSLPNKADLYTFKYKGISICLIILSRALCGNYVNFGVFELYGDRALVDALDITVKMILSIPLADILAFRKVAAAYFAFLEILFNGHLSFVLSLDKTVLVFLLRSLEAGLKDLSEKISSQCAATIDSLATFYFMHITVGESPVSPAAHNVARLMSDYPGIFSGILKTLFEDVILGDRGNQWSLGRAILSLILISEEMFTNIKAQILASYRVDQHQRLSLCFDKLMEDVTLSLDAKNRDKFSKSLTRFKSEFCAK >OIV90847 pep chromosome:LupAngTanjil_v1.0:LG20:5234907:5237975:1 gene:TanjilG_15580 transcript:OIV90847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVDTTTENHTYTRQHSHSENHNHHHGHFPTKTIVITITTVTTVTILLTIFLVIFLLRRQKSSTKNETCKDKSRVLHDTSSKLIASTTLTFTSSPDVKRGCLHGGNLNRTPVPKFRGVQVFTYKELEVATDGFSEAKVIGNGGFGLMYKGVLSDGTLAAIKLLSREGKQGERAFRTEVDLLSRLHSPYLVELLGYCADHNHRLLIFEYLPNGTLHNYIHSSNNQTQPLDWWARLRIALDCARALELLHEHAVSPVIHRDFKSNNVLLDHNFRAKVSDFGLVKMGSEKMNGQVSTRVLGTTGYLAPEYASTGKLTTKSDVYSYGVVLLELLTGRVPVDIKRAPGEHVLVAWALPRLTNREKVVEMVDPALRGQYSKKDLIQVAAIAAMCIQPEADYRPLMTDVAQSLIPLVRNPSSLGSSSSLRFQRQTPSPSPSH >OIV90660 pep chromosome:LupAngTanjil_v1.0:LG20:7355854:7358327:-1 gene:TanjilG_23773 transcript:OIV90660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEPSSALNVEGQVPPPTPLIKLIAVASIAAGVQFGWALQLSLLTPYVQLLGVPHAWSSVIWLCGPISGLVVQPTVGYYSDRCTSRFGRRRPFILAGAIAVAISIVLIGFAADFGYSMGDDLNKKTRPRAVGFFVVGFWILDMANNMLQGPCRAFLGDLSGGDQLKIRTGNSAFSFFMAIGSILGYAAGSYSKLYKAFPFTKTKACNVYCANLKSCFFIAIALLLVLVIIACLYVKEIPLTSIDIIDDEDEVKTPCWGLFFGAFREMKRPMWMLLIVTFLNWFAWFPWVLYDTDWMGREVYGGTVGDSLFDKGVHDGSLGLMLNSIVLAVMSVAIEPLSRVLGGVKRLWGLVNFILAICLAMTVLISKEARAHRHFLLSTGAKDNGPPPNVRGGALALFSILGIPLAITYSVPFALASIYSSTSGAGQGLSLGTLNLAIVIPQMLVSAIGGKIDEAFGAGNLPAFVMGAIAAAISAVLAFVLLPTPKPQDVAKAAPVVGGFH >OIV91321 pep chromosome:LupAngTanjil_v1.0:LG20:1315241:1316548:-1 gene:TanjilG_01939 transcript:OIV91321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSNLYNLFILLLIFFIAPTLAKQSFRPKALFLPVTKDSSTLQYITQIRERTPLLPLNLVLDLGGQFQWVDCDQNYTSSTYRPARCRSAQCSLARASGCGDCFSSPKPGCNNNTCSVLPDNTVNHTATIGELAEDVVSIQSTNGFNPGQNVTVSRFLFSCASTFLLQGLASGVSGMAGLGRTKIALPSQFASAFSFHRKFAICLSSSNGVVFFGDGPYVFLPNIDASQSLTFTPLIKNPVSTASAFSQGEPSSEYFIGVKSIKIDDKVVSLNTSLLSINSNGVGGTKISTVNPYTVLEASIFKSVTNAFITASASRNITRVGSVAPFEVCFSSENVFGTRLGAAVPTIELVLQNEETIWRIFGANSVVSVNDDKVLCLGFVNGGENTRTSIVIGGYQVENNLLQFDLATSRLGFSSLLFGRQTTCANFNTTSTA >OIV90262 pep chromosome:LupAngTanjil_v1.0:LG20:18513057:18513947:-1 gene:TanjilG_11990 transcript:OIV90262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCDDSINNPLLYLDPLCSALNMLADVASGLHHQDTTSSTPSLKIRFNMKTKTVKESPNDGSEEASNSNKPINFMASSFEEGTSSKAELNYKDDEEDIPIKEMKRRAMKGKNEAIINGTENADVIDQDWVPVKPPPNKSAASSSKKRQRFNLVVVAAPSELPQEFIDKINEMGGNETTLLITKSLFDSDLNEQQNRLSIPSKQIKNENFVREGELDDLEGGKCIEVKLIQPSLEVTNLTLAKWNMRKNDGKVSSSYVLRSSSWKKVIKANQLKVNDRIQVWSFRIQEELCMAIVKV >OIV90721 pep chromosome:LupAngTanjil_v1.0:LG20:7159311:7160292:-1 gene:TanjilG_15107 transcript:OIV90721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKVVYENDLNLKATELRLGLPGTEENEEKTKSSVRTNKRPLIETSEECGSKGSNVQHVNNDVAPPSKAKIVGWPPIRSYRKNSIQEAESEGAGIYVKVSMDGAPYLRKIDLRVYGSYPQLLKALENMFKLTIGEYSEKEGYKGSDFAPTYEDKDGDLMLVGDVPWDMFVTSCKRLRIMKGSEARGLGCGV >OIV91254 pep chromosome:LupAngTanjil_v1.0:LG20:1817445:1818476:-1 gene:TanjilG_30476 transcript:OIV91254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTSLLHELINGMPIISAYQDGKSSKRYLLDSSGKYNKSTNKSKQSKANSVLSRLNKFGRKADNFAHGVREHVRLGPKITDTVKGKLSLGARILQVGGVEKVFMRLFSVNDGEKLLKASQCYLSTTTGPIAGLLFISTDKIAFCSERSIKISSPKGEQIRVHYKVLIPHEKVKYVNQSQNVNKPSEKYIEIVTKDNFDFWFMGFLNFQKAFRCLQQAVSQA >OIV90854 pep chromosome:LupAngTanjil_v1.0:LG20:5191102:5193398:-1 gene:TanjilG_15587 transcript:OIV90854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISKFFSFSFLSSKRKADPYLILLHVSITLSLFILPVKPKRLPIITTILDQVEKTKPAWFDAIEKITGTSKKSIKVGLVNIDTTINDNSLLYEQLDALHPQVETVSIEFDHVDQNMKWEDIFPEWISENNSKCPILPMPKLEDYQDLNVVVARVPCVEGVRDVFRLQVNLVVANLAMESGWVKELEVDEDVYVVFIGSCGPIVDIFKCDDLFLHQLGEYWVYRPDLKSLRQKMLMPVGTCKVAPGYAVKGKEVWRAYMSQSAATLKYNYTMQIPRLAYVTILHSSEAYVCGAIALAQSITLQSNNSILHDNNYNIDLLLLADYSIGPNSIKGLKAAGWKIRRIKRILNPFAKKGSYNEWNYSKLRVWQLTMYDKIIFLDSDILVLKNIDDFFTYPQLSAAPNNLMVFNSGLMVIEPSMCMFEHFMMQSLKVKPYNGGDQGFVNEIFTWWHRLPRKLNYLKIFQKKNEKQDNEVPEDIYVMHYLGLKPWNCYRDYDCNWDMENHHIFASDSAHKRWWMVYDAMPVELQSYCGLTKKMEERIIKHRRIARNATLCDVHWKIEVKDPRRKHYVD >OIV91473 pep chromosome:LupAngTanjil_v1.0:LG20:182319:188356:-1 gene:TanjilG_02091 transcript:OIV91473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSCNLQNLIFSTFKPQNSIVPSLSVSTLLLSCPSSSKLPLTIRFTPTSASTIRASSSSSTIIAEPEGIKINSIPTKPIQGQKTGTSGLRKKVKVFQQENYLANWIQALFNSLPPEDYKNGLLVLGGDGRYFNREASQIIIKIAAGNGVGKILVGKEGILSTPAVSAANGGFIMSASHNPGGPEYDWGIKFNYSSGQPAPESITDKIYGNTLSISEIKIADVPDVDLSNVGVTKFGSFSVEVIDPVSDYLELLESVFDFHLIKGLLSRSDFRFIFDAMHAVTGAYAKPIFVDELGASLDSITNGIPLEDFGHGHPDPNLTYAKDLVNILYAENGPDFGAASDGDGDRNMILGRSFFVTPSDSVALIAANASETIPYFKSGVKGLARSMPTSGALDRVAEKLNLPFFEVPTGWKFFGNLMDAGNLSICGEESFGTGSDHIREKDGIWAVLAWLSIIAYRNKDKKSGEKLISVSDVVKEHWATYGRNFFSRYDYEECESEGANKLIEYLRESVSKSKPGDKYGSYVLQFADDFKYTDPVDGSVVSKQGVRFVFTDGSRIIYRLSGTGSAGATVRVYIEQFEPDVSKHDLDAQVALKPLIDLALSVSKLKDFTGREEPTVIT >OIV90499 pep chromosome:LupAngTanjil_v1.0:LG20:11030997:11032772:-1 gene:TanjilG_10263 transcript:OIV90499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANAASGMAVHDDCKLKFLELKAKRTYRFIVYKIEEKQKQVVVEKLGEPANSYEDFTASLPADECRYAVYDFDFVTEEHCQKSRIFFIAWSPDTARVRSKMIYASSKDRFKRELDGIQIELQATDPTEMDLDVFRSRAN >OIV90468 pep chromosome:LupAngTanjil_v1.0:LG20:11199746:11200609:1 gene:TanjilG_18652 transcript:OIV90468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSFIVAIFLCNIVLFYIDIATVSAQSQLQSQSQSQPSKTTPSSPSNTSLHAPGGGHHGSKGGHHRVKGNYQVAKAGVPSQNPPSPPVNQNQNVTPPQPTNQGENSNQTIHDAPSSQGNENNANPGKLFGYVPRNDTSDSKTLSMDEFLHAHNWVRSKYNLSSLTWDMKLENFASSYLMVRYEDCKMIHSTSEYGENLFWGKKLHWKPSDAVYYWYDEYNWYDLKTLKCSPQKVCGHFTQLVWKDSIRVGCALQHCKDRSLGMLIACEYDPPGNYPDENPLVSHNTQ >OIV90331 pep chromosome:LupAngTanjil_v1.0:LG20:16767307:16773536:1 gene:TanjilG_14729 transcript:OIV90331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRTASFGLSAMNITFSHSSSSSLFTSSTPSLLLKHNNVSFFSSTTPSPRVSLSSHAARLQVLNPIVEIDGDEMTRIIWKMIKDKLIFPYLDLNIKYFDLGVENRDATDDRVTVESAEATLKYNVAVKCATITPDETRVKEFGLKSMWRSPNGTIRNILNGTVFREPIICRNIPRLVPGWKKPICIGRHAFGDQYRATDAIIKGPGKLKLVFVPEDGDTPTELDVYNFKGPGVALAMYNIDESIRAFAESSMTLAFAKKWPLYLSTKNTILKKYDGRFKDIFQEVYEERWKQKFEEHSIWYEHRLIDDMVAYAVKSEGGYVWACKNYDGDVQSDLLAQGFGSLGLMTSVLLSSDGKTLEAEAAHGTVTRHFRLHQKGQETSTNSIASIFAWTRGLEHRAKLDNNEKLQDFTHKLEAACVETVESGKMTKDLALLIHGPRVSREFYLNTEEFVDAVAHNLESKLQGTALV >OIV90946 pep chromosome:LupAngTanjil_v1.0:LG20:3533078:3534874:1 gene:TanjilG_16906 transcript:OIV90946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLVAAGCVPHGSTADIKSLTIQVITGRWFMVFASFLIMSAAGATLMFGLYSSDIKTTLGYDQTTLNLLSFFKDLGANIGVLTGLINEVSPPWMILAMGSVLNFFGYFMTWLAVTEKIKKPQVWHMCFYTCIGANSQTFANTGALVTCVKNFPQSRGVVLGILKGYIGLSGAIISQLYFAFYYNDTKALILFIGWLPAAISFVFLPTIRYMKPVNQPNELSVFYRFLYISLGLAGFLLVMIIVQKKVLFTQSEYGVSAAVVLILLFLPLAVVFGEQYKIQRSQKLVFVDPSPVNIVTTGGENGISNSLSLAPTLNDARIAIDETRWWQNIFSPPERGEDYTILQALFSIDLLLLFIATIFGVGGTLTAIDNLGQIGTSLGYPKTSISTFVSLVSIWNYLGRVFSGFVSEHFLTKYKFPRPLMLTLTLLLSCVGNLLIAFDVKDGLYVASIIIGFCFGAQWPLLFAIISELFGLKYYATLYNFGSVASPIGVYMLNVRVTGHLYDKEAMKQMVALGLQRKQGQELNCVGVSCFKLSFIIITGATLFGAIVSLILVARTTKFYKGDIYKRCMEKPEGDTAEMGVVRNGGERGQEPIVGKI >OIV90415 pep chromosome:LupAngTanjil_v1.0:LG20:13633912:13658660:1 gene:TanjilG_10901 transcript:OIV90415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRPEPCVLYAQTFVHPHLDEYVDEVVFLEPIVITACDFLEQSASSVAQAVTLVGATSPPSFAVEVFVHCEGETRFRRLCQPFLYSHSSSNVLEVEAVVTSHLVVRGSYRSLSLVIYGNTAEDLGQFNIEFDDNTLTDLVDSAEGKLEDLPLALHSTNFTIEDSTFSLSVLSIPVPASDISLVVKLFLHLMLKILDLSEFGDYGHRVVSTIVSAISSYISSDTCKSISERYHMRSEKFDELHSVVKEARKELLDVYKVFQQNSGSESSECLLEGIDLELEAEMLDSKALVDMFNQYFHFRSHSSYIGDHCLSQSEHALLGLSMAHLLCSDRESCFQFVSSGEMDQLVKFFAKDGQNSTTTTLLLLGVVERASRYSIGCEGFLGWWPREDEKIPTGISDGYSQLLKLILSKPRHDIASLATYLLHRLRFYEVASRYESAVLSVLVDISTVGRVTDVTLNMLTSAEILLKKLLKLISSRGPIEDPSPMAYASRVLITGQTDGLLSYKTTSGLISSSSCCFSDWDIDSHLLGLLKERGFLSLSSALLSSKLRVEEGHVMEIFMDVTSSIEAVILSFLFCRSGLIFLLQDPELSITLIHALMGGHCGNKEDCIPLRYASVLISKGFFCSPLEIGMIVGMHLKMVNAIDCLLLSNPHSEEFLWVLWELSALSRSDCGRQALLSLGHFPEAVTVLIEALSSVKESESVAKNSGGSSSVNLTIFHSAAEIIEAIVTDSTASSLSSWIGHAMELHRALHFSSPGSNRKDAPSRLLEWIDAGVVYHKHGGIGLLRYAAVLASGGDAQLTSTSILVSDLTDVENDIGESSSGSDINVMENLGKFTSEKSFDGVTLSDSSLAQLTTAHRILSFISENPTIAVTLYDEGAVIVIYAILVNCRFMLERSSNNYDYLVDEGTECNTTSDLLLERNRDLSIVDLLVSSLLLLITLLQNLQEAKEQHRNTKLMNALLRLHREISPKLAACAADLSSPYPDYAIGYGAVCQLIVSALAFWPVHGWSPGLFHTVLSSVEATSMLTLGPKETCSLLYLLIDLYPEEDIWRWTSRMPLLSARRMLAVGTLLGPQKERQVKWYLERGHLDKLVGQLSPHVDKIAEIIQHYAISALVVIQDLLRVFVIRIACQNADYASMLIQPLLSSIIHLVSESSSPSETDAFKVLRLLDFVVSLSEHPLGKGLLLREGTLQMLTKVLQRCFVTVDDIEKPTPDTRSSASCSFSFLGWCLPVFQFFMLLFHSEASQCYPHRHDIKKIENLSDEDYGLILRYILKGCQVLPIGKELLACLAAFKELASCSKGQMAIEATLFGIHSHAREHDSRKDDRTVNYDGPSVVEWENLPPLLSCWMKLLRSIEAKEELTTYTIEAVYALSVGCLQFCMCRDSLISDRVAVLKYLFGLSDYMAKSVGFPEENINYILEFSSLLSTKMTMDHCFITSHLQIPLYQVSELVKSLSLVLQRPVGYMEADDIVMPQKNVLVVLRTHQMLENSVEKIDDHLYRGGLGDKFLWECPETLPDRLTQTNHGAKRKLPSLDGSARRHRGESFQSDNSAQNAFVQGIAHSAVFSGPARRDSFRQRKPNTSRPPSMHVDDYVARERNVDGVSNVIAAPRAGSTGGSPPSIHVDEFIARQRERQNPSAVVVGEAVGHLKDDAPVKPTDGEKVNKSKQFKTDFDDDLQGIDIVFDGEESDSDDKLPFPQPDDNLQQPAPVIVEQSSPHSIVEETESDVVDSSQFSRMGTPLRSNIDENGQSEFSSKMSGSRPDMPLTRELSVSSDRKYVELSDDSKNVLKAKTSSVYDSATANSSGFPVSLYNNPSTSSMQLPIDSRMTSQSFFLKNSPQYGGNATSSQGLYEQRFLPNQPPLPPMPPPPSVSPVISHAADSVPSHSSPFVNSPAGTQHQVAFQVQTEYLSPFNNDSTSTSLASYVPMPDSKYSRTSISSPGGRSRLAPPLPPTPPPFASSSYNLPSVKTSASQSSLYNQTTTGTSEYSQVSIPPSGSRLSSYPLNASMLPIGFNRPVSMPLTPYGSTPNQQLSENQQSILQNVSIPPTSFQSMHSVTQLQPLQPPQLRRPPQPPQPLRPPQALPQLEQGIAMQSNVQVHQLQMLQQSKVSSMQTYYQTQQQEFSHALQQQVEYTQQPRDAQPQQESDSGMSLHEYFKSPEAIQSLLRDRDKLCQLLEQHPKLMQMLQGYLTRPPSATSFLDHYQQLYYADLHHHSDALLLQNRCQLLHLTALVSNLKI >OIV91488 pep chromosome:LupAngTanjil_v1.0:LG20:100976:101431:1 gene:TanjilG_02106 transcript:OIV91488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCADVCENIECVKVNNDVSSDNNLRHESEPITPDTVTQNADLHSPLTLSPHTPKDAIFDQTLSDEDMFQSLYHNLLQLILSKQTHQPILSPDPHSYHSDHCKTPPPLPPPSSTLLLLQELNTCPHAPIKLKPGGKRRNNFQLALCKKLEF >OIV90998 pep chromosome:LupAngTanjil_v1.0:LG20:3965342:3966767:-1 gene:TanjilG_16958 transcript:OIV90998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDIVVEYVTDLVHKAQDIGSQRGKLSVDDFLYLIRKDSRKLNRCTELLSMNEELKQARKVFESDEDKLRKVFEVDEPAND >OIV90659 pep chromosome:LupAngTanjil_v1.0:LG20:7312608:7317129:1 gene:TanjilG_23772 transcript:OIV90659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHVIGGKFKLGRKIGAGSFGELYLGVNVQTGEEVAVKLEPAKTKHPQLHYESKLYMLLQGGTGIPHLKWFGVEGDYNVMVIDLLGPSLEDLFNYCNRKLSLKTVLMLADQLINRVEYMHSRGFLHRDIKPDNFLMGLGRKANQVYVIDYGLAKKYRDLQTHKHIPYRENKNLTGTARYASVNTHLGVEQSRRDDLESLGYVLMYFLRGSLPWQGMKGGTKKQKYDKISEKKMLTPIEILCKSYPSEFTSYFHYCRSLRFEDKPDYSYLKRLFRDLFIREGYQFDYVFDWTILKYPQIGSSSRARPSGKPAINLGPELSGVRVERPSGVPEVRDRLSGALEEFARRNRPTSSGLGLHGDNSRHRSSDDVPSSKDVQADSERPRSSSRNGSTSKRPVVSSSRPSTSGEPNKSHSGRLVSSSGRLSTTQRILPGLDSKTFFTRASGSRGGRDDTLRSFELLSIGRKKEMKTVQ >OIV90396 pep chromosome:LupAngTanjil_v1.0:LG20:14565461:14571158:-1 gene:TanjilG_10696 transcript:OIV90396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMTAASTLSQFSAYVPVSFPKKLPRNRVFIRAMSENSSTSVSTQQEPTPLSAPLDFKAPEPKRFEVRPDMRGEVLGAALPLIFRFATGVFVSGYSFSFVPKDEIPPGQYAMLEFNGYKLKETSKANLSPRPEKPIEIYEFESCPFCRKVREIVAILDLDVLFYPCPRNGPNFRPKAVEIGGKKQFPYMVDPNTGTSMYESDDIIRYLVGQYGDGNVPFTLSLGFLTTLTAGLGMIARSGKGSKYTPAKLPPKPLKLWAYEGSPFCKLVREVLVELELPHVQISCARGSPKRQILYDKTGHFQAPYLEDPNTGIGMFESGEIIEYLKTTYALQ >OIV90811 pep chromosome:LupAngTanjil_v1.0:LG20:5665822:5671418:1 gene:TanjilG_15544 transcript:OIV90811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQYEVLEQIGKGAFGSALLVRHKHEKIKYVLKKIRLARQTERTRRSAHQEMELISKLQNPFIVEYKDSWVEKGCYVCIIIGYCEGGDMAEAIKKANGVLFPEEKLCKWLVQLLMALDYLHVKHILHRDVKCSNIFLTKDHEIRLGDFGLAKILTCDDLASSVVGTPTYMCPELLADIPYGSKSDIWSLGCCIYEMTSHKAAFKAFAAELLGHPYLQPYVRKVHLKINSPRRSTLPVHWPESNYRKKTRFVDPEDDRIPTYKDKQYSFCNDRTLNPSVSGAYHDSVCSTLEIDCIPDHINQQLAELGVGDSHEVKSIHKSVSRTFSFANTRRLTPFKVSVINKKVMEPSKNHKAATQTLPVSRNTTKYAHANSRASFPLPRGGTQQQPSCRPNMGLLSHVSSPDISFNSPIFDKIAEFPLASNEDSLFSLNTTPTSAQDSAGYPPGGNHSTVIDKCTVQVYNRPSSTDAWQGIKRSMLKEINEDKSGSSDQNATAGPLSHTSSDLRRRQFDTSSYQQRAEALEGLLEFSARLLQQARYDELGVLLKPFGPEKVSPRETAIWLSKSIKENTLSPEESP >OIV90805 pep chromosome:LupAngTanjil_v1.0:LG20:5727252:5732183:1 gene:TanjilG_15538 transcript:OIV90805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRVFSVGEIADHFWSPAIPSSLSSGGADESSKMNRSASEWAFQRFLQEATSATSPLSSSSVADRNDDVVLVETNSHQSKLDRAQSVDTTLLQKNDVVLQNAISVPPPGPVGSEEYQAFLKSKLNLACAAVAIAREDITYPVLVLPGALDQLALTDQATFPDSVPQPLSNLSQPGPQPNFTGSGPSGSDPPKLQEKDAKAPVGIPSIPAMQKKPSVAIRPSTSGSSRELSDDEDMDGETDMNDNMDPADVKRVRRMLSNRESARRSRRRKQAHLTDLETQVNQLRGENSSLLKRLTDVSHKYNESAVDNRVLKADVETLRAKVKMAEETVKRITGLNPMFHAMSDISTMNMPSFDGSPSDTSADAAVPVHDNNPNHHFYQQPTSNNPIPSHDMRVINNGLGDIPSSIENVQQNAAAAVTVGSKVGQPASLHRVASLEHLQKRIRGGVDSTGPSSNGEQQ >OIV91243 pep chromosome:LupAngTanjil_v1.0:LG20:1876455:1879649:-1 gene:TanjilG_30465 transcript:OIV91243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKLFFFKSSASSSGSNHETPPKSTEKQVVWENLPDDGMNNEAHAKAEDYIQSHEDLFSKSLKQVPDSPRSSRHPVFTWSRSLSSPLPSDPHHKFEHSSHCQVLNSGKQKQDKPTQLAVPSIQNSNGHERPGSTSSSTCSSNTSRKTVDCYIDGEQQPEGSRLRNNSHRYNTRHGSYGMKLPPKIQHTAPNSPTGGVKDKRRAHSFREAKVNRHHFSSLDWTENGFGHESPRSLAKNVIERLSQSHDLDNPITIEDIYARSVNEHDGSNLDDSLSKSCVSNEPYIMKNDYHDNSEGFGCEEPKQDVDAELMRKSKEAEERVIVLSKKFERENFFPDGFYDMPTLIQTIRNLAEEKISLAVEVSTNLRSHVADRISAREELRHVKAEQEFRTRRLENEKNEMQSALEKELDRRSSDWSTKLEKYQLEEQRLRERVRELAEQNVSLQREVSSLSEREMESKSVVTYTDQQLKELTERTEGMKEEILDLRQNLLELQEKYKITEENRDCIRRSFDEKDKECKELHKSLTRLLRTCSEQEKTITGLQDGFSEDFQKNQSSEMIDKHVAKMHMEQMRLTGVELGLRKELESCKFEADSLRHENIILLNRLKVDGKECIPATFKLDKELWARVCCLQNQGLMMLNESTYLCSDLLEFIKRKGGHLHQNIQLDIKFIENGLDGQFIIESETKIHGLKSGTEGLTRSLQMMSSLLKDKSSPLTSKFQPEFIDGDNLAKLNDQSSEDIIRTELKAECLVTSLFREKLYSKELEVEQMQAELATAVRGNDILRSEVQNTLDNLSSVKHKLKDLELQMLKKDDTINCLQSNLQEAVSELNMMRGILPQVSEEKDLMWEKVKEYNEQNMLLKSELTELKKKIETLDEDILVKEGQITILKDSLDIKPFDLLGNPDSMHGFLLS >OIV90870 pep chromosome:LupAngTanjil_v1.0:LG20:5067016:5067351:-1 gene:TanjilG_15603 transcript:OIV90870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTRTKIPSVVLKSSSNQCKMPVIAFGTAANSNKSSGEITKSAVLEAIKLGYRHFDTASYYGSEEALGEAIARALEIGLIGSRDELFITSKLWLTDNFPHLVLPALKKSLQ >OIV90843 pep chromosome:LupAngTanjil_v1.0:LG20:5302730:5305277:-1 gene:TanjilG_15576 transcript:OIV90843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLYSTLVSLQNPNPTINITKSFFFTTELNLISKRHLILQTSLLCIPQVPVAQSSAQVLQSKPLLSSIENTKSWFQFYGDGFSIRVPPEFQDIMEPEDYNAGLSLYGDKVKPKSFAARFSSPDGSEVLSVVTRPTNQLKVTFLEAQDITDLGSLRDAAKLFVPGGSTLYSARPIKIKEDGLRTYYFYEFGRYDQRVALLAGVSRGKAITAGATAPQSKWDIDGVKLRSAAVSLKIT >OIV91043 pep chromosome:LupAngTanjil_v1.0:LG20:4400537:4404752:-1 gene:TanjilG_17003 transcript:OIV91043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNKTTMKLVLLAVGFACLVSGVISSGSDTHSMLSFLENGAVSVSSTKPSSLPQPVMVGLTLIEGAASTGAVCLDGTLPGYHLDRGFGSGANSWLVHLEGGGWCNTIRNCVYRKASRHGSSKYMESQIPFMGILSNKAEENPGFSLYPTHHAYIILVIKICTPGGIKLRYCDGASFSGDSHDESAQLQFRGQKIWLAAMEELMSKGMQNAEQALLSGCSAGGLASIIHCDEFRNSFPESTKVKCLSDAGFFLDATDVSGGHTLRNLFEGVVQLQVEVQKNLPSSCLNKLDPTSCFFPQNMAEHVQTPLFILNAAYDSWQVQASLAPPSADPFGYWNDCKSNHANCSSSQIQFLQDFRVQLLNDLKDFSSSSQTGLFINSCFSHCQSERQGTWFSDDSPLLGNTPIAVAVADWYFDREVVKAIDCAYPCDNTCHHLVFK >OIV91343 pep chromosome:LupAngTanjil_v1.0:LG20:1157233:1158918:1 gene:TanjilG_01961 transcript:OIV91343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWNNQGFGKIGGDVEAGGRPLYPMMVESPELRWSFIRKVYSIIAIQLLATVAVGFFVVTVRPVANFFLGSTAGLVVYILIIFVPFITLCPLSYYYQKHPVNYLLLAIFTISIAFAVGLSCAFTDEKVILEAVILTAVVVIALTLYTFWAASRGQDFNFLGPFLFGAVIVLIVFGFIQVLFPLGKISTMIYGCIAAIIFCGYIVYDTDNLIKRFSYDEYIWASVSLYLDIINLFLSLLSIFRAAEN >OIV91151 pep chromosome:LupAngTanjil_v1.0:LG20:2583785:2590195:-1 gene:TanjilG_30373 transcript:OIV91151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPDSSFSVDSSTKYDDDGRIKRTGTWVTASAHIVTAVIGSGVLSLAWAVAQLGWIAGPIILIIFSLITLYTSCLLSDCYRSPDPITGTRNRKYMTMVKNILGGMQYKLCGLAQYVNLIGVTIGYSITASISMVAIKRSNCFHEKGHNAKCHTPNYPFMVIFGVVQILLSQIPDFHELSWLSILAAIMSFGYASIGIGLSIAKIAGGEHVHTSLTGTIIGVDVTNSQKIWNTFQSIGNIAFAYAFSTVLVEIQDTLKSSPPENKAMKKATVTGVSITTTFYMLCGLLGYSAFGNDAPGNFLTGFGFYEPFWLVDIGNIFIVVHLVGAYQVFAQPIFDLVESACTKRWPENEFITKEYIVNLPMIGSIRINLFRSIWRTLYVIFTTFLAIILPFFNSIVGLLGAISFWPLTVYFPTEMHLVQAKVPKFSITWIGLKSLAMFCLLVTLLAAAGSIEGIVTELKTYAPFS >OIV90106 pep chromosome:LupAngTanjil_v1.0:LG20:20927696:20930638:1 gene:TanjilG_01560 transcript:OIV90106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLQQNEFDRLFFFEHARKTAEAEYAINPLDADNLTRWGGALLELSQFQGLPESKKMTQEAVSKLEEALAINPKKHDTLWCLGNAYTSQAFLIPDQEEAKPYFDKAAEYFQQAVDEDSTNELYQKSLEVAAKAPELHVEIHKHGFGQQQQAAGPAGPSTSSGTKTQKKKKSSDLKYDIFGWVILAVGIVAWVGFAKSNMPPPPPPPHR >OIV90040 pep chromosome:LupAngTanjil_v1.0:LG20:21651706:21654903:1 gene:TanjilG_21172 transcript:OIV90040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAISETSNRRNSMIPSFLYSPSSLPKMINSSTISIAESPSGFMVASPNEPSRKIEMYSSAFYGACAVGGTLSCGLTHTAVTPLDVIKCNMQIDPAKYKSTSSGFGIVFKEQGLRGFYRGWAPTFIGYSAQGAFKYGLYEYFKKYYSDIAGPEYATKYKTFIYLAGSASSEFIADVALCPLEAIKVRVQTQPGFARGLSDGFPKIVKSEGLLGLYRGIVPLWGRQVPYTMMKFASYETIVEMIYKHAIPTPKDECSKTLQLGVSFGGGYIAGILCALVSHPADNLVSFLNNSKGATVGDAVKNLGLWGLFTRGLPLRILMIGTLTGSQWLIYDSFKVFVGLPTTGGVAPASVPTPTPIPTPDSAT >OIV90646 pep chromosome:LupAngTanjil_v1.0:LG20:8153922:8162327:1 gene:TanjilG_01727 transcript:OIV90646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGIVSEESVLGKSVEGISSGQHYHSGEALAEWRSSEQVENGITSTSPPYWDTDDEEDCGLKPSELYGKHTWKIEKFSQISKRELRSNAFEIGGYKWYILIYPQGCDVCNHLSLFLCVANHDKFLPGWSHFAQFTIAIANKDPKKSKHSDTLHRFWKKEHDWGWKKFMELSKVYDGFVDTSDNLIIKAQVQVIREKSDRPFRCLDRQYRRELVRVYLTNVEQICRRFVEERRSKLRKLIEDKARWSSFFAFWGGIDQNSRRHMSREKTDVILKVIVKHFFIEKEVTSTLVMDSLYSGLKALEGQTKGKKGRVNLLGAEEMPAPIVHVENNMFVLVDDVSLLLERAATEPLPPKDEKGPQNRTKDGNSGEDFDNDSMQHDEMRLTELGRRTLEIFVLVHVFSNKIEVAYREAVALKRQEELIREEEAASQAESEKRAKRRVNDREKKSKKKQVWLAHIEILLSRDL >OIV90127 pep chromosome:LupAngTanjil_v1.0:LG20:20715134:20718027:-1 gene:TanjilG_01581 transcript:OIV90127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKQILNRFPRKPSKSVESQEGEGTSTSSSPANSAGYNYGSSNASSLSAVDSNSSLGINHRDKFVQAVNAKLNLNESMSASSYEALPSFRDVPNSERQNMFIRKLQMCCVQFDYTDPSKNLREKEIKRQTLVELVDYVSSANSKFTEIMMQEIIKMVSINLFRTVTSPHRENKILEAFDLEEEEPSMDPAWPYLQIVYELLLRFVTSPETDSKLAKRYVDHSFVLRLLDLFDSEDPRERDYLKTVLHRIYGKFMVHRPFIRKAINNIFCRFIFETEKHNGIAELLEILGSIINGFALPLKEEHKMFLVRAFVPLHKPKCAPMYHQQLSYCITQFVEKDCKLADTVIRGLLKYWPITNSSKEVLFLGELEEVLEATQSAEFQRCMIPMFRRINHCLSSSHFQVAERTLFLWNSDHIQTLIKQNHKIILPIVLPALEENARNHWNQAVQSLTMNVRKIFSDTDPAFYEECMQKFQEDEARAKDLKSKREAMWKRLEEMAAMRATSNEPVLVSPRTASLSPSGKARRASD >OIV91498 pep chromosome:LupAngTanjil_v1.0:LG20:48271:48642:-1 gene:TanjilG_26467 transcript:OIV91498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIESAKCDCCGLKEDCTQDYINEVKAKFDGKWLCGLCSEAVIDEVSRGKKASGMDEAVKAHMSFCGKFKSNPAVRVADGMRQMLRRRSGDLSSSSQSSSNNKYTTRSTTTSQLGDSSTFSFY >OIV91460 pep chromosome:LupAngTanjil_v1.0:LG20:264936:265580:1 gene:TanjilG_02078 transcript:OIV91460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSRWAAIASQLPGRTDNEIKNYWNTHLKKHLSGSDHTRSAKKPHASPDPKIIKSESPSTHHMVQWESARVEAEARLSMESPLLNSWSTSNKTYTDCYLQLWHSEVGDSFRTIKGKEEGVVCQGHVSQEASSSISSKLESCSVVQVKNTSTFAKMTQEEEGSYKPKLEDDDTGGALESGNYEFFDASESALKHLLDVPDGDIGFWDTLTVSSG >OIV90421 pep chromosome:LupAngTanjil_v1.0:LG20:13962790:13963422:1 gene:TanjilG_10907 transcript:OIV90421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAKECDHHKGKKQKVFRKIFWGIVVFLFIVLVTILLIWAILKPTKPTFILQDVTIYAFNATVPNLLTSNFQVTLTSRNPNDQIGVYYDRLDTYVNYRSQQITLRTAIPPSYQGHKEVDVWSPFVYGTNVPVAPFNFVSLGQDQDDGNILITIKIDGRVRWKIGALITGHYHLYVRCPAFISFGGSRSNGVVVGENVIKYQLVQSCSVSV >OIV90382 pep chromosome:LupAngTanjil_v1.0:LG20:14207808:14208812:1 gene:TanjilG_10682 transcript:OIV90382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCTSGEPNATTTENYGGATTISTIQPEVIQTHILTRLDGPTLASVGTTSSELRALSSHNHLWDNACYSTWPSTNTPHVRHVISTFPNGSRSFFSDSYATFSPSRNNFTSGINLDRMPELISAVDIFHRENLIISKVVETETKTDSFRFSPFRVDLIHKKDAVKIPVSNDTCHDFSEEMTLSWIIIDRVGRRAVNVSSKKAVTVQRNKISGEMEARFVTVVDGGEVGTASAVAVFSVVVTWGEVLGGEISVREVSLQIEDMEGMNLYGRDSLVIMKRALEGKRGNGKDKREESYRKFVKKEGKLRKEGRLDNLGVGLAVLSFVCFLVCVFCANW >OIV90311 pep chromosome:LupAngTanjil_v1.0:LG20:17784488:17790044:-1 gene:TanjilG_13166 transcript:OIV90311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKDFIFSNFFIIGLVFTLSLFILILLKFGVPKPITSHLRTRSNRYRKRVSLSDGKGGNYTLLAASVDITTKGLYDKIEFLDVDGGAWKQGWSVSYRGNEWDSEKLKVFVVPHSHNDPGWKLTVEEYYDRQSRHILDTIVQTLQKDPRRKFIWEEMSYLERWWRDAPDEMRKSFINLVKNGQLEIVGGGWVMNDEANSHYFAIIEQMAEGNMWLNDTIGFVPKNSWAIDPFGYSPTMAYLLRRMGFDNMLIQRAHYELKKELAWHKNLEYIWRQSWDAEETTDIFVHMMPFYSYDIPHTCGPEPAICCEFDFARMQGFLYERCPWGQHPVETTKKNVQERALKLLDQYKKKSTLYRTNTLLVPLGDDFRYVNVEEAEAQFRNYQLLFDYINSNPSLNAEAKFGTLEDYFQTLREEAERINYTAPGEIGSGLVEGFPSLSGDFFTYSDRQQDYWSGYYVSRPFFKAVDRVLEQTLRATEIMVALILGFCQKSHCQKFSMGFSSKLTAARRNLALFQHHDGVTGTAKDHVVLDYGTRMHTSLQDLQIFMSKAIEPLLGIGYEKLDQSPSQFEPALVRSRYDALPLHKVNSIREGTHQTAVFFNPLEQTREEVVMVVVDSPDITVVDSNWSCVQSQISPELQHHNSRIFTGRHRLYWEVSVPAMGLETYYLVNGFVGCDKARPAKIKIFSKSSSAKCPTPYNCMQIQSDVAEIENQHQRLTFDVRYGLLQKITLKNSSPNIVNEEIGMYSSSGSGAYLFKPNGDAQPIIEEGGQLLISEGTLMQEVYSYPKTGWEKAPISHSTRLYNGENTVQGFVVEKEYHVELLGHDFNDRELIVRYKTDIDSKKIFYSDLNGFQMSRRETYDKIPLQGNYYPMPSLAFIQGSNGLRFSVHSRQSLGVASLKDGWLEIMLDRRLLRDDGRGLGQGVMDNRVMNVVFHVTVEANISTIPNLASSSFPFSPSLFSHRVGSHLNYPLHAFFAKKAQELSVRPPPRSFSPLAAPLPCDLHIVNFKVPKPSKFLQQPPEGSRFALILHRLHWDYSYCRKGRSQCTNLADNPVNLFTTFKDITVTKAKATSLNLLHEDPEVMGFTGQFGDVAQEGHLAMSPMEIQAYKLELRPQ >OIV90409 pep chromosome:LupAngTanjil_v1.0:LG20:13985322:13987250:1 gene:TanjilG_00053 transcript:OIV90409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFGALRNIIRPFSLSSVRTFTSQISGGPSMPLFRAAFASQNPGFYFLHRGASLSKPPQWLPIWNQFHSLMDTHLPKRRPSNKPRQKRASLKPPGPYAWVQYTPGEPILPNNPNKGSIKRRNEKKHMRQRRTFILSEKKKRTAQMQEAKRKKNIQRVERKMAAVAREREWAERLAELQRLEAEKKTYVA >OIV90714 pep chromosome:LupAngTanjil_v1.0:LG20:7012058:7013446:1 gene:TanjilG_15100 transcript:OIV90714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALETLLIKVKTAISTSLDNHPKLLKKIPSFKSKQNVGVLSFEVAGTMSKLLHLWHSLSDATIVRIRNDAVTLEGVRKIISNDDSLLLGLACAEFAESLRLAADSAARLSHRCDDPNLRSFRKFFHEFADLGRDPNGWAHSVPKETEAKIKKMERYVILTATLHREMEELSSIENSLRKIMNDNSNNNNNNEGRSLIAKEQKVYELQQKIYWVKQEVKELKDRSLWSRSFDSAVMLLVRFSFIVLARIKVVFGIGQSVSGLSRSFSTPATVFPSDNQNPISGSMESSKLGEEKVVLGSGFFESNCNLLKPPPSTLGAAALALHYANLIIVMEKMIKSPHLVGEDARDDLYAMLPSSIRLALRARLRGVGFCASDPVLAGEWSDALWKILGWLLPLAQNMIKWQNERSFEHQNLVVAKTNVLLLQTLFFSNKDKTEAAITELLVGLNYIWRFEREITAKALFG >OIV90182 pep chromosome:LupAngTanjil_v1.0:LG20:20118710:20122437:1 gene:TanjilG_01378 transcript:OIV90182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKNNGVGSSNKWSRVCDTCHSAACIVYCLADSLYLCSLCDAKFHAPNCGASWHERVWVCEICESAPAAFLCKVDAATLCSSCDAHIHNSNSQASCHHRVPILPIIGSLLGEEQDHDIIDLKDMSLELKRDGYEAEKKEEEVFNEVEDVAEAASWLLPNPVQNSDENEENNIILYGDNNQFSGSQYNDKNSYSVVSQEKENYAEVIVVPVQIQQQSQQFQLGLDIHSFEFGLSCNDSSVGVVPESAMSDVSIPHSKPLTIGTSDLFPHIQRPFTTLEREAKVLRYREKKKTRKFEKKIMYASRKAYAETRPRIKGRFVKETDVEETGYGIVPSELFMNIQLNGEKIEDKRREGCEQHQIRF >OIV90526 pep chromosome:LupAngTanjil_v1.0:LG20:10693364:10696050:1 gene:TanjilG_32403 transcript:OIV90526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFEVVEEEALEHTLLVVREVSVYKIPPRTTSGGYKCGEWLQSDRIWSGRIRVVSCKDRCEIRLEDPNSGDLFAACFVYPGQREVAVEPVLDSSRYFVLKVENGQGKHAFLGLGFAERNEAFDFNVALSDHDKYVRRENEKESGGDVAGEESQIDIHPAVNHRLKEGETIRINVKHKISSGTGMLSAAGLTSGYAGTPKPKVLSLAPPPSGAGKIRSPLPPPPNDPVAARIASTSRGTGLKGTNDSGRHSTDSLSDLSRLQKNLPSAASSGATTASGWAAF >OIV90906 pep chromosome:LupAngTanjil_v1.0:LG20:3142405:3145609:-1 gene:TanjilG_16866 transcript:OIV90906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGTGLNFGRGRGEGRFNNTANSRQNFKSVNNDMLRRAHSDVTENRSSVTEKPTDSVNRVEPENRTGSEEEEEEKTVAVSTLKQLSNLEQFLLAIQPHVTVHSHSKRTMRALRPCDVELQPYFVLSDLWESFREWSAYGAGVPLVLNDNDGVVQYYAPFLSGIQIYAQTVKPSGKSRQLSEDSVSDFRDSSSDGSSDCEPERSVKYLREQRNIPPRLDEIPRRMGRLSLRDHHVPPQDGFSSDDGDSVNHRGYLLFEYFEQDRPYMREPLVDKILDLALGFPELMTLRSCDMLPSSWISVAWYPIYRIPTGPTLKDLDACFLTYHSLHTPVAGSQKVQAPVARHATETKASVYKMSLPVFGLASYKFRGPLWTPNSGYEHQLASSLFQAADNWLRLLNVHHPDFVFFSRR >OIV91025 pep chromosome:LupAngTanjil_v1.0:LG20:4224845:4225315:-1 gene:TanjilG_16985 transcript:OIV91025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGAKKRKKKTYTKPKKIKHKHKKVKLALLQFYKVDDSGKVQRLRKECPNADCGAGTFMANHFDRHYCGKCGLTYVYQNASAE >OIV90076 pep chromosome:LupAngTanjil_v1.0:LG20:21319585:21323134:1 gene:TanjilG_01530 transcript:OIV90076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHIVGYPRMGPKRELKFALESFWDKKSSAEDLQKVASDLRASIWKQMADAGIKYIPSNTFSYYDQMLDATALLGAVPPRYGWSGGEIGFDTYFSMARGNASLPAMEMTKWFDTNYHFIVPELGPDVNFAYASHKAVDEYKEAKALGVDTVPVLIGPVSYLMLSKPAKGVDKSFSLLSLLPKVIAVYKEVVADLKAAGASWIQFDEPTLVTDLDSHQLKAFTATYSELASTLSGLNVLVETYFADIPAEAFKTLTALDGVTAFGFDFVRGTKTLDLVKGGFPSGKYLFAGVVDGRNIWANDLAASLSTLNALEGIVGKDKLVVSTSCSLLHTAVDLVNETKLDNEIKSWLAFAAQKIVEVNALAKALSGQKDEAFFSSNASALASKKSSPRVTNEGVQKAAAALKGSDHRRATNVSARLDAQQKKLNLPVLPTTTIGSFPQTVELRRVRREYKANKISEEEYVKSITEEIRKVVVLQEELDIDVLVHGEPERNDMVEYFGEQLSGFAFTVNGWVQSYGSRCVKPPIIYGDVSRPKPMTVFWSSTAQGMTKRPMKGMLTGPVTILNWSFVRNDQPRSETCYQIALSIKDEVEDLEKAGITVIQIDEAALREGLPLRKSEQAHYLDWAVHSFRITNVGVHDSTQIHTHMCYSNFNDIIHSIIDMDADVITIENSRSDEKLLSVFREGVKYGAGIGPGVYDIHSPRIPSAEEMAERINKMLAVLETNILWVNPDCGLKTRKYTEVKPALENMVAATKVIRNQLGK >OIV90387 pep chromosome:LupAngTanjil_v1.0:LG20:14296754:14299530:-1 gene:TanjilG_10687 transcript:OIV90387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYYNGVYHLFYQYNPKGAVWGNIVWGHSISKDLINWKVLEPALYPSKHFDKYGCWSGSATILPGKGPIILYTGVVDKKGNEVQCYAIPKNASDPFLRKWVKPDAFNPIVFDDDINGSAFRDPTTAWWSKDGHWRMLVGGKVKNRGMAYLYRSKNFVNWVRAKHPIHSAPHTGMWECPDFYPVSSRGKNGLDLSVVGNNVKHVMKNSLDVTRYDYYTIGTYLWNKDKYIPDNTSEDGWGGLRYDYGNFYASKSFYDPSRNRRILWAWANESDTKEDDVKKGWAGIQAIPRTLWLDSHGRQLVQWPVEELNSLRGKEVKMRNQKLKKGDYVEVKRITAAQADVEVTFSFSSLDKAETFDPKWVKAEDLCVQKGLKLEGGVGPFGFLTLASEKLEEFTPVFFTIFKASNKHVVLMCSDARSSSLNSELYKPSFGGFVDMNLANKKISLRSLIDHSVVESFGGGGKTNILSRVYPTLAVKNDAHFFVFNNGTEHITVENLKAWSMKSAIRD >OIV90547 pep chromosome:LupAngTanjil_v1.0:LG20:9733918:9735462:-1 gene:TanjilG_18151 transcript:OIV90547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRILLIINCILLAIGVTGGPLIIRLYFIHGGNRVWLSSFLQVAGFPIILIPLFFSYMIRRRQVNTNVDSPKLKIVTMKLPLFLFSTIIGVITGLDGYLYSYGVSRLPVSTAALIVASQLAFTAIFAFFMVKQKFTAYSVNAVVLLTLGAGILALHTNGDRPIGESSKTYVMGFVLTLLAAVLYGFILPLVELSYSKGRQAITYTLVLEIQLVMCFFASLFSLVGMIVNNDFQLISTEAKHYGLGEATYYVVLVVTTIVWQINSMGAVGVIFCASSLFCGVMIALMVPITEVLAVIFYKESFNAQKGVSLVLSIWGFVSYFYGEFKQAKEMKKNPNVGDDDLPQKQNIPNP >OIV90515 pep chromosome:LupAngTanjil_v1.0:LG20:10429699:10433461:1 gene:TanjilG_32392 transcript:OIV90515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKKKQPDNNEVEGGPVFWFETSVSVSRRFQFEPQGQLSVKIVDDSRPLHSRVVESFLHKFFPSGYPYSVNEGYLTYTKFRAMQHITSAALSVLSTQSLLFAAGLRPTPAQATAVSWILKDGMQHLGNLICSNLGARMDSEPKRWRILADVLYDVGSGLEVLSPLCPHLFLEMAGLGNFAKGMAVVAARATRLPIYSAFAKEGNLSDLFAKGEAISTLFNVIGIGVGIQLASTVCSSMQGKLVAGPILSVLHVYSVIEEMKATPINTLNPQRTAMIVADFLKAGNVSSPADLRYGEDLLFPGRLIEDAGNVRVGRAVHKVIKPSRFVELKQMFPEEKFLLNRGDKCIDMVLAKDAVGEDALRGWLVAAYAAQIDKSSHELSASTLQEAYERMSDVFPVFLNELQNKGWHTDRFLDGTGSRFSL >OIV90483 pep chromosome:LupAngTanjil_v1.0:LG20:11556408:11558294:1 gene:TanjilG_18667 transcript:OIV90483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAARPLVTVQSLDGDIATDSAPTVPLPDVMKSSIRPDIVNYVHSNISKNSRQPYAVSRRAGHQTSAESWGTGRAVSRIPRVSGGGTHRAGQAAFGNMCRGGRMFAPTRIWRRWHRKININQKRYAVVSAIAASAIPSLVLARGHRIETVPELPLVVSDTAEGVEKTKEAIKVLKQIGAFSDAEKAKDSRGIRPGKGKMRNRRYIARKGPLIVYGTEGAKAVKAFRNVPGVEIANVERLNLLKLAPGGHLGRFVVWTKSAFEKLDSIYGSFDKAAEKKKGYVLPRSKMVNADLARIINSDEVQSVVKPIKKEVKRTSIKKNPLKNLNVLLKLNPYAKTAKRMALLAEEQRVKAKKEKLDKKRKNNVPKEEASAIRAAGKAWYQTMVSDSDYAEFDNFSKWLGVSQ >OIV91276 pep chromosome:LupAngTanjil_v1.0:LG20:1647675:1650860:1 gene:TanjilG_01807 transcript:OIV91276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPVSIEVWNPNGKYRVISTKPMPGTRWINLLVHQDCRVEICTEKKTILSVEDIIGLIGDKCDGVIGQLTEEWGEELFSALSRAGGKAFSNMAVGYNNVDVNAANKYGVAVGNTPGVLTETTAELAASLSLAAARRIVEADEFMRAGLYDGWLPHLFVGNLLKGQTVGVIGAGRIGSAYARMMVEGFKMNLIYFDLYQATRLEKFVTAYADFLKANGEQPVTWKRAASMDEVLQEADLISLHPILDKTTYHLVNKERLSKMKKEAILINCSRGPVVDEVALVEHLRQNPMFRAGLDVFEDEPNMKPGLAELKNAVVVPHIASASKWTREGMATLAALNVLGKIKGYPVWFDANRVEPFLNENVPPPAASPSIVNAKDLGLPVSQV >OIV91431 pep chromosome:LupAngTanjil_v1.0:LG20:464459:470020:-1 gene:TanjilG_02049 transcript:OIV91431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEDEELEMAIQMSMQPAIPEDAGAGALSGDSGESLTRRRELMAAAAEKRLSAAAIISPSPSPPPPVGKVNEVKKSDDELCVKDGKLIKEVSVEEANELFVILFGSEVSKGILARWCNQGIRFSSDPATSMGLVQHEGGPCGVLAAIQAFVLKYILFFSDDLKEMSIMPHRGLGSSSRSQPILSFDFASLSEDVKLRALVRSMGEILFSCGSNRRVVIATMSVSGNGIQHFEGISEDEGVVRSLEGLSIDSALDLQKVLRVETYTSQTTALQRLEANIALFQSRVGALLFLISALLSRGLDLVQSDRDDPSLPLVTAPFGHASQEIVNLLLCGEAVPNVFDGRMDLGGGMFLKGISRNVEVGFLTLLESLNFCKVGQFLKTPKWPIWVVGSESHYTVLFALDLSVQNENELEVRETQIRKAFDAQDQSGGGGFISGDGFHQVLRATNIKLPQEKAEQLSNTEFIVWSEFWQVILDLDKSLGGLKDSSGLMGKKAFDLYHFNGIAKSDLNGIQVNYGGETPLQKPRLTKLRVSVPPRWTHEEFMADVNVASASSASGSSGKDTEVSKPEPSQHAPLVDCIKTRWARAVCTWSGDPPSIV >OIV91145 pep chromosome:LupAngTanjil_v1.0:LG20:2648944:2650090:1 gene:TanjilG_30367 transcript:OIV91145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYYNQQQPPVGVPPPQGYPQEYGKDAYPPPGYPPQGYPPPPQYPAQGYPPPYAPQYAQPPPQQNASNAGCLEGCLAALCCCCLLDACF >OIV90926 pep chromosome:LupAngTanjil_v1.0:LG20:3332273:3333228:1 gene:TanjilG_16886 transcript:OIV90926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQFSILQQQNVVVSSSREDMKDSLICPKPRRLALFNDLSSCSFGSNPLDTILTKGDCEEEQFWPDMSSPPSYFFGSPPSRVANPLVHDARFGDVNFPPFSSLSRVVVPGPSGLSSSPSPSSARKGGCVRANFGNNPGVRVEGFDCLDRDRRNCSIPALA >OIV90794 pep chromosome:LupAngTanjil_v1.0:LG20:5816706:5817065:-1 gene:TanjilG_15527 transcript:OIV90794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGNPEVTELKERITTMMRMMVAMQQEMASENSSASDKRAQSTRNANEEGGMTKSLPRSIKVRRMDTSRQIRRTHNTQTNMILMRILTTIIPRMTKAYSHKMQAIKLVRTLNVMTKGKS >OIV90904 pep chromosome:LupAngTanjil_v1.0:LG20:4806721:4808662:1 gene:TanjilG_15637 transcript:OIV90904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKSKAAKTSSASSPATAPFNAGSAVEISSDDNGFRGSLFTGKIIRRLANDRLLIEYDNLIADESGSKRLREILKLYQLRPIPPTENNREFKFGDEVDAYHNDGWWEGHVTEECGNGKFAVYFRVSRQQIVFHKEELRLHREWFNENWVPPFPQKQEEEKVLLTPNVTSAESVTSDVKSAQTVTPNVKPVEIVIREERFSVGTPVEVSSDEKGYQGAWFSATVVQVIGKRKFLVEYQSLLADDDSQLLREEVNTHHIRPRPPQTVVDDHFSLLEEVDAFHKDGWWVGMVSKVVDNSRYVVYFRNSSEELEFQHSQLRKHQDWIDGKWIMASKVWHYDCTLE >OIV90688 pep chromosome:LupAngTanjil_v1.0:LG20:6630218:6636989:1 gene:TanjilG_15074 transcript:OIV90688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSRTFSVNPSDYKLLEEVGDGASAIVYRAIYLPNNRQVAVKCVDLDRCNSNLDDIRREAQTMSLIDHPNVVRAFCSFVVERHLWVVMPFMAQGSCLHLMKVAYPEGFEEAVIASILKETLKALQYLHRQGRIHRDVKAGNILLDDNGEVKVADFGVSACIYDNGDRQRSRNTFVGTPCWMAPEVLQPGTGYNSKADIWSFGITALELAHGHAPFSKYPPMKVLLMTIQNAPPGLDYDRDRKFSKSFKEIVAMCLVKDQTKRPSVDKLLKHSFFKNAKSPQLYVKKLFADLPPLWNRVKSLQIKDAAQLALKKMPSAEEEALSQSKYHRGVSAWNFDIDDLKAQASMLRDDDDDVVETRDEFENKLFTCYKDTTTDSQFSKCKNNSDNLQQNESMLQVGGNDTPQNEQRNGSIVETTPSILEKDMGTSKIKSQPMQIPKTASAPLVPGIVLDQSSPEKWRALERLIIDPTATTIEKDMGTSKIKSQLRKTVSGPLVPGMVLGHSLSERERTFERSSNENQSLGEKVNRELRQNPNLSGPLLLPKRASANSLSAPIKSSGGFRDSLEDRYKANLVQIKGRFSVTSENLDLVKDLPVSSVSRRSSQGSSPLRKSASVGDWMSDCKQMPTGQPSTDSVNTNNPAPFLIPQLQNLFQQTSIQQDLIMNLLNSLQSAEVIDASQIGKLPPLPGSPVSNGSVDTATSEREQLLIAKIAELRARMTSLTDELASEKLKYKQLQQQLNAAYSQEQNRENKEAA >OIV90765 pep chromosome:LupAngTanjil_v1.0:LG20:6105320:6106277:1 gene:TanjilG_15498 transcript:OIV90765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVVFGSNIPTRAFFVFGDSLVDSGNNHFLATSARADAPPYGIDFPTHQPTGRFSNGLNIPDLISEHLGLEPTLPYLSPLLLGEKLLVGANFASAGIGILNDTGFQFNSYAVEGCSLPLNS >OIV90125 pep chromosome:LupAngTanjil_v1.0:LG20:20733100:20736355:-1 gene:TanjilG_01579 transcript:OIV90125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKETSNSFSNLSLFISTTLLLFQLTYSINHHQPCSPSSCGKIHNITYPFRLKSDPIHCGDSRYELECNNNNNSTLLLLPLFSAKYIVQHIDYNAYKIILTDPGVVQDSTCSFIPRYFLSYTSFKTIIGPDDFGSEPFTNPSYLYRVGYFNCTNPVTINDDYDLKYVKVDVSNCTEYGNNEHVYVVLETTLFDYRVSDIRVGCSLKVATLAGTRLSEIGNDNVSYDEIRRVVAEGFEVSWFAIVCEDECGKGVDCEVVDEKSGQVKCDKRYCHYAYHTTDKCGFLQQIIGYTADNNNLNPIRYEYKEIKKMSGGFNVKLGQGGFGSVYKGKLRSGVDVAIKMLSKFNPNGQDFINEVATIGRIHHVNVVRLVGYCVEGKKRALVYEFMPNGSLDKYIFSKEESSVHLSYAKIYEISLGIAHGIEYLHQGCDMQILHFDIKPHNILLDENFIPKVSDFGLARLYPLDGSIAPLTQARGTLGYMAPELFYKNIGGLSYKVDVYSFGMLLMEMTSKRKNSNPHAEHSSQAYFPFWIHDRFLEEKDIEIENASDEDNDVAKRMFLVALWCIQLKPSDRPSMSKVVEMLEGKTKSLEMPPRPSYYPQEKFQHDDDDNDDFNSNQTSWSGSSSFDGHHGQTITNHQESSAREIET >OIV90534 pep chromosome:LupAngTanjil_v1.0:LG20:10752179:10752942:-1 gene:TanjilG_32411 transcript:OIV90534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCDVIASGIVNAAKQVDLKVPVVVRLEGTNVDQGKRILKESGLALITAEDLDDAAQKAVKAYK >OIV90307 pep chromosome:LupAngTanjil_v1.0:LG20:17708921:17709673:-1 gene:TanjilG_13162 transcript:OIV90307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRYDSRTTIFSPEGRLYQVEYAMEAIGNAGTAIGILSKDGVVLVGEKKVTSKLLQTSTSTEKMYKIDDHVACAVAGIMSDANILINTARVQAQRYTLAYQEPMPAEQLVQSLCDTKQGYTQFGGLRPFGVSFLFAGWDKNFGFQLYMSDPSGNYGGWKAAAIGANNQAAQSILKQDYKDEITREEAVQLALKVLSKTMDSTSLTSDKLELAEVFLSPSGKVKYQVCSPENLTKLLVKHGVTQPATETA >OIV90861 pep chromosome:LupAngTanjil_v1.0:LG20:5129154:5134494:1 gene:TanjilG_15594 transcript:OIV90861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQARTNSGNGEDNVGIPDDLRCKRSDGKQWRCTAMSMPDKTVCEKHYIQAKKRAANSAMRANLKKAKRKSLGDSDIYLESKSDDLDVPVSSIEAEEHRSGKKLLDKISKNQFRYNPDKIKGSTMHHAMNPNDDDSEDEADAPLYEENWVSNDSPTASGEDSSRKQMQMSLDANATPEHSDGSSGSSQDTGGQTCHQCRRNDKERVTWCLQCDRRGYCDNCISTWYSDISLDEIQRLCPACRGICNCKSCLHSDNSIKVRIREIPVLDKLHYLHVLLSSVLPVVKQIHREQCFEVELEKKLCGAEIDLPRTKLNADEQMCCNFCRIPIIDYHRRCPNCSYDLCLNCCRDLRKATIDHNKEPQTEQAKASDRNILSKFPEWRSNDNGSIPCPPKDYGGCGYLSLNLSRIFKKNWVAKLVKNVEEMVSGCRINNADDLPETGLNALRVCQYSHREAGDDNYLYCPTSEDLKTDGIDNFRKHWKSGKPIIIKQVFARSSISSWDPLVIWQGIRETTDEKMKDENRIVKAIDCLDRSEIDIELGQFMKGYSEGAVHENGWPQILKLKDWPSPSASEEFLLYQRPEFISKLPLLQYIHSKWGLLNVAAKLPHYSLQNDVGPKIYISYGISDELERGDSVTNLHFNMRDMVYLLVHTNESKLKDCQRTNIEIIQKDCKESDVKESPGDPQICPGGSSPNSSVGANNKELDLESDQNKSIMDQGFEFHPSVEGNMVNGELPLKQNGDVSEKTNPGLLWDVFRRQDIPKVTEYLKMHWKEFGKTDDIVNEFVTWPLYDGAVFLDRHHKSKLKEEFGVEPWSFEQNIGEAIFVPAGCPFQARNFHSTVQLGLDFLSPESLGEAVRLADQVRCLPNEHEAKLQVLEVGKISLYAASAAIKEVQKLVLDPKLGTEIGYGDPNLTAMVSENYEKMVKRRQITCA >OIV90974 pep chromosome:LupAngTanjil_v1.0:LG20:3766506:3766979:-1 gene:TanjilG_16934 transcript:OIV90974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSIRILSIFLSLSLTYALSQQETLSAYEILEQYGFPPGILPKGITGYTLNRETGQFAAYLEGTCSFAIKSYTLKYKSTITGVISNGKLSKLKGVSVKVVLLWLNVVEVVLDGDVLEFSMGIASANLGVHNFRESPQCGCGFYCSDKLFQIGDVSSV >OIV90431 pep chromosome:LupAngTanjil_v1.0:LG20:11905860:11912550:1 gene:TanjilG_01909 transcript:OIV90431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTSPILSVPQHALPIYNTCHVPPTFQNLHLPKIQPLPYGGTGFASGSSRKRVLAASMNVVVEEEVRERRRVNSGERFDAIVIGSGIGGLVAGTQLAVKGARVLVLEKYVIPGGSSGFYQRDGYTFDVGSSVMFGFSEKGNLNLITRALEAVGCQMQVVPDPTTVHFHLPNNLSVRVHREYDQFIEELIRKFPHEKEGILKFYGLCWKIFNALNSLELKSIEEPLYLFGQFFKKPAECLTLAYYLPQNAGAIARKYIQDPQLLSFIDAECFIVSTVNALQTPMINASMVLCDRHYGGINYPLGGVGGIAKSLAKGLNNQGSEILYKANVTNIIVEQGKAVRVRLSDGREFFAKTIISNATRWDTFGKLLKGEEIPKEEENFQKLYVKAPSFLSIHVGVKAEVLPPDTDCHHFVLENDWTGLEKPYGSIFLSIPTVLDSSLAPEGRHILHIFTTSSIEDWEGLSREDYEAKKQLVADEITSRLEKKLFPGLRAAIDFIEVGTPKTHRRYLARDEGTYGPMPRSIPKGLLGMPFNTTGIDGLYCVGDSCFPGQGVIAVAFSGVMCAHRVAADIGLEKKSPPLDSALLGLLGWLRTLA >OIV91499 pep chromosome:LupAngTanjil_v1.0:LG20:45246:46409:-1 gene:TanjilG_26468 transcript:OIV91499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSSKPIHRNLHSSPISFHPSLLNTPTSTPSHITPLFTNTNAKKASSFYRINFFFSLLLALGFLLSADIAFPWVASRIFQPALSPHVVKSIAHKCWHVHDWNAKLRSFQKDLMDIADLKNCTYSAPTCEIIQDGLLLNSRCTLYKSAIEEVTIWGWPLQTAGLLTNGFSFRSLTILSGRVTEWNAGQVGYLIRKANSSWVQSKLGASVVQLDPNTWVLEYQRSSICNSTTLYASAFEFLKYRTSRIVSRLKKCFWRFAAAFEDKQFKRFTTTNNGVKIPT >OIV91467 pep chromosome:LupAngTanjil_v1.0:LG20:216815:222184:1 gene:TanjilG_02085 transcript:OIV91467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGGGDSIWKSSTSSSSSSSPSSSSFSLRKTFLLLLSLSLFSYLLGSYQNGARFTSLFQTNKIPTLPLCTFNPNYTTITAVDFNVTAPIPRCTVNYTEYTPCEDPKRSTRYKRSRMIFRERHCPKEKWEALKCRVPAPNGYRNPFPWPTSRDVAWYANVPHRELTVEKAVQNWVQYEGDRFRFPGGGTMFPNGAGAYIHDIGNLINLKDGSIRTALDTGCGKYWKGWARTEKDLNEEQMNIEKVATSLCWNKVVEKDDIAIWQKPINHFDCKARPICKPHTNPDTAWYTDMQTCLSPMPEVSKKEETAGGVLDNWPQRLKSTPPRIYKGTIEGVTSQTFSKDYELWKKRVSHYKKVNNQLGKAGRYRNLLDMNANFGGFAAALIKDPVWVMNVVPVHSKVNTLGAIYERGLIGTYHDWCEAMSTYPRTYDLIHADSVFSLYSGRCDLEDILVEMDRILRPEGSVIIRDDVDMLLKVKSIINGLEWESEIVEPEEDGSLQREKLLFAVKKYWTAPADSNNHI >OIV90226 pep chromosome:LupAngTanjil_v1.0:LG20:19539160:19539657:1 gene:TanjilG_01422 transcript:OIV90226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDNMIEIIDDNKGKMKESLEREMVENGEVEGEKMDVMEENEVLGMEKSEVDKEKRKEMEETMIENGEVDEGKIVIIDDGVDMEPLLGNGDDGGVVRDLMEVKNDDIFSIDNEEGDQFPSWNEWKFEAEQKWDSCYPYWENENIDFNFWDVQSPTNDWVVSLWQL >OIV90184 pep chromosome:LupAngTanjil_v1.0:LG20:20093996:20096045:1 gene:TanjilG_01380 transcript:OIV90184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAMRGGRGGGSGFRSFFSFRIFISAMFSLLFIATLSVLFTTNPNTPQDDVLPMTGNAYVHRTFLALKSDPLRTRVDLIHQQAKDHITLVNAYAAYARKLKLDISKQLKMFDELARNFSDISVKPNYQTSLFESDGPIDEDVLRQFEKEVKDRVKITRMMIVEKKENYDNQLKIQKLKDTIFAVHESLLKAKKNGASASLISAKSVPKSLHCLAMRLMGEKISNPEKYRDDGPKPEFEDPSLYHYAIFSDNVIAVSVVVRSVVKNAAEPWKHVIHIVTNRMNVAAMKVWFKIRPVEGGAFLEVKAVEEFTFLNSSYVPVLRQLESAKMHKLYLGNQAENTTNDAHGMKFRNPMHLSMLDHLHFYLPEIYPKLNKILYLDDDVVVQKDLTGLWKIDLDGKVNGAVETCFGSFHRYSQYLNFSHPLIKESFNPKACAWAFGMNIFNLDAWRYEKSTDQYHYWQNMNEDQSVWKKGTLAPTLITFYSTTKSLDKSWHVLGLGYNPSISIDEINNTAVIHYNGNMKPWLDIALNQYKNLWTKYVDNDMEFVQMCNFGL >OIV90546 pep chromosome:LupAngTanjil_v1.0:LG20:9710756:9711835:-1 gene:TanjilG_18150 transcript:OIV90546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEGSDARKEKTMKRVVLMINCILLATGVTGGPLVIRLYFIHGGSRVWISSFLQAAGFPIILIPLFISYIIRRRSVVDSSKLKIITMKLPLFVASAILGILNGLDNYLYSYGVARLPVSTSALIVASQLAFTALFGFFLVKQKFTPYSVNAIVLLTLAAGILALNTKGDRPTSESSKTYMMGFVLTIVAAILYGFILPLVELVYKKAKQPITYSLVLEIQVVMCFFASLFCIVGMIINNDFKVITTEARHFGLGEATYYVVLVTSAMVWQINFLGAIGVIFCASSLLSGIVIALMVPVTEVLAVVFYKETFKAEKGVSVVLSIWGFVSYFYGEFKQVKEFERNSTISNELNRKDSALDP >OIV90724 pep chromosome:LupAngTanjil_v1.0:LG20:7224205:7227994:1 gene:TanjilG_15110 transcript:OIV90724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNEIVKAQRNKAKVSTPLTCFLCNQLFTNATTMVECLHTFCRECIEKKITDEKITHCPVCNTDWGYLPQDKLKADHRLQGLRDRIFPSKGKNANAPENVPPVTLRTKTKEDSLLSLKASARKAKTAAKQVVTPRRSDISFAEHDKVIRNDGKHPGIEILDEVSTILSARRAKVAARKKIIQRESTHPSQPDKVTINEKEDYGHSQSNGTSKTRVQNPSKPESRKQIVPKKTCESTSELCKEKFDMSEPLTSLVEAVRRNKSSNMSPMKEVAVISVPIYSSDNDSQGPKVQVKKHSHTGEKKESSSAKLKRLQGTQERTIKFSGDLNFPVPAPVIGSSNNKFGNLPSQPVNGSSGKNEKICSGPVWLSLVASEDKEVGARLPQISTRFIRVKDGSLPVSYIEKYIVKKLGLPSDAEVEILLWGQPVLSSWKLQNLVELWLQTMPKDEKIHTFVGSSAKDFVMVLSYGLKA >OIV91218 pep chromosome:LupAngTanjil_v1.0:LG20:2046848:2048085:1 gene:TanjilG_30440 transcript:OIV91218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTSLIHELVNGIPMISAYQVEKSSKRYLPDSSNKYSKSITKSNQSKANPVPTTINKFGRKDGSFTHGIREHVRLGPKITDTVKGKLSLGAKILHVGGVGKVFTQLFSVKEGEKLLKAMQCYLSTTSGPIAGLLFISTDKIAFCSDKSIKITSPKGEFLRIHYKVLIPLEKIKCANQSENVKKPSEKYIDIVTMDNFDFWFMGFLNYKKAYRYLHQTLSQA >OIV91152 pep chromosome:LupAngTanjil_v1.0:LG20:2577759:2578184:1 gene:TanjilG_30374 transcript:OIV91152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFVLKLLCIQLVLCEIREEPPESLFMKELRRRGMSPTLLEDYKQDNLGLDEEVYVNEENRSFPDRKSVATDVKRSLYNQREQSIALNSEGLEVGPLLTFLE >OIV91369 pep chromosome:LupAngTanjil_v1.0:LG20:928906:938577:1 gene:TanjilG_01987 transcript:OIV91369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRFRSPHSHTLWRLYTNTSEAQSHEILTKSQLYTLVLNNYTKHGYFSNLIQNVVASPSFLFTASTNLSSSSSSSSSSSRFTIVNSISQELRDNRFNVASCCVTLNSNNNDNVVFPNLKLKVLIEAIRMVLEVVYDERFATFSYGARVGMGTHTAIRYLQNSVQNPTWWFTVRFKPRRFQNDHVQKLCSFMEHKLRDTVLIDLIKKLFECKVLVIELGGNCLGRGFPQECGLSSLLINVYFDGFDKEIQELRLRENRPELDPNMIVSAASDDVFYKPVKVYAVRYLDEILIGTSGTKMLAMDLMTRVLTILELDLGLHVDKENTAIHSAVSEKIEFLGMELQAVPPSVLHPPMPEKAIRARKKYLRQKEVRALEFKNARERNRKQLGLKIFNHVHKKIKLSDGLKFDFRIENEIREIFKSWADEVVQEFLVNIDECQEWHRSLTAGDFLSLRHIRNQLPPELVDAYEKFQEQVDKHLNPIKIRKAIEEEARRVKEEEERKYARRTVEDLTKMCIKVDAPILLIRKAVKLVGFTNHMGRPRPIELLAALEDADIIKWYAGIARRWLDFFCCCHNFRMVKTIVTYHLRFSCILTLAEKHESTKREVIKHFSKDLKVYDMNGNVEVHFPTEREVKMMGRGNLSDPKPVDGALSLVVIRLASDEPPSNCIAHFCDKTTTVFYRVHLLQNTSNTKPLENDKWVQGMGTIHESLHRKCLPLCHDHKDKLELLKKGSLQHLGENMLAFLLRIGAASDFRKAMRMKCLDVGLCRASQVVDLFPAVCPEIIVREARIEDCWEVAETHCSSFFPEYSFPLDFVLRMDRLMAMLAGFAIPNGCKRTFLVAVISSSLDGSILFGSEDFKIGGFDGKISLNKGYVAGILTVDTVADFLPRKGPLRQRRTGIAYISNVAVRENFRRKGIAKNLVAKAESQARNWGCRAIALHCDLKNPAAKKLYQGQGFKCIKVPEGANWPQPKTTPDIKFNFMMKLLNYYAASNSN >OIV90047 pep chromosome:LupAngTanjil_v1.0:LG20:21603760:21604832:-1 gene:TanjilG_21179 transcript:OIV90047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLQNDIDLLNPPVELEKRKHKLKRLVQTPNSFFMDVKCQGCFNITTVFSHSQTVVVCGNCQTVLCQPTGGKARLTEGCSFRKKGD >OIV90892 pep chromosome:LupAngTanjil_v1.0:LG20:4915720:4916841:1 gene:TanjilG_15625 transcript:OIV90892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLFEVTKVSFMLSISSVLFFNLKPFLLSAVAMSDPHQNQSQPTLSTDNYPVPLSPPLPSISKQIELNRAMTASSRSTLFSLSTNHILYEDEWIIAVNKPQGIYCENVLSSVPTLLNAKPSQGSEVELHLANRLDRDTSGVMVITKSHKVAAKFVKAFTEHKVKKTYIALCIGVPPKWKRVTVRSGHGRSRFGMWRVYAASDVGRSLPGGSVVRDMETAFEVVAINGKGILEDEGSSSNSSSVVVVEEKSVKEDGDVDGDESEIVVRAYPKSGRTHQIRLHCQYLGISIKGDVKYEGACEWKGATFDAHHLHAEMLSFQHPVTGACVMLRAPLPPWCTVS >OIV90589 pep chromosome:LupAngTanjil_v1.0:LG20:8902065:8907283:1 gene:TanjilG_01670 transcript:OIV90589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNGDGSQQGPVTCGSWIRRPENVNLVVLGRSRLGSSCPSLLQIFSFDPKTTSLSSSPLATFVLEAEEGDLVAIAVHPSGDDFVCSLSNGNCKAFELYGHETSVKLLAKELAPLQGIGPQNCITFSVDGAKFAAGGLDGHLRIVEWPSLRKILDEPRAHKSVRDMDFSLDSEFLASTSTDGSARIWKIEDGVPLTTLSRKPDEKIELCRFSMDGTKPFLFCSIKKGDNSLTGVWDLGTWNKIGHKRLIRKSASVMSISHDGKYLSLGSKDGDICVVEVKKMQIHHYSKRLHLGTNIASLEFCPSERVVLTTSVEWGAQVTKLNVPKDWKEWQIYLVLLGLFLASAVAFYIFFENSDSFWNFPMGKDQAARPKFKSILRDPKSYDENSWGPVDM >OIV90254 pep chromosome:LupAngTanjil_v1.0:LG20:18714428:18722232:1 gene:TanjilG_11982 transcript:OIV90254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMELDPMDLLRSNLSRVRIPEPTNRIYKQECCISFETPRSEGGLFIDMFNFLAFGKECVSWNFEKTGNPVYLHIKQTKKIVPEDRPSKKPTLLAIGVDGGFDNNDTEYEETRSIVILPDYVSLPFPSVELPEKVRLAADGILLAEGAERKEQVAAWTAADTKQVSAYAVNLQQIGDGVFIPPSGWKCSQCDKTDNLWLNLTDGVILCGRKNWDGSGGNNHAIEHYKKTGYPLAVKLGTITADIESADVYSYPEDDSVLDPLLAQHLAFFGIDFSSLQKTELTTAERELDQNTNFDWNRIQESGQEVEPIFGPGYTGLVNLGNSCYLAATMQVVFSTQSFSSRYYISQNLKKAFEAAPADPTVDLNMQLTKLAHGLLSGKYSIPASENDENANVASSTKTDNQEGIPPRMFKAVIAASHPEFSSMRQQDALEFFLHFIDQVERTHSGKAEPDPSRSFKFGIEDRIMCSSGKVAYNRRNDYILSLNIPLHEATNKEELESFHKLKAEKLSEGKELSSDEVVRPRVPLEACLANFSAPEEIHDFYSTALKTKTTALKTAGLTSFPDYLVLHMRKFVMEAGWVPKKLDVYIDVPDIIDISHMRSKGHQPGEELLPDAVPEEDSKPWVNEEIVSQLVSMGFNHIHCQKAVINTSNAGVEEAMNWLLSHMDDPDIDAPISKGQGSQSVDQSKVDTLISFGFQEDVARKALEASNGDIEKATDWIFSNPDASVSSMDATSSNTTSVPNGADLPDGGGKYRLIGIVSHIGTSTQCGHYVAHILKDGRWVIFNDNKVGASINPPKDMGYLYFFERLSD >OIV91163 pep chromosome:LupAngTanjil_v1.0:LG20:2509729:2513877:1 gene:TanjilG_30385 transcript:OIV91163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIVLFFFLTFFNFPTTLANDLMYLKHTCSNQKTFTPNSTYQTNLHTLLTSLSSHAAATDFYNTTAGGSEDIYGLFMCRGDVTNQTCQKCMAKATIEISSKCPYSKEAIIWYHQCMVRYSNRCFFSTVDEWPRHKFMNYSVTTNSTKEGSYGWLLANTLSEAVAEAANFGPQGTKKFATKNATLPGSKKQKVYTLVQCTHDLSTQDCNRCLSDIMSDIPLCCLGKDCGMVLYPSCSLMFGIDQFYRDVALARMHPAPSSRVPRQSSHSGKATLGLINIAMVPVVFLLMLFFANRIRRLNELRRYKAILRENFGNESTTLESLQFNFAAIEAATNKFSDENLIGQGGFGEVYKGTLHDGREVAVKRLSKSSGQDFGMARMVAIDQEQGRTNRIVGTYGYMSPEYAMLGKFSEKSDIFSFGVLVLEIISGRKHSTSYQPYYVDGLLSYAWKQWRDGAPFEILHPSLRVSCSEIEVMKCIQIGLLCVQENPADRPLMAGVVSYLSNPSIELPSPQEPAFFRHGGKEINMSAKELKLGHVAKSNTPYSINEMSITESFPR >OIV91245 pep chromosome:LupAngTanjil_v1.0:LG20:1865800:1869130:-1 gene:TanjilG_30467 transcript:OIV91245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSLHLSQALLARAVHGCFNPSSDRLSLSTSTLSLPPFSTLRSHSSSTSSPCKTYSSNSSRRRVGSVRASAVETLDKTTEVSLVEKSINTIRFLSIDAVEKANSGHPGLPMGCAPMGHILYDEIMRYNPKNPTWFNRDRFVLSAGHGCMLQYALLHLAGYDSVLEEDLKSFRQWGSRTPGHPENFETIGIEVTTGPLGQGIANAVGLALAEKHLAARFNKPDSEIVDHYTYVILGDGCQMEGISNEAASLAGHWGLGKLIAFYDDNHISIDGDTEIAFTESVDKRFEGLGWHVIWVKNGNTGYDEIRAAIKEAKTVKDKPTLIKVTTTIGYGSPNKSNSYSVHGSALGAKEVDATRKNLGWPYEPFHVPEDVKKHWSRHTPEGAAVEAEWNAKFAEYEKKYKEEATELKYIISGEFPVGWEKALPTYTPEIPADATRNLSQQNLNALVKVLPGLIGGSADLASSNMTLLKSFGDFQKDTPEERNIRFGVREHGMGAICNGIALHSPGFIPYCATFFVFTDYMRAAIRISALSEARVIYVMTHDSIGLGEDGPTHQPIEHLSSFRAMPNILMLRPADGNETAGSYKVAVVNRKRPSIIALSRQKLPNLAGTSIEGVEKGGYTISDNSSGNKPDVILIGTGSELEIAAAAAEDLRKEGKAVRVVSFVSWELFDDQSDEYKESVLPAAVTARVSIEAATTFGWGKIVGSKGKAIGIDRFGASAPAGKIYKEFGITKEAVIAAAKELS >OIV90095 pep chromosome:LupAngTanjil_v1.0:LG20:21037615:21038343:-1 gene:TanjilG_01549 transcript:OIV90095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFFSSMATVVASVESLVSEILLRLPVKSLLRFKCVSKQWLALISEPKFCHSHTLRLYRTCRVFPSALLLALISSKTCPIIPLKTKNISDSSDFHKVEVPKGTVIQSCNGLLLIERSPSKNLSEHEYLICNPTTNKSVPVIFPTKQFSSSVISLFICFEPLKSPYYKLVAVRFKDDKSFNDMQNIVNSTFTLLVPEYVINVIHQKLVHGVNLGLILFRLSFIPRIKVTPYSIMVRCIGTFLV >OIV90937 pep chromosome:LupAngTanjil_v1.0:LG20:3452490:3453041:1 gene:TanjilG_16897 transcript:OIV90937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDTSGKKRVRDESSESWLELSESKRFKDDLLELFDEADLIPSTQDLDSIMKSLQDEISASHSPAPIIVTSNSGEYQPHIGYLLEASDDELGIPPPGDSSVMEGKKEDDELFRVSSDSSGIGELWQFEDHMMRYDSFDMGNGFGYENSNTEYVLFDGLFDNSDLHYDSAEFSESWRHETMPEL >OIV91102 pep chromosome:LupAngTanjil_v1.0:LG20:2972480:2973627:-1 gene:TanjilG_30324 transcript:OIV91102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGALHFLSDYFSVSTPKQKRKPMQTVEIKVKMDCEGCERRVRSSVSNMKGVKQVEVNRKESKVTVTGYVDRNKVLKKVQSTGKRAQFWPYIQYNLVAYPYVAQAYDKKAPSGYVRNIVQTLPSPNATDEKITTLFSDDNPNACSIM >OIV91091 pep chromosome:LupAngTanjil_v1.0:LG20:3050444:3054773:-1 gene:TanjilG_30313 transcript:OIV91091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSILGLLLFILFVCSSATPFSSIGSRRSILREVSDNNKSDHPDYAVELNSTNFDDVLKDTPDTYAVVEFFAHWCPACRNYKPHYEKVARLFNGPDAVHPGIILMTRVDCASRINTKLCDKFSVGHYPMLFWGHPSKFVGGSWEPKQEKSDLRVIDDARTADLLLNWINLKLGSSFGLDDSKFETEYLSSNISDPGQIVKAIYDVEEATSTAFDIILEHKMIKPETRASLIKFLQLLVAHHPSRRCRKGSAELLVSFDDLYPTDFWSNNEQEADKGSVSNLKICGKDVPRGYWTFCRGSKNDTRGFSCGLWVLLHSLSVRIEDGESQFAFNATCDFVRNFFICEECRQHFYKMCSSVSSTFNKAHDYALWLWSTHNKVNERLSKEESSLGTGDPNFPKTIWPPKQLCPSCYLGHDHRNNKIEWNQDEVYKFLVTYYGKTITSLYNKDKIVGNNGTDRAIEDLVVEASNAIVVPLGAALAIAVASCAFGALACYWRSHQKSRKYFHHLHSLNNI >OIV91428 pep chromosome:LupAngTanjil_v1.0:LG20:490120:490686:1 gene:TanjilG_02046 transcript:OIV91428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEGVNTVKNGRWAELDHDLLNEIAMKLNYSYNDYIQARSVCREWKMALPKVPCLVLPFSEDNTLETYLAHRKIYHIRLPELFGTKIRGSSYGWLICVGIDDTLQMIKPLNPKVHFFLPPLSTLPNVVSYHPDEIDNEYLLQEFGDDDIYSISRIHMQKSFQVQKVILSSPPDDNHQDFMAIVIFSSQ >OIV90538 pep chromosome:LupAngTanjil_v1.0:LG20:10816886:10821565:-1 gene:TanjilG_32415 transcript:OIV90538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEARSAFSVERTSVKQLSNMGMSGPLSSSCPVLPLPAPVEATYPKLPDSQLALVEKELITRPFTHSNSHGVVGHTFSSSPGYSTDLHHSSLSPHEKHSTNAHFISQSTTSVAPLPLSYFKENSASCHTDSIPSFLDFSANTSTGHSQVESDVCNIMASDEYCQRKDWQEWADQLINDDDSLTSNWNDLFADNIQEPKVSKPSSQFPGHQAHGHQHLPASSGENCNGAAPLSSANSASAKPRMRWTPELHEAFVEAVNQLGGSERATPKGVLKLMKVEGLTIYHVKSHLQKYRTARYRPESSEGVTEKKTSSIEDISSLDLKTGIGITEALQLQMEVQKRLHEQLEIQRNLQLRIEEQGRYLQMMFEKQCKPGIETFKASPSIIDNPSGVKSDLEASQVDGCRSGPNQADGSIAVDEGSPEMGAKHDSPKQHVVGDDLSENEILIRTMTKLQNFGEGSRKSLTILNPSLTSIEKQCKPGIETFKASPSIIDNPSGVKSDLEASQVDGCRSGPNQADGSIAVDEGSPEMGAKHDSPKQHVVGDDVSPQPSKRKRTNE >OIV90556 pep chromosome:LupAngTanjil_v1.0:LG20:9964608:9968064:-1 gene:TanjilG_18160 transcript:OIV90556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHLKPLQTLLSLSTLSLLLFLSSPVVADDDSAVMSAFLKSLTPPPSGWSSTTPYCSWTGIKCDSSNRVTTITLSSHSLTGTLPPNLNSLSQLTSLSLQNNFLSGPLPSLSNLSLLQSVFLNRNNFTSLPFPSPSFSNLPSLQTLSLSNNLNLPPWHFPSELTNSPNLNLLDLGTVSLTGPLPDLFDSFPSLLNLRLSYNNLTGVLPDSLAKSGIANLWLNNQETGLSGSIEVLANMTLLKQVWLHKNLFTGSIPDLSNCENLFDLQLRDNQLTGIVPVSLMRLSSLLNVSLDNNMLQGPVPEFGNDVTVTLHGVNSFCRDDVGPCDERVMVLLEIAKGFGYPIRLANSWRGNDPCDGWSFVVCNEGKITSVNFAKQGLQGTISPAFAKLTDLRNLYLGENNLTGSIPESLTTLPQLATLDVSNNNLFGEVPKFSSKVKLVTTGNVLIGKLPSSGSGGSGNGGGNGSGSSPSVGSAPSGSPVQLGNGSSLTTGWIAGIVVIVLFFVAVVLFVSFKCYAKKRHQKFGKVDNTESGRGNIKFDEMSVSKGYSGIASELQSQSSGDRSDVNVFESGSATISIQVLRQVTDNFSEDNILGRGGFGVVYKGELHDGTKIAVKRMESVAIGTKGLNEFQAEIAVLTKVRHRHLVALLGYCINGNERLLVFEHMPQGTLTQHLFEWHELGYAPLTWKQRVAIALDVARGVEYLHSLAQQSFIHRDLKPSNILLGDDLRAKVSDFGLVKNAPDGKYSVETRLAGTFGYLAPEYAATGRVTTKVDVYAFGVVLMELVTGRKALDDNMPDERAHLVSWFRRVLINKQNIPKAIDQILDPDEETMESIYKVAELAGHCTAREPYQRPDMGHAVNVLVPLVEQWKPTTHEEEDGCGIDLQMNLPQAVQRWQANEGTSTILNNMSFSQTQSSIPSKPSGFADSFDSLDCR >OIV90530 pep chromosome:LupAngTanjil_v1.0:LG20:10722107:10722445:-1 gene:TanjilG_32407 transcript:OIV90530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKQTNLTFVTETKSILTDTNSILTETTMRNMTISMASLMTEHMGQLTETTNRINKPIDYARPKHHISSLTEASHTSHPNLTDMVVKIAQLYDLQELAHAQSQFVLDLHRIV >OIV90533 pep chromosome:LupAngTanjil_v1.0:LG20:10747635:10749315:-1 gene:TanjilG_32410 transcript:OIV90533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKKVKGLLRGFKYISQIFENEKEEEIQIGNPTDVKHVAHIGWDGPSVNTPSWMNEFKSSPRHASTPLDLNGELQHIEQDNSVKWVSEVSRRGSRHVNARGSKVSTNNMTDSPTKEKANKTRQTRKSSKLKDSLDESNPTQQVIQLDAFQGDESSTNNSLDIPKKSRRKKLKDNSNFGESSKLRSKDQHTELESPPAFVSKPRHKQRFMEESGQYERGVSRIS >OIV90686 pep chromosome:LupAngTanjil_v1.0:LG20:6598532:6605747:1 gene:TanjilG_15072 transcript:OIV90686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEPESNSNPETELNPKPETEPIPEEPQTHPELEPVPAVTDSDLKESEIRSNDVGINHVPRPQLKKDEGNRTFTMKELLHGLKNDSEPDREDAESPYSEEAQKQQQKIEQNNATMDLINSVVGVDEEGRSRQRILTFAARRYATAIERNPNDYDALYNWALVLQESADNVSLDSTSPSKDSLLEEACKKYDEATHLCHSLHDAFYNWAIAISDRAKMRGRTKEAEELWKEATRNYEKAVQLNWNSPQALNNWGLALQELSAIVPAREKQKIVRAAISKFRAAIQLQFDFHRAIYNLGTVLYGLAEDTLRTGGLVSGQEVSPNELYSQSAIYIAAAHALKPKYSVYSSALRLVHSMLPLPHLKVGYLTAPPAGKTIAPHNDWKQSEFFLDHERLQQIPRGEQRQVPQSLSSSSIGSVNEDKKTIKVDMADIISVSASADLTLPPGAGLCIDTVHGSVFLVADSWESLDGWLDAIRLVYTIYVRGNSEVLAGIITG >OIV90137 pep chromosome:LupAngTanjil_v1.0:LG20:20623294:20625793:-1 gene:TanjilG_01591 transcript:OIV90137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADKPASSVNARRIITDQECQAMIQKSLRTPMVRFLRQQLEKAGCPIGDNFFRAVYCHQKMQGAYISGEGVTVCTNYIRIQDEVNQVVTHELIHAYDDCRAANLEWTRCAHHACSEIRAAHLSGDCHYKRELLRGFTKFGGQGKECVRRRVMQSLATNPDCAGTAAKDTIEAVWDKCYNDKQPFDREP >OIV91127 pep chromosome:LupAngTanjil_v1.0:LG20:2786177:2787618:1 gene:TanjilG_30349 transcript:OIV91127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKTLFLVGFICIVLSCVTAQSPTSSPAPPTPTTPTPTTTPAPPTPQASPPPVQSSPPPLQSSPPPLQSSPPPAQSPPPVQSPPPVSSPPPAQSPPPVSSPPPVQSPPPVSSPPPATPPPAQSPPPATPPPATPPPAVPPPALTPTPLSSPPATSPAPAPEKVKSKAPALAPGPISPASEAPGPNLAPVAPAGDDSGVDKLMVGSFAFGCALLSLIL >OIV90177 pep chromosome:LupAngTanjil_v1.0:LG20:20196171:20199594:-1 gene:TanjilG_01373 transcript:OIV90177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRVIPPRILKNIRYNTATATTTATTKPLNSAHPSIISSSVVPQPSLYDKADQKPPSTTVNPPLLNFDDAEKLFAPVSTPKLLRSSAVIHATAMGPMVDFGMWIMKSKLVQKGVLKDLVMSSIKKTFFENFCAGEDAADAGRSIRWLNGVGLRGMLVYGVEDAHDNEGCDRNLKGFLHTVDVSTSLPYNSVSFVIVKITAICPLKLLERMSDLLRWQQKDPSFILPWKQDSLPIFAESSPLYHTQKRPEPLTPEEENDLELANQRLLELCQKCVQANIPLLVDAEHTTVQPAIDYFTYSSAIMHNKDENPIVFGTIQTYLKDAKERLLLTAKAAEKMGVPMGYKLVRGAYMSLESKLAESLGYASPIHNTIQDTHKCFNDCSSFMLEKIASGPGNVVLATHNVESGKLAAAKAHELGIGKVNQKLEFAQLYGMSEALSFGLSNAGFQVSKYMPFGPVEMVMPYLLRRAEENRGLLAASGFDRQLMRKELGRRLKAAVF >OIV90836 pep chromosome:LupAngTanjil_v1.0:LG20:5348126:5350310:1 gene:TanjilG_15569 transcript:OIV90836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVMVQLQRQLVDYTASLFNEGFLDEQFNQLQQLQDESNPDFVVEVVTLFFEDAERLLNEMTIALSQESIDFKRLDAHVHHLKGSSSSIGAQRIHRVCISFRNSCVEQNVEGCLESLQQVKQEYSLVKSKLETLFKMEQQILVARESVF >OIV90644 pep chromosome:LupAngTanjil_v1.0:LG20:8180904:8186822:1 gene:TanjilG_01725 transcript:OIV90644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDTLPLNLSYVHKPLVFTNRLYMLLHATALCFLFYYRLCFFFRNPQTRGTPLLPWLLVFASETILSFIWILHQSFRWHPISRTAFPQRLPKDEKLPPLDVFICTADSTKEPTFDVMNTVLSAMALDYPSHKLHVYVSDDGTSSFTFNAMKVAFNFTRWWLPFCRKYRIECRCPKAYFSASHYGHSDFEGNNEFIADNKIIKEKYEDFKEGKASDTNAITSQNHPSIIVVIQENISSEVEHVKLPSLVYVSREKKPSHPYNFKAGALNVLHRVSAVMSNAPYILVLDCDMFCSDSASALQALCFHLDPKISNSLAFVQFPHKFHNISQNDIYDSMDGIKGPVLSGTGFYMKRESLYGNYKIKDTDHELQQYFGTSNEFIKSLEQNYTPHLVSGDHASPEEETLLLASCNYENGTKWGKEKYGNYKLVPITENSIVPYSYIRDKDGCKEKNSDKSKKKVSFNLNVQIFEPNPSDYQPLDNEEETNENNTAEPEGEGSAALTMRYPSNYRYYNCTNDYDEEDEIVFEESDIEDYDDNDNDEFDDGYEWDDGGGGGGSLGNDEAEVNDQNTSQKKLICYSSVEEESMKNQILLALNDTELKSNTSGRDRGMNMHSVLIPVENLTQWKAIKAKVASSKHKRKENVQPLEKNTSMPLVSEASLSFSPCILEPNVLQSKPLLQEIAVDASLSNWLVSPNYDFSQATIHCH >OIV90485 pep chromosome:LupAngTanjil_v1.0:LG20:11610528:11618566:1 gene:TanjilG_18669 transcript:OIV90485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRGQKRPEMVDELPADKRACSSLDFKPSSSNSPVQTHMNSTPEAHDHDMDTSSSASGSSRSEEEPEKDSAYGSCDSDDMEQHHSSLHEYHRGRVSSDHGKFKNIISTLSEQTEPSAQLAVLTELCEVLSFCTEGSLPSMTSDLLSPLLVKLAKHESNPDIMLFSIRAITYICDLYPRSAGFLVRHDAVPALCQRLLAIEYQDVAEQCLQALEKISREQPLPCLQAGAIMAVLTYIDFFSTSIQRVALSTVVNICKKLPSESPSHFMEAVPILCNLLQYEDRQLVENVVTCLIKIVERVAKSSALLDELCEHGLVQQVTHLLSSNGPTTLSRLTYNGLIGLLVKLSSGSVVACRTLHELNISSTLRDLLSTSDLSHGMLTSQPIGGQCNQVFEVLKLLNELLPGLSKDQSDQLVLDKESFLANHPEFVQKLGTDVFPSLIQVFNSGASLYVCHGCLSVMYKFVCVSKSDMLVEVLKNSSISSFLAGVFTRKDPHLLMLALQIAEMVLQNFSDDFLNLFIKEGVFFAIDAILTPERSSQLMYPGFSGFQLSLDSSQRPASRESLKCQCCAFPNGQCLTLSEVGNCHVDKEAVYNLAEHIKTTYLAPELYDAEKGLTDILQNLRALSNDLLNTSTDNGALALHEDKVNSILYQIMDKLTGKEQVSTFEFIESGIVKSLVNYLSCGQSMMENGVQSVCGYNAVIEKRFEALARVWLYASPHLSGDTSLSILIRNLQSALTSLEAFPILLSNGQRLRNSYAKVPNGRSVPYPCLRVRFVRGESETFLNDYTEDFLTVDPFSSLHAIEGYLWPKLSVKSTKQTRALSAQPALQPNVSSCPVDMLTDLTETQGEDPNSSQPVPDQAVNVNAGESSSSGTQVYAEQEQQVNAETASKLQIQHSVSSSNEAAQKLAFYLEGQYLDHKLTLYQAILHQIIKQNDCSAAKLWSQVHIITYRRAVKSEDIMPQACLSSPQDFSNDKVLAYYQHTPFFGDMFSCELVSDLEKSSPTYGILFLLKSLEGMNRFIVHLMSRERICAFAEGKVDNLDSLKIRVHSVAQNEFVSGKLTEKLEQQMRDSLAVSIGGMPSWCGQLMASCPFLFSFESRCKYFKLAALGQPQSLPHMSYNSGMVRDRQTSLSGLPRKKFLVYRNQILESATQMMNQHARNRMVLEVEYDEEVGTGLGPTLEFYTLVCQEFQKSVLGMWRDDASSFTLKTNLQSEEMGTNSFYGLFPRPWSSMQDTSCGIQFSDVTNKFSLLGQIVAKALQDGRVLDLHFSKAFYKLILGKDLSLYDIPSLDPELGSVLQEFQALVNRKKFLESGCGRSSDFEYGLNFRDTKIEDLCLDFTLPGYPDIILASGPDHTIVNMRNLEEYVSLIVDATVRSGVSRQVEAFKSGFNQVFSIEHLNIFNEEELERILCGEHDAWAINELADHIKFDHGYTASSPPIVNLLEIIREFDYEKRRAFLQFVTGAPRLPPGGLASLNPKLTIVRKHCSNQVDTDLPSVMTCANYLKLPPYSSKDPSLLVRKGKDEREAVICHNRGSRIFPPFIT >OIV90528 pep chromosome:LupAngTanjil_v1.0:LG20:10706814:10709219:1 gene:TanjilG_32405 transcript:OIV90528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARESENGIVVKAMQFSYEYSEKEPPLFIDFNLNVSPGSRCLLLGANGSGKTTLLKILAGKHMVGGRDVVRVLNGSAFHDTKLVCSGDLAYLGGSWSKNVSSAGDIPLQGDFSAEHMIFGVEGADPDRRDKLIDLLDIDLNWRMHKVSDGQRRRVQICLGLLHPYKVLLLDEVTVDLDVVTRMDLLDFFKEECEQREATIVYATHIFDGLETWATHLAYIQEGELRRAEKISDVSELKSSTNLLSIVESWLRAETKLEKKKPVHKDSHTQNTSFVSSPFFSSRHMAYYR >OIV90953 pep chromosome:LupAngTanjil_v1.0:LG20:3602207:3603325:-1 gene:TanjilG_16913 transcript:OIV90953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKWQKGRTEQSWIWLEACSFARNYQRVFWAEAVVCAVYLLNMCLVKSIRDKTPEEAWSGQKPNLSHLKVFGCVAYTHIPDQLRKKLDDKAEKCIFVGYIEETKAYKLYNPETQKVIVSRDVTFDEDGVWDMSEKGKELWPIPITINEEVDDKVDELPTESTLDSPTHKYPQRERRPPTHLLDYEVGRDDDLDDREEEVAYYALFVDCDPVTYEEAINEDCWIKAIDEEIHAIEKNDTWELTTLPEGKKSIGIKWVYKTKYNPSGDVDRFKVQLVAKGYKQKHDIDYFEVFAPVARMDIIRMILSIAAHNQWKISQMDVKSAFLNGVLEEEVYVEQPPGYLHQREKDKVYKLKKALYGLKQAILLLFVCMWMI >OIV90865 pep chromosome:LupAngTanjil_v1.0:LG20:5102553:5103999:-1 gene:TanjilG_15598 transcript:OIV90865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATEVPKGEAKEVMEEYVEPLMCKTCVLKVSIHCEACKRKVKKILQKIDGVYNINIDLRQQKVVVTGNVDSETLIKKLISKTGKLAELWPEKPESKKKPPKPEKQQQSDAESGEENSEEKETVKVVVQEEATAKNTEGSINVKQQPGGEGCVTGKPGVQFQEPKPELRQTVTVLTGIQPPPGTEKKVSIAVQVPNENEQPSNAPATAAPGGSSGGKKKKKKKSKSAAAAASGGVTVEHSADVPVTTGGPGNQSQVNPVHNGLGGPSSVPMFSNPANESPPRHHMYNQYPPHYYAPPPPVYTVSYNQAYPSSNNYGASYYTSPVPYSYAQVLSPEGVNEMEGPPPYVYETESYTSQQPDSFELFSEENPNACSVM >OIV90800 pep chromosome:LupAngTanjil_v1.0:LG20:5778530:5779117:-1 gene:TanjilG_15533 transcript:OIV90800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTKTTTTTAYGTIPTAVTPPQPSNPTQSLIATPRPWRELLDLSALSPPISYPSAISRVKLNVSYFRFNYAIITLLILFLSLLWHPLSMIVFLIVFVAWFFLYFSRDGPVVVFNKTVDDRIVLFGLGMVTVIALVATHVGVNVLVALVVVVVVIGLHAAFRVTGDLFLDEENAEEGGLLSVVGSDQNLRTSYNRI >OIV90677 pep chromosome:LupAngTanjil_v1.0:LG20:7800962:7801195:1 gene:TanjilG_23790 transcript:OIV90677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSEKLVSCEISSEETDQQEAQDGIEETKRSYECTYCKRGFANAQALGGHMNIHRKDRAKAKKEVTQFFNPNKQIHS >OIV90191 pep chromosome:LupAngTanjil_v1.0:LG20:19976585:19976867:1 gene:TanjilG_01387 transcript:OIV90191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQIHPHQHSITADPKPDSPVTELQSTVSPNANSLDSETQDSRVTVSSENSMIMLLE >OIV91429 pep chromosome:LupAngTanjil_v1.0:LG20:484141:485343:1 gene:TanjilG_02047 transcript:OIV91429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEGVNTVKNGRWAELDHDLLNEIAMKLNYSYNDYIQARSVCREWKMALPKVPCLVLPFSEDNTLETYLAHRKIYHIRLPELCGTKIRGSSYGWLICVGIDDTLQMIKPLNPKVHFFLPPLSTLPNVVSYHPDEIDNEYLLQEFGDNDIYSISRIHMQKSFQVQKVILSSPPDDNHQDFMAIVIFSSHSRLAFCRRDDTKWIDIPKSVETEDSYDYKDAIFHEGKIYAIDFKGQLFEYHIKKGGIPIVSSRNVPPPPHFNSNRYPHYNEAYLIGCPEGDLVMVARNFNYYQAEEDAPDCYNSAKFDIYRISSVDMKQWSKLFKLENGAIFIGLNSSTWMTNHTLPHGQRNKIYYTDNNLEHQYMEQMGGHDIGMLNLEEGTICRFFPNSYLLCPPPIWWL >OIV91507 pep chromosome:LupAngTanjil_v1.0:LG20:11352:12857:1 gene:TanjilG_26476 transcript:OIV91507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGFTSASGGETHFEAKITFTVIISCIMAATGGLMFGYDIGISGGVTSMPSFLQQFFPEVYKRTQEHGIESNYCKYDNQFLQLFTSSLYLAALVATMFASPVTRTLGRKQTMLIAGVFFIVGTVLNAVGNSLIILIVGRVILGCGVGFANQAVPVFLSEIAPTRIRGALNIMFQLNITIGILIANLVNYFIAKIEGGYGWRISIALSGVPALMLTIGALVVDDTPNSLIERGREEQGLAVLKKIRGVDNVEAEYEDILKASKVAKQVNNPFRNLLKRHNRPPLIIAVCMQVFQQLTGINAIMFYAPVLFSTLGFKSDASLYSAVITGAVNVLSTLVSVYFVDKAGRRMLLLEACVQMFVSQMIIAIVLGLKVQDYSQDLSKGFAMLVVVMVCTFVASFAWSWGPLGWLIPSETFPLEARSAGQSVTVFFNMLFTFIIAQAFLSMMCYMKFGIFLFFSAWVFVMGLFTMFLIPETKNIPIEDMNEKVWGQHWFWKSYMDD >OIV90873 pep chromosome:LupAngTanjil_v1.0:LG20:5041510:5043119:-1 gene:TanjilG_15606 transcript:OIV90873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVTSKSSGVCISQGARFPVFKLEGNPPKKGPKDLSLCRVFRKKTCCDITHTHPALLTVRKLASTGEASPECLHLWEVLECAICDPRVGTQPGPPLICASFCERLYDACSSAYFSMDSKTQSLAPCGVNDFVCGRAAEWVSNGTDLCHAAGFQVKPADIMHGASEGTFCYGDKASLNSVSDSWKAPKFEFIPKVDRSKMLNDFQQWAREMPFNERVSWAIGGMVLTAGLLFISKRKSHSRRQKLAAIKKTARKLGGKMAQQPPSQEIRRRYAE >OIV90852 pep chromosome:LupAngTanjil_v1.0:LG20:5210422:5212295:1 gene:TanjilG_15585 transcript:OIV90852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAGHHHYSSIDHHKVSGSVPAIPDPPPITVNFTGSNFQPFPSSGAQGKITSASRPPRDADDTFSKPASGSDEPQQQQSSGWFRAFTIAAYKPYFDIDTCDVLERIKDSLFPFRGTFNEKTATNPDLYGPFWICTTLIFVAASIGTFVTFISNKLKNEEWNYDINLVTWSAGLFYGYVTIVPLGLYVILKYFSVPSGLVQLFCLYGYSLFIFIPAMCFSIVPLEIFRWVIAGVAGFMSATFVALNLRAHIMSAGESWFLIVASIFLLQLALSVALKIYLFTVSV >OIV90350 pep chromosome:LupAngTanjil_v1.0:LG20:15891282:15892849:1 gene:TanjilG_00560 transcript:OIV90350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSHHIHYVLFPLMAQGHMIPMMDIARILAQHGVIVTIVTTPNNAARFTQTFTRSIESGSQIRLVEIQFNFEKAGLPDGCENLDMLSSLGTALSFFNAANTLQDQVEELFEELTPSPCCIISDMCLPYTANIATKFNIPRISFLGQSCFTLLCLYNLGVNNKVVQSIATENEYFVLPGIPDKIEMTKAQIPGPPIMNENWREFYAKTGAAEMTSYGVVMNSFEELETTYARDYKKVKRDKVWCIGPVSLSNKDQLDRAERGNKSSIDEHYCIKWLDLQEPKGIIYVCLGSMCNLTTLQLIDLGLALEASARPFIWVIRGESYLEALEKWIKEDGFEERTKDRSVVIRGWAPQLLILSHPAIGGFLTHCGWNSTLEAICAGVPMITWPMFGDQFFNEKLIVQILKVGVSVGVETPLKWGEEDKIGVLVKKEDVERAINKLMDETSESEEIREKVKELAEMAKRAVEEGGSSHSNVTLLIQDIMQQTKREE >OIV90058 pep chromosome:LupAngTanjil_v1.0:LG20:21500895:21501854:1 gene:TanjilG_21190 transcript:OIV90058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDLLTKSFTSYIDLKKAALKDEVDLEAGIPHNNVELTSSSSVKLELDTDMGLFLEEAEKVKEEMNTLRDILEKLKLANEESKSLHKPEALKSLRNIINADIITVLKKSRLIRTQLEEIDNANAANRRLSGLKDGSPAIYRTRIAVTSGLRKKLKEVMMEFQGLRQKIMTEYKDTVGRRYYNVTGEYPDEEVIEKIISNGEAEFLGKTIEEHGRGKVLETVVEIQDRYDTAKEIEKSLLELHQVFLDMAVMVEAQGEKMDDIEHHVIHSSHYVKDGTKDLESAKKLQRGSRKWMCIGIILLLILILVIVIPIVTSFGSS >OIV90986 pep chromosome:LupAngTanjil_v1.0:LG20:3873382:3876051:1 gene:TanjilG_16946 transcript:OIV90986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNTNALAPSRTHGSDRFYCPPPVRRNQKLQQIQRPFNSDTRVDSVEPDLRTDSHDSALLRPNSVASSSPPITNSYTNFDRILESLTPFVPAQISSEPGVKGHRAPQSNSDPWFFLEDLWESFTESSAYGVEVPLIINGSESIQQYYIPYLSAIQLYAEESYEAEVSSSSGQLVYEYFEGALPHLRPPFHNKISILASEFPNLKKYGSNDLLPSSWFSVSWYPIYRIPVGSTLKSLDASFLTFHSLSTNSRSRDQPQRSCSGRMVQPVDISLPIFGFASYKYRGSVLSPDGASELVQANSLLLAAADWLQSLQVKHPDYEHFVSRSSQRR >OIV91303 pep chromosome:LupAngTanjil_v1.0:LG20:1458876:1462450:-1 gene:TanjilG_01834 transcript:OIV91303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANSTSNDNSTLSGKRGVNEEKQNWGASPSTIFNHFGTSGFSVAVATTITHPLDVLKVRLQMQLVGEKGPLSGMGQLFLSVVKNEGPKSLYLGLTPALTRSVIYGGLRLGLYEPCKHACDLAFGSSNVLVKIASGMFAGAFATALTNPIEVLKVRLQMNRDTRRNGPIAELRRTVSEEGTKALWKGVGPAMARAAALTASQLATYDETKQLLVRWTSLEEGFHLQLISSTVAGILSTIITAPVDMVKTRLMLQRESKSARIYKSGFHCAYQVLLTEGPRALYKGGFAIFARLGPQTTITLLLCEELRKHAGLKAL >OIV90590 pep chromosome:LupAngTanjil_v1.0:LG20:8829931:8838486:-1 gene:TanjilG_01671 transcript:OIV90590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLARNSSSQPEIDDATASNDVGFHSIRGRFPFKRNPTYHRHRSFSDRQLPRTNNVNNSNNTNRSHLHNRFTRKGLLWLFPLFFFKGKSRFYALIIAVLFLFALASMVMQSSIASVWQRSENGRNLREGLKFGSTLKFVPGRVLKRFLDRNGLDRVRSQPRIGVRAPRIAIILGHMKIDPQSLMLVTVVQNLQKLGYVFKIFAVGNGRAHSIWENVDGGLSLLSTEQQGQTDWLIFEGIIVDSLEAKDAISSLMQDPFCSVPLIWIIQEDSLSSRLPFYDKMGWEHLVSHWRSAFSRASVVVFPDFTYPMLYSELDTGNFFVIPGSPIDVWAAERYSKAHTKDQLRELSGFGKNDMVVLVVGSSIFYDDLSWDYAVAMHSIGPLLTKYARKNDAAESFKFVFLCGNATDGYDDALQEVASRLGLPHGSIRHYGLNGDVNSVLLMADIILYGSAQDVQGFPPLLIRAMTFEIPVITPDLPVLRKYIVDGVNGIFFTKHNPEALMNAFSLLLSNGRLSKFAQEIASSGRQLAKNVLALDCITGYARLLENVLSFPSDALLPGPVSKIQQGAWEWNLFQNEIELGIHLPKVDGGDSIGKVTIVHAVEKELASLNFSTGIPENETEFKDKLTKLDWDILREIEISEENEMLEMEEVEERMEKDAGVWDEIYRNARKSEKLKFEANERDEGELERTGQPVCIYEIYDGAGVWPFLHHGSLYRGLSLSRKAQRQRSDDVDAVGCLPILNDTYYRDILCEMGGMFATANSVDSIHRRPWIGFQSWRAAGRKVALSTEAELVLEETMHGYFKGDVIYFWGRLDMDGGVIGSNNALTFWSMCDILNGGNCRTVFQDAFRQMYSLPPHAEALPPMPEDGGYWSALHSWVMPTPSFLEFIMFSRMFVDSVDALHRDSGELRICMLGSSEIEKKHCYCRVLELLINVWAYHSARKMVYINPNTGSMEEQHPVGQRKGFMWAKYFNFSLLKTMDEDMAEAADDGDHPRDMWLWPMTGEVHWQGIYEREREERYRLKMDKKRKTKEKLYDRMKNGYKQKTLGL >OIV90491 pep chromosome:LupAngTanjil_v1.0:LG20:11073227:11074675:1 gene:TanjilG_32708 transcript:OIV90491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARIKVYELRSKTKAELLNQLKDLKAELALLRVAKVTGGAPNKLSKIKVVRLNIAQVLTVISQKQKAALREAYKNKKYLPLDLRPKKTRAIRRRLTKHQASLKTEREKKRELYFPIRKYAIKV >OIV90683 pep chromosome:LupAngTanjil_v1.0:LG20:7972195:7974756:1 gene:TanjilG_23796 transcript:OIV90683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFSSTDGPLSEIVWSPSKGFSLNCVDSSFDDKNSSVYRDVEPSSMVLALIQSVTGCISTTAEPLDDVFVKPISTISTKNDISSSGSPTKHPKGASDGVIQDCRAHEEHNTGSGGNMEKMYTARRVCDFSNDQANVETAIISEIKGNKSSNISGHVDHGPVDNSLKQADEPQPSMELNPSPRKHSNGVMDSSFGKQVVVTEDSLYTEVEHINESDALKSPRRSPLEKLESSAENNLQTFNCEATCAAKSGVLVSQSSENKNKCQGNEMVLLCDKNLPVTHSPRNSNVPMTRYMGEEKSLSDIDASKSLSKEENDSCTSVESCYCADMLSIGKKRCSFQQQLIVGSKRVKRQIQETSHSKSYVQQDSSFMNLFSNMRKRFSRSTHDEGKSSTHTTANPDHHLWPDPKLITCNNNQDTAPQHTGLKSNFHSTYCPSLKNVGTRNSHEVGEASKESDISNKVHEVDVTARTFCAEKNSLYKQYFQSNKIEVSTQRYDSSPSLHSKVRPINFLDSHENRKKYSVETKSCYHLELIKEKEGMTLHSSSTRQNKNSNDNLESYASSERHETTIFHKSDNLEGMSISRFSPKSTTPLMICDHLKETGGSQIHSTDFSMLRPSHERITYLNNFKIEETREQYGNNLLLTEANKLQNCCVNKKASTGLKGNNDHTSSRNFSPITPFPGFSDSVAMAPMFARRLGAIKHMPTNRTDSISHRLHEVM >OIV91010 pep chromosome:LupAngTanjil_v1.0:LG20:4083246:4086503:1 gene:TanjilG_16970 transcript:OIV91010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSWLFSPKFIAFIVLLSAIPLGLIISIERAQPATHVYHYHGNGWFRECAKWDSANNRFIVSFGEGGLGVVPLPEKEDLGAPLEEVAVVKELNLGRNSSLGLTIDRSRNRVLVVHADVLGNRYSALGAYDLSTWNRLFFTQLAGPSDEKSFADDVAVDAEGNAYVTDVRASKIWKVGVEGKLLSVIRNPLFTPKEWYKTFIGLNGIVYHPDGFLIVVHTLSGTLFKINLTKGEEVKIIKVAGGPLSMGDGLELLSPTKVVVAGGLSRLVESLDGWNTASVVATFSGIKHRIATSTTVKDGKVVGVVVRMVLVSGGNHPGDGNYVEVVLTDVMVVIVVGVVTRKITVVVVDIVIGMVMVVVTEGWQ >OIV90866 pep chromosome:LupAngTanjil_v1.0:LG20:5092069:5092850:1 gene:TanjilG_15599 transcript:OIV90866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLSESTIDLHCRKASRENSSRNEIMGRVNHLHKGLLEEDCQIFASIRNNQKIELTKGPFCLYDRFTGHSKRL >OIV90782 pep chromosome:LupAngTanjil_v1.0:LG20:5953305:5958044:1 gene:TanjilG_15515 transcript:OIV90782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGQNQKSIERVVSQRAMQMGNSFPCQICVVGFLCGICLTSLFLADFTSFETFKFGPISFSTMSSMPNSPGNLSPHVNPSADCNFKFKETERLLDNNEKVSLLYLAWGAVLTKSTSGVNKYLKKHGINESNLPYAPHFENCKVKTQLYGNLDKPTGNENLPPWTSWKGLLETLPVDASNDHMNFLRNQAISQGAYPPWKLCQIAGSDEENYPLTRKVQRDIWIHQHPLNCSDPDVKFLVTDWERLPGFGIGAQIAGMCGLLGISINEGRVLVTNYYNRADHDACKGSSRSSWSCYFFPETSLECRERAFELMKSEEALSKGIVTTKESYTSKHIWAGPTPRKWGDPWKYLQPTTDINGSLIASHKKMDRRWWRAQAVRYLMRFPTEYTCNLMNEARHAAFGKLAATMVLQSLAGDWPKESGEKKRYDIEKYVWSNHKAWVPRPLLSMHVRMGDKACEMKVVEFEEYMQLADRIRRHFPYLNNIWISTEMQVRRQGRTNMSMAEYEASLGRETSTNYPLVNFLMAAESDFFVGVLGSTWSFLIDGMRNTGGKVMSGYLSVNKDRFW >OIV90611 pep chromosome:LupAngTanjil_v1.0:LG20:8568593:8580790:1 gene:TanjilG_01692 transcript:OIV90611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIAVAGADDLLSEKAAKMRESLQKSQTITDNVVSILGSFDHRLSALEAAMRPTQIRTHSIRKAHENIDKTLKAAEVILAHFDQIRQAEAKILKGPHEDLESYLQAIAKLRSNIQFFGSIKGCKSSDTVINHANNLLAKAISKLENEFKQLLSSYSKPVEPERLFDCLPNSMRPSSGSPSHEGDPNVKNHSNHHSEAHNNTADAVVYTPPALIPPRVLPLLHDLAQQMIDAGHRQQLLKIYRDVRSNVLEESLRMLGVEKLSKDDVQKLQWEILEAKIGNWIHYMRIAVKLLFAGERKVCDEIFEGFDSLSEQCFAEVTTNSVSMLLSFGEAIAKSKRSPEKLFVLLDMYEIMQELQSEIETLFKGKACMEIRDAAIVLTKRLAQTAQETFGDFEEAVEKDATKTAVTDGTVHPLTSYVINYVKFLFDYRSTLKQLFQEFEGTKDSPQLASVTMRIMQALQTNLDGKSKQYKDLALTHLFLMNNVHYIVRSVRRSDAKDLLGDDWVQRHRRIVQQHANQYKRNAWAKILQCLSIQGLTSSGGGSGGDGGTGSNSGASRAVVKDRFKTFNVMFEELHQKQSQWTVPDSELRESLRLAIAEVLMPAYRSFVKRFGSLVENGKNPHKFVKYTAEDLDRMLGSKEEACYKEACDFSGSNKSFVDHILPHLLRLYGSSATSKDFEIYAPHASFEDPLMSAHGVKQIKSAFYSLSKVFSESKIVEYSIKENMISPAQGEILIDNKQHYKFLGKDIDMVSLIKLYVEEGKIVRHEDWWDRKPISNRETVKLPLLGRVAEITRRGSMLATHLFMRFGKDPKV >OIV91147 pep chromosome:LupAngTanjil_v1.0:LG20:2634414:2635273:-1 gene:TanjilG_30369 transcript:OIV91147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDALGSESNSDCDSGWTLYLEHSYRGSQFISGSDGIYEEHKDKRTKEEDYDEEDMSMVSDASSGPPQFPYDEAYLNEQEYNGAFYAESKVVKLAKNGKKKQKVKENQNLPCFLHDTASSCVFDFSINEVAVNNQQSSTESMQDYSQGFSSNYFKGRSTFHQHLGFIQPSLSANEYQGNEWYGEKGMRMRSR >OIV90815 pep chromosome:LupAngTanjil_v1.0:LG20:5630630:5633812:1 gene:TanjilG_15548 transcript:OIV90815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSSGDSVSIDIDLIPLGGKECIVKTSKGSVSVLVCGDQEKPALITYPDVALNYAASLLLHNFCIYHIDAPGHELGADVISSDEPLLCVDDLADQVAEVLDFFGLREVLCLGVTAGAYILTLFAMKYKERVLGLILVSPICKRPSWTEWLYNKVLMNLLYFYGMCGLLKECLLQRYFSKELRCIVQGEESDIILTCRRLLDERQSLNVIRFLQAINERHDLTDGLMNLKCKTLIFAGESSPFHAESVYMSTKMEQKIVALVEVQACGSLVTEEHPNSMIIPIECFLMGFGYHRQQHCASSSSNGSNPASPPRNNHSCIAPELLSPQGLGIKLKPIRTRVDVQI >OIV91480 pep chromosome:LupAngTanjil_v1.0:LG20:150724:152064:-1 gene:TanjilG_02098 transcript:OIV91480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPHLPFSRECKTGKHTSEKQVIAPVKKNTVPAPAPASSTNASSKDYCSRCRQGFFCSDHGSQGKLVEKPVNVAGDATSETKNVVAPKPPKKIVDINEPQICRNQGCGLTFKEKDNHDTACSYHPGPAVFHDRMKGWKCCDIHVKEFDEFITIPPCAKGWHNADPGS >OIV90902 pep chromosome:LupAngTanjil_v1.0:LG20:4817369:4817866:-1 gene:TanjilG_15635 transcript:OIV90902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLVIPDEVKARAEIYHGDELGQAKSKELLAEVGLPNGLLPLKDIEECGYDRESGFVWLIQKKSSTHKFQKIDRLATYAPHVTATVEFGKIKKLTGVKVKELLVWLTLNEIFLDEANSNQITFKSFAGISRTFPVSAFVIDESYVAEGEDENVEVEDAVEAVEVK >OIV90923 pep chromosome:LupAngTanjil_v1.0:LG20:3289012:3296915:1 gene:TanjilG_16883 transcript:OIV90923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSSMTSSFRSHSFSFSSTLTKHQIQIPKFNKQHSSHVKVIAAKKKTNLEDVSIELNDIASQNLDFAPSRRRVRSAFTHVHQQLDHYLFKTAPAGIITQEWYERNSRGLEIFCKSWMPDPGVPMKGALFFCHGYGSTCTFFFEGTAKRIAGSGYGVYAMDFPGFGLSEGLHGHIPSFNDLVDDVIEHFAKIRERPEVRELPRFILGQSMGGAVALKAHLKKSNDWDGVILVAPMCKIAEGMLPSTAVLSALSLLSNVVPKAKLFPFKDISELTYREPSNRKLAGYNVLSYDDNTRLKTGIELLKATQDIESQLHKVSAPLLILQGAEDKVTDPLVSQFLYEKASSKDKTVKIYEGGYHGILEGEPDNRILEAGQEPIARIRVEHIIREQNIWAAYEIIELFCEFVLARVPIIENQRDCPSELREAIASIIFAAPRCSDVPDLLHIKNLFTTKYGKEFVSAVSELRPDSGVNRMIIEKLSVNAPSGEVKLKVLREIAEEYNLAWDSSQTEAEFRKNHEDLLGGTKPVGVGATISHIPNKKIGNNLSPHEQEYKHQEAPSPSSNSVWLNTNKIDQSHKNNDVSVGDAKNETRLNSSDVLEKARVAIASAERATAAARAAAALVQNDFRSLKLEDKSL >OIV90956 pep chromosome:LupAngTanjil_v1.0:LG20:3638278:3641394:1 gene:TanjilG_16916 transcript:OIV90956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEFESEAAVCVPKWGSKPCIMGIDEAGRGPVLGPMVYGCLYCARSYQKTLSTLSFAGTHHTFIPHAPELDTNVCAIFLNKINLNEISHDSAMGLIDRVLKMGVLLTEVYVDTVGDAGKYEAKLSKIFPSIKFVVAKKADSLYPVVSGASIVAKVTRDRAVREWVLDETAENMHRNFGSGYPSDPQTKSWLKDHSHSIFGFPSLVRFSWGTCTTYFRDGAEVLWESDKDEDGDSNNQNGKRQLKLSNVGFTTSKRRSDEVESSGKGRSRFFQTRKLEHLAYF >OIV91072 pep chromosome:LupAngTanjil_v1.0:LG20:4648410:4648664:-1 gene:TanjilG_17032 transcript:OIV91072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKSSSFKSIFNIFKSNNKHRGGGGYAYDDGSKAWTKVWPSDEDRGRWGVADPTIDMKATAFIAQYKKRVSESEVHCQPQHQQ >OIV91461 pep chromosome:LupAngTanjil_v1.0:LG20:261362:262529:1 gene:TanjilG_02079 transcript:OIV91461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTKQEEETILTLHNMLGNKWSQIAQHLPGRTDNEIKNLWHSYLKKRGGKSQQMEPHKQIHSATTSSDTMDSSLSLQRLATQGTHNINFTKEAINQGFMPKLLFAEWLSVDHVNDGNSSNSYDSLTLRNAFDQNPTFQEASMQHCLSEGLFGGGEYHNSVTHISATEMFNSQLKFENQIVANGFVQCIAGVDLSSNFSLSNDAMYV >OIV91400 pep chromosome:LupAngTanjil_v1.0:LG20:683995:687706:1 gene:TanjilG_02018 transcript:OIV91400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIFNLLLFFFYLLGLCAMAASEVVLIGDNVTLSFNDIEANFAPTVKGSGECGVLYLAEPLDACTELTNKVDHLSNVSSPFVLVVRGGCSFEEKVRSAQRAGYKAAIVYDNEDSDVLVAMAGNSAGIRIHAVFVSTTSGEILKKYAGSTNMELWLIETFENSAWSIMAISFISLLAMSAVLATCFFVRRHHIRRERPRASHVRDFHGMSSHLVKAMPSLIFNAVLEDNYCTSRTCAICLEDYCVGEKLRVLPCCHKFHAVCVDSWLTSWRTFCPVCKRDARTGLTDPPPSESTPLLSTSFASVASSILSSGRSSLASSSAIQIARAPSQSPSVSRNHSLASMSYVQPSFRSYRQSPSLSISRSSVDLRNGSQRSQASHLNLPCSTGYPSLSSLNSRYMSPYIPSPSNASVSYMGSSSHQQHPLRYSESAATFSPFASANSLPEV >OIV91170 pep chromosome:LupAngTanjil_v1.0:LG20:2459032:2460633:-1 gene:TanjilG_30392 transcript:OIV91170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLNSQHRPFSIKLWPPSQNTRQTLVERMTNNLTTKSIFTQKYGTLDKEEAEDNAKRIEDVAFATANLFYEKEPDGDGGSAVQLYAKECSKLLLEVLKRGPSKKDNGEVAATSDNITALHESIFDISKGQRAFIEADEAQELLKPLKEPGNSFTKICFSNRSFGPGAALVAQTILVSLKDQLKEVDLSDFVAGRPEAEALDVMSIFSTALEGSALKSLNLSDNALGEKGVRAFGALLKSQNILEELYLMNDGISEEAARAVSELIPSTEKLKVLHFHNNMTGDEGALAIAEVVKRSPSLEDFRCSSTRVGTEGGIALSGALGDCTGLKKLDLRDNMFGLEGGLSLSKALTKHAELREIYLSYLNLEDDGTIAIVNVLKESAPHLEVLDLSGNDITADAVPEIVACVEAKQFLAKLILGENELRDEGAIQLSKVVEGHTQLKEIDLNSNQISWAGAQKLALAVVQKGDFKFLNINGNFISNEGIDELTNIFKNSPNRLGPLDDNDPDGKDDDDDEEAGSEDELESKMKNLAVDD >OIV90180 pep chromosome:LupAngTanjil_v1.0:LG20:20175527:20182152:-1 gene:TanjilG_01376 transcript:OIV90180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCIPLILLGCGGVGSHLLQHIVSSRSLHSKQGLWLRVAGVCDSKYLILAEDLLNKGLADSILLELCRIKSAGISLSKLGDFGECRVFDHTESHGNILDIAAQLGKTTGLVLVDCSASSGTVEVLKQVVDLGCCVVMANKKPFTSSMEDFEKLFTYPRRIRHESTVGAGLPVIASLNRIISSGDPVNRIIGSLSGTLGYVMSEVEDGKPLSQVVRAAKSLGYTEPDPRDDLGGMDVARKALILARILGRRINMDSIKIESLYPKEMAPDLMTVEDFLGRGVSLLDKDIQERVAKAASKGNVCEVGIQELPKNSPLGRLRGSDNVLEVYTRCYSDQPLVIQGAGAGNDTTAAGVLADIVDLQDLFP >OIV91353 pep chromosome:LupAngTanjil_v1.0:LG20:1077502:1079448:-1 gene:TanjilG_01971 transcript:OIV91353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISRHDHSLQSLRDKLFPENKALKATATDVVSSQSSVNIEHSDEMMSLISLRTTYEGYSSSEDSQGVEPKDEILANLRTSSSRKRGKKKKNKPRLPRQKKELAVGESSQSSYDQPVPPRTMPIENASSVWLTLEPSENRDGVLLPEIGVPYIRMNGKMPVSHVHKFVQKKLGLEIENEIEITCLGEVVPPEMELLDLYKTWMESVFPEKEITVTVGSSAERFVMTLYYALKV >OIV91293 pep chromosome:LupAngTanjil_v1.0:LG20:1521499:1524142:-1 gene:TanjilG_01824 transcript:OIV91293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGKKLEYKGVEALKEIESLTMKPDEVQENLLEQILTQNKDTDYLNKYMKGTINTREFKSHVPVTTYEEILPYIQRIANGEDSSLITGHPITEMLCSSGTSAGKPKLTPSIAEDLDRRTFVYNLIMPIINKYVPDLDEGKAMYLYFVKEEMLTPSGLPARTVLTSYYKSKHFKCRTRDPWNDFTSPDQTILSYDSNQSMHCQLLAGLIYRHQVRRLGAVFASALLRAISFLERNWRLLCEEIRSGQLSSSITDTACRSCMSAVLSSPDPCLADEIIEICSQISWKGILCQLWPKAMYIEAVITGSMAQYVPALEHYSDGKLPLVCTMYASSECYFGVNLKPFCDPADVAFTLLPNMAYFEFLPLGHNNGTLVMNYDEEEQVSNDKLVDLVHVKLGCFYELVITTFAGLYRYRIGDVLQVVGFYNKAPQFRFICRRNVVISIDTEKTNEDDLHRSVTMAKKLLEPYNVLLVEYTSYPDTSSVPGHYVLFWEVLHCGSKIESGAQLLDPNVLQECCIAVEEQLDYVYRRCRSHDKSVGPLEICVVEPGTFDALMDLFISQGASINQYKTPRCIESKKALKLLKSKVTASFFSPRDPKWVPKMC >OIV90323 pep chromosome:LupAngTanjil_v1.0:LG20:16622019:16638975:-1 gene:TanjilG_14721 transcript:OIV90323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSISLTYHLSRLISCSSSTTTITTLTFLSQSQFHFPSRTFSFRPLSTTTTPYPLQYDLIINRPPPQTPRPPPVRSNSSNNNALSEPDEPELRLDSWVDRKLAEPGSQLEKGKRKYYNKRRKRMYGGSDSDEDGNRRMDDEMIELKPEVVEFPTLHKREEELHFYDAFSYPWEKDKHYKMVYQLEKKYFPDQCLDKAFLQPGEKQKQSNLNDIENKAKKEEEDKKLVFFEGEKEKSDKDVSEKKVEDFFKGLKKKINNDSVVGDGNVNGVGEPFFSSRRTGLPPVWDTPHGTVLLINKPKGWTSFTVCGKLRRLVKVKKVGHAGTLDPMATGLLIVCVGKATKLVDRYQGMIKGYSGVFRLGEATSTWDADSPVIQREPWEHIKDEDIKKNASSFCGEIWQVPPMFSAIKVGGEKMYEKARRGESIELSPRRISIFQFDIERSLDDRQNLIFRVTCSKGTYIRSLCADFGKALGRYPLRRIRQRISEEEADNLLNRVEKMQTPSVPIPLEAQANKFSEVAMLLRQAERPLIVFGKGVAYARAEHTLKKLIETTGIPFLPTPLGKGLLPDTHHLAATAARSLAIGNCDVALVVGARLNWLLHFGDPPKWSKDVKFILVDISAEEIQLRKPHLGLVEDAKQILNILNKEIKDDPFCLGKSHPWVEAISKKAKDNVNKMEAQLTEPQTRVDAGTWGTMGVGLGYSIAAVVASPDRLVVAVEGDSGFGFSAIEVEGMKKVSKEKRRARSLAVKAN >OIV90764 pep chromosome:LupAngTanjil_v1.0:LG20:6109301:6109793:1 gene:TanjilG_15497 transcript:OIV90764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVVFGSNIPTRAFFVFGDSLVDSGNNHFLATSARADAPPYGIDFPTHQPTGRFSNGLNIPDLISEHLGLEPTLPYLSPLLLGEKLLVGANFASAGIGILNDTGFQFVSSFS >OIV91003 pep chromosome:LupAngTanjil_v1.0:LG20:4019896:4023304:-1 gene:TanjilG_16963 transcript:OIV91003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILLLLLLGGEARDPFACDPKDATTKNLPFCRATLSIGERVKDLIGRLTLEEKISLLVNNAAAVPRLRMKGYEWWSEALHGVSNVGPGTKFGGPFPGATSFPQVITTAASFNASLWEAIGRVVSNEARAMYNGGMGGLTYWSPNVNIFRDPRWGRGQETPGEDPILAGRYAASYVRGLQGSDGNRLKVAASCKHFTAYDLDKWNGVDRFHFNAQVSKQDIEDTFNVPFRMCVKEGNVASVMCSYNQVNGVPTCADPKLLKSTVRGQWGLQGYIVSDCDSVGVFYAYQHYTSTPEEAAADAIKAGLDLDCGPFLAVHTQNAIKKGLLNEGEVNNALVNTLTVQMRLGMFDGEPSAQPYGHLGPRDVCTQAHQDLAHEAAKQGIVLLKNNGPSLPLSLRRHHTVAVIGPNSDVTVTMIGNYAGIACAYTSPLQGIGRYAKTIHEVGCTNVACKDDKQFVGALDAARQADATVLVMGLDQSIEAETMDRVGLLLPGYQQQLVSKVAAASKGPTILVLMSGGPVDITFAKNDPRIKGILWAGYPGQAGGAAIADILFGTANPGGKLPITWYPQEYLQNLPMTNMAMRSSVSKGYPGRTYRFYNGPVVYPFGYGLSYTHFIHSLASAPKVLSVPVAGHHHGNSSNISNKAIRVTHARCSKLSISLQVYVKNIGSKDGTHTLLVFSTPPSGNGHWAPHKQLVAFEKVHVPAKARQRVQINIHVCKLLSVVDKLGTRRIPLGEHSLHIGDIKHSLSLQALTLGITES >OIV90179 pep chromosome:LupAngTanjil_v1.0:LG20:20185031:20189374:1 gene:TanjilG_01375 transcript:OIV90179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACFCFPCGTGSFINPKSLGLDMPQRRYFGLNGGYGGLCTSSFDFPSLGFQFNQCYTFQKGFVWWNKKLEYSGRKSSLRFPYCCKSQQHGVSSNNKIEPLVSRSRRNSKNNYGKEDISNRLKKRFSLRLRPRLRLLVLRMKKFSIQSVLNELRLNHKRIRTVAFATSFSIVFSLCFLFLKLTALPPPKIVPYSDLITSLQNGVVTKVLVEEGSRRVYYNMNPEIVKTDEVSVEESQITDLSTDKDIDKLPSDETSRVGQTRVVNIFRKFSRPRASVPEWQYCTRKIDHDGKFLVRLMREKGVTYSSAPQSVVMSMRRTLITVITLWIPLMPMMWLLYRQLSAANSPAKKQKPRGQTVGFDDVEGVDSAKVELMEVVSCLQGDINYRKLGAKLPRGVLLVGPPGTGKTLLARAVAGEAGVPFFTVSASEFVEMFVGRGAARIRDLFKAARKFAPSIIFIDELDAVGGKRGRSFNDERDQTLNQLLTEMDGFESEMRVVVIAATNRPEALDPALCRPGRFSRKVFVGEPDEEGRMKILAVHLRGVPLEEDTNIICQLIASLTPGLVGADLANIVNESALLAARRGSETVAREDIMEAIERAKFGINDKQLRSSNITKEIGKLFPWMPSLMRRSDRRQDESQGPLGYQSLSS >OIV90896 pep chromosome:LupAngTanjil_v1.0:LG20:4884936:4893346:1 gene:TanjilG_15629 transcript:OIV90896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIISRKIFPACGNMCVCCPALRSSSRQPVKRYRKLIADIFPKDELPNERKIVKLCEYAARNPFRIPKIAKILEERCYKELRSEHIKLVRIITESFNKLLSICKLQLAYFAVAVLNVIWELLCYSKDDSVRTLGCQTLTRFIYCQVDSTYTHNIEKLVKKVCELAQEHGETHEKRCMRASSLQCLSAMVWFMTEFSHIFVDFDEIVHATLDNYERGRHSEDADFEAEPHHNWVDEVVRCEGRANSIAGTEISSSCLTIQPRPEIKDPTLLTRDEIEKPEIWAQICVQRVAELAKESTTMRRVLDPMFVYFDSRQHWDPKKGLAMMVLSSMTYFMENSGNQRFIIASVIHHLDHKNVMNDSQLKTSVVQVATSLAMQSRSGKGLAEIGFVGDLCRHLRKSLQASNELVEEQELNLNILLQNSIEDCILEIAKGVGLCMPSFIHKITAFCSTTKLLIFLCCHATLDNYERGRHSEDADFEAEPHHNWVDEVVRCEGRANSIAGTEISSSCLTIQPRPEIKDPTLLTRDEIEKPEIWAQICVQRVAELAKESTTMRRVLDPMFVYFDSRQHWDPKKGLAMMVLSSMTYFMENSGNQRFIIASVIHHLDHKNVMNDSQLKTSVVQVATSLAMQSRSGKGLAEIGFVGDLCRHLRKSLQASNELVEEQELNLNILLQNSIEDCILEIAKGIVDAQPLFDLMAITLDNMPSGVVARATIGSLIILARAVTLALSRLQSQQGFPEALLMQLLKVMLHSDVEARVGAHLIFSVLICPSSFHAHGVSYLRSGYLDQRSKRHSHTASASASIAALLEKLRRGRDDSKTENHGTIVLDGSKGRDVMAEDLKQGSGLKNSPNFYKLGSIMDRATGSPSLTDAEPYVMKLSEDQMSQLLSAFWIQATVPDNLPSNMEAIAHSFIITLMFLRTKKLKDRDNLVIRFFQLPLSLWTMLCDPSYAGMLPPACQRTLLVLSAGMLMFACKIYQIHGLNDVFTSLAISEVDPFLGISDDYQVYAKAHVDVKEYGTAADNQLAMSVLSNLRDKICKCHKTLKDVLVQDLANIVELDAVDVSTVLSETFTPDEEYVFGQQSILHKNQMIYHSLESLSFDGDFPSSSVAEDDTISETSVSDLARFVPKIPASPSVSHVISIGQLMESALEVAGQVAGTAVSTSPLPYNTMARQCETLGTCGRTKLSNWLAFENRHIQAADEPFLAIADNRTSELEKETSDGGNDQVAILPRNPWLAMKLPPASPFDNFLKAARY >OIV90600 pep chromosome:LupAngTanjil_v1.0:LG20:8705393:8711574:-1 gene:TanjilG_01681 transcript:OIV90600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVTINNWYKELSYDEWVPIPVSGPRPPPRYKHAAAVVDEKLYIAGGSRNGRHISDVQAWVFDLRSLTWSSIRLEANTGEDDDSSSQQIHPLTSGHSMIRWGEKLLLLGGSSKDSSDKLMVRYIDIETYQFGVIKTSGSVPKARTGQSATLVGSRVILFGGEDMSRKLLNDVHVLDLESMTWDLIKTTQTPPTPRYDHATAIHGERYLLIFGGCSHSVFFNDLHLLDMQTMEWSQPQIQGDLVSPRAGHAGITVDGSWFIVGGGDNKSGCPETLVLSMSKLVWSVLTVVKQKDPLSSEGLSVCSALIDGEKYLLAFGGYNGRYSNEVFVLRSKARDSLRPKIFQSPAAAAAAASVTSAYALSKSEKLDFTQVDDIISKPSLNGHRLDDVTVKSEAIKEEKRLLELSIAEVGAENSKLRGEIDEVNSTHAELTKELQSVQGQLVGERSRCLNLEAKIAELQNMLESMQSVEDQVQALRNQKSALDQEMEHAATAQRQSSGGVWRWLGGSGESNTN >OIV91414 pep chromosome:LupAngTanjil_v1.0:LG20:589656:594047:-1 gene:TanjilG_02032 transcript:OIV91414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTQKNPDKFFMSITEGEKKQVDFLRVLYDGCIAGGVAGVIVETALYPIDTIKTRLQVVRGGGEIILKGLYSGLAGNLAGVLPASAIFVGVYEPTKQKLLKSLPDNLSAVAHFAAGAIGGAASSLVRVPTEVVKQRMQTGQFRSAPDAVRLIVSNEGFKGLYAGYGSFLLRDLPFDAIQFCIYEQLRIGYKIAAKRDLKDAENAMLGAFSGAITGAVTTPLDVVKTRLMVQGSQKHYKGILDCVSKIVKEEGTHTLFKGIGPRVLWIGIGGSIFFSVLEKTKQVLAQKHTQDEAHN >OIV90131 pep chromosome:LupAngTanjil_v1.0:LG20:20671891:20680284:-1 gene:TanjilG_01585 transcript:OIV90131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFDFQVGGTKGWIVPPPNDTNIYNDWASNNRFQLGDTIYFSYKKDSVMEVSERDYKECNATHPTFFSNIGNTVFKLEHSRTFYFISGASGHYSWVNFSGNIGKVLLSLVSIFFDLLFMCQHYVLYPSKRTASTPSHSRLNNKLTEPLIKSHDQPVAANIPVAESV >OIV91416 pep chromosome:LupAngTanjil_v1.0:LG20:569302:577549:-1 gene:TanjilG_02034 transcript:OIV91416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASSERWIDRLQFSSLFWPPPPDGQQRKDQIAAYVEYFIQFTSEQFADDIAELIRNRYPSKDILLFDDALATFVLHHPEHGHEVVLPIISCIIDGTLVYDKISPPFASFISLVYPKSENEYSEQWALAVGEILRILTHYNRPVYKMERQNGETERSSSGSDATTSDSVAGKSVQNSLTQKEKKPTRPLSPWITDILLAAPVGIRSDYFRWCSGVMGKYAAGELKPPTTASSRGSGKHPQLVPSTPRWAVANGAGVILSVCDDEVARNETATLTAAAVPALLLPPPTTALDEHLVAGLPALEPYARLFHRYYAIATPSATQRLLLGLLEAPPSWAPDALDAAVQLVELLRAAEDYATGIRLPRNWMHLHFLRAIGTAMSMRAGIAADAAAALLFRILSQPALLFPPLRQVDGVEVQHEPLGGYISSYKKQIEVPAAEASIEATAQGIASMLCAHGPEVEWRICTIWEAAYGLIPTSSSAVDLPEIIVATPLQPPVLSWNLYIPLLKVLEYLPRGSPSKACLMKIFAATVETILQRTFPPESTIEQNRKSKYLFGIGSASKNIAVAELRTMVHSLFLESCASVELASHLLFVVLTVCVSHEAQFSGSKRPRGEDNSAEEITEDLQAISERQKGTKNRKMKKQGPIAAFDSYVLAAVCALACELQLFPLLSRENNHSVLNNVRDIARPVRINGSSHDLQSGLDSAIRHTRRILAILEALFSLKPSSVGTPWSFSSNEIVAAAMVAAHVSELFRRSKACMHALSVLIRCKWDKEIHSRASSLYNLIEFHSKAVASIVNKAEPLEATLIRGPIWKDSLDCFNSKRQKKSSCFDAGETSAPLEDPSLLKSNHKHEKTTCSNGASGCISGKAVTGLTLDASDLANFLTMDRHIGLNCSAQIFLTSMLAEKQELCFSVVSLLWHKLIASPETQLCAESTSAQQGWRQVVDALCNVVSSSPTKAATAVVLQADRELQPWIAKDGDLGQKMWRVNQRIVKLIVELMRNHDSAESLVIVASASDLLLRATDGMLVDGEACTLPQLELLEATARAVQPVLEFGESGLAVADGLSNLLKCRVPATVRCLSHPSAHVRALSISVLRDILLTGSIRSSTKPGRVNGNHNPSCQYLNLDVIDWHADIEKCLTWEAHNRLSNGLSMQFLDTAAIELGCTLSV >OIV90055 pep chromosome:LupAngTanjil_v1.0:LG20:21539487:21541979:1 gene:TanjilG_21187 transcript:OIV90055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASATLFVAKPTIQVNGKGFSEFSGLHNSSLGYLPFSRRTSEDFHSAIAFQTLAVGSSGGYKKGVVEAKLKVAINGFGRIGRNFLRCWHGRKDSPLDVIAINDTGGVKQASHLLKYDSTLGIFDADVKPVGDDGISVDGKIIKVVSNRNPANLPWKELGIDLVIEGTGVFVDSEGAGKHIQAGAKKVLITAPGKGDIPTYVVGVNADAYNPDEPIISNASCTTNCLAPFVKVLDQKFGIIKGTMTTTHSYTGDQRLLDASHRDLRRARAAALNIVPTSTGAAKAVALVLPSLKGKLNGIALRVPTPNVSVVDLVVQVTKKTFAEEVNAAFRESADKELKGILSVCDEPLVSVDFRCSDVSSTVDSSLTLVMGDDMVKVIAWYDNEWGYSQRVVDLADIVANNWK >OIV91253 pep chromosome:LupAngTanjil_v1.0:LG20:1820759:1821781:-1 gene:TanjilG_30475 transcript:OIV91253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTTLFHEVVIGTPIISKTYDQLHKSVTVNKYLPDPAIQCQYSTTTLKQSRVNSIINRLGKKTDSLWQGVREHGRLAPKISETVKGKLSLGAQILKVGGVERVFKQFFSVREGESLLKVSQCYLSTTSGPLAGFLFISTDKVAFCSERSIKVFNSKGQMCRIRYKVVIPVEKIKCVNQSENVDKPKQKYIEIVTVDNFDFWLMGVSKYQKTIKYLEQAISQA >OIV90103 pep chromosome:LupAngTanjil_v1.0:LG20:20965637:20968891:1 gene:TanjilG_01557 transcript:OIV90103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQHFRTNLNRFASSSSSSKLKHFYGNCVRTITNNTSTDTTTSYTVPKEKVDCIVIGAGVVGIAVARALALKGRDVLVIESAPTFGTCTSSRNSEVIHAGIYYPHNSFKALFCVRGREMLYEYCSEHGIPHKQIGKLIVATRSSEIPKLNDIINRGIQNGVDSLRMMDGAEAMKMEPELQCVKAILSPLSGIVDSHSLMLSLVGEAENHRATFTYNSTVTGGHLEGNHICLHISETKSIKEWNGTSILRPELVLMPKLVVNSAGLSAPILAKRFTGLQSRVVPPAYYARGCYFTLSNTKATPFRHLIYPIPEDGGLGVHVTIDLNGQVKFGPDVEWIDGVDDSSSFLNKFDYSVRANRVERFYPEIRKYYPNLKDESLEPGYSGIRPKLSGPGQPSVDFVIQVVSHTLRAYLPFCWQSEMCVP >OIV90129 pep chromosome:LupAngTanjil_v1.0:LG20:20691384:20704232:-1 gene:TanjilG_01583 transcript:OIV90129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSTCLMQPFCYASGITNETNESNSIHALGQSVSFGRFMSESLAWEKWSSFSHNRYVEEAERYAKPGSVAQKKAFFEAHYKKLAAQKAAAALLEQANNAAAPNNEGEVVHSTLNSQTSPNSKMVVKEEQDATISKLISEEPDIMLKPTLHDSNSNIEVSNNVSESNNVDGDEKKMKPLIENSMNVGLRNQLEDVITHEEHIEKLSGTRTPQIMTPILKDGSNNDPEVLASMGKKKPPVSSFRLLKATATSKLTSTPIKSAAPISSKRDNIATPMSNNKPSADKKRYTPKSLQMSVNFTPIREINRLTASVMKKFESTRVVAGSSKASKDSLTPLRTPTMAPKEMRKHPSLTPLTEKKRNKTPIDLSDSGNRTAGSKWRLLSSENKTRSPVISSPFNLRTEERAARRQKAKLISLFLSSDLKLEEKFNANEAQKVQLHTKLKEKTETEIMRKLRQSFCFKAKPLPDFYKERKTSTDETKKLISLVSGHIGYASSLLAEHEVFKQGLQLALNAGLWLVHCEPDSVQAIHLVLGRDSFGHHSDYLIAGAKHWFSDSNESATST >OIV91373 pep chromosome:LupAngTanjil_v1.0:LG20:886900:894504:-1 gene:TanjilG_01991 transcript:OIV91373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFSLSSLSSEFTCPTNASMYYTNVNLLLSRPSVRVSCSNKNGDIQVSNCDRRRRKVAISCQLIHSHLQDSFDRKERVHVPLHKSDSVRTLLIDNYDSYTYNIYQELSVINGVPPVVIHNDDWTWEELCHHLYEENAFDNVVISPGPGSPACPDDIGICLQLLLKCWDIPILGVCLGHQALGYVHGAQVVHASEPIHGRLSEIEHNGCQLFDDIPSGRNSGFKVVRYHSLVIASESLPEELIPIAWASPTSTLPFIGSKDSDKFNAHETQTEDSIFVDSFLAKVGNGSSNLSDCGKTKSSRILMGIKHSSRPHYGVQVSSASRLFREVRRSIGSENNAMDQLNRVVSGDRRLVHNNAEKYCLETFIKVNANHASDDYKRLRLKWRKFGGLAGKVGGAKSIFCELFGHDAENTFWLDSSSTEKERARFSFMGGRGGPLWKQLIFRLSDQSDGCSKGGGYLSMEDWQGSMKNIFLEEGFLDFLSKELQSFHYDEKDYEGLPFDFHGGYVGYIGYNLKVECSVTSNRHKSKTPDACFFFADNLVAIDHKNDDVYLLAIHEGSSSITQWLDDAEEKLLSLNSTVPIELDRQQSYPLSSSTCKAGFVPEKSREQYIEDVKKCLNYIKDGESYELCLTTQMRKPIEELHSLGLYLHLRERNPAPYAAWLNFSKEDLSICCSSPERFLQLDRNGVLEAKPIKGTVARGATEEEDEQLKLKLQFSEKDQAENLMIVDLLRNDLGRVCDPGSVHVPHLMDVESYATVHTMVSTIRGKKRSDVSAVECVKAAFPGGSMTGAPKLRSMEILDSLECCSRGIYSGCIGYFSYNQTFDLNIVIRTVVIHEGEASIGAGGAIVALSNPEAEYEEMILKTKAPTNAVMHFE >OIV90508 pep chromosome:LupAngTanjil_v1.0:LG20:10246346:10248175:-1 gene:TanjilG_32385 transcript:OIV90508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDKLASRVAPYSKRVSIMGELISSDSDDSYGAWVQGHSCTLTVFLWIFIVTNNSNKDKRGLAVEFDLSAIEEWEGTQAIRSYCSSQAIRSYSSSQAIRSYLSVAIFIQYSSH >OIV90104 pep chromosome:LupAngTanjil_v1.0:LG20:20943766:20945430:-1 gene:TanjilG_01558 transcript:OIV90104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQLTDDQISEFKEAFSLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMARKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMVREADVDGDGQINYDEFVKVMMAK >OIV91262 pep chromosome:LupAngTanjil_v1.0:LG20:1765109:1767163:1 gene:TanjilG_30484 transcript:OIV91262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLMHCHELCKPVSMMIGVNLALAFVNILLKKVLNEGVDYMSVLTYRQVVSFIFMAPLACFYERKQKLEAPIICLLFLSALIGVTMSQCLFLLGLGYTSATFACAFLNMVPVFTFIMALPFGIEKVNIRSKGGKAKVLGTFVSIGGALLLVLYKGIPLTNPQSHQHLENKVTSAPATATLEKRIIGSILLIAGCILWSSWFIIQAKISKKYPCKYSSTAILSLFAAIQSALLNLIMNRNIASWIIKGKIEILTVIYAGLVGSGLCYVAMSWCVKQRGPVFTAAFTPLLQIYVAVFDFCILKEEIYMGSVAGSVLVIGGMYVLLWGKSKEGEQYIMKDTQANEQDVECQEQCPKS >OIV91217 pep chromosome:LupAngTanjil_v1.0:LG20:2049575:2058133:1 gene:TanjilG_30439 transcript:OIV91217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASALSQSQHPAPPVAKKVEHAMELFGDVRIDNYYWLRDDSRTSPEVLSYLREENEYTDSIMSGSKNFQGKLFAEIRGRIKEDDISAPLRKGRYYYYKKTLEGKEYVQYCRRLISENQKVPSVYDTMPTGPEAPQEHIILDENIKAQNHQYYSIGAFQVSPNNKLVAYAEDTKGNEIYTVYVIDAETQTPIGEPLLGVTSYLEWAGDDALVYITMDEILRPDKVWLHVLGTEQSNDTCLYVEKDDTFSLDLHASESKQYLFVASESKNTRFNFYLDVLKPEEGLKILTPRVDGIDTTVSHRGDHFFIKRRSDQVFNSEVVVCPVDNTSSATVLLPHRESIKIQDIQLFSDHLVAYERENGVQKITVHHLPPIGESLKSLQEGQTVPFIDPIYSVYSSQSEFSSSILRFSYSSLKTPPSVYDYDMKAGISVLKKIDSVLGGFDGTRYVTERKWAPALDGTLIPISIVYRKDLVKLDGSDPLLLYGYGSYEVCVDPSFKSSRLSLLDRGFIYAIAHIRGGGEMGRQWYENGKLLKKKNTFTDFIASAEYLIENKFCSTEKLCIDGRSAGGLLIGAVLNMRPNLFKAAIAGVPFVDVVTTMLDPTIPLTTSEWEEWGDPRKEEFYFYMKTYSPVDNVKAQSYPHILVTAGLNDPRVMYSEPAKFVAKLRDIKTDDNILLFKCELGAGHFSKSGRFEKLQEDAFIYTFIFKALNLTSVIESSDA >OIV90591 pep chromosome:LupAngTanjil_v1.0:LG20:8826752:8828188:-1 gene:TanjilG_01672 transcript:OIV90591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVASMVSNLTILAPHEAENHFEVSLRQAFETLQPNLKPPFSLEIPKPDEYFNLNSAILYGVLTEPHLAKTHIKHLHGIVTDGYAIFVNSLVGVVHQLYPKLVGSVKIQLIWIVEEMVDVLAVGYDSLLVSLLRQIVGGDFGDGNLWLCFKLVTLFLDKWQCLLEESPHVLSSGLYTFLRVLSDHCRPAKGNVEKLESLRRLEIHFCVKIVREEFRLCLKIGRDFIRLLQDLVHVPEFRDIWKDLVLNPSKFNASEFRDISDIYCMRTSHRYALLRITPEMETQLLFLLTHVKLGHQKRHQIWFARKFLNEPDKDTVIVDIIRFICCAYHPPNEIIQSDVVPRWASIGWLLKLCRKNYVEANVKLALFYDWLFFDERRDNIMNIEPAILLMVHSIPKYIDMTHTLLEFLLLLMDNYDIEHKGIIVKGVFSSFQLLASKGVIQSLDVLTSCNALSPVLKEGLGRLLSGVKLGPSNGYLPG >OIV90373 pep chromosome:LupAngTanjil_v1.0:LG20:15327834:15331734:1 gene:TanjilG_10568 transcript:OIV90373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLPLLTLLLTLNNYYYFSYHVSGEVVVTCSNIVPFNHRRHNISITEFGGVGDGHTLNTKAFREAIYMIRHLGRVGGTLLYVPQGVFLTGPFNLTSSITLYLAHGAVIKATQDSLNWPLIAPLPSYGRGRELPGGRYMSLIHGDGVQDVIITGENGTIDGQGDVWWNMWRQGTLQYTRPNLVEFLNSRNIIISNVIFKNSPFWNIHPVYCSNVVFRFLTILAPRDSPNTDGIDPDSTSNVCIEDSYISTGDDLVAVKSGWDEYGIAYGRPSSNITIRRVTGSSPFAGIAIGSEASGGVENVLAEHINLYSIGIGIHIKTNIGRGGYIKNITLSDVYVEDARIGIKISGNVGDHPDDKYDPNALPVVKGIIVKNVWGVKVIQAGLIQGLKKSPFTEICLSDINLHGVTGPRTPPWKCSDVSGIAHQVSPWPCSELISHQPGSCANYS >OIV91481 pep chromosome:LupAngTanjil_v1.0:LG20:146068:149352:1 gene:TanjilG_02099 transcript:OIV91481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMATSIFLRTSSHSHSLTKTFDTHFAPSSKVTFFGGAKTRHHPGLRVSSGLIEPDGGKLVELLVNDSERDFKKGEAFSIPRIKLSRIDLEWVHVLSEGWASPLNGFMRESEFLQTLHFNSIRLNDGSLVNMSLPIVLAIDDSQKHRIGDSKKVSLFDSLGNPVAILNNIEIYKHPKEERIARTWGTTAPGLPYVEEAISKAGNWLIGGDLEVIEPIKYHDGLDHFRLSPAELRDEFKKRNADAVFAFQLRNPVHNGHALLMTDTRKRLLDMGYKNPVLLLHPLGGYTKADDVPLDWRMKQHEKVLEDGVLDPETTVVSIFPSPMHYAGPTEVQWHAKARINAGANFYIVGRDPAGMSHPVEKRDLYDADHGKKVLSMAPGLERLNILPFRVAAYDKVQGKMAFFDPSRPQDFLFISGTKMRTLARNKESPPDGFMCPGGWKVLVDYYDSLVVSTNGKVPEAVPA >OIV90245 pep chromosome:LupAngTanjil_v1.0:LG20:18854684:18857986:-1 gene:TanjilG_11973 transcript:OIV90245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFGKEFKTHLEETIPEWRDKFLCYKPLKKLLNQNIPTPHIHILHPQAWFLRILNQELEKFNDFYVDKEEDFVIRFQELKERIERLKEKRSHGEMCTSDCEFSEEMMDIRKDLVTIHGEMVLLKNYSSLNFAGLIKILKKYDKRTGELLRLPFTQLVLRQPCFTTEPLTRLVHECEENLELLFPLQEEVIQSTLPPEHPAGPPVDNATNTVPDASSSLGEETEDICRSTLAAMRAIKGLQKASSTCNPFSFSSLFSNQDADSTGAVTAENSAANSPASLKNEEGTGEEDTDSL >OIV90706 pep chromosome:LupAngTanjil_v1.0:LG20:6928505:6931082:-1 gene:TanjilG_15092 transcript:OIV90706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSTDTLIISFGEMLIDFVPDTAGVSLAESTAFIKAPGGAPANVACAIAKLGGDAAFIGKVGDDEFGRMLVDILRENKVNTDGVCFDMGARTALAFVTLRKDGEREFMFYRNPSADMLLKESELNMGLIKKAKIFHYGSISLISEPCRSAHLAAMKSAREAGALLSYDPNLRLPLWPSEEACRSGIKSIWSDADFIKVSDNEVQFLTQGDPEKEEVVMSLWHDKLKMLLVTDGEKGCRYFSKKFKGRVTGFSVKVVDTTGAGDSFVGAILTAVAKDPSIFDNEPKFREALMFANACGAICTTKKGAIPALPTAPQAQEFISISKAK >OIV90338 pep chromosome:LupAngTanjil_v1.0:LG20:16909344:16912186:1 gene:TanjilG_14736 transcript:OIV90338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSMKLSTFILITATVISIWSSSFERCSATQAKHWRKLKAVPATYNVLDYGAKGDGHADDTKAFELAWAAACKMEASTMVVPSGSIFLVKPISFSGLNCDPNIVFQLDGKIIAPTYAAAWGSGTLQWLEFTKLNKITIKGKGVIDGQGSVWWNDSPTNNPTSKSTNTTSQFSALRFYGSNGVTVTDITIKNSQQTHLKFDSCTNVHVSNINVSSPGNSPNTDGIHLQNSQDVGIYSSTLACGDDCVSIQSGCSNIYVDNVNCGPGHGMSIGSLGRENTKACVTNVTIRDITLQDTLTGVRIKTHQGGSGSVQNVMFSNIQVSRVETPIIIDQYYCDKGKCQNNTSAVAVSNIHYINVKGTYTKEPVHFACSDNLPCTGITLDTIQLQSSSSEVVPFCWEAYGELKTKTVPPVDCLEKGNPSSIGIHSNKISC >OIV90085 pep chromosome:LupAngTanjil_v1.0:LG20:21243335:21246946:-1 gene:TanjilG_01539 transcript:OIV90085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYGAPESEHREVAEYIENDHVFGEVRNVWVVGKRNLVSYRGSTMLSTTLHGVAILLRSCQWDWFINLSASDYPLVTQDDLIHAFSKVPRHINFIQHSSNLGWKLNKRGRPMIIDPGLYSLNKSQIWWVNKQRTLPTAFKLYTGSAWTILSRSFSEYCIIGWENLPRILLLYYTNFVSSPEGYFQTHICNSKPYKNTTANNDLHYITWDNPPKQHPRSLGLKDYRKIVSSNRPFARKFKKNDLVLDKIDRELLKRHHGQFSFGGWCSQGGRHKACSGLKSENYGVLNPSLASRRLKTMLTKMIFSKSFHKQQCK >OIV90955 pep chromosome:LupAngTanjil_v1.0:LG20:3618177:3631933:-1 gene:TanjilG_16915 transcript:OIV90955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSELLKQGLNVDSKDHHGITPIEIAMEQNHVHMVKLLVVSGADVTGIRTHEFCAFTLSEMLKKREIGHLINIHEAMPSEIVLRGAREEDKERIWGRYNGLNCPRVSIYGGHPILREDKGAMEAGKLIRLPDSFEELKTIAVDTPLCADTCASLRGFLQKCAGIRAMKTELDGEVVLAASILSKSGKVLVSRQFVDMSRIRIEGLLAAFPKLIGSGKQHTYVETENVRYVYQPIEALYLLLVTNKQSNILEDIDTLRLLSKLVPEYSFSLDEEGICKHAFELIFAFDEVIALGHKENVTVAQVKQYCEMESHEEKLHKLVMQSKINETKDVMKRKASEIDKSKIEKNRGDKGGFGPLQSMGSGKIESSFSDLSISSSGAGFGNGSGFGLNSDVDSFSSKPKARPPSSATAPPKGLGMKLGKSQRANQFLESLKAEGEVIVEDVQPKLGQSRPASQSLTDPITLTVEEKINVTLKRDGGVSNFDVQGTLSLQILNQEDGNIQVQVQTGENQAISFKTHPNMNKELFANESILGLKDPNRPFPTGQASDAAGVGLLKWRMQSTDESMVPLTINCWPSTSGNETYVSIEYEASSMFDLQNVVISVPLPALREAPSVKQIDGEWRYDSRNSVLEWSVLLIDNSNRSGSMEFVVPQADSSAFFPISVRFMAIETYSDLKVTNIIPLKGGNPPKFSQRTQLITENYQVI >OIV90080 pep chromosome:LupAngTanjil_v1.0:LG20:21285663:21289455:-1 gene:TanjilG_01534 transcript:OIV90080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGLVKQGGDRVRKVGYVKEDMSKKRKPYRRFNNPSVFRVPRALQKLFVSCRETFKGPDTFISPQDVQRLCNILDIMKAEDVGLSKDLEFFNPDNILKENPRVTCTTMYQCNNFSLCIFFIPENGVIPLHNHPGMTVFSKLLIGKMHIKSYDLVEPSVSHNNLLHHPSRLRLAKLKANNVYTAPCDTSVLFPNKGGNIHEFTAITPCAVLDVIGPPYSKEDGRDCTYYRDYPYTTFPNGEIGERKEENDSYGWLEEIEVPENSEMDWIEYLGPPITETTL >OIV90555 pep chromosome:LupAngTanjil_v1.0:LG20:9948865:9949352:-1 gene:TanjilG_18159 transcript:OIV90555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVTIKSISIFLFFLGLIFQGYCKPCSLGDLSVKKSKTGVKIQGKPEWLVTVTNNCHCGQSQVILNCRGYKTVEPVDPNILTYSGTDYCLINYGKPIYKQPVTFKYAWDEAFSMSPNSSQMAC >OIV90604 pep chromosome:LupAngTanjil_v1.0:LG20:8671054:8674841:-1 gene:TanjilG_01685 transcript:OIV90604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNFSLELVTCAIVLLTLFVTIVTSSDIFLDWHVSLDFNVKPVNADQPVITINGMFPGPLINATTNDNVHVNVYNDLDEPLLFTWNGIQQRLNSWQDGVSGTNCPIQPGRNWTYVFQTKDQIGTFFYFPSINFLKAGGGFGPIRVNNRPVISVPFPKPEAEFDLLIGDWYQSSYKNIRSILDTPDVVSPQWMLINGKGPYMNPLSKTYETINVTQGKTYLLRISNVGTARSFNFEIQNHQMVLVETEGSYVNQILLESLDVHVGQSYSVLVTANQIAADFYIVASPKMSNATNNKSFVGIAVLHYDNSTTQANGSLPCGPDPFDLQFSINQANSIRWNLTTGAARPNPQGTFNVAAAIVSQTFILQASTAMIDHQSRYTVNNVSYLTPDTPLKLADYYVNGSGAYELDVYSKNSSNDNAVHGVFVASALHKGWLEIVFKNNLDTIDAWHLDGYSFFVVGLGGGEWRPELRFTYNLYNPVARSTVQVYPGGWSAVYVFPDNPGIWNLRSQNLKSWYLGEELYVRVYDPDPNPAKERPPPQNILICGNYQPSTPPPSPLLSLSSSNAYTIHNTRFLIAMMTTAISFLYIRLH >OIV90834 pep chromosome:LupAngTanjil_v1.0:LG20:5359457:5360458:1 gene:TanjilG_15567 transcript:OIV90834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVPLNMWVLISQFKLSYNLLRRPDGTFNRDLAEYLDRKVPPDANTVDGVFSFDVVIDRESNLLVRIYRPNEGEQVKIVDLEKPAASASEVLPVIIFFHGGSFAHSSANSAIYDTLCRRLVPLCKAVVVSVYYRRAPENRYPCAYEDGWTALKWVNSRSWLQSKDKKVHIFMAGDSSGGNIVHHVALRAVESGIQVLGNILLNPLFGGQDRTESEKRLDGKYFVRITDRDWYWKAFLPEGEDRDHPACNPFGPKGRNLEGIAFPKSLVVVAGLDLVHEWQLAYAKGLQKAGQDVKLLFLEQATIGFYFLPNNEHFFTVMDEIKSFVNYYDSCS >OIV90679 pep chromosome:LupAngTanjil_v1.0:LG20:7925389:7925841:-1 gene:TanjilG_23792 transcript:OIV90679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSCYGKGKRTWPELVGVKGEVAVSIIKKENPRVREIRILHDNEVILPVLICDRVFVWVDDNEIVVQVPILG >OIV90277 pep chromosome:LupAngTanjil_v1.0:LG20:18091801:18095138:-1 gene:TanjilG_08314 transcript:OIV90277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGFEAMTFNIHGGYLEAIVRGHRAGLLTTADYNNLCQCESLDDIKMHLSATDYGSYLQNEPSPLHTTTIVEKCTLKLVDDYNHMLCQATEPLSTFLQYITYGHMIDNVVLIVTGTLHERDVQELLEKCHPLGMFDSIATLAVAQNMRELYRLVLVDTPLAPYFSECITSEDLDDMNIEIMRNTLYKAYLEDFYRFCQKLGGATAEIMSDLLAFEADRRAVNISINSIGTELTRDDRRKLYSNFGLLYPYGHEELAVCEDIDQVRAAMEKYPPYHSIFAKLSYGESQMLDKAFYEEEVKRLCLAFEQQFHYAVFFAYMRLREQEIRNLMWISECVAQNQKSRVHDSVVLIF >OIV90310 pep chromosome:LupAngTanjil_v1.0:LG20:17728047:17729809:-1 gene:TanjilG_13165 transcript:OIV90310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSFLSLSLYLISLGQGGYNPSLQAFGAEQFDEDEELPCSKDDTSGKNRTLFFQWWYFGICGGSLMGVTVMSYIQDTFGWVIGFAIPAISMLLSILVFSCGSHIYVYKHHDDNQAKKHFMNMFQAIKSSALKCFHCGITLPNDKSEVIELELQEKPLCPEKLESLKDLNEDPKSGMDVLSNVKVVLRLLPIWTMLLMFAVIFQQPATFFTKQGMTMKRNIGHFKIPPATLQSAITLSIILLMPLYDKIFIPIAQLITHQDKGISVKQRMGIGMVLSIIAMVIAALVEMRRLAQSETVPLSIFWLLPQYILLGISDIFTVVGMQEFFYGEVPKTMRTMGIALYTSVFGVGSFVSALLITIVEVYTSSKGIPSWFCDDMNEARLDNYYWLLTWLSVVSLVLYVLLCKYCYNRSGSETEN >OIV91261 pep chromosome:LupAngTanjil_v1.0:LG20:1768932:1771031:-1 gene:TanjilG_30483 transcript:OIV91261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAFDIPNSNCYFTPGALGFLIRCLAGLGLDQEANHLFDEMRVKGLCVPNDYCYNCLLEGLSKNGGDVGLMEMRLKEMRGLGFEFDKFTLTPVMQVYCNAHRFDEALSVYNTMQEKGWVDERVYAMLVLSFSKWGEVDKAFELVERMEGQRMRLNEKTFCVLIHGFVKEGRVDKALQLFDKMLKAGFVPDISLYDVLIGGLCRNKEADKALSLVSEMKEFGIQPDVGIVTKLLSSFSDRTMIVKLLEEIPEEEDDKTVVLIYNAILNGYVDDGSTDEAYRLLQMMIQSKSSSGDEMDSFFGVKILVSPNTTSFNIVIDGLLKSGQLDLALSLFHDMQQIPCKPNVLIFNNLINDLCHSNRLEESFELLREMEKAGIQPTHFTHNSIYGCLCKRKDVLGAIDMLKLMRSCGHEPWIKHSTLLVKELCDHGRAVEACNYLKNMVQEGFLPHVVSYSAAIGGLIKIQEVDQALKLFRDLCSRGHCPDVVTFNILIRGLFKANRLTEAENLQDEIVMMGLSPSVVTYNLLIDNWCKSGSVDKAMALLSKMTGEDRDPNVITYTTLVDGLCRAERPDDALSVWNKMENKGCSPNRIAFMALIHGLCKCSRPTAAVRYLREMEQKEMKPESFIYVVLLSAFLSDLNLTSAFEIFKEMVHSGFFPEPHDKNYPIAIDAILKFSKDDRTSPAIQVLMEEGKIPRHCL >OIV90553 pep chromosome:LupAngTanjil_v1.0:LG20:9939059:9939546:-1 gene:TanjilG_18157 transcript:OIV90553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVTIKSISIFLFFLGLIFQGYCKPCSLGDLSVKKSKTGVKIQGKPEWLVTVTNNCHCGQSQVILNCRGYKTVEPVDPNILTYSGTDYCLINYGKPIYKQPVTFKYAWDEAFSMSPNSSQMAC >OIV90334 pep chromosome:LupAngTanjil_v1.0:LG20:16792688:16792864:1 gene:TanjilG_14732 transcript:OIV90334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKLWMKLLLPMVVMRQYCFTFRFQDWKMKIHSPARGGIRVYHRVQHNYFKLGMPGTF >OIV91324 pep chromosome:LupAngTanjil_v1.0:LG20:1303371:1304159:1 gene:TanjilG_01942 transcript:OIV91324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSINDTLLDSDTKDKEEPFTNTALTRIRDNEHDMKSEKQSWSFIQSFSNICNKTEEQESENVYVHPTVKCSSSMLSVKSLEICTENLGCETGSNASDCSDDMSLFSSEFSSCFITDTHTTVVEVNMRSSNSMSKRLNRSKNFPPPLTSLTELGGVKVRPHREDGRLILEAITSSSPQPYFQAERSNGRLRLRFFENVDDEVDYDTEEEEEESEIEEEFEEYVGEEMEMTKFGRPSRCKENGNRDIFCDGYFELPSLSLCL >OIV91364 pep chromosome:LupAngTanjil_v1.0:LG20:988243:992619:1 gene:TanjilG_01982 transcript:OIV91364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISKANDINDNVSINLCNSSTSIHEDPLNWNIAADALKGSHLDEVKRMSEDYRRPVVKIGGKSLTISQVVAVAGGVTVELAEEARAGVKASSQWVLDSMENGTDIYGITTGFGATSHRRTNKGGALQNELIRFLNAGILGNVTESKHILPHSATRAAMLVRINTLLQGYSGIRFEILEAIAKFLNNNITPCLPLRGTITASGDIVPLSYVASLLLGRPNSKSIGPNGQVLNAEEAFELAGIDSGFFELQPKEGLALVNGTAVGSGLASKVLFYVNILAILSEVLSAIFAEVMQGKPEFTDHLTHKLKHHPGQIEAAAIMEHILDGSAYVKAAQKVHEIDPLQKPKQDRNKALHGGNFQGTPIGVSMDNTRLAIASIGKLMFAQFSELVNDFYNNGLPSNLTASRNPSLDYGFKGAEVAMASYWSELQYLANPVTNHVQSAEQHNQDVNSLGLISARKTSEAVDILMLMSSTFLVALCQAIDLRHLEENLKCTVRNIVSQVAKKVLTNGVNGELHPSRFCEKDLLKVVEREYVFSYIDDPCSATYPLMQKLRAVLVDHALNNGDKEVNSHTSIFQKIEAFEEELKTLLPIEVENIRVEVENGNPVVPNKIKECEKVRSPGEECDKVFSALNEGKLIDPLLDCLKEWNGAPIPIC >OIV90640 pep chromosome:LupAngTanjil_v1.0:LG20:8205082:8206428:-1 gene:TanjilG_01721 transcript:OIV90640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKALGCSMVFICFFIPLAYADWNILNQKTHSGLKISLKNYCESWRMNVELHNIRDFEVVPEECTDYIGEYVRSTQYKVDSERATQECLVYLSTRCNLKKDDRDAWIFDIDDTLLSTIPYYKNNSYGGKKLNVTDLEDWMSKGKAPALDYSLQLFNDLKTRGIQIILISTRREYLRSVTIDNLLNVGYYGWAGLILRDPSNELVSVAKYKSDARKQLIKNGYRIWGIVGDQYSSFETAPSCTRGFKLPNPMYYVS >OIV90524 pep chromosome:LupAngTanjil_v1.0:LG20:10673696:10677516:1 gene:TanjilG_32401 transcript:OIV90524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGRADGNPRKRFVTTALILIIVGALFYLYFKNIGSSSIQYGSKSLKDIGWKGDESSSFTELGDDSDIPKTIPVCDDRLSELIPCLDRNLIYQTRLKLDLTLMEHYERHCPMPERHYNCLIPPPPGYKIPIKWPKSRDQVWQANIPHTHLATEKSDQNWMVVKGDKIVFPGGGTHFHYGADKYIALIANMLKFPNNNINNGGRVRNVFDVGCGVASFGGYLLSSDILAMSLAPNDVHENQIQFALERGIPAYLGVLGTKRLPYPSRSFELAHCSRCRIDWLQRDGILLLELDRLLRPGGYFAFSSPEAYALDEEYQRIWREMSDLVRRMCWKVAAKRNQTVIWVKPLTNNCYLKRAPDTQPPLCKSDDDPDAVWGVNMEACITRYSHQMHKARGSGLAPWPARLTAPPPRLADFNYSTEMFEKDTEVWRQQVDNYWTMLGDKIKPDTVRNVMDMKANLGSFAAALKNKDVWVMNVVPENGPNTLKIIYDRGLLGTVHNWCEAFSTYPRTYDLLHAWTMFSDIIEKECSPEDLLIEMDRIIRPKGFIIINDKPSVVLSIKKLLPALHWQAVAISGMDQGKDDAVLIIQKKIWLTSESIRVSE >OIV90620 pep chromosome:LupAngTanjil_v1.0:LG20:8450137:8494309:-1 gene:TanjilG_01701 transcript:OIV90620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPFGLVHHLKGNNVDHLSMYLDVADSTTLPYGWSRYAQFSLTVVNQIQSKYSVRKETQHQFIARESDWGFTNFMPVADLSDSTRGYVVNDTCIVEADIAVRTANDYWSNDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDMPSGSIPLALQSLFYKLQYSDSTVATKELTKSFGWDSYDSFTQHDVQELNRVLSEKLEDKMKGTVVEGTIQHLFEGHHMNYIECINVDYKSTRRESFYGIQFSLVLFTLLHHSMYTWTLYNFGRFTIDLQLDVKGCRDVYDSFDKYVEVERLEDDNKYHAEHYGLQLQLKRFEYDFMRDTMVKINDRYEFPLQLDLDRDDGKYLSPEADKSVRNLYTLHRFKFDDERVTKEDAKRALEEQYGGEEELPHTNPGFNNSPFKFTRYSNAYMLVYIRDSDKEKIICSVDEKDVAQHVRVRLQKEQEEKEQKRKEKEEAHLYTIIKVACDADLHKQIGKDTFFDLVDHDKVQSFRIRKQTPFITFKEEVSREFGVPVIYQRFWLWAKRQNHTYRPNRPLTPQEETQSVGQLRDVYNKANNVELKLFLEVEQEIKSEPHVMCEHVDNNVTFHANQLEDGDIICIQKTLVGRGEQFRYPDVPSFLEYVHNRQVVRFRYLEKPKEDVFSLELSKIHTYDDVVIGVAEQLGLDDPSKIRLTSHNCYTQQPRPQPIKYRGVEHLSDMLANCNQTSDILYYEALDIPLPELQCLKTLKIAFHNATKDEVVIHTIRLPKQSTVEDVINYLKSKVFSLNDMIDNINDQYWTLRAEEVHEDEINLGPHDRLIHVYHFMKDTAQNQVQVQNFGDPFFLVIHEGETLADIKLRIQKKLQVPDEDFFKWKFAFVSLGRPEYLQDFDIVSTRFQRRDIYGAWEQYLGLEHADNSPKRSYAINQEFNLTVGFDGRKIWKCL >OIV91235 pep chromosome:LupAngTanjil_v1.0:LG20:1957319:1958505:-1 gene:TanjilG_30457 transcript:OIV91235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARIKVYELRQKTKAELLNQLKDLKTELSLLRVAKVTGGAPNKLSKIKVVRLNIAQVLTVISQKQKSALREAYKNKKYLPLDLRPKKTRAIRRRLTKHQQSLKTEREKKREIYFPLRKFAIKV >OIV91074 pep chromosome:LupAngTanjil_v1.0:LG20:4666332:4673491:1 gene:TanjilG_17034 transcript:OIV91074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLVPFFLLKLVMAAKKVIAICQSGGQFKTEKDGCLSYKGGDAHAIDIDDNMTFNEFKAEVAEMFGLAVDSISIKYFLPVNRKTLITISNDKDLQRMVKFHGSSCTVDIYVLIEEVVAPEVLNRPASWSSRTTLSETVVPVHITENLDCVHNVMEDTKHLIIPMDALPNVDDSNQVDMHMEFPIEVSPLLRVASSSDENHAKGAQQWENTITGVGQRFNNVDEFRKTLRKYAIAHKFAFKYKKNDSHRVTVKCKAEGCPWRIHASRLSTTQLICIKKMDSTHTCDGASRPTGHQANRNWVADMIKEKLKFFPNYKPKDIVNDIREEYGVEINYFQAWRGKEIAKEQLQGSYKEAYSLLPFFCDNLMEANPGSLAMYTTKEDSSFHRLFVSFHASMHGFQQGCRPLIFLDSIPLKSKYQGTLLAATAADGDDGVFPVAFAIVDAESDDNWHWFLLQLKSVLSTSCPITFVADRENGLKNSITEIFEDSFHGYCLRFLIEQHFRDLNRLFSHEVKRLVIEDLHAAAYASKPEDFQNCMDRIKIISTDAYNWFMQSEPQNWANSFFQGARYNHMASNFGELFYSWASDADELPITHMVDVIRGKIMELIVARRAESDQWLTRLTPSMEERLKRETQKTHSLQVLLSDGTAVEVRGDTTEMVDLDQWECSCKSWQLTGMPCCHAIAVIGSMGRSVYDYCSRYFTTECYRLTYSEFVNPIPNVDVLVSKDFQLVVAVTPPPIRRPPGRPTTKRYASQDIIKRQLQCSRCKGLGHNKSTCKDKELSLC >OIV91168 pep chromosome:LupAngTanjil_v1.0:LG20:2470264:2471970:-1 gene:TanjilG_30390 transcript:OIV91168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFALRPNRIISLSSSSSSSSSYNQTRKQGSELGFVRTRRTNFVCAIERNSNNSSDGKKGGTVSNSNYAVPLDKSFSFSNSSLITRPLAEILRDLNKRIPDTIAKSLVPGSDSSTLIPWYHANRMLSFYAPGWCGEIRDVIFSDNGSVTVVYRLTVRGSDGEAYRESTGTISPSDGSIGDPVGAAEEVAFCKACARFGLGLYLYHEDQIASI >OIV90744 pep chromosome:LupAngTanjil_v1.0:LG20:6429390:6430544:-1 gene:TanjilG_21875 transcript:OIV90744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSYSSSILPSSPPHRPVDAYPNVNIINSFPNHPTSPATLASPPPRNDDHPSYSKMICSATRALKDENGSSKMAIGKYIAQESDKPMSLSLSSSFVSRGHDCLSKPNPDSKSTPQLEQNVEPKSTQLGLNDEREFSSSVAGATTEVMKTSKSRSLKVFGEGEGVSSTLRLENGKRVSSTLRLKNGKRVRHPPAKYESFLSVDLIFDNHKQKPRRPSNAHLKHIVVPFATRSNDGGVPPPADAHELAQFPSNSVPIGSPKPGGRPKKNVVASPSIVSGSAAYGGAKKPAVARKPMKKSIGKPMGRPKGILSYFF >OIV90352 pep chromosome:LupAngTanjil_v1.0:LG20:15960682:15962175:-1 gene:TanjilG_00562 transcript:OIV90352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSTLLSNLHFVFIPFLAPGHILPMVDMAKLLAKRKVKVTIITTPLNSIQFKASIEREIESGSPIELRIVKFPNAEAGIPEGSENLETLPSMDLKTNFFKALDILQQPIEELLQKLSPFPSCIVSDKNIPCVADISIKFNLPRILFDGTNCFNLLCNHNLHTSKVYETVSDPDSHIVVPGLPHRIEMRKSQLPVIFTPGPDKELNAIRERIRTSEATSYGILVNTFQDLEGEYVKEYQRVTGQKVWCVGPVSLTNTDDLDKAQRGNKSTNIDESQFVNFLDSWPPYSVVYVCLGSLNRVTPEQLKEIGLGLEATKRPFIWVLRGAYRRDELEKWLIEDGFEERVKGRGILIRGWAPQVLILSHKAIGAFLTHCGWNSTLEGICAGVPLITFPMFADQFYNEKVAVQVIETGVRVGVENSIHFGDEDKFGDGVLVNRDNVKLAIEKVMGEGEEKEKRRERARKYVDLAKKSIEEGGSSYHNMSMLIEEIMKVKGLNQS >OIV91258 pep chromosome:LupAngTanjil_v1.0:LG20:1783859:1789120:-1 gene:TanjilG_30480 transcript:OIV91258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLMESLTISTVSPHLPFSAATAATAAFRRSRLTTTRPASLRLRCSARAPSSLAYRCLVKEDERDAPSFLFESVEPGYQVSNIGRYSVVGAQPSMEIVAKENMVTVMDHVSGRRTEEIVEDPMLIPRRIMEKWNPQLIDQLPEAFCGGWVGYFSYDTMRYQEKKKLPFFTAPVDDRGLPDIHLGLYDNVIVFDHVEKKAFVIHWVRLDQYSSPEEALNDGMEQLETLVSRVHDIITPKLPAGSIKLLTRLFGPKLGMSNMTNEEYKKAVLQAKEHILAGDIFQIVLSQRYERRTFADPFEVYRALRIVNPSPYMTYLQARGSILVASSPEILTRVKKRKITNRPLAGTIRRGKTPKEDIMLEKELLNDEKQCAEHVMLVDLGRNDVGKVSKPGSVQVEKLMNIERFSHVMHISSTVTGELLDHLTCWDALRAALPVGTVSGAPKVKAMELIDQLEVARRGPYSGGFGGISFTGDMDIALALRTMVFPTNTRFDTMYSYKDVSKRREWIAHLQAGAGIVADSDPADEQRECENKTAALVRAIDLAESSFVDK >OIV90581 pep chromosome:LupAngTanjil_v1.0:LG20:9083224:9089695:1 gene:TanjilG_01662 transcript:OIV90581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVSSPSTAATPPPPHSDDHRISNSTIGYRDAESLFRSKLITEIRKTESTTRKQIEDKKEELRQLVGNRYRDLIDSADSIVRMKSSSTSISANIAAIHDRIRSLSQSQSNSISNSQTNLHSQPRAWTYGIACRVKYLVDTPENIWGCLDETMFLEAASRYVRAKHVHHCLFEDDGDEQKNKILLNFPLLQHQWQIVESFKVQISQRSRDRLLDRGLPIAAYADALAAVGVIDELEPKQVLDLFLESRKSWISHVLGNAGSGDASSVVVSFLCDVLGIIQITVGQVGELFLQVLNDMPLFYKVILGSPPASQLFGGIPNPDEEVRLWKSFRDKLESIMVMLDKRYIADTCFTWLRECVNKISGKNLIDAIGSGQDLASAEKSIRETMESKQVLQGSLEWLKSVFGSEIEMPWSRIRELVLEDDSDLWDEIFEDAFVGRMEAIIDLRFRELTGTVDVVNSISTVGDSFAKQNDVQGYLNRLSRAGGVWFFESTGRKTGVASGFKALPEDNEFQTCLNAYFGPEVSRIRDAVDVSCQSILEDLLSFLESPKASRRLKDLAPYLQSKCYECLSAILMAMKKEVDSLYGSMENGDKEVPTTVTVEKSLFIGRLLFAFQNHSKHIPLILGSPRFWVSGNTAATGKLPSLVKHSRFGSDSSVCDSPGKQRGLGSRRQHSSASAALLGAREGASNELEELNRTIGDLCIRAYNLWILWLSDELSAIVSQDLKQDDALSLSTAWRGWEDTIVKQDQSDENQSEMKISLPSMPSLYVISFLFRACEEVHQVGGHVIDKKILHKLASRLLEKVIGIFEDFLSKDEGGSQQVSEKGVLQLLLDVKFATDVLSGGDLNSVGELSSNLKPKFPVRRKQDQSLPTSAIRERSDRLLNRLSQRVDPIDWLTYEPYLWENERQSYLRHAVLFGFFVQLNRMYTDTVQKLPTNSESNILRCSTVPRFKYLPISAPALSSRGTKKTIFPSSNDISSRSSWNSITNGQLPQKINLDDNSSLGVAAPFLKSFMQVGSRFGESTFKLGSILTDGQVGIFKDRSAMSTFGDILPAQAAGLLSSFTAPRSDS >OIV90895 pep chromosome:LupAngTanjil_v1.0:LG20:4895261:4897050:-1 gene:TanjilG_15628 transcript:OIV90895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFQKKRVHLLVFIVAIVVLSIAAEKCRQLVGEEKSSQSGKFTFLNCLDMGSGTVSCAVKEGVKLYFYNIRSSHVERARHHAMESSLVDALSQGMSPTDSAKHAQKESKKAAKLASRQAKRIIGPIISSGWDFFEAVYFGGTLTEGFLRGTGTLFGAYGGGFLGEERLGRFGYLVGSHMGSWVGGRIGLMIYDVINGVQVLLNFVEIVESEVKESILDKSEPFETESSSSDETPVFDSSEGSNVYESTPSEESTAYDSTEYQSYDTDAYEHSEL >OIV90153 pep chromosome:LupAngTanjil_v1.0:LG20:20459348:20460539:-1 gene:TanjilG_01607 transcript:OIV90153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMSCNGCRVLRKGCSEDCSIRPCLQWIKNPESQANATVFLAKFYGRTGLMNLINAGPQHLRPAIFRSLLYEACGRIVNPIYGSVGLLWSGSWQLCQAAVEAVLKGAPITPITSEAAANGRGPPLKAYDIRHVSKDENSTGSKQAQRVKSRTRFNRTGVEPVDAVGVKQNGDEGSRLGPVEPESEEVVNRSASHESSISHQSEPAATVVEGESKDNESAVSVENSILFHDEPGSDDKAGGRTGESVEEVGLELTLGFEPVSRVHHVVPMKKRRVEFFKDCSVDFNGTSCKMELGLQYPA >OIV90183 pep chromosome:LupAngTanjil_v1.0:LG20:20106439:20106723:1 gene:TanjilG_01379 transcript:OIV90183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRVEVVREDPKAGLTDSTVRVKNVTYGICLKNHSIYLIGYVVDGCQWFLANGEDGTDASRICGVCGCHRDHHTRIEMETEVASEKSSSSTNGA >OIV90602 pep chromosome:LupAngTanjil_v1.0:LG20:8694178:8698730:-1 gene:TanjilG_01683 transcript:OIV90602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMTKLNLNSTKTHLHSSQFSFLLKTLPRHLHCAFAPSHSTTQHARISCSVAPNQVQVPAVQTQDPKTKPECYGVFCLTYDLKAEEETRSWKKIINIAVSGAAGMISNHLLFKLASGEVFGPDQPIALKLLGSERSIQALEGVAMELEDSLFPLLREVSIGIDPYEVFQDAEWALLIGAKPRGPGMERAALLDINGQIFAEQGKALNAVASCNVKVIVVGNPCNTNALICLKNAPNIPARNFHALTRLDENRAKCQLALKAGVFYDRVSNVTIWGNHSTTQVPDFLNAKIDGLPAKDAIKDHKWLMEEFTETVQKRGGALIQKWGRSSAASTAVSIVDAIRSLVTPTPEGDWFCTGVYTNGNPYGIAEDIVFSMPCRSKGDGDYELVKDVIFDDYLRQRIAKTEAELLAEKKCVAHLTGEGIAVCDLPVDTMLPGEM >OIV90197 pep chromosome:LupAngTanjil_v1.0:LG20:19865274:19866309:1 gene:TanjilG_01393 transcript:OIV90197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANAFVTQPPPLKDELDIVIPTIRNLDFLEMWRPFLQPYHLIIVQDGDPSKTINVPSGFDYELYNRNDINKILGPRASCISFKDSACRCFGYMVANDPSGKAVNALEQHIKNLLCPSTPLFFNTLYDPFREGADFVRGYPFSLREGVPTAVIMNSLVKIY >OIV90451 pep chromosome:LupAngTanjil_v1.0:LG20:12815764:12817637:1 gene:TanjilG_01929 transcript:OIV90451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSQIQCELPVIDFTNENLKPGTESWVSACQAVRNALEDHGGFLALYDKVGSDIYNSIYSSMEKLFNLPLDIKSRKTTEKPIFSYTGQLSRIPLFESVAIFNPLSLDDCQKYTQIMWPEGNDYFCESVNSYGKQLVELDHIVKRMIFESYGLEKKKLESLLESVDYVLRGYKYRTPQEGESNLGVAPHSDTAFLTILNQKVEGLGVKLKDGEWFEVDASPSLYLVMGGDALAVWSNDRISACEHRVFINLKIDRYSMGLLSYACKILEPQQELVDEEHPLRYKPFDHYGYLRFFLTEEALKSTSRIKAYCGI >OIV90051 pep chromosome:LupAngTanjil_v1.0:LG20:21563293:21567604:-1 gene:TanjilG_21183 transcript:OIV90051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEWIVGALINLFGSITINFGTNLLKLGHNERERHLLGIDGLNGKIPPKPIVCFQTWRVGIVFFFLGNCLNFISFGYAAQSLLAALGSVQFVSNIAFAYFVLHKMVAVKVLVATAFIVLGNTFLVAFGNHQSPVYTPEQLAEKYTNSAFLLYLLALIFIVALHHTIYKRGELLLAVSGHDLKSYWNMLLPFSYAVVSGAVGSCSVLFAKSLSNLLRLAMSNGYQLHSWFTYCMLLLFLSTAGFWMTRLNEGLSLFDAILIVPMFQIAWTLFSICTGFIYFQEYQVFDALRTTMFIIGMMCVFIGISLLAPDESKGADTKESASDSLVSPTISKEVNRLASSEDIQNIDARSFVKGMLIKITDILVKAKTSCALHLGFGEDTINASSVLVMPMMSSRMNGFRGSGLDRARILTMRNSSGWSKIPVDEDGGKLLETSPLVLPSP >OIV91332 pep chromosome:LupAngTanjil_v1.0:LG20:1255133:1256026:1 gene:TanjilG_01950 transcript:OIV91332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREFPSCFGENGVQIVGSSSSSTTRAAQNVVTCVYQCKLGDSSSLITISWTKTLMGQGFSVGINDLGKVDIKPWLFSKRKGSKNLEVQSSKVDIFWNLSCAKFGSGPEPLEGFYLAVLFNQEMVLLLGDLKREACKKIDCDTATYAHSGGTFIAKREHIFGKKFYGAKAQFCDKGQVHDVTLECDTVGLIDPCLVIRIDSKTVMKVKRLKWKFRGNHTILVDGVPVEVFWDVHNWLFGNAMGNAVFMFQTCISTQKLWPGQSVSDPSELTWAYSQQFRDSQLQGLGFSLILYAWKNE >OIV91294 pep chromosome:LupAngTanjil_v1.0:LG20:1515964:1516720:1 gene:TanjilG_01825 transcript:OIV91294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDNKGKVSNIECFKYNFTDATASETSAHRSQDGGVIKDQDRLLPIANVGRIMKQTLPPNAKVSKEGKETMQECVSEFISFVTGEASDKCHKEKRKTVNGDDICWALATLGFDDYAEPLRRYLHKFRELEEGKAITTASFGSPISKLNVTDWRFSNF >OIV91195 pep chromosome:LupAngTanjil_v1.0:LG20:2250153:2254304:-1 gene:TanjilG_30417 transcript:OIV91195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSQGFSSSLDSVTEHLHNHNLQADTDAVPRLKLEDLNWDHSFVRALPADPRTDSLPREVLHACYTKVSPSVEVDDPQLVAWSEPVADLLDLDHKEFERPDFPLIFSGASPPVGALPYAQCYGGHQFGMWAGQLGDGRAITLGEILNSKSERWELQLKGAGKTPYSRFADGLAVLRSSIREFLCSEAMHHLGIPTTRALCLVTTGKLVTRDMFYDGNAKEEPGAIVCRVAQSFLRFGSYQIHASRGNEDLGIVRGLADYAIRHHFPHIENMNKSESLSFSTGDEDHSVVDLTSNKYAAWAVDVAERTASLIAKWQGVGFTHGVMNTDNMSILGLTIDYGPFGFLDAFDPHFTPNTTDLPGRRYCFSNQPDMGLWNLVQFTKTLSAAQLINEKEANYALERYGTKFMDDYQAIMIKKLGLPKYNKQLISKLLSNLAVDKVDYTNFFRTLSNVKADTSIPDDELLVPLKSVLLDMGKERKEAWTSWLKTYIHEVSTSDISDDERKSSMNLVNPKYILRNYLCQTAIDAAEIGDFGEVRRLLKLMEHPFEDQPGMEKYARLPPAWAYRPGVCMLSCSS >OIV91185 pep chromosome:LupAngTanjil_v1.0:LG20:2310103:2314910:-1 gene:TanjilG_30407 transcript:OIV91185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVRISDPVRGRLRPQMAMALAMVLISTAVVSVAADGYIYSSPPPPYEYKSPPPPSASPPPPYEYKSPPPPPKEEKSPSYEYKSPPPPPKEEKSPSYEYKSPPPPSSSPPPPYEYKSPPPPSPSPPPPYEYKSPPPPSPSPPPPYEYKSPPPPSPSPPPPYEYKSPPPPSPSPPPPYEYKSPPPPSPSPPPPYVYKSPPPPPHENKAPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYQSPPPPVKSPAPKPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPVKSPAPKPYYYQSPPPPSPSPPPPYYYKSPPPPVKSPTPTPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPVNSPTPTPYYYKSPPPPSPSPPPPYYYKSPPPPVKSPAPTPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPVKSPAPTPYYYKSPPPPSSSPPPPYYYKSPPPPIKSPTPTPYYYKSPPPPSPSPPPPYYYKSPPPPVKSPTPTPYYYKSPPPPSPSPPPPYYYKSPPPPVKSPTPTPYYYKSPPPPSPSPPPPYYYKSPPPPVKSPTPTPYYYKSPPPPSSSPPPPYYYKSPPPPVKSSTPTPYYYKSPPPPVKSPAPTPYYYKSPPPPVKSPAPTPYYYKSPPPPVKSPAPTHYYYKSPPPPSPSPLPPYYYKSPPPPVKSPAPTPYYYKSPPPPPSHTPYYYSSPPPPVVYPPHPHHHSLIVKVVGKVYSFKCYDWEYPNKSHDKHHLRGAVVEVKCKAGSNIIKAYGETKSNGKYSITVKDFDYVKYGPTVCTAELYAPPKGSPFTVPTKLNEATKLKVKSKDKYEVVLKAKPFAYASKKHFAECEKPKPSPTPYYYKSPPPPSPVYIYKSPPPPSHVVKPPYYYKSPPPPSPSPPPPYYYKSPPPPSPVVKPPYYYKSPPPPSPVVKPPYYYNSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPVVKPPYYYKSPPPPSPSPPPPYYYKSPPPPSPVVKPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPVVKPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPVVKPPYYYKSPPPPSPVVKPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYQSPPPPSPSSHPPYYYKSPPPPTTSPPPPYQYVSPPPPSPSPPPPYHYTSPPPPSPSPPPPYHYTSPPPPSPSPSPTYVYKSPPPPAYIYASPPPPIYK >OIV90087 pep chromosome:LupAngTanjil_v1.0:LG20:21219334:21232702:-1 gene:TanjilG_01541 transcript:OIV90087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYCDHCLRNVTGEREFDGSLSCDICGKLLEDFIFSQEPTFTKNASGQSQLSGHYVRTIQSEFSASRQRTLDRALDEIIYLSSSLGVDDVNVPHQALAFYKIALERNFTRGRKSEQVQAACLYIAFRENNKPYLLIDFSNHLRTNVYVLGAVFLQLCKVLRLEEHPIVQKPVDPSLFIYKYTNNLLKQRNLAVSETALSIIASMKRDWMQTGRKPSGLCGAALYMSALAHGFKCSKPDILRIVHVCEATLTKRLVEFENTESASLTIEELNTMAKEHEKIPIKIPNGELSKGTSKYLLCEHKDSAEPYFALGLCETCYKDFDKLSGGLSGGLDPPAFQRAERERVTNSPAEQGANKSDNLVKASNGACRNKKEDFHASEPESIGADDEHVAVKDDKHMEDDMNKKSCHDSQESETLSDIDDQEVDGYIHNEEEKYYKKIIWEKMNREYLEEQAAKQAVEEAAKKAFEASFEGPADLLAERASVAAAVANLRKETRQKRAQEAKNLGPAQSAVEATRQMLRTKRLSSKVNYDRLQNLFDEREAPENPKKVRFDLPSDNHDKMESKVEEKLKDDEPVLADETEDGDIDGDYENVDETYGYADDGYNYEGGGYNNYDDDY >OIV90031 pep chromosome:LupAngTanjil_v1.0:LG20:21895708:21896643:-1 gene:TanjilG_23951 transcript:OIV90031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSRNTHWCYSCRKPVRLGRQQMVCHSCGDGFVQELNDMVPVNSLHLFGLDNNEGRDQRFGLMETFSAFMRQRMADRSHAHDIRARSDLIPEHRPGFAPLLIFGGQIPFGLSGHGGIEALFNGTPGIGVTRGNTGDLFIGPGLEELFEQISANNQQGPPPATRTSIDAMPTIKITQRHLRSDSHCPVCKDKFELGSEARQMPCNHVYHSDCIVPWLVQHNTCPVCRQELPPQGLSSNRSSNGRSRTNISSSANGRVSSSRENRGRRNPLSFLWPFRSSSSSSNGATGSSSPAPTIPENSHLSGYSGWPFE >OIV91242 pep chromosome:LupAngTanjil_v1.0:LG20:1887065:1890582:-1 gene:TanjilG_30464 transcript:OIV91242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVSGHEETEVKSFAGKLSGLIAGCVPIKKRKYFPLIPPTSPLSEEPSSLNEEAELQPKENSSTSQASTLSNVSIAGAPIKKRRFPILEEGSHVGENDSFPKENSSTSQGLTLSTSSSGNSDTNEHLLPSIAKLGIVQSSPKIEKVEPVSLELSLSKEKGGTHSLNIDVKTTSDIAPVQSNRANWDLNTTMDAWEEPGTSSSSVKTYIDRKKVVESVVDEKQFMRSSRIVTPTGDMSLKQTVCEESKKKAFVVSPGLYGQHYERIDPHNLCLNSYLPKYAHEPSRLSVKLDSGTAIPTAIPSVTLSSVVPSAGDVNTCFRLVKSEPFDDNSNRGLKEANVCPVGSLDSDALKKELLLHSKVDSSKPSSVCNSNLVDAMFIKTESEDRHAVTVAVDTERYIYDDDYEDGEVREPQEHSTEVVTIREVREVEQPDNSNYANKQIKEELLSGDCLISSHVMENESKTDIHSEISSDEDGIDIEMHETPGNVIDQNVCLQEPVADEKSNIASDEKGPVNILQREQLDVSESYNASRALETELSSDQSINGSHGLDVVGRCADEVVKTADTVTQADLDLPQIEASANSDDATKDVSNCGNQGRIIDLSRAASSSSPSKTRPIPGRSLPSLSGTDLLSDTLDDDKFRGRDEVYVDGRRRFFRGRNQDMSPRSSRFTYVRGRGRGNSRLDSFRGEWESGREFSGEFYNGPSQFRGPRPNKYASANADTDLEYNDAPDGSYVGNGRMGRKPLNDGSYIAPRRRSPGGVDGVQMGHRIPRNVSPTSRCIRGDSSEMYQRHSEKFVRRFQDDNLDSMFTRPRSYEGMDGRFSRGGGRSFSSVQKRGPPLIRSKSPIESRSRSPGQWSSPRRRSPRRRSPESFGGHSEMNSRRSPLYRVDRMRSPDRPVFSGERVVRRHGSPSYMSRASNNDIRDIDSAREHGHSRSVINNRSPSGRILVRSRRFDAADLRDHADNDDEYYAGPMHSGRVLELSEGDGEERRFGERRGGYLRSFRPPYKNGSNINENFHLNAEEGPRKYRFCSNDSDFHERGNSMREKDFERHIRGGCPVNVPPRRTRNMDEQEDNFRHGGGRGGGGRGGGHVWNDDSFDDMSRVKRKRF >OIV91267 pep chromosome:LupAngTanjil_v1.0:LG20:1730213:1733753:-1 gene:TanjilG_30489 transcript:OIV91267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKKRPITSVDVAVVDIWNRELGQLSSRNFAHRLSASQDLVLRLDILRKLEKHRGCVNTVSFSEDGEILVTGSDDRKVILWDWEKGHTKLSFHSGHTNNVFQAKIMPHSDDRSIVTCAAEGQVRQAQILENGGVETKLLGKHEGRAHKLAIEPGSPHIFYTCGEDGLVQHFDLRTGAATRLFTCQPIKDRWDFRPVIHLNAITIDPRNPNLFAVAGDDEYARLYDIRKYKWDGSNEFGQPTDFFCPPHLIGDDRVGITGLAFSEQRELLLSYNDEFIYLFTQDMGLGPNPHPGSPVSMNSDASEIGVSHGSEASPSNMDSDDKTIPQVFKGHRNCETVKGVNFFGPKCEYVVSGSDCGRIFIWRKKDGQLIRVMEADKHVVNCIESHPHTIVLASSGIEPDIKIWTPKALQKAILPKNIEQKVLMFDQIRWYDDDDDDDGDYDDDLFENEYDMFGDDDDNDDTDDDDDDETDDCDDETDDDDNKGGDDDDNTDDNGNNDNFGGGCNDANC >OIV90248 pep chromosome:LupAngTanjil_v1.0:LG20:18825670:18827349:1 gene:TanjilG_11976 transcript:OIV90248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAMRNVTRYMQLDHVVELPPGFRFHPNDQELIIYYLSEKVLDKNFSAIAIAEVDMNSCEPWELPSMAKMGEKEWYFFCVRDKKYPTGQRTNRATDAGYWKATGKDKDIYQGKALIGMKKTLVFYKGRAPKGEKTNWVMHEYRLDGENSMNNLSKSSKEEWAVCRVFQKSSCGKRMHGPMLVELSPMIDSLPIPYKSETKNVVGESSYVTNVTNFSSPNQNEDQKKQHDIIVDSFEAPMLAPPSYDSEITPFTWNFTNTTTPQFENNQVENNAHNSGSGFFMNQDESIMSMLIEDHGTSTMKNINNHKAKESDFDVMYNNEMFQPSFVGNQGCLSASLGHVVPSSGCMWNF >OIV90841 pep chromosome:LupAngTanjil_v1.0:LG20:5315750:5320536:1 gene:TanjilG_15574 transcript:OIV90841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRFQLEFVRTLYRTSHIGHESSSYLLRSYSTALSNGSDYSFKSFNPHLYKGHNGFHSDSVKTSTLRSTMASDLSIFMNHERMLSTQTQVKTPPAQARMRGTQLSITSPGFIFEPYKARVKIPLWKRLFTKSGWKRTKDDMMLEVKNAYAMAKLRRKGYSKHQVYNDAVKMYKEINTLIANGDKKSLRKAVTERMFSELKNEIKQRETALSKVYWELVEPVVKIRTLRARLIGVDKKDIEKVFCQLTLEILAKQKFEAYDSKGAVVTGDKNKEVLVRDIWVFEKSLFHSGAYWRLCGRISPDAVSLK >OIV90720 pep chromosome:LupAngTanjil_v1.0:LG20:7141706:7152818:1 gene:TanjilG_15106 transcript:OIV90720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGERLWIQIPSLFILLASLLLSHSKTILSDSVTPHEAKQLRDEVREMFYHAFNGYMDNAFPLDELRPLSCAGEDTLGGYALTLIDSLDTLALLGDRQNFAASVEWIGKNLRFDINKTVSVFETTIRVIGGLVSAHLIAIDDATGMRVPLYDNQLLNLAEDLARRLLPAFDTPTGIPFGSVNLLYGVDKHESKITSTAGGGTLTLEFGVLSRLTNDPIFEHVTKNAVLGLWARRSKLNLVGAHINVFTGEWTQKDAGIGTSIDSFYEYLLKAYLLFGDEEYLYIFQEAYTAAMHYLFHDPWYVEVNMDSAAIVWPLFNSLQAFWPGLQVLAGDIDPAIRTHAAFLSVWRKYGFTPEGFNLASLSVQHGQKSYPLRPELIESTYWLYKATRDSRYLDAGRDMVASLQYGTRCPCGYCHISDVEHHKQEDHMESFFLAETVKYLWLLFDLAVGPANLVENGPYKYIFSTEGHLLPATPQISLVREHCLYHGAYCRSGDLRQTHFMSEADNDKQESNDSRSYESWTKATYSSDYTTFEPTRVSGLIKGVCPGLNHGQKFGISYVHPADEHRDYEIIHQKESTAVQSHSVMVLPSQSSDHLISDDSSNHNEDRRTS >OIV91401 pep chromosome:LupAngTanjil_v1.0:LG20:677704:681355:1 gene:TanjilG_02019 transcript:OIV91401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLLVIGVVLLIHFCSVNAEPFLSVDGKVLVLDESNFESAISSFDHILVDFYAPWCGHCKRLSPELDAAAPVLAALKNPIVIAKVDADKFTRLAKKYDVDAYPTILLFNHGIPTEYHGPRKAELLVRYLKKFAASDVSILDSDSAVNKFVEEAGTFFPVYIGFGLDSSAIEKLAIKYKKNAWFSVAKDFSEDLMVSYDFDKVPALVSLNPKYNEHNTFYGPFEGEFLEDFVKQNLIPLAVPVSHDTLKLLEGDDRKIVLTIVEDEDEERSRELVKLLKAAASANRDLIFGYVGVKQREEFAEKFDIGTKLPKMVIWDKSDDYLSVVGSESIEGEDQATQITKFVEGYREGRTIKKTVSGPSLMKFINGSFDIRMVYFLVFIVAVVMLIQNINKGDDEHNRIPNQDHVHHASSVSEVENKEYKAGDKQD >OIV90842 pep chromosome:LupAngTanjil_v1.0:LG20:5305945:5312422:1 gene:TanjilG_15575 transcript:OIV90842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFHTGNALASEFDILNEGPPKESYVVDDAGVLSRVTKSDLKRLLSDLESRKNFHINFITVRKLTSKADAFEYADQVLERWYPSVEEGNDKGIVVLVTSQKEGAVSGGPAFVQAVGENILDATVSENLPVLATDEKYNEAIYSTAKRLVAAIDGLPDPGGPSFKDNKRESNYKSREETEEKRGQFSLVVGGLLVIAFVVPMAQYYAYVSKK >OIV90695 pep chromosome:LupAngTanjil_v1.0:LG20:6714970:6718868:1 gene:TanjilG_15081 transcript:OIV90695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRRIWSHRATASVFRTRRNASSEIGSNTRPTAMNLVSPCFSPTLTRFRENQVSTSVVRFISSKASDKEGRTADKTKKDITNVEEDPFSAPTYNIPEKPVTFVEGASYSVIILAGLGVAAAAGYAVFKELIFQPKEYKIYNKALKRIQDDGQVRVRIGFPITGYGQESRNRAARQRIPNRVWTDEDGVEHVEVNFYIRGPHGHGKVFSEMFKGPDNEWKFTYLIVEIRAPSSAQLILESYVPDYSPSK >OIV91197 pep chromosome:LupAngTanjil_v1.0:LG20:2233334:2244289:-1 gene:TanjilG_30419 transcript:OIV91197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLKSSHVSSVPADPVIPNKSRLGMQSSLLTYSQQDLPLSPGKYSRTSSRKSAGSLDDVRSNGWLDAMIASSPPKKKPLKGSNAQFASFDFTDEDNSSSMVHHPSALDYFDKIIDRAKNKKVAVFLDYDGTLSPIVDNPDCAFMSEDMRATVRSVASYFPTAIISGRSRGKVFDLVKLKDLYYAGSHGMDIICPFSQTLSNNHPSCVKSTDNQVFRTLVDITKDIEGVKVENHKFCVSVHYRNVKENETIVSMAIAGAIIGAAAGGWINDSFGRKKATLLADVIFALGSICMAAAPDPYILISGRFLVGLGVGVASVTAPVYIAEASPSEIRGSLVSSNVLMITGGQLLSYIVNLAFTKNRKNEAVDVLSKIYDVDRLEDEVDFLTAQSEQDRRKRNNVKFMNVFKSKEIRLAFLVGAGLQHPTIVQAFQQFTGINTVMYYSPTIVQMAGFHSKELALLLSLIIAAMNAAGTILGIYLIDHSGRRKLALFSLGGVFASLIILSLSFSNQSSSNQLYGWLAVLGLALYIAFFSPGMGPVPWTVNSEIYPEEYRGVCGGMSATVCWVSNLIVSLSFLSIVDAVGTGTTFLIIAAISLLAFLFVFLYVPETKGLTFDEVEVIWKERAWGPGSSGYLEMYPERKVSFFKNPYILGLTAVSSIGGLLFGYDTGVISGALLYIKDDFEVVRHSNFLQETIVSMAVAGAIVGAAGGGWINDAYGRKKATLIADIIFVLGSIVMAAAPDPYVLISGRLLVGLGVGIASVTAPVYIAELSPSEIRGGLVSTNVLMITGGQFLSYLINLAFTKVPGTWRWMLGVSGVPAVIQFSLMLFLPESPRWLFMKDRKKESITVLTKIYDFDRLEDEVAALTDQLEQEQQKRGNKGLWDVFKSKEIRLAFLAGGGLQAFQQFTGINTVMYYSPTIVQMAGFHSKELALLLSLIVAAMNASGTILGIYLIDHAGRKKLTLYSLAGVIASLIILSVSFFNQSSSHDLYGWVAVIGLVLYIGFFSPGMGTVPWTVNSEIYPEEYRGICGGMSATVNWISNLIVAQSFLSIAGAAGTGPTFMILAVIALLAFLFVFVYVPETKGMSFDEVELLWKHRAWGNNHETQSLLHHGNLS >OIV90098 pep chromosome:LupAngTanjil_v1.0:LG20:20992331:21001190:1 gene:TanjilG_01552 transcript:OIV90098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRKEEEKREKIIRGLMKLPPNRRCINCNSMGPQYVCTSFWTFVCVTCSGIHREFTHRVKSVSMAKFTSQEVDALQNGGNQSPTVQEDDLRRASSYHSFSQSPPYDNQYEDRRYGKQASSLTRKPGSDKVRYEGKMSNIIYSPGRFSDHAFDDRFANEGSGPRISDFSVSSAGDQFKSDVQSPTFHKDVGLSSPSYQGSGSNSIEDVWSQARNTVLGTNAKRDAGAMAFSSQSPFQKIRHLHKSGAMAVWQWKRHGGKGRTQCKWAFLVLVALFLKQAYSNSLHDINLCHFPQRTTSLQSANSNFSSLRSYNSVGVVDFFSEPVQASGSLHNKAAGAPHSSGPTRSVSMNLSKLPLASELFPSSTPSIDLFQLPGAPPQAPLANLFQSSVLSAAPSFNESQPMRTPQPSSIDFFADLGQQPSTETSAATSHVPSVDLFQSSVLSAAPSFNQNHPGQILQPSSIDFFEDHSQPPFTTNPTAPNEAPSVNLFQSSVLSAVSSFTEDQSTQTSQTPPIDFFADVSQKPSTVTSDEQSLELSVPKNEGWAVFDMPQSTSSTAQVGTPAAAPSSEGSSQERFDPFSNFNANMQLPSVSSPPSSATSNLWLDGVWNVEEKVPDIATDTQPWNAFESSGNHLPVDADNQFLGSRASEFGVSKEGGIQGIASFVGFDNHDIPPHVSASPNLSRTLPLVGDMQSNGIGSKSTNPFDYPYDSDVEHTNMFLDVSSLQASLPDALLPATFGGGIAEPWQHPQNMSTSCISSTDQGGLSFMSAQPLSSQIQKLLLFLCPIFSAATGSIFLSSSNKLPPFTTAITALYPLLQHHLIHGHSVTTMPLQPTATAHFFGSNIAVLIPPLQIKEDLVPPLLNEGQGQLMLVIGLRWVSRNFVEVGWW >OIV91239 pep chromosome:LupAngTanjil_v1.0:LG20:1927970:1930165:-1 gene:TanjilG_30461 transcript:OIV91239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELAGITRRPASNESPTLGQLLKHVGDVQKEASGDGRETPVHHALDISDGGDGNEPRAVPFVLSFSNLTYSVKIPWKLSFSALFPNRRRQLGAAAVAVEPTVGESMFTRTKTLLNDISGEARDGEIMAVLGASGSGKSTLIDALANRIAKGKLKGTLALNGEALGSRLLKVISAYVMQDDLLFPMLTVEETLTFAAEFRLPRTLSKSKKKARVQALIDQLGLRNAAKTIIGDEGHRGVSGGERRRVSIGTDIIHDPILLFLDEPTSGLDSTSAFMVVKVLQRIAQSGSIVIMSVHQPSYRILSLLDRMIFLSRGQTVYSGSPSQLPSFFAEFGHPIPDTENRTEFALDLIRDLEGSTGGTKTLVEFNNSWHSMKKLQHHDQNGMSLKEAISASISRGKLVSGATNTTTKTNPSSMVPSFANPFWIEMVTLSKRSFLNSKRMPELFGMRLGTVMVTGFILATMFWQLDNSPKGVQERLGFFAFAMSTTYYTTADALPVFLQERYIFMRETAYNAYRRSSYLVSHALVSLPALVFLSLAFASITFWAVGLDGGFSGFLFYFLIILASFWAGNSFVTFLSGVVPHVMLGYTIVVAILAYFLLFSGFFINRDRIPSYWIWFHYLSLVKYPYEGVLQNEFDNHYKCFVKGVQIFDNTPLGSVPNAIKLKLLESMSKTLGTSITSSTCLTTGADILQQNGVTELSKWNCLWLTVAWGFFFRFLFYVSLLVGSKNKRS >OIV90981 pep chromosome:LupAngTanjil_v1.0:LG20:3823635:3824930:-1 gene:TanjilG_16941 transcript:OIV90981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEERVRRRKKDGRKNSQIIFTGSLSGGGGGNGIAGLLVLGGAVAVAGYVAVSSLHSFITKRIKAKTKVSVTDPESKPQQLSLVDNCKSQYHNDDHENGVTPNNDGDAVSACYVSSDISINQALILENTDSDVNTNNEGVTPNYIHLHQETVLCDDFHPESVASSSNENEIEEECVAALPDNHGTQPQEDEPQQNLNFIQTYTKDDDEDGDDYIVLENEKQDDSSKTIEGTTLNSIDEKAEQDFKGEEGKTESDIQTQEAAETGIYASADATLYNGTNMAMNVTPEGTSNEKANFLDGLNYQPSLSHSFQLTTWLMPMLLQVLVLLLVLHTFINLLLPVTK >OIV91358 pep chromosome:LupAngTanjil_v1.0:LG20:1040941:1042571:-1 gene:TanjilG_01976 transcript:OIV91358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIETLALASLFLIVVTLLWLSATTKPCSTTTPIFPKVYPIIGSAFSIAANDHRRNQWISHILHNSPTATFVLHRPFGSRQIFTANPANVQHILKTHFHIYYKGPTLNSAVGDFLGNGIFNADGDKWKFQRQHSSHEFNTRSLRKFVETVVDDELSNRLIPILSHASKTQTTFHDFQDILQRFTFDNICRIAFGYDPEYLIPSLPETEFAKAFNDGTGISSQRLNAAVPLFWKIKKLLNIGSEKRLRVAISQVRDLATKIIREKKQELKEKNTLESVDLLSRFLSTSLSNEALVSDIIVSFILAGRDTTSAALTWFFWLLSKHPHVEKEVLKEIDEKSEASASAYDEVKDMVYTHGALCESMRLYPPVSTGRKEASNDDVLPDGTFVKKGTRVVYHTYAMGRSEKIWGPDWAEFRPERWLNRVQEDHKWSFQGVDPFTYPVFQAGPRVCLGKEMAFLQMKRMVAGIMRQFKVVPVVADGVEPEYTSTFTSLVKGGLSVRIEARSATKTHSS >OIV91134 pep chromosome:LupAngTanjil_v1.0:LG20:2744531:2745496:-1 gene:TanjilG_30356 transcript:OIV91134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHSNVWNSHPKNYGPGSRTCRVCGNPHGLIRKYGLNCCRQCFRSNAKEIGFIKYR >OIV91059 pep chromosome:LupAngTanjil_v1.0:LG20:4505852:4506739:-1 gene:TanjilG_17019 transcript:OIV91059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKLFILTLYNDQDGVSTAMQMKLDGTMLKEQHIDAVLLSIPAGQFHGEVSKTSHEGVTVSVVDNTHGNARCSNCTDHPEKATPFNSSSMGQTSNGFLSSRLPWASSLDNINKERSALSILTTGARA >OIV90633 pep chromosome:LupAngTanjil_v1.0:LG20:8321006:8322155:1 gene:TanjilG_01714 transcript:OIV90633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFREVMEGKMGWGIIEEEGWRKGPWIAEEDKLLIQYVEEHGEGRWNSVARIAGLKRNGKSCRLRWVNYLRPDLKRGQITPQEESIIIELHALWGNRWSTIARSLPGRTDNEIKNYWRTHFKKKTKTPSDAAEKAKTRLLKRQQFQQLQQQQQLKQHQLQQQQLQFNLDMKNIMNMFEENVHMVPSTSEETQEILNNIYPNTTPYEEGYFYSMLNGNASAPTSSNEETLWDGLWNFDDVLYNFSAASVPSKASMHNLVAPFC >OIV90349 pep chromosome:LupAngTanjil_v1.0:LG20:16356238:16363314:1 gene:TanjilG_21791 transcript:OIV90349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLQKIEGDESVLLRVTHSNLKTFIADIRFSFQLTVEAVKDKLWKKCGTSVNSMHLELYDDARNKLADLSDNSKLLAFYSPLDGFRLHVVDLDPSSVTSGGWLEDISLVEKYKISDEAYNKLEGTFRKYKEKLSSQIPSTVEAKIPDTYMEDLCANIKAGSRCEVEPGAKRGVVKFVGRAEALGPGFWVGVQYDEPLGKHDGMVKGVRYFECPPSHGGMVRPEKVKVGDYPERDLFEDDEI >OIV91290 pep chromosome:LupAngTanjil_v1.0:LG20:1550444:1552012:1 gene:TanjilG_01821 transcript:OIV91290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPLSLLKTAQGHPMLVELKNGETYNGHLVNCDTWMNIHLREVICTSKDGDRFWRMPECYIRGNTIKYLRVPDEVIDKVQEESKSRTDRKPPGVGRGRGRGGSQDGPGARPAKGIGRGVDEGGARGQGGSRGGRGGLGGRPGGNREFKEVPASEVWDRRCTQSYPSKGRNSSRSEPMASRSQDNRLCHCADAHPLIK >OIV90739 pep chromosome:LupAngTanjil_v1.0:LG20:6357250:6364546:1 gene:TanjilG_21870 transcript:OIV90739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGASNPFGQQNNSSSPFGSQPAFGQQNNSNSNPFAAKPFGSTSPFGSQTGGSMFGGGTSTGVFGAAQTSSPFSSNTTFGASSSPAFGSSAFGQKPAFGGFGSTPTQTSPFGSATQPSQPAFGNSVFGSSTPFGASTQPTFGSTGTSAFGATSNPAFGATSTPAFGAASAPAFGAASAPAFGAASTPAFGATSTPTFGSTPNPTFGSTGNAFGASTAPGFGGGGGFGAPSNPTFGSASTSAFGASSAPAFGASPSSTPAFSFGSSQAFGQSSSAFGSSSPFGSTTSAFGGQSSAFGSQTPTPAFGQSGFGGQRGGSRIANYTPTTEPDSGTSGQTAKLESISAMPNYKDKCHEELRWEDYQLGDKGGPNPSTQSTGLGGFNSSTTQTNTFGSSSAFGQSSSNPFSSSTPSNSNPFAPKSSAFPSGFGASAPSFSSSAFGSSTSATTTMFGSSSPSPFAANSSPSQSFGAQTSPFNSAPALSASQPFGSNLFSNTQSSQLFSSTPTLTSQPVSGFGQQNPSPFGQQTASFTQSSMFNTPSSGFGGSLFSTSAPLASSNLTGFGQTAPSLSTPFQPAQPAQSSSTFGFSNFGQTQPGSAPGMFGQNNFGFSSSTQSSVAVQSAPITNPFGTLPALPQMSIGRVGTTPSIQYGISSMPASDRPAAVRISSLLTSRHLSQRRNRIPVRKYHSKNDGPKVAFFSDDEDTPTTPKADALFIPRENPRALIIAPMEQWPARASSEKPSSFKDRHTPVNENGCSGTISKGATTPADGISPDNKERTTTVNGTVKEQVQPTTTKQIPNGNNGDYSPQKVDTYKTLSGHRAGEAAIVYEHGADVEALMPKLRRSDYYTLPRIHELAAKERAEPGFCSHVKDFVVGRQGYGSIQFLGETDVRGLDIESLVQFNNREVIVYMDDAKKPPVGQGLNKPAEVTLLNIKCFDKKSGQQYTEGPKIEKYKDMLKRKAEDQGAEFVSYDAAKGEWKIKVNHFSGYKLDEEDDEF >OIV90544 pep chromosome:LupAngTanjil_v1.0:LG20:10908140:10916619:-1 gene:TanjilG_32421 transcript:OIV90544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSQFLIKISALISSDSLRSSNNNLLKPILGLPELEVASICVNLTLVLLFLFVVSIKRIIVCKGRIRSRNNKDNNIGNDNNHNIVVEACDVQIGGVFKWSSLSCFYVLLVQVFVLGFDGVALIWGETNGKNKNHVDWSVLILPASQGLAWFVLSLSTLHCKFKVLEKFPFLLRIWWALSFIICLCTLYVDGKGFWVEGYNHLCSHVVANLAATPALAFLCIVAIRGVTGIEVICRNPDLHELLLGEEEPGCLKVTPYRDAGLFSLATLSWLNPLLSIGAKRPLELKDIPLVAPKDRAKASYKVLNSNWERLKAENPSKQPSLAWAILKSFWKEAAVNAIFAGLNTLVSYVGPYMISYFVDYLSGKETFPNEGYVLAGIFFVAKLVETLTTRQWYLGVDILGMHVRSALTAMVYRKGLRLSSSAKQSHTSGEIVNYMAVDVQRVGDYSWYLHDMWMLPMQIVLALLILYKNVGIASVATLIATIISIVVTIPVARVQEDYQDKLMAAKDERMRKTSECLRNMRILKLQAWEHRYRLQLEEMRGVEYKWLRKALYSQAFITFMFWSSPIFVSAVTFATSILLGGQLTAGGVLSALATFRILQEPLRNFPDLVSTMAQTKVSLDRLSSFLQDEELQGDATIISPQGTTDVAIEIKDGVFSWDPSLARPTLSGIHMKAERGMRVAVCGTVGSGKSSFLSCILGEIPKLSGEVRVCGSSAYVSQSAWIQSGNIEENILFGSPMDKVKYKNVLHACSLKKDLKLFSHGDHTIIGDRGINLSGGQKQRVQLARALYQDADIYLLDDPFSALDAHTGSELFREYVLTALADKTVVFVTHQVEFLPTADMILVLKEGHIIQAGKYDDLLQAGTDFNTLVSAHHEAIEAMDIPTHSSEDSDENVPLDISVMNCKNSISSANDIDSLAKEVQEGTLDQKAIKEKKNAKRSRKKQLVQEEERVRGRVSMKVYLSYMAAAYKGLLIPLIIIAQTLFQFLQIASNWWMAWANPQTEGDQPKVTPSVLLLVYMALAFGSSWFIFVRAVLVAAFGLSASQKLFFKMLRSIFHAPMSFFDSTPAGRILNRVSVDQSVVDLDIPFRLGGFASTTIQLIGIVAVMSEVTWQVLLLVVPMAVACLWMQKYYMSSSRELVRIVSIQKSPIINLFGESISGAATIRGFGQEKRFMKRNLYLLDAFARPFFCSLAAIEWLCLRMELLSTFVFAFCMVLLVSVPHGSIDPSMAGLAVTYGLNLNARLSRWILSFCKLENKIISIERIYQYSQIPSEAPAVIEDSRPPSSWPENGTIQIIDLKVRYKESLPLVLHGVSCTFPGGKKIGIVGRTGSGKSTLIQALFRLVEPSTGSILIDNINISGIGLHDLRSHLSIIPQDPTLFQGTIRGNLDPLEEHSDKEVWEALDKSQLGEIIREKEQKLDTPVLENGDNWSVGQRQLVSLGRALLKQSKILVLDEATASVDTATDNLIQKIIREEFRDCTVCTIAHRIPTVIDSDLVLVLSDGRVAEYDTPSRLLEEKSSMFLKLVTEYSSRSSGIPDF >OIV90787 pep chromosome:LupAngTanjil_v1.0:LG20:5896739:5901305:1 gene:TanjilG_15520 transcript:OIV90787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFLLPIKNRLTLISSFSFQSLSIRSHLRFVSTPPPRHRSSSSLSMASSETGLARKFWIKSTSQSIFALYTPFTICLASGNLNIDSFRRYIAQDLHFLRAFAHAYELAEDCADDDEAKLGISELRKAVLEELKMHNSLVQEWGLDLVKENSINSATVKYTEFLLATASGKIEGLKGAGKLATPFEKTKIAAYTLGAMTPCMKLYAFLGKKFQELLDSNESAHPYKKWIDNYASDGFQASALQTEDLLDKLSASLTGEELDVIEKLYYQAMKIEIDFFLAQPLFQPTLVPVTKGHKPAEDHLIIFSDFDLTCTVVDSSAILAEIAIVTAPKSDHNQPEDQIIRLSSSDLRNTWGFLSKQYTEEYEQCIESIMPANRLDHFDYEKLSIALEKLSKFENTANNRVIESGVLKGINLEDIKRAGERLILQDGCTNFFQKIVKNENLNANVHVLSYCWCGDLIRSAFSSAELNELNVHANEFTYDGSISTGEIIKKVESPIDKVQAFCNILKNCNNDKKKLTLYIGDSVGDLLCLLEADIGIVVGSSSSLRIVGAQFGDVAIQDMDGVILINGCAATRVSAI >OIV90716 pep chromosome:LupAngTanjil_v1.0:LG20:7041089:7042478:1 gene:TanjilG_15102 transcript:OIV90716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPLKIQPIDIDPRNLKDTVVVRNDAVKPVLKSRLRRLFVFDRQFQKATEKPAAGVEAPQSNKDVAAENTTEFEPSSVCLAKMVQSFMEESNEKQQPIAAVKCGRSRCNCFNGNSNDSSDEEIDFFGTGFGESISSGSFGDASDALKSLIPCASVSERNLLADTSKIVEKNSKVFKRKDELRKIVTESLLSLGYDSSICKSKWDKTLTYPAGEYEFVDVIVESERLIIDIDFRSEFEIARSTGTYKAILQSLPYIFVGKSDRLCQIVTVVSEAAKQSLKKKGMHVPPWRKAEYIMAKWLSNSCIRLNPPPMAASSTVDETMEKLNDVAEAESEGGELELIFGEKTMSPEPEAVSVEKSLPMWQPPAVKVKSVERGAKVVTGLAYLLKDKP >OIV91187 pep chromosome:LupAngTanjil_v1.0:LG20:2292948:2296382:1 gene:TanjilG_30409 transcript:OIV91187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRVKWYYYIILGIIDVEANFLVVKSYQFTSLTSVMLLDCWSIPCVILLTWVFLKTRYRFKKLTGVAVCIAGLVLVVFSDVHSGDRANGSNPLKGDLIIIAGATLYAVSNVSEEFLVKNADRVELMAMLGLFGAIFGAIQISIFERNQLKSIHWTSGAVLPFVGFSLALFTFYSLVPVLLKIHGSTLLNLSLLTSDMWAVLIRIFAYHEKVDWMYYVAFVAVVIGLIIYSGGDKDENHESSPSNRIRLDEETNSGINSKGTGTVSSKI >OIV90567 pep chromosome:LupAngTanjil_v1.0:LG20:9385899:9387664:1 gene:TanjilG_31641 transcript:OIV90567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAISHVTSVIFILSLGAWCCGQVELDAAPMEKTEQEALYSTIQGFVGNSWNGSDLYPDPCGWTPIQGVSCDLFNGFWYVTVLNIGPMHDNSLMCAQNLEFRPQLFELKYLKSLSFFKCFQSQKRFPITIPTENWEKLAGTLELLEFRSNPGLIGNIPSSFSVLKNLQSLVLLENSLTGEIPPSIGNLIKLKRLVLSENYFIGCIPDIFSGMSELLILDLSRNLLSGTLPFSLGSLTSVLKLDLSHNLLQGNVLNELSNLKYLTLMDLRDNKFSGGLALSFQEMYSLEEMILSNNPLLGGDIMTLKWENLQNLVILELSNIGLKGEIPESLSELKRLRFLGLSDNYLTGNLSPKLETLPCLNALYLSGNNLTGEIKFSNEFYGKMGRRLSIPYPPLGFLAMLLMAFGGVL >OIV90027 pep chromosome:LupAngTanjil_v1.0:LG20:21866604:21871675:1 gene:TanjilG_23947 transcript:OIV90027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGICNGKPLETKQITIVENNTDKDVLPSSNKTKSASKFPFYSPSPLPSWFKNSPANSNSNSNSNNNSVSSTPTPLRIFKRPFPPPSPAKHIRALLARRHGSVKPNEASIPEGSECEVVGLDKSFGFLKQFVVNYELSEEVGRGHFGYTCSAIGKKGTLKGLDVAVKIIPKSKLTTAIAIEDVRREVKILRALTGHKNLVQFHEAYEDDDNVFIVMELCKGGELLDRILSRGGKYPEEDAKVVIIQILSAVAFCHLQGVVHRDLKPENFLFTSKDESSTLLKVIDFGLSDYVKPDERLNDIVGSAYYVAPEVLHRSYGTEADMWSIGVIAYILLCGSRPFWARTESGIFRAVLKAEPSFDEAPWPSLSADAIDFVKRLLNKDYRKRLTAAQALSHPWLVNHRNDMKIPFDIIVHKLVKAYICSSSLRKSALGALAKTLTVAQLAYLRDQFTMLGPNKSGFISMQNFKTAVWRSSTDASNDSRVLDYVNSVSSIQYRQLDFEEFCAAAISVHQLEGLESWEQHARRAYELFDKDGNRPIMIEELASELGLSPSVPVHVVLQDWISHPDGKLSFMGFVRLLHGISSRTFQKPSQKTHG >OIV90455 pep chromosome:LupAngTanjil_v1.0:LG20:12967967:12969537:-1 gene:TanjilG_01933 transcript:OIV90455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METQTVYESQFPVIDFTTEKMKPGNDEWFQACKVVRRGFEDHGVFLARFDKVGPELLNTIFLSMQELFCLPFETKSRKTSDKPNHGYSGQFSASPLFESFAVDNPSNIEDCQKFTQIMWPQGNNRFCESVNTYGKLLLELDQTVKKMVFDSYGLDGEKCESFLESTNYVFRSYKYRIPEMDESNIGVNPHTDSTLITILHQQTNGLEIRLKNGEWINIDASPSLFCILAGDALVVWSSERIRACEHRVILKSKVIRYSLGLLSYSAKMVQTLEDLVDEEHPIRYKPFDHYGYLGFRFTEEALKYTNRIKAYCGI >OIV90291 pep chromosome:LupAngTanjil_v1.0:LG20:17378839:17381083:1 gene:TanjilG_13146 transcript:OIV90291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSENALRDLNTIPATERKSESSSMACLTKPSVDNADENSEDPQNKNNGSSRVSPPINGNQAVTINSGIETGNGIAEIEYIESEDLNDLEDIDTCLKNLLAGLDSKDWIVVCDALNNVRCVSLFHKEALLDLLGDVITLVAKSLKNPRSALCKTAIMTSADIFCAYNDLIIDSLDPLLVQLLLKASQDKRFVCEAAEKALVAMTTWISPMLLLPKLQPNLKHKNPRIRAKASMCFSRSVPRLGAEGIKTYGIDKLIQVAASQLSDQLPESREAARTLLIELQNVYEKIHEPIPSTTVSEHQEMGSWENFCHSKLSPLSAQAVLRVTSIPREGLVS >OIV90398 pep chromosome:LupAngTanjil_v1.0:LG20:14618868:14620002:1 gene:TanjilG_10698 transcript:OIV90398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKLQSEALREAISQIVTNSKEKKRNFNETIELQIGLKNYDPQKDKRFSGYVKLPHILRPKMKICMLGDAQHVEEAEKLGLDYMDVKALKKLNKNKKLVKKLAKKYHVFLASEAIIKQIPRLLGPSLNKADK >OIV90461 pep chromosome:LupAngTanjil_v1.0:LG20:11824247:11826890:1 gene:TanjilG_07408 transcript:OIV90461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYDNLKKPDEERKPLPVDEDLPGMGQYYCLHCDRYFANVTVRDEHFKTKRHKKRIKVMMGPAPHTQLDADLAAGMGMPDNGPKLMSM >OIV90568 pep chromosome:LupAngTanjil_v1.0:LG20:9322905:9327445:-1 gene:TanjilG_31642 transcript:OIV90568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSDNASRSSSSAADSYIGCLISLTSKSEIRYEGVLYNINTDESSIGLRNVRSFGTEGRKKDGPQIPPGDKVYEYILFRGTDIKDLQVKSSPPVHPTPPVNTDPAIIQSQYSRPVTTTSSLPAVSGPLTGHGSHDAQLGLHGSNFQAPLSLYQPGGSWGASLPAPNANGGGLAMPMYWQGYYGAPNGLPQLQQQSLLRPPHGLSMPSPMQQPMQFSNFNPSLPTGPSHLPDIPASLLPVSTSSPSVSTPVPPSNLPLTLPPAPSVTLAPEALPVSVPNKAPTGSLSAVSVSSNLPLLTSFSNGSPDIGAVVPSVNKPNAISGTSLPYQTVSQLTPATIGSSNSINTETPAPSLVTPGQLLQSGPALLSSQPLQTPHRDVEVIQVSSRLPSEASVLVSAETQPPILPLPENSRPKHRPGGAPAQTHHGYSYRGRGRGRGAGGMRPVARFTEDFDFMAMNEKFNKDEVWGHLGKSQNSKDRDGEEIASDEDGSQDDGDGYVLKLDAKPVYNKDDFFDSLSSNALDRDSQNGRIRYSEQIKMDTETFGEFSRHRGGRGPWRGGRARGGYYGRGYGYGGRGRGRGGRG >OIV90426 pep chromosome:LupAngTanjil_v1.0:LG20:13249262:13254257:-1 gene:TanjilG_25030 transcript:OIV90426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTYGSQWKMFLPMLLILSLFSTVGSSTRHHHRSAIRCSLAHDAVSGREIRMREGAPFKIALFADLHFGENAWTDWGPLQDVKSIMVMNTFLHHETPDFVVYLGDVITANNIMVANASLYWDQATSPARNRGIPWASVFGNHDDAPFKWPLEWFSAPGIPEIQCPPTTTTYAGMIQEENGTDDLHSRVGYQVTIKQGEECSFRGTGRLELMQNEMKKSGSFSSNGPLNLSPSVSNYVIQVSSPGDLQSPVAFLYFLDSGGGSYPEVISSQQAEWFMQKAEEINSDSRVPEIIFWHIPSTAYKVVAPKSSIQKPCVGSINKESVAAQEAEMGIMDLLSNRTSVKAIFVGHNHGLDWCCPYKKLWLCYARHTGYGGYGDWPRGSRILEITQQPFSLRSWIRMEDGIVHSEIVLS >OIV90345 pep chromosome:LupAngTanjil_v1.0:LG20:16216469:16217434:1 gene:TanjilG_21787 transcript:OIV90345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEKASIDDVMKVLLASRKQEMHQLWTTCSHLVAKSGLPPEVIAKHLPMDVVAKIEELRFKSSLARRSLTPLHHHHHHHDTAAEIEDQKIMRMRRALDSSDVELVKLMVMGEGLNLDEALALNYAVENCSREVVKALLELGAADVNYPARPSGKTSLHVAAEMVSPDMVAVLLDHHADPNVRTVDGVTPLDILRTLTSDFLFKGALPGLTHIEPNKLRLCLELVQSAVLVLSREEGNANPASTTAIYPPMSEDTNSSGSNNNIANLNLDSRLVYLNLGATHIGVGNDGDSNDHNDHNMGHESQSGGCDTTMYHRHHSHDDY >OIV91273 pep chromosome:LupAngTanjil_v1.0:LG20:1658998:1660358:-1 gene:TanjilG_01804 transcript:OIV91273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMFLTTTQIAGPLSLGRRKKQGSKPELPILDSVPASKIGAIYLSAASSPLSKYSEEKKVEPKQSEIIPVSGDIRIQDIKLVNRKVQPNKDDAEYVAANPIGTKHEGDKNSSTKVVPIPNVYGTGGFITSAVGSMDFNSSDISNDNDESDGEETGSMVSETRVPVGSYHVKESFVPILMSIFNKHGDIGASCHLESVVMRSYYIECVCFVVQELQSTSIVHLTKSNVKELLAILKDVESAQLNVAWLRSILDRIAENIDFINQHQAAEVAKANYDQETEQLRKVLDSELESLAQKEQEVTDTKTRIEEIKERLDQLALKTSDLDKNMLSIKSKVDNLDSKSILNELL >OIV91165 pep chromosome:LupAngTanjil_v1.0:LG20:2491175:2497295:-1 gene:TanjilG_30387 transcript:OIV91165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKGSSESPVTIPIRRQWSGDSSQLLHSRTNPNPIVNGHSRSSSLSGISTVKRTQNLAAKAAAQRLAQVMASQTAADDDDDDDDDLDFRYTAPPPLSLSSHRSQPSANLARNVVEEAPMLQSTYLRPPPPPLAVATNSNINKPPLTVRTTPIESSLHLHNNNKTKDRRVPFDTGLLQPKDSIDQRESSTLRDEVEMRQEENESLLDKLRLEEERCKEAEARVRELEKQVASLGEGVSLEAKLLSRKEAALRQREATLKNAKDSKDEIDKEITSLQAELENAKDETAAAVRQLNGAESEMKALRSMTQRMVLTQKEMEEVVLKRCWLARYWGLAAKYSDDPPEKRSKLVPDLNDLTGEGNIESMLSVEMGLKELASLKVEDALVQALSQQRRPSSTRQSVSDLSPEESEDVLFKEAWLTYFWRRAKVHGIEEDIAKERLQFWIGRSRHSPTSHDAVDAEHGLLELRKLGIEHRLWETSRKVDCLT >OIV90636 pep chromosome:LupAngTanjil_v1.0:LG20:8266045:8266824:1 gene:TanjilG_01717 transcript:OIV90636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLRKSLFLLFILILFTNLWPPKLAESGSDYTTLVYKGCSKNAFTDPSGVYSQALSSLFGSLVSQSTKVKFFKATSGTGQTTITGLFQCRGDLTNTDCYNCVSKLPVLSEKLCGKTIASRVQLLGCYMLYEVAGFEQISGMQMLFKSCGGKNGNGRGFEEKRDTAFSVMENGVVNGHGFYATSYQSLYVMGQCEGDVGDSDCGECVKNAVQKAEVECGSSVSGQVYLHKCFISYSYYSNGVPRRHPSFGSSSPSSGIH >OIV91452 pep chromosome:LupAngTanjil_v1.0:LG20:305715:307688:1 gene:TanjilG_02070 transcript:OIV91452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPPPQQPHKTYKLTATSISYTKSTSTNTSLINNIAPLFLFKHCTTTPPSYILKDVSLTAYPSQILAIVGPSGAGKSTLLDILSARTLPSTGTLSLNSSPLNPSTFRKLSAYVPQHDACLPLLTVSETFTFSACLLKPYKTCDISIIVSSLLSELRLTHLANTRLGGERRRVSIGLSLLHDPAVLLLDEPTSGLDSTSAFKVMQILKSTCVSRHRTIILSIHQPSFKILSCIDRILLLSKGTVVHHGSLASLQSFLHSNGFIVPHQLNALEYAMEILNQLNELKPITPPSIPDSPESSTTSLSDHNSGTKTIITTNTMREIRYKSSRIHEICTLYSRFWKIIFRTRQLLLTNTLEALLVGLVLGTIYINIGYDKEGIEKRFGLFAFTLTFLLSSTTETLPIFINERPILLRETSSGVYRLSSHLIANTLVFLPYLLVVAVIYSIPVYFLVGLCASWLSFSYFVLVIWVIVLMANSFVLFLSSLAPNYIAGTSLLTMLLAAFFLFSGYFISKDSLPKYWLFMHFLSMYKYALDALLINEYSCLVTRCFMWYQENNQLCMVTGAEVLQNRGLSVGDRWSNVYFLIGFFVLYRVLCFLVLLRRVSRSKR >OIV90559 pep chromosome:LupAngTanjil_v1.0:LG20:9628887:9629672:1 gene:TanjilG_10653 transcript:OIV90559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHEFSIVDGFVEISECMADMLKYLANEPSTGLFFIQHHAQNSVPNLIKVKRNLAEKSHETTLHREDMEDSIIMVRSMQKCVIPITDEMIGEIKKSLVTMTTKQPKGGLIHQLASSSQTERTRFWRNTAFYAQGGNEKRSNYFSSVLKSAKQKASSFKWPQLDAKGSIDSMGEKPQMYPNFPVSVTSASISSSLQGTELDELPVSIQVEDEFQHEQIDTNDIANKLLLISENYDDFKANKEAKLEECLEGASNLDANRGMSD >OIV90423 pep chromosome:LupAngTanjil_v1.0:LG20:13400406:13403146:1 gene:TanjilG_19914 transcript:OIV90423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMMSSNLLLYFSLTLCLLFVFSNATDIHYCDKSADYNVEVKGVEISPNPVARGQPATFSIAATTGQALSGGKLVIDVSFFGWHIHSETHDLCGETSCPVSVGDFVIFHSQVLPGYAPPGSYSLKMKLYDGNKHELTCINFGFDIGFGSGSSVADI >OIV90252 pep chromosome:LupAngTanjil_v1.0:LG20:18739050:18739745:-1 gene:TanjilG_11980 transcript:OIV90252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYFHSSISLCNKSVDQMANSICSSDLVSKSRNRKTQTCSSSLSNSLQIPPCDRSRSALIDVVIFIAVIFAIGFLFFPSIEVLIIGSIKIGKLVFCVMKEEFELAPTIYICIGFSVTCAALATWGVVVYTTRNCGNPNCKGLKKAAEFDIQLETEDCVKNSSNSMAKDGAGVVKKGLFELPLDHHRELEAELKKMAPPNGRAVLVLRARCGCSVGRLEVPGPRKHSRKIKK >OIV91131 pep chromosome:LupAngTanjil_v1.0:LG20:2757569:2761070:-1 gene:TanjilG_30353 transcript:OIV91131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLCCNDVDAVLHSPIVEADSLSSNSKIRKSKLTKESGQSSSSALTKLTSQIKKPPRRKTSPVNWFPRKKVGSYLERKIKMLQEVDGMTLTLDQTLGSSNPHYSRVLREKMAARDAAHNAIEAQRAALVEACWCRILRAARIPSNEAEAQLLKAEKNAAEAFEAAQAMGVVMFDLPNSPRKHCQVEASSVNGEGHSTHTVTASFETAFEVDREVAAAVKTAFVRLANCPSFNKDEFKELLRKISENPDADESDQGLSEFSSEHESQFEYELNSVSEDYNYQDLDSKMPLMGVSQMKSRRDNRVKLVDMMVERLKCLQEDELSSLATIVATYGLNAALAEVQNIKLHNIGSATEHTSSITFPSRRMSTLGLGKAALDGKMRKKQVEPELPSLDKFLVKHVTKLEREVCEAKKNRSNETEPGRDSSRKSMDGIASDAIPDLGSILVKKNYTKLGKDTHEAKIKSVKETAGVPRGMPNRQKDHTEVPSLDKLLVKHVSRLEKEIQEAKNRAINERNTSLKKKVADTPGELNSTFYSDEALDEKENINLYKEIDTTSKVSDGSTDDNEENKDGLEKILKKPVHRLEREKMQAMSLGSHGENYRQQKNHGAKDGTDCESLDKILVKHVSRLEKEKMRIKSSEGRDEFKRSHRNITLETNEGGGLDQVLVKHKSRLEREKMAAAQQSENPVSHSTSRREARERELQNVWGGLSLGNIHSDTNEGGGLDQVLVKHKSRLEQEKMAAAAQQSENPVRQFMSRREAREKEMQEAWGGLSLGNSMKVSLSKLEREKAAWTKAEEEERRQQAMKAI >OIV90924 pep chromosome:LupAngTanjil_v1.0:LG20:3309957:3311821:-1 gene:TanjilG_16884 transcript:OIV90924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLEMANKSSKKAIHQVIENVVKGERRERHGNCFNWMDVVAGCRKKKNGGKEGKEECGKVYECRFCSLKFCKSQALGGHMNRHRQERETETLNHARQLVFRTDHTFSAQTAPHLGCCKPIGAAGTGGGYHPSGNMGDPTVPPLRLPRYFSASSSSTHIPPPPPPPAQYLYASPSRPPVSFPSHFPPQHAVNDYYVGHVLNPIHHNYMSVAGSGGGESDSYTCIGAPVGQGFSGGGGGKDGTLQNQEEGLNWGRSYSGAAAGSGINRFQDGF >OIV90665 pep chromosome:LupAngTanjil_v1.0:LG20:7629543:7638331:1 gene:TanjilG_23778 transcript:OIV90665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSSTRLDSAVFQLTPTRTRFDLVITVKGKKDKIASGLLDPFLSHLNFAKDQMAKGGYSIVLEVEGGSDASWFCKGTVERFVRFVNTPEILERVYTIESEILQIEEAIAIQGNNSIGVSSLEENDVKHVENTEGTLEGTSRKSVNDTNEEKAIVLYKPSAEPPEANGSTTSEGNSKVHLLKVLETRKSVLQKEQGMAFARAVAAGFDIDHIAALMSFSECFGASRLRDACKKFRDLWKRKHETGQWLEIEAADVMSNNASGFILPNMSPAPHTEYNSENNGKSSSDVPPMDHQPPAGHQDNIQGQFPHHMYPPPWPVHSPPGAIPVYQSYPVQGIPYYQSYPGNGPFIQPSYPPMEDPRQIAGQYVGHRRHSMDSRQNNTESETWNVEASKSRSQDEVDMEREGSQTGSRRKKASRSGRQNSGMVVIRNINYITKTENSSGSGSYSDSASETDEVKDNQESVRSSKRSEPRKESSNDKEEIDHEKDAEGGHWEAFQNCLLRDVDEDRHAIDQDHFDAGKVDQMKRKKQVAGNHPLVFSERDMHEVQGSGTMDMHSIGKGPSRVRKATNNDLLLSVREGHSGDGRSVDDVQSLEANRRRGGYRGAANDDFITPKQESQFGSSYHSSDMETVSGIGYSNNKLERKLFHDMNDDSYIVDNRSSQVSDAGNVERKAIDMDSEFPMVHQKEEKSYNEKNHTNYHPDELSMMPERGAEWGSMSYDPALDYKTQAQAGAPEDKNKEALADTKPGSKKMDKEPKSKITPNSSDKRKSIGPIRRGKTSKPSPLDEARARADKLRNYKADLQKMKKEKEEEAIKRIEALKMERQKRIAAKSGTISAQSPAPSQLAKKQLPTKLSPSSYKGSKFSDSEPGPSSPLQRFSIKTASVGSNDSSKMSKTSRLNTGSHLTENKLSRSVSSLPESKQEKVDRSTDTKASMARIRRLSEPKMSTTRQTPSVKPYSTRTLSKTKSADGPGIKKISAIVNYDKSKTAALPELKVRSSKASDSVLNKSPVKGKTQKLNGNKSGLNSEGTMPKKSEMKSSSNDDGDDNPVVDKTVVMLEREKPHAPAIHNSEEKIRIPKKRDSDIVVEKSDTASNYVPIRAPVLPLSMDIIDKVTTENQSHMQLISTAVKIGNTEKEPSKSSSISIAEETYRPPYARVSSLEDPSTRNTEYGKAVPTILDNAAIGMETVKAHVSDIRNSTLEKIPETIDKPQIKETSKGFRRLLKFGKKSSLATAGHGMESDNVSVDGSEAADEIGTNSSSSEVHTLKNLISQDETPTASTTQQKSSRAFSLLSPFRSKK >OIV90418 pep chromosome:LupAngTanjil_v1.0:LG20:13813615:13814850:-1 gene:TanjilG_10904 transcript:OIV90418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEIEVPQYFICPISLQIMKDPVTATTGITYDRDSIEQWLYSNQNTTCPYTKQPLTRDSDLTPNHTLRRLIQAWCTQNASLGIDPIPTPKSPLNKVQVLRLLKEPKLKLKSLRQLELFAAENERNKKCLLEAGVPKAMILFIVNCFRKGEIDEGIEEALSLLQFVKVPAQDVKLLVEENDQIFDSLTWVLACDGMENSVSVKSHAVLVLKRIIQKSDPCVMERLKPEFFEMIVRILRNGIITQHGLNAALHVLLRACPWGRNRVMMVESGAVFELIEIELNTPEKRITELTLAILFHLCCCADGRAQFLSHKGSIAMLTERILKVSVTVDDRAIFTLSLISKFSVTQMVLQEMLQVGTVSKLCMLLQADHAKYLKDKALEILKSHSEVWKNSPCFPDRSFYASLHMGINAV >OIV90675 pep chromosome:LupAngTanjil_v1.0:LG20:7777682:7793233:1 gene:TanjilG_23788 transcript:OIV90675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDYVENEKQVSQWKSALTEAANISGWDSCSSEYKNDSELIKKIVKDVEEKRNIVSLYELGGEIEDLVGIDKLCEDVKFLLAKEQKTNWLLKHGQVIGIWGMAGIGKTTIAKAVFCQLFPQYDSVCFLSNVREESKRLGLADLHDRLLCELLKDENPKSTFIKRKLSSKKVFIVLDDVDSADQIEELCRECKYAGPDSKLIITTRNKHLLAARIDEDSIYEVKTWSFDKSMELFRLHVFKGKYHQKGYEDLSKRVVEYAGGLPLALKVLGSNLHSRTAEFWDSELRKLITDPNDKIQNVLQVSYDGLNRLEKKIFLDIAFFFKDEREDFALRVLNACGFHATSGMKVLEDKALITISNRKRIQIHDLQQEMGLKIVRQDIEDPGKRSRLRDIEEVSDVLENKKGSDAIEGIKLDLSEIDDFHLNADTFNMMTNLRFLILYVPLGKRSGNVEYPRVFNKFPAKLRYLEWHGYHLNSLPPTFNAKMLVEIRMPCSNVVELWWGVKDVPNLVRIDLTECKQLKNLPDLCKATKLQWVNLSGCESLQDVHPSILSLDTLETLIVDNCKKLKCLKGEKHLKSLRTISVDGCTNLKEFAVSSDSMTKLDLRNTGIEKLNSSIGRLTRLTWLNLEGLRLRNLPNELSYVQHLKELRISSCRLVIDRQKLHVLFDGLRTLQKLHLKDCCNLLELPDNINNLSHLYELRLDGSSVKMLPESIRHLKNLEILSLKGCMKLQSLPELPLIIKEFNAVNCGSLVTVSTLNTFGKHMIGKDKFISFQNCKKLDGSSLCRIMEGAHLTIMKAVTENIYVRTYGLKVHSYNYTSIKVCFPGSKVPEQFTLQTRDSSIPIKFPSDSKYLGIVLCAVLSPFEGMKKNGAKIYCQCYLADGRKLGYASAWHHKAIPDLNSDHVFMWNLLKSSSYLANLSFWRHQRNDSQVIKKIVNDVLKKLFLRYPTELKVKGLVGIDKMCEDIQFLLSKNKPNQSPKNVEAIGIWGMGGIGKTTIARDVFSKLFPLYDSVCFLENIRELSKKDQPSKLRALSNTLLSELLKEESPKFNPSGSTFIARRLSSKKVFIVLDDVDSSNQLDMLLEVCEYAAPGSKLIITTRNTKLLDGRVDKDDIYDAKPWSFDESLELFCLHAFKLRHPQEGYENLSKLAVKYAGGVPLALKVLGSNLCSRSTDFWDSELNKVKNYPYDPIENVLRVSYDGLNPLEKEIFLDIAFFFKDENKDFVKRILNACGFSATSGIVVLEEKALITIPNGEKIQMHDLLQDGGLNLVRQHIKNPRRRSRLRDIEEVSDVLQIKKGSDAFEVEGISLDLSHIDDLLSLSANTFDMMTKLRFLKLFIPSDKISGKVIYPRLFNKIPNKLRCLEWHKYPFKSLPATFSAKMLVEIRLPHSHVAELWQGVKDVVNLEVIDLSECKQLKNLPDLSKALRLKWLNLTSCESLLAVHPSVLSLDTLETLILDDCKKLKNLICKKHLRCLKEISVNGCTSLKEFSVSSDEIKKLDLSKTRIEKLYSSIGYLRKLSSLNLECSRLKDLPNELSSLGSLMDLRISNCRLVLNKQKLHVLFDGLRNLRELYFKNCCNLTELPANISGLSQLYKLRLDGSSVEILPSSIKDLGNLEILSLKNCVKLCRLPELPPCVKELNVINCRSLVTVSTLKTFAVAMKGKEKYFSFQNCVQRDAHTLHSIMEGSHLSMKSSVFQNVFVRKLGVEKHGYNYNFMKVSLPGSRIPECFTYRTTQSSFHVYLPSNSNLLGLVLCAVLSPSPMIKKHGAKIFCQFYLDGKKLGYATRWYHKAVRELNYDHVYMWYDPLHFDSIVRNHEQYLSVEFYVTDDPGNRDAMKICTKECGIHFIFDSDLNSFIQELDMDIRSKQSLALELSKELDIELEPELLLEFQPQD >OIV91094 pep chromosome:LupAngTanjil_v1.0:LG20:3031692:3032495:1 gene:TanjilG_30316 transcript:OIV91094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIPYPHFIASEKAAMEAGLILPSSPSQPSIVLTQDDLKKIAAYKAVEYVESGMVLGLGTGSTAKHAVARIGELLQQGKLKDIIGIPTSKMTHEQALSAGIPLSDLDSHPVVDLAIDGADEVDPYLNLVKGRGGSLLREKMVEGACKKFVVIVDESKLVNYVGGSGLAMPVEVIQFCWKFTASKLQKLFEESGCEAKLRTFGEKKEPFVTDNGNYIIDLYFKESMGDLKVASDAILNLAGVVEHGMFLDMATTVIIAGELGLTVKNK >OIV91107 pep chromosome:LupAngTanjil_v1.0:LG20:2934065:2936557:1 gene:TanjilG_30329 transcript:OIV91107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMPLTQTSASLSVTLRDACVFTAAKSPVTARFPQSTLVPTRSSFVAGSSLLIRRSHERKPACKAMPVSVRSEQSSQEGNGLDVWLGRLAMIGFAAALTVEVATGKGLLENFGVISPLPTVALGVTALVGVLTAIFIFQSASKN >OIV90244 pep chromosome:LupAngTanjil_v1.0:LG20:18993392:18994084:-1 gene:TanjilG_11972 transcript:OIV90244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNPKRRLEKRSKVELEMGSDEIPDLTKKVPANVLSHILTFLPLDEAIRSGILSKKWKDLWRNTTHIELNEKKLIKPLSQLLISRKFVPTKDVTKGANRYALLVYRIMFHHYGDLPSFRILHLWKSLLLGEVQSWVEYVLKTREGVQKLSLECELDNGEMGEWFLFKDDIPKLNFSKGIFQSLGSLEMINYNINCSNAFVGCKNLKTLKLEKINLADRIINDILNNCVVL >OIV90110 pep chromosome:LupAngTanjil_v1.0:LG20:20871208:20875377:-1 gene:TanjilG_01564 transcript:OIV90110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFNLVLTFIAAILCVCFAPTAAGPGIPEIKAYLNGVDTPNMYGANVLFVKIIGSIGAVSAGLDLGKEGPLVHIGSCIASLLGQGGPDNYRIKWRWLRYFNNDRDRRDLITSGASSGVCAAFRAPVGGVLFALEEVATWWRSALLWRTFFSTAVVVVVLRAFIELCHEGKCGLFGAGGLIMFDVSNVSVSYNVMGMIPVVIIGVIGGLLGSLYNHLLHKVLRLYNLINQKGKMYKLLLSLAVSLFTSVCQYGLPFVGNCTPCDPSLPESVCPTNGRSGNYKQFNCPKGHYNDLATLLLTTNDDAVKNIFSTNTPLEYQPTSIIIFFILYCILGLITFGIAVPSGLFLPIILMGSGYGRLLGIIMGPHTNIDHGLLAVLGAASLMAGSMRMTVSLCVIFLELTNNLLLLPITMIVLLIAKTVGDSFNPSIYEIILHLKGLPFMDANPEPWMRNLTVGDLVDVKPPVVTLSGVEKVSNIVDALKNTTHNGFPVMDERVVPPTGVASEATELHGLILRAHLIQVLKKKWFLKERRRTEEWEVREKFTWVELAEREGNIEEVAVTRDEMEMFVDLHPLTNTTPFTVLESMSVAKAMLLFRQVGLRHMLVVPKYQATGVSPVIGILTRQDLLAHNILSVFPHLAKPKGREKKN >OIV91114 pep chromosome:LupAngTanjil_v1.0:LG20:2894250:2895698:-1 gene:TanjilG_30336 transcript:OIV91114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFSEDKNKEEVRVLLVAFSAQGHINPLLRLGKNLLSKGLHVTLATTELVYHRVFKPTTTTTGDDDTTVPTSITTDGIEVIFFSDGLQTDEDRKGGLDKYMEVIGKFGPINLSNIIKNHFVGGSKKLACIINNPFIPWVADVAADFNIPCACLWIQPCALFAIYYRFYNNLNNFPTLTDPDTSVELPGLPLLQTEDLPTFVLPSNPFGTFPKLLSDMLQDMKKYKWVFTNSFYELEKDVIDSMSEIFPLMTVGPLVPSSLLGEDENSDVGIEMWKPQDSCMEWLNHKPDSSVIYISFGSLTVLSSTQKESIANALKKTKHPFLWVIKEGTEEELPLPKKFMEETKDQGMVIPWCPQTKVLAHPAVACFLTHCGWNSTLEAITAGTPMIGYPKWTDQPTNAKLITDVFHTGVRLKQDSDGFVTTEEVEKAIEQVLEGRSSEEFKKNAAELKRAAREAVAEGGSSDRNIQYFVDEILGNSTIHH >OIV90195 pep chromosome:LupAngTanjil_v1.0:LG20:19875832:19885197:-1 gene:TanjilG_01391 transcript:OIV90195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDLTDYKIIKEGEAEILMHAKNEVFYNKAQVNNRDISIAVLRAFISKRKEEHEALLSKRRKGAHKVPENNSSESVTEEEVSQKTAPEDHKSNGEHKVEEEISPEEGEPFSTTEGSVKTTEEFNATEEKINPTEVKVKRELKPPRVLEALSASGLRALRYAREVEGIGQVVALDNDSASVEACRRNIKFNGSVAVSKVESHLADARVYMLTHPNEFDMVDLDPYGSPSVFLDSAVQSVVDGGMLMCTATDMAVLCGGNGESHANRYKRYIVPVLSVQMDFYVRVFVRIYTSASAMKNTPLKLSYVYQCTGCDSFHLQPIGRSISKVCILPSYCTETSSVEACRRNIKFNGSVAVSKVESHLADARVYMLTHPNEFDMVDLDPYGSPSVFLDSAVQSVVDGGMLMCTATDMAVLCGGNGEVCYSKYGSYPLRGKYCHEMALRILLASIESHANRYKRYIVPVLSVQMDFYVRVFVRIYTSASAMKNTPLKLSYVYQCTGCDSFHLQPIGRSISKNTSVRYLPGYGPVVPQECTDCGKKFNMGGPIWSAPIHDQEWVASMLADVKSTKARYPAYERISAVLTTISEELPDVPLFLSLHNLCATLKCTSPSAIMFRSAVINAGYRISGTHVNPLGLKSDAPMDVIWDIMRCWVKTHPVKAQTEDLPGSVILAKEPVLQANFTRAVASLSKAQAKKVARFLPNPERHWGPKLRAGRTITSKHVSLLGEAAVNGVLNREDNEEPKSKKPKTDDSITS >OIV91492 pep chromosome:LupAngTanjil_v1.0:LG20:66840:74111:1 gene:TanjilG_26461 transcript:OIV91492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQQVVESDEMEPQSEEFSEKSEQKKVKIDALESKIEEEGVTLDVSGKSLEFPSSSENKKDSAVESLYLYKNVYSLIPKSVGEHVRLKTLKFFGNEINLFAPGFGDLNGLECLQMKISSPGIGGLPLHKMKGLKELQLSKTPPRPSAFPILTHIATLKRLTKLSICHFSIRFLPPEIGCLKNLEYLDLSFNKIKRLPEEVTFLSGLISMKVANNKLVELPSAMASLSMLESLDLSNNRLTSLGSIELCSMHRLRNLNLQYNKLLSVFQIPSWICCNMEGNDGGGCNEDFSSYVEMDVYENSSQENDIHGPHNTSSSLLTSSSSSSRCFAARKSGKRWKRQYYLQQKARQACLNNSRKWKGVDHDQLLSKKIHRISEPENADSLASESCREAVSDKANLDENKKRIFSEESVSNNLIDVVNDDEVIIEKQFCAESCCTTERKNEKDTSLCSLENIPTELDEASCSEIQKCISKSKRHSDRDIDNPKPCKSRKPIDNSSLLSSQYSKMSFCGIEDHLSDGFYDAGRDRPFMPLENYERKQCFDSREVILLDRKKDEVLDAVLLSARALVYNLKNQAAVYNLQAASLLALFVSDHFGGSDRGAIVEMTRKAVSGSNYNKPFVCTCSAGSSTDISASDKPVVNTTEDITLPMISEKSLHSIKKRRSSIVVPIGSVQFGVCRHRALLLKYLCDHMEPPVPCELVRGYLDFSPHAWNIILIKRDGIWVRMLVDACRPHDIREEKDPEYFCRYIPLSRTEISLSCRGTPGPDHSFPSLSSCNELEKKTSTTLVQCKLGPIEAAAKVRTLEVQASSSEKIKNFEYNCLGEVRILGALKHPCIVEMYGHQISCQWTLSSDGNPEHRVLRSSIFMEYVEGGSLKNYLNKLSEAGEKHVPVDLVFCIAKDVACALLELHSKHIIHRDIKSENILFDLAKRDDGIPTVKLCDFDSAVPLRSPLHACCIAHVGTPPPCVCVGTPRWMAPEVMRTMHEKNTYGLEADIWSFGCLLLEMLTLQIPYSGISDSDVHDSLQIGKRPKLTDELEVLCSVNEPTMIQSGKVEETDAEVDMLKFLVNLFGMCVEEDPTERPTAQEIHEMLLKHSPPSRTRC >OIV91386 pep chromosome:LupAngTanjil_v1.0:LG20:791467:797023:-1 gene:TanjilG_02004 transcript:OIV91386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSNNDPAESFFNSIQVMKDSLSPLEVGIRKAVKDLEHCLLPKNKGKGVCLIAQVREGDQFQICDVKKNKKKNNNGSCFVGAVDEKKKGLSIKVPLKAFLGMFSQNSGNENRAQVAKENGHSCTNCFQFAMTWSFLVNGFLQAIPSPFKSGRKKFQISGDEDKPCSCMKPTISSFEVKQSEREVRENGVWKKDEKNVSLECLVGFIFDQLSHTLQSIDHSLQENELATEKTSLFQSQFGHVNAFTDFLEGHKVDMNSFFGNLRFAKVGGVPSSVVGEEYPPPKEEGDNNGSEENKEENGGSLPQKVANNIFSIPLSNVERLKSTLSTVSFAELVELLPQLGKASKDHPDKKKLFSVQDFFRYTEAEGRRFFEELDRDGDGQVTLEDLEIAMRRRKLPRRYATEFMSRARSHLFSRSFGWKQFLSLVEQKETTILRAYTSLCLSKSGTLKKSEILESLKNAGLPANEDNAVAMMRFLNADTEESISYGHFRNFMLLLPSDRLQEDPRSIWFEAATVVAFPPPVEIPAGSVLRSALAGGLSCALSCALLYPVDSIKTRVQASTMSFPEIIAQVPQIGARGLYRGSIPAVLGQFSSHGLRTGIFEASKLLLINFAPTLPELQVQSIASFCSTFLGTAVRIPCEVLKQRLQAGLFDNAGEALVATWQQDGLRGFFRGTGATLCREVPFYVAGMGLYAESKKGFQKLLGRELEAWETIAVGALSGGLAAVVTTPFDVMKTRMMTAQGRSVSMTVIAFSILRHEGPLGLFKGAIPRFFWIAPLGAMNFAGYELAKKAMNENNEQAVKGGTSE >OIV91485 pep chromosome:LupAngTanjil_v1.0:LG20:113704:116955:-1 gene:TanjilG_02103 transcript:OIV91485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSGKQTSEFSWKLEDHPKLPKGKQIAVVVLDGWGEAKPNEYNCIHTAETPKMDSLKNGAPDLWRLVKAHGKAVGLPSDDDMGNSEVGHNALGAGRIFAQGAKLVDTALATGKIYEEPGFKYIQESFQTGTLHLIGLLSDGGVHSRLDQLQLLLKGLSERGVKKVRVHILTDGRDVLDGSSVGFVETLEHDLSQLRNKGIDARIASGGGRMHVTMDRYENDWNVVKRGWDAQVLGEAPYKFTDALEAVKKLRSEQQPKPNDQYLPAFVIVDENGKPVGPIVDGDAVVTLNYRADRMVMLAKALEYENFDKFDRVRYPKIRYAGMLEYDGELKLPSHYLVSPPEIERTSGEYLVKNGIRTFACSETVKFGHVTFFWNGNRSGYFNPELEKYVEIPSDSGITFNEQPKMKALEIAEKARDAILSGNFDQVRVNLPNSDMVGHTGDIEATVVACKAADQAVKLILDAIEQVGGIYVVTADHGNAEDMVKRDKAGKPLLDKNGNIQILTSHTLEPVPIAIGGPGLSPGVRFRNDVPNGGLANVAATVINLHGFVAPSDYETTLIEVVDK >OIV90063 pep chromosome:LupAngTanjil_v1.0:LG20:21433535:21437521:1 gene:TanjilG_21195 transcript:OIV90063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNALAATNRNFKLASRILKLDSKLEKSLLIPFREIKVECSIPKDDGTLATYVGFRIQHDNSRGPMKGGIRYHPEVNTDEVNALAQLMTWKTAVANIPYGGAKGGIGCDPSELSNSELERLTRVFTQKIHDLIGVQIDVPAPDMGTGPQTMAWILDEYSKFHGHSPAVVTGKPIELGGSLGRDAATGRGVLFATETLLNEHGKSISGQRFVIQGFGNVGSWAAQLISEKGGKIVAVSDITGAIKNNKGLDIPSLINHSKEHKGVKGFHGGDAIDPNSIFSEECDVLIPAALGGVINRENANDIKAKFIVEAANHPTDPEADEILKKKGVVILPDIFANSGGVTVSYFEWVQNIQGFMWDEEKVNNELKTYMTKGFKDVKEMCKTHDCDLRMGAFTLAVNRVAKATVLRGWEA >OIV90661 pep chromosome:LupAngTanjil_v1.0:LG20:7475658:7478621:-1 gene:TanjilG_23774 transcript:OIV90661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPSSTFNVEGQQPTPLIKMIAVASMAAGVQFGWALQLSLLTPYVQLLGVPHAWSSVIWLCGPISGLLVQPTVGYYSDRCKSRFGRRRPFILAGAIFVAISIILIGFAADFGYSMGDDLTKKTRPHAVGFFVVGFWILDMANNMLQGPCRAFLGDLSGGDQLKIRTGNSAFSFFMAIGSILGYAVGSYSKLYKAFPFTETKACDIYCANLKSCFFIAIALLLVLVIIACVYVKEIPLTSIDTTDDEDEVKTPSFREMKRPMWMLLIVTFLNWFAWFPWVLYDTDWMGREVYGGTVGDSLFDKGVHDGSLGLMLNSIVLAVMSVAIEPLSRVLGGVKRLWGLVNFILAICLAMTVLISKEARAHRHFLLSTGAKDNGPPPNVRGGALALFSVLGIPLAITYSVPFALASIYSSTSGAGQGLSLGTLNLAIVIPQMLVSAIGGKIDEALGAGNLPAFVMGAIAAAISAVLAFVLLPTPKPKDMDTSATVAGAFH >OIV90251 pep chromosome:LupAngTanjil_v1.0:LG20:18759134:18762265:1 gene:TanjilG_11979 transcript:OIV90251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEAKDPAIKLFGKTIPVTDISTGSGESFEAPVSSFGDVDKNQGSSINCSNREDEKEENEIEQDTMREKPTEDKKEHVTQTSEEVTNVDTASRSDEASVTPSIDKDATTWETSRTEEEHGEDSLEKTLKKPDKILHCPRCKSMDTKFCYFNNYNANQPRHFCKNCQRYWTAGGSMRNVPVGAGRRKNKNSTTHFHQITVPEAALQNPQLNGVHHPSLECNGTVLTFGSDTPMCESMQSVLALADKTVNDCTRNGFNGPEEPIIFVPHIGEEKGNNNPDKSSVTSAKPMEGSTIDKSQEQVLQNFLGFPPQVPYFSSAPWPFPWNPAQWSSPVPPPAFFPSGFTIPFYPAAAYWGCTVPPGAWNMNIPWLAQPSSPNSTATGSGPNSPTLGKHSREENTEKSNNAADGDEHCQGNNKEKNLWVPKTLRIDDLGEAEKSSMWSTLGIEINKADSFPGGGLFKAFPSKRDEKNHAIQTSPVLQANPAALSRSINFHESS >OIV90701 pep chromosome:LupAngTanjil_v1.0:LG20:6840692:6844409:-1 gene:TanjilG_15087 transcript:OIV90701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMSNFLTVFLLIEVVACGFRYGADELNMNYYFMSCPFVEPVVQNIVNNALQNDPTLAAGLIRLHFHDCFIEGCDGSVLIESTKDNKAEKDSPGNLSLRGFEVIDAIKEELESRCPGVVSCADIVALAARDAVSFAGGPVYDIPKGRKDGRRSKIEDTINLPFPTFNASQLITAFGRRGLSPIEMVALSGAHTLGVATCGSFKNRLSQVDPTLDSEFSKTLSKTCSSGDKAVQPFDATRNDFDNVYYNGLLRKNGVLTTDQTLFTSPQTRNIVYAYAMNQAMFFFDFQRAMVKMGLIDVKEGSNGEVRQNCRIIN >OIV91457 pep chromosome:LupAngTanjil_v1.0:LG20:280749:281435:1 gene:TanjilG_02075 transcript:OIV91457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METTKPLSIESFSYSWLENLNPSLESLDGSLRTSLDASDESPFIEMDPRMPPSKRFFKNSQISKFDFPISHSPLTLVDAGELFSNGYLMPFFDESLKMEGNEALDSNSNIPSSLHAPKTVVPTSHSICLSLKRCRSVSRRVFQNYLNYLRTLCRKLRGHKAGSDSKTVGKRAKAVKNRGFYSETSPRISVAYSADDWRRSCDSESSIHEAVLHCKRSIGTYKFNMDSS >OIV90466 pep chromosome:LupAngTanjil_v1.0:LG20:11184852:11188039:1 gene:TanjilG_18650 transcript:OIV90466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRYDDKYANTRLYVGKLSSRTRARDLERAFSRYGRIRDVDMKHDFAFVDFSDPRDADDARYHLDGRDFDGRRIIVEFAKGVGTVPLIIIGLNSTRLCFYYFQIGRGSSPGSGRCFNCGLDGHWARDCKAGDWKNKCYRCGERGHIERNCKNSPKKLRRGRSYSRSPVRSRSPPLRHSRSYRRARSPICEDRSESPRYISPETKSSPPPSSTRRHSPSPDDANPQKSREASPSKLATQQDGSDYSDGGRRERSGSPDSPARDSEDRDYASPKNKSNNRSHSPRDDRSPIEDEDDNQPHLPRGSESP >OIV91342 pep chromosome:LupAngTanjil_v1.0:LG20:1160108:1163525:-1 gene:TanjilG_01960 transcript:OIV91342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METKFRISCHRNELVPNNDTHFPESPRVPMEFLSRSWSASSIEVSKALQPPQPSSSKPPNSIPEETTAHSEHLSTMSGNQFSFASSATSQLVLERIMSQSTREEVSPLTSGRLSHSSEPLNGGGSLTGTDSPPISPSDEYDDVVKFLKANNSINSLFNGGIAMSGTGCVTPCSGPKTVGRWLKERREKKKEENRTHNAQLHAAISVAAVASAVAAITAATAAASATSKDEKMAKTDMAVASAATLVAAQCVEAAESMGAEREHLASVVSSAVNVRSHDDITTLTAAAATALRGAATLKARALKEVWNITTVTPIERGIGIGLCGKGNNNSNSSTSDSGEIVNGDNFLGACSQELLAKGTELLKRTRKGDLHWKIVSVYIHRTGQVMLKMKSKHVAGTITKKKKNVVLDVRTNLPAWAGRHLFDDGEKRKYFGLKTESRGTVEFECRNQREYDIWTQGVSRLLSIVAKRQNR >OIV91426 pep chromosome:LupAngTanjil_v1.0:LG20:503134:504448:1 gene:TanjilG_02044 transcript:OIV91426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLVANEDFQHILRVLNTNVDGKQKIMFALTSIKGIGRRLANIACKKADVDMNKRAGELSAAELDNIMTVIANPRQFKIPDWFLNRKKDYKDGKFSQVVSNALDMKLRDDLERLKKIRNHRGLRHYWGLRVRGQHTKTTGRRGKTVGVSKKR >OIV90722 pep chromosome:LupAngTanjil_v1.0:LG20:7191538:7193412:1 gene:TanjilG_15108 transcript:OIV90722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTLLGKEHGLNLKETELCLGLPGGGGGGGSEVETPRANGKRGFSETVDLKLNLPSREDLNDNMKNDSKEKTLLKDPVKPPAKAQVVGWPPVRSYRKNLMAQKVNTEDGTEKTSSGAFVKVSMDGAPYLRKVDLTVYKCYQELADALAKMFSSFTMGDYGTQGMIDFMNESKLMDLLNSSEYVPTYEDKDGDWMLVGDVPWEMFVGSCKRLRIMKGSEAIGLAPRAMEKCKRRS >OIV91067 pep chromosome:LupAngTanjil_v1.0:LG20:4594991:4595291:1 gene:TanjilG_17027 transcript:OIV91067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSQGTATFIDIILAIILPPLGVFLKFGCKVEFWITLVLTIFGYLPGIIYAVYAITK >OIV91077 pep chromosome:LupAngTanjil_v1.0:LG20:4690326:4690610:-1 gene:TanjilG_17037 transcript:OIV91077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIVSKLLFLTLAMMVMILASDVEGAGRKLKEAEKVDHTQNFIGGIGGTGTFPSPSFTGVVFGPSIFCTYPGGCTTPTPTLPFIPSAGGSPPHA >OIV91095 pep chromosome:LupAngTanjil_v1.0:LG20:3016529:3016873:1 gene:TanjilG_30317 transcript:OIV91095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNRGAADQNFGGRLVDESMIVLRKRIHEMNMIERNYEPPSEWMDWEKRYYTSYDSMICEAMGFIQTQLMNTRPSLALGVMALVALSVPTSTALVLFHLVDFSKATLAGVHLC >OIV91160 pep chromosome:LupAngTanjil_v1.0:LG20:2525535:2528530:1 gene:TanjilG_30382 transcript:OIV91160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMTPSRVIFFPCFLVIILINHTIAQERFLYNVCNDNLGNYTANSTYKTNLNTLLSTLSSNTQIDYGFYNFSQGQDSDKVNGIGMCRGDVTPDACRSCLNESTVFLTQNCPNQKEAIVWYDNCMLRYSNRSIFGVKESNPTFYIYALSNATDMDQFNDVLRDLVDNLSSKAASGDSFKKYAAGSAQGPSFQTIFALLQCTPDLSEQECSDCLVESINDISSCCAGRINGRIGKPSCNLRFDTSPFYDSTVNTAQPPAPQVPPPPFTNTTSTQGKSNTTTIVVAVVVPVVVIAVLIILVCIFLRARKQRENIDTDTELDSEIEPVETLQFNFENIRMATNNFSDANMLGKGGFGPVYKGKLSNGEEIAVKRLSMNSGQGDTEFKNEVQLVAKLQHRNLVRLFGFCLEKRERLLVYEFVPNKSLDYFIFDPIKRVHLDWERRYKIIGGIAKGLLYLHEDSRLRIIHRDLKASNILLDAEMNPKISDFGMARLFVLDQTQGDTSRIVGTYGYMAPEYVTQGKFSVKSDVFSFGVLALEIVCGQKNSGFRNGEHVEDLISFAWKSWKDGTATNLIDPAINNGSRNEIMRCIHIGLLCVQENLADRPTMASVVLMLNSYSTTLPVPSEPAFFMQSRGMSDIQSWEYNSKATESTKSVKAYSSEVLVIGNYPR >OIV90911 pep chromosome:LupAngTanjil_v1.0:LG20:3187356:3187589:-1 gene:TanjilG_16871 transcript:OIV90911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHMLKDKAEEEHDLRLHKDKDDLGHDSRLHKRKADEDHADAEEDSEARLHLHQCHIHNKQSHQNNVHDRQSLRLRQ >OIV90583 pep chromosome:LupAngTanjil_v1.0:LG20:9052317:9068762:1 gene:TanjilG_01664 transcript:OIV90583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIPKHRTTRATSLRDATESSKMEGTGSWDALEWTKIDPINRYVSNANLDFLLDAEQVVAEGHGVVLVNTDDAGTLMVTNFRLLFLSEGTRKVIALGTIPLATIEKYNKIVVKVQSNARQLDKTPPQRLLQVLGKDMRIIVFGFRPRTKQRRVIFDALLRCIKPARLWDLYAFASEPSKFMSSSPQVRLLDEYFRLLGKGSCRASMNMIESRSFTLSNDLLRISSVNSSHKMCQTYPFALVVPKIISDDEVLQASNFRARGRLPVVSWCHPGTGAVLARSSQPLVGLMMNMRSNMDEKLVAALCSKLDDGSRRKLYIADARPRKNALANGAMGGGSESSSNYFQSEIVFLGIDNIHAMRDSYARLREYVDTHGRASSDGMSSFLRHGGSTWGGGNLSSMSTSVSTLGDSGWLLHVQNVLAGSAWIAARVAMEMASVLVHCSDGWDRTSQLVSLANLLLDPYYRTFKGFQALVEKDWLAFGHPFSDRVGMPSLPGNGNMPFELSRQSSTGNSSSSPMRQSSGAFTPQPQASSHSHNSNNYSPIFLQWVDCISQLLRMYPFAFEFSAAFLVDFLDCMLSCRFGNFLCNCEKERLQCNVFEACGCLWAYLADLRTSEGGFHVHYNPFYEPLKHNGPLLPPAAALAPTLWPQFHLRWACPEEAKPGEIEEQCRKIIMKNSEMQKAKEVAERKAREISNAMEALNAELRMEKQRNSSAMNIAKRTNKENTAIKRAVQSIGCKIHFSASGDCIVDIESNLLKAAPNCLHSSHGKESDGTLHDNKKDMSVSVTVTADNNDQISSIGRVCETLCPFRSADGGCRWPSGGCAQLGSQFVGMKANFDAFDQLSINDSYFKSE >OIV90634 pep chromosome:LupAngTanjil_v1.0:LG20:8283083:8308880:1 gene:TanjilG_01715 transcript:OIV90634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQWLAIRNGVVLLPRLRSFALFLPSPTPSTSIPFIPLRMLKGQPEKVLCFTDRKVVRGSSKAAKKHKAQNNVLDDKNLSHILWWKEVGDFYESIGIDACILVEYAGLNPFGGLRSDSIPRAGCPVVNLRQTLDDLTRNGFSVCIVEEVQGPAQARGRKGRFISGHAHPGNPYVYGLVGVDHDLDFPDPMPVVGISRSARGYCIILVLETMKTYSSEDGLTEEAVVTKLRTCHYHHLFIHTSLRKNSSGTFRWSEYGEGGLLWGECSSKYFEWFDGNPVKELYGLDDEVIFRNTTVPLENRPRPLTLGTATQIGVIPTEGIPSLLKVILPSNCTGLPLLYVRDLLLNPPSYEIASTIQATCKLMSSVTCSIPEFTCVPSAKLVKLIESREANHIEFCRIKNVLDEILKMYRTIELNEILKLLTHPTWVATGLKIDFETLVNGCEVASSKIGEIISLDGEDDQKASFFSVIPNDFFENMEFSWKGRVKRVHIDDVFAEVEKAAEALYFAVTEDFSPIISRIKATSSPLGGPKGEILYAREHEAVWFKGKRFTPIVWAGSPGEEQIKQLKPALDSKGKRAGEEWFTTVKVEATLVRYHQACAKAKSKVLEILRELSAELQSDINIIVFASMLLVIAKALFSHVSEGRRRKWVFPALAESHGFEDVKQLEENQGMKIVGLLPYWLNTAEGGAVHNTVDMQSLFLLTGPNGGGKSSLLRSLCAAALLGVCGLTVPAESALMPCFDSIMLHMKSYDSPADEKSSFQVEMSELRSIIAGTSKRSLVLVDEICRGTETAKGTCIAGSIIETLDQIGCLGIVSTHLHGIFTLPLNIKNTVYKAMGTVSIDGQTKPTWKLTDGICKESLAFETAKREGMPESIIDRAEELYLSHAKELLPGENCPKQEQYSYDINVTNSNGTHLNSRKFMTGSSHDRISLANQVEGLHKKVESAVTEICQEKVMELQRNKMISELTEIKCVLISAREQPPPSTVGSSSVYVIVRPDKKLYVGQTDDLEGRVRSHRLKEGLQNASFLYFLVPGKSLACELETLLINQLPSQGFHLANVADGKHRNFGTSNLHTECVIATS >OIV90624 pep chromosome:LupAngTanjil_v1.0:LG20:8399766:8400185:-1 gene:TanjilG_01705 transcript:OIV90624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAQYQYYNQQQQQPKTTQLAKVATAVTTGGSLLILSGLILAGTIIGLTIVTPLFVIFSPVLVPAVIAVALLSLGFLSSGAFGVAAITMLTWLYRYATGKHPVGADQLDTARQRLMNKAREIKEYGQHQISEGGAYSS >OIV90357 pep chromosome:LupAngTanjil_v1.0:LG20:15447788:15451157:1 gene:TanjilG_19766 transcript:OIV90357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNNGETAATTIATVPPPPPSAVAEIAAMIAAQGNSADLVGKKKRGRPRKYDSDGKLNEKYQNPKAPPGFTLSPTEPKKGSGKSNGSNNWQQLSASFGEAFANSAGGDFTPHVVTVYTGEDVSGKIMSFAQKSPGGICILSANGPVSNVAIRQSGSSGGMLTYEAGRFEILSLSGSFTVSENSDIRSQSGGLSVSLAGPDGRVIGGAVAGLLTAAGPIQIVMGSFIPNGSKTHKKKQNRKHSVASPISGGPDIVAAARPFSQENPNVDGDNFHLVMSQLQEQSNIESVSVTTSGDTQNIDATPNAAATWNGSNELSDQRISPDINVSLLDE >OIV90234 pep chromosome:LupAngTanjil_v1.0:LG20:19437632:19444139:-1 gene:TanjilG_01430 transcript:OIV90234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGPTGRGGGGGVDLFLPNYKLGKTLGIGSFGKVKIAEHVLTGHKVAIKILNRRKIKNMEMEEKVRREIKILRLFMHPHIIRLYEVIETPTDIYVVMEYVKSGELFDYIVEKGRLQEDEARNFFQQIISGVEYCHRNMVVHRDLKPENLLLDSKCNVKIADFGLSNIMRDGHFLKTSCGSPNYAAPEVISGKLYAGPEVDVWSCGVILYALLCGTLPFDDENIPNLFKKIKGGIYTLPSHLSPGARDLIPRMLVVDPMKRMTIPEIRQHPWFQARLPRYLAVPPPDTMQQAKKIDEEILQEVVNMGFDKNQLVESLRNRLQNEGTVAYYLLLDNRFRVSSGYLGAEFQETMRLGRSLEEEPLSGMQREEMRAAAAGGLSALSVWDGMCKPVKGGMQGWVAEEQIADSGFNHIPSSEVASPVVGNRFPGYIDYQGVGMRPQFPVERKWALGLQSRAHPREIMTEVLKALQELNVCWKKIGHYNMKCRWAAGVPDHPQGMVNNSVHDDHYFGNDSTIIENDTVSKSNVVKFEVQLYKAREEKYLLDLQRVQGPQFLFLDLCAAFLAQLRVL >OIV91463 pep chromosome:LupAngTanjil_v1.0:LG20:251827:252024:-1 gene:TanjilG_02081 transcript:OIV91463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGGSGSGLRSHVDMERKSETKYSSMFTQSYSAVLSSLNKNKDKSKQFHAVSHRLVPSGPNPLHN >OIV91008 pep chromosome:LupAngTanjil_v1.0:LG20:4078192:4078584:1 gene:TanjilG_16968 transcript:OIV91008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATIAGMSLSSPRILAKGPGSSPQKVQALRYPLFKVNQRSLGTLGSCQMVNLRPMRATPDIILEKVEESIKNAKEVCSDDPVSRECAAAWDEVEELSAAASHTREKKSIDPLEAYCEDNPGSDECRTYDN >OIV91019 pep chromosome:LupAngTanjil_v1.0:LG20:4163979:4165114:-1 gene:TanjilG_16979 transcript:OIV91019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAFAAKVKEGREGENGKLSAGPVYRNLLSKNGFPPMDPDFSTAWDIFSFKSVLIAVVVPNEEITNKWAYSNGHIASFSKLCSLDQLKKYVLSELKSTAERNKLRGFEHIKGVILDPQEFDMGRDLVTATLKKRRNNMLKYYQVEIDELYQSLSGDKHKL >OIV90520 pep chromosome:LupAngTanjil_v1.0:LG20:10493441:10500238:1 gene:TanjilG_32397 transcript:OIV90520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGVLKPLKPVLDDLMDYEIPLSENMSKECQELDMQVLQSGTLLIKLQCTSLDICHLIVKSLQSPTPASVLSNLQNYIQEIQCLKKESAIVYIEEALRNQRNNIKPCNEHLKEIIELLKLTSNQELLKESIAVEKERLNAEVKKLKPNLEEVNEIVNLVRNLRDYMMKTECTEVKSSDSIPSYFRCPLSLELMLDPVVVASGQTYERQSIRKWLDRGINEDNKTLIMEAGAIDPLIHVLKTGNDGAKENSAAALFSLYVIENNKAKIGRSGAVKALVDLLASGTLRGKKDAATTLYNLSIFHENKARIVQAGAVKFLVQMMERADGMVDKAIALLSNLSTISEGWLETAREGGIPLLVEILESGSQMGKENSASILLQLCLHSSKFCTLVLQEGAVPPLVALSQSDDSLSQGLAHACGVGSLPSSNSISRSSLQTGNTFDKQKDDNSYRLSEEHNGCRSGAAEKFEQHSPYIHSRSEFFSSTISSTDCLLPVSKEVSWISNQHSCARAISREVTNVSVALPVKKQSGISPWLSGKQFECPGSKIGGLMENGNNNSSHTNNSHLSVDSRHVSTSGSDELTAASHVNTLIQDLHGQSKKVQTTAAEELKLLTKHNMENRLIINEDNKTLIMEAGAIDPLIHVLKTGNDGAKENSAAALFSLYVIENNKAKIGRSGAVKALVDLLASGTLRGKKDAATTLYNLSIFHENKARIVQAGAVKFLVQMMERADGMVDKAIALLSNLSTISEGWLETAREGGIPLLVEILESGSQMGKENSASILLQLCLHSSKFCTLVLQEGAVPPLVALSQSGTPRAKEKAQQLLSHFRNQPEGATGKGKS >OIV90065 pep chromosome:LupAngTanjil_v1.0:LG20:21405810:21405989:-1 gene:TanjilG_01519 transcript:OIV90065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAIKMKLFFVVVIALMMISTSCVSAVEAPAPSPTSDATTLFFPTTIVSLVALAFGFFI >OIV91231 pep chromosome:LupAngTanjil_v1.0:LG20:1973039:1976478:-1 gene:TanjilG_30453 transcript:OIV91231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPFTAELASTFFAFSSRTLSPLLFSTTTRTRITCHSKFLTYSSSRLFLSNPTKSVAARRFTVSATTTAPPQTDDGSDSFSTVIPPDNRIPATIITGFLGSGKTTLLNHILTAEHGKKIAVIENEFGEVDIDGSLVASKSTGAEDIMMLNNGCLCCTVRGDLVRMISELVTKKKGKFDHIVIETTGLANPAPIIQTFYAEESIFNEVKLDGVVTLVDAKHATLHLDEVKPKGVVNEAVEQIAYADRIIVNKIVGLILLSTLHQTDLVGESDITSLVQRIKKINSLANLKRTEFGKVNLDYVLGIGGFDLERIESAVNDEGVDEDHEHSHDHNHEHHDHDHHHHHHDDSHDHKHEHHHAHTHDPGVTSVSIVCEGNLDLEKANMWLGTLLLDRSEDIYRMKGLLSINGMDERFVFQGVHDIFQGSPERLWGADESRTNKIVFIGKNLDAQELEKGFKACLL >OIV90509 pep chromosome:LupAngTanjil_v1.0:LG20:10313844:10320628:1 gene:TanjilG_32386 transcript:OIV90509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDATTNNVVIVDVEAPSKPNDDVRPKTTRKRKKPPTISSLKNLSAEEKHARVESLQKELVELFRYYREVMSQKVVIEASECVGSRNVAIAALMEESELPLSLLVDEIYTKLKGGVLVETVTTASVKSSVLSVGQRVAYGVPNSEADVLEDQSDSCFWCWETRDVKLIPKSVRGQLMVRRTCRKRIHERITAVSEMIASLEKLESEQNYNNFLVKASTKLGKAYTEEAIRLLVDGLSQKNSQNMSKKKASREDNLLVKQLDENRIEVEKESESMHNELQKEALPSVVPVLVPEPDLKLLEGDTRNAEKRPEKISCEKRKLQKKQPEEAEKVQRRREKDEAELRKKRSLQKQASIMERFLKKSKTSPSPQNEKVSTESLVSDSQSSKSKHVSASATLSMDLTLASSTDVSLEDVRKSHLSMWRNLGQSIRSNSKQGWGLRRKPRTELVKELKLTATKDVVDYDELGMDLSVDKLTEHSVDNRSSPIYVDSPVDVKKYSRRKQLLQFDKSHRPAFYGIWPTRSHVVGPRHPFRKDPNLDYDVSSDDEWEEEEPGESLSDCDKDEEECLEECSKSDGETEDGFFVPDGYLSEDEGAEVDRMETHIGIEGTDNPPNSKDDIESEEFCTLLRQQKYLNNLTEHALRKNQPLIITNLIHDMEFLSMDHNPNGTPKLELVCLQALSMCLISGSSPIEISIDKIQDEDQEACLSGGKAAATPTSDMAGIPESDLPIIVTTIQNCSEGMNKLVCSLQQKFPSVSKSMLKNKVREVSNYVDNRFQVKKEVLEKLGLAVKPEKSIKRRRSIASFFSKRCLPPDGESGKPGEISPLTPQKSSSADPGQ >OIV90303 pep chromosome:LupAngTanjil_v1.0:LG20:17664862:17665818:-1 gene:TanjilG_13158 transcript:OIV90303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTILSALPANYSGFIHQDTPYLPSKFPGRVDYRRYVSLSPTMVCRMKIKRNYANHIPVKFFTSAARNDQYLSSNDGLPQEPFLLALIKEVIWGLRSLFVFLAEQPSQLKYIEWPSFSNTLRTAILTLVLVALLIVALSSVDSALCYVLALLLRKSP >OIV90279 pep chromosome:LupAngTanjil_v1.0:LG20:18126787:18130916:1 gene:TanjilG_08316 transcript:OIV90279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNIFVLLCLFFLASSGFVNGSIKKDPIRIFELKNGDLSLKVTNWGATLVSLVLPDKNGKLGDIVLGYESLKDYINDTTYFGATVGRVANRIGGAQFTLNGTHYKLIANEGNNTLHGGPKGFTHALWKVVRYQREGDRPRITFSYHSFDGEEGFPGDLLVTVSYILGKNSLNIIMKAKAINKPTPVNLINHAYWNLGNHNSGNILDEVVQIFGSKTTLVDDHLIPTGKFASVKGTPYDFLKPQIVGTRINQLPKTNGYDTNYVLDGANGEEIKVAAIVHDKKSGRVMKISTNAHGLQFYTANFVKNEKGKDGFVYQPRSALCLETQAFPDSVNHPNFPSTIVTPEKPYKHVMFIKFSTKDSYAISPL >OIV91225 pep chromosome:LupAngTanjil_v1.0:LG20:2011748:2012920:-1 gene:TanjilG_30447 transcript:OIV91225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRTGRLFHDQNLNAHVNGEGIVSGKAGFTGQNKAKVGRRKPLGDLSNAGKPINNAVGKKPLDGSLKPGKLSEHLKSSNLTVITNDEAVNAKAKNVESNRKTANKASEKSQTGRRKVLGDISNLPVIKNKNSLKVASLTEDPPLHPSELAEEQFLHNHQKCIKSQSETVMDVHHFFKTVGLENDTDDHKPIAFELSPINKRKVECENMELEEVPEKLLEVQSPYAQQGSPAYCKTPKLPSHCTMWNNSDVNFKLMETPYLSKK >OIV91192 pep chromosome:LupAngTanjil_v1.0:LG20:2267409:2269197:-1 gene:TanjilG_30414 transcript:OIV91192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSNYTVESFTVENNTNNHGVNLRTRLFKPESRSEVKDENFVIVLVHPYSILGGSQGLLKGIASSLASNGYVAVTFDMRGVGRSTGKSSISGFDEVKDVVAVCNWVCHHLSLNRILLVGSFWECAPIAGSAVDQIEQIIGYVSIGYPFGMAASILFGRHHKAILQSPKPKLFIMGTQDGFTSVNQLKNKLKSAAGRVETHLIDGVGHFQMEGPSYDAHMVDLIIKFIASL >OIV90829 pep chromosome:LupAngTanjil_v1.0:LG20:5381406:5386078:-1 gene:TanjilG_15562 transcript:OIV90829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFGLISAVSKLQMVSTSDHASVVSMNLFVALLCACIVIGHLLEENRWMNESITALLIGLCTGVVILLLSGGTSSHVLVFSEDLFFIYLLPPIIFNAGFQVKKKQFFVNFMTIMLFGAIGTLISCTIITLGVSQIFKRMNIGPLEIGDYLAIGAIFAATDSVCTLQVLNQDETPLLYSLVFGEGVVNDATSVVLFNAIQSFDLEQIDTSIASQFITNFLYLFVTSTLLGVLSGLLSAYIIKKLYIGRHSTDREVALMMLMAYLSYMLAELCYLSGILTVFFCGIVMSHYTWHNVTESSRVTTKHAFATLSFVAEIFIFLYVGMDAMDIEKWRFVSDSPGTSVAVSSVLLGLVLVGRAAFVFPLSFLSNLFKKSQSEKLSFRQQVIIWWAGLMRGAVSMALAYNQFTMLGHTQLRTNAIMITSTITVVLVSTVVFGLMTKPLIRLLLPHGPPKGTSSMISTDPSTPKSINIPLLGSAQESEADIGGHEIHRPSSIRALLATPTHTVHRLWRKFDNAFMRPVFGGRGFVPVEPGSPSERNGRQWG >OIV90503 pep chromosome:LupAngTanjil_v1.0:LG20:10123791:10128048:1 gene:TanjilG_32380 transcript:OIV90503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFIQSSSSSSSFPFLSLPTQTKLNSTFSFSSNFTPNLLLKLHPLLLLLPSKLKPKTFSFYKPNPSISTTLISILRAIPDWADSVKERGVQQNRTLYDHDKWVQHRSSLRHVNHFLSSLSSRVVLSLIPPVIAFTAFAAAIAGYNSLALVHWLPEFFPVLRASSLSYQLTAPALALLLVFRTEASYSRFVEGKKAWTRVIATVHDFARLVMAGVECDVDLSIKRALLQYIMAFPVVLKCYVLYGSDVRSDLQDLLELEDLEVVVNSKHPPRCVIEFISQSLRLLKLEDSRRNVLESKISCFHEGIGTCEQLMGIPIPLSYTHLTSRFLVLWHLTLPIILWDDCHWIVVPATFISAASLFCIEEVGVLIEEPFPMLALDDLCRKAHQDIQEATSLENLIKTQLVAKRNSHHKKHSPNGWPNS >OIV90176 pep chromosome:LupAngTanjil_v1.0:LG20:20204577:20207310:-1 gene:TanjilG_01372 transcript:OIV90176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNDVGSRPGVPPNSIPSQPNPFGNAFNVAGSGLIRGGLGAYGGKILGSSSEYVQSNISRYFSDPQYYFQVNDHYVKNKLKVVLFPFLHRGHWTRITEPVGGRLSYKPPIYDINAPDLYIPLMAFGTYIILAGLSLGLRGNYSKFLDDAVFMSRFSPEALSLLFVKGLLGWFMQASLLKVTLLSLGCGEGPLLDIIAYAGYTFTGICLAVFGKIISGYAYYFLMPWTCLCMGVFLVKTMKRVLFAEVRSSDSSKHHYLLLFIALVQFPLFIWLGNITVNWLL >OIV90752 pep chromosome:LupAngTanjil_v1.0:LG20:6229827:6230986:-1 gene:TanjilG_15485 transcript:OIV90752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASAHAHGFIIFLILLFIFLLNVNEKTNIAPADVAENLMQKVANEDVETCFEKLIQDLRSSKEEARMKVEKDKGTRAMKESNGKNLVRKGGNMW >OIV90145 pep chromosome:LupAngTanjil_v1.0:LG20:20539201:20540102:-1 gene:TanjilG_01599 transcript:OIV90145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEREEEEEERREAAIASTPCLQPNFNPKGPLTKDHLSKFRELHNKRLQLKSKSKFKTKSKGGATAKKSHGDLSSQSNRSEALRVDNEEPILRVCEDFDSISEDSKDNVSVFYAPKKQKLHWGRHH >OIV91226 pep chromosome:LupAngTanjil_v1.0:LG20:2009780:2010839:1 gene:TanjilG_30448 transcript:OIV91226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGKLVMSRKMLESVADPEAERSKIWIEPKSKANELKVAVIYYLSRNGQLEHPHLMEVPISSQQGMLCVKDVINRLSSLRGQGMADMYSWSTKRSYKNGFVWQDLSENDFIYPSSGHEYILKGTQVATISEELASKGANASTQTEEKGRKREELEECEGNNARELLSGSERSLPYYSSSFRVLEGSLESYDYESADIRNQMVENECPSGRVKASAVLKQLISCGSSLPKSSS >OIV90356 pep chromosome:LupAngTanjil_v1.0:LG20:16100623:16103837:-1 gene:TanjilG_00566 transcript:OIV90356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGILHDDVVLIRQAEKEGDPTTVITVNCPDKTGLGCDLCRIILFFSLNIVRADTSFAHLRLSLVIFLYLFGFIFWNEDVSTDGKWCYIVFWVVGKQKTNWSLLKKRLVEACPTCSSASGISYYQSDLYPPKPPDVFLLKFCCHDRKGLLHDVTEVLSELEIIIHKVKVSTTPDGKVMDLFFITDTRELLHTKKRKNDTIEQLTDILDDAITIDIELVGPEVTACSQASSFLPNAITEDVDMELPATVRSGVLTSGSVSISMDNLLSPGHTLVQIMCQDHKGLLYDIMRTLKDYNIKISYGRFTAKPRGKCEIDLFIMQSDGKKIVDPIKQSSLSSRLRSELLRPLRVATVSRGPDTELLVANPVELSGNGRPLVFFDITLALKVLGICIFSAEIGRHTIGDREFEVYKMLLDEGEGLSIPRKKIEEGVWKLLMGWE >OIV91297 pep chromosome:LupAngTanjil_v1.0:LG20:1494523:1494828:-1 gene:TanjilG_01828 transcript:OIV91297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKVMRLASEKGVVIFTKSSCCLCYAVNVLFKEIGVIPFVYEIDKDPEGMEMEKAITRLGCTAPVPAVFIGGNLVGSTNEVMSLHLSGSLIPMLQQCQSLS >OIV91415 pep chromosome:LupAngTanjil_v1.0:LG20:583123:589199:1 gene:TanjilG_02033 transcript:OIV91415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVLEDFKNTSYATRARHLLEDNESQAQTIVDLAQGYMTNADLERAIKEFGQRCSNISRIYSNSSTNIILLLIQYIGNVHGDEPVGRELLIFLANWLCDNYLKDPLATLIVENVHLHILPSMNPDGFSLRRRGNANNIDLNRDFPDQGALVANYPWDGTEDKKTNYYGTPDDDTFRFMASIYSHSHYNMSSSMEFPGGITNGAAWYPIYGGMQDWNYIHAGCFELTLEVSDNKWPNAAELPVIWRYNKMSMLNLVASLVKTGVHGRIYSSGDGKPLPGFITVSGINYTDFSAYYFRGE >OIV91009 pep chromosome:LupAngTanjil_v1.0:LG20:4081185:4081379:-1 gene:TanjilG_16969 transcript:OIV91009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGPRIAHATLKGPSVVKELIIGLTLGLAAGGLWKMHHWNEQRKVRTFYDLLEKGEISVVAEEE >OIV90207 pep chromosome:LupAngTanjil_v1.0:LG20:19712643:19714100:-1 gene:TanjilG_01403 transcript:OIV90207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNMSHAKSLFQETPMDNTFVWNTMIRAFANSSLPIEALYIYNHMQSIDVNFDNFTFNFVLKACSRAYKFIEECGGKCDELAIVSKGSEVHCSVLKLGFGDDPCIQNSLLYMYCQFGLVPIARLLFDEMSSRSLVSWNIMISAYDRISDFESADYLLESMPQKNVVSWNTVIARYSRLGNIEAARRTFRLMPERDVVSWNSMIACCVSVKDYAGALELFSEMQNAEVKPTEVTLISVLGACAETGALEVGKTIHESIKLHGSNIDGYLGNALLNMYSKCGCLSSAWEIFNQMSIKPVSCWNAMIVGLAIHGYCEEALKLFSEMEHRLGTIRPNRLTFIGVFIACSHKGLVDKARWYFNHMVNQYKIVPDIKHYGCMVDLLSRWGLLEEAYETIKTARFEDSAVLWRTLLGACRTQGNVELAEISFQQLAKLQHLTDGDYVLLSNIYAEAKRWNEVERVRSEMVSLYATKQAGYSQIDVNESDKLS >OIV91084 pep chromosome:LupAngTanjil_v1.0:LG20:3101397:3103862:1 gene:TanjilG_30306 transcript:OIV91084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLEENHKTKALNLLRTRICDPKFIFKPLYDSPDSNFSKLKFMISSSVTEACNNSILLLGPRGSGKTEVLDLVIQDLFLEYPDSISMIRLNGLLHFDDISAFKEIARQLCMEHELLFSKTASFDDNSQFMVAMLKECGLAHKTVIFILDEFDLFAQGKQRLLYTLLDAMQSITSQAVVLGISCRLDADQLLEKRVRSRFSHRKLIFLPPSVEDTQRLLAHVLTLPTDSSFPHEYAVEFNSKVQVSFYAERSSC >OIV91289 pep chromosome:LupAngTanjil_v1.0:LG20:1555935:1557599:1 gene:TanjilG_01820 transcript:OIV91289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSVSEKQVMVIAIDDSEHSTYALKWTLDHFFVPVTNHIFKLVLVHAKPSATSAVGLAGPGAAEILPIVDSDLRKIAARVIESAKQICFDKSVTDVIVEVVEGDPRNVLCEAVEKHHASILVVGSHGYGAIKRAVLGSVSDYCAHHAHSSVMIVKKPKTKH >OIV91425 pep chromosome:LupAngTanjil_v1.0:LG20:506827:508601:-1 gene:TanjilG_02043 transcript:OIV91425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSISASSTLVSSTLFVPTSSSSTKLSSFSSLQFPLHTQLLHFPTFSSSRPRILPLVVEAKKQSFSTFGELLDNADKPVLVDFYATWCGPCQFMIPILNEVSTRLNDKIQVVKIDTEKYPSIANKYDIQALPTFIIFKDGEPYDRFMITFSVDDLDVEVILDS >OIV91012 pep chromosome:LupAngTanjil_v1.0:LG20:4103903:4105120:1 gene:TanjilG_16972 transcript:OIV91012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKTSPKQDSEASPELKPESNTPQKRKMVQKTVVMVKIGENVSKLKNEGLPSDSWSWRKYGQKPIKGSPHPRGYYRCSTCKGCLAKKQVERCRTDASMLIITYTSTHNHPAPNHAPSSTNLVQKPKQPETQTTKYEPSILTLKEEDQERKQEKVMNHNVSTVTSHDNGTNEEKFHYLQSPTPSTEDIIDQHDVLKLNNQEKTHDNIDLLLEVEAMSHSQIKNMPAPKSEEFDFFDELEELPMSSSFLDYTRSSFSNEMIPIVPS >OIV90171 pep chromosome:LupAngTanjil_v1.0:LG20:20258030:20263212:1 gene:TanjilG_01625 transcript:OIV90171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSVVATVSGYHGLERFHLIKLISYSGASYVGRMESKSITHLVCWKFEGKKFDIAQKLNIIVVNHRWIEDCIREGKRVPEDSYIWQSGHEAGPLLLKDPPHTVQANSLKRKKMISDRSYDIGSEKQTTDLSSGSQHVELNSRSSSRLRKQKRNMCSDNGASTVAGPSHKGRRIAKNIVDEVVLDPIILDLTWEEDQLTRVNRVHTDAAATSSHSGGVNNENSLENREGPDARLHNQSGAVNIATYGIEQIVDSNNVSTNTNSTLFEEDSLPMAQTSVCFSAENFTDSGQIDIVSALPTSKELSCVICFEEYSSTRGILPCGHRYCYTCIQSWVDLRTSMGKSSTCPLCKASFVMFKKVEDAATGDQKVYSQTIPSGKSTSDIFVRTVQELPNYGFESGDCVVCRGREPEDLLQSCDVCRCRRIHLYCLDPPLLPWTCNHCKDLRRLYHHHSY >OIV91241 pep chromosome:LupAngTanjil_v1.0:LG20:1894516:1907247:1 gene:TanjilG_30463 transcript:OIV91241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIDPIPIPTGNIIAGNPSPPPPSALHQLTDSLKLEHHFLRVPLEHFKKTIRSNHRTAEKEISAVISGIDDASSAHFSPHDAVNHLNSLVSRLQGLKRKLEEGSRAEHVQVQKCRVRIDHLESADAENMSEWNNTCLKRVLVDYMLRMSYYETAVKLAECSNIQDLVDIDVFQEAKKVMDALQNKDVSPALAWCAENKSRLKKSKSKLEFQLRLQEFIELVRAENNLRAITYARKYLAPWGATHMKELQRVIATLAFKRDTECATYKVLFEAKQWDCLVDQFKQEFCKLYGMTLQPLLNIYLQAGLSALKTPYCYEDDCTKEDPLSQESFRTLALPLPYSKQQHSKLVCYITKELMDTENPPQVLPNGYVYSTKALEDMAKKNNGRITCPRTDLVCSYTELTEVKSFAGKLSGLIAGCVPIKKRKYFPLIPPTSPLSEEPSSLNEEAELQPKENSSTSQASTLSNVSIAGAPIKKRRFPILEEGSHVGENDSFPKENSSTSQGLTLSTSSSGNSDTNEHLLPSIAKLGIVQSSPKIEKVEPVSLELSLSKEKGGTRSLNSDVKTTSDIAPVQSNRANWDLNTTMDAWEEPGTSSSSVKTYIDRKKVVESVVDEKQFMRSSRIVTPTGDMSLKQTVCEESKKKAFVVSPGLYGQHYERIDPHNLCLNSYLPKYAHEPSRLSVKLDSGTAIPTAIPSVTLSSVVPSAGDVNTCFRLVKSEPFDDNSNRGLKEANVCPVGSLDSDALTKEFLQHSNAYSSKPSSVRSPNLVDAIIIKTESGYSTAENKVEQLGKKLLQGSDNCSTMAVPVTLETKQISAETAHSPVEPMCSAELTTSENIAIHTEIFTLAEGVNLDKVCHRACSNADQVPQETVATPMVDHVTDLSDPGSKNSSRLTEEENANDRDSCKWKLINDLPPKSRDSGEGCASDEEKITLSAGILEDDSYGSDYDSEDSHAVTVAVDTERYICDDDYEDGEVREPQAHSTEVVTIREVREVEHPDNSNYANKQIMEGPLSSDSLISSHVMENESKTVIHSEISSDEDGMDIEMHERLGNVIDKNVCLQEPVADEKSNIASDEKGPLNILQREQLDVSESYNAPRALETELSSDQSFNGSHGLDVVGQCAVEVVKTADTVRQANLDLPQIEASANSDDAMKDVSNCGNQGRIIDLSRAASSSSPSKTRPIPGTSQPSLSGRDLLSDTLDVDKFRGRDEVYIDGRRRFFRGRHQDMSPRSSRFTYVRGRGRGNSRLDAFHGEWESGREFSGEFYNGPSQFRGPRPNKYASANADTDLEYDDAPDGSYVGNGRMGRKPLNDGSYIAPRRRSPGGVDGMQMGHRIPRNMSPTSRCIGSDSSEMVSMRHSEKFVRGFQEDNLHSMFTRPRPFEGMDGRFSRGGGRNFSSIQKRGPPRIRSKSPIGPRSRSPGQWSSPRRSPRRRSPESFGGHSEMNSRRSPLYRVDRMRSPDRPVFSGERVVRRHGSPSYMSRASNNDIRDIDSAREHGHPRSVINNRSPSGRILVRSRRFDAADVRDRADNDDDYYAGPMHSGRVLELSGEGNGEERRFGERRGGYVRSFRPPYKNGNNINENFHLNAEEGPRQYRFCSDDSDFHERGNSIRGKDFERRIRGGRPVNVPPRRTRNMDEQEENFRHGGGGGGGHVWNDDSFDDMSRVKRKRF >OIV90884 pep chromosome:LupAngTanjil_v1.0:LG20:4959402:4962680:-1 gene:TanjilG_15617 transcript:OIV90884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTLSSSSSQSSSLFASIDIGTNSFKLLIVRTDPSGRFFPIHRTKHRVLLGRDVTPTLTPYSISPHSTIRALEALSDFHNILQSHHVAQTQTRCVATAAVRDAHNTLDFVNSISNNIGMKVDVLSGEEEARLGYLGVLQFLPVYDKLALTVDIGGGSTEFVVGFKGKSVFATSLNLGHVVLTQRFTNKDAVPRMREHIRSVIHESGVVERIQKHGFEIAVGSSGTIKAIDNAVFRGVVDGGKRGWGFSRGELRGVVEMLCDGEREKEKERVFEERSEFIVAGAVLLEEIFEMLGVEEMKVSGYSLGEGVIAESLAKLYPGYYDLNANVRWQSVMRFAMRFNGQKKMRSAVECAILAKDIFQGLRNYKDQARRNKVELASVLIENDLEYLEAASLLHNIGLVTGKKGYHKQSYHFIMNGGHLCDYSPDEVKWGFQLIALLTRHHRKKFPKSDAFMENHEEENQKFIYLCAIIRISVALQHHDALKSQVLHRHGTLYRLLTKSIRVRANYGKAILFGYADCGFYVDFSQESSDVKNHHLPTIIQRIVDNIIPELREETHNFKTVIVLSNLFIKS >OIV91419 pep chromosome:LupAngTanjil_v1.0:LG20:555075:555729:-1 gene:TanjilG_02037 transcript:OIV91419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFAAEGGGFFSSSSSGFSNGLSLLLLGQRSEDKPMRVAPWNQYQLVIQVSDPELQLDSTKNRPSRRCASFVCFGRTSAGPDTPSPLKVGPAQQHDSPLPLVSDNGKDPSAHVDGNTDNVRVPLKSSLKRPQVNVSVPVDAASEHETSGGKGIDATGAQTERRKVQWTDDYGSELVEIREFEPSLS >OIV90379 pep chromosome:LupAngTanjil_v1.0:LG20:15091723:15099172:-1 gene:TanjilG_21915 transcript:OIV90379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQATGRSRVVGDYIIGRQIGAGSFSVVWHGRHKVHGTEVAIKEIVTLRLNKKLQESLMSEIFILKQINHPNIIRLHDIIEVPGKIHLVLEYCKGGDLSLYIQCHGRVPEATAKHFMQQLATGLKVLRDNNLIHRDLKPQNLLLTRNDERSVLKIADFGFARSLQPRGLAETLCGSPLYMAPEIMQLQKYDAKADLWSVGAILFQLVTGRTPFTGNNQIQLLQNIMKSTELQFPSDNRSLCIDCKDLCQKLLRRNPVERLTFEEFFNHPFLSHKQPEREEPSRNRSSSRLLGEFCSTESDPLRSTKENYQEECLAFFLDDDSSGAERSPSFSRKKSSMKSTYGFDLNTVLDKVEPASPILNNANYTSEYGSVTHRPENTTRRLDNHKISRNLLDPPESPEQRFASPHSKVTDSLELIDQDYVLVSGPAMDVSTSSVSASKMSHSKYKPGSIPRDPSNTITRLSAPMPIVGVPASSTYQSGSSESQDSAPGTSHGSIDTGDEQPSAHCMTRIKSLTQCASAITELVNEKAASAMEGSPNQETSRYRRSTSKKHGSSDSEGCLLGNIQDSKDILSQIESKFLREIEHAEELAKAIEPGNAEMPDAMETIFQSALAFGRHGGVEELMGEMESAATFYSKAVHLLVFLLVEAPLLILNPPFSLTNSDRYRIQTYIDILNNRQGYSRSQLMTFLKCDDSQGILKEKF >OIV90916 pep chromosome:LupAngTanjil_v1.0:LG20:3225858:3227374:-1 gene:TanjilG_16876 transcript:OIV90916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGDIESGFSHGQGNNGENLYPYMIESPELRWGFIRKVYIIISIQLLFTAAFASVFIFFQPATDFAKYNPYRFYVLFGSLIVTIILLFVLSKFYNKHPVNLVLLAFYTLGMSVTVGYACAFAKGIIVAEAAFLTGVVVFSLTFYTFWAVKRGADFSFLGPFLFASLMVMLLFAMIQLFFPLGPLGRMIFAAVGALLMCGFIVYDTSFLIKTYSYDDYIWAAIAIYGDIINLFIYLLTLLNDLT >OIV90977 pep chromosome:LupAngTanjil_v1.0:LG20:3784987:3787034:1 gene:TanjilG_16937 transcript:OIV90977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFMHFLVYTFFVSYLLCFFVLTSLSITDNHETICGSTIDPNYCKNVLANQNGNIFDYGRFSVQKSLTQSLKFLNLVNSYLQNSSVFSQYTTNALEDCQFLAEQNNEYLSNTYNIINQVSNVLPTSQGEDFQTILSAVLTNQETCLVGLQSTSSDPIVKNDLSSLLSDDTKLHSVSLALFVKGWVPRKIITPSWQHNGGNLDFHNGRLPLKMSNRARAIYDSARHHGRKLLQTTNDSSVVVIDIVVVSQDGSGNFTTINDAIAAAPNNINSTSGYFLIFINAGVYQEYVSINKTKTYLMLIGEGINQTIITGNHNVGDGNFTTFNSPTFAVVAQGFVAVNITFRNTAGPSKFQAVALRSGADMSSFYSCSFEGYQDTLYTHSLRQFYRECDIYGTVDYIFGNAAVVFQNCNIYSRLPLSGQFNTITAQGRTDPNQNTGTSIQNATIKAAADLAPNIGTVQTYLGRPWKEYSRTVFMQSFMDSLINPAGWHEWSGDFALSTLYYAEYNNTGPGSNTTNRVTWSGYHIINATDAANFTVSNFLAGDNWLPQTGLPYLSGLI >OIV90995 pep chromosome:LupAngTanjil_v1.0:LG20:3943380:3946486:1 gene:TanjilG_16955 transcript:OIV90995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSDLNLGMGGSPSSSSPTAKRTRDPEEDVYVDNLRSHKRYLTEIMASSLNGLTVGDSLPDNVMDSPARSESMFSLRDDMSLQYSPLSEDSDDSRSYDAAVHSCSSQPESLTSSPVSSPHRYQRSQNVLLSSAPSTSSSASHGSTLSTVTCSQPRQRGTDSEGRFPSSPSDICHSADLRRAALLRSVHMRTHPPGSASLELPFSSAREPVPNLDTEEQCCYPYLKSMDDEREYQIEECSPMSIPEPEFDHDNKPCRVLNMNVKASDP >OIV91214 pep chromosome:LupAngTanjil_v1.0:LG20:2069525:2073776:1 gene:TanjilG_30436 transcript:OIV91214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPKLRSGVRRGRAAVAKEGLEPPKRNTAKNAKKKKEEVVPVAVNVNVNAMADASGGLSANKGVAPEDPVFPEKVQVGGSPMYKVERKLGKGGFGQVFVGRRERAGPGAVEVALKFEHRNSKGCNYGPPYEWQVYNTLGGSHGIPKVHYKGRQGEYYVMVMDMLGPSLWDVWNSSSQTMSSEMVSCIAVESLSILEKMHSRGYVHGDVKPENFLLGQPATAQEKKLFLVDLGLATKWRDTSSGQHVEYDQRPDMFRGTVRYASVHAHLGRTASRRDDLESLAYTLIFLHKGRLPWQGYQGDTKSFLVCKKKMGTSPEMLCCFCPSPFRQFLEAVVSMKFDEEPNYGKLISLFDGIVGPPALQPVNTDGAQKVGQKRSRLNIEEDDDSQPKKKVRLGVPAMQWISIYNARLPMKQRYHYNVADTRLAQHIEKGIADGLLISCVSSCSNLWALIMDAGTGFTHQLYKWSPVFLHKEWIMEQWDKSYYITSIAGSVNGASLVVMSKGTQYTQQSYKVSDSFPFKWINKKWKEGFHVTSMATAGSRWGVVMSRNAGYSDQVVELDFLYPSEGIHRRWDNGYRITATAATTDQSALILSIPRRRPGDETQETLRTSQFPSTHVKDKWSKNLYLACLCYGRTVC >OIV90783 pep chromosome:LupAngTanjil_v1.0:LG20:5946790:5949493:1 gene:TanjilG_15516 transcript:OIV90783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSYPVLPTSSVQSASINSKVGSGGHMFSTPAGCPDDIPFSPVSEMHSPTFISHPHESGDVSWEPYLFQDFIQFPDSVPIQNNQVENSASYISGDIAQTTDLVEWVDVDQLLSVDDSLLPNWSPLLGGDNVTEPKPEEIQVSQQQHAQRTELSGLPNSVSTIPQTKSRMRWTPELHEAFVEAVNQLGGSEKATPKGVLKLMKVEGLTIYHVKSHLQKYRTARYKPEPSEVTSEKKLAEVEEMKSLDLKTSKGITETLRMQMELQKRLHEQLEIQRDLQIQIENQGKRLQMMFEKQIEKDKPSASISNTVAVLPSPIESLETNEKFQIKSSTTETLLEESTQDASTKQKRNDAKDASEHELGGDEFAAPLSKRVKSL >OIV90256 pep chromosome:LupAngTanjil_v1.0:LG20:18696491:18697725:1 gene:TanjilG_11984 transcript:OIV90256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMADKFTAILILTLVTISMLQILVMASHGNGGHHYDNKSKYGPGSLKSYQCPSQCTRRCSQTQYHKPCMFFCQKCCNKCLCVPPGYYGNKAVCPCYNNWKTQQGGPKCP >OIV90126 pep chromosome:LupAngTanjil_v1.0:LG20:20723609:20730542:-1 gene:TanjilG_01580 transcript:OIV90126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEREKEREIELESAMYTNCLILGLDPSIIGIGSSNSTPRVGLFRHSNPKLGEQLLYFILSSLRGPIQSAKDFDKVWPIFDSAQSRDFRKVVQRIISELESQDALPRSNSRVSSLATCCGPRFVELLWQLSMHALVEVHRRTFTADVASNPLPAPLTDVAFSHAATLLPVTKAKIALERRKFLKNAEMAVQRQGMWSNLAHEMTAEFRSLCAEEAYLQQELEKLHDLRNKVKLEGEVWDDLVSSSSQNSHLVSKATRLWDSLLARKSQHEVLASGPIEDLIAHREHRYRISGSSLLAAMDQSSQLGDLPSVHMDSKEESDASHFSNEALTRLDDRNGRAHQTVDVAEVIRRWTHALQRIHKQALHLGKANDGEGPDILRSAQEGSSSGHAESLSATLAEHQQHLASFQVLINQLKDVAPAIKKSISECTEKVNCITSTLPPITRHHSQSTSPSQAHSNGRMDNSTDDVGEVTSRISNVQIDKASVSPATLKLPQLFSLTPSSGKAGNVQRRHGIVHQTSQTESLPDSKSLGSPSSTQVANSAEDDDSSYIQNLKRSVREAALSLRSCNSESSRDSRSDGSSEHFFAPLSETGFSHLDAEKKAASLRRKRLFVSQMDDSLLENHASDGYGERKFDELPDMLNELGRLSDFDNGFLSYTGSNATSDAQQSIYDFEDAQDQVFSPPMLIDSSLLADTFEDLLAPLSETETALMEH >OIV91304 pep chromosome:LupAngTanjil_v1.0:LG20:1456598:1457023:-1 gene:TanjilG_01835 transcript:OIV91304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIKGHADFSSYILFEASGDSEADCDPMMNGNLYEHHRVDDQDCDDDDGDDALSCSYDESDTSNSDELINMYDDDESCDDEYGDEKKENEELVDGVYGASYCEDDEMQEENQKIYVSSNSNQEFVDEMEKNRLFWEACLAS >OIV91194 pep chromosome:LupAngTanjil_v1.0:LG20:2256320:2260471:-1 gene:TanjilG_30416 transcript:OIV91194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSQGFSSSLDSVTEHLHNHNLQADTDAVPRLKLEDLNWDHSFVRALPADPRTDSLPREVLHACYTKVSPSVEVDDPQLVAWSEPVADLLDLDHKEFERPDFPLIFSGASPPVGALPYAQCYGGHQFGMWAGQLGDGRAITLGEILNSKSERWELQLKGAGKTPYSRFADGLAVLRSSIREFLCSEAMHHLGIPTTRALCLVTTGKLVTRDMFYDGNAKEEPGAIVCRVAQSFLRFGSYQIHASRGNEDLGIVRGLADYAIRHHFPHIENMNKSESLSFSTGDEDHSVVDLTSNKYAAWAVDVAERTASLIAKWQGVGFTHGVMNTDNMSILGLTIDYGPFGFLDAFDPHFTPNTTDLPGRRYCFSNQPDMGLWNLVQFTKTLSAAQLINEKEANYALERYGTKFMDDYQAIMIKKLGLPKYNKQLISKLLSNLAVDKVDYTNFFRTLSNVKADTSIPDDELLVPLKSVLLDMGKERKEAWTSWLKTYIHEVSTSDISDDERKSSMNLVNPKYILRNYLCQTAIDAAEIGDFGEVRRLLKLMEHPFEDQPGMEKYARLPPAWAYRPGVCMLSCSS >OIV91190 pep chromosome:LupAngTanjil_v1.0:LG20:2273806:2276190:-1 gene:TanjilG_30412 transcript:OIV91190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGRSRRKIGVVEDEFRAVKRERLNENAEEEEHEQDPASRRIIRSQFLELKTLINDKRDNLMNTDSDKFDTILNELKKLHDQVQKPREQVADAEALLDLTHTLLGSVKSLLNEGITPAQFVGSLLKDYSQEGTENSIDWKKLGMVVSPIFMNVHGCCTMLGPMENELKQPKVGVQRRRIKPTAEKARPEELDESNEVEKADTDKNMITMFNVMKKNTKGVPLESLILNRTSFAQTVENLFTLSFLVKEGRANIRVDENRLHYVEPKNAPKTQETYTHFVFRYDYQDWKLMKDMVLEGKELMPHRGQFCIVIDSQPEMAGDNSQPALSVTPIRKLSRNRGRTVQEDSVVEESPGCDEENVSRAAAVRRCKRKLH >OIV91039 pep chromosome:LupAngTanjil_v1.0:LG20:4349794:4356002:1 gene:TanjilG_16999 transcript:OIV91039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNEVRHNLHDDRTSECRSFGSNILPSSQSRKISIGVMADSKGSTRCGITKDNGPIVANTERLISNVENFIGEISKVQRVTTSFNTKKVEGPEEALKCSWIPKPFYQRTTNSDDILQPDQAFNLLASAVERDKLKEKECAAGKNPVQLFSKSNQISIFTSSNDNQKKSDGETSRSKGRKDETTERVEEFTFIPAKEVSESDKTKPENKTTRFENNTENLRMKLCQILGTTSMSAPGIQHADSQTRKKGQGEDRLPLEQPVNLKDKKFVKARQYSDPIETDSENTDRTPKRPVTRSVTRKRMPSKKQQAKGKNTPSSIDAKDCPDKSIFSFNGKWTGRRDTFPNDGSSMSLKEKGQGKNSKVGPHKTFFTENDIADKFNRDTSKTDLPLNHEVTFSLGNKMGGFSSCLPDHQTKCPPKPKLNQRKVYYQPPAVNNTDMREELQVSEKGNQQEYTSDPVVQNVAKSQDNLRSPTLQLNTPVLSSSPSPTAKTDQKANDISSPVSSERFSLGAIRNLRTFQTPEPEFDWPREQKQSSDMEGLKYSTHGKETQETPPFKETEEQDGSSDSSSEESNFSGSQEGSEESDSIDEASEQNQDGFVRAVELFASELVKLKTKLKSMTSQKSSEILKSVAEEIHLQLQNVHSQIQTDIGKLTGLSKSKRKRLETRFEDQQKHLRLIYDKFKEEVNLHLQDCRSTVEALDADQIEIKGAMEKQSMKISRLLNVDICPDPLFGVAHKKLLSHVEEAVEMQLNDAQRKISATHEATLLTAFANGDRAFVSNTDFILLIDCRWRGENCYN >OIV90746 pep chromosome:LupAngTanjil_v1.0:LG20:6453233:6454334:1 gene:TanjilG_21877 transcript:OIV90746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASISPSSTRTHVSWPLFHLALRITHEEPHHAQSTPTTGHHEPHTRHHGAWPEAMPHSTLPQKDGGSATLEASARPTNARNYRTRHCVTKPAPRQQGLTHQEIRVGLRGTVEALDASPISPTCPDNTKPKHQPAPGCVRPGFGMEDDSIELPTTP >OIV91136 pep chromosome:LupAngTanjil_v1.0:LG20:2725677:2729070:1 gene:TanjilG_30358 transcript:OIV91136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFMKLGSKSHTFQSDGNNVRYVASDLASDIIVSVGDVKFYLHKFPLLLKSAHLQKLITLSNEANMGEVQISDIPGGTSAFEICAKFCYGMTVTLNAYNVIAARCAAEYLGMNESIEKGNLIYKIDVFLSSGIFRSWKDSIILLQTSKSMLPVADDLKVVSHCIESIANKACVDVSKVDWSYTYNRKKLPEENVIDSNQNEIRTRLVPKDWWVEDLCELEVDLYKAVIANIKTKAVHSNEVIGEALKAYAYRKLPNFSKSMIQTGGMSKHHLIVETIVWLLPAEKGSVSGRFLLKLLKAAIFVESEERTREELIKRIGQQLEEASVTDILIPAHDGETKTHDNSVVQTMVREFLNRDDNAEIESVGGGEVEGIRKTGILSDASKLMVAKLIDGYLAEIAKDHNLPLSDFIDLAELVSGISRPAHDGLYRAIDTYLKEHPGISKGDRKRICKLMNCRKLSVNACMHAVQNERLPMRVVVQVLYFEQVRTAGSSGTSTPDIPKGIKDLNSGSNGSSRSGATNPEDDMDAVATAEELKALRKELSSLRLSNGVGGSFKDEDIKSSADKVVIGKMKGLLKSKKSFLKLLVSKWGQGENSGSDSSESIGSANPEEAKSTPSRNRRHSVS >OIV90871 pep chromosome:LupAngTanjil_v1.0:LG20:5049826:5066102:-1 gene:TanjilG_15604 transcript:OIV90871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTRTKIPSVVLKSSSNQCKMPVIAFGTAANSNKSSGEITKSAVLEAIKLGYRHFDTASYYGSEEALGEAIARALEIGLIGSRDELFITSKLWLTDNFPHLVLPALKKSLQTLKLEYLDLYLIHWPISVKPGNWEQPYVDEVITTFDLEGVWTRMEECQRLGLTKSIGVSNFTCKKLENLLSFVTIPPSVNQVEVNPGWHQRKLREYCEAKGIIITAFSPLGAKGASWGTNEVLDSHVLKEIAEAHGKTVAQVCLRWLYEQGVTIAVKSYNKERLKQNLEIFDWSLTIDDHEKINQIKQVLIFLELRTALDANILRPIGLFKGIGNHPNKTSTTKTMMKSRTGPTTSNSKRKWKEGKSEKKVKQGKGGKKRKDTDVPESHSMLIGHHDDVEGFENETPTSKIVESQHQEDTDSGLAEDSDTEDNVEFSHSDEEDIKINGQSTSENSVHLSSFDLHLQHDLSKEEIENQGNLKFNWEIPATGMSNSKWIGTGENFLEGLDINSYDGLKEKLYEHWMDVYKSSGGKDINSDKQKLFLSLCNSYRDILHCSKRPFYLKGLEDTSIMDAYIMHSLNHVFRTRDCVKKNDAKLTKVGETADIDRFRDQGFTRPKVLILLPLASIVYRVVKRLIQLTPSVYKANVEHMDRFATKFGSEEHEGQKENDLEADDAKPQNLSKPSDFEALFSGNNEDDFMMGIKFTRKTIKLFSDFHTSDIIVASALCLANKIEEAGSNKDKDVDFLSSIEVLIIDHADVIAMQNWSHVHTVIEHLNRLPSKQPGTNVMRIRPWYLDDYARFYRQTIIMGCYSNPDINASFNHQCFNYEGKVKLISEYKGVLHKVLPQIRQIYERFDVDSIVDADDARFEYFVKKVFPRIKDSDQGGIMLFTNSYFEFIRIRNFLKSQNASFCLLGEYTTQSDISRARLWFYEGTRKIMLYTERSHFYHRYKIRGVQNLIIYSLPERKEFYPEIVNMLDGSDNMACTVLFSFLDKLRVIHYSL >OIV90190 pep chromosome:LupAngTanjil_v1.0:LG20:19997311:19999248:1 gene:TanjilG_01386 transcript:OIV90190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLECLLLSNNKLQGSIPKSFQSLCQLITLDLSYNKLSGQFSDYMQHLCSEGHDIINLKLSDNQLNGTLPYNIGQLSKLQSLSIHSNKFSGIINESHFSNLSNLRYLYVDKNPLSFNLSSNWIPPFQLSVLSASSCNLGPKFPLWLKHQWKLMLLQISNNGISNYFPEWFWDLTPGLAYLNVSHNKLSGALPKSIPSMKPRISEITWDFSFNNLSGPLPSFPPQITLLSVSNNMFSGSISSLCGSSYQNLAYLDLSNNSLSGRLLDYWGQFQNLQVLNLATNNFFGRIPDSFGTLQSIETIHLNNNNFTGEFPSLANCSNLKLMDFGDNNIEGAIPTWVGENLHQMIILRLRSNKFQGSIPKSLCNLSHVQVLDLSNNNIIGNIPQCLDHVTALSNTTFSREPISYETHGYIGFEDYNFGSFSDKAILAWKGENREYGKNLRFLTAIDLSCNQLNGQIPQSMTILIALASLNLSSNNLTGFIPNNIGHMEMLESLDLSKNYLSGTIPESLSNLSFLSYLQLSFNSLSGKIPSSTQLRTFDAYTYIGNPKLCGPPLSKDCPEDINNTYGNDVEGEDDDNGFISFGFYISIGLGFFIGFWGVCGTLILKTSWRYAYFQFFNNVCDWIYVQLLLFIARMKRRFQVQD >OIV91129 pep chromosome:LupAngTanjil_v1.0:LG20:2768803:2771240:1 gene:TanjilG_30351 transcript:OIV91129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSASELFNRRHYRFGVGRDSLDPTFDSSPSSDLHLRLHDADSLRRHDHVRRLRRRSSHTEGGADRFIHRRNRWPVLNDSDDSAENGRDVRVSARDVLPVEVLLARERLLERLRGDHVSTNRQHGRDLMVGDQASEISNDVPTVGYLVSDLTSQMGRSQLLQELNQKPPGLTQEAIDCLHLEVFISTVTALESKVLQDCSICLESFTDGDKLICLPCGHKFHSACLNPWVRSCGDCPYCRRGIVVNSHLPKKKLNSLYYIG >OIV91361 pep chromosome:LupAngTanjil_v1.0:LG20:1021765:1022882:1 gene:TanjilG_01979 transcript:OIV91361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSPCGACKFLRRKCVKGCVFAPYFCHEQGATHFAAIHKVFGASNVSKLLTHLPVSHRCEAAVTISYEAQARVQDPIYGCVSHIFTLQQQVINLQAQLSYLKEQAAPSCLNASANEKYFEKPTTNSFPQDLQSWFHQVENSNPRPEFVPNLSHNSSETQCYVNNNTVMDLSPVGNYENSGMSIEESSSFSSFDESSNTMSYNNMQTDRGKWAFHDVDDLHSIAFGYNQSYYAS >OIV90905 pep chromosome:LupAngTanjil_v1.0:LG20:4795821:4797851:-1 gene:TanjilG_15638 transcript:OIV90905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTQIATVDALSMKVAQGSLGRAFVTFLAGNGDYWKGVVGLAKGLRKVNSAYPLVVAMLPDVPEEHREILINQGCVLREIMPVYPPENQTQFAMAYYVINYSKLRIWEFVEYTKMIYLDGDIQVFENIDHLFDMPDNYFYAVKDCFCEPSWKHTKQYQIGYCQQCPDKVHWPSDFGPKPPLYFNAGFFVYEPNLDTYHDLLQTLITTTPTSFAEQDYLNMYFKDKYKPIPNIYNLVLAMLWRHPENVELDKVKVVHYCAFGSKPWRYTGKEENMQREDIKMLVKKWWDIYDDETLDYNNASNVDNLKVAINEAGAVEFIAASHAA >OIV90859 pep chromosome:LupAngTanjil_v1.0:LG20:5159886:5164831:1 gene:TanjilG_15592 transcript:OIV90859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDIFGSLRRSFVFRTSPENNNHNDAARTTTLADKIGSSIRSSRLFSSRNFLPSPPQISMIRWRKGQLIGCGAFGQVYMGMNLDSGELLAVKQVLIAANSASKEKAQAHIKELEEEVKLLKDLSHPNIVRYLGTVREEDTINIFLEFVSGGSISSLLGKFGAFPETVIRIYTKQLLLGLEYLHKNGIMHRDIKGANILVDNKGCIKLADFGASKQVAELATISGAKSMKGTPYWMAPEVILQTGHSFSADIWSVGCTLIEMATGKPPWSQQYQEVAALFHIGTTKSHPPIPDHLSVEGKDFLLKCLQKEPILRASASELLQHPFVTGQKMDSAPLSYAAMRKFEAYSPPCAQTVDSFTAVNPQDFGNKQLRGVNVDDMCEIDDNDEFSQNDVKYRSLMSKDIESFNPMSDPSDDWGSKFDPSPDLEKREDGFDINESYMPPGHSEAFNEGEKDFSFPCVPSLSEEDDELMLTKIKAFLDEKVFELKKLQTPLHEEFYNSLNTSCFPNVADSTSDEPTPKYLKLPQSRSPSRIPIGNPPIVVDNAGSPGSNGRSSSNVGNVNDGSPDIRASPHNELEGLPVDSQQEPISLSVSLSPELKKMWGEELAMEMERQRERRQAGRAGKTSSPKDLAIHRPRERTRFASPSK >OIV90676 pep chromosome:LupAngTanjil_v1.0:LG20:7794066:7794245:-1 gene:TanjilG_23789 transcript:OIV90676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPCQKRESPTLQTSHHYETQVNHDWHLRRGSSLWFESSRFVFVQIVSVLCPPSYMFK >OIV90612 pep chromosome:LupAngTanjil_v1.0:LG20:8561785:8565942:-1 gene:TanjilG_01693 transcript:OIV90612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVLSFSSSGMALCRLVQSPPTNFHFRKYPSKFLKFSSLSLAFRYCHSSMDSPPEGYRRNVGICLMNNDKKIFAASRLDIPDAWQMPQGGIDEGEDPRNAAIRELREETGVTSAEVIAEVPYWLTYDFPPKVREKLNIQWGSDWKGQAQKWFLFKFTGQDQEINLLGDGSEKPEFGEWSWVTPEQLIDLTVEFKKPVYKEVLSVFAPHL >OIV91309 pep chromosome:LupAngTanjil_v1.0:LG20:1412334:1415829:-1 gene:TanjilG_01840 transcript:OIV91309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAISSGLYIDEMGYAMSRLEIESNLCEDGDAIHEDSSSQKGKRPSKNLDNEITQITKLKSTPHQLLGQVGPGRPEFPVSPVKMLGGRESNYSGRGRFSLADRCHVLSRYLPVNGPWLVDQMASRAYVSQFSADGSLFVGGFQGSHIRTYNVDKGWKVQKNILAKSLRWTITDTSLSPDQRHLVYASMSPIVHIVNVGSSETESRANVTDIHDGLDFSSDDDGGYSFGIFSVKFSTDGRELVAGSNGDSIYVYDLEANKLSLRILAHTSDVNTVCFADETSHLIYSGSDDSFCKVWDRRCLIDRGKPAGVLMGHLEGITFIDTRGDGRYFISNGKDQTIKLWDIRKMSSNATCNPGYRSYEWDYRWMDYPPQAKDLNHPCDQSVSTYRGHSVLRTLIRCYFSPAFSTGQKYIYTGSHNACVYVYDLVSGDQVATLKHHKSPVRDCSWHPFQTMLVSSSWDGDIVRWGFTGSDAPYSSTKKRV >OIV90808 pep chromosome:LupAngTanjil_v1.0:LG20:5684836:5686032:-1 gene:TanjilG_15541 transcript:OIV90808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRTFLIARLTASSFTQRSRTQIQLGSLLPYNAFIFFKSFTSGTSLKSESQTNHHKFHNFTVSYLINSCGLSPDLALKISKKIKLKNQDGPDVVLDLLKNYGFSEKQLSILIKRLPSVLLAEPDKTLLPKLKFFQSIGMSEIDLPRIIIGNCSLLTLGLKNNIIPRYNIIRSLLRSDEEVVSTLKHGPRYFHGYEVINDSVQNIEVLRRLGLPQGSISLLVTNFPSVVFMKHSRFNEAVEATKEMGFDPMKTNFVLALQVLAKMDKAMWKAKLEAFQRWGWSKDICLVAFKKYPQYMMIAEKKIMKTLSFLVENMGCSLEDIARCPGILNRNLEKTFIPRCAVVKVLKSSGLVKNDLHIGTFMILSEKKFLEKYVTRFQKIVPLLLDVYEGKKVGLII >OIV90406 pep chromosome:LupAngTanjil_v1.0:LG20:14867880:14872789:1 gene:TanjilG_10706 transcript:OIV90406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAEARHLNHHDRTKLFAFGDSYADTGNTRKDEGGSWIEPYGITFPGKPAGRWSDGKVLTDYIAKYLRLKSPIPYRLRKKKPNHLKYGMNFAYGGTGVFNTSSSNPNMTIQIDFLEQLIQQKVYSDSELSNSVTLVAVSGNDYNFYLVRNGSIQDFPAFITSVVNQITTNLIRIQKLGVKKIIVDGLQPLGCLPQTTAISSFQQCNSTFNNLVLFHNNLLNQSVTKLNQENKNNIIILDLYDSFMSVLNHSLTHNIQNLLKPCCFGVSSDYNCGSVVNNEKKYELCDKPKSAFFWDGFHPTQAGWHAVYSKLKGMDVLQQLL >OIV91270 pep chromosome:LupAngTanjil_v1.0:LG20:1699989:1704742:1 gene:TanjilG_30492 transcript:OIV91270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVPQNNVDVEDGNLEIGMEYRTVSGVAGPLVILDKVKGPKYQEIVNIRLGDGSTRRGQVLEVDGERAVVQVFEGTSGIDNKFTTVQFTGEVLKTPVSLDMLGRIFNGSGKPIDNGSSINPSERTYPEEMIQTGISTIDVMNSIARGQKIPLFSAAGLPHNEIAAQICRQAGLVKRLEKSDNLLEGGEGDNFAIVFAAMGVNMETAQFFKRDFEENGSMERVTLFLNLANDPTIERIITPRIALTTAEYLAYECGKHVLVILTDMSSYADALREVSAAREEVPGRRGYPGYMYTDLATIYERAGRIEGRTGSITQIPILTMPNDDITHPTPDLTGYITEGQIYIDRQLHNRQIYPPINVLPSLSRLMKSAIGEGMTRKDHADVSNQLYANYAIGKDVQAMKAVVGEEALSSEDLLYLEFLDKFERKFVAQGAYDTRNIFQSLDLAWTLLRIFPRELLHRIPAKTLDAYYSRDASN >OIV91333 pep chromosome:LupAngTanjil_v1.0:LG20:1238960:1246364:-1 gene:TanjilG_01951 transcript:OIV91333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREGLRSRARLGNDSGAGGVVERGGVEKKSFDFNVEGSPLPQQEEGLNLTTEKEEEVGCAVKKECGFDLNESPSGNADLANDAEKGCSSGRGGSSVREADAESEVSKKEVSDKGCCSGGGSSDKEDVETCKSKKGVSNDSVLVGGRVLRSRSKRGDDTESCNGDNSSALPGKSNKSGRPEKIEVKKEYGEADEIVSDCHDNEKVRQKQKGGESNLKRKRGRPPKIEPRDEDQFVVQLSPRKRGRPPIIRPNEQGQAGDQLIRKRGRPPIIRPNEQGQSGDQFIRKRGRPPKAGLQDHLPEMAHNRKGKVGFQNGKKGLTAKDSANVNAVPDMHSGRAAEKDLEKNRFSAVKNNKFVKVLKTENNGIASPVTSNTVKPPVGDKSVSNKDRQLVREQIMERLSAAGWTVDYRQRNGREYRDAVYVSLDGKTHWSITLAYNRLKNHYEAGDGEGKVYGPGFKFTPIPEEDYKILTKVISKERIDKNKPRPKGGKSGKTVDGVNRKVKKEKLGSGAGKGKIKRKRPPHEAGNATSSRMSVMVRDHKRHKMQNKKRCAPLVRNAEEEIDSETDGYVPYNGKRTVLAWMIDLGTIVQNQKVHYMHNRRELVPMEGRITGDGIRCGCCNEIVTISDFEAHAGSKLSEPLKNIYTEGGTSLLQCLLDSWFKQDEFERKGFHFVDTVGEDPNDDTCGVCGDGGDLICCDGCPSTFHQSCLDIKKFPSGDWHCIYCCCKFCGFAGESSNQRDSNNDFTLSTLLTCHSCQEKYHRSCIEANGANTDDSRDPIFCGNKCDQLSENLKMLLGVKHEIGDGLSWSFIRRSDVGFDASEIKHEMVECNSKLAVTLTIMDECFMPYIDHRSGTNLIHSILYNCGSNFKRLNYSGFVTAILERGDEVISAATIRIHGNKLAEMPFIGTRYMYRRQGMCRRLLNAIECALSSLNVDLLVIPAISELRETWTSVFGFEPLDLTSKNLINNMNLVVFPHVDMLQKKIPKHKVAGENLIPIEVSNLQESHTIHEVANRCDGVGSSGSDLISSAGIPPSNACQINESYFQPPKGSLNDAPVITSNTIHHNKSPDVTCQFVCQAVDENMAVEAANVRDCARLDHDQKLIDLDSQLNKCCVTYEEKQCLGLSHISTEAAEGHELKSKTDCVQPYFKGSDVQAEAVNGCAAYCRPDTNSDCAAGEAVLTTNVKNNITEDLLVANCEKGSSMVSVLNGNEAEICSVKAPNPGVCQSIVASSGFRENTASYCRPNTNSDCAAGEAVLTTNVKNNIAEDLPVANCEKGSSMVSVLNWNEAEICSVKAPNPGVCQSIVASSGFRENTADGVNERSEAPSVVEVNFLPADKGIFVDTKPDIAGSSELAEPDLQLDQTTRSNPPSLCTPNTAGVSPRWASSGSTSCASTEAI >OIV90840 pep chromosome:LupAngTanjil_v1.0:LG20:5321406:5321879:1 gene:TanjilG_15573 transcript:OIV90840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGICYKLYHFIRKTLASQALKTVTLGGPPHSSSSSTATRDSGSKQGKELQINEDYGNKELLPTHGNFLSSLAAQAEKKNNAFMNIDEIATKNMTCLSQAKPPKKTVSINDNVEEIAPFNKKKRRSKSFQKSSSLNQNEEEEPKPLRSILKVGSDLNS >OIV90159 pep chromosome:LupAngTanjil_v1.0:LG20:20391318:20392184:-1 gene:TanjilG_01613 transcript:OIV90159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLHSSNNNVDAPQVEEVAIKKELEFALFGKAGNENNCSKLDTPKDQSTSLDVAIDKELEELIEMFNGTSNNVDDALLSRSGKRFKICNEVLEEDSKRNVNDCINILHEVPKKETKIARNNEILD >OIV91403 pep chromosome:LupAngTanjil_v1.0:LG20:668824:671080:1 gene:TanjilG_02021 transcript:OIV91403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSFSSKSNNNIVKPSLQVEASVPIESSPLRKIIAVASIAAGVQFGWALQLSLLTPYVQLLGIPHKWSSFIWLCGPISGMLVQPFVGYHSDRCTSRFGRRRPFIAAGALAVAVAVFLIGYAADLGHATGDDLARQSRPRAIAIFVVGFWILDVANNMLQGPCRALLADLSAGDQKKTRNANAFFSFFMAVGNVLGYAAGSYSGLHKIFPFTVTKACDIYCANLKSCFFISIILLLTLSTIALFYVKERAFVRDEKKLEEEEENVSVPCFGELLGAFHELKKPMWILLLVTCLNWIAWFPFLLFDTDWMGKEVYGGSVGDGKASKAYDKGVRAGALGLMLNSVVLGVTSLGVELLARVVGGVKRLWGIVNFLLAISLAITVLVTKMAQHSRHYPDGDINADPLPPTAAINAAAFTLFSLLGIPLAITYSIPFAMASIFSTTTGAGQGLSLGVLNLAIVIPQMIVSVLSGPWDDAFGGGNLPAFVVGAVAAAASGILCIVLLPSPPPELAKATTTTGGGFH >OIV90445 pep chromosome:LupAngTanjil_v1.0:LG20:12517443:12517808:-1 gene:TanjilG_01923 transcript:OIV90445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSPLYSIHFFPLLPPATYEVHDSHPFLLGVEAMASVTLLLTGGCNPPVSPSRRNPPGHEALPPTLLAGTVPSPSRLCRLRQPQLRPGAAISGRPKASLVHLPRWGQAHFNSLCWLEHLLF >OIV90943 pep chromosome:LupAngTanjil_v1.0:LG20:3497261:3504892:-1 gene:TanjilG_16903 transcript:OIV90943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMESPSYSSSSSEFGSVATADQNAAQSVRSGGASEAATTLEQSRGWHDVFWLGVFVIHLIALGFLMGVLGLNRFKIENRLNIDKHTSRISGNKAGLTETYWPLYAAAGGVGTVLGLSWLLLLGFQATHMMKFSVHILTTYLAVISVLCFWAEQFFWGVAFAIGAALQFLYVIAVIDRLPFTMLVLQKAVKMVWNLPEVMRVAHAFTLLVLLWMALWSFGAAGVVASSMDHGGRWWLLVALSVSLFWTGAVLCNTVHVIVSGMVFHVLFHGGREAASVPVNSLLKSIQYALTTSLGSICYGSLFTAAIRTLRWKIRGFRSKIGNNECLLCCVDFLFHLVETLVRFFNKYAYVQIAVYGKSFNHSARDAWELFQSTGVEALVAYDCSGAVLLMGTVFGGLITGTCSGVWAWIKWRDRALMIGSTSMLMGMVLVGLAMVVVESAVTSIYICYAEDPLLIQRWDAEFFNQMSETLHQRLQHRSARSREVLTHNRPSFVFSEKSKNVVFVANNLKYGTFNTNLGSAEFASTRAGCIEIGKQHMFQNIQNLCDSSMANRNQQSDDASTDIDMDDKTQCNGVGYEAPVVVDSQDQSKVNGEDQKTLRRLAQNREAARKSRLRKKAYVQQLENSKLRLALLEQELQQARQQGVFIATGTTGNHGRSVAGNGALAFDMDYAHWVDEHHRLINSLRSAVNSEMGDNELHCRVDAVMAHYDELFRLKIIGAKADVFHMLSGMWKTPAERCFMWLGGFRSSELLKIVRNQLEPLTDQQLMGIHYLQLCSQQAEDALSEGMEALQQSLLDTVSSTSMGLTGSGNVAEYMGQMAIAMSKLATVENFLHQADLLREQTLQQLQRILTTLQAAHALLAINDYISRLRTLSSLWLACPKE >OIV90552 pep chromosome:LupAngTanjil_v1.0:LG20:9934156:9934643:-1 gene:TanjilG_18156 transcript:OIV90552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVTIKSISIFLFFLGLIFQGYCKPCSLGDLSVKKSKTGVKIQGKPEWLVTVTNNCHCGQSQVILNCRGYKTVEPVDPNILTYSGTDYCLINYGKPIYKQPVTFKYAWDEAFSMSPNSSQMAC >OIV91006 pep chromosome:LupAngTanjil_v1.0:LG20:4047961:4059529:-1 gene:TanjilG_16966 transcript:OIV91006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLWKLKTSGGASASSLSVAPLSVPNQVDDISRSPKDMRAVGHTNVDVDIREVYFLIMHFLSAGPCQKTFAQFRTELLEHQLLPRRYHAWFSRSGVPSEGDVDDNDGTSLPLDYNKLMDRYPHVAKDHLVKLLKQLMLSTVHPLHGNLGQSSPSAADVPTLLGYGSFSLLGIDRISSVKQSKSPAVYLRWPHMPANLVQGLSLRETGGGFTKHHRAPSIRSACYAIAKPSTMVQRIQNIKKLRGHRVSVYCAIFDRSGRYVISGSDDRLVKIWSMETAFCLASCRGHEGDITDLAVSSNNALVASSSNDFVIRVWRLPDGMQMSVLRGHTGAVTAIAFSPRPSAVYQLLTSSDDGTCRLWDARYSQRNPRIYMPKPSDATTGQTNASCSVNRPGKSNAPPTNQPSSSNGQQRYQILCCAYNANGTVFVTGSSDTYARVWSAFNFKPNSDDSDQPIHEMDVLTGHENDVNNVQFSGCSVASKYLTSDSLKEENTMKFRNSWFCHDNIVTCSRDGSAIIWVPRSRRSHGKALRWTRAYHLKVPPPPLPPQPPRGGPRKRFLPTPRGVDMIVWSLDNRFILAAITDCRICVWNAIDGSLVHSLTGHTASSYVLDVHPFNPRIAMSAGYDGRTIVWDIWEGVPIRTYEIGRFKLVDGKFSPDGTSIVLSDDVGQIYFLNTGQGESQKDAKYDQFFLGDYRPLIHDTQGNVLDQETQLPPHRRNMQEPLCDSSMVPYPEPYQSQFQQRRLGALGIEWRPSMIKCAVGPDFSVGLDYPVIPLADLDGMLEPQPQFIDAMFWEPEFDIVVSDDNDSEYNVNEDRSSAGEQGSVSAISSSDSECSEDDCSNRDGLRRSRRKRHNIEGEAMTFSGRHASKRNLNECDGNPSGSNRAKKKSKGVSKSSKMKYPKAKTLRPQRVAARNARNMFSQISDTDGEHDISKDDSSDSLEDLDILSESERKMHNKHELKEPLFGNVAKPPAHSESQVKVEKKLGLVLKISLRDSKKNVPLEDTGLACETQANMTCQSSRPQKSDQETSAEVTNAKLLQSHNTDENTDKSLDESNHLDTSITVEGNTCRQTYRLSRSGNGFQSDIEFDGHHGYNGNRRSYHMSRKFDPVGSMIDTEPADLDNTLKVSSVETSLLGDHDGSLTSGYKLNDVDKGQPGSKKCTEDIVESNEVVHSSHSRDLKMKAPMKAMKLVIKKKQLLTDNEGPCKLKFVSSQADSTGARTDLISGNSSFTRPNLVMEVPEEAEHDIKICSPQLLHSYSGGKSYDHAHESNKSCKGEVWPDGSGCDLKENNLIFSNQHGFGIGPSDVASDPRCRTRSMRMETASEKPNALNLRIKVRQGQNSRGMCSLEGSSVNVPDQLHQRKRASRNRHDEYIANDPGILTRRMSNLNEKKVSWLMLSEQEEGYRFIPQLGDEVVYMRQGHQEYIESFMLKELGPWKLFKGLSASEICKVEELEYAVLPGSGDSCCKLKLRFVDPSSHVHGKLFRLTLPELINFADFVVEKTWYDTAINRNWSSRDKCLVWWRNEDGKSGSWWDGRIIAVQAKSHDFPDSPWERYQVQYRTDLTETHLHSPWELYDPEIQWEHPHIDPEIRDTLLSYFTKLDHRGYDIQVLDQLSEKSEFSNRFPVQLYPELIQTRLKNDYYRRVEAIKHDIMVMLSSAEEYFRMSKNAHYSTMVRRMSVWFRRKLDML >OIV91351 pep chromosome:LupAngTanjil_v1.0:LG20:1098855:1099091:1 gene:TanjilG_01969 transcript:OIV91351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSFGCYETKMNMKDTELRLGLPGTDDMEKSSCNYSVVRSNNKRSSPEASDEEESISKNGSNTISDDDQHNYAPPSK >OIV91050 pep chromosome:LupAngTanjil_v1.0:LG20:4442672:4443628:1 gene:TanjilG_17010 transcript:OIV91050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSAATGKGTFVSISRALIFTSKTSPLFLSSLQIQCRFFTSYPPFPISTKPFSPKYPSPKVSIFDSIKRHFSSESNPIRKNIDTKVNFSLSDSDSDSDDEKGATPTKQIDKSKKLPPPYDPFNKKPAIEEPKDPKDLQEIFHNMRTGDGLLNHAAKMFDHLSKQGLTHEALELFGQIKDKGQMPDVVSHTAIIEAYANASQPKEALKVYMRMLASGVTPNVYTYAVLVKGLCVSAKFLKDAKKYLLEMMDKGMRPNAATYTAVFEALAKEEKVDEAAELLEQMKAKGFVPDEKAVKEVLSNKRGPVCRNVMNILFGK >OIV90951 pep chromosome:LupAngTanjil_v1.0:LG20:3592081:3593719:1 gene:TanjilG_16911 transcript:OIV90951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQDSTTMPTRWPTQPSRWTHHQDKLFERALLFVPEDAPDRWAKIAEHVPGKSVAEVKEHYDDLVHDVFEIDSGRVSVPEYNDELAAVTGGSEGLSSWDSSSQISFGSKTKHGDNERKKGTPWTEEEHKLFLIGLNKFGKGDWRSISRNAVVTRTPTQVASHAQKYFLRQNSGKKERKRSSIHDITTVDSNSVPAPMDQNWVPPPSRPMQQLQEMQFNPRNNFQNQMGGFGYSNYGF >OIV91439 pep chromosome:LupAngTanjil_v1.0:LG20:378870:379330:1 gene:TanjilG_02057 transcript:OIV91439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKPSIHHRTNSTCISEAIRIRLFYCGPKKYVDSQKTSKRVHFVKNLIREVAGFAPYEKRITELLKVGKDKRALKVAKRKLGTHKRAKRKREEMSSVLRKIRAGGGAGDKKK >OIV90759 pep chromosome:LupAngTanjil_v1.0:LG20:6168719:6171301:-1 gene:TanjilG_15492 transcript:OIV90759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGSMNCLPCFTSQKSKKSNSKREHGNTPPENVTAKAPDMKKPRPDDPNQVFDPANINAQNYTFRELATATKNFRQECMMGEEGFGRIYKGIIPATGKVVAVKQLDRNAMQGCKDYLAEVWALSLLHHENLVNLIGYCADGDQRLLVYEFIQAIPLEIRLFEKKGDEPPLDWYNRMKIAVGAARGVEYLHDSANPPVIYRDLKASNILLDENLNVRLSDFGMAKFTGGDNKMTPSPARVMGTYGHCAPEYVRTGQVTIKSDVYSFGIVLLELITGRRAVDTRRPNDEQNLVSWAQPLFRDPKRFPDMADPLLDKQFPEKDLNQAVAIAAMCLQEEPEARPLISDVVTALSFLSVVPPADAIPPSVSHAASVSKHSEGASESDSESGSEYESGSEEGVDKESRRRYSSKKGSSKYKGGSSSKYQESDVSEDTMGSKEFYSKSSRKSSTRSRNGTITSEAEDGSVSSSNKSSRKPHGN >OIV90643 pep chromosome:LupAngTanjil_v1.0:LG20:8189416:8189667:-1 gene:TanjilG_01724 transcript:OIV90643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTESTKDVNGSVNKPMAVASLDASKVEDHTNGEDDDSKSLLPPRIGGMSRKSDKGHKTRRKKVQWNDKNGNKLVEVLEYEPR >OIV90318 pep chromosome:LupAngTanjil_v1.0:LG20:17928459:17932358:1 gene:TanjilG_13173 transcript:OIV90318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLCGSRPKVKDDLATKKNNNHRRRRRKILRKRVSSRNIEANNITHSNSVLQASNRASDAAWFDSTSALDSECDEEFYSVYDGVGELSASHADEIGEDKKLTSDYCGGILPNAWLPCISSVALPVEKRRPASPDTPRSRMKLLSKLSFKFREGTSDMALLSPRPLKQKLLAGSLVPFCPIEKQTPGSWSPIEPSSFRVRGKNYLRDKKKEFAPSNAAFYPLGVDLFLSPRKIDHIARFVQIPAINIPGDVPSILIVNIQIPLYPATIFQSENDGDGMNVVLYFKLSERYAKDLPDQFRENITKTINDEVERVKGFPLDTIASFRDRLKILGRVANVDNLSLGATEKKLMNAYNEKPVLSRPQHDFYLGENYLEIDLDVHRFSYIARKGFEGFIERLKLCNLDFALTIQGNKPEDLPENLLCAIRLNKLDYNNFNQIGL >OIV90650 pep chromosome:LupAngTanjil_v1.0:LG20:8088668:8089015:-1 gene:TanjilG_01731 transcript:OIV90650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMFDVHIHIPCMQNHIKPFPKNKNNNKSQFFIFIFFYLHRNKQRNYRRRFITERSRRVLPHLEHHRHLLHLCGAYVLWLHLLRLHLHRKRRRRRQRRKQGDRHVPLDLAVYLQR >OIV91118 pep chromosome:LupAngTanjil_v1.0:LG20:2848861:2849980:-1 gene:TanjilG_30340 transcript:OIV91118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQYRHLATMYLVWSVVANHYEDDTGKTTQRYNKVGYEKIPLAKVDCNESDSPPIFELARLAPSKEITTCFIHLIAERASGSKSETAVTFPVYLQGFNPFNAQKPLWISDKCDLSSLKPFLPLLLEITSQRGDYEVGAKQR >OIV90580 pep chromosome:LupAngTanjil_v1.0:LG20:9113055:9149837:1 gene:TanjilG_01661 transcript:OIV90580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNFGEQLLGQDGNQFQMNNTRVSINPENPILVRSNPIPDWQLNQIRRANFQQFHVPGSGYMNGMHMRGHYARAQHNMSTGLNSRLELLLKNNANPISAANSSFDVRMNRAAGNPLLPIFRTQASSNVRESYAGVQRSNIHSAPGIMYKESNRLLIPNRNSEFNGSNSETLLKSSAQWSVPNQVEGIPHGDSYPKYSLNYMPITEADASVTFNSFQSIPITRDQLKFAGNQFCAIPDYTRAGSTSQDKGKQEELISSTEKVQECYNGLLQQIVDSSSAAISTSCGDQRGSDSNFCGEGSGLGFDLNKTPDQKVATRRRKHRPKVITEGKPKRSSNPATQKKQVKENSPKKRKKVLKTEATPQADVIEETNGLTVPTRKTCRKALNFISDKSRNESQSRIVCHHDEAFRTTSDYRTAEMLSGENVKTNSVFLSNQQNELTVQGRKRIITLPGTTEEKQIPKFLATEKGPAQGNSVLCQERSNGCMQQYIHAKEIGNILFQSETCFENSQNTKELICQNTHQSESNIPSSSIKGKVSKRKRKSIDSQHNSATNPLGTSLCQEILQAGENFEGEALDKGFLETTNKKKTKKRLHGKVNGTSSCQIMSKDESQKVIRKGKKVSQSPPHVKMANCCTESDRFLEQKNRGTSTGDCFAISGELHQIYSTLIDEIICRLNNLNLSESNTSAIEGQSALVPYKGDGTIVPYQEPDIPKKHKPRPKVDLDPETERTWKLLMGKEGSNSLDGTDKEKEKWWEEERNVFRGRADSFIARMHLVLGDRRFSKWKGSVVDSVIGVFLTQNVSDHLSSSAFMSLSARFSLKSEGSRSYIVGTKTWVEEPDDTTMSCGRGTFSELAYHLGFGLPHNTSGVWRDSETSRIQRIPIETNNKSSEEELLSSQDSLESSITQGTRGYGSCSRPSSESGPNRGCEPSKAQFLTSTNSFQVGKTTMFQEFYNSVNGASLCEERTKDGQVQHAENLKQSLGVEGVNNRNFRSAFNYPSNFGYPQEQEPVVPSAYYEFHYPDTQGLETFQMNGNESFWPETVTTHSKFPDNNYEKFGIPEIGDNADEPTEKQYGSGALSSPALPTMNHSGPLSKHLDLLQGTSHILGSENIISAANTQVCSDNSRAESNEQQICSPSPTYKKKKTKVSKAKKVKPETEKKHACDWDILRKKVLANGTKIERGKETMDSLDYEAVRCASVKEISDTIKERGMNNMLADRIKEFLNRLVIDHGSIDLEWLRHVPPDQVKDYLLSIRGLGLKSVECVRLLTLHHLAFPVDTNVGRIVVRLGWVPLQPLPETLQIHLLELYPMLESIQKYLWPRLCKLDQRTLYELHYQMITFGKVFCTKSKPNCNACPMRAECRHFASAFASARLALPGPEEKGIVGMSVPIAADKNPYVNMKPVILPISENNFIGEATHESGNCEPIIEEPTTPEQDSTNALESDIEDFFVEDPDEIPPIEFNISESALNVQSFMQYMEHGDGEMSKALVALTSQSASIPVQKIKTVSRLRTEHRVYELPDSHPLLEKMDKREPDDPSPYLLAIWAPGETANSIEPPERRCGSQDSTDMCNDKTCFSCNSIREANSQTVRGTLLIPCRTATRGSFPLNGTYFQVNELFADHASSVQPIDIPRAWIWNLPRRTVYFGTSVSSIFKGLSTPEIQHCFWRGFVCVRGFDQQKRAPRPLQARLHFAARFTDRAQIADINQKKSFMHTRDRIRDCGVKATSAFIMSPSWHSSIGYNLIYSLQTLVIELKSRIMAEEFGYQRSGKKCKEKFENLYKYYKKTKEGKGSRQDGKHYRFFRQLEAICGEPTNIHHNASTSDNKTHHHDASNTRAGFQSPTFATNQDSVNVDHFPNHMSSESLSFSNLSDQLETSSSENNDEDLSAIAYMMNQSRDNSNKHKGLELEHRQSEGRVRKSWRGKIEEIVGSHTRKIIETQDAWMEKMLSVVERREQEMASKEEERKRKESMRFDQEVHELWAKERAWVEARDAALIKVVRKHFGFKELEAFPLHHEAMVVEEEQQNKNNEYPCETASGKWTEIEISNLIQLKNSFEERLRENGCLVHNGLWDEISAKLGCLGFDRSASECKQIWDEISISLRVTTVECSSSSANTTRPWYLGLKLRDDDEL >OIV91298 pep chromosome:LupAngTanjil_v1.0:LG20:1485519:1489547:-1 gene:TanjilG_01829 transcript:OIV91298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSSTQDAASVDSVTLFVLLLCSCILFGHLLQKSKWMTESVVALIIGIITGIIILLTTGGKSSRILVFDEDLFFIYLLPPIIFNAGFQVKKKQFFRNFLAIMLYGVLGSLISFLIVSLGSIQLFKMLDIDLVTVGDYFALGAVFSATDSVCTLQVLDQDETPHLYSLVFGEGVVNDATSVVGLFSAYLIKKLYFGRHSTDREIALMILMAYFSYMLAELLDLSGILTVFFCGIVMSHYTWHNVTENSKITSRHAFATFSLISEIFIFLYVGMDALDIEKWRFASNSPWTLFGASAILLSLVLLGRAAFVFPLSFIANLFRKSRNDKIAFKQQVVIWWSGLMRGAVSIALAYNKFTTSGHTQVQGNAIMITSTITVVLLTNVVGGLLTKPLVKFLLDPHVHVGGSSMSSGLFSAKNLPLLTNGQDIESSIDTGNPLDSSKVVHQYWRTFDDTFMRPVFGGRGFVPIIPASTTEDNIH >OIV90522 pep chromosome:LupAngTanjil_v1.0:LG20:10544139:10545644:-1 gene:TanjilG_32399 transcript:OIV90522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGFASAGGGETHFEAKITFTVIISCIMAATGGLMFGYDIGISGGVTSMPSFLQKFFPEVYKKTLVHGIESNYCKYDNQYLQLFTSSLYLAALVATMFASTVTRKLGRKQTMLVAGLFFIVGTALNAVGNTLIILIVGRVILGCGVGFANQAVPVFLSEIAPTRIRGALNIMFQLNITIGILIANLVNYFMAKIEGGYGWRISIALAGVPALMLTLGALAVDDTPNSLIERGKEEEGLAVLKKIRGVDNVEAEFQDILKASKISKQVKNPFRNLLKRHNRPPLIIAICMQVFQQFTGINAIMFYAPVLFSTMGFKSDASLYSAVITGAVNVLSTLVSVYFVDKAGRRMLLLEACVQMFVSQTVIAIVLGLKVQDFSQDLSKGFAMLVVIMVCTFVASFAWSWGPLGWLIPSETFPLEARSAGQSVTVFVNMLFTFIIAQAFLSMMCHMKYGIFVFFSTWVFVMGLFTLFLIPETKNIPIEDMNEKVWGQHWFWKSYMDD >OIV90994 pep chromosome:LupAngTanjil_v1.0:LG20:3930695:3939411:-1 gene:TanjilG_16954 transcript:OIV90994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYVLVSGGVVSGLGKGVTASSVGVVLKACGLRVTSIKIDPYLNIDAGTMSPFEHGEVFVLDDGGEADLDLGNYERFLDVTLTKDNNITTGKIYQSVLEKERRGDYLGKTVQVVPHITDAIKDWIESVAVIPVDRNEGPADVCVIELGGTVGDIESMPFIEALRQLSFSVGPDNFCLIHVSLIPVLGVVGEQKTKPTQHSVRELRALGLTPHLLACRSAEPLLQSTKDKLSQFCHVPINNILNIHDVPNIWHIPLLLWNQNAHHSILQQLNLLSNATPPDLRQWTKMAEAYDNLTESVRIAMVGKYVGLTDSYLSVVKALLHACVARSLRPSIDWIAASDLEDDSAKSSAACVLVPGGFGDRGVKGMMLAAKYARENNVPYLGICLGMQISVIEFARSVLGWERANSVEFDAQTPNPVVIFMPEGSRTHMGSTMRLGSRKTFLKAPDSITSNLYGNSEYVDERHRHRYEVNPDLIGTLEEAGLKFVGKDESGKRMEILELPSHPFYVGVQFHPEFKSRPGRPSALFLGLILAATGKLEAHISKHPNGS >OIV90376 pep chromosome:LupAngTanjil_v1.0:LG20:15383343:15388829:-1 gene:TanjilG_10571 transcript:OIV90376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEPATADTVPPTPPPRAHKSWADEADDEAAEDSNATASSSSATPQTSSLNELTIDGNKKPSKHLDDPEDSNIQTVTSGETPYTSAATFEELNLSPELLKGLYVEMKFQKPSKIQAISLPMILNPPHRDLIAQAHNGSGKTTCFVLGMLSRVDPKLQTPQALCICPTRELAIQNTEVLQKMGKYTGISSECAIPTDSRVDLPIAKRAPIMAQVIIGTPGTIKKWMTFKKLGVTRLRILVFDEADQMLAEDGFKDDSLRIMKEIEKFNSNCQVLLFSATFNDIVKNFVSRTVKKDHNKLFVKKEELSLDAVKQYIVRCPDELSKIEVIKDYIFEIGENVGQTIIFVRTRESAKMLHQALVAFGYEVTSIQGALSHEERDKIVKEFKDGLTQVLISTDVLARGFDQQQINLVINYDLPLKHTAEHTREREPDYESWCAVVVAAMGVVV >OIV90115 pep chromosome:LupAngTanjil_v1.0:LG20:20822528:20827178:1 gene:TanjilG_01569 transcript:OIV90115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLGMRGKNRRSGNTKIDYLIHIQEIKPWPPSQSLRSLRAVSIQWKNGESASGSTNLVAPLLGSVIGEGRIEFDESFRLPLTLLRDMSVRGGDADVFRKNCLEFNLYEPRRDKTLTGQLLGTVIIDLADYGILKETLSISAPMNCKRSYRNSDQPLLFIKIEPVEKIRTSQSLTNSISKEELKDNNGGGSVSASTNEEYAEEAEIASFTDDDVSSHSSAAAVTTPKLEEKGSNREILNTVINGKEHLLASETRLENLNMMQQDRYEKLESSCNPPSQNLLSDQNKIVSSSVDSSSRSLVCDNIDVNYRSRTESSDHENLDQNVHDKIGDYRNKFDVQTNSKESSFNIYSRLLSYIDTSECNDKSSRRFEEPDKYCVKEEVGDKVYYGSLDECQSYMKDEQVVTHGDKDQNLLDSRSNTISFGGSNNAMRGNIVRSERLKNMKSEKSPADSARNIESLSSNHHSEVKENGQNSGGNIRRNDKGMIYQKEARNATLEGKIHHFENKIKMLEGELIEAAAIEAALYSVVAEHGRSTSKVHAPARRLSRLYLHACKENIQGRRSGAAKTSVSGLVLVTKACGNDVPRLTFWLSNAIVLRTVISQTIKDLVQSNPSRRRKSEDEGYGKVASSLRWKNDTALGYESFGNWDEPHVFISALERVEAWIFSRIVESIWWQTLTPHMQIDAKLTNTNSSSTSRKSYGRVSSSCDHEQGNLSLNIWKNAFREACGRICPIRAGGHDCGCLPMLPRLIMEQCVARLDVAMFNAILRESADEMPTDPVSDPISDSKVLPIQPGKSSFGAGAQLKTVVGNWSRWLTDLFGMDDDDSLEDKDDPDNNDERQNISLKSFNILNALSDLLMLPKDMLLHASIRKEVCPMFSASLVKKILHNFVPDEFCPDHVPTTVFEALDSENDIDDRNESVNNFPCIAAPIVYSPPSATSIASIFGEIGTKSKLQRSMSSIVMKSYSSDDELDELKSPSSSMFFTSSSSSAVLTKPSMKPKAIHYESHVRYELLRRVWKNSE >OIV90562 pep chromosome:LupAngTanjil_v1.0:LG20:9572018:9572200:-1 gene:TanjilG_10656 transcript:OIV90562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLSQPTTSTPKGPKWFTNMPIVQAAIVFLLHHYSEIVPCVGASENDVAANKMLGGWRKR >OIV90107 pep chromosome:LupAngTanjil_v1.0:LG20:20923330:20925286:1 gene:TanjilG_01561 transcript:OIV90107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVNNNNSSKGTGSSSSPFGNVTSIPSNPGFSQSQGQAQIGAGFQGQFQLSQAHAIAQAQSKAAHAQAAAHAQAAAHAQLQAHLQAQGLTLNQNQSGGLGNLGVSPTLGSTPGNASGKRMPLKPPVRPVGFSPMNSFSPLRPMELTPAALTRRKKQKLPEKQLHEKVAAILPQSALYTQLLEFESRVDASLSRKKVDIQEALKNPPCIQKTLRIYVFNTFANQVRTIPKKPNAELPTWTLKIVGRILEDGVDPDQPGVVQKSSVLYPKFSAFFRRVTISLDQTLYPDNHVIIWENSRSPAPHEGFEVKRKGDEEFTASIRLEMNYVPEKFKLSQALTEVLGIEVDTRPRVIAAIWHYVKARKLQNPNDPSFFHCDQPLQKVFGDEKVKFTMVSQKISHHLFPPQPILLEHKIKLSGNSPAGTACYDVTVDIPFPIQRELSALLASVEKNKEIDTCDEAICGIIRKIHEHRRRRAFFLGFSQSPVEFINALIESQSKDLKFVAGEPSRNAEKEGRSEFFNQPWVEDAVIRYLNRKPPTGNDAPGST >OIV91144 pep chromosome:LupAngTanjil_v1.0:LG20:2651098:2652741:-1 gene:TanjilG_30366 transcript:OIV91144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFGATTQKCMACDKTVYLVDKLTADGRIYHKACFRCHHCRNTLKLSNYCSFEGVLYCRPHYDQLYKRTGSLDKSFEGTPKILKPERQLSGNENNKVMANVFLGTRDKCASCKKTVYPTERVTVNGTPYHKGCFKCTYGGCTINSANFVTHEGKLYCKHHHIQLFKEKGNYSQLENEQEKNSVTENITTTGNVA >OIV90141 pep chromosome:LupAngTanjil_v1.0:LG20:20595607:20599591:1 gene:TanjilG_01595 transcript:OIV90141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKEKVHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIVKEVSSYLKKVGYNPDKIPFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQINEPKRPTDKPLRLPLQDVYKIGGIGTVPVGRVETGVVKPGMLVTFGPTGLTTEVKSVEMHHEALQEALPGDNVGFNVKNVAVKDLKHIMGKEKVHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIVKEVSSYLKKVGYNPDKIPFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQINEPKRPTDKPLRLPLQDVYKIGGIGTVPVGRVETGVVKPGMLVTFGPTGLTTEVKSVEMHHEALQEALPGDNVGFNVKNVAVKDLKRGFVASNSKDDPAKEAANFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFGELLTKIDRRSGKELEKEPKFLKNGDAGMVKMIPTKPMVVETFSEYPPLGRFAVRDMRQTVAVGVIKSVEKKDPTGAKVTKAAAKKK >OIV91269 pep chromosome:LupAngTanjil_v1.0:LG20:1706756:1718914:1 gene:TanjilG_30491 transcript:OIV91269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATPTWQPQEEGFKEICGLLEQQISHISSADKSQIWHHLQNYSHLPDFNNYLAFIFSRAQGKSVEVRQAAGLYLKNNLRTGYKAMLPAYQQYVKSELLPCLGAADKHIRSTAGTIISVVVQIGGLVEWPELLQALVNCLDSNDLNHMEGAMDALSKICEDVPQLLDSDVPGLAERPINIFLPRLFKFFQSPHASLRKLSLGSVNQYIMLMPSALYSSMDQYLQGLFILANDPNAEVRKLVCAAFVQIIEVCPSFLEPHLRNVIEYMLQVNKDTDEEVALEACEFWSAYFDAQLPPENMREFLPRLIPVLLSNMAYADDDESVIEAEEDGSEPDRDQDLKPRFHVSRFHGSDEVEDEDDDVVNTWNLRKCSAAALDVLSNVFGDEILPTLMPIVQAKLSAGGDEAWKDREAAVLALGAIGEGCINGLYPHLSEIVAFLIPLLDDKFPLIRSISCWTLSRFSKFIIQGIGHPKGYEQFDNVLMGLLRRILDDNKRVQEAACSAFATLEEEAAEELAPRLEIILKHLMIAFGKYQRRNLRIVYDAIGTLAEAVGGELNRPGYLDILMPPLIEKWQQLSNSDKDLFPLLESFTSIAHALGTGFSQFAEPVFRRCINIIQTQQFAKVDPVAAGAQYDKEFIVCSLDLLSGLAEGLGSGVESLVSQCSLRDLLLHCCVDEASDVRQSAFALLGDLSRVCPIHLHPRLLEFLEAAAKQLEISKVKEAISVANNACWAIGELAVKVRQEISPVVLTVISCLVPILQHAKELNKSLIENSAITLGRLAWVCPELVSPHMEHFMQPWCTALAMIRDDIEKEDAFRGLCAMVKANPSGALSSLVYMFKAIASWHEIRSEDLHNDVCQVLNGYKQMLGNGAWDQCMSALEPPVKEKLSKYQV >OIV91200 pep chromosome:LupAngTanjil_v1.0:LG20:2210562:2214665:1 gene:TanjilG_30422 transcript:OIV91200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSPNTFSSSTFYSHSHSYSYSYSYFHLPPRCPTFLSATFRRRSRRRNRRNKLTSPTTPHSEPKIETVIDLTHLTTFQTSLRYNIRQFVSSAEDAYTDLQTLLTLDHSRRLVVSCRPSTLNFVATSTLFTFLSFTALRLIIDLGSRFWNWSRNASSYSAPMVRRDRSLGGREVVVGTVAAKKPAANPLSPVERSLSLRRASKNKVVGVQKKLPKWWPHIINGTVLDVDDQEEYKREAYRVVRDKMMKIVADFKEGKRLNYDSMDALFCRTSGVQVSIDPANVRDSLYRASVSFVLNVCSRAPTYSTSIDIDGEDAQKFLAGFAENIGLENVRAATMVSAAVAARTRSCLLQAWALEMQGKHIDATVELSKICLFLRIFPPEESSPEMEMVGRGLEKHLKLEQRKHLMFLFAKVCGEDSQTIAREALGLMHSQFSDQLEDNFA >OIV90232 pep chromosome:LupAngTanjil_v1.0:LG20:19470971:19475419:-1 gene:TanjilG_01428 transcript:OIV90232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCINSKNVVSEFSLPPIVADQYSVAGTIVESGHYHHGNHGSRANSGVMVLSSEERKKLKKNSSKKSNGSFSFRNGFSNHRYVDAELNAAGWPPWLTASAAEAIQGWIPLKADSFQKLEKIGQGTYSSVFQAREVESGRMFALKKVRFDNLQPESIRFMAREITILRRLDHPNIMKLEGIITSRLSNSIYLVFEYMEHDLAGLISRPDVMFTDSQIKCYMMQLLSGIEHCHLRGIMHRDIKVSNILVNNEGVLKIADFGLANTISPNNKHPLTSRVVTLWYRPPELLMGSTSYGVSVDLWSVGCVFAELYLHKPILKGRTEVEQLHKIFKLCGTPTDDYWKKFKLPHATMFKPQTTYESSLRERCADFPETAVSLLETFLSIDPYKRGTASSALMSEYFNTIPDACSPSKLPKYPPSKEMDAKNRGDSSSKKTGGKTREAVTSRRQQRRIYNVVQEPKNFNKTASKEEMQNIPQNSGKDKDDGKGHLTKGKGGGAMHKEQPKHSMDTLSDASQNMNVIDNVKGYSVHSGQVQPPGSSGFTWEKRRKPDASSALSDGERSKISALDPNFAKGTYDLTRQAILERKYNDNHAHQDETSRHVLQKHQAQHGQPKVQMDFDPAELLNAQGYKEYGAYWNENSLRHGKSRFGRGK >OIV90108 pep chromosome:LupAngTanjil_v1.0:LG20:20919279:20920403:1 gene:TanjilG_01562 transcript:OIV90108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRILELLTSKATGSSSGQEPQDADYDVPCLSDELETMILARFPISEHWKLCVLNKQFLAALKKGEVYKIRREIGFKEPSVFMLASGESNWCAFDENFKCCKKLPIIPSDYSFEYGDKESFSAERALTIYTCFPDPAFEKLPWKQIVCSSTKLNPFIHNCAVMLA >OIV90091 pep chromosome:LupAngTanjil_v1.0:LG20:21069978:21072462:-1 gene:TanjilG_01545 transcript:OIV90091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERNVSSIKVISRKSLIFFFTMTTVLFLMSWFYVLRSTGSPSFVDHNLLPNSKILSTFDGSKSDSRLQNQNVEESSLGNRAILVDSVNVDESGKAKKNRENEKSKTNYRKCRRNDKFVLKVFMYDLPLEFHFGLMDWKGSGSSVWPDIRTEIPRYPGGLNLQHSIEFWLTLDLLASEIPQALKPGSAIRVRNSSEADIIFVPFFSSLSYNRYSKTNPHEKKSRNKILQEKLVEHLTAQEEWKRSGGKDHLILAHHPNSMLDARMKLWPATFILSDFGRYPPNIANVEKDVIAPYKHVIGSYVDDASTFDSRLTLLYFQGAIYRKDGGLARQELFYLLKDEKDVHFSFGSVQKGGIQKATEGMRSSKFCLNIAGDTPSSNRLFDAIASHCVPVIISDAIELPYEDVLDYSEFCIFVRTSDAIKENFLINFIRSIDKDEWTRMWNKLKEVEHLFKFQFPSKEGDAVQMIWQAVARKVPAIRLKLNKFTRFSRSPSSADKGLKSIPVPKNFW >OIV91141 pep chromosome:LupAngTanjil_v1.0:LG20:2663864:2668349:1 gene:TanjilG_30363 transcript:OIV91141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARFAVEKNSLTVTSPENIKGTHDSAIGNFGIPQYGGTMAGNVVFPKDNQKGCKEFDEFGISFKSKPGALPVIVLLDRGNCFFALKVWNAQKAGASAVLVADDIDENLITMDTPEEDGSAAKYIENITIPSALIEKSFGEKLKNAISGGDMVNINLDWRESVPHPDARVEYELWTNSNDECGVKCDMLMEFLKDFKGAAQILEKGGYTQFTPHYITWYCPQAFTLSKQCKSQCINHGRYCAPDPEQDFSSGYDGKDVVIENLRQLCVFKVANETKKPWVWWDYVTDFQIRCPMKEKKYNKECADSVINSLGLDLKKIERCMGDPNADSENPILKDEQDAQVGKGSRGDVTILPTLVINNRQYRGKLEKGAVSKAICSGFEEATEPAVCLSSDVETNECLNNNGGCWQDKAANITACKDTFRGRLCECPLVDGVQFKGDGYTTCEASGPGRCKINNGDCWHEVRNGHAFTACSDNGKVNCQCPVGFKGNGVKNCEDIDECKEKKACQCPECSCKNTWGRYNCSCSGDLLYIKDHDTCISKTASQQGKSAWAALWVIFVGLVVAAGGAYLVYKYRIRSYMDSEIRAIMAQYMPLDSQEGVVNHVNEERA >OIV91092 pep chromosome:LupAngTanjil_v1.0:LG20:3046860:3048916:1 gene:TanjilG_30314 transcript:OIV91092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDHFVLLVDRLLTESTLEAALESRNCAMQAASSALDDTKVHVSLMKKGLDSTNSPGKLVECKICHDEDEDCNMETPCSCCGSLKYAHRRCVQRWCNEKGDITCEICHQQFKPGYTAPPPLFHFGHIPMSFRGNWEISRRDLNSAHLVSMVPTDQNLINSDYDRYSASSTGSLICCRSVAVIFMALLILRHTLPLTISGNMEYSFPLFMMLLFRVVGIVFPIYLMVRAVSLIQRHRQQHREHPDALVSVSDDENEEADLQPQPHIIHVL >OIV90410 pep chromosome:LupAngTanjil_v1.0:LG20:13992978:13994585:-1 gene:TanjilG_00054 transcript:OIV90410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKLFTRVIFSKPYFNYSHLIHTHLVSNDYDVSAVCNSFRKGWNWDIITNKFGSFKLSDSVVEQVLLEFRNPSDAKNALSFFHWSTKNMGFKHGTWSYCAVIHILVRARLVTDARALVESVLLKNKESSNSMRFSVVDSLLDGFKVYDSHPMVFDFLIQGYAKLRMVDIAFDTCRYVEERGFSVSLVSYNTLLHVVQKSDMCALVWDIYEYMIQKRIYPNVVTLRIMINALCKDGQLQKIVDTLERIEGKRYSPSVIINTSLILRVLERGDMEESMVLVLVKRLLQKNLVPDLVAYSLIVHAKVRSGNLDSSWEMYQEMVKRGFHENSFVYTSFIEAYCREGRIEEANNLMQEMEERDLKQYGETFDHLIIGCANLERLEECLSLCEKMLRMRLIPSCLSFNKMVEKLGERGEVEQANAMLTVLLDKGFLPNDTTYSHLIKGYAKNDKIQEVLKLYYEMEYKSMSPGLSVFTSIIQSLCHCGKLEDAEKYLRIMKDRSLTPNVSIYMALVASYMQEGDSLRALHLRNEMASLEF >OIV90370 pep chromosome:LupAngTanjil_v1.0:LG20:15874187:15875085:-1 gene:TanjilG_19779 transcript:OIV90370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVIAAYLLAVLGGNKTPSQKDIKNILASVGAEADEDKIELLLAEVKGKDIEDIIASGREKLASVPSGGGAVAVAAAPGGGASAAAPAAAESKKEEKVVEKEESDDVSFSQMFRSSLAILIML >OIV91087 pep chromosome:LupAngTanjil_v1.0:LG20:3081617:3084318:1 gene:TanjilG_30309 transcript:OIV91087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESVIPEEVEQKTERHDEEEQKHKVTWNGFSEEMKRISVVAGPMVIVISSQYLLQVVSIMMVGHLGELYLSSAALAVSLASVTGLSVITRLHNVGGALALGISNWSNVIFLGLYMRYSSKCAKTGAPISMELFHGIWEFFRFAVPSALMTCLEWWSFELLILVSGLLPNPQLETSVLSVCINTIATLYTIPFGIGAAASTRVSNELGAGNPQTAHIAVLAAMSLAVMEACVVSGTLFGCRHIFGYVFSNEKEVVDYVTLIAPLVCITVILDCIQGVLTGIARGCGWQNLGVYVNLGAFYLLGIPAAATLAFWFKLKGKGLWIGLLVGSFVQTLLLSIITSRINWEQQAIKARNRLLEDESSADNRPIDKRSQ >OIV90196 pep chromosome:LupAngTanjil_v1.0:LG20:19871241:19873349:1 gene:TanjilG_01392 transcript:OIV90196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANAFVTQPPPLKDELDIVIPTIRNLDFLEMWRPFLQPYHLIIVQDGDPSKTINVPSGFDYELYNRNDINKILGPRASCISFKDSACRCFGYMVANDPSGKAVNALEQHIKNLLCPSTPLFFNTLYDPFREGADFVRGYPFSLREGVPTAVSHGLWLNIPDYDAPTQLVKPLERNTRYVDTVLTIPKGTLFPMCGMNLAFDRDLIGPAMYFGLMGDGQPIGRYDDMWAGWCCKVICDHLGLGIKTGLPYIYHSKASNPFVNLRKEYKGIFWQEDIIPFFQSLTLPKEATTVQKCYMELSKQVKEKLSKIDPYFDKLADAMVTWIEAWDELNPQGASIANGKA >OIV91135 pep chromosome:LupAngTanjil_v1.0:LG20:2730677:2735310:-1 gene:TanjilG_30357 transcript:OIV91135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVATISEASRQQQQADNNGNGSSIPRRTKGRQVSSRYMSPSPSSISTSTTTTTATSSSSRRFQSPLLSRSTNSTPLVPKRSHSVERRRPRPMTSVTDNAAGEVSTAAAKLLVTSSRSLSVSFQGEAFSLPISKAKTPVSGNARKTAVTPERRRSTPVRGGDQGENSKPVDQHRWPGWTRNPNLGPNNLTRSLNVGIGFGKVVRALEGSVGVRMASFDAIGGGLSLDLGKDSNKDEFFKGSNGNSNSLNSVNECSLPLPPCDLTASDTDSVSSGSTSGAQDCAGATKGLRDPSGIVVSARFWQETNSRLRRLQDPGSPLSTSPSSRMMVPTKNNAAQLKRYNSDGPVLSPRTMASPSRGHLRPASPSKLWASSPSRGNPSPARVRSVVASSINGSSSNTPSILNFSAEVRRGKIGEERIYDAHTLRLLYNRYVQWRFVNARADSTFMMQKLNAENQAAIVEAKVKANFYPEGTGVIPSLQFKISYMEEWSLLDRDHSSSLLGATEALRASTLRLPVAEKAIADVPNLKDALGSAVDVMQAMGPPIYSLSSKVEETTCLVAEMLKITSKERFLLEQCKDFLSTLAAMQVKDCSLRTHMLQLSRVPNSST >OIV90518 pep chromosome:LupAngTanjil_v1.0:LG20:10477205:10478347:1 gene:TanjilG_32395 transcript:OIV90518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEGRCLPCSGVSGRTAAVYSSSSLSHYRIHSLVKLRGLKYASVSASFVCEARRNPDFSRQNKHGSSRSRNKHNEGRDSFEHFEEDMLSLKNGPLPSLSASGKSQATAVPGLREKEIVELFRKVQARLRERAATKEQKRVEASPVRGKENGTVDSLLKLLKKHSSDQQNKSSGGGRGKDYSLDGSQETSQYNGRRSTKISNLDNAAKDESQESNISSISRPQSSFQRRSPVPRVKFQPIGYDEEDTNVIQPSREVRVNNHNQRHLKIDHKEGPGSEPDLDHDLKTDSELDLDHESDQDSEPVLVPKDELFFPGREIDDLSDDDSEHTDNDEHAEEEELVVQHEDLSALKLPELRAIAKSRGLKGFSKMKKGDLVELLSGN >OIV91505 pep chromosome:LupAngTanjil_v1.0:LG20:19988:22472:-1 gene:TanjilG_26474 transcript:OIV91505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGRAVGASQYQDIALLDTKPFGKALVIDGKLQSAEIDEFIYHECLVHPPLLHHPSPKNVFIMGGGEGSTARELLRHKTIDKVVMCDIDEEVVGFCKSYLVVNWEAFLDPRLEVIINDARAELESREESYDVIIGDLADPIEGGPCYKLYTKSFYEFTVKRRLKQGGIFVTQAGPAGIFSHTEVFSCIYNTLRQVFKYVVPYSAHIPSYADIWGWVMASDSPIELSAEELDVRMRERINEENRYLDGKTFSSASTLSKVVRKTLDNETNIYTEEAARFIHGHGKHA >OIV91098 pep chromosome:LupAngTanjil_v1.0:LG20:2994636:2997377:-1 gene:TanjilG_30320 transcript:OIV91098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANKSKEEPKTAPDSGRWYDLSLGPSFKDESSSKYCTLRYEFKPASVDKTKSAWMHKTKESRVSVEFQNNQIGKPKVVFEGNSEEYRENDAVLFFDGTTLRLERLHSAVKQLRHLRMPGESAAAASVVAPCGPTSDPRSSPVGKSAKPAPIARSLFQAVPVEVERIDIGEPENRSMHGIKSDSKMPSDQPIEPPIISATSPGAENDVEEHEDIDLIDILGSVTPEDDHNAEDKDNVGFDINVPLTDDEIADVDDSGDEVDKGPNAAEALRAQVNAEGREEQTSTSSSSSGTGSSESSSGTGSSSDSEASDEDSVTSI >OIV90111 pep chromosome:LupAngTanjil_v1.0:LG20:20861618:20869898:1 gene:TanjilG_01565 transcript:OIV90111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLKNTILMVLVATLMVKEALATQYVVGGSQGWDQSTDFNSWTSTQTFKVGDKLVFKYSSMHNVVELSGENDYKTCNINSPVNTMSSGNDIVKLDKPGTRYFTCGTIGHCSQGMKMKITVANENTPSSTTLSPSSSSSSSSSSHTSTSTSTSTASVSESLRLDSLIPSSSSTSSSISTPSSPHLLQPRFPSSPLHSSSTLIPNQPFVIGVSGGTASGKTTVCDMIIQQLHDHRVVLVNQDSFYRGLTPEELEHAHEYNFDHPDAFDTHQLLECMGKLISGQSVQLPIYDFKKHQRSTDSFRQVNASDVIILEGILVFHDQDVRDLMNMKIFVDTDADVRLARRIRRDTVERGRDINSVLEQYAKFVKPAFDDFILPSKKYADVIIPRGGDNHVAVDLIVQHIHTKLGQHDLCKIYPNVYVIQSTFQVVEHGLGHFPFTEMQVVTPTGSVYTGVDFCKKLCGVSIIRSGESMENALRACCKGIKIGKILIHRDGDNGKQLIYEKLPKDISERHVLLLDPVLATGNSANQAIELLIQKGVPESHIIFLNLISAPEGIHCVCKKFPSLKIVTSEIDHALNEEFRVIPGLGEFGDRYFGTDD >OIV90479 pep chromosome:LupAngTanjil_v1.0:LG20:11459013:11461240:-1 gene:TanjilG_18663 transcript:OIV90479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWNLCSGNSNPKKKIVEVRDNIVDPIRTTPEKLKRNHSMNSKDSSKSRSTDHIAAQTFLFRELADAARNFKAECLIGKGGFGRVYKGHLESINQVVAIKRLDLNGLQGNREFLVEVLMLSLLHHPNLVNMIGYCADGDQRLLVYEYMSLGSLEDHLHDIAPGKKQLDWNARMKIAAGAAQGLEYLHDKANPPVIYRDIKCSNILLGDGYHPKLSDFGLAKLGPIGEKTHVSTRVMGTHGYCAPEYAMTGQLTLKSDVYSFGVVLLEIITGRKAFDNSKSAEEQNLVAWARPLLKERRNFSQMADPVLQGQYPARGLYQALAVAAMCVQEQANMRPLIADVSKAFSYLAAQRYDTDTQTVQSSRLAPSTPPRTKKG >OIV90072 pep chromosome:LupAngTanjil_v1.0:LG20:21353140:21356139:1 gene:TanjilG_01526 transcript:OIV90072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLQCYVAMADAKYAKYKDPKESLDSRIDDLIERMTLEEKIGQMVQIERSVASADVINKYFIGSVLSGGGSVPKKQASAEDWVDMVNDFQRGALSTRLGIPMIYGIDAVHGHNNVYNATIFPHNIGLGATRDPKLMKKIGEATALEVRATGIQYAFSPCIAVCRDPRWGRCYESYSEDHKIVQAMTEIIPGLQGDIPANSPKDVPFVAGKTKVAACAKHFVGDGGTTKGINENNTVINKEGLLSIHVPAYYDSISKGVATIMVSYSSWNGKKMHANHDLLTGFLKNTLRFRGFVISDWEGIDRITSPPHSNYTYSIEAGVNAGIDMVMVPHNYTEFIDGLTLLVKNNVIPISRIDDAVERILRVKFVMGLFEYPLADYSLVNEIGKQEHRELAKKAVRKSLVLLKNGKDADQPLLPLPKSAKRILVAGSHADNLGYQCGGWTIEWQGVSGNNITSGTTILSAIKNTVDRDTEIVYKENPDLDYVKSNDFSYAIVVVGEHPYAETNGDSLNLTISDPGPSTISNVCGGVKCVVVLISGRPVVIQPYLDKIEGLVAAWLPGTEGQGVADVLFGEYGFTGKLSRTWFKNVDQLPMNVGDSHYDPLFPFGFGLTTKPHKAI >OIV91082 pep chromosome:LupAngTanjil_v1.0:LG20:4722818:4723156:1 gene:TanjilG_17042 transcript:OIV91082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAERRKRKIENEEESEEKQMEMFFALVKSTKDVRDRLSKRKEEEKAKGVWNPTFIAEDFIDDQELARINISHPPGGSSEKEKEMEKELPEAEAENENKEKASDNLDLTLSL >OIV91054 pep chromosome:LupAngTanjil_v1.0:LG20:4462454:4462786:1 gene:TanjilG_17014 transcript:OIV91054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSYGYEKLTSAEQKISRQGRHCWVKAMNGRLRGLRLSRSRKVSVRAFSAILLPSRILVRMYNDVVNQMNLENMCSAIVLPTQWGLPVLSHPSNVCRNVTSLDRKVTCYY >OIV90407 pep chromosome:LupAngTanjil_v1.0:LG20:14876378:14879902:-1 gene:TanjilG_10707 transcript:OIV90407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAIRKLKRGPDLNFVLCFMIARCNYSIVQVSIDRNHQSIFKSVSPCLAYSRSQAFLLGPENKINLQELYFSSVAERILVQAQDPAKVSMEIENAIDSDQLDYSWKLFEQHMHMEGFPRKSVFSKLVTSYVESIDTQWIQKAYELVEHAIEEGKHYLLEKDMLIYLSFGLAKVGLPVHASTILRKMINMEHFPPVTAWSAILACMSQTADGSYLAAELILEIGFLFQNNRVDPRKKSNAPMIAMKPNTAAFNIALAGCLLFETSRKAGQLLEMMPRIGVKADANLLIIMAHVYERNGQREELKKLQRHIEEAPNLTDIQFRQFYNCLLTCHLKFGDLDSASSMVLEMLRKAKEARNSLATAKFMIGAADTDRNYPGPASVPSLSNSKVLNSLENKKSISNSILCYQKFSKDRNFLKLEAESKTVLGSLLAKLQMQVDLITTEHGILQPTETIYVKLVKAFLEVGKTKDLAAFLLEAERIDSPFSNDNSALVHVINSCILLGWLDQAHDLLDEMRLAGVRTGSSVYSSLLKAYCRANRAADVTSLLRDARKAGIQLDSSCYDAMIQSRVLEQDTQGALQLFKEMKEARIPKANRQDSVVLGKSGAETDEAGLMTKLLQEIKEGQRVDYGVHDWNNMIHFFCKKRLIQDAEKALKKMKSLGHTPNAQTFHSMVTGYAAIGGKYLEVTELWGEMKALASSRSMKFDQELLDSVLYTFVRGGFFVRANEVVALMEKGNMFVDKYKYRMLFLKYHKTLYKGKAPKFQTEPQLNKREAAVAFKRWIAYCRANRAADVTSLLRDARKAGIQLDSSCYDAMIQSRVLEQDTQGALQLFKEMKEARIPKANRQDSVVLGKSGAETDEAGLMTKLLQEIKEGQRVDYGVHDWNNMIHFFCKKRLIQDAEKALKKMKSLGHTPNAQTFHSMVTGYAAIGGKYLEVTELWGEMKALASSRSMKFDQELLDSVLYTFVRGGFFVRANEVVALMEKGNMFVDKYKYRMLFLKYHKTLYKGKAPKFQTEPQLNKREAAVAFKRWIGLS >OIV91106 pep chromosome:LupAngTanjil_v1.0:LG20:2939136:2940455:-1 gene:TanjilG_30328 transcript:OIV91106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLSLIASIHVVIAEVKDAVSTLSAQKSITKKSRQQRRQSKKLKAYNLSNLLDSLPEFEASQKPAPQDSFKLVNLGRRYCELEEGERLSKVRNHPDFQSDPISAIREHLLRTQLVIEEKPKIKKPNKNRSKKKRAKSKASTGVQSMDM >OIV90745 pep chromosome:LupAngTanjil_v1.0:LG20:6447534:6449147:-1 gene:TanjilG_21876 transcript:OIV90745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEQDVVSWNAMLSGYVQNGYVDEAREVFDSMLEKNSISWNGLLAAYKRLGDARRLFDQLPFRDDNLWNTMISGYAQDGDLSEARRLFEESPSRDVFTWTSMVSGYVHNGMLDEAIRVFDEMCENNEAAIIVGYAQNSHYEEALNMFVEMKRNAESLNMATFSCALSTCADIAALELRKQVQGQAVKTGYETGCFVGNALLGMYFKCGSIGEAYDVFVGIEVKDIVSWNTMLAGYARHGFCKQDLTIFESMKMADGAASGDLLGACRIHGNTELGEKAAEMVLKMEPHNTGIYVLLSNLYAASGRWVDVGKMR >OIV90758 pep chromosome:LupAngTanjil_v1.0:LG20:6177990:6180176:1 gene:TanjilG_15491 transcript:OIV90758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFESLPEGCVATILSRTTPLDTCILSLVSRTFYFASQSDAVWASFLPSDYPSIFSRSISHSSFLATSPSNKSIYLALSQRPIIIDHATKSFHLERKSGKKCYMLAARALTIVWGDTPDYWNWKTLPDSRFPEVAELVDVCWLEIRGVINTNSLSPNTHYAAYFVFKMIDPEGFLRYPVELAVDISGSQSTPKKVCLDPNIDGRHVSSSLQGLQRPNVRSDGWLEIEMGEFFNSGLEDDEVQMSVLETKGGNWKNGLVVEGIEVRPKEAN >OIV90221 pep chromosome:LupAngTanjil_v1.0:LG20:19580121:19581452:-1 gene:TanjilG_01417 transcript:OIV90221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAKTVKDVSPHEFVKAYSAHLKRSGKIELPEWTDIVKTAKFKELAPYDPDWYYIRAASIARKIYLRGGLGVGAFRRIYGGSKSNGSRPSHFCKSSGGIARHILQQLEIIKIVEIDPKGGRRITSHGRRDLDQVAGRIVIAP >OIV90404 pep chromosome:LupAngTanjil_v1.0:LG20:14812798:14813280:-1 gene:TanjilG_10704 transcript:OIV90404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEHRCEAPEGHRLCTNNCGFIGSPTTMNLCSKCYRDIRLKLQEEASTKSTIETALSSTTSSPPSPAVVSIPRISSSTVTVTKDAVASELISEAVQPNRCGSCRKRVGLTGFKCRCGVTFCGTHRYPEKHVCSFDFKTVGREEIAKANPVIKATKLERI >OIV91031 pep chromosome:LupAngTanjil_v1.0:LG20:4275305:4278351:1 gene:TanjilG_16991 transcript:OIV91031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKINIIVSVVSLILVVGVVIANIVINHKGNNSSTNPNVKANYSRVEKICQSAEQKDLCVQTLSTVNEASDPKEYVAAIVKATTESVNKAFNMSDKLSVEHGEKDQGIKIALDHCKDMLQFALDSLQLSTDLVGGKDIKQVHDQSADFSNWLTAVISHQQTCLDAFDNDKHDEKAVKELLNNENLDHVGKITGVALDLVADLTNILQEFGLQLELKPSSRRLLGAEEVDGEGLPTWLSASDRKLLAKVRMNKNGNKNGKKRVRKVAAGAATGAAIGKYIGDSNITIAGVAAPMTTVMVAKDGSGQFRTIMEAIDAYPKGLQGRYVIHVKAGVYPEFIIVPKNAVNILMYGDGALNTVITGHKNKHLDGIDIMNGATFANEAYGFIAKGITFENTAGPEGHQAVALRNQGDMSAFFDCHIVGYQDTLWAQANRQFYRNCEISGTIDFIFGTSATMIQDSKIIVRKPNISMKQVNVITADGTQQRSMNSGIVLQGCEIVPEAELLQVKSEIKSYLGRPWKALARTVIMESNISDIIQPEGWMYHPNNIGFLNTLYYAEYGNTGVGADTKERVKWPGYHPTISTNEAHRFTARQVLQAGPTSKAEDWLRATGVPFTLGFRK >OIV90797 pep chromosome:LupAngTanjil_v1.0:LG20:5797563:5798268:1 gene:TanjilG_15530 transcript:OIV90797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATAQTKPKKTVSSKKPLSHPPFAEMITDAIASLKERTGSSQIAISKFIEEKHKDLPATFKKLLLQNLKKSVAAGKLVKVKNSFKLAPTVKASVKAASTDDKKPKTVAKPKTVAKPKAATASKALAKVAVKSKAVTKPKAKAVSAGAKPKAKIVKSPARKKVAVKAVKKAPVKSVKKPKSVKSPVKKVAAKKAKK >OIV91305 pep chromosome:LupAngTanjil_v1.0:LG20:1451287:1452572:-1 gene:TanjilG_01836 transcript:OIV91305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSTFFVVVCVVLVIFLGICEGGSLRKHFYKHSCPEAESIINTKIQQHVSANPNLPAKLLRLHFHDCFVRGCDASVLLVSTANNSAEKDSIPNLTLSGFEVINDIKDALEAICPKTVSCADILTLAARDSVSVQFKKPLWEVVTGRRDGNVSNKNEVFGNIPSPFFNFTQLKQNFASKGLTLHDLVVLSGAHTIGVGHCNLLRNRLYNFTGKGDQDPSLDTRYAAFLKRKCQSGDITTTVDMDPGSPTNFNTDYYPNLLQKKGLFSSDAALLRQQQSADIVKELVDEGKFFLEFAQSMKRLGAIGVLTGSAGEIRNDCSVVNS >OIV90427 pep chromosome:LupAngTanjil_v1.0:LG20:13286260:13291968:-1 gene:TanjilG_25031 transcript:OIV90427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKPRKRLSSTQTSTTPSSSSKENNNNNNTQQHKPNNKNNISSDPPIAPPKWGLIFKLSLFSIPPYLYLLFYHYPIEQDIRRSILINAAMSLAGFFVTVKLIPVASRYVLKRNLFGYDINKKGTPMGDIKVPESLGIVVGIVFLVVAILFQYFNFTEDSNWLVEYNAALACICFMMLLGFVDDVLDVPWRVKLLLPSIAALPLLMAYAGHTTIVIPKPLVPHIGVEVLDLGWMYKLYMGLLAVFCTNSINIHAGLNGLEVGQTVVIASAILIHNIMQIGASTDPEYKLAHAFSIYLAQPLLATSLALLSYNWYPSSVFVGDTYTYFAGMTMAVIGILGHFSETLLIFFLPQVLNFLFSLPQLSGYVPCPRHRLPRFDPETGLLTGTKDGTLVNLSLRFLGRKSEKALCIYLLGFQAIACCLCFMLRHFLAGWYK >OIV90320 pep chromosome:LupAngTanjil_v1.0:LG20:16517556:16519131:-1 gene:TanjilG_14718 transcript:OIV90320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQQGLGTTGLSPSPTPPSRGLGLSPPLRTLLHTTIQTSRATDSHGWIFPVRSPLLRESLLVSLPPLIDILKLSGRPTYSHGSKSCKAGGSDTHDQSQALAQPPSITVPSTVDSVFNQPLDGSWDSAIHTKYRISLRSSSMPESRYLVPRVFRISMSQLFLHEHRLRADGGELNDFNFLGAFHARVLLLGQEDTVEGVVPPNTRGTEVQWTSHKVADSEPSTSSQSRYFIGPLNRQITPPTKNGHAPPPIESRKSSQFVNPYYVWTFLATILPPEPKDFDFS >OIV90150 pep chromosome:LupAngTanjil_v1.0:LG20:20494643:20499671:1 gene:TanjilG_01604 transcript:OIV90150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLEGVDHKLQKILDANMDEVPARRHAREAFKDIQLGIDHILFKTPCHGLKIKESYEVNSNGIEIFCKSWLPEASKPKAAVFYCHGIARKLASSGYGVFAMDYPGFGLSEGLHGYIPSFDELVDDVIEHYSKIRENPEFHSLPSFLFGQSMGGAVVLKMHLKQPKAWDGAILVAPMCKIADDMVPPKWLTKILIGMASVLPKNKLVPQKDLAEAAFREPKKKDQAAYNVIAYKDKPRLLTALEMLKTTEEIERRLEEVSLPLLILHGEADTVTDPSVSKALYEKANCSNKTLKLYKDAYHSLLEGEPDEIIIQVFDDIISWLDKHSLKVET >OIV90672 pep chromosome:LupAngTanjil_v1.0:LG20:7764076:7765338:1 gene:TanjilG_23785 transcript:OIV90672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLGRNKSVALLLLFCAHVFVANVVARSVKNDEEKNLGFGNGGGFGGGSGGGFGGGSGGGFGGGGGFGGGGGAGGGFGGGAGGGAGGGGGLGGGAGGGGGLGGGAGGGFGKGGGLGGGIGKGGGLGGGAGGGFGKGGGLGGGHGGGIGGGIGKGGGLGGGHGGGVGGGFGKGGGLGGGHGGGVGGGIGKGGGLGGGHGGGIGGGFGKGGGLGGGHGGGVGGGFGKGGGLGGGHGGGIGGGFGKGGGLGGGHGGGVGGGIGKGAGLGGGHGGGFGKGGGLGGGIGKGGGGGFGGGIGKGGGIGGGIGKGGGLGGGHGGGVGGGFGKGGGFGGGIGGGHGGGIGGGFGKGGGIGGGFGKGGGIGGGIGQGGGFGGGGGSGGGVGGGSGGGFGGGSGGGFGGGAGGGFGGGAGGGVGGGIGHH >OIV90351 pep chromosome:LupAngTanjil_v1.0:LG20:15893540:15893740:-1 gene:TanjilG_00561 transcript:OIV90351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRELIHSIGDDTTCSGEGEDDCDKGEVPRAVEAVMISCKKSKKLERVIEKEEERKEKDGNRILFKN >OIV90300 pep chromosome:LupAngTanjil_v1.0:LG20:17591281:17591925:-1 gene:TanjilG_13155 transcript:OIV90300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNGSGTSIFDMQLDKIDYDWAKEFPYLDYAIISDGHWFFRVMYLHIAGKLVGCLYCQEPNVKHYNSDYPFRMAFHTAFKHINTCNNCRKTLTLIRTFAPAHFENGGWNNGGYCNKTSPMNEGEVNNGMFEWQLRNIQMEEFERARNEGKKKGQRFEVIDVSRAMIMRPDGHPGEHWGNKWMKGYNDCTHWCMPGPIDLWSELLLAVLKRSSYI >OIV90586 pep chromosome:LupAngTanjil_v1.0:LG20:8979464:8983924:1 gene:TanjilG_01667 transcript:OIV90586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTFMRLLLLLVVTISALISSPAFAVSSHKRRKSTNIINKINHNGPYIGLITVYPPEENAFFANGAFKSHPTHPFVDLAGRRFRVGKVHNKKVIYVRCGVGLVNAAATTQQILDTFDITGIVHFGIAGNANSSMSIGDVTIPKQFVDTGLWDWLKPNGTDPSDFAHLDIGNYNDPKGEGNNLLGRIGYSNEYFYSESGEPNTPQRVAWLNTTHQWLKLAENLEGIKLDNCLNSSFCLSQQPKVVIGLRGSTANAFVDNAAYRDFLFKTFQVTSLDMESSAVLMTSLSNSSPVIVIRGLSDLAGAQEGKNPVHILGPLAATNTAKVVIEFLKILPTKHNGPLIIE >OIV90194 pep chromosome:LupAngTanjil_v1.0:LG20:19906408:19908796:-1 gene:TanjilG_01390 transcript:OIV90194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFHCEAYDPLDPNGNITIKWDVMSWTADGYVAVVTVNNFQQYRHISAPGWSIGWTWAKKEVIWYMAGGQTTEQGDCSKFKGNIPHCCKKDPTVVDLLPGTPYNQQIANCCKGGVLSSWAQDPINAVAAFQLSVGSAGTTNKTVKLPKNFTLKAPGPGYTCGPAKIVTPTQFRLGDKRRVTQAFMTWNVTCTYSQFLAQRTPTCCVSLSSFYNDTIVPCPTCSCGCQGNSPQLGNCIDPDSPHLASSVSNTGKNSLTPLVQCTSHMCPIRVHWHIKVNYKKFWRVKVTVTNFNYRMNYSDWNLVIKHPNFDNLTEPFSFNYKSITPYGTINDTAMLWGIKFYNDFLMQAGPIGNVQSELLFRKDKSTFTFEKGWAFPTRIYFNGDNCVMPPPDAYPWLPNTGSRQEPSLLAMVMVSLVALLFEAYA >OIV91030 pep chromosome:LupAngTanjil_v1.0:LG20:4263747:4265890:1 gene:TanjilG_16990 transcript:OIV91030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGKIIVSAVSLILVVGVVIGAVVINHNNSTTNTDLKSNVKAAEKICHSAEQKDLCVQTLGTVKETSDPKEYVAAVLKASTESVIKAFNMSDRLVVEHGNKGEGIKMALDDCKDLLQSALESLQLSTDLVGGNNVKQVHDQTADFRNWLTSVISYKQACLEGFDDGNEGEKAVKELYKTDSLDHIGQITGVALDIVADLSNILQEFGLQLDLKPASRRLLGAEEIDEEGLPTWLSASDRKLLAKVGKKGGKKNFGGKNKGKGKGKGKGKGKAAAGAAAGAAAGAAAGAAVGVAAGAGIAAASGPAARTIVVAKDGSGQFRTVKEAIDAYPKGLQGRYVIFVKAGVYDEYITVPKYAANILMVGDGPYKTIITGRKNFALAGIKTMMTATFANTAPGFIAKGITFENTAGIEGHQAVALRNQGDMSAFFDCHIVGYQDSLYVQTNRQFYRNCEISGTIDFIFGTSATLIQNSRIIARKPKASQFNTITADGTEHRTMNSGIVLQGCEIVAEAGLVPSQNPSYFGRPWKAYSTAVIMESNIGGFIHPDGWKEWEGAPGGFTGTLYFVEYANTGPGSNVAGRVRWNTLHTRISPQEAAKYTAGQFLAAGPASKAEDWLKATDVPFALGFTK >OIV90918 pep chromosome:LupAngTanjil_v1.0:LG20:3234230:3234475:-1 gene:TanjilG_16878 transcript:OIV90918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWHLQRVGIYHKFIEFSINSSFNQCQKDQKLKRGANFGPINLITDTTKEIVSIIQGFELLDEDTTFVKSICKQNSKTKTEN >OIV90760 pep chromosome:LupAngTanjil_v1.0:LG20:6164464:6167584:1 gene:TanjilG_15493 transcript:OIV90760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYVPPHKRHSNDKDKPSPLPELPPPPPPHTHFKHNPITRSSNSRSHSQKKINKIIYADHAVSEWFFVGLHHNDFPSFVKLHPISLESFQHQYIEKPHILLCTHTHLPEPEVGSQERKPWEVVADKTLQNLIQSFEYVRAEMDVQDLEQEKVKPTFVARLGKILFHGAPSATQEELRQNLADGIMPRQLKRTFYTHIPTSYVDNMTKGETIKIGLDFTKEKDVFRVQLSDANRPESTISCKCSIMKELDKLKLYKIELSQVRQMVTDISCLTKNIDLRLMLCSKRILTVLKDDEMECIQSLIESAVLDPNVKGGLRWPLGKSTSGDRFSVCGVWHTIIKVYVNPSIKLKVRYVDRFDFRSSMGESASEVYLNLKGIISLLQVQKVDIGLISKELEDNLKLIWDHFLDCENFLG >OIV90929 pep chromosome:LupAngTanjil_v1.0:LG20:3352436:3354826:1 gene:TanjilG_16889 transcript:OIV90929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGRGSTLVHLLLVVLCLVAFGFAVAAERRRSVGTMFRDERTNETYCTYNSDVATGYGVGAFLFLLSSESLLMGVTKCMCFGRPLIPGGNRAWSIIYFFSSWMTFMVAEACLIAGATKNAYHTKYRGVIYAQNFTCETLRKGIFVAGAVFVVATMILNVYYYIYFTKATSTPASHKANRASSTVGMAGYA >OIV90799 pep chromosome:LupAngTanjil_v1.0:LG20:5780775:5781951:-1 gene:TanjilG_15532 transcript:OIV90799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEEEKQDKNVLSSCPNESEEELQHMPLAPPPWKSRKHLSKQLSMCEKPRDIAWERRRRQERRRSTTQDCDDITDEDLNELKGCIELGFGFNEEDGQTLTNTLPALDLYFAVNRRLSPSPVSTPHSIGGSSSSFGSPRSDSDSWKICSPGDDPELVKTKLRHWAQAVACSVIQSS >OIV90067 pep chromosome:LupAngTanjil_v1.0:LG20:21390876:21394162:-1 gene:TanjilG_01521 transcript:OIV90067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLTQVKKESESNNNSENSVDQLSSNAIIKEIQERQARDLKAGLHPLKHKFVLWYTRRTPGVRSQTSYEDNIKKIVDFSTVEAFWVCYCHLARPSSLPSPTDLHLFKEGIRPLWEDSANCNGGKWIIRFKKVVSGRFWEDLVLALVGDQLDYGDNICGAVLSTRFSEDIVSVWNSNASDHQAVMALRDSIKRHLKLPHSYMMEYKPHDASLRDNSSYRNTWLRG >OIV90388 pep chromosome:LupAngTanjil_v1.0:LG20:14337713:14348442:-1 gene:TanjilG_10688 transcript:OIV90388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNRHDKGVNVQVLLRCRPFSEDELRSNAPQVLTCNEYNREVAVSQNIAGKHIDRVFTFDKVFGPTAQQKDLYEQAVVPIVNEVLEGFNCTIFAYGQTGTGKTYTMEGECKRAKSRPNGELPAEAGVIPRAVKQIFDTLEGQNAEYSVKVTFLELYNEEITDLLAPEEISKATLEEKQKKQLPLMEDGKGGVLVRGLEEEIVTSASEIFTLLERGSAKRRTAETLLNKQSSRSHSLFSITIHIKEATPEGEELIKCGKLNLVDLAGSENISRSGAREGRAREAGEINKSLLTLGRVICALVEHLGHIPYRDSKLTRLLRDSLGGRTKTCIIATVSPAVHCLEETLSTLDYAHRAKNIKNKPEVNQKMMKTTLIKDLYGEIERLKAEVYAAREKVGVYIPKERYLQEENERKAMADQIEQMGITLETHQKKIDDLQSKYVDQVRQCSDLSTKLDDTEKNLNKTSKLLVNREEELRKCRYSLKEKDFIISEQRKAENALTHQACILRADLEKALQDNAALFLKIGREDKLTSCNRAVVDNFQLELAQQVGSLSNIVATSLSRQHEHLGCVENLCHSFLGIHDKAAVDLKKKVKTLRALYISHMEAVQNVVRLHKASSDATFEETSSLISSNGHSIEEFLASEAAEASSIFDDLQSSLSIQQGELATFARELRNRFNVSAEQIKDIFDCTQEFVDKILDEARRLENFATEADEIQMKSIAKFKKAYEEQAKSDTEKLVADMSSLVSNHIRRQMDLVDEKLVDLRESGIASKSFLDSHVSSVGDIVTHAKRKWQAFCTLAEKDTRETADFSAAKHCRMEALLQQSSNSAESAFKHTKRTHESLNEIETKHISAAVSLIRNASDSNMQHDIEVHSARVAAEEDVTKNSEDALKQIDDMSVQERESISDVLNVVKHHASTLEAFREDHSSQAASIEENGRETFQQQYMDYDPTGNTPIRSEPDVPTKGIIESLRASPMETLLEEFRENNSNVFHIRQVHAHAIINGTFQDLVVSNKLLYTYALHKAMNDAHYLFDGMSMRDPTTWSVMVGGFAKLGDYASCYATFREVLRSDVAPDNYTLPFVIRTCRDGKDLRMGHVIHDVVLKHGLLSDHFVCASLVDMYAKCLVIEDAQKLFERMLTKDLVTWTVMIGAYADCNAYESLVLFDRMMEEGVFPDKIAMVTVVNACAKLGAMHRARFVNEYILRKGFSLDVILGTAMIDMYAKCGSVESAREVFDRMREKNVISWSAMIAAYGYHGQGKEAIDLFHMMMSCGILPNRVTFVSLLYACSHAGHIEEGLRFFDVMWEEYGVRPDVKHYTCVVDLLGRAGRLDEAFKLIEAMTVEKDERLWSALLGACRIHKNMELAEKAANSLLELQPQNAGHYVLLSNIYAKAGRWEKVAKFRDMMTQRNLKKVPGWTWIEVDNKTHQFSVGDRTHPQSKEIYEMLMSLITKLEMAGYVPDTDFVSQDVEEEVKQQMLYTHSEKLAIAFGLIAIPEGDPIRISKNLRVCGDCHTFMKMVSAIMRRSIIVRDANRFHHFSEGTCSCGDYW >OIV90148 pep chromosome:LupAngTanjil_v1.0:LG20:20507131:20507682:-1 gene:TanjilG_01602 transcript:OIV90148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKGPRYKAYSELRETRLRIRHQQQVEEEKPKFITTPPRKQVKFQGNLVSMRKGSSSSSYSSSILAQSVPDFTAALRKENKKPVTNTNNNTLLPSLMEMTPPMKSFSNNNNGLLLSGSRGSKSANATREKKKGSILMARKSYASVEELKSFSSATNNAINGESRGGRNRVVMGKSVSGYYRQF >OIV91471 pep chromosome:LupAngTanjil_v1.0:LG20:196969:200018:-1 gene:TanjilG_02089 transcript:OIV91471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKNVWYWSGSRSSKSKGGGTVETPEIPSGCMCALFQPFHFHPFHFNINNQQQQQQQQQQPPFNSSSHNIPQGLLSVPKGAEAPRNSLESEDGTASSISKDQNLKIPKNIRVKTSGASTRARGGNLSEISSEIISSPGTKSPTLVARLMGLDLLPDAVHSSSSSSSSSISTPNKQGHHPHHMHHHLKQRQHIQLMKHRNSTGSYNHSLHDIPRSSDVEHRRLSLQINKENMDLDLSRFSFSKRKCNDENYNYSSRSPSYYARQIVKQVKESVTRKVGVDITNSVKSREQEREEFVNQLRIKKSLKKSAKSIDESSPGKYSSQTQSPKLSRFMDTKNKPGTKPSSPLTPKDQNTIQKLQPPSPVVNIEGHVSRVLTKAIKPQTLPEQEWQKQKLAPENKKISNERSSSRIKKPQQTSSTRKKQEETFVIRSPLSSSRGNDMKKKSKRTHPLQSNVLNNLNTKILPVKTDPSPPATKIPQKQVSETREPKRRTQLSSCSSQRYKQEALAHTHSVCARVTNDENKPNAVSTITEEGLEFQYISTILTRTTTAPHKHFNFQWFSPSHPLDPSIFHQLELYPSYNSIFSYPGNYKYSTFTPNSQVGPRCNRRLLFDLVDELLSEIVVKPKWCNYDDGKLLLERVWKKVRSFPRAKCEVLEDIDRLVDMDEGEGEREEGLVAEIEAKILETLVHETVTVIVSVV >OIV91256 pep chromosome:LupAngTanjil_v1.0:LG20:1801262:1812921:1 gene:TanjilG_30478 transcript:OIV91256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSETSTVSPVFQSIKSLPPKFKFTNNSSPGLVGKHGNGKLTSVAPIGSSSSGNSVLVGEDSNKVQGRAGGMDIFDEDSPYGGKGSSLEDRPLDADVSLPLPSSSTSSRESKWNDTTPYASKKKLQSWFQLSNGNWELVKIITTSGTESVITLSDGKLSKVKDETLVPANPDILDGVDDLMQLSYLNEPSVLYNLQYRYNQNMIYTKAGPVLVAINPFKKVPLYGNDYIEAYKRKAIESPHVYAITDTAMREMMRDEVNQSIIISGESGAGKTETAKIAMQYLATLGGGSGIEYEILKTNPILEAFGNGKTLRNDNSSRFEALDVVHISKEDQENVFAMLAAVLWLGNISFAVVDNENHVQAVEDEGLLSVAKLIGCDIEDLKLTLSTRKMKVGNDNIVQKLTLSQAIDARDALAKSIYACLFDWLVEQINKSLAVGKRRTGRSISILDIYGFESFNRNSFEQFCINYANERLQQHFNRHLFKLEQEEYIQDGIDWAKVEFEDNQGCLNLFEKKPLGLLSLLDEESTFPNGTDLTFANKLKQHLNSNSCFKGERDRAFTVSHYAGEVTYDTTGFLEKNRDLLHLDSIQLLSSSTCHLPQIFASQMLTQSEKPVVGALHKSGGADSQKLSVATKFKFRILILLQLTLEVVSVGIQGQLFQLMQRLEITTPHFIRCIKPNNLQSPGSYEQGLVLQQLRCCGVLEVVRISKSGFPTRMSHQKFARRYGFLLLENVASQDPLSVSVAILHQFNILPEMYQVGYTKLFFRTGQIGVLEDTRNRTLHGILRVQSSFRGYRARCYRKELSGGITILQSFIRGERSRKGYVALLQRYRAAVVIQKWMKMVFSRIRLKAANDAVVVIQSFIRGSLVRKCSGDIGLLKSGGLKTSESGEVLVKSSFLAELQRRVLKAEAALREKEEENDILHQRLQQYESRWSEYELKMKSMEEVWQKQMRSLQSSLSIAKKSLAMDDSERNSDASVNASEEREYSWDMESNHRRQESNGARSMSAGLSVISRMAEEFEQRSQVFGDDAKFLVEVKSGQIEASLSPDRELRRLKQMFEAWKKDYGSRLRETKVILHKLGSEDGSAEKAKKKWWARRNSTRIN >OIV90697 pep chromosome:LupAngTanjil_v1.0:LG20:6728883:6732912:-1 gene:TanjilG_15083 transcript:OIV90697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWRKYYLDMILVPLGLMINIGYHVWLWHKVRTHPSSTIIGINAHGRRFWVPAMLKDIEKKNILAVQTLRNMIMGSTLMATTSILLSAGLAAVISSTYSIKKPLNDSVYGAHGEFMVALKYVTLLTLFIFSFFCHTLSIRFLNQVSILICTPQDINSMMTTEYLTVLFEKGTILNTVGNRLFYSALPLVLWIFGPVLVFLCSAAMLPVLYNLDFVCESGKHKIVKNGKGEDYV >OIV90569 pep chromosome:LupAngTanjil_v1.0:LG20:9312289:9318476:-1 gene:TanjilG_31643 transcript:OIV90569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQTWPVVVSDYCLNLSPSKLCKKFNLKLVCLLGILVAASIAAYKVKQHNLNTSKSEHEKSRFGKQQDECTQDQKLTCFIGGLSEEDREKEEQEEKVKLTNSIVDRANDYEDDILPEFERLLSGEIEFPLHTEKMSDANKDRVYETAMANDASELEYLRNLVKELEERELTLEGELLEYYGWKEQESNILELERQLKIKAAEIDTLNVTMDSLLEERKKLQEELTRGASAKTELEAARSKIKELQRQIQLEANQTKSQLLSLRQQVYGLKAKEEEAAMKDVEIEKKLKAVSELEVAVMELKRENKELQHEKRELTVKLNVAESRVMELSHIAENEMVAKAKVEVSNLRHVNEDLLKQVEGLQMNRFSEVEELVYLRWVNACLRYELGYYQAPRGKLSARDLNDSLSPKSQEKAKQLMLEFAASGHEQDDTDLDSILSHLSSSSTEDFDNTTSDSSTSKYSNQSKKTSLIQKLKKWGKSKDDSSRLSSLVKSLSAGSPSRMGMSNDRLRGTLESLMLKDDGDTIAITTFGQKDQGPTDSPEYPTIIRKVSSSDSLNSVAASFQLMSKSVDGSLDEKYPAYKDRHKLALAREKQIKEKAEKARVQKFGDSSNLNMTKAERERPISLPPKLTQIKEKAYVFGSSNDQSDSGKKRPPRVPRPPPKPSVGSPVSTNLNPSNGGPYAPPLPPHAPPLPLGSLPRGAMDGNKVHRAPELLEFYQTLMKGDIKKDTSSLISSKINASDARSNMIGETENRSSFLLAVKADVETQGDFVISLANEVRAASFSKIEDLVAFVNWLDEELSFLVDEQAVLKHFDWPEGKADALRGAAFEYQDLMKLEKLVSTFTDDPKLPCEVALQKMYSLLEKVEQSIYALLRTRDMAISRYKEFGIPVNWLLDSGDVGKHDAIKWSLTSTLPMQIKLSSVQLAKKYMKRVASELDMLSGSNNEPTQQFLILQGVRFAFRVHQFAGGFDEESMKAFEELRSRINTRAGEDY >OIV90250 pep chromosome:LupAngTanjil_v1.0:LG20:18763932:18769446:-1 gene:TanjilG_11978 transcript:OIV90250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSSTQQHQFRYTQTPSKVLHLRNLPWECTDDELVHLCNPFGKIVNTKCNVGANRNQAFVEFADLNQAISMVSYYASSSDPAQVRGKTVYIQYSNRHEIVNNKSPGDIPGNVLLVTIEGVEAGDVSIDVIHLVFSAFGFVHKIATFEKTAGFQALIQYTDADTAASARNALDGRSIPRYLLPEHVGSCHLRISYSAHRDLNIKFQSNRSRDYTNPMLPVNHTAIDGALQPVIGPDGKKKELESNVLLASIENMQYAVTVDVLHTVFSSFGTVQKIAIFEKNGQTQALIQYPDVTIAAAAREALEGHCIYDGGYCKLHLTYSRHTDLNVKAFSDKSRDYTLPDLSLLATQVPPTGWQNPQTTPMYPGSSPAFQAQVPGGQMPSWDPSQQAFRPSYVSAPDTFPGQSYRGPPIPAYASAAMPAGASSPHGQRNPNVHNASSMGITQHGVPPNVNFQPSGPSPPVPGSSPHMQTNPMAGAPPQGHRPYYG >OIV91244 pep chromosome:LupAngTanjil_v1.0:LG20:1869744:1873752:1 gene:TanjilG_30466 transcript:OIV91244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRRSFVKSFCSKIANYQKFNHHQHRGYSTSDLSVSSASNKTTTDLVSLTRHYATCYWQLSKARLSMLVVATSGTGFVLGSNGAVDLSALSLTCIGTMMVASSANSLNQVFEIKNDAKMKRTVQRPLPSGRISKPHALAWASSVGLGGTALLATQTNMLAAGLAASNLVLYAFVYTPLKQIHPVNTWVGAVVGAIPPLLGWAAASGDISLNGLILPAALYFWQLPHFMALAYMCRDDYAAGGFKMFSLADASGRRTSLVALRNSIYLIPLGFLAYDWGLASEWFCLESTILTLAISATAFSFYRDRTREKARRMFHASLLYLPVFMSGLLVHRRSDNPQLVEDAAASFVKSNAYPSKSLEVEDKNNKQKSRGKKTRPPVAYASVAPFPFLPVPYV >OIV90757 pep chromosome:LupAngTanjil_v1.0:LG20:6191326:6193308:-1 gene:TanjilG_15490 transcript:OIV90757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGELENALVTQEPNLSMEPGLNQSRNVGEEPNYEGLSKVSMPAAQGNENTLGSSSYHVPVMEPNSQSQLVSGIGAPSQSFPATQEANNTNLQPQKSQVSTERGKKVAKDKEKSQFQGLLNRYYPLALPLNPQNQFQITERQQGLLGTNPTRKQLRIGESSSSRNVRTRTTLLDNNQQSTPIRVGNNPSNLHGNRQQFASTQMENPPAPLLNNNQQLAPVQVDNFNNLFPENGTQMALHGANSFTNQPTLRNNNQQVTPIRMENPPTHSLNNNQQMASHHLGNFHNPSPMIDNGQHVALPSVDNSPALPNNNQQVAPIQVDNNLHNQPAQGSVNPPTPPTRYRENGLYSQVYEQMGYFADPIMRMFVASKQKRGGM >OIV90472 pep chromosome:LupAngTanjil_v1.0:LG20:11295379:11298059:1 gene:TanjilG_18656 transcript:OIV90472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRSCWKPSVEGDDGDENGRVDGLLWYKDFGNHVYGEFSMAVIQANSSLEDRSQVESGPLSSNHLGPQGTFIGVYDGHGGTEASQFVTDNLFSNIKRLACKHQGISENVIKMAYSATDEAFLSLVKKQWLNKPHIASTGTCCLVGIICNNVLYIANSGDSRAVLGRLERITKETSAIQLSTEHNVNQEMVRDEIQSKHPYDSQIVVMRHNVWRVKGLIQVSRSIGDAYLKKAEFNKEPLPSKYRLPETFFKPILSCEPSISTHKLHPDDHFLIFASDGLWEHLTNQEAVNIVNNNPPNGIAKRLVKAALREAAKKREMRFTDLQKIEQGVRRHFHDDITVIVVYLNPKLSDNINCSHSDSPLSIKGGDPTYSY >OIV90671 pep chromosome:LupAngTanjil_v1.0:LG20:7733505:7733891:1 gene:TanjilG_23784 transcript:OIV90671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEGNHSLTEGNANMTEAYSAHDRGTRSRSERDTHKPLTHHMRHIGAYGTYEPNIDRDKHGAPDAPKLAYEPNIDRDKHGAPDAPKLTVAQESRAMGHGAPKLTMEQENKVMGHNTSESSLVHIDSQI >OIV90705 pep chromosome:LupAngTanjil_v1.0:LG20:6918360:6927473:1 gene:TanjilG_15091 transcript:OIV90705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRILSSTWKNKKKLNFILSSYSNTHSAPHHRYKSDHGGSDDPNYTTNGIKLLNRKFPNHPFHYGVNQWDTLSLYSNGEPPQNWPQPPGSSDGSAVHTPPGPPFAPGLNVTRASGPREGGSGDGDDMGGGWGGSNLGRNLPTPKEICKGLDKFVIGQDRAKKVLSVAVYNHYKRIYHASLQQGSEADSGISEGLDEDDQVELEKSNVLLMGPTGSGKTLLAKTLARFVNVPFVIADATNLTQASMCDAGYVGEDVESILYKLLAAAEFNVQAAQQGIVYIDEVDKITKKAESLNISRDVSGEGVQQALLKMLEGTIVSVPEKGARKHPRGDNIQLDTKNILFICGGAFVDLEKTISERRQDSSIGFGAPVRANMRTGGVTNASVTSTLLESVESSDLIAYGLIPEFIGRFPILISLSALTEDQLMQVLTEPKHALAKQYKKLFSMNNVKLHFTEKALRLIAKRATAKNTGARGLRALLESILTEAMFEIPDVKEGNEQIDAVVVDEESVGSANAPGCGGKILRGAGALERYLAKMEDSIVNSDGAESDLQEGEPEISSRAMSM >OIV90223 pep chromosome:LupAngTanjil_v1.0:LG20:19563573:19570203:-1 gene:TanjilG_01419 transcript:OIV90223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLHFSASMRSITISSNNGFIDLMKIKVAACHISYRTLFHTILILAFLLPFVFILTAVVTLEGVNNCSSFDCFGRRLGPRLLGRVDDSGRLARDFYKILNEVNTAEIPADLNLPDSFNQLVSDMKNNQYNARTFAFMLRGMMEKFEKEIRDTKFAELTNKHFAASSIPKGIHCLSLRLTDEYSSNAHARKQLPPPELLPVLSDNSYQHFVLSTDNILAASVVVTSAVKSSHKPEKIVFHVITDKKTYAGMHSWFALNSASPAIVEVKGVHQFDWLTRENVPVLEAVENQNGIRNYYHGNHVSGANVADTNPYKFASKLQARSPKYISLLNHLRIYIPELYPNLEKVVFLDDDIVVQRDLSPLWEIDLNGKVNGAVETCRGEDKWVMSKHFRNYFNFSHPLIAAHLDPEECAWAYGMNVFDLHAWRTTNIRETYHSWLRENLKSNLTMWKLGTLPPALIAFRGHVHPIDPSWHMLGLGYQNKTNIESVKNAAVIHYNGQSKPWLEIGFEHLRPFWRNKVIKTWWLDLLPSPTFLKTWSRHPDMALKNHRVL >OIV91137 pep chromosome:LupAngTanjil_v1.0:LG20:2713328:2714137:1 gene:TanjilG_30359 transcript:OIV91137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALEALNSPTPFSSSYKEEDKEIDVHMSEAWAKRKRSKRPRLDSPPTEEEYLALCLIMLAQSGNDNNKTDSPGKEKTESTSSKSQPPQQQKEEQESLPAIKVMSHRCSVCNKAFPSYQALGGHKASHRKSLSENGTAVTTVAATNNSTSTAIATKKLHECSICHKSFPTGQALGGHKRCHYEGGINNNNSNVNTNNSSAVTAITSSEGGGASSHRHRDFDLNLPAPLTEFLEPARFHGEKKVKIFEQEVESPLPVTAKRPRLFSGEDNE >OIV90488 pep chromosome:LupAngTanjil_v1.0:LG20:11635918:11638616:-1 gene:TanjilG_18672 transcript:OIV90488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTPRARSGTSEVPQRKSPATPRTARHLKTPGSDTYSVSSSPNPASTTPKNRSPKVTERKSPRSPIPEKKRPSRVQELESQLAQLQDDLKRAKDQLNSSESWKRKAQQEAEEAKKQLLAMSKDLEDSRQQLMEISGSEEERLQELRKISQDRDRAWQSELDAVQKQHTMDSAALASAMNEIQKLKIQLERVRESEATQISNAESADSEIQDLSMELDKTLSLVEKLKNEVRDCEESETRGLEVVGKTQMQLEAANKTVETLQIEGMKVSEAYKSLALELEQSRTQVKSLEELVSKLQADFASGANEVTSSPINETGVLPENVENEEINQLKSELISVKSEVGQLKSALEVAEVRYQQEYIQSTLQIRSAYEQLEHTKSESSLRQAELYEELKRAGANIEELKKTLMDKESQLQDVSEENEELKSRINHPTERESELLMEQNELDADIAELKGRLLDRETELQNISEENNALKMEIKKGELEKNKITDEAVALAEASRAAEQEAVMKLGYAAEEADKSNKRVARVTEQLDAMQAVNSELEAELRRLKVQSDQWRKAAEAAAAMLSNGNNGKLVERTGSLDSSYNSSYSSINGKMSSPYSEDTDDESPKKKNSNMLKKIGVLWKKSH >OIV91177 pep chromosome:LupAngTanjil_v1.0:LG20:2388018:2388695:-1 gene:TanjilG_30399 transcript:OIV91177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGNDHIHNPHAPGPNPRPLKRHHTAQYYMQRVQDSLTTRISKILCSIFLSILFILGLIAFIFWLSLRPHRPRFFINEFSIPGLAQESGFLNAQITFEVTARNSNQNVGVYYESMSGSVFYKNKKIGSMPLLIPFYQDHKTTTMVDGTLGGARLNVSSQRWAEFQHDRAHGTVVFRLELTSVIRFRISTWDSKRHTMHADCNVVLGPNGHILPAYRNMRCPVYFS >OIV91011 pep chromosome:LupAngTanjil_v1.0:LG20:4089904:4090770:-1 gene:TanjilG_16971 transcript:OIV91011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLKKKIKEFFNYVSPTPKGIFYLLTHTLLTLLLPLSFLLLASLSSAQYYLQTLNLYNSSQPFSFLFTFALNINPCVIYILVSIVSIATLIQGLTGKITLLNEPSSSTILQPGLYTAWILLCTLQVCVGLGIEGSIAAGVYDYDSKDSSFGVERSLLSRVIFLLGLHETTQVWSRMIVRPVVNDTVFGVVRKERWIEKVAVAASLGTLWWWRLREEVEILVVMAGVKKEELKDVGIGDLVGWWLYYVTVTIGMVRIVKGLMWMFMISLCRRRVTRISPLESYDNDDKV >OIV90192 pep chromosome:LupAngTanjil_v1.0:LG20:19923013:19925889:-1 gene:TanjilG_01388 transcript:OIV90192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHQVYLLIIGVSFGLALGILFAFLIFFFMSCNIEDASIRRSANDTSVTNDTSVTTLPIRTNGLETSTDFSASITSSTAVSRSEDGQKDSNFSWWSHQSIDRFAKPSGILKYSYKEIQKATQNFTTTLGQGSFGTVYKATMPTGEVIAVKVLASNSKQGEKEFQTEVLLLGRLHHRNLANLVGYCVDKGHHILAYQFMSNGSLANLLYGEEKKLSWDERLQISFDISHGIEYLHEGASPPVIHRDLKCANILLDHSMRAKVADFGLSKEEVFDGRNSALRGTYGYMDPAYISTKKLTMKSDIYSFGIIIFELITAIPPHQNLLEYIGLAAMDHDGIDGILDKQLVDNCNIGEVRQLAKIGHKCLHKSPRKRPPISVVSQCISRIKQRRQRHHAIEDIVSLASSRAESLRRSVD >OIV90912 pep chromosome:LupAngTanjil_v1.0:LG20:3190181:3195208:1 gene:TanjilG_16872 transcript:OIV90912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKIEGPSTPTNRRDPYEVLSVTRDSTDQEIKTAYRKLALKYHPDKNANNPEASELFKEVAYSYTILSDPEKRRQYDSAGFEALDADSMDMEIDLSNLGTVNTMFAALFSKLGVPIKTTISANVLEEALNGTVTVRPLPIGTSVTGKVEKQCAHFFGVTINELQAESGIVIRATSTAQSKFKLLYFEHDANGGYGLALQEDSEKTGKVTSAGMYFLHFQVYRMDSTVNALAMAKDPEGSFFKRLEGLQPCEVAELKPGTHIFAVYGDNFFKTASYTIEAVCAKSHEDTTKKLMDIEAQILRKRNELRQFEAEYRKALARYQEVTDRYTKEKQSVDELLKQRDGIHSSFTIVKSTSISGSASNLSNGSSSKISGEESPEDGGSDEKDKSGKKKWFNLNLKGSDKKLT >OIV90463 pep chromosome:LupAngTanjil_v1.0:LG20:11694398:11696690:1 gene:TanjilG_28541 transcript:OIV90463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRNGNWFSSVKKVLSPEPKGRKDQKSIKSKKKWFGKQKLQTSEAYSEADKATPLPVPEETILTHIDNENSNDHVVEVATLLEAEEPVLAVQTAAAEVQATAVVQFAGKPKDEVAAMKIQKAFRGYLARRALRALKGLVRLKSLMEGQVVKRQAISTLRSMQTFAHLQSQIHSRRLRMLEETQALQKLLLQKHAKELDSLGEEWDDSLQSKEQIEAKLLSKYEAATRRERALAYSYSHQKNGKSSSRSINPMFIDPTNPSWGWSWLERWTTARPWESNSLMEKEKNDNSYVKNPTRGLTNAEISKSFARFQLNSEKHSPTASQIPASPRFQSNSNPPKPASTAVAKKIKKVVPKENSVIDDDTKSMVSVQSEQFRRHSIAGSTIRDDESLASSPALPSYMVPTRSAKAKSKMQSTLAADNGTIEKLAAKKRLSFPASPARPRRHSGPPKVESGLIAEITVGNGVAG >OIV90919 pep chromosome:LupAngTanjil_v1.0:LG20:3237454:3238287:-1 gene:TanjilG_16879 transcript:OIV90919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSTFSKASSSTNYYKNKDVCDDDSNNNGDNGKKRTCYLCKKNFSSSHSLFGHMRIHSDRPWRGVRPPIHSHHHNDKHISSGFEEDHDDEYDEVVASDIDISKSSSLLRWQKTGKRGRKSSNVYQAAEILMYMSSRSNDFLDIKSMMGEPKNHQPSTISCKRKNIAEASISEIKKNFESMNVEDAIHDHIHNLVKKLKVPPHNKEKNNIVDMVESKFSYYAITQDAIVRGSTMEAINNNEVQTEKDTSSSQFLGPKILDFDLNMSPPHDDLDDGENC >OIV91189 pep chromosome:LupAngTanjil_v1.0:LG20:2279314:2282502:1 gene:TanjilG_30411 transcript:OIV91189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTSFSVYSSNIAETKVMESNGSYREEPLVEEGSKVDEKRRGGAKVASLLLVNQALATLAFFGVGVNLVLFLTRVLGQDNAHAANNVSKWTGTVYMFSLIGAFLSDSYWGRYLTCTIFQLIFVLGLALSSFSSWWFLIKPAGCGDGNSPCMPASSFGVSIFYLSIYLVAFGYGGHQPTLATFGADQYDEKNPKERNSKVAFFCYFYFALNVGSLFSNTVLVYYEDSGKWTIGFLVSLASAVVAFLSFLAGTPRYRHVKPCGNPVMRVAQVFMAIARKWGVTPANLGELFEVDGSESAIKGSRKIMHSDDFKFMDKAATITVKDKQSRNNPWRLCTVTQVEESKCVLRMLPVWLCTIIYSVVFTQMASLFVEQGDVMNSNIGKFHLPAASMSAFDICSVLVCTGIYHQVLIPLAGRLSGNQKGLTELQRMGIGLIIGMLAMVASGATEILRLRHIIPGQKSSSLSIFWQIPQYILVGASEVFMYVGQLEFFNGQAPDGIKSFGSSLCMASISLGNYVSSMLVNMVMGITARGQNKGWIPDNLNTGHIDRFFFLLAGLTAFDFVLYLFCAKWYKSINFGDNGDMGMQEGDGVNSRV >OIV90542 pep chromosome:LupAngTanjil_v1.0:LG20:10872798:10874519:-1 gene:TanjilG_32419 transcript:OIV90542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRRPVGGVLPNSTEKNSSKNHNYRLFCLASLSAFFWFLFLYFHFFILSHNRNNNNYDLAQSTKLAPSLPVIHESTPTHVTISNDSQTHSRKIGFRKSNHDRDHKRDHDHDTRIPEKKSFPFERALRTSDNKSDPCGGRYIYVHDLPSQFNEDMLRECKSLSRWTNMCKFTSNAGLGPPLENVEGVFSDQGWYATNQFAVDVIFSNRMKQYECLTQDSSIAAAIFVPFYAGFDIARYLWGYNISMRDAASLELVNWLMKRPEWSIMNGKDHFLVGGRITWDFRRLSEKESDWGNKLLFLPAAKNMSMLVVESSPWNANDFGIPYPTYFHPAKDADVFNWQDRMRQLDRKWLFSFAGAPRPDNPKSIRGQLIDQCRSSRVGKLLECDFGESKCHSPSSIMQMFQSSLFCLQPQGDSYTRRSAFDSMLAGCIPVFFHPGSAYTQYTWHLPKTYTKYSVFIPEDDIRKRNISIEERLSQIPPEQVKIMREEVINLIPRLVYADPRSKLETLKDAFDVSVQAIIDKVTNLRKDIIKGHTNKNFIEENSWKYALLDEGKHEVGPHEWDPFFSKPKDGT >OIV90319 pep chromosome:LupAngTanjil_v1.0:LG20:16464054:16515640:1 gene:TanjilG_14717 transcript:OIV90319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGQDGMPWPSPEHPRKNYVMLASYKNYVKLSAWKKVVNLHDTSFDSYKHVCKQSGKNSTSSLKNPVRMAFHGAPPNTLASFPKHVGAFVHGDRSKCAVRNGIRLKFLKRDVAADDNVRESEDVGRGRGKSYLFYLIDCPPWKRLSRSVTIVIQSSKRGTINSHNWSLRLVEKLVVRSYVGGLGRIESRVIGDPRRVMPSWKYLSGPPLRSSRRLCPTMSRSVSDLPWQSGLAVTIHSDFSPVALFFSTSLQLFKFYIIYPMSVAEQQLVCAISRIGATKIHDGHIDLCYTPFPSGRMASYRAPSNAPTSYPRHAGAFFHGDVGNSTESSSMPNPGRTRPAAGWCFGLLRALLGRAEASSVARSLQQDVVLGDAFSGTAGARRGTSPVIRNCVVGRLVSQNVLVVEVLDWAHDAELVVTASHRRFEASSATIPARKDVVLDEALRGTTAAPRGACPII >OIV90288 pep chromosome:LupAngTanjil_v1.0:LG20:17252201:17256993:1 gene:TanjilG_13143 transcript:OIV90288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKSESGGYVRADQIDLKRLDEQLQRHLSRAWTMEKKSKEKEEEEEEEEECEGRKSTSRTKQEWEIYPSKLIIKTAIARGTFGTVHRGIYDGQDVAVKLLDWGEEGHRSDAEIASLRAAFTQEVAVWHKLDHPNVTKFLGATMGSSELQIQTENGHIGMPSNVCCVIVEYCPGGALKSYLIKNRRRKLAFTVVVQLALDLSRGLSYLHLKKIVHRDVKTENMLLDKARTLKIADFGVARIEASNPHDMTGETGTLGYMAPEVLNGNPYNRKCDVYSFGICLWEIYCCDMPYPDLSFSEVTSAVVRQNLRPEIPQCCPSTLANVMKRCWDANPDKRPQMDEVVTMLEAIDTSKGGGMIPDDQPRGCLCFRRYRGP >OIV91472 pep chromosome:LupAngTanjil_v1.0:LG20:190184:195827:-1 gene:TanjilG_02090 transcript:OIV91472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRGGGFQRRQGGGGGGSGLKGVVVKLSIAALVLLICTLSLFYSSTAPTTSSFLQSNFRTQIRLEELWNNADPDGWRPSSAPRTYWPSPPSQTNGYLRVRCNGGLNQQRSAISNAVLAARIMNATLVLPELDANSFWHDDSGFHGIYDVEHFIKTLKYDVKIVETIPEKQKNGKRKKIKAFQLRPPRDAPITWYTTEALKKMKEHGAIYLTPFSHRLAEEIDNPEYQRLRCRVNYHALRFKPHIMKLSQSIVDKLRAQGPFMSIHLRFEMDMLAFAGAECLYYVYLKSCANESGSVISNAVLAARIMNATLVLPELDANSFWHDDSGFHGIYDVEHFIKTLKYDVKIVETIPEKQKNGKRKKIKAFQLRPPRDAPITWYTTEALKKMKEHGAIYLTPFSHRLAEEIDNPEYQRLRCRVNYHALRFKPHIMKLSQSIVDKLRAQGPFMSIHLRFEMDMLAFAGCFDIFTPEEQKILKKYREQNFAPKRLVYNERRVIGKCPLTPEEVGLILHALGFDNSTRIYLAAGELFGGDRFMKPFQSMFPRLENHTSVDHSEDLVQNTRGLAGSAVDYMVCLLSDIFMPTYDGPSNFANNLLGHRLYYGFRTTIRPDRKALAPIFIDREKGQVAGFEDAIRKVMLKTNFGEPHKRVSPESFYTNSWPECFCKTAAKNPADKCPPNDILNVWNNELLEDATDETNSTIS >OIV90639 pep chromosome:LupAngTanjil_v1.0:LG20:8208130:8210443:-1 gene:TanjilG_01720 transcript:OIV90639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSGLWVQKECLRKNDSLHSLCYNKELSNEDSSKSQFKKLIKRSNSHHAIVVNAIQSQVSKVCFKDLFFWHVHGLDNRIPKHMVSVDEKYLRRCLEFVHNSALKAAQCHIPVSLTATNAGILSESLITAKFFGGSGQYVFECPVTTETGRLSISADAGEQWTGMVMGSKSMINILNSALLQQFGASDRNHNLNRMNITDDKGLICYDLKDCSSDLSISSSYKETPLMQGHKYCSIPVQKRLPSTSTTNSTFFDCLSTASSTLSEGMIQCTWEQGVPRFVFSADEQEVCVSKLMKVDPTDNKSLDYVYQFHLSKHSQKGHEIPSSEQLVAKMNVSTSFIVCPNNCRIMETQFTLFGNTEIYDKEMYTTSHSHKKNKRLSKKLSQVFSSPSWKHRILESCQLEPHAPAGSNFLDTNVPPNFELAAIVAKEHLPCKKPEKVGGWGLKFLKKTGVNQSTLPSEGCRNTGDCSTSTNIVIPAGIHGGPRTRNGGPSSLIDRWKSEGHCDCGGWDEGCPLTVLRRRSSKAEFLSQVDTRGECTTVDIVTQGSSNFYPNLRMVNIRDGLYFIHFQLPLSALQSFSIAVAIIHSQSPTLRPNTAQEL >OIV90649 pep chromosome:LupAngTanjil_v1.0:LG20:8110985:8111824:1 gene:TanjilG_01730 transcript:OIV90649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKGRTDLAINETSSDGNNRSLEDEDNNGEYQEPKQGAVEVGNRRPRGRPAGSKNKQKPPIFVTRDSPNALKSHIMEIAAGADVAECVAKFARRRQCGVCVLSGSGSVANVTLKQPSAPGGAVAFHGRFDIQTLTGAFLPGPSPLGCTGLTVYLNGGQGQVLGGCVVGSLVAAGPVIVIAATFSNATYERLPLDDNDDEGPSSAAAAAAASASHEGGTGGSSPPWPLGIGIMGGGQLQQGGIPDPSMSLPLYNLPPNLLHNGGQIGNETFNAWAGRTPY >OIV90615 pep chromosome:LupAngTanjil_v1.0:LG20:8546276:8546818:-1 gene:TanjilG_01696 transcript:OIV90615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNTFDSDSPSEESNLSYYTIGLSVGFLFIIMFISVTSYYCTHRNFQNRISTRASASASNYGSNRTVIMERDISVVTIQVQSEEEKEAIFKSYPQMLYSQAKLHKVEHSSTTLGCSICLADYNDSEWLRFLPDCGHYFHKECIDMWLRLNMSCPVCRNSPFPTPLPTPIAQVTPLATRRD >OIV91069 pep chromosome:LupAngTanjil_v1.0:LG20:4606777:4607199:-1 gene:TanjilG_17029 transcript:OIV91069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGVPLHPSPPSSSVTATELLRHRHRAPPSPPPSSSVTATELLRHRHRAPPSPPPSSSVTATELLRHRHRAPPSPPPSSSVTATELLRHRHRESHGLSISEGILSVIHYTCQNLWCFPVDPTVHVICKCRCVKFRPSRLS >OIV90217 pep chromosome:LupAngTanjil_v1.0:LG20:19607336:19608802:-1 gene:TanjilG_01413 transcript:OIV90217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIPTILVLPFPAQGHVNPMMIFSQKLAEHGFNIIFVNTDFNHERVLCSMSNQENIINGTSLIKLVSIPDGLEPEHDRNILGDLCVSMLSTMPSLLEKLIEDINMKGEYRINCIVVDGFMAWVLEVATKLGIKGAVFWPASAAISALTCNIPKLIHDGVIDSYGKNITFNLGDLFIFTLFGSILLTKVVYFVLHITGIPNNKSTFSISQSMPAMDSSTIWWTNLLDPIAEKKIFDYALNFVVALNLTEWWVGNTTYELEPGALSLVPKLLPIGPLLKSHDNSTRSMGQFWKEDHSCMNWLDQQPNGSVLYVAFGSFTLFDQAQFTELALGLELTNRPFLLVVRQDLNSSNKMTFPIEFHGNQGKIIEWAPQQKVLSHPAIACFVSHCGWNSTIEGLSNGVPFLCWPYFGDQIYNKKYICDELKVGLGFEPDESGVISREEIRKKVHRVIDDENIRSRSLKVKEKLLNNLAEGGGSSDNFMKFVKWLKD >OIV90212 pep chromosome:LupAngTanjil_v1.0:LG20:19655434:19660025:-1 gene:TanjilG_01408 transcript:OIV90212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKKVLKTMKDENSDLQKQIGCISGFFQLFDRNRFLTEQSTGCTQSQNRPALGPGGTSDHIKEKNNTMQKAPANNVMVAKEIQQFSTESSGTSISSSSCSSSMSSLEFNRTIQIEQPSIIPIKVAENFNAAAAIKQLDFYDVVKGSMHRESKGLAVKTAAVDEKKRRVLKFIDSPRPLQPHKSVNRPLDSPRLSYDGRDMHDSFKSSTKHKELPRLSLDSRQGSFNGVNEGTKSSNLLKGPNKGYGGTSTMVKQLQESETRKRSSSSSVVAKLMGLEGFVGTTQNFDTPPSMSDEYKQHQSSVSPRTTNGSQDSQLQASKGSDSSIKASNPVLSVYGEIEKRMANLEFKNSGKDLRALKQILDAMQRYKDTLDITRDNASNSPCHSRSNSSFSESSKVHSPRIRQKEPTFTTAEKSNPNNVSKSPIVIMKPAKVTRETNNLVNNTNGRLFDKRDGQKSNGMSPKSRHNKDSFSEPFHSADKSNKMRTSKLMQSSKVSQAINGVNTINSSNTAETRSPRIQKKFGFGRRSPSTSPSPDSISNRRQHNRQSMELNSPSTSPRQKFSTLHERNNRFSELRYQRRDFKHEVDIISQDFDIKRSMDSHSDIVVTHVDHSEKSNTTFIHPNSPNLNNATKELSNDSFMAEKIVTAEQPSPVSVLDATFYIEDPPSPVKKKPDISKDLEEALNAYDSSEENSEDLQQIHYNNEKLPNISDIKDPDHKYISEILLVSGLLSSAGYSQAVNSSAHPINPKIFLALEQMKTSKLHFNNPEKMQRKLIFDVVNDILVEKLILESSSTLWSFPNLPTGRKLKGQRLSEEIFAEINQLQHKDRNVSLTNEDEHLTNLLWEDLMHQSTICTETRREIPNVVLDIERLIFKDLITEVVRGEVANYPDRHCRQT >OIV90289 pep chromosome:LupAngTanjil_v1.0:LG20:17332334:17334727:1 gene:TanjilG_13144 transcript:OIV90289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSGHLFFNTACRGNNMIFLGNGDLSFQGRSMMSMEESSKRRPFFSSPDELFDDEYYEELLPEKKRRLTSEQVHLLEKNFEEENKLEPERKTQLAKKLGLQPRQVAVWFQNRRARWKTKQLERDYDVLKASYDSLLSSYDSIVKDNEKLKSEVLSLHEKLQVEAKEIEREEPISDKKAETVALDIAQITGSVSSGIVDEGSPQIVVDSVDSYFPAENYVMEPIERVQSEEDDGSDDGTSYFSDVFVAHENEQQNNEALGWWSNMLHNGPQVLF >OIV90749 pep chromosome:LupAngTanjil_v1.0:LG20:6248890:6250175:-1 gene:TanjilG_15482 transcript:OIV90749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKENPISPRCYFRCNISGCLTRKQVERSVEDVRYVIVTYEGKHNHEVPPSKGKNKITIDESFDEFPIPPSQAFEFKNEAFLVSNNMVNSGLSSAHAESSNSDTHAADGSLAPASSATGGVPPPPSFLFDLNLPPPQELQGDDACSESEI >OIV90490 pep chromosome:LupAngTanjil_v1.0:LG20:11067412:11071927:-1 gene:TanjilG_32707 transcript:OIV90490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSSASAQYSPKSQKHPRSLPRSINYLLREQRLLFILIGILIGSIFFIIQPTLSHLPPRDSHPGYLSTRRGIRYSAGAVGRVPVGIESRRLRIVVTGGAGFVGSHLVDKLIGRGNEVIVIDNFFTGRKENLVHLFGNPRFELIRHDVVEPILLEVDQIYHLACPASPVHYKYNPTNVMGTLNMLGLAKRIGARFLLTSTSEVYGDPLEHPQKETYWGNVNPIGERSCYDEGKRTAETLTMDYHRGAGVEVRIARIFNTYGPRMCLDDGRVVSNFVAQAIRKQPLTVYGDGKQTRSFQYVSDLVNGLVALMDGEHVGPFNLGNPGEFTMLELAQVVKETIDSSASIEYKPNTADDPHMRKPDISKAKELLNWEPKVPLREGLPLMVNDFRNRILNEDEGKGMK >OIV90227 pep chromosome:LupAngTanjil_v1.0:LG20:19511268:19512071:1 gene:TanjilG_01423 transcript:OIV90227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWNFVSNCIAGSVGFKNDSSKPPHPASECSDDETSVGREEGLECPVCWESFNIVENVPYVLWCGHTLCKNCILGLQWAVVKFPTLPVQLPLFISCPWCNLLSFRLVYRGNVKFPRKNYFLLWMVESMNGDRVKSHSTSCGDHQQQFPTRDNLTVGNHVSHANLRRGQVRHQEASGSIEYRAHDDTSNYLSRERVHSSLRKSLVFFVQLTAKFPLVIIFLLIVLYAIPASAAILALYILITILFALPSFLILYFSYPSLDWLVREIIT >OIV90358 pep chromosome:LupAngTanjil_v1.0:LG20:15494385:15497212:1 gene:TanjilG_19767 transcript:OIV90358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAQKHLLQELMKEDQEPFLLKNYISDRLIQLKIRPNSSKTNLNSLLLKKQQIQQNSRFPINLCKNACFLSFHNTTSTPDIRKSPLFEFTSPSKSPCRSQNTIFLQIPSRTASILLEAASKIQKQSNTKQQKKSNRFGLCFETLLKKLTKRSRNNKKREIKGTNNNNNNVKVKDLLRFRSSNKICYEKEIKDAVVNGVCSCEVGFTCSCNGNASSGVWSESNEGNESLDIETSSNSNESYDSVEDKDNTECVCFDDKKNGDFFCESPFRFALEPSPTAFSGRRTPEFSSPVSSPSRHRTEDKETNGANKLNKFQSSEEEEEEKEQCSPVSVLDPPFEDYDDDVHENYNQMDGFDLECSFSNAQRAKQQLLYKLQRFESLAELDPLELEKRMLDQDEYEYETFMEDDECEDDNYETSCEEKELREIVLEKHVPEDFKRLVSDLIIEEEKELDCSEDKDMIIRRVCRRLDLWKEVESNTIDMMIEEDISREECGWKKNTEQTRDLAREVEFAIFGFLVEELLS >OIV90355 pep chromosome:LupAngTanjil_v1.0:LG20:16073052:16074740:-1 gene:TanjilG_00565 transcript:OIV90355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTFINQVVTGRWFMIFASCLIMSVSGATYMFGLFSNQVKTSLGYDQSTLNLISFFKDLGANLGIFSGLINEITPPWVILTIGASMNFLGYFMIWLSVTGHIAKPKVWQMCLYFYIGANSQSFANTGALVTCVKSFPRSRGSVIGLLKGYVGLSGAIFTQFYHAFYGENSKSLILLIAWLPAAISIFFLPTVRVLSIVSQPKEIKVFYKLLYVSLGVAGFLMVLIVIQNKLSFTRIEYIVDGIVVLLLLLLPLGVVLREELILMKAQNQASNDPSQTKIVTQVQVPQAALTSTATTNPEREISCLRNVFKPPNRGEDYTIFQALFSIDMWILFIATVFGVGGTLTALDNLGQIGNSLGYSSKNLTTFVSLVSIWNYLGRATAGFASEILLAKYKFPRTLMLTLVLLLSCVGHLLIAFGIPNSLYFASVIIGFSFGAIWPLMFAIISEIFGLKYYSTLYNFGAVASPVGSFILNVKVTGYLYDKESLKQLEMKGLKRKAGEDLTCLGVYCYRMAFFIITASTLFACLVSFLLVLRTRKFYKSDIYKKFRSNELDQAAETEIGH >OIV90395 pep chromosome:LupAngTanjil_v1.0:LG20:14552768:14553034:1 gene:TanjilG_10695 transcript:OIV90395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPLDLEKLVSALASGSSDRKITCETLADEDEPYRHPDSPPESFWLSGDAEYDWWDRNAVVYERKESTKGNSIIINSSLKSKAAIILV >OIV90097 pep chromosome:LupAngTanjil_v1.0:LG20:21006763:21014124:1 gene:TanjilG_01551 transcript:OIV90097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKFTSQEVDALQNGGNQSPTVQEDDLRRASSYHSFSQSPPYDNQYEDRRYGKQASSLTRKPGSDKVRYEGKMSNIIYSPGRFSDHAFDDRFANEGSGPRISDFSVSSAGDQFKSDVQSPTFHKDVGLSSPSYQGSGSNSIEDVWSQARNTVLGTNAKRDAGAMAFSSQSPFQKIRHLHKSGAMAVWQWKRHGGKGRTQCKWAFLVLVALFLKQAYSNSLHDINLCHFPQRTTSLQSANSNFSSLRSYNSVGVVDFFSEPVQASGSLHNKAAGAPHSSGPTRSVSMNLSKLPLASELFPSSTPSIDLFQLPGAPPQAPLANLFQSSVLSAAPSFNESQPMRTPQPSSIDFFADLGQQPSTETSAATSHVPSVDLFQSSVLSAAPSFNQNHPGQILQPSSIDFFEDHSQPPFTTNPTAPNEAPSVNLFQSSVLSAVSSFTEDQSTQTSQTPPIDFFADVSQKPSTVTSDEQSLELSVPKNEGWAVFDMPQSTSSTAQVGTPAAAPSSEGSSQERFDPFSNFNANMQLPSVSSPPSSATSNLWLDGVWNVEEKVPDIATDTQPWNAFESSGNHLPVDADNQFLGSRASEFGVSKEGGIQGIASFVGFDNHDIPPHVSASPNLSRTLPLVGDMQSNGIGSKSTNPFDYPYDSDVEHTNMFLDVSSLQASLPDALLPATFGGGIAEPWQHPQNMSTSCISSTDQGGLSFMSAQPLSSQIQKLLLFLCPIFSAATGSIFLSSSNKLPPFTTAITALYPLLQHHLIHGHSVTTMPLQPTATAHFFGSNIAVLIPPLQIKEDLVPPLLNEGQGQLMLVIGLRWVSRNFVEVGWW >OIV90846 pep chromosome:LupAngTanjil_v1.0:LG20:5239296:5242662:-1 gene:TanjilG_15579 transcript:OIV90846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKEEESNRNKKKQVITIPSYEEVVASSLSKSTPPSLFVPSQSQTQTFSEAFSFLKSSEFYSPPPPPPPTTTAPSPSSLSRESAPSSTSTSSASAVPAPSSSQNRNAILVSNRQKGNPLLKHIRNVKWIFADVVCDYLLGQSSCALYISLRYHLLHPDYLYYRIRELQKNFKLRVVLCHVDVEDVKKPLLEVTKTALLHECTLLCAWSLEECGRYLETIKVYENKPADIIQGQMDTDYLSRLTHALTTVRHVNKTDVVTLGTTFGSLSHIMGASMEDLARCPGIGERKVKRLWDTFHEPFKRVESSRQPIPETAVQNKPASPDTSIRNDAESSFVNEDKQKDVEDVSKRRKKEPEISVKSALSVAFAKYSNRHGKRNITSQVEENGEPEAET >OIV90412 pep chromosome:LupAngTanjil_v1.0:LG20:14104565:14106394:1 gene:TanjilG_00056 transcript:OIV90412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLFIGNLSKHTRRDELERVFRMFGHCNVQLKGGGYGFVVFDIAADAAKALRELKGETICGNRLNLSWSSKQPTRNFQTLGRGGRKSQHARNSDRSYGTRKVGFSGGRNREMDNVGRIESFDVRGKERDHRHDDIKDCVGEQKDHEVLPNEGDGAVPTLRDKVKIQNALEFDRYESYSVYDRKHDNEDYHVGHGSGSHGANVQKNMKRAQGGQDNSVEVENKSGPGSCVKLQSSGDALLLRQHRNERSISGSRQLHAPLRNESSPLTKETDRPQGKEYGGMKRSRNEIESPQGSQVKISKQLSSCSLPSDASHSLSNSLSSKSMPRSSYRDGLRSVSSRGCSSSSKVRSSKSQGRGKSLNSRRSNSQASLSASLNQPLQSPSKTHLNSNSVPITALESEDHLVAKGQHMGSALEVENIQSKDTGIAVNGNVARYTTKMEDAMEKDQDIQQDNSGNHILLNPSDGVTNLTKPFVGGDLSPGLVKETEGFLHSGALLMDDLPTEIQKPASETHVNCHSGLSTFISSEEMSMVLENCGLDFPKVDEQDLTVDAFFGSARLWPWHIVYYRRLKKGLISTENYARRVAQNQEFGIVDKYIRSSSGWGELRLENS >OIV90712 pep chromosome:LupAngTanjil_v1.0:LG20:6985148:6989101:-1 gene:TanjilG_15098 transcript:OIV90712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKPQNDPILQAETTCGTLLYELQIIWDEVGESETDRDRMLYELEQECLEVYRRKVDNANRSRAQLRQAIADCEAELAAICSAMGERPVHIRQTDQVAESLKEELIRIRPQLEEMQKKKFERRNQFIEVQEQIQTISTEIYGPREYIEGIVDQTDLSLRKLEELQGQLHALQIEKSVRLKKIQEHLNTLNSLCSVLGLDFYQTVNGIHPSLGDPEGSKNVSNDTLQQLDVAIQQLREVKLQRMQKLQDLASTMLELWNLMDTPVEEQQMFQNVTCNIAASEDEVTEPNTLSTDLINCVDTEVSRLEKLKSSKMKELVLKKRTELEEICRKTHLVPEVDSAVEYAVEAVESGTVDPVSVLEQIELQIVQVKEEAFSRKEILEKVDKWLAACDEESWLEEYNRDENRYNAGRGAHLTLKRAEKARTAVNKIPAMVDGLTSKTVAWEKEKGIDFTYDGIRLLSMLEEYNILREEKEQERRRQRDLKKLQGQMIAEQEVLYGSKPSPSKPQSVKKGPRMSTGGASSRRVSLGGAMLQTPKPDSKATHSRALRKTDKVHQIEQLNYLDDDASCLSAARRGLDIVGVPVKKHSFGAGSARDIETLSRQPFSPISSNASSKVNIGNAKDELKMQSEKLQRTISLNNAPFSTPSKTTPTVDEENRTPKTMPIPVPATPLTVSVPMNMVVTPAAPSSVSFRGDLVQEIEYSFEERRLAYMLA >OIV91047 pep chromosome:LupAngTanjil_v1.0:LG20:4427663:4436183:1 gene:TanjilG_17007 transcript:OIV91047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNGTSRVVGCLVPFNGKGVDLEFLEPLDEGLGHSFCYVRPSIFESPAITPSNSERYTLDSSTLDSETLSGSFRHDSMEDSSGIHKPSKNFPETTFKTISGASVSANVSTARTGNQSALFASDALEPAASFEGTASFAAIPLQPVPRGSGPLNGFMSGPLERAYASGPLDRGGGFMSGPIEKGVMSGPLDDNDKSNFSAPLAHGRRRPRLQHLMRSVSGPMKNTFSRTFSKNSTSGSWMQRLLFHPVTQLAWHSREAKCRSEVARNCGEVGPSEVEYKHTHNLQWAQGKAGEDRVHVVLSEEQGWLFIGIYDGFSGPDAPDFLMSHLYRFIDMELEGLLWDYEDNPVDPHPLEPEVPKTVKSMAAPVSNRGELCDVHANSNQGSLGSSSGPVIIQDQSSNREIVEDNAENKLPNCGSSTIPCTAPASVPFGQLSGKGRKSVRLYELLQMESWDEQGLFPASEQGKDSSVPRESTLEGHMRPCSSNLKEEISRNWDEDPTTSGKDGSIGFDATKQEQIAAFSVSAQRQNSRKSFINTKLRQMYRKPKSLCKKLFPWSYDWHREEAFVDEKLVEASGPIRRCKSGIDHNAVLRAMERALERAEEAYMKMVENNLDKNPELALMGSCVLVMLMKDQDVYVMNLGDSCVILAQERPNDRHPNPSSVKDEKRHKNRSRESLVRMELDRISEESPIHNQNSDVNKMNKNREISICRLKMRAVQVSIDHSTNTEEEVFRIRTEHPDDNQAIFNGRVKGQLKVTRAFGAGFLKRAHVIAGHDSLTLFDPNSLQAKSKLMALYMGLPCVWPSFNEALLEMFQVDYVGTAPYLSCTPSVLHHRLSSSDQFLVLSSDGLYQYFSNEEVVAHVTWFMENVPEGDPAQYLIAELLFRAAKKNGMDFHELLDIPNGDRRKYHDDVSVMVPSFNEALLEMFQVDYVGTAPYLSCTPSVLHHRLSSSDQFLVLSSDGLYQYFSNEEVVAHVTWFMENVPEGDPAQYLIAELLFRAAKKNGMDFHELLDIPNGDRRKYHDDVSVMVVSLEGRIWKSSG >OIV91409 pep chromosome:LupAngTanjil_v1.0:LG20:612735:614903:-1 gene:TanjilG_02027 transcript:OIV91409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSHIERILWNEDEISKRVSELAALITADFPNSSPPPVIVGVATGALIFLADLVRKINLPITVDVIRAESYGSGTVSNGAPIVSVGLKVDIKGRHVILVEDIVDTGHTLSKVIADLESKGASSISVCTFLDKPARRKVNFKPVGEGKIYRGFESPDYFVVGYGLDYAEIYRNLPYIGVLKPEHYM >OIV90767 pep chromosome:LupAngTanjil_v1.0:LG20:6091109:6093959:-1 gene:TanjilG_15500 transcript:OIV90767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDNDNNNNNNLASYFHHFNHHHQQETTVSPTNGVFPTSTTDGSHSHSHMLYPHTTTTTATTTAATTVVPSSRFQKKKRGRPRKYASPEQALAARKLNTTSFSPSHAPSPVPLSVSSFNKSSHSFSLGTAGQDFTTHFISVLAGEDVGQKIMLFMQQSRREICILSASGSISNASLNQPATSGGSITYEGRFEIVSLNGSYIRNEVGSRSGGLSVCLSNTDGQIIGGGVGGPLKAAGPVQVIVGTFVIDPKKDARAGIKGDISSSKLPPLPVSESVSSFGFGRAVDSSTGNPIRGNDEHQTIGGSHFMIQQGGMQGTHSHPSDWGGHLDLRNAGFELTGRTGHGTHQSHDENGDYE >OIV91001 pep chromosome:LupAngTanjil_v1.0:LG20:3985761:3992206:1 gene:TanjilG_16961 transcript:OIV91001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLETSYPSQVFRGVHFTLFGFNPVSESNIRFKLVNGGGVDAGKYGANCSHVIVDKIAYDDPVCVAARKDGKTLVTALWVDHSADIGMPVDPASVMYRPLKDLNGIPGAQKLIMCLTGYLRQDRDDIMTMVGLMGAHFSKPLVANKVTHLICYKFEGEKYELAKKMGTIKLVNHRWLEDCLRDWILLPEDKYNKSGYELEALEAEAKDSEEEAEDSKLGQSGGRNMNRSPLSSKFGHGLTKSAGEPSNATSEHSGRQVLPKVNNGEGSVVTPQNKNISGRDLSFHYIDNMKISAQAADVSIHATSSQMPDTYVKISASKNAEFSNAISSGLPPDPNTGTSESKKLVCDLRSSTASAERLTHSDAKFNSMSYSKKNQRGFTLPRNLDECSGNATGPAVSPLDDFKFGNNLETSSTEVENASEGIKYTCIEGSGKGNDFIKGDDSINLLPQKRIKEASSTKLKTRKMTTDGKLSKERTPLSNGKYRALKMASLVNEPHVADGCVSAGIDGVSNSNICSISKSEASNSKSMAFDEPFSRNASLESAQCDNACQSSAQAAVQSLRESKIMGKPDFTGFEMREVDDGHEAEQHKIMKNLECSSPGNKKLPNEELIGLVHLDLSNKESGKLIRKSPRKKSVAKKSSGRRPKVGDSAKQKRSVSLNETTVQGEGVSFFSGSEEIATCDLKKLQASPEILNLNKEKEEETIGKYAEDAGGRTKIFDDETEAPDDKYEDELGMSLDENKSELVHVSKTVNTTNVQSKATHNMAEYEETMPPKSNKTETKKQKPPSLVDSTSELKVKHKATKRPAVRTKKTTVTKDLLKSAEAVSVEKNHNERRHEAEMEIPGEMPPLADISDQSTSKLVVKHQRTKRPACRTKKTTLARHFSKSEEAAAVEKNHNESKHEAEMEIPGEMPSERSSSKLEVKHQLKKSPAGRTKKCTVAKKNSEEAVSVDKIHTERENEAEMELLEEIPPPADLSESSTTQRNKSDSFIEENKENRPIDGAVDAAKCKSIGSRIIKSIARPVKVDSKKMGPNSTISEANTKAKTEAACFLVSGHRLQRKEFQQVIKRLKGRVCRDSHQWSYQATHFIVPDPVRRTEKFFAAAASGRWILKTDFLTASTQAGKLLPEGRYEWHKSGLTEDGAINMEAPRKWRLLRERTGHGAFYGMRIIVYGDCIAPPLDTLKRVIKSGDGTILATSPPYTRFLDTGVDYAIVSPGMPRVDVWVQEFLKHEIPCVLADYLVEYVCKPGFSLERHVLYGTHTWAERSYDKLQSKAEEIVEELIPPKDCDEDNKEEDTICRVCGSGDRGDVMLICGDEKSSVGCGVGTHIDCCDPPLTEVPEEDWFCPKCNETSKLSNSSKKRKKGAMSSSKRE >OIV90337 pep chromosome:LupAngTanjil_v1.0:LG20:16881395:16882108:1 gene:TanjilG_14735 transcript:OIV90337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEGNASHPDADMDIVQRRLMFDDECILVDQNDRVVGHDSKYNCHLMENIEAKNLLHRAFSVFLFNSKYELLLQQRSATKVTFPLVWTNTCCSHPLYRESELIEENALGVRNAAQRKLLDELGIPAKDVPVDQFVPLGRMLYKAPSDGKWGEHELDYLLFIVRDVNVTPNPDEVADVKYVNRQLKELLEKADAGDEGLKLSPWFRLVVDNFLFKWWDHVEKGTLEKVADMKTIHRLT >OIV91128 pep chromosome:LupAngTanjil_v1.0:LG20:2774481:2776749:1 gene:TanjilG_30350 transcript:OIV91128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRLCYSPLDCCFRRGGGDGLLWHTELKPHASGDFSIAVAQANYSLEDQSQVFTSPSATYIGVYDGHGGPEASRFVNRHLFSYLHKFATEQGGLSVDVIKKAFSATEDEFCHLVKLSIQISPQIALVGSCCLLGAISNNVLYVANLGDSRVVLGRRYTESKSSPVVAERLSTDHNVADEEVRREVEALHPDDSNIVVYTRGVWRIKGIIQVSRSIGDVYLKRPDFYRDPVFQQYGNPIPLKRPVMTAEPSVIIRKLEPEDLFLIFASDGLWEQLSDEAAVNIVFKYPRAGIAKRLVRTALQKAAKKREMRYDDIKKIDKGIRRHFHDDITVIVIFLDHQGGSSHGRFKQTAVGCTTAPVDIFSLNAEEAEAEKSMLSSVG >OIV90804 pep chromosome:LupAngTanjil_v1.0:LG20:5733379:5733711:1 gene:TanjilG_15537 transcript:OIV90804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMITASLTLPLHSPSSIPQKLHFSSSSLSFSATNTNLFLKSENMSIITRRSRIQNARTKSLVCNALFGLGVPELAVIAGVAALVFGPKNLPQVGRSFGKTIKSFQQVFT >OIV91201 pep chromosome:LupAngTanjil_v1.0:LG20:2208333:2209463:1 gene:TanjilG_30423 transcript:OIV91201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHSMVVSFVGWKANQIPKVKVVKLDRSELNTEQSANMPKIPDIWSALSICYPMKQWEDLKTRILPLENPSSKTYTHQTSNSSPTIPLLSAILAMDSVSQVSILRKRISLLEPADTITRNDCMWPFARCAAVDTPLHADTSASIRGLLRKCASIRAMKTELDEEVVMLNILATISGRYFGQSEN >OIV91433 pep chromosome:LupAngTanjil_v1.0:LG20:453534:454670:1 gene:TanjilG_02051 transcript:OIV91433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSQMVSATLILVLAFVSLSLPSQISADGYIYSSPPPPKKYPPVSPPYHYPSPPPPPVHSPPPPYHYPSPPPPKKAPSPSYHYSSPPPPPKKTYKYHSPPPPVHVAPPKAPYHYASPPPPPKKPYKYPSPPPPVVVVSPPKAPYHYPSPPPPPKKSPAYHYHSPPPPKAPYHYASPPPPPKKPYKYSSPPPPVHVYPHPHPLPHPHPHPLPHPHPLPHPHPLPHPHPLPHHHSPPPKKPYKYSSPPPPVPTHPLPHPHPHPLPHPHPLPHPHPLPHPHPVYHSPPPPKKSYKYASPPPPVHPYPHPHPIPHPVPHPYPHPVYHSPPPPVHPAPYIPHPVYHHHSPPPTPQKKPGYKYPSPPPPSHSYIYASPPPPYHH >OIV90592 pep chromosome:LupAngTanjil_v1.0:LG20:8818734:8823503:-1 gene:TanjilG_01673 transcript:OIV90592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSVKGGWAGQTFALAKRNESEGRKSRIRRSKEERKAMVESFIKKYQESNNGNFPSLNLTHKEVGGSFYTVREIVRDVIQENRVLGPAKFTSDDLTSDQYFKQNPLGSIARDPKSYFAVSSYENHHELNNLQDTSGKMLSVTDGYHTGIEHQALDQGHAMNVDQADVINKEPVEATVVSDGYYTGAELLMVNNEHVINGSQVDVTNNESFEAIGVSDGYYTGVGNPVVDEGHVINVSRIDVINKESSESTIPEMQLTEPMVSKHSVEQELATALTPMAKVTPLAEHLIAETFPSSPVVSTTDGIEQDLGELRGLSNSPEKDIKMFALEHDEESSELNGIEHTKNSNLSDEKIEDALGNKILNNKSNTGHGKEKDLGDTLIESAKHSTYKEPFGHEFEDSNDPQVRTKARIEDGLQAKNLTKTYTEESEPSQESMQKANKHRVDDQLGGSSKRISKPTFDRINLESWQGKSKKSAKQESNPLLAIFKVFVDAFMKLWSE >OIV91312 pep chromosome:LupAngTanjil_v1.0:LG20:1389285:1393681:1 gene:TanjilG_01843 transcript:OIV91312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKLLNNNLRRFFSHLRWPFRRAHSKCKKIIKKFGRHKTKPHNNGADIKNEASTNFSDSLHPNAQLGIPKSNKPIRVATFSAALYYATLPRSEKKAASFDDEKRVDTLNRTKSLNTRPKSILKQSSMHQNRPDNNLSKFGKSEVRVSINLPDNEISLRRKRQLSFSENDKEGCWRGKEDMTMRKCASFSEERERNGRTVVEVLRELDADILGLQDVKAEEENGMKPLSELAEALGMNYVFAESWAPQYGNAVLSRWPIKRCSAHKLDDTDFRNVLKASIDVPEEGELNFYCTQLDHLDENCRMKQVNAIIQSSKEPHILAGGLNSLHESDYSQQRWTDIVKYYEEIGKPTPQVDVMKYLKSKNYTDAKDFSGECESVVMIAKGQSTSDHHIVKVDVVKGNINPQENVTKIPQRHKQKFVRTTHSSPSKGVWKTHAGE >OIV91188 pep chromosome:LupAngTanjil_v1.0:LG20:2285120:2286050:-1 gene:TanjilG_30410 transcript:OIV91188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHSTSGCPFGEGCHFLHYVPGGIKAVSQVINVGNSPAIPQGGRNPAAPPSFPDGSSPPAVKTRLCKMYNSAGGCKFGDKCHFAHGEWELGKPTAPSYEDPRGFGQMQSNRIPGRVEPPPQAHGAAAGFGASATAKISINASLAGAVIGKNGINSKQICRVTGAKLSIRDHDSDPNLRNIELEGSFDQIKQASAMVHELILNVSSAATGPPMKNFTSQTSAPANNFKTKMCENFAKGSCTFGDRCHFAHGPSELRKTGM >OIV90113 pep chromosome:LupAngTanjil_v1.0:LG20:20850985:20853621:1 gene:TanjilG_01567 transcript:OIV90113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAEGKWQFHPERMVKEICYSALLIMLCTVIIVCQKLWLRPRRIRSVLQKQGINGPKPSFPFGNVSEMQRIHHEPPESANAFDKWVNGLQWSFQRNLIGPEFFLSKIKNMVDLMEESAMEIVRKWEGRIAENEDGIAEIVIEEDLKVLTSDIISKTCFGTSYAQGIEIFTKLATMQATLAKPSILFGFLNLRYLPTKENKEMWKLEKEVEKLILKVIHDREVENKNGVNGKQKDMLQTLLDGAANAANANSNGKGSFKLGKHEKNQLIIDICKTIYFAGSESSALAVSWTLLLLALHPDWQQRVRAEIVETFGNTLPLRVNDMDKLRKLKVLTMVIQESLRLYGPGVTAARELLADMKLGELMLPKGINVWLFLPALHRDPNNWGPDAWEFKPERFAGGVSAACKYPQCYIPFGLGSRICLGQNLSMVEIKVVLSLLLSNFAFNPSPNYQHCPVYKMLLIPKYGLKLLVSKVQKTGA >OIV90642 pep chromosome:LupAngTanjil_v1.0:LG20:8198032:8200002:1 gene:TanjilG_01723 transcript:OIV90642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEIERESLITEAHNAPVTAQRRVRDDLENTLPKPYMPRALTAPDTSHPYGTLGHKHYNMTVLQQHCAFFDQDDNGIVYPWETYIGMRALGFNIIASTIMAIGINLSLSYPTQPSWFPSLLFPIYIRNVHRIKHGSDSGAYDTEGRYVPASVENMFSKYAHTVPDKLTLREIWEMTEGNRNAFDPFGWAAAKFEWGVLYILARDEEGFLSKEAVRRCFDGSLFEYCAKIHAGKHDDAKMS >OIV90403 pep chromosome:LupAngTanjil_v1.0:LG20:14748454:14748681:-1 gene:TanjilG_10703 transcript:OIV90403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERLNSKLYIQNCYIMKENERLRKKAQLLNQENQELLSELKQKLSKNNQKPNAPNNILDLNLSSSSNQNASSSSN >OIV91307 pep chromosome:LupAngTanjil_v1.0:LG20:1435533:1445948:1 gene:TanjilG_01838 transcript:OIV91307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSKPWLYPAPTYRKLDSYWDTDQDSPGPRCGHTLTAVAATKLHGPRLILFGGATAIEGGSSSAPGIRLAGVTNSVHSYDVLTRKWTSIKPSGDPPSPRAAHAAAVVGTMVVFQGGIGPAGHSTDDLYVLDLTNDKYKWHRVVVQGQGPGPRYGHAMDLVAQRYLVTVSGNDGKRVVSDAWALDTAQKPYMWQRLNPEGDKPSARMYATASARSDGMFLLCGGRDSSGAPLSDAYGLLMHRNGQWEWTLAPGVSPSPRYQHAAVFVGARLHVTGGVLKGGRAVEGEASIAVLDTAAGVWLDRNGIVSSSRTNKGHDYDPSLELMRRCRHAAAAVGARVYIYGGLRGDTLLDDFAVAENSPPPQTDVNSPVLTSERTSPITSPKQNQSNMNHDITPSSEGGPEISFSGGMGMDQNSLEKLRVASAEEAKAATAVWQNLQGIPDSHAEETSVSDENSQAAETISDGSDTEGDVRLHPRAVVVAKETIGSLGGLIISNLLRPRNWKAPANRRFFLDPYEVGELCYAAEQIFMHEPTVLQLKAPVKVFGDLHGQFGDMMRLFDEYGFPSPAGDITLSINQISVASVILGTILVLQIEYPDNVHLIRGNHEAADINALFGFRIECIERMGENDGIWAWTRFNQLFNYLPLAALIEKKIICMHGGIGRSIHSVEQIEKLERPITMDAGSIILMDLLWSDPTENDSVEGLRPNARGPGLVTFGPDRVTEFCKKNKLQLIIRAHECVMDGFERFAQGQLITLFSATNYCGTANNAGAILVVGRGLVVVPKLIHPIPPPIQSPETSPERVMDETWMQELNIQRPPTPTRGRPQPDLDRGSLAYI >OIV90748 pep chromosome:LupAngTanjil_v1.0:LG20:6523491:6524930:1 gene:TanjilG_21879 transcript:OIV90748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSYSSSILPSSPPHRPIDAYPNLNIINSFLNHPTSPATLAPPLPCNDDHTSYSKMICSAIRALKDENGSSKTSIGKYIAQEYKDLLPPNHDTLLTQNLKCLREKNILIMVKRSYNFPAARSDKPMSLSLSSSSFVSRGHDCLPKPNPDSMSTPQLEQNVEPKSTKLGLNDEGVSSTLRLENGKRVRRPPGKYQSFLSVDIISDDHEQKPRRPLKAHLKPIVVPSTARSNDGGVPPPADAHELSQLPSNSVPIVLPSPEGGLRRMLVHHHLLLVVLLPMVAVRSLLWRGSQ >OIV90220 pep chromosome:LupAngTanjil_v1.0:LG20:19591456:19591791:1 gene:TanjilG_01416 transcript:OIV90220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLNTKQSYKLSVKRATRRVRKQQQRRTTTTNPSFCKAPRSFKHIMKSKLSQKLHALKNLVPTHNGDIVKPYHLFQETADYIVLLRTRVMILHKLIEFYGNNHEHENALLL >OIV90883 pep chromosome:LupAngTanjil_v1.0:LG20:4964885:4982214:1 gene:TanjilG_15616 transcript:OIV90883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYRPTSNARTDSRRNRYKVAVDAEEGRRRREDTMVEIRKNRREESLQKKRREGLPPQQVPSSVPSTTVEKKLEHLTTMVAGVWAEDNNLQLESTTQFRKLLSIERSPPIEEVIQTGVVSRFVEFLMREDFPQLQFEAAWALTNIASGTSENTKVVIDHGAVPIFVKLLASPSDDVREQAVWALGNVAGDSPRCRDLVLGHGSLLPLLSQLNEHAKLSMLRNATWTLSNFCRGKPQPPFDQVKPALPALARLIHSTDEEVLTDACWALSYLSDGTNDKIQAVIEAGVCPRLVELLLHPSPSVLIPALRTVGNIVTGDDIQTQVIINHQALPCLLNLLTNNYKKSIKKEACWTISNITAGNTQQIQTVVEANIIGPLVNLLQNAEFDIKKEAAWAISNATSGGSHDQINYLVSQGCIKPLCDLLICPDPRIVTVCLEGLENILKVGEADKNTGSTGDVNLYAQMIDDAEGLEKIENLQSHDNTEIYEKAVKILETYWLEEEDETLPPGAAAQSEFNFGSTNAPSVPSDMFMGFDENEGDDDVREEFLCPFCSEYFDIVGLCCHIDEEHPMEAKNGRKRRSRKSGYYSTLSLLRKELREGNLQSLFGGSSCIVSSSNAAPDPLLSSFISPLANEPASYQPQFHTETRSSKKNSDETISKRIVETTLSVKDKEEKEKRCEFVQGLLLSTILNDNL >OIV91183 pep chromosome:LupAngTanjil_v1.0:LG20:2336471:2338213:-1 gene:TanjilG_30405 transcript:OIV91183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDSDQCPFDSPRIVMNKVLSKSQQEGDGALVRRGIGRSELPRLDPFLMLDHFSVTPPAGFPDHPHRGFETVTYMLEGGITHQDFAGRKGTIRTGDVQWMTAGRGIVHSEMPAGEGTHKGIQLWINLSATDKMIEPNYQELLSEDIPSAEQDGVEVKVIAGEAMGVHSPVYTRTPTMFLDFTLNPGAELHQTIPDSWNAFVYVIEGEGFFGFQCCSPITSHHVVVLSLGDGVSVWNKSSDALRFVLIGGQPLNEPVAQYGPFVMNTQSEIEKTIQDYHYSKNGFENGKSWRSQ >OIV90422 pep chromosome:LupAngTanjil_v1.0:LG20:13388011:13388893:1 gene:TanjilG_19913 transcript:OIV90422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNTTDASGFLGSNNINGFGYGIGISIGILLLIVTITLTSYFCTRIQVPSAPTRRRDIPDLLEPEHSIIDVGLDESTILSYPKMMYSEAKLRKSDWTATCCSICLSDYKATDMLRVLPDCEHLFHPKCIDPWLRLHPTCPVCRTSPLPTPLSTPLAEVVPLANRRD >OIV90719 pep chromosome:LupAngTanjil_v1.0:LG20:7127472:7138104:1 gene:TanjilG_15105 transcript:OIV90719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSGRSTNVFLTHPMVIFGDCSMVPARAIFCGVCFIGCIEDQSSLLFSVYKRFLPCRFSWNKSSALNAHGLRAQGEGRWEVGSHRLDIKSNSNTSKHVPENLGTKFLWMEKNNTTSQAESMVQSMSLYFILRLTPKKFLDLMIKVVQSILPDVLQTLSVTTSMPLACISNALNKPKPLQLDVSLPSFHDISWSLARLLYLFNIQVERNVATFFIVLLIACFSFVVIGGLLFFKLRGSQHSLEDCLWEAWACLCSSSTHLKQSTRIERVIGFLLAIWGILFYTRLLSTMTEQFRNNMQRLREGAQMQVLETDHIIICGMNSHLPFILKQLNKYHEFAIRLGTATARKQRILLMSDLPRKQIDRVADNIAKDLNHIDVLTKSCSLSLSKSFERAAANKARAIIILPTKGDRYEVDTDAFLSVLALQPIPKMDSVPTIVEVSSSKTCELLKSISGLKVEPVENVASKLFVQCSRQKGLIKIYRHLLNYRSTAFMLPTHVINVILPNLEGMTYRQIRRGFQEAVVCGLYRSGRIYFHPSDAEILQQTDKVLFIGSLQDTKKPEVVTPNEIEGRLGIHNEDSHEKDADYAMELSKSRLANIVKRPNISGSKASDGNVGPKECILLLGWRPDTVEMIQEYDNYLGPGSVLEILSDTPVDGRILRTGNIIGQRKLKNIRVSRRNGNPMDYDTLQETILNIQSSLKNKDIPLSIAVISDRECLLGDPSKADKQSAYSLLLAESICNKLGVKVQNLVAEIVDSKLGKQINRIKPSVTYIAAEEVMSLVTAQVAENSELNEVWKDILNAEGDEIYVKDISLYMKEGENPSFSELYERAHLRREVAIGYVKNNKKVINPVPKSEPLSLELNDSLIVISELEGEQPIIF >OIV90860 pep chromosome:LupAngTanjil_v1.0:LG20:5137391:5137792:1 gene:TanjilG_15593 transcript:OIV90860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNNEQDRTLPIANVGRIMKQILPPSAKISKEGKQVMQECVTEFLSFVTSEASDKCYKENRKTVNGDDIFWALGSLGFDNYAGAIGMYLHKYRQNEREKVNQHKCDSFNEESATKTKGQHENQTKTSPADKSG >OIV90880 pep chromosome:LupAngTanjil_v1.0:LG20:4992497:4993210:1 gene:TanjilG_15613 transcript:OIV90880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRKFHLHNIKDNAPTSLSDRDKGCTMAMRGTSVLGDKKSLGNGRCSFKGKKSEPGGKGKECQFTEELGNNLVSENEKVMAATALSKLLTLEKSLEPDGTATSEGKQNKNDKKPVKMSLPLLTFLDKKKKGWSSLKASIRFGQVRRKVWVQIPSKLLAIRLKLKMVRSLMRRKVTKQYKQKGDEYGNKDRGGELCKKRILMGVRCKPLSSSGILRYDEDGIFLPDITSFTSTPCHLP >OIV90188 pep chromosome:LupAngTanjil_v1.0:LG20:20045620:20057467:1 gene:TanjilG_01384 transcript:OIV90188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAGSGAKLIVDALLQRFLPLARRRIETAQAQDGQYLRPSDPAYEQVLDSLAMVARHTPVPLLEALLRWRETESPKGTNDASTFQRKLAVECIFCSACIRFVECCPQEGLTEKLWSGLENFVFDWLINADRVVSQVEYPSLVDLRGLLLDLVAQLLGALSRIRFSSVTERFFMELNTRRIDTSAARSETLSIINGMRYLKLGVKTEGGLNASASFVAKANPLNRAPHKRKSELHHALCNMVSNILAPLADGGKGQWPPSGVEPALTLWYEAVGRMRVQLVHWMDKQSKHIAVGYPLVTLLLCLGDPQIFHNNLSSHMEQLYKLLRDKNHRFMALDCLHRVLRFYLSVHAANQAPNRIWDYLDSVTSQLLAVLRKGLLTQDVQHDKLVEFCVTIAEHNLDFTMNHMILELLKQDSPSEAKVIGLRALLAIVMLPSSQHFGLDIFKGHDIGHYIPKVKAAIESILRSCHRAYSQALLTSSRTTIEEVGRSDKITEIIPQHGISIDPGVREEAAQVLNRIVKYLPHRRFAVMKGMANFVLRLPDEFPLLIQTSLARLLELLRFWRSCLIDDRMQLDADAKSLGRETERFRKSSFQQSGEAIDFRASEIDAVGLIFLSSVDSQIRHTALELLRCVRALRNDIRELRIHEQPNHILKYEAEPIFIIDVLEEHGDEIVQNCYWDSGRPFDLKREPDTIPPDVTLQSIIFESPDKNRWARCLSELVKYAAELCPSSIQEAKVEVMQRLAHITPVELGGKAHQSQDVDSKLDQWLMYAMFVCSCPPVARESSGTAATKDLYHLIFPSLKSGSDVHVHAATMALGRSHLEACEIMFGELASFIEEVSSETEGKPKWKSQKARREELRIHIANIYRTVAENIWPGMLARKPVFRLHYLKFIDDTTRLISTSPPETFQDMQPFRYALASVLRSLAPEFVDSRSEKFDVRTRKRLFDLLLSWCDDTGSTWSQDSVSDYRREVDRYKSSQHARSKDSVDKISFDKELNEQVEAIQWASMNAIASILYGPCFDDNARKMSGRVISWINGLFLEPTPRAPFGFSPADPRTPSYTKYQGESGRGNAGRDRLRGGHHRVSLAKLALKNLLLTNLDLFPSCIDQCYYSNSSVADGYFSVLAEVYMRQEIPNCEIQRLLSLILYKVVDPSRQIRDDALQMLETLSVREWAEDGIEGSGGYRAAVVGNLPDSYQQFQYKLSCKLAKDHPELSQLLCEEIMQRQLDAVDIIAQHQVLTCMAPWIENLNFWKLKEGWSERLLKSLYYVTWRHGDQFPDEIEKLWSTIASKNRNISPVLDFLITKGIEDCDSNASTEISGAFATYFSVAKRVSLYLARICPQRTIDHLVFQLSQRLLEDSIEPVGPTASKGDASANFVLEFSQGPAVAQMASVMDNQPHMSPLLVRGSLDGPLRNVSGSLSWRTAGMTGRSVSGPLSPMPPELNIVPVSAGRSGQLLPALVNMSGPLMGVRSSTGSLRSRHLSRDSGDYLVDTPNSGEDGVHAGSAVSAKELQSALQGHQQHSLTHADIALILLAEIAYENDEDFRQYLPLLFHVIFVSMDSSEDIVLEHCQHLLVNLLYSLAGRHLEQYEVENNDRENKQQVVSLIKYVQSKRGSMMWENEDPTVVRTELPSAALLSALVQSMVDAIFFQGDLRETWGEEALRWAMECTSRHLACRSHQIYRALRPSVTSDACVSLLRCLHRCLGNPLPQVLGFVMEILMTLQVMVENMEPEKVILYPQLFWGCVAMMHTDFVHVYRQVLELFSQVIDRLSFRDRTTENVLLSSMPRDELDSSDLGEFQRTESKGGYEPLQEGNLPAFEGVQPLVLKGLMSNVSHSVSMDVLSRITVHSCDSIFGDAETRLLMHIIGLLPWLCLQLSKDPVIGPASPLQNQYQKACSVASNIAIWCRAKSFEELATVFVIYSRGEIKSIDNFLACVSPLLCNEWFPKHSTLAFGHLLKLLEKGPVEYQRVILLMLKALLQHTPMDASQSPHIYAIVSQLVESTLCWEALSVLEALLQSCSSLTGSHPHEVASFENGFGGTEDKLLAPQASFKARSGPLQYGMGSGLISSRGQGMSTESVVSQREIALQNTRLILGRVLDRSALGKRKDQKKLVPFVANIGNP >OIV90139 pep chromosome:LupAngTanjil_v1.0:LG20:20608196:20608570:1 gene:TanjilG_01593 transcript:OIV90139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASALQKLLRKPPPIKFITPILSSQPPNPLNPIIDLHRECHQPYLPPTSTPSEQFTSSNVIFPSFPFGFSSKPIFETGFCSTEGEEAGLEDSKTIWADSVKKKRKKKMNKHKYQKLRKRMRRQT >OIV91427 pep chromosome:LupAngTanjil_v1.0:LG20:495682:497028:-1 gene:TanjilG_02045 transcript:OIV91427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENRDRGFFHHHKNDEDKPIDTESDYNKPSGDYDSGYNKSSGADGYGSGYNKSSYDDTTTGGGYGGSTGAGGYPDTTTGGGYGGSAGGGDSYPDTTTGGGYGGSAGGGYGGSTGGGGYSDNTTGGGYGGSTGDDYEKTSGGGYGGGVQRDDEVDYKKEEKQHEHREKFGELGAVAAGAYALYEKHESKKDPENAERHKIEEEVAAAAAVGSGGYAFHEHHDKEESKEEDEEAHGKKKHHFFG >OIV91169 pep chromosome:LupAngTanjil_v1.0:LG20:2464478:2468840:1 gene:TanjilG_30391 transcript:OIV91169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRVNADLIWKSPHFFNAVKERELDLRGNKIPVIENLGATEDQFDTLDLSDNEIVKLENLPYLNRLGTLIMNNNRITRINPNIGEFLPKLHTLILTNNRIVNLVEIDPLASIPKLQFLSLLDNNVTKKPNYRLYVIHRLKNLRVLDFKKVKSKERLEAKNLFASKEAIEEVQKAPVKTSPPVETRNVSEATEEQQTPKVVAPTPEQIIAIKAAIVNSQTLEEVARLEKALKSGQLPEDLKGLTDNMVLDNVAVKHGDKVHDGKGQGDDESNDTEEQRSTDSTPMEQE >OIV90297 pep chromosome:LupAngTanjil_v1.0:LG20:17536786:17544593:1 gene:TanjilG_13152 transcript:OIV90297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LFERSTEKGSVWVTLKRSSLKSKVQRSKLANAGEAIEYRCLIRATDGKKTISTSVGQKDHQRFQASYALILKAHMTALKKRERKDKKKSAEVEILKEFPDEITMVFFYNFYAAIVAAIVGLLAERNSSAWKIRLDISLISIVCTGIFNKFLSSTIYAWGVHLKGPVYVAMFKPLSIIIAVIMGVMLLGDILHIGSIIGAIIISIGFYTVMWGKATEEKEEDVDNLQESPTTENVPLLQSYENVNSDKKIDGNV >OIV90109 pep chromosome:LupAngTanjil_v1.0:LG20:20886041:20887790:-1 gene:TanjilG_01563 transcript:OIV90109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSTFSNLLSLIIPTKQPSIPPPPNNLNFPFSSTPFQKISSLSHHSSSVMNPSLAYSNMMLFKSAYNVQVVVGDDETEERLLNRFRREVMKAGVIQECKRRRYYENKHDKKKRKAREASRRNRKWRPFQRTAVPNKDGFPTKKGDDDDDDNWDLPEGDTAI >OIV91438 pep chromosome:LupAngTanjil_v1.0:LG20:380673:384671:-1 gene:TanjilG_02056 transcript:OIV91438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEPEEENPLDFDLEMENPWPLDNMATSPFVFSTSTSSPVWVFSDVEDDSFVKVAPSPFSDCYKISCDSNSIAEKPEENDDSNKLLPPLVAMPPLENVDWYYVVKEKMTQALRYFKQLTEQNVLAQVWAPVRNGNCYVLTTAGQPFVLDPHSNGLNQYRTVSLMYMFPVDGENDGSPGLPGRVFQKKLPEWSPDVQYYSSKEYPRRDYAQHYNVRGTLALPVFEPSLHSCVGVVELIMTSQKINYAPEVDKICKSLEIFPHSPVVSFLAGSKFEEFGNSGASIHSDITWQCLLNQICNEGRQNALAEILEILTAVCETHNLPLAQTWVPCKHRSVLANGGGLKKVCSSFDGSCMGQVCMSTTDVASYIIDPHLWGFREACVEHHLQQGQGVAGRAFLSHNMCFCSNITQFCKTDYPLVHYALMFGLTSCFAICLRSSHSEEDDYVLEFFMPPRITDFHEQKTLLGSILRTMKQHFQSLKIAAGVELEENGSIEIIEATNEGVHFTLESIPIDRSTKSPLIPDYAPNIVEEIPEDPSEQQIMMYCNDINDGEDFGDNARGSIDQMSLLKTKTIKKPSERKRGKTEKSISLEVLQRYFAGSLKDAAKSLGVCPTTMKRICRQHGISRWPSRKINKVNRTLSKLKRVIESVHSAEGAFDLNSLSTSPLPDVVGSFPEPFTPNKFSQQAILCTRPSEPQMKQNEFDTLKISERSRQDVMEDQLLGTIQSLEKVINDRGDPKRTVTKSSSSEDSTNPTSHGSCHFSPPNESPPVKDILFASNNEQGVALRELPELAFQPTNTLNFPSDYPIPNIAPAELQQQPFREMLIEDAGSSEDFRNLCPSITDAILEDLIPEACGTNLPCSDLAPRQSLHTLNNAVTPADRKEIKNVTIKATYKQYIIRFRVSLTCSIVDLREEVAKRLKLEVGTFDIKYLDDDNEWVLIACDADMQECMDVSSSGSNIVRVLVHDLISNLGSSHERGGE >OIV91089 pep chromosome:LupAngTanjil_v1.0:LG20:3062220:3067442:-1 gene:TanjilG_30311 transcript:OIV91089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASPSPSSAATTNIMLAIFEKKTNSVDLYRPLRNYIAFHYSEREAQNLEDDLETLKQFRSDIERHSDPSLPARRDLFQSYYKSLCLVETRFPISSDPSHVNTLTFTWFDAFKLKNKSSQRNIHLEKASVLFNLGAVYSQIGLSYDRNTVDGRRQASHAFIAAAGSFAYLRENASLKASVGGSVTVDLSVECAAMLEKLMLAQAQECVFENSIAKGSTPGVCSKISRQVGLYYEEALAALNVAPLTQHFDKTWLAHVHLKAALFHAEACYRYGLELHEKEEIAEEIARLRIAINVLNEAKKNSRGAPAQILDAISKLEANINRNLERAVKENDRVYLMRIPSLSSLPPLPAFSMVKPMVMNEVLDASKEKMFASLVPDSSTKALSRYTEMVDEVIRTQAEKLQQASELTRVRLKEMGLPDSILALEGNFSLPTNLKEDVEAVQISGGPAGLNAELQQLRDLRRVNQELLVQIEELLQKEAREDSQFRSQFGTRWTRPQSSTLTKTLQDRLNRFAGNLKQAAESDARIERSIREHSALMSILDARPIESALPTLARPIMSFDQNEDAVVGSLKQSLRQLENLGAQRAGLEDMLKEMKRKDDILPKLMTFTGSHEDLFKKEISKYDHICEEIAQNIEAQEQLLLQIQAQNDDFSVIFNLEDYKVSCEKSYKQIESAIAKFQEIKENINEGLKFYVTLQDAITNVKQQSDDFVMTRNIQCREMIDDVQRQVAGLSFQDNKNTGAFNSNYPSVGNQNQRSNAQPTEPRPQTPYYQSAEQPQVPAYVHPPPPYGHAHQPPPPYHVPSTSSAPYPPSQVHQQPPANHDYGQPAYPGWRGPYYNNAQAQQPGSVPRPPYTVSSPYPPPHQSGYYRQQ >OIV90322 pep chromosome:LupAngTanjil_v1.0:LG20:16603807:16605650:-1 gene:TanjilG_14720 transcript:OIV90322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSIDIEGIVKEVGNDGMRVPKTKIVCTLGPASRSVDMIEKLLRAGMNVARFNFSHGTHDYHQGTLHNLKTAMHNTGILCAVMLDTKGPEIRTGFLKDGKPIQLKEGQEITITTDYDIKGDTEMISMSYKKLPVHLKSGNIILCSDGTITLTVLSCDPDAGTVRCRCENTAMLGERKNVNLPGVVVDLPTLTDKDKEDILQWGVPNNIDIIALSFVRKGSDLVNVRNVLGPHANHIQLMSKVENQEGVMNFDEILRETDAFMVARGDLGMEIPVEKIFLAQKMMIYKCNLVGKPVVTATQMLESMIKSPRPTRAEATDVANAVLDGTDCVMLSGESAAGAYPEIAVKIMARICVEAESSLDYGAIFKEMIRSTPLPMSPLESLASSAVRTANKAKAKLIVVLTRGGSTAKLVAKYRPAVPILSVVVPVLTTDSFDWTCSDETPARHSLIYRGLIPLLAEGSAKATDTESTEVILEAALKSVTEKGLCKPGDAVVVLHRIGVASVIKICLVK >OIV91221 pep chromosome:LupAngTanjil_v1.0:LG20:2028888:2031851:-1 gene:TanjilG_30443 transcript:OIV91221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLQILESIFDKGNGTPSKEKIKDITFELSHHGQISENPEGCSDLHYLNEDSNKPDYMFPSDGSLRSTRNFNHVSVYNEEQSNSNIRNWIRNIEQHASENVNNILVGNKADIAVPTSRGQALADEYGIKFFETSAKTNMNVEEVFFSIARDIKRRLADTDSRAEPQTIKINQPDQAASGGQAAQKSACCGS >OIV90346 pep chromosome:LupAngTanjil_v1.0:LG20:16294662:16294953:1 gene:TanjilG_21788 transcript:OIV90346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANEIGDWYHLIRDGSGTGSTMVGRCTVCTSRIPLLLRRPRTTYTTKEKEPMNRLTFGDERHRQFG >OIV90143 pep chromosome:LupAngTanjil_v1.0:LG20:20575489:20579937:1 gene:TanjilG_01597 transcript:OIV90143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSSSKENNSWRQNSPVQRSSSSASSWSQYPDTQSGYGQGGYAYEQQQFTYPTQPQQYHDNPPPPSYGYEPYASSGVARPDDKKKLERKYSRIADNYNSIDQVTEALARAGLESSNLIVGIDFTKSNEWTGKQSFNRQSLHHIGNGQNPYEQAISIIGKTLAAFDEDNLIPCFGFGDASTHDQDVFSFYPDERICNGFEEVLSRYREIVPHIRLAGPTSFAPVIEIAMTIVEQSGGQYHVLVIIADGQVTRSVDTEHGRLSPQEQKTVDAIVEASKFPLSIILVGVGDGPWDMMKQFDDNIPARAFDNFQFVNFTEIMSKNIPPSRKEAAFALSALMEIPSQYKAAIELNLLGSRIANAPQRVALPTPAYGSASLGTSKLYSTASFGPSKPSHTASFGPSAPSYHDNSGQVGTAPPAPSSTIYDNLLCPICLSNPKDLAFGCGHQTCCECGQDLQSCPICRSPISTRIKLY >OIV90987 pep chromosome:LupAngTanjil_v1.0:LG20:3882992:3884032:-1 gene:TanjilG_16947 transcript:OIV90987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLWLMRFSGFCSAAMLLILLSPSLQSFHPAEAIRSNHLDRFSYRQASTFRNAEQCGSNNRTGVCDPSLVHVAITLDLEYLRGSIAAVHSILQHSQCPDNIFFHFLLTQTNLESLVRSTFPELKFKVYYFHPDIVKNLISTSVRQALEQPLNYARNYLPDLLESCVHRVIYLDSDLVVVDDVEKLWTTSLGGSTIGAPEYCHANFTKYFTAGFWSDRRFSAAFAGRRPCYFNTGVMVIDLVKWRRVGYTRRIERWMEIQKSHRIYELGSLPPFLLVFAGHVAPIEHRWNQHGLGGDNVRGSCRDLHAGPVSLLHWSGSGKPWLRLDSKRPCPLDALWAPYDLYGHTQ >OIV91022 pep chromosome:LupAngTanjil_v1.0:LG20:4201843:4203354:1 gene:TanjilG_16982 transcript:OIV91022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLRRFSLQSQFRCVSSTLPSLSRFFNSTTNTHPDPQNEDVQTVFNIISSSTSLEHMKESLKSSRVFLSNELIDQVLKRVRFSHGNPLQTLDFYHYTGRRKGFYHTAFSFDTMLYILGRSRMFSHAWDVIVEARKKDQSIITQRTVMVFLGRTAKVCSVRQTVESFRKFKKMVPEFDTTCFNALLRTLCQEKSMSDARNVYHSLKHNFRPNLQTFNILLSGWKTPEDAEGFFNEMREMGVEPDVVTYNSLVDVYCKGREIEKAYKVLDEMRERDLSPDVITYTSIIGGLGLIGQPDKARDILKEMKEFGCYPDVPAYNAVIRNFCIAKRLGDAYYLMDEMTSKGLSPNATTYNLFFRVFFWSNDLQSSWNLYQRMIVAGCLPNTQSCMFLIRLFKRHEKVDMAMQLWGDMLEKGFGSYTLVSDVLFDLLCDTGKLAESEKCFLEMVEKGQKPSNVSFKRIKVLMELANRHEALQNLTQKMAMFGRPLQVHDSSRSRHQTSNLA >OIV90273 pep chromosome:LupAngTanjil_v1.0:LG20:18056207:18059075:-1 gene:TanjilG_08310 transcript:OIV90273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGFEAMTFNIHGGYLEAIVRGHRAGLLTTADYNNLCQCESLDDIKMHLSATDYGSYLQNEPSPLHTTTIVEKCTLKLVDDYNHMLCQATEPLSTFLQYITYGHMIDNVVLIVTGTLHERDVQELLEKCHPLGMFDSIATLAVAQNMRELYRLVLVDTPLAPYFSECITSEDLDDMNIEIMRNTLYKAYLEDFYRFCQKLGGATAEIMSDLLAFEADRRAVNISINSIGTELTRDDRRKLYSNFGLLYPYGHEELAVCEDIDQVRAAMEKYPPYQSIFAKLSYGESQMLDKAFYEEEVKRLCLAFEQQFHYAVFFAYMRLREQEIRNLMWISECVAQNQKSRVHDSVVLIF >OIV90453 pep chromosome:LupAngTanjil_v1.0:LG20:12883523:12887051:1 gene:TanjilG_01931 transcript:OIV90453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDEQVGLPVLDFTKENLKPGTNSWLSACKSVRHAFEEHGCFIVVYDKASSDDLQNGVFRSLKELFDLPTKTKMKNIYEGKPLKGYVGQHPKIPLHESMGIDEGTTVEGIGCFVQEMWPNGNDQFCTYLFEYAKVSEELNRMVSRMIFESYGLVEHYDKYIGSTSYLLRVLAHKAPEHIMPQLGFVSHTDKSFTTILHQNHVNALMVETKDGNWIDVDFSSPASFVVMAGDALMVWSNDRIKSPIHKVVMNGNETRYSLGLFAFYKGILEVPEELIDDEHPLKYKPFDHLALLNLTYSAHIKAYCGV >OIV91360 pep chromosome:LupAngTanjil_v1.0:LG20:1028106:1029392:-1 gene:TanjilG_01978 transcript:OIV91360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFASGNGTSSSTGSPCGACKFLRRKCAPDCIFAPYFCSEQGADRFSAIHKVFGASNVSKLLLHIPAHDRCEAVVTIAYEAQARIRDPVYGCVSHIFALQQQVACLQAQLMQAKAQLANQNLIENQCSGNAVGLSTYPFGATSMMNPISPQSSFVSSIDHGSISDGMSMQDIQSREDSSFQAWAKKISYNNDFGELQDLAHRMMHMNYY >OIV90060 pep chromosome:LupAngTanjil_v1.0:LG20:21463767:21465761:1 gene:TanjilG_21192 transcript:OIV90060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGETQEVQLHITSADGGAKGANSLEDNSNLPSQVNQTEIKKEKKTYRYIKIAIFAAFMLLGQSSATLLGRLYYAKGGKSKWLGTLIQLAGFPILLPYKCILREPKNKFDSHKPSPSMFAFIYVSFGLMTALDCFLYSVGLQFLPVSTYSLICTSQLAFNALFSYFLNSLKFTPYIINSLLLLTISSTLLVLQNEPSSGSTQVTKKNYAIGFICTVAASSGYGLLLSLTQLVFRKVLKRASLKVVLDLLMYQCLVASLVILVGLFASGEWKGLKNEAKGYESGKASYFLTVIFAAIVWQVANIGCLGLIFEVSSVFANAIGILGVPFIPILAVIFFHDKMHGAKAISLVLAVWGFISYVYQDYLDNRNATTQKTSDDHAPNASLPLEEGH >OIV91104 pep chromosome:LupAngTanjil_v1.0:LG20:2956775:2960033:-1 gene:TanjilG_30326 transcript:OIV91104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIIDYDAKVAETHLMNMYEAWLLKHGKAYNALGEKERRFQIFKDNVRFVDEHNKATERTYKLGLNKFADLTNEEYRNMYLGTRMRGSLGLMSTLKKSDRYVYHYGEDLPVSVDWRKKGAVASVKDQGQCGSCWAFSTVAAVEGINQIVTGKLIPLSEQELVDCDKNYNMGCNGGLMDYAFEFIVNNGGIDTEDDYPYHASDRTCDPNRKNARVVSIDGYEDVPPNDEQSLKKAVAHQPVSVAIEAGGRAFQLYDSGVFTGICGTELDHGVAVVGYGTEDGRDYWLVRNSWGPAWGENGYIKLERNIKTTKTGKCGIAMEPSYPTKNGQNPPKPAPSPPTPAAPVSTVCDEYYSCSAGTTCCCMFEYGSRCFGWGCCPVESATCCDDHSSCCPPEYPVCDLKGGTCLLSKDNPFGIKSLKRTPATSTWTQRKAAMKTKLV >OIV90614 pep chromosome:LupAngTanjil_v1.0:LG20:8549986:8555209:-1 gene:TanjilG_01695 transcript:OIV90614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRVFGAKKNKDPPPSIQDASDKITKRGDTVEEKIKKLDVELSKYKEQIKKTRPGPAQEAVKARAMRVLKQKRMYEGQRDMLYNQTFNLDQVQFAAEGIKDAQQTMSALKSANKELKGAMKTVKIEDIDNLQDEMMDLMDVSNEIQETLGRSYNVPDDLDEDELMGELDALEADMGFETEADGVPSYLQPDKEPDLDAELNLPSAPAGHTAPGRSNAQNLQDEMMDLMDVSNEIQETLGRSYNVPDDLDEDELMGELDALEADMGFETEADGVPSYLQPDKEPDLDAELNLPSAPAGHTAPGRSNAQDVPYHCGIPDLDLSQIFSGKIILRTSILPRLH >OIV91306 pep chromosome:LupAngTanjil_v1.0:LG20:1447474:1449063:1 gene:TanjilG_01837 transcript:OIV91306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPQKRTTFSVKPKLKPKARTPKQTPESKYWSSFKTQQIPKLISVPSLTFSPTSPHSFAAAHSASVSIFTSETLSLSSTISSFKDSVTSASFRNDGRLIAAADISGLIQVIDVKSRSTLRRLRSHTRPVRYVQYPVLDKLHLISGGDDALVKYWDVAEEKSILQLQGHKDYVRCGDSSPVNGEMFVTGSYDHTVKLWDIRVGDSKPAMQVNHGSPVEDVIFLPGGGMIATAGGDSVKFWDLIGGGKLLHSMESHNKTVTSICVGQIGKESREDSWNQYRVLSVGLDGYMKVFDYTEMKVTHSMRFPAPLLSVAFSPDCSTRVVGTSNGVIYAGKRKEKEKEKNVESESSLFWRIRPVENTQRKVLTPSHFRYFYRGQGEKPSEGDYLVMKPKKVKLAEHDKLLNKFRHGEALVSVLESKNPGNVLAVMEELVARKKLLRCVSDLDVEKLELLMAFLHKYCTVPRYSSLLMGLAKKVLEIRADDIRGSEALRSHIRNLKRSVEEEIKVQQSMQEIQGVISPLLKIAGRR >OIV91423 pep chromosome:LupAngTanjil_v1.0:LG20:524542:534852:1 gene:TanjilG_02041 transcript:OIV91423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPSKADLLQLFQSFGVITKLVMLRAKNQALIQMQDIATAVSALQFYENIQPNIRGRNVYIQFSSHQDLAPTDQNQGRGDEPNRILLVTIHHVLYPMTVDVMHRVFSTHGSVEKIVTFHKSAGCQALIQYQSRQSAVTAKNALQGRNVYDGCCQLDIQFSNLDDLQVNYNTDRTRDFTNPDLPTEQKGRSSQPDAGNMYGSAARTVGLSHADLLQLFQSFGVITKLVMLRAKNQALIQMQDIATAVSALQFYENIQPNIRGRNVYIQFSSHQDLAPTDQNQGRGDEPNRILLVTIHHVLYPMTVDVMHRVFSTHGSVEKIVTFHKSAGCQALIQYQSRQSAVTAKNALQGRNVYDGCCQLDIQFSNLDDLQVNYNTDRTRDFTNPDLPTEQKGRSSQPDAGNMYGSAARTVGLSHAANAEAIAAAFGGNLPPGITGENDRCTILVSNLNPDRIDEDKLFNLFSIYGNIVRVKLLWSKPDHALVQMGDGFQAELAVHFLKGAILFGKRLEVNYSKHATILQGADTHEYANSNLNRFNHNAAKNYRYCCYPTWMIHLSSLPQDITEEDIVSLVEDHGVILNCKVFEMNGKKQALVQFETEEQATEALVCKHATSISGSVVRISFSQLQNI >OIV90769 pep chromosome:LupAngTanjil_v1.0:LG20:6080407:6082717:-1 gene:TanjilG_15502 transcript:OIV90769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADLVLDTAIRDWVLIPLSVVMVLIGVLRYFVSKLMRSSQTPDAKIVKEGQVMLRARNLRAGANFIPSKAFHARKTYFCNEENGLLFVPKGQATNPQAQMFSDPNMAMDMMKKNLSMIIPQTLTFAWVNFFFSGFVAAKIPFPLTQRFRSMLQNGIDLSTVDVSYVSSRSWYFLNLFGLRGFFSLILGEENAVDDTQRMMQMGGFGFDPSKGLSAEKDNLDITQHDWALPNFEQRAEAVLRKIAS >OIV90313 pep chromosome:LupAngTanjil_v1.0:LG20:17799174:17801523:-1 gene:TanjilG_13168 transcript:OIV90313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSVSISDPPFSRDSTKKKRTNGSAKLKQIKLDVRREQWLSRVKKECNADSNGRVDYCPSSKQIVCEENESSNKEIRRKRGEDMESSCIHDNYLGSLKNGSTQSTFDHDESGSSFSGGSSSSGSTGTTSFSGNDSEEEEDDGCLDDWEAVADALNADVAVSESLGKNDRQFSNNVPEAAKNPGVDFSQTKFSAVLESHPNCQAWKPNDVLRPRCLPDLSKQHSSSLNSVWHGNYKTVPWAWKTIISQPSQCPICYEDLDVTDSSFLPCPCGFRLCLFCHKKIFEADGRCPGCRKLYDHVDGNGGFSVRAEAFHITQHCRMRTRC >OIV90024 pep chromosome:LupAngTanjil_v1.0:LG20:21844246:21846645:1 gene:TanjilG_23944 transcript:OIV90024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSNINKERDLEFMFDENNEDEDQDIEDVKFESENSSEVDSDDGQGNYETKPESFTSQQWPQSYKETTDSYTIATASNLGSILRGPSFVYSSFRNRSKSYIEIDGKNPLLSGQEGINQSTWWEKASMRRLVSEELPIGYGCSFTQTVFNGINVMCGVGLLSTPYTVNQAGWASMGVMLLFAVICCYTATLMRDCFESREGIVSYPDIGEAAFGKFGRIFASIILYTELFTYCVEFIILEGDNLTSVFPGTSLNLGSFKLDSVHLFGIVTAFIVLPTVLLKDIRIISYLSAGGVIATLLIVICVISVGTIGGVGFHHTGEVVNMSGIPFAIGIYGFCYAGHSVFPNIYQSMADKRQFTKAVITCFVLCILLYGGVAIMGFLMFGDATLSQITLNMPAGSFASKVAVWTTIINPFTKYPFSHKVVYEIQISGDVLSLDQIKE >OIV91056 pep chromosome:LupAngTanjil_v1.0:LG20:4469455:4474522:1 gene:TanjilG_17016 transcript:OIV91056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSRPSQSSTNSGRPRNSARIIAQTTVDAKLHANFEESGCSFDYSSSVRVSGTVDGDHQPRSDKVTTAYLHHIQKGKQIQPFGCLLALDEKTCKVIAYSENAPEMLTMMSHAVPNVVDHPALGIGTDIRTIFTAPSASALQKALGFGEVSLLNPILVHCKTSGKPFYAIIHRVTGSLIIDFEPVKPYEVPMTAAGALQSYKLAAKAITRLQSLSSGSMEKLCDTMVQEVFELTGYDRVMAYKFHEDDHGEVIAEIAKPGLEPYLGLHYPATDIPQASRFLFMKNKVRMIVDCHARQVKVLQDEKLPIDLTLCGSTLRAPHSCHLQYMANMNSIASLVMAVVVNDNDEDGDSSDAVQPQKRKRLWGLVVCHNTTPRFVPFPLRYACEFLTQVFAIHVNKEIELELQIIEKNILRTQTLLCDMLMRDAPLGIVSQSPNIMDLVKCDGAALFYKNKVWRLGVTPGESQIREIALWLSEHHMDSTGLSTDSLLDAGFPGALSLGDTVCGMAAVRIASKDTVFWFRSHTASEIRWGGAKNEPGERDDGRKMHPRSSFKAFLEVVKTRSLPWKDYEMDAIHSLQLILRNAFRDTVTTHINTSAIDTRLSDLRIEGMQELEAAASEMVRLIETATVPILAVDVNGLVNGWNTKIAELTGLPVGEAIGKHLLTLVEDSSIDRVKKMLDMALQGEEEKNVQFEIKTHGSYMDSGPVSLVVNACASRDLRDNVVGVCFVAHDITAQKTVMDKFTKIEGDYKAIVQNPNPLIPPIFGTDEFGWCCEWNQAMTKLTGWKRDEVMDKMLLGEVFGTQKACCSLKNQEAFVNFGVVLNEAMTGSEIAKVAFGFIARNGKYVDCLLSVSKKFDTDGAVTGIFCFLQLASPELQRALHIQRLSEKTALKRLKSLSYIKRQIRNPLSGIMFTRKLFEGTELGTEQIQLLHTSAQCQRQLSKILEDSDLDTIIDGCLDLEMAEFTLHDVLAASLSQVMLKCNAKHIQIINDVAEQIRMETLYGDCLRLQQVFADFLLVSINFTPNEGQVVVAASLTKQQLGQSVHLVKLELSITHAGSGVPEALLNQMFENDGQESEEGISLLISRKLLKLMNGDVRYLREAGKSSLILSVELAAAHK >OIV91196 pep chromosome:LupAngTanjil_v1.0:LG20:2246487:2248593:-1 gene:TanjilG_30418 transcript:OIV91196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAMAMDIKAGISGYLEKHLDSRISFFLNSYIVAITFAAGIGGLLFGYDTEVKNSSLLQELIVGMALAGATFGATIGGMISDALGRRTAILIADILFIVGSAMMAAAPNPYVIIAARFIVGLGIGATSVTAPIYIAEVSPSEIRGGLVCANSLMISAGQFLSFIINYGLTTFPGTWRWMLGVAGLPALIQLAFMAFLPESPRWLYLQNKKDEAKSVLSKIYPSPRLEDEIEILETHMEKEGASKKVKYSDVFTSKEIRSAFIFEAGLQAFQQFAGISIVMYYSPTIIQMAGFKSNSAALFLSLIVSGMNAAGSILGIYLIDIAGRKRLALGSLTGVIGALILLSTSCYLMGHGHKSQVFAWLALLGLALYIAFFAPVTWAANSENYPEEYRGICGGMSATVNWVCNGIMSTSFLSVVDAIGLGESFMVLLAVSCVALVFIIFYMPDTKGLTFEEVSNICKKKAYGYFKGNNQHHFTL >OIV90293 pep chromosome:LupAngTanjil_v1.0:LG20:17387182:17402352:-1 gene:TanjilG_13148 transcript:OIV90293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSWAKMLIDRATELGRQLPDMSSDSSIEYDVLSIRMSFMAIMLTVKFDHLVIPLGMDRPRGTADLSPYSVTLVSGFLAVISVNVVIAFYIYLAMREPADKHEPDPKFVADAKDSVKQFTGDAQQSSQPLKKQQ >OIV90577 pep chromosome:LupAngTanjil_v1.0:LG20:9171881:9178038:1 gene:TanjilG_01658 transcript:OIV90577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHYWVRATSDDFDGTTPPQHRSGHSAVNIGKSKVVVFGGLVDKNFLSDISVYDIDAKIWYKPECTGSDSNGVVGPSPRAFHVAVSIDCHMFIFGGRSGGKRLGDFWVLDTDIWQWSELTSFGDLPSPRDFAAASAVGNRKIVMYGGWDGKKWLSDVYVLDTISLEWMELSVSGTLPHPRCGHTATMLEKRLLVYGGRGGGGPIMGDLWALKGLIEENEAPGWTQLKLPGQAPSPRCGHTVTFGGHNLLMFGGHGTGGWLSRYDIYYNDCIILDRVSAQWKRLPIGNEPPPARAYHSMTVIGSRYLLIGGFDGKTTYGDPWWLVPQEDPIAKRLTASPPRNIPESKDAASLSEDFQPQSKESQTEKFPFLELQKRLQVSVSLSNSRLPIVNELEDKELLELASALTGDKVSTNSQAIEALREQWKKSESSLVKLKELGPLLRDYQRLIHRHHM >OIV90985 pep chromosome:LupAngTanjil_v1.0:LG20:3866178:3870400:-1 gene:TanjilG_16945 transcript:OIV90985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSTNSHSPNSKGFLCNAGAGAAAGFIAAAFVCPLDVIKTRLQVQGAPQLRNSNVKGSLIVGTLEQIFEREGLRGMYRGLAPTVLALLPNWAVYFTIYEQFKSLLSTDESHHLSIGANVVAASGAGVATTIATNPLWVVKTRLQTQGMRPGVVPYKSTLSALRRISHEEGIRGLYSGLVPALAGISHVAIQFPTYEKIKFYLAKQDDTAMEKLGARDVAIASSVSKIFASTLTYPHEVVRSRLQEQGHHSEKRYSGATDCIRKIFQQEGLSGFYRGCAINLLRTTPAAAITFTSFEMINRFLVSRFPSDPCPPIL >OIV90249 pep chromosome:LupAngTanjil_v1.0:LG20:18772185:18774436:1 gene:TanjilG_11977 transcript:OIV90249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKRSSHGKRPHSQSDYDNRGNKRRNSGGDREQFVIDSDDTVYRYLCPSRKIGSVIGRGGEIVKQLRIDTKSKVRIGESVPGCDERVITIYSASDETNAFNDSGDYVSPAQDALFKVHDRVVAEDLHSDQDEDEGGQQVTAKLLVQSDQIGCIIGKGGQIVQNIRSDTGAQIRILKDDHLPSCALGSDELVQISGDAAVVKKALYQIASRLHDNPSRSQHLLASAVPGVYPPGGSFMGPTSGAPIMGIAPLMGTYGGYKGDAGDWPRSLYSAPRDGASSRDFSVQLVCPIANIGGVIGKGGMIINQMRQETGATIKVDSSAKEGDECLITISTKEFFEETFSPTIEAAVRLQPRCSEKVERDSGIISFTTQMLVSSSRIGCLIGKGGSIITEMRRLTKANIRILSKENLPKIASEDDEMVQISGDLDVAKDALVHVLTRLRANLFDREGAVSALLPVVPYLPVPGDGPDGLNYDIRDGKRHGRGHQYSSGYGGSSDLPPGDAYGNYGGSQLGSGAPYGAYGSYSLGRTSTAG >OIV90414 pep chromosome:LupAngTanjil_v1.0:LG20:13596031:13598506:-1 gene:TanjilG_10900 transcript:OIV90414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDLFSRLHSDRASPDRHHVIEMSTAATDAAAPSGGGVNLDKFFDDVEGVKDELKELDQIAKSLQNSNEQSKTLHDAKSVKDLRSRMDDDVSLALKKAKVVKIRLEALERSNAANRSLPGCGPGSSSDRTRTSVVIGLKKKLKDSMESFNEVRQLISSEYRDTVQRRYFNVTGENPDEKTLDRLISTGESETFLQKAIQEQGRGRILDTINEIQERHDAVKDLEKNLLELHQVFLDMAVLVESQGEQLNDIESHVARAHSFVQTGVEQLHTARKHQRSTRKWTCYAIILILVIVLFVVLFTVRPWEKKGSSGNGGNQPASAQTPPLSPPPPTA >OIV91434 pep chromosome:LupAngTanjil_v1.0:LG20:413264:443379:1 gene:TanjilG_02052 transcript:OIV91434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVVESCDRKRSREENPQPMNNEKKKKRKKRKTQKNKGEASELPNPNNPDPKRKGKKRKNKQVQNKTESVETLLQSNQGLTLTKIPFKWPDQTSLGNSNSKSPRAPPRTHGVKVTESPVKRHADTSVICRACRQRGHRFEVCQRLKYLSRDDEICFFCGEIGHSLGKCSLSTAGGGRFAKCLFCYAQGHFSINCPQNGYRINPKVAAARGPINKTVENEGMPVAEVTNMSAMFSARIITVMQEAVSLDKAIHVEPWTMRPVQKLTKALIALKRGAQLLKYGRKGKPKFCPFRLSNDESSLIWISSSGERSLKLSSVSRIIPGQRTAVFQRYLHPEKDYLSFSLIYNNGKRSLDLIFKDKAEADVWISGLKALISSGQGGRSKIDGWSDGGLYLDDSRDLTSSRTSESSASASRGISSPDVSVSLPNASPKSFQPENTLNFERSLAPSNPSNMQVKGSSSDVFRVSVSSAPSTSSHGSAPDDYDALGDVYIWGEVICENFVKIGADKGVSYVSPRADVLLPRPLESDVVLDVLQIACGVKHAALVTRQGEIFTWGEESGGRLGHGVGKNVVQPHLVEALTSSTVDFVACGEFHTCAVTMAGELYTWGDGTHNAGLLGHGTDVSHWIPKRIAGPLEGLQVALVTCGPWHTALITSTGQLFTFGDGTFGALGHGDRESVSYPREVESLSGLRTTAVACGVWHTAAVVEVIVTQSSSSISSGKLFTWGDGDKNRLGQGDKEARLEPTCVPSLIDYNFHKIACGHSLTVVLTTSGHVFTMGSTVYGQLGNLQSDGKLPCLVEHNLAGESVEEIACGAYHVTVLTSKNEVYTWGKGANGRLGHGDVEDRKTPTLVEALKDRHVKYIACGSNYSTAICLHKWVSGAEQSQCSTCRQAFGFTRKRHNCYNCGLVHCHSCSSRKALRAALAPNPGKLYRVCDSCYAKLNKVAESSNNNRRNALPRLSGENKDRLDKSELRLSKSAFPSNMDLIKQLDSKAAKQGKKADTFSLVRTTQTPSLLQLKDVVFSTTNDLKRTVPRPIPTSSGVSSRSVSPFSRRSSPPRSATPIPTTSGLSFSKSITDSLKKTNELLNQEVLKLRSQVEILKQRCELQELEIQRSAKKTQEAMTLAAEESSKSRAAKEVIKSLAAQLKDLAERLPPGVYDAENIRPTYQPNGLEPNTVHYPDSNGERHSRAESITSSSLASMGLESSMMNNRAEGNLPGSYGTNLYQKNTRLVTSNGRDDYPDAKLPNGSGMIQARDSNTSDATVDGRDSGNFQEDESSLASRNSDSNQIEAEWIEQYEPGVYITLTALRDGTRDLKRVRFSRRRFGEHQAEIWWSENRDKVYERYNVRITDKSSNQSTQNASSHVS >OIV90199 pep chromosome:LupAngTanjil_v1.0:LG20:19818375:19820028:-1 gene:TanjilG_01395 transcript:OIV90199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVETEGMDNITASEVAGLGVGTLLLCATFAAPKIDALFSSSQRSSLGMCKKCGNLRRLACSRCKGTGSVKEGGIFGINLADDLYESLGNRESKVKQIACIKCQAKGYFSCPECSKV >OIV90261 pep chromosome:LupAngTanjil_v1.0:LG20:18527063:18527218:1 gene:TanjilG_11989 transcript:OIV90261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRTIRKKFKEAQLKCHWQQKHMVNSRDIKEWNIQLQTAINKAIIEQLKQW >OIV91286 pep chromosome:LupAngTanjil_v1.0:LG20:1568166:1569284:1 gene:TanjilG_01817 transcript:OIV91286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGEVLRAYRALLKATRKTFAGDTIMLKGSAIEVRNKFEENKNVTSDSEIQKLLEEANEASQFITTMIVQAHLNPDAGSYVVKPDKDHAGATLEIPSEEILRKSG >OIV91210 pep chromosome:LupAngTanjil_v1.0:LG20:2106103:2107680:-1 gene:TanjilG_30432 transcript:OIV91210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDQSQMMMNLSKMNQPQRMNQAPQMMNPPQMMNQQPQPPMNHSSQIMMNQTQPQMMNRGGYNKFWSQQQHHMDPNMKFKNPMQPNFVKPGRSNNWKGKKVNDKRKDSRRIMEKPNPSGSLTVPFPNNNIVVGGGGYQPPTLHELQSQNRLKARKFYPKKKFNNRFAPYAPRNTTSFLIRAKKSGGIASLVSPCPVTPAVLPTPILSPSREVLGDMAKEEWGVDGYGSMKGLIRLRSPGHDADGHEDEDEEDGGGGSSESDVEEHVEVERRLDHDLSRFEMIYPNNGGDYNNGLENRVDDQDSHIAQLEEENLTLKERLFLMEREFSDLQRRLLFLEKQNQIVEDVNEEVVKNWSDNESEGGSDVPVMGIENNVEMVHSMLGSVRNGNFEVKTEVENDAVSEAEGVGDVCMEESVPDESVTKKDEVKVNEMKEDEFVINDVKEAKDEEAMQRFLPDEVLAKDNDVLDKNVSGEFEMQDRNDEPVALEAKNVSVNDIVFTEKNECKDQVVGTSLEAFGDDDSSVPR >OIV91199 pep chromosome:LupAngTanjil_v1.0:LG20:2224605:2224802:1 gene:TanjilG_30421 transcript:OIV91199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPSLCTSHFQRAQNNLSSTFKKTALNVSSTSKITPKLHIILAEYIPHFQVKRRKGTKGKQKVKL >OIV90888 pep chromosome:LupAngTanjil_v1.0:LG20:4935701:4936816:-1 gene:TanjilG_15621 transcript:OIV90888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLQKPTNNVEHQPSLTPHFFQDFDSTCSTPYVSAPSSPGRGPPMPGFFYSAPASPMHFSITSSYSSSYQNCNNNNASSSSDFEFSARFGLTGSGGSGSMSSADELFLNGQIRPMKLSTHLERPQVLAPLLDLEEEDEEDDEDRENEIVVVRGRDLRLRDKSVRRRTRSMSPLRNNTHLEWSEKEDDHAENNNNNNNNNIKNSNDSTENEKEKVEEDVEERTTPCVSASSSRSSSAGRSSKRWVFLKDFLRSKSEGRSNNKFWSTISFSPNTKEKKTNHQNLHNTPVSKEGIQKPKGSGKKITGKPMNGVGKRPSLHELHYKANRAQAEELRKKTFLPYRQGLLGCLGFSSKGYGAMNGLARALNPVSSR >OIV90708 pep chromosome:LupAngTanjil_v1.0:LG20:6954730:6955511:-1 gene:TanjilG_15094 transcript:OIV90708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACWSAENATKAYLNTLKMGQRTKEPNVAEFISAIAAGNNAQVMVMACAGAADSTTLALVAAAHQTGGQVICIVSGHKELNDSKSALGDAAYQVHFMVGEAQQLLLDQYEAADFVLIDCNLDSHEEILKSVQEGRKQNGTVVVGYNAFRCRESWCSCGSKTQLLPIGEGLLVTRFGASDSSPKYGSRMGKTKSRWVVKVDKCTGEEHVFRVRFPQGKVIQA >OIV90271 pep chromosome:LupAngTanjil_v1.0:LG20:18047695:18049756:1 gene:TanjilG_08308 transcript:OIV90271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQALGCYQVDQSNVAIKEQFGKFNDVLQPGCHCLPWCLGYQIAGGLSLRVQQLDVRCETKTKDNVFVTVVASVQYRAVAEKASDAFYRLTNTREQIQSYVFDVIRASVPKLELDSVFEQKNDIAKSVEDELEKAMSTYGYEIVQTLIVDIEPDVNVKRAMNEINAAARMRLAANEKAEADKILQIKKAEGEAESKYLSGLGIARQRQAIVDGLRDSVLAFSSNVPGTSAKDVMDMVLVTQYFDTMKEIGASSKSSSVFIPHGPGAVRDIAVQIRDGLLQANAFQT >OIV91491 pep chromosome:LupAngTanjil_v1.0:LG20:86502:86786:-1 gene:TanjilG_02109 transcript:OIV91491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTNLAECVNAVLKGARALPITALVRATYHILNSWFLHHRNEANNMIRAGHVYCEELSKVINENNRIATCHIVRYFSRDSGVSEVEVASTGGSR >OIV90789 pep chromosome:LupAngTanjil_v1.0:LG20:5842224:5844672:-1 gene:TanjilG_15522 transcript:OIV90789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGREVTRIQVVDKKPNGVIAAAARIQVKDRDVKEYYEKNEVFSAEVTNGSAGLPEEENEKSEAQKTIDSEKFRSQIVETEAVATGLNSPPNAKNTRSPNLSKSLQQNSPFSSSEPLQHDKKHRDDEDNWSIASSYPLSTVSMRTARSKVTLGSAPTFRCSVRAEKRREFYLKLEEKHRALEEEKSQYEARKKEEQEAAIKQLRKNLVIKANPVPSFYYEGPPPKTELKKLPLTRPKSPKLNRGRSFGDAVNSSPEVCNRTRHSFGSHIRGGSNSHLTPKTKDQAIRRSSTGTYKIKERPKVGKEIKIDLPKIVEQVNADISVQ >OIV91339 pep chromosome:LupAngTanjil_v1.0:LG20:1186751:1187191:-1 gene:TanjilG_01957 transcript:OIV91339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTSKKGKGVEYNSVKDQIEAAQETITKLFDGNQKLKKNVEDSIMSFDEKAVFESGEIGSVSKRRVMEHARRGSEKIGRLQLEVQRLQFLLLKLDGRKESKEKTKVADHRSPRVLLRDYLYGGTRTNNQKKRATFCACVEPLTHRD >OIV90328 pep chromosome:LupAngTanjil_v1.0:LG20:16717566:16717901:-1 gene:TanjilG_14726 transcript:OIV90328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFSKKKTLQPDSFPTSVESESKKWVIAGISVRCLKPINTKGRGKENKEEDEEGSSTTPTAKEARIAEKLKCPMAPRKRRSTKCNFNGVREFFTPPDLETVFKCHVLHKPM >OIV90495 pep chromosome:LupAngTanjil_v1.0:LG20:10942075:10942386:1 gene:TanjilG_10259 transcript:OIV90495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >OIV90768 pep chromosome:LupAngTanjil_v1.0:LG20:6085213:6088219:-1 gene:TanjilG_15501 transcript:OIV90768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNEELRMSCESEIEAEYFNKDFDWEELRAEVESNPSFHYHFLPFQSSNSQSHSSQTDVDAWNQFHTRHSSGKFFKERRYLLKEFPELLHCGPNSKLLEIGCGNGSTVLPILRANKDVVVYACDCSNETLERAKEIISATKVVSYIHRFHTFCCDLSTDGFPNWLAWNPCQDVKADKGQHFTNSYSSQDCDTSVGGVDFVTLIFTLSAVPLERMPRSIKECFTVLKPGGTVLFRDYGLYDMTMLRFEPEKRVGFREYMRSDGTRSYFFCLDTVRNLFVDAGFTVLELDYCCVKSVNRRKGKSMRRVWVHGKFQKPTYFQQ >OIV90326 pep chromosome:LupAngTanjil_v1.0:LG20:16657080:16659989:-1 gene:TanjilG_14724 transcript:OIV90326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQPINEVEFLSEFQNSLSEFHSQNLPSTSRTSHPSLRPTLGPSRNHTASSTSHHNYALKIINNVEEHEGLPTKSGIKQPFDIDGLKKCDLSGVLDSDNCSLVPSKRAVVNHAAKSYCQSEVTTFCPSPSQNSFYSTTVYLEAKESFTNTEVSEWASSVDKSCDESGEVKNYRDFNESRKTSIYRASTGSDASDESSTNSLSSAMYKPHKANDIRWEAIQAVRARDGMLEMRHFRLLKKLGCGDIGSVYLAELSGTRTSFAMKVMNKTELASRKKLLRAQTEREILQCLDHPFLPTLYTHFDTESFSCLVMEFCPGGDLHALRQRQAGKHFSEHAVRFYVAEVLLALEYLHMLGIIYRDLKPENVLVREDGHIMLSDFDLSLRCTVSPTLVKSSNSTLVTKSSGGYCLEPTCITQPDCITPSCFTPRFLSKKKEKKFKPRYDTHHQVTPLPELIAEPTNARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGRTPFKGSVNRATLFNVVGQPLRFPESPTVSFAARDLIRGLLVKEPQHRLAYRRGATEIKQHPFFQNVNWALIRCANPPEVPRPAAVRAAQTEKDPPGVKPSGNYLDIDFF >OIV90647 pep chromosome:LupAngTanjil_v1.0:LG20:8139196:8149057:1 gene:TanjilG_01728 transcript:OIV90647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGIVSEESVLGKSVEGISSGQHYHSGEALAEWRSSEQVENGITSTSPPYWDTDDEEDCGLKPSELYGKHTWKIEKFSQISKRELRSNAFEIGGYKWYILIYPQGCDVCNHLSLFLCVANHDKFLPGWSHFAQFTIAIANKDPKKSKHSDTLHRFWKKEHDWGWKKFMELSKVYDGFVDTSDNLIIKAQVQVIREKSDRPFRCLDRQYRRELVRVYLTNVEQICRRFVEERRSKLRKLIEDKARWSSGLKALEGQTKGKKGRVNLLGAEEMPAPIVHVENNMFVLVDDVSLLLERAATEPLPPKDEKGPQNRTKDGNSGEDFDNDSMQHDEMRLTELGRRTLEIFVLVHVFRYYAQYNKIEVAYREAVALKRQEELIREEEAASQAESEKRAKRRVNDREKKSKKKQFTSELLPDLLESTSLKHRCDAAATLYKLATKTTSSPLVDAAPPSPTF >OIV91150 pep chromosome:LupAngTanjil_v1.0:LG20:2602890:2604998:-1 gene:TanjilG_30372 transcript:OIV91150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRECISIHIGQAGIQVGNACWELYCLEHGIGPDGQMPSDKTVGGGDDAFNTFFSETGAGKHVPRAVFVDLEPTVIDEVRTGTYRQLFHPEQLISGKEDAANNFARGHYTIGKEIVDLCLDRIRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTVYPSPQVSTSVVEPYNSVLSTHSLLEHTDVSILLDNEAIYDICRRSLDIERPTYTNLNRLVSQVISSLTASLRFDGALNVDVTEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSVAEITNSAFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVGTIKTKRTIQFVDWCPTGFKCGINYQPPTVVPGGDLAKVQRAVCMISNSTSVAEVFSRIDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAESAEGGDDDIEDY >OIV91042 pep chromosome:LupAngTanjil_v1.0:LG20:4395002:4396489:1 gene:TanjilG_17002 transcript:OIV91042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRHSCCFKQKLRKGLWSPEEDEKLLNHITKHGHGCWSSVPKQAGLQRCGKSCRLRWLNYLRPDLKRGTFSQEEENLIIELHAVLGNRWSQIAAQLPGRTDNEIKNLWNSCLKKRLRQSGIDPVTHKPLSEVENGEENGKRKEKAPEVSNELNLLKSESSKSDAASYEQRTSSISPKAYAPEIEGSSHSTKFYTKSDTNLITNCCSKDLFQDRFMTNCHSSDFMGNFPNQMSYASTDYIPNDSNSSHWFSQTSRPFDINSEYPFNATSILTPTTSMYLPTFNYKPLVVPSDNISTSYGSHYWEASALNNSNSSNGSNSSTELRSSSPLNVHNNIFSSWGMADCSTSTKEAQIHMMENQIEEAKWNEYLHNPMPMLASMQSQNHVPETLCNEIKPTTHFMPETLGAILPHNHTKQQELSQSSSIISKEIQKLTSAFGHI >OIV91062 pep chromosome:LupAngTanjil_v1.0:LG20:4541099:4544044:1 gene:TanjilG_17022 transcript:OIV91062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENEEVNEEHKPIIQWKKQKGGFRASMFIFVLSALDNMGFVANMVSIVLYFYGVMHFDLASSANTLTNFMGSTFLLSLVGGFISDTYLNRLTTCLIFGSLEVLALVLLTIQAALPHLHPNACGKPSCVKGGIAVMFYASLYMLALGNGGVKGSMTAFGADQFDEKDPNDAKAIASFFNWLLLSSTLGSVIGVTGVVWVSTQRDWHWGFFIITLASSLGFVTLALGKPFYRINSPGESPILRIAQVIVVAFKNRKLTLPESNEELYEIGDKDATIDKISHTNQMRFLDKATIFRENFERKPWKICTVTQVEEVKILTRMLPILASTIIMNTCLAQLQTFSVQQGSIMNLKLGSFTVSSPSIPVIPLVFLCILIPLYEFFFVPFARKISHHPSGVTHLQRVGVGLVLSSISMAIAGVIEVKRRNQSIKDSSHPISLFWLSFQYAIFGIADMFTLVGLLEFFYREAPSNMKSLSTSFTYLSMSLGYFLSTVFVNVINSVTKRITPSKKGWLQGSDLNQSNLNLFFWFLAILSCLNFLNFLYWASWYKYKSEDRNSRPDLKTIAETKTTLKMVDDEKAKKGESIKDIMAGKAKESSQTSEANSEGPSSSDEGKEKEGKYRELKSR >OIV90601 pep chromosome:LupAngTanjil_v1.0:LG20:8702507:8704660:1 gene:TanjilG_01682 transcript:OIV90601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTQKIRPSIEEESRKSQPSFDKRSGYDSRTSIYHPLDTFDTKHEISTRLDINTASLVLSQFPQGDLAEATLAFIDSGNNQNISYGELSRSTYSLAKALYHSLEIRKGDVVLVLSPNSILYPSICLAVLSIGAILTLASPLNTDSEIAKKVHNSGAKLAIAAPEELHKLVPTGVPTLLTTHPSDGKMRTVEELIKGCYNSLELPQVHVLQSDTAAILYSSGTTGVSKGIVLTHANLIFGIRILCWFIYAMESQDDVFLAFLPMVEDEETGQILMAYVVRAAGSELSEDQVIQSVAGQVAPYKKVRRVSFIETIPRTDGGKILLKDLVSLSKHQIS >OIV90779 pep chromosome:LupAngTanjil_v1.0:LG20:5988216:5991030:1 gene:TanjilG_15512 transcript:OIV90779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTRIVDLRSDTVTKPTEAMRAAMAIAEVDDDVLGGDPTAIRLESEMAKITGKEEALFVPSGTMGNLISVLVHCDIRGSEVILGDNCHIHIYENGGISTIGGVHPRTVKNNDDGTMDIDLIEAAIRDPMGEIVYPTTRLICLENSHANSGGKCLSAEYTDRVGELAKRHGLKLHIDGARIFNASVALGVPIDRLVRAADSVSVCLSKGLGAPVGSVIVGSKSFINKARILRKTLGGGMRQIGILCAAALVALRDNVRNLESDHRNAKLLADGLNAINGLRVDGPVETNIIFVEIEEGSHLNAGKIWKGLEEHGILLMSQSISRSCFPLTKHSVENCAPPPNICK >OIV90734 pep chromosome:LupAngTanjil_v1.0:LG20:6283908:6284380:-1 gene:TanjilG_21865 transcript:OIV90734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGNAKQQQRTFIQMRTVLKVVDNSGAKKVMCIQALKGKKGARLGDTIIASVKEAHPNGKVKKGKVVYGVVVRAAMQKGRCDGSEVKFDDNAVVLVDKQGQPIGTRVFGPVPHELRQKKHVKILTLAGHIA >OIV90942 pep chromosome:LupAngTanjil_v1.0:LG20:3484376:3495495:1 gene:TanjilG_16902 transcript:OIV90942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEPLYPIAVLIDELKNDDILLRLNSIRRLSTIARALGEERTRRELIPFLRENNDDGDEVLLAMAEELGVFVPYVGGVEHASVLLPPLENLCTVEETCVRDKAVESLCRVGSQMRESDLVEYFIPLVKRLAAGEWFTARVSACGLFHIVYTSAPETSKTELRSIYSQLCQDDMPMVRRSAASNLGKFAATVEYAHLKADIMSIFDDLTQDDQDSVRLLAVEGCAALGKLLEPQDCVAHILPVIVNFSQDKSWRVRYNVANQLYELCEAVGPEPTRTELVPAYVRLLRDNEAEVRIAAAGKVTKFSRILNPDLAIHHILPCVKELSSDSSQHVRSALASVIMGMAPVLGKRLAAGEWFTARVSACGLFHIVYTSAPETSKTELRSIYSQLCQDDMPMVRRSAASNLGKFAATVEYAHLKADIMSIFDDLTQDDQDSVRLLAVEGCAALGKLLEPQDCVAHILPVIVNFSQDKSWRVRYNVANQLYELCEAVGPEPTRTELVPAYVRLLRDNEAEVRIAAAGKVTKFSRILNPDLAIHHILPCVKELSSDSSQHVRSALASVIMGMAPVLGKEATIEQLLPIFLSLLKDEFPDVRLNIISKLDQVNQVIGIDLLSQSLLPAIVELAEDRHWRVRLAIIEYIPLLASQLGVGFFDDKLGALCMQWLQDKVHSIREAAANNVKRLAEEFGPEWAMQHIIPQVLEMINSTHYLYRMTVLRSLSLLAPVMGSEITCSTLLPVVVAASKDRVPNIKFNVAKVLESIFPIVDQSVVEKTIRPCLVELSEDADADVRFFSSQALQAIDHVMMSS >OIV91355 pep chromosome:LupAngTanjil_v1.0:LG20:1057614:1063546:-1 gene:TanjilG_01973 transcript:OIV91355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGEIVFDGQDAGYVPENPDFPQNHSEEHDEGNVVDDESGFPQQLDEHDAGILAEEEANPPENEFDGHGVEDLPGNTDSLPQDHTVTEAEHNDGGDVTENFTSQEVQGPEGDSKPSEIKKWPGWPGENVFRMLVPAQKVGSIIGRKGEFIKKITEETKARIKILDGPPGTTERAKQKIVFADFGGKSLHCTCSKNGWPGENVFRMLVPAQKVGSIIGRKGEFIKKITEETKARIKILDGPPGTTERAVMVSAKEEPDLPLPPAVEGLLRVHKQVVNVDQDPADSATGAGRPVVTRLLVADTQAGSLIGKQGSTIKSFQDASGCNIRVLGSVEHLPIFALRGDSVVEIQGESAGVHKGVELIALHLRKFLVDRSIVGMQRLDVRVNQNSAPHQPHHRPWGPPPRQEFPAPSGGSAFAPNPQFMPPSHNYDNYYPPTDLPPMDKHLHQGPPPAYSRDPSTGIHSSSAPPQQSVATKVTQHMQIPLSYADAVIGASGSNISYIRRASGASITIQETRGVPGEMTVEISGTASQIQTAQQLVQNFMAEAANAASAQQDHMGGTVNQGYNAYPTNAPVYQSPPANASAHAPSADYGSVYGTNYGY >OIV91048 pep chromosome:LupAngTanjil_v1.0:LG20:4438209:4439153:1 gene:TanjilG_17008 transcript:OIV91048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPPNMFAILVILYSVISFALASDPDSLQDLCVALPSSGVKVNGFACKAETNVTESDFFFAGLAKPGIINNTVGSLVTAANVEKIPGLNTLGVSFSRIDYKAGGLNPPHTHPRATEIVFVLEGQLDVGFITTANKLISKTIKKGEIFVFPKGLVHYQKNNGDNHAAVISAFNSQLPGTVSIAATLFTSTPTVPDDVLAKAFQIETKLVDAIKAKLAPKKS >OIV90436 pep chromosome:LupAngTanjil_v1.0:LG20:12124299:12128058:1 gene:TanjilG_01914 transcript:OIV90436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEQQLELNPNSVNGLSESTVPLDDDTPFLSSHALSALNEFLAEQQSNSAVDSDAGETEEVALVSEDWRLSQFWYSAETARTVAEEVLSLCDGVDSRVACIACPTLYAYLKKMDPNVSLQLLEYDKRFEQYGTDYTFYDYNHPEELPPELKHSYKVVVADPPYLSKECLEKVAEAISFLIQPGESFLLLLTGEVQKERAAEILGLHPCGFRPQHTSKLGNEFRLFSNYDPGMRLGGWEK >OIV91045 pep chromosome:LupAngTanjil_v1.0:LG20:4415021:4415741:1 gene:TanjilG_17005 transcript:OIV91045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQLWQRRIEGYEFDDDHFHAYVHGRLPYEKLKPGLVLRNLLISMPQRKLVFTNADKAHAVKVLKRFGLEDCFEGIICFETLNPPKQINNHLNECFSSHSQILCKPSVEAFEAAIRIANVHPKKTVRLITYLKSDRGKITLLTLCVYLSSVICKKYASCDMNGYIISLFE >OIV90049 pep chromosome:LupAngTanjil_v1.0:LG20:21581302:21586470:-1 gene:TanjilG_21181 transcript:OIV90049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPESGPSASRNRSQLSWVNLSRNLLLAYQSLGVVYGDLSTSPLYVYTSTFKGKLQNHHDEETIFGAFSLIFWTLTLIPLLKYVFILLSADDNGEGGTFALYSLLCRHAKFNLLPSQQAADEELSSYKYGPSSQATASSPLKRFLEKHKRLRTVLLVVVLFGACMVIGDGVLTPAISVLASVSGLQNTEAKLTHGELVLIACIILVGLFALQHYGTQKVAFVFAPIVIIWLVSIFSIGLYNTIRWNPRIVCAISPHYIIKFFIKTGKEGWISLGGILLCITGTEAMFADLGHFTALSIRLAFTIVIYPCLVVQYMGQAAFLSKNLNSIHNSFYDSIPGAIFWPVFVIATLAAIVGSQAAITATFSIIKQCHALGCFPRVKIVHTSKHMFGQIYIPEINWILMILTLAVTIGFQDTTLIGNAYGLACMTVMFVTTFLMALVIIFVWQKSIVIATMFFLFFWLIEGVYLSAAFIKVHQGGWVPLVLSFFFMIIMYVWHYGTRRKYSYDLRNKVSLKWLIGLGPSLGIVRVPGIGLIYSELATGVPAIFSHFVTNLPAFHKVMIFVCVKSVPVPHVPPEERFLIGRVCPKPYRMYRCIVRYGYKDIQRDDGEFENHLIQSIAEFIQMEAVEQPQFSSSETSLHDGRMAVISTRNLESTSSLIVSEHEDVGVDNDIPSRPITLQQLKSTFDDDNTQVRRRRVRFQLPTDNGSNHAAREELLDLIQAKEAGVAYIMGHSYVKARKSSSFLKKLVIDIGYSFLRKNCRSPAVALNIPHISLIEVGMIYYV >OIV91088 pep chromosome:LupAngTanjil_v1.0:LG20:3075918:3079102:-1 gene:TanjilG_30310 transcript:OIV91088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRKLLSSLIRSSLRRSSPKPSISSSTSRSRIPSSSSRPSPYGYLLNRVHSTAAAAAAPASSPPPAAAKEGGASGKIVDEYTGKGAIGKVCQVIGAVVDVRFEEGLPPILTALEVLDHNTRLVLEVAQHLGEGVVRTIAMDATEGVVRGWRVLNTGSPITVPVGRATLGRIINVIGEVIDEKGPLETEHYLPIHREAPAFVEQATEQQILVTGIKVVDLLAPYQRGGKIGLFGGAGVGKTVLIMELINNVAKAHGGFSVFAGVGERTREGNDLYREMIESGVIKLGDKQSESKCALVYGQMNEPPGARARVGLTGLTVAEHFRDAEGQDVLLFVDNIFRFTQANSEVSALLGRIPSAVGYQPTLSTDLGGLQERITTTKKGSITSVQAIYVPADDLTDPAPATTFAHLDATTVLSRQISELGIYPAVDPLDSTSRMLSPLILGEDHYETARGVQKVLQNYKNLQDIIAILGMDELSEDDKLTVARARKIQRFLSQPFHVAEVFTGAPGKYVDLKESINSFQGVLDGKYDDLSEQSFYMVGGIDEVIAKAEKIAKESSS >OIV90595 pep chromosome:LupAngTanjil_v1.0:LG20:8793737:8794141:-1 gene:TanjilG_01676 transcript:OIV90595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTHLHQPHRQLPNPHISTIQINNSPSSDETISIISPTISQLSKEPELKKKTQNHVEKTGTDDDSCRTPTTKESKIPEIVSCPPAPRKPKALVSCKRKLMDEFKFFEDTNKEDMEAFFRSTFPKRNCPCTLMNN >OIV91329 pep chromosome:LupAngTanjil_v1.0:LG20:1264424:1268914:-1 gene:TanjilG_01947 transcript:OIV91329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERNRDSRKSSSMANGFHKRRHTAISLTESSDERAVVETERKRGRNSDRERDSLIRNKRARRRSFNSQSHTTDDDSAGNEHDDDVQHAGISFMRSYNTTSFNSDQNHRRNFTAAKPPPQPPLKVTDEMIGVVVPRKARSASVRRSPASGGEERNFRQPSNSPGLDCVERVSPSFSSSKMKAVACVGKTSTSCSSDMDIEMAELLFDLMTSKNHKLKDVEEKKVEDCDESADLECHENGSSEAPKKDTGKDNLILGAGCDGVTANGRSMSPRMESTSCSELDFDKQDSDSARVLSEAKHKGADKFEIDLMAPPSKGDCASKTKALALDVEMKKGDSDKLEDKVERPVKKEKSLEETEEAEMLDFKEKRDVPNHDLENSNNDSDIKTNVALEEQDRNKEQPPKSSNPRVEKTVQASSVPLLTAVSGRPNSLFSIGYKPHLQTVLKMDKTTASSTELQHVDFVLSQPQPKRCATHQYIARNIFLHQQYLKTKSHLPASFGTASLCSTNANAVPCTESMVDGKQSSKHLPSVNQRMQEKVLAAVASDPSLAAIKISNNTDRIDSTQRMQFVLQQVPHPGSTSSLVGPAFPFSPGQHQTTLAAATSQAGGDNSTSSASSHSKSHSSAVGSFGNSPTLPDIAITRSFRYPKFSTSDTPHVTIIQNNGYSFPVSNSLGATAATGGTSPAQATHIINGPFYSSQIFHPLQHPQQHPNSQALVQSTYLNASTPSGSSSHKKSQGGQVNGNNVLTSTTAQPQQLQKQQTSLTHHRQYGTEMSGENASSVLNQATYPSKNVHVHNNYTFPVQPVNLSFRPSATSDIVSGNGEKFGDKQRKQQASKGGVEVVPSQAFAISFAAFNGSNIPSNLNFSSIAQNPVIFQSLPDIAWQGYQAASTSQTTQQKTNSITEGKSGGNSSHQDDEKKITHGKSSTNGPTTLVFDNSSKNLNFIVSPTNGNWPSHSIASTAITSVPLSSNASSSQQPSQLHQLQKQHVMQRHQPAMATRYNASPSIATTNFPNNAPLFSQTVTQCKSSNQGSSHSKSLARTVDSHVHQTSGITSNAPTLKSFSQEQGRVSQGHMQISFAGNYITSLPSQGQQLPNNNQPLYTTAAGTQLNEGNLKPSSEGRKVRQ >OIV90755 pep chromosome:LupAngTanjil_v1.0:LG20:6213937:6215490:-1 gene:TanjilG_15488 transcript:OIV90755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKDHSMVEELYSLDLDSLNSLRPVYGLIFLFKWRPGENDDRAVIKDPNPNLFFASQVINNACATQAILSILLNSPDVDIGPELTKLKEFTKNFPPELKGLAINNSEAIRTAHNSFARPEPFVPEEQKVASKDDDVYHFISYLPVDGVLYELDGLKEGPISLGQCTGEQGDVEWLKLVQPVIQERIERYSQSEIRFNLLAIIKNRKEIYTAELKELQKKRERILHQLNPQKSEGLEDNTNVEALNNSLSEVNAGIEAATEKILMEEEKFKNWKTENIRRKHNYIPFLFNFLKILAEKKQLKPLIEKAKQKTSNPS >OIV91057 pep chromosome:LupAngTanjil_v1.0:LG20:4476233:4480515:1 gene:TanjilG_17017 transcript:OIV91057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIKTFTFTAATPPLSVIAAVKLAGVSPNIDASLPYDSVPTFLLSNGLKLHGAYVLLRYIGRVATSPDFYGQNAIEACQIDEWLEYAPVLSSGPAFENGCKYIDEYLEKRTFFVGHSVSIADLAIWSGLAGTGKRWESLRKSKKYPNLVRWFNSLVVEYGTVLNEVITTYSGKKGLAEPSDSKSKDQTVVPHQVKKVNGDVSEKVKGGSKLSAEIDLPDAVVGKVCVRFAPEPSGYLHIGHSKAALLNKYFAERYQGQVIVRFDDTNPAKESNEFVDNLLKDIDTLGIKYEKITYTSDYFPELMKMAENLIRQGKAYVDDTPREQMQKERMDGIDSKRRNNSVEENLKLWKEMIAGSERGLQCCVRGKLDMQNPNKSLRDPVYYRCNPMTHHRIGSKYKVYPTYDFACPYVDSIEGITHALRSSEYHDRNPQYYWIQEDMGLRKVLIFEFSRLNMVYTLLSKRKLLWFVQNGKVDGWDDPRFPTVQGIVRRGLKIEALIQFIVEQGASKNLNLMEWDKLWTINKKIIDPVCPRHTAVIAERRVLLTLADGPEKPFVRIIPKHKKYEAAGDKATTYTKRVWIDYADTETIAEGEEVTLMDWGNAIVEKIEKDQDGNVTGLSGVLHLEGSVKTTKLKLTWLPEIDELVSLTLVEFDYLITKKKLEEGEDFIDVLNPSTKKETLAYGDSNLRNIQRGDVLQLERKGYFKCDVPFLRPSKPIVLFAIPDGRGKHA >OIV91180 pep chromosome:LupAngTanjil_v1.0:LG20:2369665:2373854:-1 gene:TanjilG_30402 transcript:OIV91180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYHQLEEFDEMTEMEDFFDELDDNNGHGHGYGGAERGLGVDEDDYEYQMLTKVTDTSAAQARMGKDIQGIQWDRLNVTRQNYRFTRLQQYRNYENIPQSGDAVDKECKQMEKGGNYYEFFHNTRMVKPTILHFQLRNLVWATSKHDVYLISNYSVMHWSSLTGNLSEIINFAGHVAPTEKHAGSLLEGFSMTQISTLAVKENFLVAGGFQGELTCKRLHKKGVSFCTRTTYDDNAITNAIDIYDSLRGGIRFMASNNDCGVREYDMERFQLLNHFHFPWPVNHTSISPDQKLMTVVGDNLDGLLVDPQNGKTVATLVGHRDYSFASAWHPDGHTFATGNQDKTCRVWDARHLSSPIAILKGNLGAARSIRFSSDGQYIVVAEPADFVHVYSTKEDYKKRQEIDFFGEISGVSLSPDDECMYIGIWDRTYASLLQYNRRHAYGYLDSYF >OIV90036 pep chromosome:LupAngTanjil_v1.0:LG20:21962098:21965650:1 gene:TanjilG_23956 transcript:OIV90036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKNIPEHSSSFSHKDDNNNNNINEDNVVDVVADASDGKSLSEPTENVDHATEKGEENVKNKEDAENSDEEIKKTQEDVVDVVVADIEGDDKEKKEEEVVADDVPPETPPPSLEKVSEDIDAFIINAGTISSKEPNFIHKFLDLVEEKISSYDTGKTKWGESKEEDSLLLETIDRISKLMKLIPDSSPLSTQTEEDEGNEIDAKKVNESLLKNRVGAIHQQAMSYLEDEFRVLMEEPKNHVTEHEPNKHDSKGKQVVEPQSLESEPGSDSVPKFPNYGDETISNLNNIAMKMISGGYNSECFNMYFISRRHAFEENLHKLGLEKISIDEVHKMQWEALEREIPTWNNVFKECISIYFAGERKLAEAVFSDHPTTAASVFMMVCQRVVIQLINFAEAVAMTKRAGEKLFKLLDMYETLRDLIANLDNLFPEECVDELKAETSSAKCRLGESSIVIFCELENSIKSDIGKTPVAGGAVHPLTRYIMNYLRLACEYKDTLEEVFKEHSKIERADSTSRPHYEADNKNENEKRENNDNNNKDNVSPFAAQLMRVMELLDTNLDGKAKLYKDAALSSIFMMNNGRYIVQKIKGSPDLYEVMGETWYRKRSSNLRTYHKNYQIETWSKILGCLSPKGLNDSGKVQKPVLKERFKSFNALFEEIHKTQSTWVVSDEQLQSELRVSISALVIPAYRSFLGRFSQYLDPGRQTGKYIKFQAEDIETYIDELFDGNPHHQSLAKKRA >OIV90105 pep chromosome:LupAngTanjil_v1.0:LG20:20937600:20942727:1 gene:TanjilG_01559 transcript:OIV90105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSIGTGYDLSVTTFSPDGRVFQIEYASKAVDNSGTVIGIKCKDGVVLGVEKLIPSKMMLPGSNRRIHSVHRHSGMAVAGLAADGRQIVARAKSEATNYDSVYGDPIPVKELAERVASYVHLCTLYWWLRPFGCGVILGGYDRDGPQLYMVEPSGVSYRYFGAAIGKGRQAAKTEIEKLKLSDMTCRQGVIEVAKIIYGVHDEARDKDFELELSWVCDESNSQHQKVPDALLEEAKTAAKAALEEMDAD >OIV91167 pep chromosome:LupAngTanjil_v1.0:LG20:2475570:2478482:1 gene:TanjilG_30389 transcript:OIV91167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALEQQQLSLDHNPNPVDGEPDGTTNGGDDGGKAPRLPRWTRQEIVVLIQGKRDAENKFRRGRTAGFSFGSGQVEPKWALVSSYCAKHGVNRGPVQCRKRWSNLAGDYKKIKEWESQIKDETESYWVMRNDLRRERKLPGFFDKEVFDILDSGSPVTALSPALVLALSSPEGYSEPPQTHVYDSNRSVGGLFSDFEHDEVGGSPSSEKKDVPVATPIPEKRYQPIYHVSHGEGNAQGMTNGKQPASNPETGSTSQGERKRKRLASDAEEETLQYQLIDVLERNGKMLNTQLEAQNINFQLDCQQRKDHSNNLVAVLDKLANALGRIADKL >OIV91111 pep chromosome:LupAngTanjil_v1.0:LG20:2912126:2915656:1 gene:TanjilG_30333 transcript:OIV91111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESKRISVHHALGGGLVADVLLWKNWCGGVAVLVSATTLWYLFEHAGYNLLSFVTNVMLLLVVIIFLWAKAANLLNRPLPPLPDLEISEETISKVADAMQIRINRVLSVAHDIAIERNLILCLQVAGILWVISYVGSLFNFLTLIYIGKCRPAGKTNMGSLEAQRTTVGWAARDSSGILSPYTFTLRNTGPDDIYIKVHYCGLCHSDLHQIKNDLGMSNYPMVPGHEVVGEVLEVGSDVTRFKVGEIVGAGLLVGCCKSCHACQSDIEQYCSKKIWSYNDVYTDGKPTQGGFAKTMVVEQKFVVKIPEGLTPEQVAPLLCAGVTVYSPLTHFGLKTSGLKGGILGLGGVGHMGVKIAKAFGHHVTVISSSDKKKKEALEHLGADEYLVSSDTTSMQGAADSLDYIIDTVPVGHPLEPYLSLLKVDGKLILMGVINTPLQFVSPMVMLGRKSITGSFVGSIKETEEMLELWKEKGLSSMIEVVKMDYINKALQRLENNDVRYRFVVDVKGSKFDQ >OIV90876 pep chromosome:LupAngTanjil_v1.0:LG20:5029751:5031953:1 gene:TanjilG_15609 transcript:OIV90876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESIVHRTVEVNGIKMHVAEKGEGPVVLFLHGFPELWYSWRHQILSLSSLGYRAVAPDLRGYGDTEAPTSVTSYNCLYLVGDIVALIDSLGVDKVFLVAHDWGSLIGWWLCMFRPDRIKAYVCLSVPFGLFNGRNPKVRFVDGCRARYGDDYYICRFQEPGKMEAEFDEVGTDYLFKNILTTRQTGPPIFPKGEYGTGFNPNTPETLPSWLSEEDLAYYVNKFKKTGLTPALDYYRNLNLDWELTAPWTGVQIDDVPVKFITGDVDLVYTTPGVKEYIHDGGFKKDVPSLEEVVVQKGIAHFNNQEAAEDVSNHIYDFIKKF >OIV90519 pep chromosome:LupAngTanjil_v1.0:LG20:10479981:10484701:1 gene:TanjilG_32396 transcript:OIV90519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKNNHTRFQFQCLYQIIHTGNVEAIKALHRNGASLEWSDEEGRTPLILACMDSALLHVAKFLIHLGANLNSYRPGPFGGTPLHHAARRGLEQTVELLLSHKANALVRNDNNQTPLDVARSERHAHVVRAIENHICYFKGWMREFHGPWFLEAITPQLVSRNVWVVVLPYSEEPLKLEIMIYPTQQDSQPRTVIDLWKSEIEEPAFDEWDPKLTIFNHFPRTKYRLASAIQNDKQQLQNLYSACRGIPQVKSSPVVSDTRTSLLCASVQSEPINTNGWGSSSDNSSYNGWGTSLGARHSSEKNTNGWMDKPVKDDQNGWGSPDSKPVDQSTKNNFGSSSDSSYNGWGASLGARHSSKLNTNGWMDKPAKDDYNGWGLLDSKPVDQSTDNVLSRDDNSPIVSSASCSFVSSHFVPSAPPIPDEVLSEGQLHYPSIHSPELDMHVPSMVEPALSNGKNNGYRDSSCAICWDSAIEGACIPCGHMAGCMPCLNEVRVNKGVCPICMTKIDQVVRLYAV >OIV90044 pep chromosome:LupAngTanjil_v1.0:LG20:21617579:21624062:-1 gene:TanjilG_21176 transcript:OIV90044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADQRRKRLNGASIMGNGYREQHRTKRQNLGPVQNDLSMKSHVAVEWDANQKKVVAKREQIGISWRHMKPYVSSVSKDHNVLADVFTIPEEIFDLDNLSEVLSYEVWKTHLSENERNLLMHFLPSAFEPHQTVEDLLSGNNFQCGNPFSKWGDSLCLGDLHPDVIVDREQHLKSEKRAYYSQLHNYHKDMIGFLTKLKNRWQGCKYPEKEIAHKMWRSKNDLDNRMPSSVNGSRVYDHDGNVTLTSESCSWDAEDRVCNSDNQISSLRKDDKLQRRVLEKGIVKGKSRNLIGSLDDMANVRERPNKGDKLPKRNIHANDGDKYMSCIKISKQQHELVKSMKQSGKSIQSWSLNRVLGNLDNIHVQPYEVFVKDEQKKLCEHWLQLVNKDLPTAYSNWTEKQIQRHAVRDSLVAEMKNKSKPLLEEENNVISGSELQDQAEDNMSLRSELQNQDEYNMCSSGELQDQDENNVNSGSELQDQDEDNMSSGNELQDQDEDNVNSQSKLQDQDEDNMSLGNELQDEDNMSLGNELQDQVEDTGVNNSFNSNDDKDSIVRSPENQSPHNSYLRNEFFNPVSMGPDKNIISSRQADASLNEVEYSRNINTEDVSINESSIHDNVALSKHAVASPNVEYSRNMNTQDVSINEGPTNNPYLRDESLNTVSMGPEKNIVSSRNMNTQHVSIKEGLSFASGSDVWQAVEMPHSYYDSAVTHEYTSSGLSLANPQVNGEQQTRLTDIQPDLDHLDTDKELLHRQFGDVTFSSYQNQDRSNIFQSLFKGEGMLTYHPEQKRDEVDFKPSNNVMIGNDQFSSHFKEPLQTSLTLDPGHRRANEVYLPVNMPDNIYSDRGKYLISTTDPLVFTQHALPAASMTDWSANTAHIAAPPQSHLNSRDFIDHHWFPADHHVRAGWNGSNDSSALGQSLGTRPNTDQSLFNILSQSGHLRSGNPYDSVRNTDQFLAPRTYGELDAAMPRPNAVVPQTPHPPGYFSGHEAPSGMVPDDMARMSLPHQSSALHDQMGKPYLRSWNQQ >OIV91503 pep chromosome:LupAngTanjil_v1.0:LG20:30091:31313:-1 gene:TanjilG_26472 transcript:OIV91503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATFSTLLTHKPFPSFHFTTTTPSIKTVCSSSSYKVVVEHEGDLQLEPHHNILSKTLDSASSSSRRHVLVSVGTSLVTMTLSPLMAWAQEMSADKEEDDGKGIISSIESLFDPNEKTKSGKVLPKAYLKSAREVVKTLRQSLNEASDDNAKFRRTADMAKESIREYLGTWRGQQSVVQQESYVVLEKAIRSLANFYSRAGPSTPLSEEVKSEILDYLNTAEQFL >OIV90181 pep chromosome:LupAngTanjil_v1.0:LG20:20145169:20149301:-1 gene:TanjilG_01377 transcript:OIV90181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKGDAVICFVSLFWFWSFANALLSPKGVNYEVQALMGIKASLVDPHGILDDWDGDAVDPCNWNMVYCSPENLVISLGTPSQSLSGTLSPSIGNLTNLQSVLLQNNNITGPIPSELGKLTMLHTLDLSNNFFNGKIPPSLGHLRSLQYLRLNNNSFDGECPESLANMAQLAFLIVGNPLICSTGKEANCHGLTLMPMSMNLNNTKSNALPSGRPKAQKLFIALGLSLGCLSLVILGFGLVMWWRHKHNQQTFFDVKDRHHEEVYLGNLKRFQLRELQIATNNFSNKNILGKGGFGIVYKGVLSDGIIVAVKRLKDGNAIGGEIQFQTEVEMISLAVHRNLLRLYGFCMTPTERLLVYPYMSNGSVASRLKGKPVLDWGTRKQIGLGAARGLIYLHEQCDPKIIHRDVKAANILLDDYCEAVVGDFGLAKLLDHKDSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELITGHRALEFGKVANQKGAMLDWVRKIHKDKKLELLVDKDLKSNYDLIELEEIVKVALLCTQYLPNHRPKMSEVVRMLEGDGLAEKWEIYPQSSDTTNKPKPNELSSSDRYSDLTDDSSLLVQAMELSGPR >OIV91370 pep chromosome:LupAngTanjil_v1.0:LG20:920673:921827:-1 gene:TanjilG_01988 transcript:OIV91370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKDIEVNVHGTSSRKDYYEPPATPFIDIEELSKWSLYRAVIAEFIATLLFLYIGVLTIISYKSQSDPKANGSVCGGVGLLGISWAFGGMIFVLVYSTAGISGGHINPAVTFGLFLARKVSLPRTILYIVAQSLGAISGVGLVKSLNKSIFNSYGGGANSLNDAYSVGTSLGAEIIGTFVLVYTVFSATDPKRNARDSHVPVLAPLPIGFAVFMVHLSTIPVTGSGINPARSFGAAVIFNQSKTWNDLWVYVIGPFIGAAIAAFYHQIILRAGAVNVLESIRGNPID >OIV90845 pep chromosome:LupAngTanjil_v1.0:LG20:5251546:5252679:-1 gene:TanjilG_15578 transcript:OIV90845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNINHSSYESGSRTIILCFLFLLFTPLNLAQTQTQTTTNNQNDNYYNRFSPSLAIIIVVLVAALFLMGFFSIYVRNCADSPSSSVRNNGNGGRSRRGNRGLDPSVIETFPTLEYSAVKMHKIGKGALECAVCLCEFEDAETLRLIPVCDHVFHPECIDEWLASHTTCPVCRANLALQPGELVQGIPITIGEPGDIEAQNELVQTAPEQQAEEKNEHPEVVLLNPTLNRNRIRGSRSSRKNRFPRSHSTGHSLIQPGENTERFTLRLPVEVREKILQNPELHRARSMVMLPREGSTRQGYRTGAGEGSSRGRYSRRLDRGFKSDRWVFTMAPPFLVRASSIRSPRVTNNATAVNSAALPPLPPLPQPAAVGSARFPV >OIV90700 pep chromosome:LupAngTanjil_v1.0:LG20:6801997:6809840:-1 gene:TanjilG_15086 transcript:OIV90700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGTPKRSHEETIHPSSKHPNEDSSTYSMLASSVSNEYRMHYDIGHDSRVAKAARTEFHDADRKSPLHSVYRMPSSSTDSLAGHPVATDNRIESRDSKDIRDLRFENCDTKTEKELHGETRRDPQSAKSEKDVCVEGRGDDNKDVRHDRDTHNDMKGDNKTEKDGYGVVGCHLNWKDPKDYHREKRYSDAPSGSLDTWHISCGSTQVPPQVGKESSKVEERDQVEAHEAVGENKVDSKGDDRSKEKDRKRKESKDVKQRDWGEREKERSDRKNSTQVSSTGGDRKECSKEDRDVERWEREKKDIPKEKENFKEGEKDQNKKELLNVMEKEVSNNEKELVDGSTKLPEQEIVKSEHKKQKDVDSWKNVDREAREKRKDKDAYIEGERPEKRSRCVDKESDDGGHDGEGALEKERESYNYNGQHRKRIQRSRESPHVPIREPRFRSRAQDNEGSQGKLEVSSVVYKVGESMQELIKLWKQYESSQSHMEQNGESSNYGPTMEIRIPSEHVTATNRQVRGGQLWGTDVYTYDSDLVAVLMHTGYCRPTASPPPTAIQELCATIRMLPPQDCYISTLRNNVRSRAWGAAIGCSYRIEKCCIVKKGGGTIDLEPCLTHTSTVEPTLAPVAVERTVTTRAATSNASRQQRFVREVTIQYNLCNEPWIKYSISVVADKGLKKPLYTSARLKKGEVLYLETHVCRYELCFIGEKVVKSTPETQLHDTGAEKSQNHPHSANGGKNDCVDVMIDAFRWSRCKKPLPQKVMRTIGIPLPLEHVEVLEENLDWEDVQWSQTGVWIAGKEYTLARVHFLSMN >OIV90613 pep chromosome:LupAngTanjil_v1.0:LG20:8557484:8561038:1 gene:TanjilG_01694 transcript:OIV90613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYLLLLLCFSFASTLLYDASALNPDGLTLLSLLSHWTNVPSDINSTWKASDFTPCSWVGVECNDANNVISLNLSEYSIFGQLGPEIGNLSHLQTIDLYATGFNGEIPLQLGDCGMLEYLELSLNNFSGGIPQSFKDLQNLKYMAMFSNQLSGEIPESLFQIPHIEELHLNNNSLNGSIPSSIGHMTQLVMLDLSANELSGTVPISIGNCSKLKFLDLDTNLLQGVLPSSLNNLTNLDTLYLFGNEFEGTIPLGSGNCKKLSILSLSYNAFNTSIPPGLGNCSGLTEFYAAQANLVGTIPSTLGLLNSLSVLILAENQLSGKIPAQIGNCKSLDKLHLYSNALEGDIPSELGNLSQLRDLRLYQNFLIGEIPLSIWKIQSLEHVLLYNNSLSGELPIDMTQLKFLQNISLFNNHFTGVIPQTLGINSSLVQLDFMFNSFTGTLPPNLCFGSRLVRLNMGFNQFHGSIPPGVGRCTTLTRVRLEENNFTGPLPDFEFNPNISYMSINSNNISGAIPSTLGNCTNLSFLNLSMNKFIGLVPSSLGNLVNLETLDLSHNNLEGALPHELSNCSKMGRFDVGFNFLNGSFPSSLQNWTTLTTLILRENNFGGGIPDFLSDYEMLTELQLGGNIFGGNIPRSIGRLQNLLYGLNLSANGLIGELPEEIGNLKNLLALDLSWNNLTGSIQVLDELSSLSELNISHNSFEGSVPAQLIKPNSSLSSFLGNPGLCFSFSLSNVLNSTERSYLRQCETKKSKGLSVVAIVMIALGIFIFVVFLLRLVYIYFIRRLKQETGWSDEENASELLNKVMEATENLNDQYIIGRGAYGVVYKAALGTDRIVAVKKVLFAQNEGRNISMMREVETLGKIRHRNLVRLEDFYLRENYGLIMYTYMPNGSLHDALHEKNPPQHLEWSIRYRIAVGVAHGLAYLHFDCDPVIVHRDIKPSNILLDSEMEPHVGDFGVAKLLDHTSISTQSISITGTTGYIAPENAYTTRKGKESDVYSYGVVLLELISRKKALDPSFMEGTDIVHWVRSVWEETRAINAIVDSMLLDEISDHNVMRQVNKVLLLALTCTEKDPHSRPTMRDVAMLLEKQTYA >OIV90698 pep chromosome:LupAngTanjil_v1.0:LG20:6777578:6787343:-1 gene:TanjilG_15084 transcript:OIV90698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGYDGKLVEKFSGLGINQHGQQHVNDHQSNHSSNNDEHLYQVMKAVENAEIIIKQQVEENDRLRSELLSKVQELEKYRQDESVDQNPHLVAPWKDQVHGSYEYHQPGPSSDDAARSDAGNHSENSQINGTLRAQPTDQLPVDNTGPSQLYSPSTRSISLSRGLLEGDYDPQFRFPGQGLMPLAEMNNSNTLLKQDLAIKIQEHEEEIMQLRKHLADYSVKETQIRNEKYGLEKRISYMRLAFDQQQQDLVDAASKAISYRQDIIEENIRLTYALQDAQQERSTFVSSLLPLLAEYSLQPPVPDAQSIVSNVKEKLLLTESKLKESQYQLTPWRSDMNHANVASQSPSLSIRAPLETSNKNGLELVPQPMYSAAKTQVPVDAQAGNEWDVLSRHQSGGVATNVDADEVGRYSPIASRNPSAHDAHSAHYGEEMTNKQVTFRDPVSNNEVDDPDGDENHNERETSANWTSGNPPYTTVDDPGASYSPYLPPVLEEPSSSFSEAADDDPLPAIEGLQISGEAFPGRELQACGYSINGTTSCNFEWIRHLEDGSVNFIDGAKQPNYLVTADDVDTLLAIEVLPLDSKKRKCILLDIVLEPHWLVDLEKLVSHEETSSTRLASIGPKASKNINLYYILVGEPEKVFANDNKKITCVLYQPVILGCPFGDYASVMSYIRANRKGKLLKYVMVVVRNKLVNFQAA >OIV90186 pep chromosome:LupAngTanjil_v1.0:LG20:20069350:20070459:1 gene:TanjilG_01382 transcript:OIV90186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPILNMSLFQDEEEEEEEEDHHSYYHSDSDSVSCFVTDLFESRSFHHDFTINPFSGVVCDLHGETQLGLGFEFEAQNNNNSQSGGLRIVDFGSDSDSDSSSEDEHEIDRVMVNDDDDDDDDDDGDDRVGGFDDFDVQLCWDSLCLEDQRTLNEGFEWEEVEERVNEIENEREDLSLVIDEVDINDGDDDDDRSVASGFSNVDEPSEEALRYLEWEILLAVNNLERNPILEHDANVDSYLAVQDGYIYTAEYDVLFGQFMDNETALKGSPPAAKSVVENLPVVELTKEELQTKNVACAVCKDEILLEEKVRRLPCLHCYHGDCIMPWLSIRNTCPVCRFELPTDDPDYEQRKSRQPSNDLLDFATQMQF >OIV90627 pep chromosome:LupAngTanjil_v1.0:LG20:8376466:8376672:-1 gene:TanjilG_01708 transcript:OIV90627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLHENTGFIGNSRMLETLQKIVGKISQQESSHDQGQNFSKSYYSQTSKLSLRSTSTSVTETKQMHLG >OIV91476 pep chromosome:LupAngTanjil_v1.0:LG20:169000:170139:1 gene:TanjilG_02094 transcript:OIV91476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDIFNNESVAFMAKVLKSSGQSEQTYLPPALHYIPPKTHQSESIKEVQMVLFPIMDDLLSKTNISPFDIDILIINCSGFCPSPSLTSILINKYSMRSDIKSYNVSGMGCSASALCLDMAKNLLRVHNNSNVIILSTEILSTGWYSGNEKAKLLINCLFRMGSAAILLSNKKEAKRNAKYKLVKTLRTQRAFDNTAYLSAIREEDSEGKLGVTLTRDLLVIAAETLRSNISILGSEILPLSERFYHVVSVIKKKFIKCEGVYVPDFKSVIQHFCLPCSGRAVIREVGKGLKLSERDVEAALMTLHRFGNQSSSAIWYQLAYLEAKERVQCGHKVWLLGMGSGPKCNSVVLKSLNTTMVRDSNNGPWSDSIHQYPILDLD >OIV90420 pep chromosome:LupAngTanjil_v1.0:LG20:13910256:13911532:1 gene:TanjilG_10906 transcript:OIV90420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKSTIKWRFEFKPDKIQWEYIAQEASMRTLYIVDYLDKQGKVVLDFELNTSSSNLIEFDEPFLMVDEPFDNALSSLARNPIEPNAYSPSSPSDMIVKLVEMWNLHTLIDDSPSPDLYDPKVNLGVRL >OIV91413 pep chromosome:LupAngTanjil_v1.0:LG20:596287:599434:1 gene:TanjilG_02031 transcript:OIV91413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIKTNEFLKRCGSEEEIMEVISTVASDLGDVIDDVNNLEVIPMKGAMTNEVFQINWATKNGDDVKKALLRLYGDGVDIFFNREEEIRTFECISKHGQGPRLLARFTSGRVEEFIHARTLSAVDLHDPEISALIASKMREFHRLNMPGPKKVQLWHKMRNWLSESKTICFPKDIKNFGLDNLDEEIDMLEKLLSEGYEDIGFCHNDLQYGNIMMDEETRSITLIDYEYASYNPLAYDLANHFCEMVADYHSDTPHILDYTKYPELEERQRFIRIYLSSEGKKPSNAEVDQLVNAAEKYTLANHLFWGLWGLISSYVNKIEFDYKDYARQRFQQYWKRKPTLLNSPSIVSQDEEIVNDSLPSFK >OIV91015 pep chromosome:LupAngTanjil_v1.0:LG20:4132529:4133835:1 gene:TanjilG_16975 transcript:OIV91015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKDMVVNMEGTIGNSSLPGYNPFGNVFDFCEVEKSSLGFMELLGVQDYGNSPQFFDLPQQQSSTMSVPKMVPYETNGKECNSEVLNHQPATPNSSSISSASTSGAVNDEHNKALDQAEEEGGEEEENKTKKLLKVKKTNQKRQKEPRVAFMTKSEVDHLEDGYRWRKYGQKAVKNSPFPRSYYRCTSASCNVKKRVERSFTDPSTVVTTYEGQHTHPSPIIPRSGLTGAPVTPGVSATDYLTQYQQHHRQQQLLFNTLSSLSIPYNTCFPQERLVCNPGTTAAFLRDHGLLQDVVPSHMLKEE >OIV90558 pep chromosome:LupAngTanjil_v1.0:LG20:9644207:9649541:1 gene:TanjilG_10652 transcript:OIV90558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRLRKLGAAVGVAAATAYGGAVILYNPPISANDFGGGSRLEELRKKVHDFNAVVPSRETQEKALIGAGKENPLDVLVIGGGATGSGAALDAVTRGLRVGLVEREDFASGTSSRSTKLLHGGVRYLEKAVFNLDYGQFKLVLHALEERKRVIDNAPHLCHALPCMTPCFSWFEVVYYWMGLKMYDLVAGRQLLHFSRYYSTKQSGELFPTLAKKVDDRNLRGTVVYYDGQMNDARLNVGLACTAALAGAAVLNHAEVVSLLKDDAGQRIIGARIRDNLTGKEFDTYAKVIVNASGPFCDAVRKMANKNAQEMIAPSSGVHITLPDYYSPDGMGLIVPKTKDGRVVFMLPWLGRTVAGTTDSSTNITYLPEPNEDEIEFILDAISGYLNVKVRRSDVLSAWSGIRPLASDPTAKNTESISRDHVVCEDQPGLVTITGGKWTTYRSMAEDAVNTAIKSGKLTPTNGCVTDNLRIAGAEGWEPSSFTVIAQQYRRMKSTHGGKVVPGAMDSAAALHLSHAYGKLAEHVAAIAQDENLGKRLAHGYPYLEAEVAYCARNEYCESAIDFIARRTRLAFLETNAAKRALPRVIEILADEHKWDKSRQKEELQKATEFLKTFKSSNNAHFDDGKHK >OIV90894 pep chromosome:LupAngTanjil_v1.0:LG20:4900171:4910505:1 gene:TanjilG_15627 transcript:OIV90894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKLILSSSVHRLPQTVVPTLRPATLSTSPLHKVLGSLRGGRLFFCSGSGDGADAEAKVVESGSGAEESQTKASSAIVSTNPRPEDYLTVLALPLPHRPLFPGFYMPIYVKDPKLLAALQESRERQAPYAGAFLLKDEPGSDPSVVTGSDADKNVYDLKGKELFNRLHEVGTLAQISSIHGDQVILIGHRRLRIAEMVSEDPLTVKVDHLKDKAFNRDDDIIKATSFEVLSTLRDVLKTSSLWRDQVQTYTKVLRFACIGLIELLEDGVPPLICSLLYFEQVSCNAEVSSTENFKFKHFAYLHVVFLNVRYEYGIVHIGDFTQPRLADFGAAISGANKLQCQQVLEELDVYKRLNLTLELVKKEMEISKIQESIAKAIEEKISGEQRRYLLNEQLKAIKKELGLETDDKTALTGKFRERIEPKREKCPPHVLQVIDEELTKLQLLEASSSEFSVTRNYLDWLTALPWGEYSDENFDVTRAQKILDEDHYGLTDVKDRILEFIAVGKLRGTSQGKIICLSGPPGVGKTSIGRSIARALNRKFFRFSVGGLADVAEIKGHRRTYIGAMPGKMVQCLKNVGTANPLVLIDEIDKLGRGHAGDPASALLELLDPEQNANFLDHYLDVPIDLSKVLFVCTANVVEMIPNPLLDRMEVVSIAGYITDEKMHIARDYLEKSTREACGIKPEQVEMTDAALLALIENYCREAGVRNLQKHIEKIYRKIALQLVRQGETIDATIASAQSIEPKNAKVDFDESVQKESLLGSSNPEERDETSEEDDKVQIDLPAEQSPCPDNQSTDNEVVKLSGSTSQFSPVAKESEEDKETETKTIEKVLIDKPNLNDYVGKPVFHADRIYDQTPTGVVMGLAWTSMGGSTLYIETTLIEEGEGKGALHVTGQLGDVMKESTQIAHTVARAILVEKEPENPFFANSKIHLHVPAGATPKDGPSAGCTMTTSLLSLAMKKPVKKDLAMTGEVTLTGKVLPIGGVKEKTIAARRSEVKTIIFPSANRRDFDELASNVKEGLDVHFVDDYRQIFDLAFGDDLSQNVEN >OIV91065 pep chromosome:LupAngTanjil_v1.0:LG20:4582442:4584769:1 gene:TanjilG_17025 transcript:OIV91065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIASLANEDAVKHDNLEDANGGHTTPSSKTEGDETNGEPGAIEVQKSDDSPAIRDSAEDNLKHDKIEDALIPIAPLSKTEEDGTNAEPAVVVVEKNDDPVSLETHIGDKLKEEKKVIDDSNATDDAAKSQLEEPPFTEPTVEETPQQLEKEATEPKTDDILEASEAEFVKEAEIFETSDQKEQKPEIEPEPVATEVQEKTRELGKELDAEVVKEAEFLEASDKKEEKPETGPEPAVVATEVQEITQEPKKESEGKVVKEAELFETPKAELVVTEVEENPKEPENQSLDQKEEEQPNTVAIAEVSSTETSEAIEEKASEPEVLKETNDYEAEPTETEKAELVVPKVDENSSEQEKESLKQEEEELPKTVIPETATAGDTVEVHPPKESDIEVVKEIDNSESEAVPGEAEKPDVVSAVHQPIVSDIEAVKETGTSELEVKPEKGEKLEPVPTEVEENPRELVVEAAENVGEPSKEEETKQEIVLETTNNEEEKTDTIKDPISLKEDKTFKGEETSITANTAQFSGNEEAQAAPENLVEPLTEVAEKVFGEESKKESSFSDVTERVSKDVAGILAIPGQASVDQEAIGDLIEETRFEEKVVVAENDDKKEPEAPEAVHVSSREAEVEINKEEKQIEVKTATTEDDGPAVENEKGGYIDTKVDEISSAVREPVRETLASKFEEEETTKTELDDLEKEHTEEPLKTEVQVLEEPTKDSDATKTSSKDLPKETPAKPAQKQSNNIISKVKQSLVKARKAIIGKSPSSKNHSTEAKDDIQVK >OIV90585 pep chromosome:LupAngTanjil_v1.0:LG20:9031513:9032991:1 gene:TanjilG_01666 transcript:OIV90585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKEVLQTHDLLFSDRTIPQVATSLNHDLLSLPFLPVSPLWRDLRKICNNELFASKTLDASQDLRRKKLQQLLNDMNQISLSGEAVDVGIAAFKTCINFLSYTFVSEDFVQNVSKDDEYKDLVATLLKLTGTPNLVDLFPVFKIFDPQGLKRRTTSYLTKFFQILDSLINKRIKLREEKHYVTNNDMLDTLLNISEQNNKMMDKKKIRHFLLDLLVAGTDTTAYALERAMTELLYNPEIMTKAKKELEQTIGIGNPIDESDIARLPYLQAIIKETLRKYPPAPLLLPRKAKVDVQISGYTIPKGARVLINEWAIGRNPSYWENANSFSPERFIGSTIDVKGQNFHLTPFGSGRRICPGTPLAIRMLHSMLGSLINTFDWKLENDMNPKDMNLDQPLRAIPIKINH >OIV90299 pep chromosome:LupAngTanjil_v1.0:LG20:17588536:17589102:-1 gene:TanjilG_13154 transcript:OIV90299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQGYAIELYFDPALENQVLKSWNILARRQITSHLIQIQSRPHITLFSSNNPLLLEPSILQTLLTSFASNTDPLPITFSSIGSFPSSNDNAFFLSPTPSISLLNLQSQLCDSLKKEGVEVSSEYSLDSWVPFCSVAQNVPKQRMAEAFSVLRDFKLPVNGYAMDVGVVEFSPLREVFSVVLGTNVEQP >OIV91140 pep chromosome:LupAngTanjil_v1.0:LG20:2670763:2672357:-1 gene:TanjilG_30362 transcript:OIV91140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHAEQISEEWSSLSGLYTADEADFMSQLLGNYSVPEQLYGNFNLEIPSVSWHGHESTIVSVTSNNYRSSYYPENAANTNYLHSSNIFPSTSGANCYLNDSITNNGYVPIGFSLVDAKFSPYGFQGNENQYINENIEELDAEVVADKNLQAQKESAEVLVSEPPEEYITCNLENSGKRSKRLVEARKNKRNVKPRKKQKSASISNTEEDTDAGIQGLNMSNCCSEDDSNASQEINGEGSSCLSLSPKDIKSNGKSRCSRGSATDPQSIYARRRRERINERLKILQTLVPNGTKVDISTMLEEAVEYVKFLQLQIKLLSSDDHWMYAPIAYNGMNIGLDLNLTPTKQP >OIV90868 pep chromosome:LupAngTanjil_v1.0:LG20:5080699:5081555:1 gene:TanjilG_15601 transcript:OIV90868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNHQFFDDENLGDELLSDSFPYKEIENAMLWEVEGKWVVQGAVDVDIGANPSAEGGAEDEGVDDQAVKVVDIVDTFRLQEQPSFDKKQFVTYMKRYIKLLTPKLEPEKQELFKKNIEGATKFLLSKLKDFQFFVGESMHDDGSLVFAYYKEGATDPTFIYFAHALKEIKC >OIV90810 pep chromosome:LupAngTanjil_v1.0:LG20:5674705:5680301:1 gene:TanjilG_15543 transcript:OIV90810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQYEVLEQIGKGAFGSALLVRHKHEKIKYVLKKIRLARQTERTRRSAHQEMELISKLQNPFIVEYKDSWVEKGCYVCIIIGYCEGGDMAEAIKKANGVLFPEEKLCKWLVQLLMALDYLHVKHILHRDVKCSNIFLTKDHEIRLGDFGLAKILTCDDLASSVVGTPTYMCPELLADIPYGSKSDIWSLGCCIYEMTSHKAAFKAFAAELLGHPYLQPYVRKVHLKINSPRRSTLPVHWPESNYRKKTRFVDPEDDRIPTYKDKQYSFCNDRTLNPSVSGAYHDSVCSTLEIDCIPDHINQQLAELGVGDSHEVKSIHKSVSRTFSFANTRRLTPFKVSVINKKVMEPSKNHKAATQTLPVSRNTTKYAHANSRASFPLPRGGTQQQPSCRPNMGLLSHVSSPDISFNSPIFDKIAEFPLASNEDSLFSLNTTPTSAQDSAGYPPGGNHSTVIDKCTVQVYNRPSSTDAWQGIKRSMLKEINEDKSGSSDQNATAGPLSHTSSDLRRRQFDTSSYQQRAEALEGLLEFSARLLQQARYDELGVLLKPFGPEKVSPRETAIWLSKSIKENTLSPEESP >OIV90294 pep chromosome:LupAngTanjil_v1.0:LG20:17446353:17448041:1 gene:TanjilG_13149 transcript:OIV90294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTLVASLIGICIPILGQKFSFLNPENDLFFLAKAFAAGVILATGFIHILPDAFESLTNPCIGEKPWSTFPFTGFVAMVAAIGTLILESMVMGHLRRSELMKSQPVGNEDEAPHEVYGGHGHGHGHGHGIALDRSMTQNRLRHIIVSQILELGIIVHSIIIGISLGVSGSPKTIKPLLAALSFHQCFEGIGLGGSISQAKYKHLTTATMVVLFCLTMPIGIGVGIGISNVYDENSPKALIVEGLLLAASGGVLIYMALVDLLATDFMDPKMLSGFKLQLGASFALLLGMSCMSLLALLGA >OIV90053 pep chromosome:LupAngTanjil_v1.0:LG20:21556771:21558039:-1 gene:TanjilG_21185 transcript:OIV90053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIMKMLKKLMILKKSDPNILYRLTTFSRFNHTVNNTLTLLLPIPIKLYSLSPRPFFFNLTSNYRFYGTSYLSVGSILGLSVASTSVIAHSMDAGDAMVDHSYNDSHDISEEEEGEIVLNLWKLSTKVWLPLLFFLTIFTNLGDPIPMLFLKITLFLLTTNPNPFSVYVFVDKVRYRVNNFTNYKQCCIP >OIV90512 pep chromosome:LupAngTanjil_v1.0:LG20:10387690:10391269:-1 gene:TanjilG_32389 transcript:OIV90512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQNEDEWVEYRDVMDSVIERSGLDKSLFFDLKGNYDNFGVPVVGGSLDFFSKYSINGQLGRSESVNSITVETQERKHLFVEFDSTMSIGLRGPTNVFGHPTDQLLMDLDLELSRWDSQSAKPVTKISFGHFPLSFSAPSGSGRTLEDVFLKHSISAYLCGHLHTKFVVSVVARVYDSRYGNFDLVVEAPMIKHANETSRGELYVAPWNYKAFEDASPDRFWLQVEAIDIMGRSTLTDPFSINGHRFKLSWSWKEFLVMGCQWSALYYPLFWSALGWENKGYMTYIGWAVQTSHGKGKHECVGSPDIMVVVLPHLLFVVLPAFFATGALTAERGIYREHMLAFSGKKKDDIDLNSRRSLSNGNQSNIKSNFPFRKRWIRKHMVCLAVCWKHFMNCRTVTKAYDMNPVLHFLGYGFSIPLLLAYATMLIICF >OIV91028 pep chromosome:LupAngTanjil_v1.0:LG20:4249090:4251666:1 gene:TanjilG_16988 transcript:OIV91028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCFNCCEEDEYNKAAESGGPYVVKNAAGNDGNYHASETAKQGAQTVKVQPIEVPSIPADELKEVTDNFGQDSLIGEGSYGRVYYGILKSGQAAAIKKLDSSKQPDQEFLAQVSMVSRLKHENFVQLLGYCVDGNSRILAYEFASNGSLHDILHGRKGVKGAQPGPVLTWAQRVKIAVGAARGLEYLHEKADPHIIHRDIKSSNVLIFEDDVAKIADFDLSNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLNAKSDVYSFGVVLLELLTGRKPVDHTLPRGQQSLVTWATPRLSEDKVRQCVDTRLGGEYPPKAVAKMAAVAALCVQYEADFRPNMSIVVKALQPLLTARPGPAGETPS >OIV90399 pep chromosome:LupAngTanjil_v1.0:LG20:14677026:14678285:1 gene:TanjilG_10699 transcript:OIV90399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLPVEVIGNILSHLGSARDVVIASATCKKWREAWRSHLQTLSFNSSDWSMYHELTPNRLEILITQTILQTRALQCLKISMDDVHEFSASPVIAWLMYTRDSLRQLHYNVRTTPNFNIFEKCGRQKLEVLVLARNSISGVEPSYQKFPCLKSLSLSFVSISALDLSLLLSVCPRLEALSMISPEIAVSDSHASMELSSPSLKDFYVESFGLDKFVLEADLLENLHLKDCTFEVFELIGKGALKVLKVDDLSVIHLDIGENAENLEIVDVSNFTIMWPKFYHMISKASNLHRLRLWGVVFDDEDEVLDLETISVCFPRLTHLSLSYDLRDGVLNYGLQGLSLLMNVSVLELGWTSISDLFSEWVAGLLERCPNLKELIIYGFVSDVKTHEECQVFAKFTEFIIQLGRKYMHVKVEFEYE >OIV90066 pep chromosome:LupAngTanjil_v1.0:LG20:21398762:21400346:1 gene:TanjilG_01520 transcript:OIV90066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIDEWEFLSDDAFLDFNEDGDKNYFSSSPSSRKIIEQQKNPRVVHNNLIPLPILLEPKIPMEVTIVSPSPKTEKTKPFETVSVESDQETVSQVFFKLKENEFVDMKMDSPKSSSRVLFSQMDKGEGIENNITSPRMKIGKEIISMECDKEEDSSSWEEENTSGFNMLKWSLTGVGAICSFGVAVAAVCVMFFGTRQRNKLQQDHKIRFQIYADDKRIKQVLQHATKLNDAISAVRGVPMSTAHITIGGYYDGL >OIV90831 pep chromosome:LupAngTanjil_v1.0:LG20:5375123:5376896:1 gene:TanjilG_15564 transcript:OIV90831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSLRHHFNPTLAKPEETTTEKVCKIVRKQLALPDDSNLTGESKFASLGADSLDTVEIVMGLEEEFGIAVEEDSAQRITTVQEAADLIDEILKKQSP >OIV90286 pep chromosome:LupAngTanjil_v1.0:LG20:18420469:18422871:-1 gene:TanjilG_08323 transcript:OIV90286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGSLFHLYTRKRTLSTFKALLFNNPNTSSSQFHTFHDPVRPLLTRSTFYPTFPQSLNLYVGRIISPRLFSASGDGEEKSGIGSIGTDYDYDADFGKNAGFELGNEVNDDVFYSSEKGVAENEGSDECVTKIVDSVVSSCSVEELEDKSEECVQYMHVASRDPVELYRELCNAEKGTKLQRSENDVLLEVFDFFAKSGWASNQALAIYIGLSFFPTAAQKFQNFFRKKCSADVAKYLVSLRAGDDAVRFLFPIFVEFCLENFPDEMKRFRSMVESADLTKPHTWFPFARAMKRKIIYHCGPTNSGKTYNALQRFMEAKKGIYCSPLRLLAMEVFDKVNANGVYCSLLTGQEKKRVPFSNHVACTVEMVSTEELYDVAIIDEIQMMSDPFRGYAWTRALLGLMADEIHVCGDPSVLDIVRKICQETGDELYEQHYERFKPLVVEAKTLLGNLQNIRSGDCVVAFSRREIFEVKLAIEKQTKHRCCVIYGALPPETRRQQATLFNDQSNEYDVLVASDAVGMGLNLNIRRVIFNTLSKYNGDKTVPVPASQVKQIAGRAGRRGCIYPDGLTTTLHLDDLDYLIECLKQPFDDVKKVGLFPFFEQVELFAGQLPNMTFSQLLEKFGENCRLDGSYFLCRHDHIKKIANMLERIQGLSLEDRFNFCFAPVNVRDPKAMYHLHRFAETFSHKLPVNIAMGMPRGSAQNDQELLDLETRHQVLSMYMWLSNHFDKETFPYVQRAEVMASDIADLLAQSLIKANWKPESRNKGKPKTEKSEGQPELITVKKEIGYSRPQSLFKLNK >OIV90353 pep chromosome:LupAngTanjil_v1.0:LG20:16067415:16067786:1 gene:TanjilG_00563 transcript:OIV90353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFCKKDLTTYSTPQTSIINANGDSYQVVGVGTVALSSSISLPNTLLVPSLSNKLMSVGQPTEDLNCVALMYSKFCLFQDILTKEIIGCGTKRGGLYYMEDFNSSKVHNVLQSRGTKEKLIWL >OIV90235 pep chromosome:LupAngTanjil_v1.0:LG20:19429752:19435140:1 gene:TanjilG_01431 transcript:OIV90235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILSALLTSVAINLGLCFVFFTLYSILRKQPGNITVYASRLVAEGKVKEGGQFNLERLLPTAGWVRKAWEPSEEEFLSNSGLDAFVFMRIFIFSLKVFAFGGIIGMFILLPINYMGSQLKDNSDFQHKSLDIFTIANVNNGSNRLWIHFSAAYVFTGVVCYLLYYEYGYISSKRISCFYSSEPQPHQFTILVRGIPIPRGSTCSDAVERFFQEYHPSTYLSHSVVRRSSKLQNLITDADKLYRRLTHLKSKNDAPQRRKRDGFLGIVGPKVDIVDHYERRLGNIEDNVRMEQSSVAAKEVPAAFVSFKTRFGAAIAVHIQEGVNPTEWITEQAPEPHDVYWPLFTVSFIRRWISKLVVFVACVSLTVLFLIPVAIVQGLTHLDQLETWFPFLKSILRLSVVSQVVTGYLPSLILQMFLSFIPPVMVMLSSLQGYISWILYRVNIFLEPKEIPRVLAEAVPSQASFFIAYVVTTGWTTIASELFQLTKLIFSFVNRIFRRNVDDFDPPSIPYHSEIPRIRLFALLGVTYFILAPLILPFLLVYFCLGYIIYRNQLLKVYVPKYETGGGYWPTVHNSTILSLVLMHVIVIGIFGLKKLPLASGLTVPLPVLTLLFNEYCQKRFFPLFKNYPAECLIKKDRADDEHNMPEFYDKLTNAYNDPALTPINYSERSDSRTLPLLYGSEA >OIV90041 pep chromosome:LupAngTanjil_v1.0:LG20:21645807:21649233:1 gene:TanjilG_21173 transcript:OIV90041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVNHSVQVIEESEEEEEEEIERRNINGNLVNSQNGNNNTLKKVLEQEPEILPCHSSASPLSPQLSSLGTPRLLGPSIKVWDPYNVLAPLSPPPLGFSRSFSMSSGGMVVEDEVIEVFLISHGECELNLAPDLVGGQCPAAALTVNGKRQARALAVFLKSQGVRFSAVYSSSLDRARSTAVAVCKEVNFSEEQIQSSDALSEMSQGNWEGCHRSETYTPEILGIMDRFQPDFTAPSGESLRQVEFRMIHFLNTTVLGLPEKFRSDLSSHQNDTQPFSQHNSHALTNSTHDQDGPSLHPTQWDSLNRHRPVFSRKRSGKSRLQSVTSTGDMVEEENSSNNGNHTLHNSSFNNYSPSVSCIGLFTHSVPIKCLLTGLLGCSPLMSHKFCIDDSSVTVLQHSLRTGWQIKRVNDTAHLRLL >OIV91029 pep chromosome:LupAngTanjil_v1.0:LG20:4255057:4258531:-1 gene:TanjilG_16989 transcript:OIV91029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPSCDFTVSLINEEVVTSVLPMQERWLPLSNLDLLLPPLNVGVFFCYKNCTKTNFGSMVRSLKKGLAQALVSYYALAGEVVVNSIGEPELLCNNRGVNFVEAVADIELQCLNFYNPDHTFQGKLVPEKKHGVLAVQATWLKCGGVVVACTFDHRIADAYSANMFLVSWAEMARPIKPASTLNSPPCFRRSLLSPRRRSIHPSIDNMYIPISNISPPPSITTDATVILSRIYYVMAEDLHFMQSLATNNGTAKRTKLESFSAFLWKMVARAASIEKNGKRVIAKMGIVVDGRKCLSLSNCGDNKGKNELMGCYFGNVLSIPFGEKPVDELVEKPLWWVADEVHEFLATAATEEHFLGLIDWVEAHRPVPGLARIYCGGSDEGPTFVVSSGQRFPQEKVDFGWGKAVFGSYHFPWDGEAGYVMPMPSPVRNGDWVVYMHLEEGLLEIIECEAAHDLV >OIV90178 pep chromosome:LupAngTanjil_v1.0:LG20:20189707:20189907:-1 gene:TanjilG_01374 transcript:OIV90178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKEQPTRTQCKDAVPCRKACVALVPGYIALIRNIYKLVAISVSDKYHITYFDNLKNTSSLCFLGA >OIV91017 pep chromosome:LupAngTanjil_v1.0:LG20:4149534:4151848:1 gene:TanjilG_16977 transcript:OIV91017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRAFFFKSLFFFWYRFLFRQLKNLIGFHRSVSNNSYAFATQFKYQKFPSLLNRSDLNDHTLIFDVENALLKSSSLFPYFMLVAFEAGGLLRAIVLVLLYPFVCLAGEEMGLKIMVMTCFFGIKADKFRVGRSVLPKFFLEDVGSEIFEVLNKGGKKVGVTNMPRVLVESFLREYLEIDFVVGREIKVFYGYYIGLMDERKTLQALEQVQEGKGCSDMIGITSFNKVIDQELLSNCKEVYAVSEGDKRSWQTLPRELYPKPLIFHDGRLALRPSPMESLAMLMWLPYAIILAIIRISLALSLPYKISTPLLIFTGIRFTTSIPNTKTSHKAKSNGHIYVSNHRTLLDPLYISFTLQKNLIAVTYSLSRMSEILAPIQTVRLTRNRDQDAKMMQQLLKQGDLVVCPEGTTCREPYLLRFSPLFSEMCDEITPVAMDSHVSMFHGTTAGGLKCLDPVFFLMNPAPVYTVQLLDQLVPSLPQINVDDGKEGSRFEAANDVQRQIGNALGFECTKLTRKDKYLILAGNEGIVSTKRSGKS >OIV90132 pep chromosome:LupAngTanjil_v1.0:LG20:20670309:20670969:1 gene:TanjilG_01586 transcript:OIV90132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLLFLPGAVIAAVCGIIVDMPVVSFVAICKGPYMLFKGWSFLTHDLVGREGPFLETICVPFAGLAILLWPLAVVGAVLASMLASTLLDAYAGVVAYQVNP >OIV91220 pep chromosome:LupAngTanjil_v1.0:LG20:2034727:2037818:-1 gene:TanjilG_30442 transcript:OIV91220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQGDYIERHRRDYGYRLDHFERKRKKEARAIHKHSQTAQKAIGIKGKMIAKKNYAEKAQMKKTLAMHEESTSRRKTDDNVQDGAVPAYLLDRENTTRAKVLSNTIKQKRKEKAGKWDVPLPKVRPVAEDEMFKVVRTGKRKTKQWKRMVTKATFVGPGFTRKPPKYERFIRPSGLRFTKAHVTHPELKCTFNLEIIGVKKNPNGPMYTSLGVMTKGTIIEVNVSELGLVTPAGKVVWGKYAQVTNNPENDGCINAVLLV >OIV90198 pep chromosome:LupAngTanjil_v1.0:LG20:19856812:19860213:1 gene:TanjilG_01394 transcript:OIV90198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLFIAIFGLVLVFCFCSALLRWNEVRYSKKGLPPGTMGWPLFGETTEFLKQGPNFLKTQRARYGSFFKSHILGCPTIVSMDPELNRYILMNESKGLVPGYPKSMLDILGKCNIAAVHGSTHKYMRGALLSLISPTLIKDQLLPKIDDFMRAHLSNWENKVINIQHKTKEMAFLSTLKQIAGMESSSICNSFMTEFFKLVLGTLSLPIDLPATNYRRGFQARKNIVNIVSKILEERRASNESYQDMLGSLMAKDECKYKLSDEEIIDLVITLIYSGYETVSTTSMMAVKYLHDHPKALEELRKEHLAIRESKNSNDPIDYNDLKSMKFTRAVIFETSRLATIVNGVLRKTTQDMELNGGKK >OIV90516 pep chromosome:LupAngTanjil_v1.0:LG20:10434633:10437674:-1 gene:TanjilG_32393 transcript:OIV90516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPCLFSFSLCLLLLSSLCLAERPERYKECQLDRLNALEPDNRVESEGGVTETWNSNRPELRCAGVAFEKHTIQPQGLHLPSYTNYPQLIFIVEGEGALGISVPGCTETYEEAQQSQSSQDPRRRSSRSQSQEQEQQDSHQKIQYFREGDIIAIPPGIPYWTYNYGEQRLVAINLLDTTSLLNQLDPSPRRFYIAGNPEEEHPETQEQQGQQREQQQGAGGRRRGKHQQEQEEEGKNNVLSGFDPQFLTQAFNVDEEIINRLQNPDERLKQIVRVKRGLSIISPKSQEEEEEEEEEPRQRGQPERREERREEEKEEEEEEDEPRSRERYERQSRRRPGRQQGRQGEEQEEESESEQEGRGQQREWERTTRHRRAQGEEGEEEEEETSTRVRRQQGRGRGQEQGQEQGQEQEQEEEQQEGRRGRHGGRGRRSGQQREEEEEEQQQQQGRRKRQESRNGLEETICTARLLENIAKPSRADLYNPNAGRISSVNSLTLPILRWFQLSADYVNLYRNGIYAPHWNINANSVIFVTRGRGRVQVVNCQGNSVFNDDLRRGQLLVVPQNFVVAHQAGDEGFEFIAFKTNDLAATSPVKQVFRGIPAEVLANAFGLRLNQVSQLKYSGNQGPLVSPQSESEDHTLPKVA >OIV90295 pep chromosome:LupAngTanjil_v1.0:LG20:17500703:17506640:1 gene:TanjilG_13150 transcript:OIV90295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIESIMYKNPIKEESWKTVLTLAYQSLGVVYGDLSTSPLFVYKSTFAEDIQHSDTNEEIYGVLSFVFWTLTLVPLFKYVFIVLRADDNGEGGTFALYSLLCRHARVSLLPDTQLADEDLIEYTSDGYSVPVNKNNVGSGLKKLLEKHRFLKKVLLVLALIGTCMVIGDGVLSPAISVFSAVSGLELSMSKEHHRNVELPVACIILLLLFSLQHYGTHRVGFIFAPVVLIWLLSIGAIGLYNIFHWNPHVYEALSPYYMFKFLKKTQMGGWKSLGGILLCITGSEAMYADLGHFSQLSIQIAFTFLVYPSLILAYMGQAAYLSQHHNLNSDYQIGFYVSVPVQLRWPVLVIAILQAVVGSQAIITGTFSIIKQCSSLGCFPKVKIVHTSSKIHGQIYIPEINWTLMLLCLAITIGFRDTKRMGNAAGLAVITVMLMTTCLMSLVIILCWHKSVLLAICFLVFFGSIEALYFCASLIKFLEGAWVPIALSFIFLVVMYVWHYGTVKKYEFDVQNKVSINWLLGLGPSLGIVRVKGIGLVHTELVSGIPSIFSHFVTNLPAFHEILIFLCVKSVPVPHVRPEERFLVGRVGPKEYRIYRCIARYGYRDFHKDDVEFERDLFCSIAEFIRSETPKYELGQSHFQEDTKMTVVGTSSASVDCVRMSEEENDDHDGNHDHDGNHQNEWSSELMEVAKKSQKKVKKRVRFVLPDSPQVNLDAREELHELTEAKEAGMAFIMGNCYVRAKSGSSWIKRVVINYGYDFLRRNSRGPSYALNIPHASTLEVAMIYHV >OIV91443 pep chromosome:LupAngTanjil_v1.0:LG20:349080:351713:-1 gene:TanjilG_02061 transcript:OIV91443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSLSTSTLLCPKLGTRALSLSSSSNPKFIHFFHLGRPLLSPWNGLKHLGIFTKSKPILFHRHRKGKVVYASLFGVGAPEALVIGVVALLVFGPKGLAEVARNLGKTLRSFQPTIRELQDVSREFKSTLEREIGLDDISSSTQNMYNSNAHNTTSTPSSSASTNSSQIAVDPDGTQDPRRAYSSEEYVKVTEEQLKAASAQQQGQAPTPKEAQSEPQIQPPAKEAATTVPPPQKPQSETLPLDS >OIV90374 pep chromosome:LupAngTanjil_v1.0:LG20:15358859:15362757:1 gene:TanjilG_10569 transcript:OIV90374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNRFWGSQDQQQPQPRPLDGSSQTQSWYPPSVSAVSSPSSSRPATPNASSFALHSSSHVPPSEAAGVIAVLKDKSVDELRKLLSDKDAYHQFLHSLDQVKIQNNLKDELSKENMQLVEENLQKEPRIVELRNQCRIIRTTELAAAQEKLNELEKQKEEMLKLNSPASLLQRIQDAMNVTEEESENLHQQLLDREIDLAGFLQKYKKLRTAYHRKSLIHLAAKTSNI >OIV90283 pep chromosome:LupAngTanjil_v1.0:LG20:18314098:18315553:-1 gene:TanjilG_08320 transcript:OIV90283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRRSRHQSSGSTRISDDQIIDLVSKLRQLVPEIHHRRSDKVSASKVLQETCTYIRNLHREVDDLSQRLSQLLTTIDSDSAEAAIIRSLINQ >OIV90850 pep chromosome:LupAngTanjil_v1.0:LG20:5218749:5220560:1 gene:TanjilG_15583 transcript:OIV90850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGEQKFPPQKQDTQPGKEHAMDPEPQYTCPDYKPSNKLQGKIAVITGGDSGIGRAICNLFALEGATVAFTYVKGQEDKDARDTLEMIKKIKTSDAKDPIAIAADLGFDENCKKVVDEVVSAYGHIDILINNAAEQYECASVEEIDEPRLERVFRTNIFSYFFMTRHALKHMKEGSSIINTTSVNAYKGNAKLLDYTSTKGAIVAFTRGLSLQLVSKGIRVNGVAPGPIWTPLIPASFKEEETAQFGGQVPMNRAGQPIEVAPSFVFLACNQCSSYITGQVLHPNGGTVVNG >OIV90747 pep chromosome:LupAngTanjil_v1.0:LG20:6455170:6465595:1 gene:TanjilG_21878 transcript:OIV90747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASISPSSTRTHVSWPLFHLALRITYEESHHAQSTPTTRHHEQHTRHHGAWLEAMLHSTFRIPLVRASSESTVRRPGKRPHRTRSQSIPRSTRGDPLSPREQLKLSTTADKFGTGTPVPSTQSQSFSRGYRSILPTSLAYIVPSTRGCSPWRPDAVMSTTGRGRHSVLRIFKGRRGRTGHHATCGALPAAGPYLRLSRFQVSFTWNLSPLRPSKFSFEYLLLPPRSAPTTAPPGLTPQVSAQLGTVTQLPVHPASPVLLTKNGPLGALDSVAWLNKAATPSYLFKNSPAGSSYPEGNFGGNQLLDGSISLSPLYPSQTNDLHVCSHSNPSQKIRVGRRCNPQGDPTNQLPCALRVYLPADSHTCQTPWSVFQDGPNGEPAGRRPEHAGAEAHQTARASNHNRDDDVSTSMSTARAWATMTIRVVFSLGRNLPPDWGCIPKQPDSPTAPRGATGSGHDGALTLSGAPFQGTWARSAAEDASPDYNSDTEGDRFSWRPTDPHGSKSRKAGGGDTHDRSRALAQPPSITAPSTADSVFNQPRALGLMASGATCVQRLDGSRDSAIHTKYRISLRSSSMQEPRYPLPRVFRISVSQRRPHEHRLRADGGELNDFNFLGAFRAGVLLLGQEDTAEGSPTETLLRLLLPLNDKVQWTSHNVAGSEPPTSPQSEHFTGPFNRPQKDGGPATLEASARPTDARNYPTRHRVTKPAPRQEGLTHQEIRVGPRGTVEALDASPTSPTCPDDTKPKHQPAPGRVRPGFGMEDGSVELPTTPWKNAPACLGQLAGALGGSPMACHPDPPRCRRALHNATLEASACPTDAHNYPTRHCVTKPAPRQEGLTHQEIRVGPRGTVEVLDASPISPTCPDNTKPKHQPAPGRVRPGFGMEDDSVELPTTPWKNAPACLGQLAGALGGSPMACHPDPPRNAWNIIPKHFPKLRPRNIEASPSNDFPPKCGTRPGAMTGNIA >OIV90587 pep chromosome:LupAngTanjil_v1.0:LG20:8925947:8930393:-1 gene:TanjilG_01668 transcript:OIV90587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGQMIIGGGGVGGGGVNGVGGCNGGNSSSCCDMRQKCWCKGKLENHHNSNRIFSSGFVFFFGCFVLFGSIATFYGWLVFSPFVQTALNPFGCQVDNEGSWSIGIFYGDSPFSLKPIETANLWNDESAAWPVANPVVTCAAVSDAGYPSNFVADPFLFIQGDTLYLFYETKNSITMEGDIGVSKSTDKGATWQQLGIALKEDWHLSFPYVFEHDGQIYMMPEGSRKGELRLYKAVSFPLQWKLEKVIMKKPLVDSFIVNYSGRYWLFGSDHTGFGTKKNGQLEIWYSNSPLGPWKPHKKNPIFNINKSLGARNGGRPFLYEGNLYRVGQDCGETYGRRVRVFKIETLTSEEYKEVEVPLGFVEPTKGPNAWNGARYHHLDVQQLPSGNWIGVMDGDRVPSGDSNRRFILGCASVAVAAVFIVLLGVLLGFVNCIVPPNWFIHNSGKRSFALSAWERSSAFSSRVRRFCTRLNRVPTFLRGKINQNPWARSFVLAIIFAVGVGLMCMGAKNIYGGNGSEQPYPLKGQYSQFTLLTMTYDARLWNLKMYVKHYSRCSSVREIVVVWNKGVPPNLSELDSAVPVRIRVEEKNSLNNRFKADSLIKTRAVLELDDDIMMTCDDVERGFNVWRQYPDRIVGFYPRLISGSPLKYRGEKYARKHKGYNMILTGAAFIDSQLAFKRYWSEEAKQGREMVDKYFNCEDVLLNYLYANASTSLKTVDYVRPAWAIDTSKFSGAAISRNTQVHYGLRSRCLMKFSDMYGSLANRKWGFDSRKDGWDV >OIV90443 pep chromosome:LupAngTanjil_v1.0:LG20:12430325:12432388:1 gene:TanjilG_01921 transcript:OIV90443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGRSYSYSPSPSPRRYSRRGRSPSPRGRYRGRDRDLPTSLLVRNLHKDCRAEDLRAPFSHFGPLKDVYLPRDYYTGEPRGFGFVQYLDPDDAADAKYHMDGQILLGREITVVFAEENRKKPAEMRARERGSIFVFHIGHMIIEVLHAIIPVHRGMGNIHIVQAIHLLHEEGIIPGPFHQETEGIGGDHIPDHLMDQEAAVEAGVTVGVIAGA >OIV90151 pep chromosome:LupAngTanjil_v1.0:LG20:20489468:20490196:-1 gene:TanjilG_01605 transcript:OIV90151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKESKESLPAIDTDLTVKEDDESLNGKADTVIEDDESLDDDTTTSISLAEYLENMFFWDLLKTIEEEKVSVLISIHTYVDAINFAYSMESNATIMQNENSRAELDSFKEGIDQLSKTFKRKKSLENKHEALCGVIKDIISRQAQIELLLKELKVEVAVKDLEDFEKEEIKEHN >OIV91274 pep chromosome:LupAngTanjil_v1.0:LG20:1657105:1657450:-1 gene:TanjilG_01805 transcript:OIV91274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEGSCSFRLGWRRRFHEEKDIDSINDHNEHFSKKIERAFGKYTLEIKNNLERRTALPD >OIV91154 pep chromosome:LupAngTanjil_v1.0:LG20:2570536:2571282:-1 gene:TanjilG_30376 transcript:OIV91154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTTKTMAREEPPESLFMKELRRRGMSPTLLEDYKQDNLGLDEEVYVNEENRSFPDRKSVATDVKRSLYNQREQSIALNSEGLEVGPLLTFLE >OIV90596 pep chromosome:LupAngTanjil_v1.0:LG20:8767079:8774474:-1 gene:TanjilG_01677 transcript:OIV90596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein CGSGEAYYENEKQHKDRKGKKKTYNLIRHRGNEFQESLDFKLYDFKALQVDKGWDKLFVSVICIETGKIIAKSEKALVQNGQCHWEDSMLSTIWISNDSPQQNNEGCLLKLVVAMGSARFGILGEAIINLSTYIKSETYTASLPLKNCCHGTILQVKIQCLTTRRKQRGDHKKDSRMEEKSVGCDELDYASDLSDNTFSRSKGFSHHGLLDKTYQHSTKRRSPLAKCLEDDFDALESSFSFWNEKFPQQSNVGGLKNMNDRQDSTYSKNGLNPPYDSPRSMHSSPTSATSSVGTRLQDKMEDFDKVSNASDTTLTRSVGSSKDLLNAAQVTIELLHGEAMTWEENYRKLMIDVERYQKDLSTKSKNKKDLEKELSVSREENAGLKEEIERLTAMVKENDSKNLKLQIEEMDNIVKELKDEIKYQKGLNTDLEVKLKKTQESYIDLVFILRRLENTIEKQKMEIADLSVTSSLFQEAENSSEEEFSFSEEFLPDKMGKEISKSDVNMCTNEYAMRCLHEGIELMQEKQKNMESTIKFLEKTLMEKDQELQSGRGSMAQTLEENEAKWRNRLFENEKEIIDLEKKLSDGVHAFSNEITASTQRVQDLEAEFCEKHGESRKEVILSRSFSSNLPLLDSDNAINMTQVFLELYKQLQLSLESLKGQDSLLRQKEFINKSEHSKDEGEIDLKELTVAILYAIIMLKKLVKSKATTAFEYEINYHNELVRKRIRDDDEVTDCNLKENIFCFSSQELRNLYDQLASELTSLIKNQQVESEEATKFEPKTQVAYLQSKEALLELEAENIQLSEQIFGLEAEMKCLNQEKDSTRLALESSEAVVRNLQAGIRRLENQNESMQKKWLEAQEECSFLKVNNLNLQARNEKLIVEFETHETANGELRMQNLELYHQCSKQVDDLQHKFTSILDEIALKEKTMHAILDQLVQQSNRQNEKLASSIAHLEFDFSADKAMLQASLQEKEEKINLYVNKFENLQAEYEAKVQNYTLELASTMANHETLMVNHEKVVVLLENVKSNEEKLKSTVRSLEVELKTSELERLQATEENSILEVQTKKTEMLRDEIFVLNRSLNEAELECRKMEASYQMLSLEHEGLKAEKLSTVRKISSIEKVTSELKDCRLSKVELEEKIVQLEWDLTTKEASCHNNVQLRYDLAQMKKTNAELHRKIAFLQAENEEYQIGAIEQKLNQKEEVKHHQHNTKDSSTSTIAQGDLKLLQVNLKDYNDSGNFQVIEPDFSSEIELLEK >OIV90325 pep chromosome:LupAngTanjil_v1.0:LG20:16648675:16650727:-1 gene:TanjilG_14723 transcript:OIV90325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTAKHGFSRSEMYKESLAGSVDAYDRHVFLCYNNPLSWPPRVEASHPLPNTLAKAFKARQNYIPLKQTKITVCEAREKDGFSNGDVLIFPDMIKYRGLEESKVDEFFDDVMVKGETWSGGVPNGLMGSHIFVCAHGSRDVRCGVCGPALIHKFNQEIELQGLKNHISVLACSHIGGHKYAGNIIIYTPTPHGNITGHWYGYVTPNDVTALLDQHIAKGQVIQKLWRGQMGPPVAEVKGSDDQNLVNGDVKKNRVESNNLSSNESVVDCCQGVNGVSSCFTNST >OIV90851 pep chromosome:LupAngTanjil_v1.0:LG20:5212796:5214783:-1 gene:TanjilG_15584 transcript:OIV90851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFKLVVCLVSLLAFHLFPSPVFSTDEKKELLHDLNRYRQLLNLPILTEHHKASCLANEIAEDLEHKPCQNFNYYPVPGIHPKSPNFQKNIDKCKININTTNDGIIMPVCVHKLDSDALFLNYTKTYRFTKYLNSSKYTIAGLGSEDDWMVLVLSTNSYSGEFSSATSLLAHAWKGYYCLVLALFFTAFFV >OIV91398 pep chromosome:LupAngTanjil_v1.0:LG20:695540:700629:-1 gene:TanjilG_02016 transcript:OIV91398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVNYRVKMIDNKRGCIIKAMFESSQPSDQIISKEERIILKSVTGIAYPGEILAILGPSGSGKSTLLNALSGRLHGHALTGTILANSFKLTKPVLRRTGFVTQDDILYPHLTVRETLVFCSMLRLPRTLPREAKIAAAESVIVELGLSKCQDTIIGNSFIRGVSGGERKRVSIAHEMLVDPSLLILDEPTSGLDATAAYRLVKTLGELAKKGKTVVTSVHQPSSRVYQMFDKVIVLSEGQCLYSGKGNDAMSYFESIGFAPSFPVNPADFLLDLANGVCHVDGLGERDRPNIKQNLIHSYNTILAPKVKAACMDTASVPNKNTHPLGSNPSKKCRHSDRVSLFDWFSQFSILLHRSIKERKHESFNTLRVFQVLAAALLAGLMWWHSDYRNVQDRLGLLFFISIFWGVFPSFNSVFAFPQERAIFMKERASGMYTLSSYFMARIVGDLPMELILPTVFLIVTYWMGGLNPDFWSFVLTLLVLLGYVLVSQGLGLALGAAIMDAKQASTVSTVTMLAFVLTGGYYVHKVPPCMAWIKYISTTFYSYRLLIRIQYGDGNKILHLLGCYHGGSDRASCKFVEEDVVEQISTVGSIGVLFLMFVGYRLLAYLALRSIKS >OIV91318 pep chromosome:LupAngTanjil_v1.0:LG20:1335061:1336550:-1 gene:TanjilG_01849 transcript:OIV91318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASQSVSETSIYEFTVKDARRNEVNLDTLKGKVVLVVNVASKCAFAEANYTQLTQLYSAYKERGFEILAFPCNQFLKKEPGTSQEAQEFACTKYKAEYPIFEKVRVNGPETAPIYKFLKTQKSGFLGSGIKWNFTKFLVDKEGHVIQRYSPTTAPLTIENDINKALVVA >OIV91085 pep chromosome:LupAngTanjil_v1.0:LG20:3097477:3100254:-1 gene:TanjilG_30307 transcript:OIV91085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTTSVPMNIVPQLKLHTRFGLHQNDHPSIRVNNSSTSITPRLTFPLSRRRNNRFICLAIDEDDLNNTAIGVGSALEERPGLLDSTTEETLETFNQDRNGSPIYDFLYPSKELLPDDQEMSIFDHLEELRQRIFVSVLAVGASIMGCFAFSKELVMILEAPVKSEGVRFLQLGPGEFFFTTLKVSGYSGLLLGSPIILYEIIAFVLPGLTKSERRFLGPIVLGSSVLFYAGITFSYLVLVPAALNFFVSYAEGAVESLWSIDQYFEFVLVLLFSTGLSFQVPVIQVLLGQVGLVTGDQMLSVWRYVVVGAVVAAAIVTPSTDPLTQVLLAAPLLGLYLGGAWVVKLTGR >OIV90269 pep chromosome:LupAngTanjil_v1.0:LG20:17992261:18019274:1 gene:TanjilG_08306 transcript:OIV90269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SWPICDCLIAFYSSGYPLEKAEAYAALRKPFLVNELEPQHLLHDRRKVYERLEMFGIPVPKYALVIREVPNQELDYFIEEEDFVEVHGMRFWKPFVEKPVDADNHSIMIYYPSSAGGGMKELFRKVGNRSSDFHPDVRRVRREGSYIYEEFMPTGGTDVKVYTVGPEYAHAEARKSPVVDGVVMRNTDGKEVRYPVLLTPAEKEMAREVCIAFKQAVCGFDLLRSEGRSYVCDVNGWSFVKNSYKYYDDAACVLRKMLLDAKAPHLSSVIPPILPWKVNEPVQPTEGLTRQGSGINGTFGQSEELRCVIAVIRHGDRTPKQKVKLMVTEEKLLNLMLKYNGGRPRSETKLKSAVQLQDLLDATRMLVPCTRPDRESDSEAEDIEHAEKLRQVKAVLEEGGHFSGIYRKVQLKPLKWVKVTKSNGEVEERPVEALMVLKYGGVLTHAGRKQAEELGRYFRNKIYPGEGTGLLRLHSTYRHDLKIYSSDEGRVQMSAAAFAKGLLDLEGQLTPIQVSLVSKDSSMLDGLEDASTEMKEAKARLNAIITSTAKTADSNGSPEFPWMVDGAGLPPNASELLPKLVKLTKKVTEQVRLLAKDENDMLTERSLYDVIPPYDQAKALGKTNIDVDRIAAGLPCGSEGFLLMYARWKKLERDLYNERKERFDITQIPDVYDSCKYDLLHNAHLNLDGLDELFEVAQALADGVIPNEYGINPKQRLKIGSKITRRLLGKLLIDLRNTREEAISVAELKNNQDHSLSMKTEKEDSEAKLKHFHKNDELRKCNTTSDISSMDQDDDDDRETKYRLDPKYANVKTPDRHVRTRLYFTSESHIHSLMNVLRYCNLDESLQGEESLVCHNALERLCKTRELDYMSYIVLRMFENTEVGHFGYSPVKYISVTVLVALEDPKRYRIELTFSRGADLSPLENNDDNDSEASSLRQEHTLPIMGPERLQEIGSYLTLETMEKMIRPFAMPAEDFPPSTPAGFSGYFSSKSMLERLVNLWPFHKQAHYGK >OIV90862 pep chromosome:LupAngTanjil_v1.0:LG20:5117255:5126141:-1 gene:TanjilG_15595 transcript:OIV90862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMARASSGIQYPERFYAAASYVGFDGSTSPTKSITSKFSNSTALLLYTLYQQASIGRCNIPEPSSWKIVEHSKWASWNQLGNMSSTEAMRLFVKILEEEDPGWYSRASNSIVDPVTDVQMNHNSKVEPVVENGNSYPETKPISAENGSQDRIQDKDVVIEGFGSVTVHDQWIAPPISGQRPKARYEHGAAVVLDKLYIHGGNHNGRYLNDLYVLDLSSSTWSRIEAKVDSSTESSSSATLTPCAGHSLIPWENKLLSVAGHTKNPSENIEVKVFDLRTTTWSTLKTYGKPPVSRGGQSVTLAGTSLVIFGGQDAKRTLLNDLHILDLETMTWDEIDAVFDAKEVSTGSGTPPSPRSDHAAAVHEERYLHIFGGGSHATCYNDLHVLDLQTMEWSQPTQLGEIPNARAGHAGVTVGENWFIVGGGDNKSGASETVVLNMLTLTWSVLTSVEGRVPVASEGLSLVVSSYNGEDVLVSFGGYNGHYNNEVYALKPSYKSTLQSKVIENPKADSVSAVHFATNPTQDVKSEFEAGNEGKIRESVVDNVDSTKLKGDLITVLKAEKDELESSLSKEKLHAVQLKQDLAEVEARNSDLSKELQSVRGQLAAEQSRCFKLEVEVAELGQKLQAIGTLQKELELLQRQKAASEQAALSAKQRQGSGGVWGWIAGTPPPS >OIV90225 pep chromosome:LupAngTanjil_v1.0:LG20:19544744:19547011:-1 gene:TanjilG_01421 transcript:OIV90225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEREDGKMIEEEMEGVASIALLPCGSISGHFIQLPNSICFGIHGIELECERECSRSEDYRLIKLTITDFNTKKEQVTVVECKGHDAARFSSIDHAHGWDKDITGMVEKNDGKKRISVSFACETLKADKAAEDHIRQFMPKLAGLEDAVVNIGKMRISGLDFGAEEVESD >OIV90348 pep chromosome:LupAngTanjil_v1.0:LG20:16319176:16337533:-1 gene:TanjilG_21790 transcript:OIV90348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRALILKRSIPLHHENPLRTLTSANTTTAAATYNHLRRFSTFPESSSSTDAQTRKYIGYAALLIFGGVGTYYSFPLPENAKHKKAQLFRYAPLPEDLHTVSNWSGTHEVQTRNFHQPENLEQLENVVREAHEKKTRIRPVGSGLSPNGIGLSRAGMVNLALMDKVLEVDKQKKTVRVQAGIRVQQLVDGIKDHGLTLQNFASIREQQIGGIIQVGAHGTGARLPPIDEQVIAIKLVTPSKGTIEISKEREPELFYLARCGLGGLGVVAEVTLQCVDRQELVEHTAVSTMKDIKKNHKKLLSENKHVKYLYIPYTDSVVVVRCNPVSKWKGPPKFKPKYTKDEAIQHVRDLYKESLQKYGAEGNKGKSSDNGEENIDELSFTELRDKLIALDPLNKNHIIKINQAEAEFWLKSEGYRVGWSDEILGFDCGGQQWVSETCFPAGKLSNPSMKDLEYIEELMQLIEKEEISAPAPIEQRWTTSSRSPLSPASSPSEDDIFSWVGIIMYLPTTDARQRKDITEEFFHYRRLTQAKLWDHYSAFEHWAKIEVPKNKEELAAVQERLRKRFPVDAYNKARKELDPNKIISNNKLEMLFPQTDSI >OIV90939 pep chromosome:LupAngTanjil_v1.0:LG20:3463226:3465395:1 gene:TanjilG_16899 transcript:OIV90939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNSEDFGKDFVLKQQPLSAIAEVFEELAKWLKERRTEEKELPLDTFCHACSFISVLFNSLGLAFKFAELEYVAKLHTLVEASKTCDTLLDILDLDVANDTVKTSGSYSRNLRRVRQGLGLIKAIFEQFLASEDTSLKDVASTAYAQSCAPYHTWAVRTAVYAGMYTLPTRDQLVAKLNETDQSAQKKMRRYIDASLPVIEYIDELYLSRNIVLDW >OIV91203 pep chromosome:LupAngTanjil_v1.0:LG20:2195126:2195431:1 gene:TanjilG_30425 transcript:OIV91203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKHMLCKKNQTQNLMVTTSAENSLTESWYLDSGCSNHMTRHKEWLVDFDPSKKNRVNFAGDSSLEVEGAGNVVITRQNGAKAIISNVLLMPKIKCNMLSI >OIV90531 pep chromosome:LupAngTanjil_v1.0:LG20:10733013:10739045:1 gene:TanjilG_32408 transcript:OIV90531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHVVGDKFKLGRKIGSGSFGELYLGVNIQTGEEVAVKLESVKTRHPQLHYESKLYMLLQGGTGIPHLKWFGVEGDYNVMVIDLLGPSLEDLFNYCNRKFTLKTVLMLADQLINRVEYMHSRSFLHRDIKPDNFLMGLGRKANQVYAIDYGLGKKYRDLQTHRHIPYRENKNLTGTARYASVNTHLGIEQSRRDDLESLGYVLMYFLRGSLPWQGLRAGNKKQKYDKISEKKVSTSIEVLCKSYPSEFVSYFHYCRSLRFEDKPDYSYLKRLFRDLFIREDTVSAGYQFDYVFDWTILKYPQSNGSSRGRHGTGKAVMDVGQPIQKPERNSGGREIREKFSGAVETYSRRNPAGATPHVETYSRRNPAGATPHVETYSRRNPAGATPLVETYSRRNPAGATPHVETYSRRNPAGATPLVETYSRRNTGGATPFVETYSRRNPAAATPLVDRAKYRTSEDIAVHNDLHHAQHGQARYGSSSRRALISSNKQISSGDHTGRLTSSGGRQTATHRMHDTRQPTFTRSGSIRGDRDDPLRSFELLNIRK >OIV91314 pep chromosome:LupAngTanjil_v1.0:LG20:1379282:1380760:-1 gene:TanjilG_01845 transcript:OIV91314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFFNSVFDDSPQSESNDASHNIDQLHDPDHNSPSEQSPITQNSGAGAGAWNFGGLIQTLTTKSESIIETYRRDLHEFSTGLKKEIEVAQGSLGTVTHVIDEFGNTVVKGTAQIISKGKDAILAVDLDSDSHNSNNTPKHRLSNISDKSLNSKRYSRFDAQVRAIQVDASTYTEGPEDLDEYNKWKLEFSLDGKSEEIEGFLKENDAMENFHNRIVPNNVDNETFWYRYYYKVYRLKKAEDVRARLVRRMSREDEDLTWDVEDDDDDDDNVEEEEAEAKPELVTNMEIGSESKGKTVDTNLPIGSSGTSDEEGTKRLNAEKERNAGEEGSMVERKDDLVQNEELGNKMDETVEDSQVENSGVVNEVDGEKKETVDEAGVGKASKSEVENAMNINDSAATSDEKEITEEKTDDAKSTDKNNEPSKVGSQHSAHDDEEDFGWDEIEDLSSFDDKKATGSDSRSEVDLRKRLSAAEAEEDLSWDIEDDDDEPAKP >OIV91116 pep chromosome:LupAngTanjil_v1.0:LG20:2867158:2871178:-1 gene:TanjilG_30338 transcript:OIV91116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFTEFRPLDEKSLIDYIKSVPTLSNKLANNFDNITIKEVGDGNLNFVFIVSNSSGSFVIKQALPYIRCIGESWPMTKERAYFESLALKEEGRVIPEHVPEVYHFDRTMSVIGMRYIEPPHIILRKGLIAGVEYPFLALHISDFLANTLFFTSLLFRSTTDHKRDVAEFCGNVELCRLTEQVVFSDPYKVSEYNRWTSPYLDGDAEAVREDNLLKLEVAELKSKFIERAQALIHGDLHTGSVMVTQESTQVIDPEFAFYGPMGFDIGAFLGNLILAFFAQDGHATESNDRKAYKEWILKTIEDTWNLFHQKFIALWDEHKNGAGEAYLPGIYNNSEVQLLVQKKYITDLFHDSIGFGAAKMIRRIVGVAHVEDLESITDAAKRAASERRALDLAKTLLKERRKYEGISDIIATIRQF >OIV90052 pep chromosome:LupAngTanjil_v1.0:LG20:21559411:21561772:-1 gene:TanjilG_21184 transcript:OIV90052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNGYQLHSWFTYCMLLLFLSTAGFWMTRLNEGLSLFDAILIVPMFQIAWTLFSICTGFIYFQEYQVFDALRTTMFIIGMMCVFIGISLLAPDESKGADTKESASDSLVSPTISKEVNRLASSEDIQNIDARSFVKGMLIKITDILVKAKTSCALHLGFGEDTINASSVLVMPMMSSRMNGFRGSGLDRARILTMRNSSGWSKIPVDEDGGKLLETSPLVLPSP >OIV90961 pep chromosome:LupAngTanjil_v1.0:LG20:3687233:3690204:1 gene:TanjilG_16921 transcript:OIV90961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHQSLFKHSSISFSTPFPTPPKRYRFHVHFPSTIRCNASDSSLSPPSVTVTESEHKSGPYPGGMGPYTGRDPNVKKPEWLRQKAPQGERFQEIKGSLSHLKLNTVCEEAQCPNIGECWNGGGDGIATATIMLLGDTCTRGCRFCAIKTSKNPPPPDPMEPINTAMAIASWGVDYIVLTSVDRDDIPDGGSGHFAQTVKAMKDLKPEIMVECLTSDFRGDLKAVETLAHSGLDVFAHNVETVKRLQRIVRDPRAGYEQSLSVLNHAKRSKAGMITKTSIMLGLGETDDEVKEAMADLRAIDVDILTFGQYLQPTPLHLTVKEYVTPEKFAFWKEYGESIGFRYIASGPLVRSSYRAGELFVKTMVREKVKNDGDTLV >OIV91465 pep chromosome:LupAngTanjil_v1.0:LG20:241150:244878:1 gene:TanjilG_02083 transcript:OIV91465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLSFKNTLHNPYLLSSWNPSTSHCDWVGVTCKLDRVTSLSLPSLHLKASLSSASLLFSLSSLTILDLTDNHFSGQLPRQLAGFTHLVTLKLGSNSFTGVIPPEIGRLTKLITLDLAGNALAGKLPETVGNLTRLQFLDLSSNFFSGSVPKPLFTGALSLISLDISNNSFTGKLPREIGNLRNLTALGVGNNKFSGTLPKEIGELSKLEIFYASSCYIEGPLPEEMSKLKSLTNLDLSYNPLKCSIPKFIGELENLIILDLVFSELNGSVPSELGNCRNLRSVILSFNSLSGSLPEELSQLPIITFSAEKNQLHGPLPSWLGKWSHVKSLLLSANRFYGSIPLELGNCSVMEHLSLSSNLLTGPIPEELCNAVSLMEIDLDENFLSGTIENAFVNCRNLTQLGLMNNQILGSIPHYLSGIPLMVLDLDSNNFSGNIPSTLWNSSTLMEFSAANNHLEGSLPTEIRNAVTLQRLVLSNNQLTGTIPKEIGSLKSLSVVNLNGNMLEGTIPIELGDCISLTTLDLGNNQLNGSIPEKLVELSQLQCLVLSHNNLSGSIPSKKNSSYFRQLTIPDLSFVQHLGLLDLSHNTLSGPIPDELGECLLVVDLLLSSNMLSGPIPQSLRHLTNLTTLDLSGNFISGSIPPELGDAVKLQGLYLGQNQLSGNIPESFGKLSSLVKLNLTGNKLFGPIPISFGNMIQLTHLDLSSNELSGELPYSLSGVQSLVGLYVQKNRLSGQVGQLFLNSMTWRIETINLSDNCFTGSLPGSLGNLSYLTILDLHRNMLTGEIPSDLGNLMQLEYFDVSGNRLSGMIPDKLCSLGNLNYLDLSQNNLEGPIPRGGICQNLSRVGLAGNKNLCGQMLGIKCQFKSIARSAFFNPWRLAGIAITIIVVSLCIAYVLHRWFNRRQSDCKELKEHKLNSYRDNNLYFLSSSRSKEPLSINVAMFEQPLLKLTLVDILEATDNFSKTNIIGDGGFGTVYKATLTNGNKVAVKKLSEAKSQGHREFLAEMETLGKVKHQNLVALLGYCSIGEEKLLVYEYMVNGSLDLWLRNRTGALGILDWNKRYKIATGAARGLAFLHHGFTPHIIHRDVKASNILLNEDFEPKVADFGLARLISNCETHVTTDIAGTFGYIPPEYGQSGRSTTRGDVYSFGVILLELVTGKEPTGPDFKEIEGGNLVGWVGQKIKKGQAADVLDPTVLDADSKRMMLQVLQIACVCLSDNPANRPIMLEVHKFLKGIKRD >OIV90135 pep chromosome:LupAngTanjil_v1.0:LG20:20650165:20651175:-1 gene:TanjilG_01589 transcript:OIV90135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKLKSKRFFRSSSKHGNGNKATPTIDNDCSEIKWELRPGGMLVQKRESISSGEGMIAIRVSTMSQLHEISIEATSTFGELKMILSLITRLEPREQRLLFKGKERDDNEFLHMIGVRDKDKVLLLEDPAIKEKKLLSMLRDQPINNLCCTTIIV >OIV91374 pep chromosome:LupAngTanjil_v1.0:LG20:870412:872982:1 gene:TanjilG_01992 transcript:OIV91374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIHGHRIKNGVDQDTHFWISLINFYAKCGCHSYARQVLDEMPEQDVVSWTALIQGFVGQGNGTDGIALFCEMRKKGIRPNEFTVTTCLKACSMCLDMNFGKQVHAEAIKLSLLSDVFVGSALVNLYAKCGEMDLADKVFFCMPEQNEVLWNVLINGHAQVGDGKGAFRLFCHMLKSEVKFSKFTLPSVLKSCANSGDLRDGQAVHGLVFKSGCELDKFLCSSLIDMYSKCDLVGDALKLFLMITDHDVVSWSAMITCLDQQGHSQEAAKLFYSMRHTSVKPNQFTFSSVVSAATELGDLLYGQSIHACIFKHGFKLDISVSNALIRMYMKHGCVHDGARVFEALTRPDIVSWNNLLSGFHDYGSCESGPKTFYQMLVEGFRPNMYTFISVLRSCSSLLDIVFGKQVHAQIVKNNLDGNEYVRTALVDMYAKCRCMEESYKAFARLISRDVFTWTVMITGYAQTDQAENAIKFFNLMQQEGVKPNEFTVAGCLSGCSQLTVTESGLQLHSMAIKSGLLPDMYVSSALVDMYAKCGCIEDAETIFKGSVRQDAVVWNTMICGFSMHGWGDKALQTFQSMKDEGNLPDEVTFIGVLSACSHMGLIEEGKQHFNSMSNVYGMIPRDEHFACMVDILSRAGRFDEVESFVEEMKLTSNPSIWEIILGACAKHGNAKFGEKAARKLFELNHETDSTYILLSNIFASKGRWTDVKRVRAMMSSKGVKKEPGCSWVEINNKVHVFVSDGVHPNILEIHLKLEELGQKLSLVGYVPQTEHVLHNIPDKEKKEHLNHHSEKLALAFALISSQMKRIRIFKNLRICLDCHNFMKLVSDITNREIIVRDINRFHHFKGGSCSCHDYW >OIV91344 pep chromosome:LupAngTanjil_v1.0:LG20:1148809:1154649:1 gene:TanjilG_01962 transcript:OIV91344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESIRVRRYEQLPTAKDFESLIELQNVPAVFVRCTKDWRAFSKWNPSNAGLDYLQARVGSSAVEAMMSGSAPVFYGDLGSHERVPLPFSTFIDFCKQRMQSKHQESLGPSCLSPQTHDLSSSGIDGAYLSSECVPEQIYLAQVPIMNSDCEERVQLETLRKDIQIPSFLEEKELSSINLWMNNAQARSSTHYDPHHNILCIVCGRKQVVLWPPSSSPSLYPMPIYGEASNHSSVALENPDYSIYPRAEHSIDFAQKVILEAGDALFIPEGWFHQVDSDDLTIAINFWWRSNIMSSMLEHMDAYYLRRILRSFWKGIVTDIFDLGQDQLLLKLGKGKTRTCGYKLPNNGQADHGDDNHNQMFKEMDLKEKKLQEGNTLLELEPAAAQVLHDLVSLVHNCVGANQDQQSFSASVNDVEVRENGKCEKRVDGSLKDDPIAKILWDVEPHSLQHVFLAMAHNFPRTLEAFVLHALSPVGAEVLTRKFDEMDQQSLEEDRIRFYEVFYSAFDDQSAAMNSILKGKESFTQQALKVLTWETLKMLIGEVHLFLFVYMNHLISLLG >OIV91410 pep chromosome:LupAngTanjil_v1.0:LG20:607744:611166:1 gene:TanjilG_02028 transcript:OIV91410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKIEVTSLSHDGEALLSLKSSPSVLSSWNPSSSTPCSWNGITCSPQGRVISVSIPDTFLNISYLPPQLSSLSMLQLLNLSSTNVSGSIPPSFGQLSHLQLLDLSSNSLTGSIPDELGRLSSLQFLFLNSNRLTGTIPQHLANLTSLQVLCLQDNLLNSSIPSQLGSLISLQQFRIGGNPYLTGQIPSQLGLLSNLTTFGAAATGLSGSIPSTFGNLINLQTLALYDTEISGSIPYELGLCSELRNLYLHMNKLTGSIPPHLSKLQKLTSMLLWGNALSGPIPSEISNCSSLVIFDVSSNELSGEIPVDFGKLVVLEQLHLSDNSLTGQIPWQLSNCTSLATVQLDKNQLSGKIPWQVGKLKFLQSFFMWGNSVSGTIPSSFGNCTELYALDLSRNNLTGSIPDEIFSLKKLSKLLLLGNSLTGRLPPTVANCESLVRLRVGENQLSGQIPKEIGQLQNLVFLDLYMNNFSGSLPVEIANITVLELLDVHKNYLTEEIPSTIGELENLEQLDLSRNTLTGEIPWSFGNLSYLNKLILNNNSLTGSIPKSIRNLQKLTLLDLSCNNLSGGIPPEIGYITSLTISLDLSSNAFTGEIPDSMSVLTQLQSLDLSNNMLHGTIKLLGSLTSLTYLNVSYNNFSGPIPVTPFFRTLSSNSYLQNYHLCQSVDGTTCSSNFIRKNCLQYAKTIVLILVILASVTIIVIASWIIVTRSHRYKVEKNLGISGSTSEDFSYPWTFIPFQKLNFSIDNILDCLKDENVIGKGCSGIVYKAEMPNGELIAVKKLWKANKEDETVDSFAAEIQILGYIRHRNIVKLLGYCSNRSVKLLLYNFIPNGNLRQLLEGNRNLDWEIRYKIAVGTAQGLAYLHHDCVPTILHRDVKCNNILLDSKFEAYLADFGLAKQMISPTYHHAMSRVAGSYGYIAPEYGYSMNITEKSDVYSYGVVLLEILSGRSAVESNVGDGQHIVEWVKRKMGSFEPAVSILDTKLQSLSNQMVQEMLQTLGIAMFCVNSSPAERPTMKEVIALLMEVKSQPEEMNKTSQPLIKQSSNQR >OIV91101 pep chromosome:LupAngTanjil_v1.0:LG20:2977410:2980401:-1 gene:TanjilG_30323 transcript:OIV91101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGSLPILPLPAPPLDGNLGPLPESQVTDEEMIENSSNEEQNKSDSVLAVVATHTRTIGIIHPPPDIRTIVDKTSQFVAKNGPEFEKRIVANNTGNAKFNFLSASDPYHAYYQHRLAEFRSQNQSSGGQPTDSAVPELAPSATAPDSNDTTAAAAAEKPDISAQFKPVRKVLEPPEAEQYTVRLPEGITGEELDIIKLTAQFVARNGKSFLTGLTSREVNNPQFHFLKPTHSMFTFFTSLADAYSKVLMPPKGLTEKLKKSVPDMTTVLERCVNRLEWERSQEQARQKAEDEIEQERVQMAMIDWHDFVVVETIDFADDEDEELPPPMTIEEVIRRSKVTAMEEDIVEPGKEVEMEMDEEEAQLVEEGMRAASLEDNDDEGKNEVRVTEDPEPPMRIVKNWKRPEDRIPAERDPTKFVVSPITGELIPISEMSEHMRISLIDPKYKEQKERMFAKIRETTLAQDDEISRNIVGLARTRPDIFGTTEEEVSNAVKAEIEKNDEPPKQVIWDGHTGSIGRTANQALSQNIGEDQNDASNNEAMNLPGPAAPPPRPGMPSIRPLPPPPGLALNLPRVPLNAVQYSAPNSGGFQIPQPRPPVMPMMQSVRPPPPPMQMSSGQHMGGQPQLMHPPYPMNSQGIPIPPPPGSQFTPMPVPRPYVSGPPSGMPMMHPPPLPQGVPPPPPPDEAPPPLPEEPEPKRQKHDDSTLIPEDQFLAQHPGPVRISVSVPNVDEGNLKGQVLEIIVQSVSETVGSLKEKIAGEIQLPANKQKLSGKPGFLKDNMSLAYYNFSGGETLTLTLRERGGRKR >OIV90541 pep chromosome:LupAngTanjil_v1.0:LG20:10861803:10867201:1 gene:TanjilG_32418 transcript:OIV90541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVSASQVGSYFVGQYYQILPQQPNRVYQFYSDNSSMIRVDGDSTQTAYDVLQIHELVISLNFVSIEIKTINSLDSWDGGLVVMVSGFVKIKDVSGRRKFFQTFFLAPQEKGYFVLNDIFHFIDEGVTYPNLGSVASEKFDTQPHLSNSVAERPASDYGLEEEAREYVNSVHLEDDPVDKYSLPELQQLQQDHETEVLVEETPAEEASPAIPSVSHTIHETPVAHVEEPLEEPSKKTWASILRKGQSVSSPALQSSLKSAPAPSELNRVAQPAIQQLSSSSTFTPDYGVDAAEDGYGVEEEVEVKSVYVRNLPANVTEAEIEQEFKNFGRIKPDGIFIRVRQEIGVCYAFVEFEDILGVHNSLQASPIQLAGRQVYIEERRPNSGIAARGGRGRGRGRSSYQTDAPRGRFGGRGSGRGSYHDTADYNRLRGDSYPQRGPR >OIV90980 pep chromosome:LupAngTanjil_v1.0:LG20:3811404:3817113:-1 gene:TanjilG_16940 transcript:OIV90980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQPALGRLPSIRDRVESVLSDHRNELVSLLSRYVEQGKGMLQPNVLVDEMQNILGQDEATKDLIEGPFGEIIKSAQEAIVLPPFVVIAVRPRPGVWEYVRVNVFELSVEQLHISEYLRFKEQLIDESINDHFVLELDFEPFNASFPFPTRSSSIGNGVQFLNRHLSSIMFRNKDSLDPILDFLRAHKYKGHALMLNDRIQSIPKLQSALAKAEEHLTKLPPDTLYFEFEYMLQGMGFERGWGDTAALVLEMMHLLQDLLQAPDPSTLETFLGRVPMMFNVVILSPHGYFGQANVLGLPDTGGQVVYILDQVRALENEMVLRIQKQGLHFTPRILIVTRLIPDAKGTTCNQRIEKVSGTTYTHILRVPFRSEKGILRQWISRFDVWPYLETFAEDVAGEITAELQGYPDFIIGNYSDGNLVASLLAYKMGVTQCTIAHALEKTKYPDSDIYWKTFDDKYHFSCQFTADLIAMNNADFIITSTYQEIAGTKNTVGQYESHTAFTLPGLYRVVHGIDVFDPKFNIVSPGADMSIYFPYSETQKRLTSLHGSIEKLLYDHEQTDECIGTLNDRSKPIIFSMARLDRVKNISGLVECYAKSSKLREMVNLVVVAGNIDVSKSKDREEISEIEKMHDLIKTYNLDGDFRWVVSQTNRARNGELYRYICDTKGAFIQPAFYEAFGLTVVESMTCGLPTFATCHGGPAEIIKHGRSGFHIDPYHPDQASDLLVEFFEKCKEDPSHWNRISDAGLKRILERYTWKIYSERLLTLAGVYSFWKYVSKLERRETRRYLEMFYILKFRDLAKSVPLAKEKDDAN >OIV90576 pep chromosome:LupAngTanjil_v1.0:LG20:9180971:9182268:-1 gene:TanjilG_01657 transcript:OIV90576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKMKNLGSIGSSGRLSTEECEDEEISKLAISTFQAKEEEIEKKKMEVKEKVELQLGRAEEETRRLAHIWEELEVLEDPMKKEVSMVRKKIDMAIRDLKPLGQNYQKKEKEYKEALEAFNEKNREKAHLVATLIEMLAESERLRMKKLEEICKATESLSMKQ >OIV90965 pep chromosome:LupAngTanjil_v1.0:LG20:3705942:3707614:-1 gene:TanjilG_16925 transcript:OIV90965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKALFVANATGKFGLKCGFIAGVFSVTRCGLRRHRGRQDWVNGFIAGGITGAAVAVASRNRSQVIGMATIVSVFCGAVDYARTA >OIV91379 pep chromosome:LupAngTanjil_v1.0:LG20:830472:838091:-1 gene:TanjilG_01997 transcript:OIV91379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPESLHWDRKEFLKERKHERSQSLGSLARWRDSSHHRDFTRWGSPDFRATPGHGKQGGWHLFSEESGHRYGVSRSRDKILEADIKPSSVSRGDGRYGKYNRENRGPFGLRDWRGHSLETTNSSLNMSRRPPDVKNDQRSDVALTYSSHPHSDFVNNWDQHHSKDQHDNMCGVNGFGTGPRCGRGKSLGSIDWKPLKWTRSGGLSSRGPGFRHCSRSRSMGGEDSYEVKIESQPKNGTTNESHSSKVAACVTYSAPSNDKACRKKPRLNWGEGLAKYEKKKVDGPDVCENTGSPVSNREPFNSLSPHNSVHKSPKVSGFSECESPATPPPSAACSSSPEKLDIDSLNDMGASITELIQCDDPCSFDSDLVSSSAMDKLLIWKADISKVLERTELEIDSLETELKSLKSESGDSCLRPAPALGSQLVCHNEKPCEEYAVVSDQVLWPEPLKKVSSGDLLVDKRPLSTNLRGSHEHNKEEDIDTPGTSTSKFEEALPLTEVVSSCDTRTYGNFSGDLDAMQSTSVKCLGPCATKEVASLSAYGDGNTSMDARSGSSLCLSSEDILYNTIISSNKESADRACGVFANLLPKECCEIGNTGGSSDSLSHTFIMEKFVERKRFARFKEKVITLKFKALRQLWKEDMRLLPMRKCRPKSHKRHELSVRSTCNGIRKNRSTIRPRFSFPVGDHISLVPTSEILNYTSKLLSEPKIEVQRKALKMPALILDEKEKMVSKFISSNGLVEDPLAIEKERTMINPWTAEEREIYLDKYAVFGKDFWKIASFLDHKTTADCVEFYYKNHKSECFEKLKKQYVGKLGKSFSAKTDMMTSGRKWNREVDAASLEILSAASVMVDGISSNKRMHAGSLLLRRYGNVKASRADDSITDRSAKIHIIGDERETAAADVLAGICGSISYEASITSSADLVEESMDRKFLKAKPLSEQPLIPDVTQNDDDGTCSDESCGEMDPTEWTDEEKASFLQAVSSFGKDFTKISQCVGRSQEQCKVFFSKARKCLGLELMHSISENAGSPVNDDVNDGGSGTDDGGVVETCSTDGTDKSGMKTDEDIPSFVMNTYHDDSPAVECRKLSVELKKLKEISGVEVDHEDVNEVSDACANKTEPKVGSDGSDVMLCSSVKSCSVSGKATIIMSDNTEVGKGKADKTGGATTELISAQEIFEPCKSNSVDEDRLVSDVFSGGPGNELGRQRVTSLQFLDDRDDKREADTGAVAKLKYSVHGSSTTGHASLSSVGNSCSLLSFDTENKHVSVGRPHMSAFSFEDHHATANSLLQNTAAANVKCKKTAVQDRLSSTSDFQGSSNMHCHSSISNGGHQLPIPGNHVEAINILRGYPLQVPFKKEVTGDRNCSSSATELPLLSKNDEQAGDQFKTRLQHLSGSEKSSRNGDVKLFGKILTNPSSTLKPNLTSKASEEKGTHHSKLSSKSSGHKLSGHHNADENLKILKLDRNDYPGLENVPLRSYDYRDGNGVQTCLSSLSDSAILLAKYPAAFGNYSASSAKVEQQSLQAFARNNERHLNNAFAFTTRDINGSNGLIDYQMFRSRDGLKVPPFMVDAKHRQDLLSELQRRNGFEAISSLQHQGRGMVGMNSIGKPGILMGGVSDPVAAIKMHYSNSDKYGGQSGSITRNGESWGGKGDLGR >OIV91117 pep chromosome:LupAngTanjil_v1.0:LG20:2851398:2853251:1 gene:TanjilG_30339 transcript:OIV91117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAKHEFGVDHHLKNTTTITGTSFSQLLLADDDNEDDNALGLGVDQTYNNNNTNLTDYSPIFPIHIAPQMLCFGNYQNEEDLTNVLTPQKSVITNSSDSSSASSCNNTTIFNSFSKPDLKSLQKKRNGSGQEPVTKAGVGSQRPPKKTKADNPTSTGHAKSLMNYLACQRKEKLGERIAALQQLVSPFGKTDTASVLHEAMGYIKFLQDQVQVLCSPYLQRLPYSHHQLGDGDNNGEEVTKDLRSRGLCLIPMECTVHVASSNGADFWSPAAIGNNISPSTTMQ >OIV90205 pep chromosome:LupAngTanjil_v1.0:LG20:19726381:19727267:-1 gene:TanjilG_01401 transcript:OIV90205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQASDRFNINSQLEHLQAKYVGTGHADMNRFEWAVNIQRDSYASYIGHYPLLSYFAVAENESIGRERYTFMQMMCVTVLVIVVLPVLNLLLER >OIV90459 pep chromosome:LupAngTanjil_v1.0:LG20:13164809:13165795:1 gene:TanjilG_01937 transcript:OIV90459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISMLMSRLTQPLINVPSSGQKLNMRQIQKEKVVLVMGATGTGKSRLSIDLATCFPSEIINSDKMQVYEGLDIVTNKISKEEQHGVPHHLLGTHNTNKEFTATDFCDISLASIDSITSREKLPIIVGGSNSYLEALIDADDYKFRSRYDFCCLWVDVSIDTLHSYVSERVNQMFEYGMVDELRPFFNPKGDYSRGIRKAIGVPEFDEYFRREAFIGEETKQRLLEEAINEMKVNTCKLAMKQLGRIHRLRNVKRWKIHRLDATPVFRKHGQEAKNDAWKKLVAEPSAMIVANFLYNSNTNSTTTSTKGFSGHRILSPSQSAIVAATC >OIV90211 pep chromosome:LupAngTanjil_v1.0:LG20:19673851:19675832:-1 gene:TanjilG_01407 transcript:OIV90211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNESTIADKGKNDRECLSYTDETMTVSGIAINEERMSKIMRISLTGTKVGCLKNKLIVLDINGLLADIVCPAPKDHKADAMIARRAIFKRPYYFEFLNFCFENFEVGLWSSRKMENVKRIVDFLMGEMKNKLLFCWDVSHCTETSFRTLENRHKDLVFKDLRKIWDKHDPNLPWEKGYYNESNTLLLDDSPYKALLNPPHTSVFPHTFSYQNRSDNSLAPGGNLREYLDGLAKAENMSKYVEEHPFGQEGIDETSQSWSFYDQVIRSLLTCRSEDNASVKVNISVQNILPATE >OIV91058 pep chromosome:LupAngTanjil_v1.0:LG20:4481364:4482396:-1 gene:TanjilG_17018 transcript:OIV91058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVVDTLLLLTLAMVLSTAISSDSDPLQDLCVADLASGVKVNGFTCKEASKVNASDFFSSILSKQGSTNNTLGSLVTGANVEKVPGLNTLGVSLSRIDYAPDGLNPPHTHPRATEVVFVLEGQLDVGFITTSNVLISKTINKGDIFVFPKGLVHFQKNNAKVNASVISAFNSQLPGTQSIAATLFAATPPVPDHVLTKAFQVGTKEVDKIKSRLAPKK >OIV91352 pep chromosome:LupAngTanjil_v1.0:LG20:1088584:1092993:1 gene:TanjilG_01970 transcript:OIV91352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHSHPNSAAVSPATHSTVASSSDTKRGKKRGNYNCSRCGQPKKGHNCNIKTPTSATITPVDPSLTAVSVISAPTLLPVPRQAPSHLRRALSFDDFDDRTGGIDIDAAERDEKEDEDSATDLLDLDVDSCGLPGNLMWDILRRLPPAELLSAAKVCKGWRDTARRLWKAAEELKVRVPASVHVGFVASMLQKCPGILRLSLRMESDFDSMMLACIAFSCPNLEHMEVSTFDNAMNRINGDELGRFVADKKSLKSLKMEGCSNLGGFVLCSSSLCTLWLSDLYCLSKMVFNCPQLREISLEFSRQENDCTDLTTMIDGLGRSCLRLQKVHIASMQLSHAVVLALSAAQLRNLRMLSLVLGSEITDASVAAIASSYPNIELLDLSGSGISDSGIGMICNAFPETLSRLLIALCPNVTSSGIQFATAQLPLLELMDCGMTICDPNSQDPTADKNNCKSHKTSGTNLHLVNQKLIIKHGCLRKLSLWGCSGLDALYLNCPQLSDLNLNSCRNLHPERLLLQCPALENVHASGCQDMLIGAIQSQVCNAFTAMDNPSPSKHLPDGSKRVRVPHLLSGEFSESEKKRRRIEMQHCNVLVD >OIV91041 pep chromosome:LupAngTanjil_v1.0:LG20:4366461:4368659:-1 gene:TanjilG_17001 transcript:OIV91041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGTRLPKSHILTITTPNLVPYTTTANNITISSLFSNLNSPRNLLVARKLHALLLVLGFFHPNSPHKSLPSQLVNVYVNFGSLQHASFTFTNLPNKTNVAWNAMLRGLVDVGHFTQAIQFYHSMLKKGVAPDNYTYPLVLKACSFLHSLELGRWVQHTIQYNQLHYKAKPNVYVLCAMIDMFVKCGSLEDARKLFDEMPVKDLASWTAMICGAVWNGECLEAVSMFRRMRLQGLKPDSVILASVLPVCGRLEDVKLGMALQGCAVRNGFDSDLYVSNALIDMYCKCGDPLEAHRVLSNMVYRDTVSWSTMISGYSQNCLYKESYQLYIRMVDIGFKTNAIVATGVLPALGKLKLLKQGKEMHNYVIKEGLVSDVVVGSALIDMYANCGSIREAESVFEYISDKHIMVWNSLIVGYNLVGHFESVFLTFRRIWEAEHKPNSITLISVLPICTKLGALRQGKEIHGYATKRGLGLNASIGNSLIDMYSKCGFLELGVKVFNQMMIKNTVTYNTMISACGTHGLAEKGLAFYEQMRVSGIRPNNVTFIALLSMCSHAGLVERGRLLYNSMIRDYGIEPDMEHYSCMVDLLGRAGDIDGAYKFITKMPVMPDANVLGSLLGACRLHNKVELAELLAQHIFQLNSHDSGHYVLLSNLYASGKRWEDMSKVRRMMKDKELEKKPGISWVQVGHHIYVFHAKSTFHPEFVKIEETLNGLLLVMKGEDKYAGQSQNLIQC >OIV90554 pep chromosome:LupAngTanjil_v1.0:LG20:9943962:9944449:-1 gene:TanjilG_18158 transcript:OIV90554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVTIKSISIFLFFLGLIFQGYCKPCSLGDLSVKKSKTGVKIQGKPEWLVTVTNNCHCGQSQVILNCRGYKTVEPVDPNILTYSGTDYCLINYGKPIYKQPVTFKYAWDEAFSMSPNSSQMAC >OIV90240 pep chromosome:LupAngTanjil_v1.0:LG20:19287746:19290976:-1 gene:TanjilG_01436 transcript:OIV90240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLGVRKGAWNKLEDDLLRVCVQQYGEGKWHLVPKRAGLNRCRKSCRLRWLNYLDPNIKRGEFNEGEIDLMLRLHKLLGNRWSLIAGRLPGRTPNDVKNYWNSYIRKKKSSHKECVNTMPMETIMEPHVVIKPQPRTISKTSPWLRGKSIREDQSGNKKCTTSEACVDVSLEFNNNCWSTLVEDKVGIDEDNTCLLGEKDNTLLQDLNWDDEIFSLTTTEIEDFLIEGQTWSDVLDF >OIV91110 pep chromosome:LupAngTanjil_v1.0:LG20:2919654:2921531:-1 gene:TanjilG_30332 transcript:OIV91110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTLLPPATRSGTFMASVHAVPMPVSAHRLPGLILRPSLFPSLSVKPFPSLTRSSSSFNSFLHHQPLKLPFTVNASSQVSPPFTSSNDEPEKAKLDQVSKRLEKTARNFKRLGSLGFWGQLVSTVVAAVILSFSVVVTGKVTSPATFYATAGGIAAAFISVFWSFGYIRLSDKLRKTANEPTKAPPRADVVKSLVNGITVNILGMGAAILGLQATVGLLVAKALTSSVNPYQGISPGYSPVLALDVFLVQASANTILSHFLGLVFSLELLRSVTLPPSEAAPLPKFA >OIV90944 pep chromosome:LupAngTanjil_v1.0:LG20:3515185:3517803:1 gene:TanjilG_16904 transcript:OIV90944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSILQGFTKSLAMTVLSEIGDKTFFAAAILAMRHPRRLVLSGCLSALIVMTILSVLVGWAAPNLVSRKWSHHITTLLFFGFGLWSLKDAIFGDGDAEELAEVEAELDKSWKSNNGATKNSKKVDDDTKKNKQRPFFSQFFSPIFLKAFSITFFGEWGDKSQLATIGLAADENPFGVVLGGILGQALCTTAAVIGGKSLASQISEKIV >OIV91394 pep chromosome:LupAngTanjil_v1.0:LG20:718901:720629:-1 gene:TanjilG_02012 transcript:OIV91394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMESCVPPGFRFHPTEEELVGYYLKRKINSLKIDLDVIVEIDLYKIEPWDIQDRCKQGYEEQNEWYFFSHKDKKYPTGTRTNRATVAGFWKATGRDKAVMSKNRMIGMRKTLVFYEGRAPNGRKTDWIMHEYRHQTSEHGPTQEEGWVVCRAFKKPIPSHRPGFEPWCSNQQPHYARPLTFADILNETHNNVLHLAEGSSFNSSIPFSSEQQELFLPNHTAIMDQKQQIELPQLDSPNASLSANMAIKECNELQHHNGVTNKEYCSDERCNDNNGQVGGVDWKSLDNLFASQLTDSSAYFSHQNLPLMMLHSHELQPQNQASHILGCFPDS >OIV90155 pep chromosome:LupAngTanjil_v1.0:LG20:20420014:20436276:-1 gene:TanjilG_01609 transcript:OIV90155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVRVLNPNAEVLNKSAALHMNINAAKGLQDVLKTNLGPKGTIKMLVGGAGDIKLTKDGNTLLKEMQIQNPTAIMIARTAVAQDDISGDGTTSTVLFIGELMKQSERCIDEGMHPRVLVDGFEIAKRATLQFLEKFKTPVVMGDEPDKEILKMVARTTLRTKLYEALADQLTDIVVNGILCIRKPEEAIDLFMVEIMHMRHKFDVDTRLVEGLVLDHGSRHPDMKRHAENCYILTSNVSLEYEKSEVNAGFFYSNAEQREAMVTAERRQVCSGNDSNFVVINQKGIDPPSLDMLAREGIIALRRAKRRNMERLVLACGGEAVNSVDDLTPECLGWAGLVYEHVLGEEKYTFVENVKNPHSCTILIKGPNDHTIAQIKDAIRDGLRAVKNTIEDEAVVLGAGAFEVAARQYLVNEVKKTVKGRAQLGVEAFADALLVVPKTLAENSGLDTQDVIIALKGEHDRGNIVGLNQNTGEPLDPQMEGIFDNYSVKRQIINSGGSGEDGQLGIGMNEEKEWVCLIKSLQPYRLLSIVAGSRNSLSISHDGKLFTWGWNQRGTLGHPPETKTENIPSQVKALDSVKIVQAAIGGWHCLAVDDQGRAYAWGGNEYGQCGEEPERKDDTGRPLRRDIVIPQRCAPKLVVRQVAAGGTHSVVLTREGHVWTWGQPWPPGDIKQISVPVRVQGLDNVRLIAVGAFHNLALQEDGTLWAWGNNEYGQLGTGDTQPRSQPIRVQGLSGLTLVDIAAGGWHSTALTDDGEVYGWGRGEHGRLGFGDSDKSSKMLPQKVQLLAGEDIVQVSCGGTHSVALTRDGRMFSFGRGDHGRLGYGRKVTTGQPIEVPIDIPPPQNLSGSAAEGNWISKLVACGGRHTLAIVEWKADEPNETDS >OIV90950 pep chromosome:LupAngTanjil_v1.0:LG20:3570180:3574400:-1 gene:TanjilG_16910 transcript:OIV90950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METHTSSFSSLRAYLSALSHTPTRFARRAISVSTSYEEMGRVRSGSDMRKTLSWYDLVGFGIGGMVGAGVFVTTGRATRLLAGPAVVLSYAIAGLCALLSAFCYTEFAVDMPVAGGAFSYLRVTFGEFAAFLAGANLIMDYVMSNAAVARGFTAYFGTVIGVSSAKWRLTVPHLPNGFNQIDIVAVAVVLLVTVVICYSTRESSVMNMILTALHILFIAFIIVIGFTRGSWKNFTEPANPENASGFFPYGASGVFNGAAMVYLSYIGYDAVSTLAEEVRNPVRDIPIGVSGSVIIVTILYCLMATSMSKLLPYDMIDTEAPFSAAFSGKSDGWGWVSRVIGVGASFGILTSLLVAMLGQARYMCVIGRSSVVPPWFAKVHPKTNTPVNASAFLGIFTAALALFTDLEVLLNLVSIGTLFVFYMVANAVIYRRYVAIGTTKPWPTLSFLCSFSFTSIIFTLIWKFVPNGSAKAGLLSACGVIAIAILQLFHCMVPQARKPEFWGVPLMPWIPSTSIFLNVFLLGSLDGPSYVRFGFFSAVAVLVYLLYSVHASYDAERDGSIGQKNSEIHVESKEESVEDRSFKV >OIV91036 pep chromosome:LupAngTanjil_v1.0:LG20:4326296:4329317:1 gene:TanjilG_16996 transcript:OIV91036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRPSTLVYVILLLALFSCTPWTVWSSSLCHEVNSDVVRPHSVSITDFGAVGDGVTLNTKAFQNAIFYLNSFSDKGGAKLFVPAGQWLTGSFDLISHLTLSLDKDAVILGSMNTDDWPVVDPLPSYGRGRELPGGRHKSLIYGCNLTDVIITGNNGTIDGQGSIWWSRFQNKTLNYTRPHLVELMNSTGIRVANLTFKNSPFWTIHPVYCSNVTVQNVTILAPLSSPNTDGIDPDSSDNVCIEDCYISTGDDLISIKSGWDEYGISFGRPSTNIIIHRLVGQTTSAGIAIGSEMSGGVSEVHAEDIQIFDSRSAIRIKTSPGRGGYIRNIYIANLTLANVDIAIRFSGLYGEHPDDAYDPDALPIIERITVTDVIGENVNRAGLLQGIQGDHFVNICLLNITLSVRKKVPWNCSYVKGYSDLVSPEICEPLKERIFPEHSSDCYYLSNPLKSSSNRNKGGWLMSW >OIV90582 pep chromosome:LupAngTanjil_v1.0:LG20:9070652:9074116:-1 gene:TanjilG_01663 transcript:OIV90582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTNADKFGEVYSSDSEKGFVINHPHSTPPQQDAGLKFVLNSQGSWFHCGYHLTTSIVSPVLLTLPFSFTLLGWGGGVLWLTLAALVTFYSYNLLSMVLEHHAQLGRRQLRFRDMARDILGHGWDKYYVGPLQFLICFGTVIAGPLVGGKCLKFIYALYNPDGSMKLYQFIIICGVITLLLAQLPSFHSLRHVNLLSLILSVTYSTLITVGSIYIGHSKNAPPRHYSLKGSHVDKLFGVFNGISIIATAYASGIIPEIQATLAPPVKGKMFKGLCLCYSVIATTFYCVAISGYWAFGNEAYATILANFIGETKPLLPKWFFLITNLFILLQVMAMTAVYLQPTNELFETAFGDPKMGQFSMRNVVPRILSRSLSVAAATTLAAMLPFFADLLSLFGAFAFIPLDFILPMVFYNLTFKPSKHSIMFWWNTLIAVVSSVLVVIGGIASIRQIVLDAKTYDLFADM >OIV91395 pep chromosome:LupAngTanjil_v1.0:LG20:714188:716905:-1 gene:TanjilG_02013 transcript:OIV91395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPRNLATAGDSAWLSRDIENDGGATEAEEEDSPSAKKPRWEKFPFKTWEFGVAIGVFLVFSIGLFFIYLTMPPAEKGHIKLPRTLSDLRLLKNYLSTYAKNHPAQFILGYCSTYIFMQTFMIPGTIFMSLLAGALFGVVRGIMLVVFNATAGASSCFFLSKLIGRPLVSWLWPEKLRFFQAEIAKRRDKLFNYMLFLRVTPTLPNLFINLASPIVDVPFHTFFLGTLIGLIPAAYITVRAGLALGDLKSVRDLYDIKTLSVLFFIGFVAILPTLLKRKRVYE >OIV90532 pep chromosome:LupAngTanjil_v1.0:LG20:10741127:10743772:-1 gene:TanjilG_32409 transcript:OIV90532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNAARGIEREIGALQLEEKKLVLEIKKTAKTGNEAATKILARQLVRLKQQIANLQGSRAQMRGITTHTQALYAQSSVAVGMKGATKAMAAMNKQMAPAKQLKIMQEFQKQSAQMDMTTEMMSDAIDDVLDDDEAEEETEELTNQVLDEIGVDVVSQLSAAPKGRINTKDAENVSSSGVNELEERLAALRNP >OIV90662 pep chromosome:LupAngTanjil_v1.0:LG20:7529874:7530284:1 gene:TanjilG_23775 transcript:OIV90662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLKEFHSQLWLGVLVVVTPVTDSSNRAIGLSSSFYGGHPEVAVGAGAPSSGCKKVTRSWTRFGNSGGAIRGSGGPKWRSRGSQKMPEQVDAFLFSFGSQFTEMERDG >OIV90957 pep chromosome:LupAngTanjil_v1.0:LG20:3642015:3643263:-1 gene:TanjilG_16917 transcript:OIV90957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFRELGFSLFIFLYFINLASSFPIRKTLLSEPMLKDNIEDKGLYGSKIEGGTHGGGSHGNGNGGESSLPNTHGGTGLIPVYAAGAANNNHQHHHGAANCNLDKIKFSTMVMITSTHVLILLYLLI >OIV90807 pep chromosome:LupAngTanjil_v1.0:LG20:5689822:5691018:-1 gene:TanjilG_15540 transcript:OIV90807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRTFLIARLTASSFTQRSRTQIQLGSLLPYNAFIFFKSFTSGTSLKSESQTNHHKFHNFTVSYLINSCGLSPDLALKISKKIKLKNQDGPDVVLDLLKNYGFSEKQLSILIKRLPSVLLAEPDKTLLPKLKFFQSIGMSEIDLPRIIIGNCSLLTLGLKNNIIPRYNIIRSLLRSDEEVVSTLKHGPRYFHGYEVINDSVQNIEVLRRLGLPQGSISLLVTNFPSVVFMKHSRFNEAVEATKEMGFDPMKTNFVLALQVLAKMDKAMWKAKLEAFQRWGWSKDICLVAFKKYPQYMMIAEKKIMKTLSFLVENMGCSLEDIARCPGILNRNLEKTFIPRCAVVKVLKSSGLVKNDLHIGTFMILSEKKFLEKYVTRFQKIVPLLLDVYEGKKVGLII >OIV90626 pep chromosome:LupAngTanjil_v1.0:LG20:8380832:8382007:1 gene:TanjilG_01707 transcript:OIV90626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTESKFSEDATIRNSHSFTASKQVHVLTCGVNSSIPSFPEKLISSTDSTSMKEVAPFTTFITHIPTKFSDHEDISNMQQKNQSISGFNSICTPIVTTSDTTSHSSIPLNLLETLPALTKAPISNEKLVPPKFPNLGLFLQEPSKVYSTSSHIKSGHDGMSETSDPSFHYSNFDQTHPQAMNKTIPTNLRSNSFNENWLRATKNQPLKCSGGRRKLYKGVRQRQWGKWVAEIRLPRNRKRVWLGTFDTAEEAAFAYDTAAYILRGEYAQLNFPDMKHVIQANSLNGTTAALVEAKLQAISVQSGSSNRKITDSSEAGSSIIDENGKLKSRKGKDSISTLNEWEFGAERSERIKSTHVEISDIEAVQLSRMPSLDMDIIWDALLVSDSGPI >OIV90164 pep chromosome:LupAngTanjil_v1.0:LG20:20324818:20328113:-1 gene:TanjilG_01618 transcript:OIV90164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDQLKQQKPKTSRAERRALQEAQRAEKAEGNKAYGAATSANAKPAKAAKPAQKLDNSAAAASEKKAGDPPEKDRKKDVPQPRMQYDDKNRVEKARRRAVVKQTEARNRVELFRHLPQYEHGSQLPDLEAKFFHLDSVHPAVYKVGLQYLLGDISCGNDRCIAMLQAFQEAIKDYRVPPEKTLVRDLTAKISSYVSFLIECRPLSISMGNAIRFLKSRIAKLPLALSDSEAKISLQSDIERFISEKIILANKVIVKHAVTKIRDGDVLLTYGSSLAVEMLLLHAHELGKQFRVVVVDSRPKLRGKLLLRRLVEKGLNCTYTHINAVSYIMHEVTRVFLGAASVLSNGTVYSSVGAASVAMVAHTFHVPVIVCCEAYKFHERVQLDSICSNELGDPDVISNVSGRGDVNYLDGWANIENLQLLNLIYDATPSDYVSMIVTDYGMVPPTSVPVVVREYGREQVWI >OIV90077 pep chromosome:LupAngTanjil_v1.0:LG20:21314256:21315704:1 gene:TanjilG_01531 transcript:OIV90077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRLISLDPSNIVPIRIEQGQKCYGRVTLCNVMYTMPVAFRLQPLNKAHYNVKPQSGIISPLATITLEIIYYFSSGSILPHSFPHSQDSFLLHSVIVPGALIKGPCSMFDAVPSDWFTTKKKQVFIDSGIRIIFVGSQILEKLIVHGSVDDIREVLERSDPLWKCVDSKNRNGERLLHLAVSQGRPDLVQLLLEFKPDLEGAKVDSLTKDGNTALHLAVEEHRRDCARILLSNGARIDIRNAGEGDTPLHIAASTGDDNMVNLLLQKGANKDVRNRQGKTAYDFAAENGHFSLFDALCLGDKLCIAARKGEVRTILKILESGAAINGRDQNGWTALHRASFKGRIDSVRILLEKGIDFDAKDEEGYTALHCASESGHADVTDLLVKKGADVEARTSKGVTAFQIAESLHYVGITRILVQNG >OIV91044 pep chromosome:LupAngTanjil_v1.0:LG20:4409444:4414185:-1 gene:TanjilG_17004 transcript:OIV91044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLLKCFSLTICSFAGLGAGLVWGTLQEYTKRLVYGTPSQGNKPALSPLLSEQNAERLALALCRMRGAALKIGQMLSIQDESLVPAPVHQAVIKDGMQVAMKIQYPGVADSIESDIENVKLLLNYTNLIPEGLHLDRAIKVAKEELSRESDYKLEAASQKRFRDLLAGKEGFYVPIVVDDISSKRVLTTELVYGCTASTWKEVLACANSDSDEVVEMSRRLGFLTGTESDVMLDAHIQAGFIVGLPFSRPGGFDFRSSNITQSISHLGATMLKHRLTPPPDEAYSLHRKLSGAFLACIKIGAVVPCRDLLLEVYKHHKFGEEVNEVLSSDCL >OIV90391 pep chromosome:LupAngTanjil_v1.0:LG20:14439076:14440959:1 gene:TanjilG_10691 transcript:OIV90391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIPQLLPTSKLPLQLGNLTTPWNCRLRELSKQRQYPQALTLYIHMLRSSFSPNTFTFPFLLKSCAHLFLPFTGSQLHAHVIRTGTQPDPYIRTSLVSMYSKFSLLNNARKVFDEFPYWQNITICYNAMISGYTFNSKVREGFVLFCRMREEGVVVNSVTMLGLVSGCTVPEDLTIGVCLHGFGVKFGFYGDLSVVNSLLTMYVKCGEVELARKLFDGMGERDLITWNAMISGYAQNGHARQVLELYREMKLTKMRPDPVTLLGVVSSCANLGAQSIGREVERQIQCCGFSSNTFLTNALINMYARCGNLVRARAIFDCMAGKNVISWTAIIGGYGIHGHAEIAVGLFDEMVESGIRPDKTLFVTVLSACSHAGLTDKGLEYFDAMELKYGLKPGQEHYSCLVDLLGRAGRLKEAMDLIKSMKVKPDGAVWGALLSACKIHKNVELAELAFERVIELEPTNIGYYVLLSNIYSDAKNLEGVLKVRVMMRERKLRKDPGCSYVEYKGKVHLFYCGDRSHLQTEEIYRMLAKLESLVKEIHAPAEKYQGRSEEVLNSTGVHSEKLAIAFGLLNTRPGTEITVMKNLRICGDCHLFIKLVSKIVNRQFVVRDATRFHHFRDGACSCKDYW >OIV91287 pep chromosome:LupAngTanjil_v1.0:LG20:1565770:1566997:1 gene:TanjilG_01818 transcript:OIV91287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKETDTASEEMGCLEANLSNSASTLLGRLYYEKGGKSKWMGSLVQHLAFNAFFSFFLNSMKFTPYTINSLFLLTISSTLLVFQTETEHSSEVSKKNHVIGLICTVAASAGEALVLSLVQLTFEKVLKRETSKVMLDMIIYESLVATCVTLVGLFASGEWNLLQEEMEGFEMGKTSYLLNLTFTAVNWKLFGLGCVGLIFEVSSLFSNSISVLSLPFVPILAVFIFHEKMNGIIAISIVLAIWGFISYIYQHYLDGSNSNTENRDTDHVT >OIV91319 pep chromosome:LupAngTanjil_v1.0:LG20:1329834:1333380:1 gene:TanjilG_01850 transcript:OIV91319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYNSVYRCLQDIFPQIDARLLKAVAIENPEDTDIAVEIVLTEIIPSMSKSLSPATPPQGKAPGALTNVQGTFTCEERWKSKDGDLLRELSVGSSAAHPIDVEMTKTSDFSSGLDLNVALHESTISNASNSNNSMGISKFLNETTELNMFINKFLNENKELNMFSNFEDNFSGEISNNITQETTINSFRQEGGEIFDQGRVQVDVGERLISSGVCQEMEPVLNFRSADNNCNGITNHLNEEWLDDSGHSADDNDASICHKNNLLEKSETLIEAESSEAHTDQGHKPNASDSLQSEFDSRCPISAGENLEDDSFFKLEFCTGETRDVEDETNENNSLSQSSEVCRIDLLQEIIDKSKTNKKTLFSSMESLINLMREVELQEKAAELANEEAARGGSDILARVEEYKTMLAHAKEANDMHAGEVYGEKAILATEMRELQSRLLNLSDERDKFLAILDEMRQILEARLAAAEEMRKSAEHDKLEKEESVRKALVEQEAMMEKVVQESVRLQKEAEENSQLQEFLMDRGQVVDMLQGEISVICQDIRLLKEKFDANIPLSKSFTSSQTSCILASSGLSQKAVTSGVGSEHSESSAILKISPAASVASLSSKSGHGEERTKANDQKALLDDGWDIFEKDAELSS >OIV90680 pep chromosome:LupAngTanjil_v1.0:LG20:7954106:7958266:-1 gene:TanjilG_23793 transcript:OIV90680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEETKRHTCLKLDIPNNNTKDTEPIFVKGTWFKTHFNLGITDGLNAWFCHASEEEVKQRATQWDQPVSEYVQLAERYLGFQQPGSVYAFADAGDGHKRLSWTFEKEGMTLQWRWKCMLSPNSKKTTAEILNFLMDANITLSEEVVKKTELFEKMKMEAEKSLALSERITNEKVEFESEIYAKFLGVLNSKKSKLRELRDQLSNSKQETSVKSPQEEDTDKTESFDEESDYDKSDEDPHKDIAGSSKHVSMNKPSRGRRTRRS >OIV91412 pep chromosome:LupAngTanjil_v1.0:LG20:600154:600575:-1 gene:TanjilG_02030 transcript:OIV91412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCNSRSFIETFQEVAESQRKDENKDAAATASLIAELKVEEKADSEKRDEEKSEEKDSAPGKESKTDAEKKD >OIV90803 pep chromosome:LupAngTanjil_v1.0:LG20:5739002:5743226:1 gene:TanjilG_15536 transcript:OIV90803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNGSEGLGDDFFEQILAVPEASYGRSLSHDVGSMPMGLQLGSTSGGLRGGGSLGIGVGMPLGLNLEQGAFLRHQGGHDVEGSINNNHNQHQQLLRLNNNNNNSGNNISNNNNSTSSSSSSTAGINDRDMQMRGMFSGFGQLHNPPPTHAHAHSIRPMLLSPQPQLHRHHQQHFQSQAQHPVSVAAMPQQPPGIRPRVRARRGQATDPHSIAERLRRERIAERMKALQELVPSINKTDRAAMLDEIMEYVKFLRLQVKVLSMSRLGGAGAVAQLVSDVPFSALEGENIEGEANEQSWDKWSNDGTEQQVAKLMEEDVGAAMQFLQSKALCIMPISLASTIFRMPQSEASSLIKPESNNHS >OIV90879 pep chromosome:LupAngTanjil_v1.0:LG20:4994010:4997130:-1 gene:TanjilG_15612 transcript:OIV90879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELDHLGGTYGGNRKPTPFMCLVMKMLQIQPEKEIVIEFIKNEDYKYVRILEFSLTHVDEVIDELLTKDYSCDIAMPRIKKRWTIESLGALEPRRSALEEDFEEEEEKEDNDQPADEHEDRSHEKDYYRGRSPTRERDRRPDTHRHRDRDYDRDYDGDYERERGRGRDRDREKERDRDGYHLRDDREYGHDRESRDRERRDRDRGRGRRRSYSRSRSRSRDHKEHDGDYRKRRARGSLSLRRHGVEDGEPKKKEKKEKQKKDDGTDHPDPETAEASKLRASLGLKPLKV >OIV90457 pep chromosome:LupAngTanjil_v1.0:LG20:13139658:13140854:1 gene:TanjilG_01935 transcript:OIV90457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIYPQGNKRSAGFTDEERKSFATNFLSRGFVDTFRSQHPGVIGYTYWGYRHGGRKTNKGWRLDYFLVSESIADKVHDSYILPDVAGSDHCPIGLVIKL >OIV91405 pep chromosome:LupAngTanjil_v1.0:LG20:656346:661375:1 gene:TanjilG_02023 transcript:OIV91405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRKFWALVFIFSILLFHPLCFISANMEGDALHSLRTNLQDPNNVLQSWDPTLVNPCTWFHVTCDNENSVIRVDLGNAALSGQLVPQLGQLKNLQYLELYSNNITGPIPSDLGNLTNLVSLDLYLNTFTGPIPDSLGKLSKLRFLRLNNNSLSGSIPMPLTNISSLQVLDLSNNRLSGVVPDNGSFSLFTPISFANNLALCGPVTGHPCPGSPPFSPPPPFVPPPPISAPGGNSDTGAIAGGVAAGAALLFAAPAIAFAWWRRRKPQEFFFDVPAEEDPEVHLGQLKRFSLRELQVATDTFSNKNILGRGGFGKVYKGRLADGSLVAVKRLKEERTPGGELQFQTEVEMISMAVHRNLLRLRGFCMTPTERLLVYPYMANGSVASCLRERPPHQEPLDWPTRKRIALGSARGLSYLHDHCDPKIIHRDVKAANILLDEEFEAVVGDFGLAKLMDYKDTHVTTAVRGTIGHIAPEYLSTGKSSEKTDVFGYGIMLLELITGQRAFDLARLANDDDVMLLDWVKGLLKEKKLEMLVDPDLHNNYIEAEVEQLIQVALLCTQGSPMERPKMSEVVRMLEGDGLAERWDEWQKVEILRQEVELAPHPNSDWIVDSTENLHAVELSGPR >OIV90968 pep chromosome:LupAngTanjil_v1.0:LG20:3716956:3720248:-1 gene:TanjilG_16928 transcript:OIV90968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSNNNNNQTPEPEPDNSEEQQQQQLTQSPFASLSLSLSTILPSHFFLQQKTQTFFAPNKVKVPTQASSLTHLSLSSTTTLSPSKTSFKSTISSNPLHNPLSINPLLPNDPSNAAAFRRSSIVWFRNDLRVRDNESLTTANNDSVSVLPVYCFDPSDYGKSSSGFDKTGPYRAKFLIQSVTDLRKNLQSRGSDLVVRVGKPETVLVELAKAIGADAIYAHREVSHDEVKMEERIETVMKEENVEVKYSWGSTLYHVDDLPFKLEDMPTNYGGFRDKVQKMEIRKSIEALDQLKGLPSRGDVEAGDIPSLSDLGLNPSATLSQDGKQSTNNSLVGGETEALQRLKIFAAECEAQPQKGFKDGAQNSIYGANFSCKISPWLAMGCLSPRTMFDELKKTARTISSSSNPNNGGSGSSKNGTDWLMFELLWRDFFRFITKKYSSVKKLVEAAPATACTGALA >OIV90274 pep chromosome:LupAngTanjil_v1.0:LG20:18061110:18063757:-1 gene:TanjilG_08311 transcript:OIV90274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKIMVRSGTKVAFIILVTFTIVIPCLEAGIAEFDDYLKAQAEAAKKLALETYVPNPEEIAEDLNLHVHKAIQEYELELTNNTTRRELRQGKKARGPCQATNPIDRCWRCQKNWANDRYRLAKCGRGFGRRATGGLGGRIYVVTNPSDNNVAEPAPGTLRFGAVQKGPLWIIFKTSMVINLQQELMVSSDKTIDGRGVNVQIKGGAGITMQFVNNVIIHNLRISKIVAKNGGMIRDSFNHVGFRTRSDGDAISIYQSSNIWIDHLSLSQSEDGLVDVIQGSTGVTISNCHMTKHNDVMLLGASDNFSGDKIMQVTVAFNHFGQGLIQRMPRIRWGFVHVVNNDYTHWLMYALGGSSGPTVLSQGNRFIAPNNDAAKEITHRDYASPDVWKNWQWSSQEDFFMNGAKFTESGKHVTNLPYKKQFIMKPRPGIYANRLTRWSGALPCIPNLPC >OIV91171 pep chromosome:LupAngTanjil_v1.0:LG20:2440926:2457695:1 gene:TanjilG_30393 transcript:OIV91171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKNKKRRETDAESEVELLATNDNSDESQNVKKKEKKKKKNQNEIPTVSIAVAASIIDNVPTLELATRLAGQIARAATIFRINEVVVFDNKSIPGQDSRVNNSDDESSAAFFIRVLQYLETPQYLRKALFPMHNNLRFVGLLPPLDAPHHLRKHEWGSYREGVTLKDRDSNSGATLVDVGLAKHVLVDQILEPGRRVTVAMGTNRNLDSDLPRQVVSSSKPREEGMYWGYQVRYAHNISSVFKDCAYKGGYDYIIGTSEHGQIIKSSDLEIPCFRHLLIAFGGLAGLEESIEEDESLKDKEGDSKEDLMTDLQDALSKQRPNCASGIKLAKARENRYQSQIQRRLKQLEELPSSRGEDLQTKCLLELYGLKLAELQSKIRSEVSSEYWLNVKCAYPDKQLFDWGMMRLQRPLYGVGDPFAMDADDQLRKKRDAERLSRLEEEEKNQIETRKRRFFAEILNTVREFQLQIQASLKRRKQRNDGVQAWHGRQRQRASRAEKLRFQALKADDQEAYMRMVKESKNERLTLLLEETNKLLVNLGAAVQRQKDSKRSDGIEPLEDSEADLPEPDASKNGISKESPVDEDVVDSDHNDDSSDLLEGQRQYNSAIHSIQEKVTEQPSILQGGELRQYQIEGLQWMLSLFNNNLNGILADEMGLGKTIQTISLIAYLIEYKGVAGPHLIVAPKAVLPNWVHEFATWVPTIKAILYDGRLEERKAMKEELSGEGKFNVLLTHYDLIMRDKAFLKRIHWHYLIVDEGHRLKNHESALARTLDSGGYHIQRRLLLTGTPIQNSLQELWSLLNFLLPNIFNSVQNFEDWFNAPFSDRVDVSLSDEEQLLIIRRLHQVIRPFILRRKKDEVEKYLPQKSQVILKCDMSAWQKVYYQQVTDVGRVGLDNGSGKSKSLQNLTMQLRKCCNHPYLFVGDYDMYRRKEEIVRASGKFELLDRLLPKLRRAGHRVLLFSQMTRLMDVLEIYLRLHDFKYLRLDGSTKTEERGTLLKKFNAPDSPYFMFLLSTRAGGLGLNLQTADTVIIFDSDWNPQMDQQAEDRAHRIGQKKEVRVFVLVSVGSIEEVILERAKQKMGIDAKVIQAGLFNTTSTAQDRREMLEEILRRGSSSLGNDVPSEREINRLAARSEEEFWLFEKMDEERRQKENYRTRLLEEHELPDWVYSPLNKDDKAKAFNSTSVTGKRKRKDVVYADTLSDLQWAKAVESGVDISTLSSKGKRRDQYRSDTVAQASDNSGAEERFSELRTENDPVADERTSDDSFHATHASKRLKPEGRSSHAYDDVRGSGLNQPILSWNTHKKKRSSYLGQGSSSDSRGQNSNGKANWN >OIV91090 pep chromosome:LupAngTanjil_v1.0:LG20:3058514:3058987:-1 gene:TanjilG_30312 transcript:OIV91090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNTKAARVIFPTGEVKQLKELLNAAELMLEHPNYFLANSRFLHIGRRFHALGADEELEFGNVYIFFPMRRVNSFVTAADVALFFMAANSATKRIISSGGRVRRQMEESFQESNNNRVVARLSLERVGSVGFHNRLSYCRTRKPMLETISEEPIRSK >OIV91123 pep chromosome:LupAngTanjil_v1.0:LG20:2814667:2816121:1 gene:TanjilG_30345 transcript:OIV91123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKSKIEEVIEKQVLTVAQAVEEKIDDEIAALERLDADDIEALRERRLQQMKKMAEKRSRWISLGHGEYTEIPSEKEFFSVVKASERVVCHFYRENWPCKVMDKHLSILAKQHVETRFVKINAEKSPFLAEKLKIIVLPTIALIKNAKVDDYVVGFDQLGGSDEFSTEELEERLAKAQVVVFEGESSLNHARSSAQTKRSVRQSSNADSSDSE >OIV90984 pep chromosome:LupAngTanjil_v1.0:LG20:3851121:3857037:-1 gene:TanjilG_16944 transcript:OIV90984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALSFSLSSVVEDVLQQHSTRLKDLDLESRKAEEAAFRRYDAAGWLRKMVGVVAAKDLPAEPSEEEFRLGLRSGIILCNVLNKVQPGAVPKVVETPVDSALIPDKGPLSAFQYFENVRNFLVAIQEIGIPTFEASDLEQGGKSARVVNSALALKSYSEWKQTGANGVWKFGGTIKPTTSTKTLERKNSEPFTNSLSRNSSMTEKSLAGFNSDVDSNKMSGSYSLSMLVRAILLDKKPEEVPLLVESVLNKVVEEFEHRIASQCEQTKVTSRAAASQSIGSISKFVTEDKKVQNKIPMVTKKEGFIHKKHVDDEETKGQLLRKQMLFDQQQRDIHELKHTIHTTKAGMQFMQTKFHEEFSNLGLHIHGLAHAASGYHRVLEENRKLYNQIQDLKGSIRVYCRVRPFLSGRPNFVNTVDSIEDGTITVNIPSKNGKGRRSFNFNKIFGPSSGQVEVFSDMQPLIRSVLDGFNVCIFAYGQTGSGKTYTMTGPKEITENSQGVNYRALSDLFLTADQRKETFHYDVSVQMIEIYNEQVRDLLVTDGTNKRYPFTKIRSNSNKGLSVPDASLVPVSSAIDVIELMNLGQRNRTVGATAINDRSSRSHTCLTVHVQGRDLTSGTLLRGCMHLVDLAGSERVDKSEATGDRLKEAQHINKSLSALGDVIASLAQRNQHVPYRNSKLTQLLQDSLGGQAKTLMFVHISPEADSIGETISTLKFAERVATVELGAARVNKDGADVKELKEQIVSLKAELARTEIEPDHSSSASSRKYRTKKASELSPYHASQRGADIAGDLGCRQPMVDLHSNTTLRQKSQSFDFDEISANSPSWPPIKSPVPTYREDDRETVSGEWVDKVMVNKLDVNKTESMLECWETDNGHISDVFYQKYLQDSSEVYSQQSYSMFLAGNQFNISGSDSMDNLDAATSDSSEPDLLWQLNHSKLTTLAGENGSKARKLVSKSAKSPELSKNGINSSLRPSPSRKQTNGVLHRTGKHPAPLDMKCKTGSGSRK >OIV91126 pep chromosome:LupAngTanjil_v1.0:LG20:2796402:2798607:-1 gene:TanjilG_30348 transcript:OIV91126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKEKKQGFFSALKEEVVRGLSPSRSRSSTPTRTGSPMSGLLRRKKHSNTNTVTAVVRSGGLTPIGETLSPLIEGPDPDGTENGDPKRSGSGLGQWMKGQLSRAPSVSSLSYKRSDLRLLLGVMAAPLAPLHVSASDPLPHLSIKDTPIETSSAQYILQQYTAASGLRLQNSIKNAYAMGKVRMVASEFETATRVVKNRSASKCAESGGFVLWQMNPDMWYVELAVGGSKVHAGCNGKLVWRHTPWLGAHTAKGPVRPLRRALQGLDPRTTASMFADAKCTGEKNINGEDCFILKLCTDPQTLKARSEGPAEIIRHVLLGYFSQKTGLLVHIEDSHLTRIQSNGGDAVYWETTINSYLHDYRPVEGIMIAHSGHSVVTLFRFGETAMSHTKTKMEEAWTIDEVAFNVPGLSLDCFIPPADLRTDSVSEACELPQDERGKNSLAVHRAKVIALEKSHNLGIESMMWKMEI >OIV90239 pep chromosome:LupAngTanjil_v1.0:LG20:19316165:19316380:1 gene:TanjilG_01435 transcript:OIV90239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGNFKVWKERVLLHLEWVDIDYDIRKPEPPAITEVCTLDQVDLYEKWERSNRIRVMFIKIKVSVGIRSSI >OIV90267 pep chromosome:LupAngTanjil_v1.0:LG20:17983956:17985548:1 gene:TanjilG_08304 transcript:OIV90267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFFQKANVVRLRSYHDKYMLADDDQEGVYQDRNGAYKNAKWSVEIVEKSNVIRLKSCYGKYLTASNMPFLIKGTAKKVLQTIPRRSATANWILWDVDIVELRPQKEKAKKRKPKPTPITPPDRFMYSTPPDPSPSSSGFSMPIEDLEKIELRSSRTPKEEISHDCKSLVKDGRIVLYDVGNKNGDVDDTHKERLFTSKKVMWRS >OIV90062 pep chromosome:LupAngTanjil_v1.0:LG20:21438278:21441236:-1 gene:TanjilG_21194 transcript:OIV90062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRRALSPMLRRELENLDKDADSRKSAMRALKSYVKDLDFKTIPIFLAQVSETKDTGSLSGEFTISLYEVLARVHGVKIVPMINSITQSIVQTLASSAGSFPLQQACSKVIPAIARYGIDPTMPEEKKRHIIHSLCKPLSDSLSSSQECLSSGAALCLKALVDSDNWRFASDEMVNKVCQNVAVALEGKSTQTNSHMGLVMALSKRNPLIVEAYARLFIQSGLRMLNAELLDGNSQKRFAAIQMVNFLMKCLDPRSIFSEVELVIEEMKKCESDKMAYVKGAAFEALQTAMKVVIDKKSRYVKSPASVTGSNFSRRDYMEGDSFSGDGGYSPSSISPESQTLDYFPGDESIVGSPVSTNQPSLNSNYERRSVNRKLWSQENGGVDLSLKDGLFSEAGQRIGLFEHSEDHELSNGGGGLTEEFSGFMLGNQSNRVSGSATTSPLRSHTRVTVDSNKIFQTPRKLINYLQDLDDVNSESSEKQNRRIRSLSSGDIEWSPTPNSAYNQNDFADHVNYDDDEDNESLYADVPFEGGSEFVSSTDDLPGDVDMQMIAEVVPENRLQKTKRKLFCGLSFVLVAIATPLFWINSQEEGPVHARCRAKYQDVVLDIVLDIVPGSSTSDALGSPSAKTSAKLMHQ >OIV90663 pep chromosome:LupAngTanjil_v1.0:LG20:7545001:7552452:-1 gene:TanjilG_23776 transcript:OIV90663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLGIRSKSRKSVSTEVHYIINVKEIKPWPPSQSLRSVQSVVLQWENGDQNSGSLASSAGDGKIQFNESFRLLVVMWREASKKSKHRENFQKNSMEFNLYDKTAPKSQLLGSATINFADFGIIKETKAISTQLSCKKSSRNSTQPYLYVTIQPFETECSSSSPNSTLSKEFSLKEGSESVSQSVNDDDDLEIASFTDDDNDVVPSNTFRSVRSSPETTGDNTKISQGGTKGSNGELATHLEYTTSSLLSNMDDGASTQFKDIKSPSSSTVLSPDMGNATSDRPSLPKISEESVKVADASSKTLESVQKFPGENITEDDMVKAKISASYISSSTQPNFERSAQSQVTQEDNINQEDSRKDQIYNKDSPEELNSASNTVVLEDNENMDDRRRKGKKQFVMKNELSENDLVNDISDNDSRGKGKLNNAAPVLSKRSHRYPTNILMNVKAEDVRTENFPLQSAESYVKFSQSQTLDQAEDINTLNDVHNCISSHEDISVNDIFPNNKTELKAEVEPNDKTELKAEVEPNDKTELKAEVEPNDKTELKAEVEPNDKTELKAEVEPNDKTELKAEVEPNDKTELKAEVEPNDKTELKAEVEPNDKTELKAEVEPNDKTELKAKVEPNDKTELKAEVEMLKEELREAAALEVSLYSVIAEHGSSSNKVHAPARRLSRFYFHTCRVGSPATIASAAKSAVSGFVLVSKACGNDVPRLTFWFSNLILLRAIVSKGIEEIHLGNDPRINREGDANNLPCHEKEKENTKEKNHSWEDPETFLVALEKVEAWIFSRIVESVWWQTLTPYMQSAAAKSSSSRKIYGKRYAIGDPDQVSFSVDLWERAFKDACERLCPLRAGGHECGCLPVIARLMMEQLVNRLDVAMFNAILRDSDEDMPTDPISDPISDSKVLPIPAGKSGFGAGVQLKNAIGNWTRWLSDLFGTDDSDSHEDSNENEKPKCESAFKPFQLLHALSDLMMLPFEMLADESLRKEVCPRFGVSLIKRVVNNFVPDEFSPGPVPDAVIEALNDEDIEDDEGSITSFPCTADSTFYAPPLASLVVTMPQEVGNRNSLRSGSFVQKKLYTSDDELDELDSPLSALGMDDSSSKKKFSVAKGGRKVLRYELLRQVWRSTE >OIV91478 pep chromosome:LupAngTanjil_v1.0:LG20:161223:163159:1 gene:TanjilG_02096 transcript:OIV91478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDLTSQTKSFRLLSFTRSEVYTADPPNIQHILLTNFANYGKGWYQINVLTDLLGNGIFTVDGEKWRHQRKAASYQFSTKLFRDLSSSVFKSNAIKLAGIVSEAAISNNVIELQDLFMKSTLDSVFKVVLGVELDTMCGTYEEGTQFSYAINEASAAIMYRFFNFLWKIQRLLNIGSEAVVRKNLRIVDEFVYKLIKSKIEQHKKVMKGDILSSFIELEETDPKYLRDFILSFIIAGKDTTSITLSWFFYLLCKHPHVQEKIAQEIREATKVSDGSNIDELGASITEETMEKMQYLHAALTETIRLYPAVPVETKFCFMDDTLPDGFSVRKGDIVSFQPYVMGRMKSLWGEDCEKFRPERWLDKNGNFKNESPFKFTAFQAGPRMCLGKEFAYRQMKIFCAILLGSHSFKLADQNKSVKYRTAFTLQIDGGLYVYASIPRE >OIV90629 pep chromosome:LupAngTanjil_v1.0:LG20:8359092:8363997:-1 gene:TanjilG_01710 transcript:OIV90629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLLRQYQNDDVPDSPPQNPNSPDSSPPRLIPSRSAAPTVDDTMLALTVTSSSSSKPIDPTQHAVAFNPTYDQLWAPIVGPAHPYAKDGIAQGMRNHKLGFVEDAAIEPFLFDEQYNTFHKFGYAADPSASAFNNYVGDFDALHKNNAVSVYNIPKHEQKKRKIEVKSKQDNDEEEDANVDGDVGEEIDNPASEAWLLKNKKSPWAGKKEGLQGELTEEQKKYAEDYAKKKGEEKSGFGGDKAEVVNDKSTFHGKEERDYQGRSWIAAPKDAKASNDHCYIPKRLVHTWSGHTKGVSAIRFFPKHGHLILSAGMDTKVKIWDVFNSGKCMRTYMGHSKAVRDICFSNDGTKFLSAGYDKNIKYWDTETGQVISTFTTGKIPYVVKLNPDDDKQNVLLAGMSDKKIVQWDMNTGQITQEYDQHLGAVNTITFVDNNRRFVTSSDDKSLRVWEFGIPVVIKYISEPHMHSMPSISPHPNANWVAAQSLDNQILIYSTREKFQLNKKKRFAGHIVAGYACQVNFSPDGRFVMSGDGEGKCWFWDWKSCKVFRTLKCHEGVCIGCEWHPLEQSKVATCGWDGLIKYWD >OIV90433 pep chromosome:LupAngTanjil_v1.0:LG20:11982000:11988460:-1 gene:TanjilG_01911 transcript:OIV90433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNNCVGPNSLGGNGFLQSVSAAVWKTRPPDARLLPPNNATDAAATTDNNNNKSTTSPPKDSSKDSSIGKGSDGSKGNNDPPMPVRSTPPEPVKIAAAVETKPMPVQPKKPVQPVVNNGLAPVNISAGPDGGGGGDDGKAKKPTHVKRLSSMGLQVESVLGRKTGNLKDIYSLGRKLGQGQFGTTFLCVEKGTNKEFACKSIAKRKLTTQEDVEDVRREIQIMHHMAGHPNVIQIIGAYEDAVAVHVVMQLCAGGELFDRIIQRGHYTERKAAELARLIVSVVEACHSLGVMHRDLKPENFLFINHEEESPLKTIDFGLSVFFRPGETFTDVVGSPYYVAPEVLRKQYGPECDVWSAGVIIYILLSGVPPFWDETEQGIFEQVLKGELDFISEPWPSISASAKDLVRRMLLRDPKKRLTAHEVLCHPWVQVGGVAPDKPLDSAVLSRLKQFSAMNKLKKIAIRVIAESLSEEEIAGLKEMFRMIDTDNSGQITLEELKIGLERVGSVLKDSEINWLMQAADVDNSGTIDYGEFIAAMIHLNKVQKEDHLFAAFSYFDKDGSGYITKDELQQACVQFGLKDDHLDDIIREVDKDNDGLIDYSEFAAMMQDTGFGKLQIA >OIV91288 pep chromosome:LupAngTanjil_v1.0:LG20:1558937:1562820:-1 gene:TanjilG_01819 transcript:OIV91288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRSSKTIYVGNLPGDIREREVEDLFIKYGHIAHIDLKVPPRPPGYAFVEFEDSQDAEDAIRGRDGYDFDGHRLRVELAHGGRGNSSSRGGDRYNSRSNGRGEGGRGGGISKRSDFRVLVTGLPSSASWQDLKDHMRKAGDVCFSQVFRDGRGTTGIVDYTNYDDMKYAIKKLDDSEFKNAFSRGYVHVREYDSRKDSRSPSRDRSYSRGRSYSRSRSRSYSPGHSRSKSPKGKSSRSKSPKGKSSRSKSPKGKSSRRSPAKSPSRSASRSRSRSRSRSLSGSRSRSRSPLPLRNKSPTRRSPSRSPSKSPKRHSASRSPSKSSKRRSASRSPSASPKRRSASRSPSKGEV >OIV91466 pep chromosome:LupAngTanjil_v1.0:LG20:226564:230814:1 gene:TanjilG_02084 transcript:OIV91466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGHSGLMGRMRDDEYESRSGSDNFDGVSGDDQDAGDDQPKRKRYHRHTPNQIQDLESFFKECPHPDEKQRLDLSKRLGLENKQVKFWFQNRRTQMKTQLERHENIMLRQENEKLRAENSMIKDAMSNPVCNSCGGPALPGQISFEEHQIRIENARLKDELSRIVALTNKFLGKPISSLSSPMALPASNSGLELGIGMNRIGCSSNVGTPLPMGLDLGDGVFSTPPAMPGVRSPMGLLGNDAQLERSMFIDLALAAMAELIKMAQPDSPLWIKSLDGEKEVLNHDEYARLFSPKPAGYATEATKETGIVIINSLALVETFMDVDHAFQMHSEVQLLSPLVPVRQVRFLRFCKQHAEGVWAVVDVSVEIGRDAANAPFMTCRRLPSGCVVQDMPNGYSKVTWVEHWQYDESVVHQLYRPLLNSGIGFGAHRWIATLQRQCECLAILMSSSIASDDHTALSQAGRRSMLKLAQRMTSSFYSGVCASSARKWESLSMGTLGDDMRVMTRKNMDDPGEPPGIVLSAATSVWMPVSRQRLFDFLRNEGLRSEWDILSNGGPMQEMVHIAKGQGHGNCVSLLRAGSVNANDSNMLILQETWMDSSCSVVVYAPVDVQSLNVVMSGGDSEYVALLPSGFAIVPDGQSSGYGVANSSNGTIPKGGGGGGGGGGGGGDDGGGSLLTVGFQILVNNLPTAKLTMESVDTVKNLISCTIHKIKGSLRVA >OIV90704 pep chromosome:LupAngTanjil_v1.0:LG20:6899217:6901151:1 gene:TanjilG_15090 transcript:OIV90704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIDSSSMNVPLLHEQAHHIDEEKELFGEDSSSTTTNTVTFFRTCLNGINALSGVGILSVPYALASGGWLSLILLFVISMVTFYSGILIKRCMDMNCKIRTFPNIGEAAFGKIGRLLVQATMYTELYIVAAEFLILEGDNLHNLFPNTEIKIAGLEVGGKQLFVILACLVIMPTVWLDDLSLLSYISASGVLASVIFIFSVFFTATLEESIGYHGNWTLLNWNGVPTAASLYAFCYCAHPVFPSLYNSMRNKHQFSYVLLVCFVVSTAGYAFIAIIGSNIYYPGESHSQVCFDGDTNYQCFKRLASERTKE >OIV90032 pep chromosome:LupAngTanjil_v1.0:LG20:21906537:21907340:1 gene:TanjilG_23952 transcript:OIV90032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLAQLEALCERLYNSQDSVERAHAESTLKCFSVNADYISQCQYLLDNASSPYALMMASSSMLTLVTEQNLPLQLRIDIRNYLINYLATKGPELETFVLVSLIQLFCRITKFGWLEDDRFRDVVKEAMNFLAQVIWHVL >OIV90756 pep chromosome:LupAngTanjil_v1.0:LG20:6200642:6211793:-1 gene:TanjilG_15489 transcript:OIV90756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKFLKEVVGGSGSGLKDLPYNIAEPYPSAWGSWLHCRGTSKDDGSAVSIFSLSGSSAQDGHLVAGRNGVKRLRTVRHPNILSFLHSAEIETYDAGTPKVTIYIVTEPVMPLSEKIKELGLKGAQRDEYYAWGLHQIAKAVSFLNNDCKLVHGNVCLDSVVVTQTLDWKLHALDVLSEFDGSNDAASGQMLQFAWLVGTQYKPVELAKSDWAAIKKSPPWSLDSWGMGCLIYELFSGTKLAKTEELRNTVSIPKSLLPDYQRLLSSMPSRRLNTSKLIENSEYFQNKLVDTIHFMEILSLKDSVEKDTFFRKLPNLAEQLPRPIVLKKLLPLLASSLEFGSAAAPALTALLKMGSWLSVDEFRAKVLPTIVKLFASNDRSIRVGLLQHIDQYGESLSAQMVDEQIYPHVATGFSDTSAFLRELTLKSMLTLSPKLSQRTISGSLLKHLSKLQVDEEATIRTNTTILLGNIATHLNEGTRKRVLINAFTVRALRDTFPPARGAGIMALCATSSYYDITEVATRILPNVVVLTIDPDSDVRTKAFQAVDQFLHIAKQYYEKTNAADTTGGAGMGISSNPGNASLLGWAMSSLTLKGKPSDHAPVASASSSVLAPTSSSASSAVDTPSSAPVRVSSTTDFAEHPVPVRISSATDFAEHHVPTSPTSTDGWGELENEIDEEHENDKDGWDDLEPLEETKPTPALANIQAAQQRPVSQPVKLASSLQPKSTRMSSKNEDDDLWGAIAAPAPKTAKALNLKPNVTDDNDPWAAIAAPAPTTKAKPLSAGRGRGAKPAAPKLGAQRINRTSSSGM >OIV90735 pep chromosome:LupAngTanjil_v1.0:LG20:6286981:6292752:1 gene:TanjilG_21866 transcript:OIV90735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNNGNETQPPQEEEELHYSVVEESAKVIETGWYILAQNQHQFGPYGFSELQEHFVKGYILKDTFVWSEGRTEWQPLSSIPELWQQITNHHQEPQSSTAAVSGNDVDEFERWQNEIKEAEAQAEGSQVGSFSGNVGEADPERPSTPPEGEEEFTDDDGTKYKWDKNRRVWVPQEDTPGSNVPYGLEEMTFLHEEEVFPKVTDLEASEKFEDSSKLSVSAVPLKEEANNTNMADDKKRKLPDKPPEKKEANKPPDSWFELKINTHIYVTGLPEDVTTDEDPETKRPRVKLYVDKETGKKKGDALVTYLKEPSVALAIQLLDGAPFRPGGKILMSVSMAKFEQKGDTFKAKQVDNKKKRKLKRVEEKMLGWGGRDDAKVSIPTTIVLRYMFTLAEIRADENLCSELEADVKEECMKLGPVDSVKVCENHPQGVVLVKFKDRKDAQQCIEMMNGRWFGGRQIHASVDDGLVNHALVRDLEEDAKRLEQFGAELEGE >OIV90727 pep chromosome:LupAngTanjil_v1.0:LG20:7262695:7265916:-1 gene:TanjilG_15113 transcript:OIV90727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKDPVRVLVTGAAGQIGYALVPMIARGVMLGADQPVILHLLDIPPAAESLDGVKMELVDAAFPLLKGVVATTDVVEACTGVNIAVLVGGFPRKEGMERKDVMSKNVSIYKSQASALEKHAAANCKVLVVANPANTNALILKEFAPSIPEKNISCLTRLDHNRALGQISEKLNIQVSNVKNVIIWGNHSSTQYPDVNHATVTTPAGEKPVRELVADDVWLNSEFITTVQQRGAAIIKARKLSSALSAASAACDHIRDWVLGTPEGTWVSMGVYSNGSYNVPAGLIYSFPVTTQNGEWKIVQGLSIDEFSRKKLDLTAEELSEEKALAYSCLS >OIV91296 pep chromosome:LupAngTanjil_v1.0:LG20:1497735:1498043:-1 gene:TanjilG_01827 transcript:OIV91296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRVRDLASKKAAVIFTKSSCYMCHSITQLFYELGASPAVHELDNDAYGREMEWALRSLGCTPSVPAVFIGGKFVGSAKDVISLHVDGSLKQKLKDARAIWF >OIV90441 pep chromosome:LupAngTanjil_v1.0:LG20:12412203:12414237:1 gene:TanjilG_01919 transcript:OIV90441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRHAIEVTRIEARSERFDMFMHLDINSELYPLKVGQKFALSLVATLNPDGTPDTGYYIQQGNRQSLADNFEYVMYGKLYRITDGSGREKAEINISFGGLLMLLKGDPSHCNKFELDQKLFLLMRKV >OIV90990 pep chromosome:LupAngTanjil_v1.0:LG20:3918551:3918814:1 gene:TanjilG_16950 transcript:OIV90990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEKCNAKTSDASFTMQEDSIQLSSSVKRFENCHFEKALAYRAVYGGSGSRGSTECSGSRKARDNGAKTLPSRLSKVSVAIDYADN >OIV90907 pep chromosome:LupAngTanjil_v1.0:LG20:3158764:3161370:1 gene:TanjilG_16867 transcript:OIV90907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLLAAGVENGNGNIGKCPTSFQCGHLGNITFPFTVTQHPHCGLFVIHNCDTVDPMKPKKIQLENKGKWFCIIRLLHNPSIPATTTLQIRDTNLYDLLESRSCESFSHNYTLPPSFPFGSIHIQYKQTLFKCNRTLRVNPPLDVHNYTNCSDFDLYYKPSLTPSDKSLSSLAACIMIKLPIKDVADAKDPFTFITSNIMTEVKLTDECANCYYVKRGQCHFDSRQKFFCINGILKQIPWKRLGAGIIGIFITGLPLILILYKRKHATSDKRFHSRNSYAGSSTNLHLESSGVYFEVPIFSYKDLKEATNNFDHTKELGDGGFGTVYHGKLQDGREVAIKRLYQHNYRRVEQFMNEVKILARLRHTNLVSLYGCTSKHSLELLLVYEYISNGTIASHLHGELAKPGLLPWCIRMKIAIETATALTYLHASDIIHRDVKTNNILLTKNFCVKVADFGLSRLFPTDVTHVSTAPQGTPGYVDPEYHQCYKLSSKSDVYSFGVVLIELISSKPAVDINRNKEEINLSNLAIKKIQHSAINELVDPCLGFDSDNEVKKSIVSVAELAFQCLQMDKELRPSMDEVLDELRKIESGKDEAEVVEEADTHVAGVSHSNVHTRNSPEWDEVGLLKNMNQPSSPNTVTDIWESKCTTPNFSA >OIV90384 pep chromosome:LupAngTanjil_v1.0:LG20:14272248:14274024:-1 gene:TanjilG_10684 transcript:OIV90384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTIDVPESSNANVKGKGVVVAPARAGGMKKGIAIMDFILRLGAIAASLGATVSMGTSDQILPFFTQFFQFEASYDSFSAFQFFVITMAMVGGYLVLSLPFSIVSIVRPHAAEPRLLLIILDTVFLTLATASAASAASIVYLAHNGDQDTNWLAICNQFGDFCAQTSGAVVSSLVAVVIFVLLIVMSAFALRGH >OIV90161 pep chromosome:LupAngTanjil_v1.0:LG20:20376436:20377491:1 gene:TanjilG_01615 transcript:OIV90161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGVSLSMASVVELFHVLSCERVSALRFFDWLKVSHPEICCNSEIVCLVVNNCGLLGNYEAMVPILNEFNLKGMHLGRKAFDFLLVLCLDKDYSLECLRKMLDVLIVVGGVCQSSGVQLLIEMFSVSGRYDIAQFVIGTAGRKVSYYNMLMRTMCKRGDYERVGDLIKEMKRICCEPNLSTYNLLVSCLCKNGKFGEACQILETMEKGHGVLDASTFDVVINLLCKDGQFDLVLKLLDKMTLKGVEPLISSHAAVIKSYFGSGKYDEAHRYVVDSADKRSYSSNANYSLLASLHLQAGNVLLAQKILYEMMDKGLKPNFPVYMKIKKCLHKINENDLSLELLRKYSRLIGK >OIV90174 pep chromosome:LupAngTanjil_v1.0:LG20:20223091:20226246:1 gene:TanjilG_01628 transcript:OIV90174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVTWYNQIRFMIFVLQLGNHIFCYLLPSVDKQWPQHSALYAKPTRPTRSNACRIRATLDADKSVRIPNQWYNIIADLPVKPPPPLHPKTHEPVKPEDLSPLFCDELIKQEVTNERFIDIPDEVRDVYKLWRPTPLIRAKRLEKLLDTPARIYYKYEGVSPAGSHKPNSAVPQAWYNKQAGIKNVVTETGAGQWGSALAFASSIFGLDCEVWQVRASYDTKPYRRLMMQTWGAKVHPSPSTITEAGRKILREDPSSPGSLGIAISEAVEVAAKNADTKYCLGSVLNHVLLHQSVIGEECIKQMEAIGETPDVIIGCTGGGSNFAGLSFPFLREKLNKKIDPVLRAVEPAACPSLTKGVYTYDYGDTAGLTPLMKMHTLGHNFVPDPIHAGGLRYHGMAPLISHIYELGLMEAIAIPQTECFQGAIQFARSEGLIPAPEPTHAIAATIREALKCRESGEAKVILTAMCGHGHFDLPAYDKYLQGNVVDLSLSYDQVKASLANIPKVKI >OIV90405 pep chromosome:LupAngTanjil_v1.0:LG20:14856422:14857454:1 gene:TanjilG_10705 transcript:OIV90405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLDDQSAIYICKNCQTPIAFRSELLSKKFLGKTGPAFMFSHARNIIAGSKQDRALITGIYTVAGIYCSNCGEELGWKYIQAYEERQKFKEGRFIIERAKIIKEY >OIV91125 pep chromosome:LupAngTanjil_v1.0:LG20:2806263:2812063:1 gene:TanjilG_30347 transcript:OIV91125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMQAEIKKSCKELSSLEIFIDDLKDIDCAPLLDVCMNLDTSEIEAVDVRNETACALNGEYALSLMRAINQKLRVVDLQDLSFGKDFLRDISQSGLACQVLILRSSHFRKLNLTGKFMQMHTLDLDFSSSLTSFQEDCFNCMPNLMRLSMCETRITNLWTTVAALSKLPSLVELRFQYWQCCNDAGTSFISPSRKSDSSVDLGLLNRVPFTGESFTDSRVLTDPNFSAEDPLINLYSFNEEVINHDVQSMDEDSSDDSEVDFTSHHHRFWLSDVFPGWNFEVPIQNKNEDGEDSSQDAFTAHTTDVSMKYMSCHASPICYEKHYREFMIASLSNLKVLDNLTIRNSDRERASGIYSQYFQYLPYGWKQKESVVNILRKREIKSGHIKVRTSKCRPLNPSGESKYFYTRSLSAAKMGSSTWPFLHPLSLSGCELDRGFRPRQFEYHPSDSSLMVLGTLDGEVIVINHENEHIVSYIPSLGAMNSVLGLCWLKKYPSKLIAGSDNGSLKLYDIHHMPRKNTGTHWNSGCVTFDEFDQLTSVHVNSTDELFLASGYSRNVALYDINNGKRLQVFTDMHSGHINVVKFANHSPSIFATSSFDQDVKMWDLRQKPIYPCFTTSSSKGNVMVCFSPDDRYILASAVDNEVRQISAADGRLHLVFDIAPTGSSQNYTRSYYMNGRDYIISGSCDEHVVRICCAQTGRRLRDVSLEGKSLGSSMFVQSLRGDPFRDFNMSVLAAYMRPGSKSEIVKINLLASSGYANDDPDEECHCPSHSVGA >OIV90290 pep chromosome:LupAngTanjil_v1.0:LG20:17336969:17350555:-1 gene:TanjilG_13145 transcript:OIV90290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMELTHSDSHPAFEIDLNEIPSSSTSLHETIQIVRAYHQNPVLPPEPAAVIPRGLTVCAACGKNGCSGVHAIVCDGCERGFHVSCVGVRGGCRIVEWLCDECVAGGVKSKLWPLGVKSKKLLDINASPPSDVDCEELQDLRKHTVGDNSFGANPFGAPVAYSNLHNGSALGFQKASGVVTHAVRVGFEDIINHTQSFSRSFEEGLRDFISERRGVLEDGWGVEFRQSVITSEVYAVYRAPDGKVFDSLYEVACYLGLTSGYNSMEPEIRNERSGGPQPSRKRKSTKTAVANAYVEKWGTLISSYCKDPPSDGLNVDCAAIGGYIQQATEAEIRRKDDGHSCPEQFSDGLPLQFKDFFVLSLGKVDGRPSYHDVNLIYPVGYKSSWHDKITGSIFTCEVLEGGESGPIFRVGRCSCSKYPVLVGSTILSMSSLCQKFVSQTNEGERKTNDEMDYDGDGSIQMILSDLCVPTEIDVTSCIESCLNEACDTCTSDIHQPVASPVQDKTRNLLADDSGPLDGIGEVLVEERSSSSAWGVMSQKLVDTCKDICKQKGTLKFYCKHVENETCLHEWDTVNGNSHSHFSSLDKFCASVCSVSIPDVIHAANDLDSLSEVLVKWLGHDRFGLDVEFVQEVLEQLPGVQDSCHYELLNSRINHSSFPTVENGFVVVERRGGSHYQEEEVHGLYRSSKKVRLTEKCVKEDRCPPPPGKPLCSRVPNEHVGDIFQAWELIERFHEILDLKEPLSLDELEKELINPWFDGLDFLQKSERETNDSQVLNLAGDDGCCRSPEVGPSGSIESSHAFIQVETEAMKEAAQVKLASFTYARCFGVALTKVHNSMLRVLIGELQSKVAALVDPSFEPEETRSRRGRRKDFDSAAPAKRTKLNMLPNNELTWPELARRFILAVLAMDGNLDSAETTARESGKVFRCLRGDGGLLCGSLSGVAGMEADAQLFAEAEKKIFGSLSKDNNVLTIEDEEPDVKDASENLLGGEGSIPEWAKELEPARKLPTNVGTRIRRCVYNALEKNPPEWAKKILEHSISKEVYKGNASGPTKKAVLSVLTTVSGGGLPEKPPKKGKKTKTEISISDIVMKQCRIVLRRAAATDDSKVFCNLLGRKLINCSDNDDEGFLGSPAMVARPLDFRTIDLRLAAGAYGGSHEAFVEDVRELWNNVRVAFGDEPDLVELAENLSQNFESLYNEERTFLLKFLCDELLNSSLVRQHLEHCAELSVELQQKLRAFSVEWKGLKAKEDILSTKAAKIDIGSLNSSGEVVHKEGFTATLVSVANSDTDSQDMNSVDVEEQHRNISVAVESQCTHKSTNSSPSSNHMAREMNSAGGADNLIQCNLQKCEGRDISTLATSKQGQGVPVDAPPRIAVYEAEPYHVELNATKRQISTLQDSITSAQSQLLKLPVRLDFLGTDNIGRLYWSSAMPRGRSSIVVDASDVLHGRGMVVNRDSLGKFSLVQHSALSGKDSSPLISPPSNALGFSSLWIAYETDAEVEELLCWLKDNETKEKELKDSITQWSKSRFHELISAQTDGRVEYQGPFSIPGNREKIVSNSLVMKATLFLEKKYGPFFEWDTIEVLMKRSKKARNTNDEKMYRCECLEPIWPSRKHCMSCHKTFLNNAELEGHNDGKCNAGLRALEKNKDNSGSSKGRGNLKCGTSSKKFRGEADTAGTSTGGGSTSKLSSRLIPFSNEGSPCPFNFEDICSKFVTNDFNKELVREIGLIGSDGNPSFIPSVSPFVSDSTVMLFSAQKDDENVDGASKASESQVSQGGTGGAAISVGRLATNESMKVGKSDTSLVEQRDGKSSFPTHASNMRVVGCCLVPSSSLRPLVGKVSHILRLLKISLLDIDAALPKVALKSSKAELNRRQTWCAFVKSANTIYEMVQATITFEDMIKSEYLRNDWWYWSSFSAAVKSSTLSSLALRLYSLDSAIVYEKLPSSSITDSPEPSSIAEPKQQLIIVEADKSKSCRKSNRKRKESDG >OIV90505 pep chromosome:LupAngTanjil_v1.0:LG20:10168679:10168900:-1 gene:TanjilG_32382 transcript:OIV90505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYTYEMTHKPHSPTPPSKNQLVTKPSLAGIEIWIKEDGSLTGPPEKMKSAGTDPRERSIHPQWYQTMKHVPE >OIV91406 pep chromosome:LupAngTanjil_v1.0:LG20:636755:639208:-1 gene:TanjilG_02024 transcript:OIV91406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKITVLQYLGMLFLNVLITCQALRHHKFVVRDAPYTKLCSTKNILTVNGQFPGPTLYVTKGETIIVDVYNRANYNITIHWHGVNQPRYPWSDGPEYITQCPIRPGGKFSQKVIFSEEEGTLWWHAHSDWSRATVHGMIVVKPTSGTTYPFPKPHKEVPIILGEWWKKDIVEVYNEFRRTGGDANISDAYTINGQPGDLYPCSSNETFKLRVDYGKTYLLRMVNAAVQDMLFFAISKHQVTIVGSDGNYVKPLKVDYITISPGQTMDVLLEANQPLGQYYMASKVYSSANGVEFDNTTTTAIIQYKGNYIPSSTTLSLPSLPASDDTMASINLISQLKSLNDNQHQIDVPLNITTNLFFTTSVNTLPCSNDSTCALPGNNRLSASMNNISFQLPSNNNILQAYYNNISGVFGENFPNVPPLLFDFTGNNLPAYLATPSVATEVNVLEYNSTVELVLQSTNILAGTEHPIHLHGHSFYVVGWGFGNFDKDKDPLNYNLIDPPYQNTVAIPKNGWTAIRFRAKNPGVWFVHCHLERHVSWGMAMTFIVKNGDKTEEKMLPPPPDMPQC >OIV91338 pep chromosome:LupAngTanjil_v1.0:LG20:1187881:1189395:1 gene:TanjilG_01956 transcript:OIV91338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKNYHIDGNKEMIAIGTMNIVGSFTSCYLTTGPFSRSAVNYNAGCKTAASNIVMSIAVMVTLLCLTPLFHYTPLVVLSAIIVSAMLGLIDYEAAIHLWKIDKFDFVICISAYIGVVFGSVEIGLIVAVALSLLRILLFIARPRTFVLGNIPNSVIYRNVEQYPNANHVPGILILKIDAPIYFANASYLRERWIDEEEDRIKATGETSLQYVIMDMTAVGNIDTSGISMLEEVKKIADRRELQLVLVNPGSEVMKKLNKSKFQMDLGQKWFYLTVAEAVGACNYMLHACKPNSVKDEQEGWNNV >OIV91291 pep chromosome:LupAngTanjil_v1.0:LG20:1536676:1547505:1 gene:TanjilG_01822 transcript:OIV91291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLITFATSSSSKRTLSSPSPSTAKRSKVSDDASPSTLHAPGTVARGKESETGNDSRESELRSADLADTASLKPVDDCDEDKPPSEPVEVEDLVSPQCPGETALDADKSKAAGTAAGRSKKRVTKSTKPVPKAAWGNLISQCSQNPHLSMFDPIFTVGQGRQCNLWLKDPSVGNVLCKLSHIERGGSSVALLEITGGKGSVQINGKTHRKNVRQILSGGDEVVFGSSGKHAYIFQPLMNNITTTGVPSSVSTFEAKGAPIDGIKSEPRSGDSSAVSGACILATLSDLHEDLSLLSPAAKTGKNVQQNTDISSPSGHGDDIPDNEMKAITNSDGPPGGVSAEKTVLAPSNPVNENPSLDTMDVDADAGKITASSELRSLLRMLSGSCPELDLSSSINKILKERWELRELLKDVDTPTILASTKHQAFKDSLQQRILTADNIDVSFENFPYYLSDTTKNVLTASTYIHLKCNGFGKHASDLPSVSPRILLSGPAGTEIYQETLCKALAKHFGAKILIVDSLSIPGAVPSKEVDSAKESSRPERPSVFAKRSTQASTLPKKKPASSVDAEIMGGSTLSSQATLKQEVSTASSKGNTLKTGDRVKFVGNIPSAVSSLQSYPSRGPSYGSRGKVLLAFEDNESSKIGVRFDKSIQDGNDLGGLCEDDHGFFCSANHLLQVDGTTGDDIDKLAINDFFEVICNQSKSGALVLFIKDIEKSMVGNSEILKSKFENMPQNVVVIGSHTQLDNRKEKTQPGGLLFTKFGSNQTALLDLAFPDNFSRLHDRSKETPKVMKQLNRLFPNRVTIQLPQDEALLSDWKQQLERDIETMKAQSNVISIRSVLNKIGLNCSDIESLCIKDQTLTTESVEKIIGWAISYHFMQSSESSNKDSKLVISAEGIKYGLNILQGIQNENKSSKKSLKDVVTENEFEKKLLGDVIPPTDIGVTFDDIGALENVKDTLKELVMLPLQRPELFCKGQLTKPCKGILLFGPPGTGKTMLAKAVATEAGANFINISMSSITSKWFGEGEKYVKAVFSLASKIAPSVIFVDEVDSMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKDKERVLVLAATNRPFDLDEAVIRRLPRRLMVNLPDAPNREKIMRVILAKEDLALDVDLEAIANMTDGYSGSDLKNLCVTAAHCPIREILEKEKKERNSALAENKPLPVLCSSADIRPLKMEDFRYAHEQVCASVSTESSNMNELLQWNDLYGEGGSRKMRSLSYFM >OIV90899 pep chromosome:LupAngTanjil_v1.0:LG20:4848823:4854018:1 gene:TanjilG_15632 transcript:OIV90899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAITATATFSFIQFRQFSQPLSSSSSYGIRHLLPSSRLLNKLNYSCASHRFSYFSSRCSITNTDIHLDHVTTNDADGASSAVEPECLVPIVKLNSDTLETVSSNLLNEATFVDTLLTTLPVLSVEEQHALAATPAHPAGLHAFYASCIAANMVEQLWNFAWPSAIALIHPSLLPVAVIGFFTKVAIIIGAPLIGKVMDHAPRLYFQATSQFLSAAMIIHAYSVPPTSVSNLLLRPWFAILVSAGSIERLCGVALGVANERDWVVLLAGVNRPVALAQANAFLNRIDLLSEIVGASLFGVLLSKFNPVTCLKVAAGLILGLLPVTILFIWLANKLSTGVLDRPMVPQTCCTTFSEHSAPDAGSIVVKGLEAIMLGWKEYLGQPVLHASLAWVLLCFNVVLTPGSLMTTFLTQRGLSPSIIGGFNGMCAFMGVAATFVSSTLVKQFGILKAGAVGLVFQALLLSMAVAVYWSGSISRQSPLLVFLSMIILSRLGHMSYDIVGAQIIQTGIPSSKANLIGTTEVAVASLAECIMLGVAIIANDPSHFGGLAMLSLISVVVAAWMFCRWLLNPTDEQKVLFSYDPRF >OIV90335 pep chromosome:LupAngTanjil_v1.0:LG20:16799296:16801610:-1 gene:TanjilG_14733 transcript:OIV90335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAVVELLGKRRGQMFDMEGVGSEGTTLLKYKIPTRGLLGLRNAILTASRGTAILNTLFDRYGPWAGDMSTRDQGSLVAFEGGTSTSYAISSSQDRGQMFIGPGVDVYKGQIIGIHQRPGDLALNVCKKKAATNIRSNKEQSVILDTPLDYSLDDCIEYIQEDELVEVTPQSIRMCKNPKFTKKTR >OIV90056 pep chromosome:LupAngTanjil_v1.0:LG20:21534840:21536153:1 gene:TanjilG_21188 transcript:OIV90056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGQESDKNIEIWKIKKLIKALESARGNGTSMISLIMPPRDQVSRVTKMLGDEFGTASNIKSRVNRQSVLGAITSAQQRLKLYNKVPPNGLVLYTGTIVTDDGKEKKVTIDFEPFKPINASLYLCDNKFHTEALNELLESDDKFGFIIMDGNGTLFGTLSGNTREVLHKFTVDLPKKHGRGGQSALRFARLRMEKRHNYVRKTAELATQFYINPATSQPNVSGLILAGSADFKTELSQSDMFDPRLQAKILNVVDVSYGGENGFNQAIELSAEILSNVKFIQEKRLIGKYFEEISQDTGKYVFGIDDTLKALDMGAVETLIVWENLDISRYVLKNGTTAEIVVKHLNKEQETDQSNFRDSATLAELEVQEKLPLLEWFANEYKRFGCSLEFVTNKSQEGSQFCRGFGGIGGILRYQLDIRSFDELSDDGEVYEDSD >OIV90263 pep chromosome:LupAngTanjil_v1.0:LG20:18504756:18506557:-1 gene:TanjilG_11991 transcript:OIV90263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCDDSINNPLLYLDPLCSALNMLADVASRLHYQDTTSSIHSLRIRFNKKTMKIVKEGANDGFDEVSNSKKPIKFMASSFAASSSLKFHRHLKKTITNNADEVLIKDDNLPSTSKNPINFMCSAIEEGATSNAELNYNVDDEDLLIKEKKKRALKGKSAAIINDAENGDVLDQDWVPFQPPQSASSSSKKRQRFNVVVEAAPSELPQEFIDKINEMGGNETTLLITKSLFDSDLNEQQNRLSIPSKQIENVNFLREGELEDLEGGKCIERHHDSGSSGGKGRRGLFLRSWLNFGWKRMLRMEEDVEDFGLR >OIV90557 pep chromosome:LupAngTanjil_v1.0:LG20:9651672:9655983:-1 gene:TanjilG_10651 transcript:OIV90557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHSTTTLNVVVLCPLLLIIFFLSPSSTTATIFPSIQAKNLFSDLNLFPRQDVNIVHDHDSIVSANVNNTKKIVERNLRFPNLVDPDGVSVEDLGHRAGYYSIQHSHAAKMFYFFFKSRNSEKDPVVIWLTGGPGCSSELAVFYENGPFKIANNLSLVWNDYGWDKVSNLLYVDQPTGTGFSYSTDSRDVRHDEAGVSNDLYDFLQAFFAEHPEYATNDFFITGESYAGHYIPAFAARVHRGNKAKEGIHINLKGFAIGNGLTDPGVQYKAYPDYALNMGIITQADSDRINKLMVPACELAVKLCGSGGKIACTASYFVCNTIFSSILSRAGDTNYYDIRKKCEGSLCYDFSNMEKFLNQKSVREALGVGDIDFVSCSSTVYQAMLVDWMQNLEVGIPALLEDGINLLIYAGEYDLICNWLGNSRWVHAMEWSGQKEFTASSEVPFIVDDSEAGLLKNYGPLSFLKVHDAGHMVPMDQPKASLEMLKRWTQGTLSESGANAEILVAEM >OIV90258 pep chromosome:LupAngTanjil_v1.0:LG20:18562566:18564003:1 gene:TanjilG_11986 transcript:OIV90258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRPPCCDKEGVKKGPWTPEEDIILVSYIQEHGPGNWRAVPTNTGLSRCSKSCRLRWTNYLRPGIKRGNFTEQEEKMIIHLQALLGNRWAAIASYLPQRTDNDIKNYWNTYLKKRLKKLESGTEGGSLGNMYNSSSKPIPRGQWERRLQTDINMAKKALNEAISPNKMVSLPEPNDSNLSNDIGSIKQATPNSISYASSADNIARLLKGWMKNPPNKVSSKSNSIMSSQNSFNNLAGFDTASSEGTPSNRANGSTNNVELSETFESLFGYGSMDYSSNSEFSPSLSPEASTFFQDESRYGTTSIAEIPFSLLEKWLLDDSKSSSDATLF >OIV90975 pep chromosome:LupAngTanjil_v1.0:LG20:3768463:3768954:1 gene:TanjilG_16935 transcript:OIV90975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLHQTSAQELRGKEVVDNICQQTPDKDLCLQVLSSDPTSAFGNLHDLALISLRVAAANATGILSEVKMLIDDDNLDPAVQQGLADCKENLLDAEGQLEDTVAAILTNAKHDAQIWLKAALAAIDTCDASIPGNDDILSVKSVEFRKLCNIAVALSKASLAKN >OIV91191 pep chromosome:LupAngTanjil_v1.0:LG20:2271012:2272985:1 gene:TanjilG_30413 transcript:OIV91191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYKVVGLVLGILVWVGWVLVMKHRHHKVKKHGNLPPGPRWWPVVGNMFQLGWSPHECFTKLALRHGPIMTLWLGSMCTVVVSSSEVACEMFKTNDVVLAGRKIYESMKGNHGHEGSLITSQYGPHWRMLRRLCTTEFFVTSRLDAMIGVRGKCINRMVMLIEEAGECGTCGVDLRRFFFLMSFNLIGNLIFSKDLLDTEMERGARFYYHTVKVMEYAGKPNAADFLPILRWFDPQGIRRNTQFHVERAFEIAGCFIKERMENGGNNEKENKDFLEVLLEFHGDGASGPYSFSSRTINVVVFEMFTAGTDTTTSTLEWAMAELLHNPRTLKKVQIELRSKIDPGRNLEERDIENLPYLNAVIKETLRLHPPLPFLVPHMAMDSCKMLDYHIPKEAQILVNVWAIGRDPKAWDAPQLFWPERFLEPNMVDYKGHHFEFIPFGSGRRMCPAMPLASRVLPMALGSILHSFDWVLSDGLKPEEMNMSEGMGITLRKAVPLKAIPVPYKEFNIHVPEG >OIV90654 pep chromosome:LupAngTanjil_v1.0:LG20:8041000:8043021:-1 gene:TanjilG_01735 transcript:OIV90654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDFPGTPGTFLGLVLRMSQFIFAAGSIASIATTHSFFIFSAFCNLIASMGLQVIWSFVLASLDAYALVRKRVLHNPVLVSLFVVGDWVTATLSLAAASSASGVIVLYFHDLGHCHFGEECQKYQISVALAFLSWIPNVISWLIMLWLLAAG >OIV90881 pep chromosome:LupAngTanjil_v1.0:LG20:4988457:4990643:1 gene:TanjilG_15614 transcript:OIV90881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNETLVPLATLIGRDIRNLKIEKPFVKYGQAGLAKKGEDYFLIKTDCQRIPGDPSTQFSVFAIFDGHNGMSAAVFAKENILRNVMSAIPQDISRDEWLQALPRALVVGFVKTDIEFQQKGETSGTTATFVLIDGWTVTVASVGDSRCILDTQEGVVSLLTVDHRLEENVEERERVTASGGEVGRLNVYGANAVGPLRCWPGGLCLSRSIGDMDVGEYIVPIPHVKQVKLPNAGGRLIIASDGIWDALSSDMAAKSCRGLPAELAAKLVVKEALRSRGLKDDTTCLVVDIIPSDCPVLPTITRKKDNLLACLLFRKKSQDSSNKASKKLSAVGVVELFEEGSAMLTERLGKESPFNLNSGIFRCVVCQVDQPPGDGLAVNSGTVFPSASESREGPFLCTNCQKKKEAMEGKRSRIPIVDSIIIY >OIV90343 pep chromosome:LupAngTanjil_v1.0:LG20:16985943:16992861:-1 gene:TanjilG_14741 transcript:OIV90343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHVLEKRFPLHAKDYELYEEVGEGVSASVYRALCIPLNVIVAIKVLDLEKCNNDLDGIRREVHTMSLIGHPNLLQAHCSFTAGHNLWVVMPYMAGGSCLHIIKTSYPEGFDEPVIATLLHEVLKALVYLHAHGHIHRDIKRLSIGETLRFLFSDQDVTSSNPGNSLSACGAGNILLDSNGSVKVADFGVSASMFDTGDRQRSRNTFVGTPCWMAPEVMQQLHGYDFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDKRFSKSFKELVATCLVKDPKKRPSSEKLLKHHFFKQARPTDYLARTILDGLAPLGDRFRMLKAKEADLLMQNKALYEDKEQLSQKEYIRGISAWNFNLEDLKSQAALIQDDDIPNAEELQMDKKQNNRSDDFEVPAEGLSAVEPNHSDAALPLDKEDGFNNLQDLESSLASFPIKPLQALKGCFDTCEDDVNNTSPRDDNEISGLSSSLPQNVINQNKKFLSGSLLPDNFLSPKKVVTDGDRDYLQTKYSSQRNHSGPLLLRQKRDTNNLPSVDDTSEGAIVQRKGRFKVTSADPMGPSNCNFGPAVGGPSSPPNHRSMAASVLPPLQCILQNNTLQREEIIKLIKYAEQSCAKNTELTEAGAVDLSQSKFHTHLILNSYVSIGSLVEELQKQRMKNVQLEKQLSSMGNKFEK >OIV90219 pep chromosome:LupAngTanjil_v1.0:LG20:19594365:19596351:-1 gene:TanjilG_01415 transcript:OIV90219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPHVLVVPYPVQGHVIPLMELSLSLVKQGIKVTFVNTEHNHKSIMSAFPSTNHDDHLISQICLVCIPDGLESQEDRKRPGKSSEAVLKFMPKKVEELIESINSGSECENITCVLADQSIGWALDIAEKNGISRAAFCPAAAAMLVLGLSIPNLIENGIIDKDGNFWPQDVTCLKWLEEQSPRSVIYVAFGSFTTFNTTQFQELCLGLELCNRPFLWVVQPEIKEGTKHAYPDGFEERVCDRGRMVGWTPQQKILSHFSIACFISHCGWNSTMESVSNGIPILCWPYFADQFLNKSYICDVWKIGLGLEHDGSGIITQGEIRSKIEQLLNDKHLKANAASLKENIQNSTREGGLSNKNLDDFIRWIKS >OIV91378 pep chromosome:LupAngTanjil_v1.0:LG20:844619:846165:1 gene:TanjilG_01996 transcript:OIV91378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRFSNTLIGLLNLFTLLASIPIIGAGLWMAKNSTTCESFLQTPLLVIGFVVLVISLAGFIGACFHVACALWVYLVVMLLLIASLIGLTIFGYGVTSKGGGVEVPGRVYKEYHIEDYSLWWRKRIEDPGYWNTIKRCILGSNTCAKVASWTPLDYMQRDMSPIQSGCCKPPTACTYNMDTVVNYQDPDCYKWSNDPTLLCYECDSCKAGVLENIRRNWHKLSLFTVFMLVFLILIYSIGCCAFRNTRAERDYPYGQNRISKIRPRWDYYCSGMVFPTGGDGCMAGKNNFIR >OIV90487 pep chromosome:LupAngTanjil_v1.0:LG20:11625176:11625827:-1 gene:TanjilG_18671 transcript:OIV90487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAARKLKSHRRRQRWADKSYKKSHLGNEWKKPFAGSSHAKGIVLEKIGIEAKQPNSAIRKCARVQLIKNGKKIAAFVPNDGCLNYIEENDEVLIAGFGRKGHAVGDIPGVRFKVVKVSGVSLLALFKEKKEKPRS >OIV91404 pep chromosome:LupAngTanjil_v1.0:LG20:662563:665135:-1 gene:TanjilG_02022 transcript:OIV91404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLIMKNNINNNNNVTSTCLFSVPTLFLLFTLLSILSITFFFPFSISNPSFSLPSSSSSFINVYVTDLPRSLNYDLLHRYWSFNSDSRLGSDADLEIRSTHISKTLEFPPYPQNPLIKQYSAEYWIMGDLMTPHEMRTGSIAKRVFDARDADVVFVPFFATLSAELQLAMAKGVFRKKVGNDDYLRQREVMDFVTNTEAWKRSGGRDHVFVLTDPVAMWHVKDEIAPAILLVVDFGGWYRQDSKSSNCTSSEMIQHTQVSVLKDVIIPYTHLLPRLHLSENQERRSLLYFKGAKHRHRGGLVREKLWDLLINKPGVIMEEGFPNATGREQSIRGMRTSEFCLHPAGDTPTSCRLFDAIQSLCIPVIVSDNIELPFEGMVDYSEFSVFVAVGDALKPDWLVDHLQKISKEQKDEFRRSMARVQPIFVYDNGHPGGIGPVPLDGAVNHIWKKVHDKLPLIKEAIIREKRKPTGVLAPRRCHCT >OIV91315 pep chromosome:LupAngTanjil_v1.0:LG20:1372895:1377834:1 gene:TanjilG_01846 transcript:OIV91315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTASSPSDSLPSGHVAPTTLPPMLVSDSFAKDAILAWFRGEFAAANAIIDALCSHLSHLGDSSDYDPVFAAIHRRRINWIPVLQMQKYHSIADVALELRKVSENISLTPPERTEVEENGDREENKVVESSGCDEKVTESVENGSDHNEAETEQDEYDSPKSEITDSGDYVGQVNVVKGLKLYEDIFTDSELYKLTEFVNELHTAGQNGELSGETFILFNKQMKGNKRELIQLGVPIFGQIKEDTKTNIEPIPALLERVIDHLIQWQLVPQYKRPNGCIINFFEEGEFSQPFLKPPHLDQPLSTLLLSESTMAFGRILTSENDGNYKGQLMLSLKKGSLVVMRGNSADMARHVMCPSPNRRVSITFFRVRPDSNHSQTPTPAMTSAMTLWQPAIASPYTMPNGAVSGYEGMGMMPKWGMFRGPMMMITPMRPMELNPRKVDGSGTGVFLPWNAPPRKPERHLPPRAQKGRFLPLPPPVEPHTGQSTSEPSISVEG >OIV91026 pep chromosome:LupAngTanjil_v1.0:LG20:4226289:4227508:-1 gene:TanjilG_16986 transcript:OIV91026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTIYMHVTFSTLVLILSVSSSASASLKVDFYKTSCPSAETIVRRAVNKAVSLNPGMAGGLIRMHFHDCFVRGCDGSVLLESTPGNPAERDHPANNPSLRGFEVIEEAKAQIEAACPNTVSCADIVAFAARDSASKVGGINYNVPSGRRDSRISIQDDVVGNLPPPTFNADQLISTFAQKGLSLDEMVTLSGAHSIGASHCSSFSNRLYSFNATHPQDPSMDPKFVTLLKTKCPSKSSNGDNTDPTVVLDASTPNRLDNKYYKEVKNHRGLLTSDQTLLSSGLTRQKVLNNAKYGSSWSKKFAKAMVRMGSIQVLTGSEGEIRKHCKVVNSV >OIV90693 pep chromosome:LupAngTanjil_v1.0:LG20:6678325:6679113:-1 gene:TanjilG_15079 transcript:OIV90693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMKPIEDIVVTKPSTAATSLERKPRPQKEEALNCPRCHSTNTKFCYYNNYSLTQPRYFCKTCRRYWTEGGTLRNIPVGGLSRKNKRSSSNSSCSSTPPIKKIPDLLTPQNPKVHDGQDLNLSFRNITELVQQNSSNVSASASTTTTTNLSALELLTGITSGSRGVMSSFMSMHVPGDPNSVYTCGFPLQDFKSSLSFSLDGIGNPHGSVHETSGRLLFPFEDLKQVASTSTTIMDHNNNEQQHGDSSNGGYSTGIFGGGSW >OIV90702 pep chromosome:LupAngTanjil_v1.0:LG20:6851247:6856490:-1 gene:TanjilG_15088 transcript:OIV90702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRRVTADFYDFLSFPSPSLVTTENFAGEPFRTNVRSFLTKHALLPPPSALFPHLMTWQILFRIGDLTDGFPESVPAVVCLDVVEEDVARSRSVYCDQCRVFGWSGHPVCGKRYHFIIKADGSSIGGYHKPCMCCGDILHLSESRCKSCNHVTTTDDVEDWVYHQLENTTHLLHGVVHANGYGHLLRVNGREGGSRFLSGCHIMDFWDRLCKTLGVRKVSVMDVSKKYGLDYRLLHAIMKGHPWYGDWGYEFGSGSYCLTQEAYKNAVENLSNLPLSIFLSNGQNLHSRLQDMLSYYQSLSEHKLVNMRDLFCFLMYLIKDVHKTVPKVDDINCKKRRFNASGISSSWEKNDIECVKEAMLRVLRAVSGSNWVSWRAVRGAVCKVASPELLDYCLGELEGKVVCGGMVVNTRCNPQTGTLECRLEAANDSCYGIIANNNSSGLKFPSEENLLQCLKYLYESMLHPQMMLKYVTEETRIPALTSAQKLLDCKQFVKAYSPEMFPVSDFYKIRIPCQVELADESEDCAGRTPPELIVLPMNATLYDLKVEATNAFQDVYLMFRRFQVDELLGYRGVDDSTQVMLLLGSKETVCMRGRFIGKNGLNKFRMERGLERWTLDCSCGAKDDDGERMLACDICEVWRHTRCSDIHDTDPVPACFVCPKCLNFDSKTKSTGHCKDETAANVSTGSSCFGNGLSVPPDVR >OIV90470 pep chromosome:LupAngTanjil_v1.0:LG20:11220435:11221265:1 gene:TanjilG_18654 transcript:OIV90470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEETTAAIMDALSTLTPSHLSNLTNTIFSATHHHHRRLSFLLSSPTLFSLTLHHLNTLSLPQKTLLIARHLLSSLHHLTQHLTPSPPPPLSAAIRQRGLDAVLLLLLFCDTNRHNPEALDAPYSEWRVNMCKYYSHTLLKLSCSSVTPIAACVGTILIPYIEMVARCWRTVEALGCGGGGKEVAAAASTVVSLPAVEVVVGGKECVICKEEMRVGRDVCELPCQHLFHWMCILPWLRKRNTCPCCRFRLPSDDVFGEIQRLWEVLVKMGSKEYVGM >OIV90231 pep chromosome:LupAngTanjil_v1.0:LG20:19476821:19479777:-1 gene:TanjilG_01427 transcript:OIV90231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVDNLRGFINLNIGNWKGSFYQFDSRGNLLQQLTTKLAASSYGEDELMSLIQTLYIKQPSSSTSVSGDDNEAEWEEYKIKETNMFTVDKYQQIGFFPSERAFALRYQTAGMLETVLRQGVLGEDDTGEESPKNLKLPSRRPSVVCENCLYSLQKDMRARAFHILEPKGTVDMLIIFLEQRSDASHPLLDSSGDTKNRTAPFLGKWKGHSITKRSGVYGSTIAEADTVVIHEMDDNGQLIQDVTSTSIEGNVTTNVHWTGAISNNLVTFDGGYQLILLPGGMYMGCPCDIAKSVAELKSFHLEFCWLETPNKRQRLVRTYDIEGLAVSSTYFFETKL >OIV90653 pep chromosome:LupAngTanjil_v1.0:LG20:8058778:8060870:1 gene:TanjilG_01734 transcript:OIV90653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFFSFLGRVLFASLFILSAWQLFNEFDGTGGPIAKELNPKLSVVRKNLSSKLGVGIPNINVRQFIAIIIFLKGVGGVLFVFGSRFGSVLLLLHLAITTPLLYDFYNYRPNSLKYNQLLNDFIQNVAFSGALLFFIGMKNSIPQRRLRKKTPKSKTN >OIV90230 pep chromosome:LupAngTanjil_v1.0:LG20:19484261:19485762:-1 gene:TanjilG_01426 transcript:OIV90230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLRVDNKMMVMVMVIIGLLALYPLMVMADPIRHKVGGNKGWSPNVNYTEWSSHEHFLVGDWLWFIFDKHYYNILEVNKTSYENCIDNGFIKNLTRGGRDVVELKEARTYYYISSGGYCFHQMKVAVNVEEHQAPITASPPPIMNNSGSILPYVYTCTLIIVANVLFMILVSIGAL >OIV91061 pep chromosome:LupAngTanjil_v1.0:LG20:4529943:4532785:-1 gene:TanjilG_17021 transcript:OIV91061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKENKFETYFGRKIAIDASMSIYQFLIIVGRSGTKMLTNEAGEVTSHLHGMLLRTIRLLEAGIKPVYVFDGKPPDLKKQELEKRYSKRAEATEGLAEAIKADNKEDIEKFSKRTVKVTKQHNDDCKRLLRLMGLPVVEAPSEAEAQCAALCKAGKASSYLLCAYMDSLTFGAPKFLRHLMDPSSKKVPVMEFEIEKILEGLNMTMDQFIDFCILSGCDYCESIRGIGGITALKLIRQHGSIENILDNINKERYQIPDDWLYQEARHLFKEPTVSSEEEELNLKWSGPDEEGLITFLVNENGFNNDRVTKAIEKIKAAKNKSSEGR >OIV91469 pep chromosome:LupAngTanjil_v1.0:LG20:209223:209972:-1 gene:TanjilG_02087 transcript:OIV91469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYTLSSDGTTMIQSPEPAVSLKEERTDTDQSLGDSIPVTLAMSTPARKPSKDRHTKVEGRGRRIRMPATCAARIFQLTRELGHKSDGETIRWLLEHAEPAIVEATGSGTIPAIAVSVGGSLKIPTSSDGEESGRKRRRASNSEFIEVNERGSISSGLAPIAQTCYGIPQSGLVPLWPVSMPQYWVIHASATPFFNLQMQHFLGSTSTMVPSFSSCTSSAAISNPPTQKELSFMPPSHANSEPSSTSNP >OIV91202 pep chromosome:LupAngTanjil_v1.0:LG20:2198826:2199095:1 gene:TanjilG_30424 transcript:OIV91202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKKREIGHLINIHEAILSEIVLRGPHEEDKERIWGRYNGLNCPRVSIYGGHPILREDKGALEAGKLIRLPDSFEELKTIAGNMSNFPQ >OIV90203 pep chromosome:LupAngTanjil_v1.0:LG20:19749696:19751851:1 gene:TanjilG_01399 transcript:OIV90203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLLEVPFLKSCIFDDFEDYVNPNSSVYRNCVCLSCFIQNLLAVYAAIFRRGEVHSVPSSLQGAASMSSTASLDNSLSDMYRSPPRPLPYDAEPRYFRSRREKGSSHLNEESEPLRSDVDIDPESLSSGDKWNECAGEDGSKEYRSKSPLKLSSAKYTTGVGVVYASSEEEDVCPTCLEEYTKENPKIMTKCSHHFHLGCIYEWMERSDNCPVCGKVLQSIFFVFSSVK >OIV90788 pep chromosome:LupAngTanjil_v1.0:LG20:5881536:5894916:1 gene:TanjilG_15521 transcript:OIV90788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGLKTQRYLGLMDRYQKSMEKMLKLKLPMEKRYMLGNRLPANKRKHSQSVASELQEIMERVVANLSKILPKDMEAPPGGVDDMTKLSYLHEPGVLQNLKIRYELNEIYTYTGNILIAINPFQRLPHIYGAHMMHQYKGAPFGELSPHVFAVADVAYRAMIHEGKSNSILVSGESGAGKTETTKMLMQYLAFLGGRAATEGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKSGRISGAAIRTYLLERSRVCQVNDPERNYHCFYLLCNAPQEEVEKYKLGNPRSFHYLNQSKCYELADISDAREYLATRRAMDIVGISQKDQEAIFRVVAAILHIGNIEFTKGKEADSSIPKDDTAKFHLKTTAELLMCDIDALEDALCKRVMITPEEVIKRSLDPQSAAVSRDGLAKTIYSRLFDWLVDKINNSIGQDPNSKCLIGVLDIYGFESFKTNSFEQFCINFTNEKLQQHFNQAKSQTTLHNSSLLIAHVFKMEQEEYTKEQIDWSYIEFVDNQDVLDLIEKKPGGIIALLDEACMFPKSTHETFANKLYQTFKVHKRFIKPKLSRTDFIVAHYAGEVTYQSDQFLDKNKDYVVPEHQDLLGASKCSFVAGLFPPLPEESSKSSKFSSIGSRFKLQLQQLMETLNSTEPHYIRCVKPNNLLKPAIFENMNIMQQLRCGGVLEAIRISCAGYPTRRPFFDFVNRFGLLASEAMEANYDEKAVCKKILDKMGLKGYQIGKTKVFLRAGQMAELDGRRAQVLSNAAKSIQRRVRTHQARRHYLALRKKTIYVQSRWRGRLACKLYDGLRREAAAKKIQKNVRRHEARKAYKELHVAALSLQTTLRAIAARKEFRFRKQTKASIIIQAQWRRHKAALYYKRLKRGTVVTQCRWRGRVARRDLRKLKMAAKETGALQEAKDKLEKRVEELTWRLQLEKGLRTNVEESKAQEIAKMQNLLQEMQSKLDETNALLVKERENAKKVVEEAPPVIKETQVVIEDTHKLEALTAEIESLKTSFASEKQKADDFEKKYNEAQVCSEERGKKIEDLEKKVRQLQESLTRLEEKNSNIESENQVLRQQAVSMAAAPAKLLSGRSRSIIQRGAESGHIPVEAKTPSTNQELHQSPSMSQRESSEVDDKPQRSLNEKQQENQELLIRCIAQHLGFAGNRPIAACIIYKCLLHWRSFEVERTSVFDRIIQTIGHAIETQDNNDVLAYWLSNASTLLLLLQRTLKASGAAGMAPQRHRRSSSATLFGRMTQSFRGTPTGVNLSLINGSSSRGVETLRQVEAKYPALLFKQQLTAYVEKIYGMIRDNLKKEISPLLGLCIQAPRTSRASLVKGSSRSVANTEAQRTLIAHWQGIVKSLGNFLNTLKENHVPPFLVRKVFTQIFSFINVQLFNSLLLRRECCSFSNGEYVKAGLAELEHWCYKATDEYAGSAWDELKHIRQAIGFLVIHQKPKKTLDEISHDLCPVLSIQQLYRISTMYWDDKYGTHSVSPDVISNMRVLMTEDSNNAVSNSFLLDDDSSIPFSVDDISKSMEQIDIADIDPPPLIRENSGFSFLLPRPD >OIV90465 pep chromosome:LupAngTanjil_v1.0:LG20:11178600:11179073:-1 gene:TanjilG_18649 transcript:OIV90465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVCISNCVNDARDPRVPVRASYVNIYKWPESDAEFVRSNGRNGKNNNNDSNSLHALYGHPKVVNSISCRQIYLRSYKFSRKETVPEKTQKCFGKVKDKVGSNSSHGGRKKRSRSSRRRKCLVLRKMKEISCAALFRIFHRFLSCAASVDVVDEKD >OIV90428 pep chromosome:LupAngTanjil_v1.0:LG20:13334399:13334965:1 gene:TanjilG_25032 transcript:OIV90428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYLSIRPSLFFSLVLLSLLLATPSSTSKVVDVKVICTRSQDSSFCSKILNSKPGGANSADLISLVQYTIDVARVDATDTLNLINTLIAKSGSDQKAKDHYTKCWTHFNKDEGALSDIDRVQDLLKKEDYIGVNTAASAIITNINDYIVGEDPEDPPYTDKSNLPQFVDVVKKVADIILVISKYLIKK >OIV90147 pep chromosome:LupAngTanjil_v1.0:LG20:20512968:20513759:-1 gene:TanjilG_01601 transcript:OIV90147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDESRRAEAERLLGIAEDFLKNRDLNGSRDFAILAQEIEPLVEGSDQVLAIVDVISAAHKPLNGSIEWYTILQVDLLCQDIDLIKRNYRRLALFLHPDKNYFTLADFAFKLVSDAWEHLSDPIKKAEYDYNIITHSQPQVEKVEESKTEHEDKSLVPWENNTLWTACPYCYYMYEYPRVYEGCSLRCQNCERTFHGVALPSLPPLVPGREAYYCTWGFFPMGFVFGTPNFNTKRPLQMLDSTEFPSWNPPPQGQPQPLPPQS >OIV90819 pep chromosome:LupAngTanjil_v1.0:LG20:5488997:5490401:-1 gene:TanjilG_15552 transcript:OIV90819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADKAVTIRTRKFMTNRLLSRKQFIIDVLHPGRANVSKAELKQKLATIYDVKDPNSVFVFKFRTHFGGGKSTGFGLIYDSVENAKKYEPKYRLIRNGLDTKIEKSRKQLKERKNRAKKIRGVKKTKASDAAKAGKKK >OIV91380 pep chromosome:LupAngTanjil_v1.0:LG20:826313:827845:1 gene:TanjilG_01998 transcript:OIV91380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFFGRDINEDSLLRCPFLRNINEPTIFSFSSPLAFPTPMRAAKGPIFEDGPNFDLAFRLFHGSDGVVPLSGRSAQRSDKVQPPTSQFNPLAARAATISLSSFGFGGPFGFDAFSEKWNNKKRKSKSSKKESSSKDSSKHEAASDEWLQSGNCPIAKSYRAVINVVPLVAKAIQLPSGMEYKCPPAIVAARAALARTAFAKNLRPQALPTKVLAIGMLGMAANVPLGIWREHTKKFSPSWFAAVHAAVPFIAMLRKSILMPKSAMAFTIAASVLGQVIGSRAERYRLKALAARKMSITDTSEVGSAQLPVVKTKERHCGDTTNWNSTSLQLAAPSSTDVFC >OIV90424 pep chromosome:LupAngTanjil_v1.0:LG20:13425769:13429071:-1 gene:TanjilG_19915 transcript:OIV90424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVKMMKWRPWPPLVWRKYEVKLGVRSLVGCDLVREVVERGSGETPRLAVEIKWKGHKSTLGSLRRNAVARNITKEVEFDVNQNGVVYWDEEFQNLSNISGYKDNVFHSWEIAFTVFNGLSQRSKTKVPVVGTASLNLAELASAVDQKDFDLNIPLTLLGGSVVPSPSINILISLVELRAAHESTEQVHKSIVPMPSPPAQSGETTTSGEKDELSTIKAGFRKVKILAEFVSSKKTKKACPEEEGSEGNSSSRSEDGEYNCLFDLDSLDDVEEGDLDDVKEDSSVRKSFSYGKLAYANAGGSFYSSMKVQGDDEGWVYYSNHKSDVGSLQVEDSTVSASEPYLLQSSKRSILPWRKRKLSFRSPKTKGEPLLKKAYEEGGDDIDFDRRQLSSDESLSHGKTEDDSCANRSSISEFGDDNFAVGSWEQREVMSRDGHMKLQAQVFFASIDQRSERAAGESACTALVAVIADWFQNNHNFMPLKSQFDSLIREGSLEWRNLCENQTYKEQFPDKHFDLETVIQAEIRPLSVLPGKSFIGFFHPEGMDEGVFDFLHAAMSFDNIWDEISHAGQDCPSNGEPQVYIVSWNDHFFILKVEADSYYIIDTLGERLYEGCDQAYILKFDSNTVIYKMPDDAQLSDEKTTGDHQTVAEVLEHNDRQIQQINGDSVADGEQLKSDQEEEVVCRGKEACKEYIKNFLASIPIRELQADVKKGLISSTPLHHRLQIEFHYTKLLQSYVASPVAETPMTAPEALTLAVNEVST >OIV90945 pep chromosome:LupAngTanjil_v1.0:LG20:3527812:3529587:1 gene:TanjilG_16905 transcript:OIV90945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAITKGPGSIGVHGWGDMKSLSVHAITGRWFVVFASFFIMAAAGATYMFGLYSQDIKQTLGYDQSTLNLLSFFKDFGSNVGVLSGLINEITPPWVVLAIGAVMNFVGYFMIWLSVTEKVAKPQVWLMCLYICIGANSQSFANTGSLVTCVKNFPESRGAVLGILKGYVGLSGAIITQLYSAIYYNDSKGLILFIGWLPAAISFVFLRTIRYMKPVRQPNEVKVFYNFLYISLGLAGFLLVMIIIQKEVHFSQSEFGVSAAIVVFLLFLPVAVVFIEEYKTWQSKKFALVDPSPVKIVTEKVNPDEGVNQGFKSRSVSEPKVNETVSTKDTRWSENVFSPPDRGEDYTILQALFSIDMLMLFIACICGVGGTLTAIDNLGQIGASLKYPKRSISTFVSLVSIWNYLGRVFSGFVSEYFLTKYRFPRPLMLTFTLLLSCVGHLLIAFDVKDGLYVASVIIGFGFGAQWPLLFAIISELFGLKYYATLYNFGSVASPLGLYVLNVKITGHLYDKEARKQLEALGIQRKEGQELNCVGESCFKLSFIIITAATLFGAIVSLILVARTRKFYKGDIYKRFREDVKVAEAEMAVVEN >OIV90474 pep chromosome:LupAngTanjil_v1.0:LG20:11353052:11355819:1 gene:TanjilG_18658 transcript:OIV90474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATIGVREITPPSLTSISQQPPLFDGSTRLYTSYICPFAQRVWITRNYKGLQDTIKLVAIDLQDRPAWYKEKVYPENKVPSLEHNGKVLGESLDLVKYVDANFEGPSLIPSDPAKKEFGEKVISYVDTFTKELFTSLKGDAVQLTSSAFDYLEDALGKFDDGPFVLGQISWVDVAYIPFVERFQVVFSDIFKHDITEGRPKLAAWIEELNKIDAYVHTKVDPQETVETFKKRFLAQQ >OIV90863 pep chromosome:LupAngTanjil_v1.0:LG20:5111549:5115617:1 gene:TanjilG_15596 transcript:OIV90863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYGYEFLTMIFIMTLFMFLSLQRIHILNVDDMLIEMEEKEKNEQGNENQVKKISGLDENEMKEAMIVEKFRALLGLKSFHTKLPSQFLSPSISPSPSPNIEPYSPAPTPSPIVPQIHSHSHHPRHHHSHWNPPLHNNKTHAKEDRGKDKRIILVAVLVSVGVATLVCACGLILVCRNFRNNRKKPNRKIPLCTKNKGTDGVTSTYQNSSSKVSSNSEGLDLSYVNALGDDIEQQGCTSKRICKNNIEIYDNVSSSSTKEILFVHEDEGKSVVKGEYESDGNDNENSCSKDKIIPLEEEYHSSDDESFHSLVDSQHSNIRLSNASAGSFCETMSMSPQNSTLLVSLPNQFPTSPNGSFSKTRSHQSPYSPIHEEQEIFETSPKILIPPPPPLPLKMPLFTLHSLTTSSSRVSSNSPHSVTSHILSSPRNSDTYSVSNPSPEKQFLSPPQLNSTKSSPNIPPPPCPPPFFKGNKINNNSKTPPPPPSQFPHFTPLCKDGATLPKLKPLHWDKVRAAPNRTMVWDKLRSSSFELDEEMIESLFGYNLQSSMKNDETKSKSPSPSKHVLEPKRLQNITILKKALNLTSEQVCQALTQGKGLSLPQLEALVKMVPTKEEEGKLLSYNGDINELGSAEKFVRAMLIVPFAFQRIEGMLYRETFEDEVVHLRNSFSMLEEACKELRSSRLFLKLLEAVLKTGNRMNVGTIRGGARAFKLDALLKLADVKGTDGRTTLLHFVVQEIVRAEGIKVSVSIMGKISQKNSKLNKTEEEKEEDYKRMGLELVSGLSTELYNVKKTATIDLDVLASSVSNLRDGMGKLQYLVEEELFNDEESENFVSSIKCFLSYADRNLRELQGDEDRVIARVKEITEYFHGDVSKEDNPLRIFVIVRDFLGMLDNVCKELRRSKAHRTPNPLAPFR >OIV91375 pep chromosome:LupAngTanjil_v1.0:LG20:857846:868666:-1 gene:TanjilG_01993 transcript:OIV91375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTLITPPPPFSPFLSLSSFSSSSITTQNKNKNKKKRALRNFSHFSNKLRKDVEFFKSGFGNTVAWASNQAFRIPQIAHKLDHLLWLRNLEDPFASSFSLPSFPQPWYPGLNGVDLLMSDLNALEAYASYFYYLSKIWSKPLPEVYDPQDVAHYFSARPHVVALRILEVFSSFTSAMINIRTSEFRKFLGLNPEEEDVDDKTSQYNFGMVLKETMLKLGPTFIKVGQSLSTRPDIIGIEMSKALSGLNDQIPPFPRTVAMKIIEEELGSPLESFFSYISEDPIAAASFGQVYFARTTDGINVAVKVQRPNLRHVVVRDIYILRLGLGLLHNIGNRKSDPRVYADELGKGFVGELDYTLEAANASKFQEVHSPFSFIRVPKIYPELSRKRVLTMEWMIGESPTDLLSLSTGNSIGNVSEYSEKQKVDAKKRLLDMVNKGVEATLVQLLETGLLHADPHAGNLRYISSGQIGFLDFGLLCQMEKKHQFAMLASIVHIVNGDWASLVRALIDMDVVRPGTNIRLVTLELEQALGEVEFKEGIPDVKFSRVLGKIWSVALKHHFHMPPYYTLVLRSLASFEGLAIAADKNFKTFEAAYPYVVRKLLTENSTATRNILHSVLLNRKKEFQWKRLSLFLRVGATRKALQLVASNSETSSDHLPNKATDTFDVAYLVLRLLPSKDGAALRRLLMTADGASLIKAVVSKEGKFYREQLCKIIADTLYQWMIKASQNSRVILADGRDDRESGLSSTSSLPAYDYNSIFRDRRLRVIFSHVLKSASSDKILMLRLSWASLLLIIKASTLACHQAIVSLSEAYMGQIFNAPKRYAGMKMLALSGMTRLCKGLVVVLVAAYILIHLFPSSLTYLALVPAMTIPFAWNLITAGYIEQSIYGLVVSTIGLLFIGKLLEPVWGPKEFSKFILVVNFLTSVCIFITAIALYCITKQESYLYMPLSGFHGVISGFLVGIKQIIPDQELPFLKIKMKWLPSITILCLIAMSFWMLKAMPYLPNVIFGTYISWIYLRYWQNIPETKLRGDPSEDFTFSTFFPEFVRPIIDPVAAKFHQMLFGRSETSNDAQGYTLAGEPLPGSHHIEASRRREKGARALEERLAVAQIAGELQKDATENV >OIV91500 pep chromosome:LupAngTanjil_v1.0:LG20:41477:44421:1 gene:TanjilG_26469 transcript:OIV91500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVERSGIAKDVTELIGKTPLVYLNKIVEGSVARVAAKLELMEPCSSVKDRIGYSMIADAEEKGVITPGQSVLIEPTSGNTGIGLAFLAAAKGYKLIITMPASMSLERRTILLSFGAELVLTDPAKGMKGAVQKAEEILAKTPNAYMLQQFENPANPKVHYETTGPEIWKGTDGKVDALVSGIGTGGTITGAGKFLKEQNPDIKLYGVEPVESPVLSGGKPGPHKIQGIGAGFIPGVLEVNLLDEVVQISSTEAIETAKLLALNEGLFVGISSGAAAAAAIKIAKRPENAGKLIVAVFPSFGERYLSSVLFESVRKEAENLTFEP >OIV90641 pep chromosome:LupAngTanjil_v1.0:LG20:8203022:8203399:1 gene:TanjilG_01722 transcript:OIV90641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDIWWSLLGAAIPVVVAGQAFRVKNRNAEEQRLKSARGRERSSDEIFVCERVCTSKRMLKKVGSFSKDPIPDTCVTVCGVSDVDACADACARTVCVNQHQVPNWNDICLRRCQSECLKLSSQSS >OIV90506 pep chromosome:LupAngTanjil_v1.0:LG20:10201472:10205007:1 gene:TanjilG_32383 transcript:OIV90506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRTPCCDKKGLKGPWTPEEDEILANYIKKNGGHGSLLRCGKSCRLRWTNYLRPDIKRGPFTVEEEKLVIQLHGILGNRWAAIASELPGRTDNEIKNLWNTHLKKRVKSHQPLSSSHSHSVGKAHPASLSTRHMAQWESARLEAEARLSTQSSLFNFNNNNNNAKTHDYYDFFLRIWNSEVGEAFRNNNNNVHKLDDDNNKTSCYNQSPISGEKCESVSAITAELGFSKSCAEDVNGIFHGYDSSSSNELDESSDTAFQLLLDFPINNDMSFLEGNSFVCTL >OIV90189 pep chromosome:LupAngTanjil_v1.0:LG20:20016694:20019390:1 gene:TanjilG_01385 transcript:OIV90189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTSRFYLFCLVTILYICLCVGNSNIKKCVETEKNALLKFKAKVIDKNDFLSSWKGEEDCCIWKGVTCDNSTGHVTMLDLVPPYINHQFIPQLGGRLLDCWGQFQNLQVLNLATNNFLGRIPDSFGTLQSIETIHLNNNNFTGEFPPLANCSNLKLIDFGDNNIEGTIPTWVGENLHQMIILRLRSNKFQGSIPESLCNLSHVQVLDLSNNNITGNIPQCLDHMTALSNTTFSREPISYETHGYIGFEDYNFGSFSDKAILAWKGANREYGKNLRFLTAIDFSCNQLKGQIPQSMTILIALASLNLSSNNLIGFIPNNIGHMEMLESLDLSKNYLSGTIPESLSNLSFLSYLQLSFNSLFGKIPSSTQLKTFDAYTYIGNPNLCGPPLSKDCPEDSSNIHRNDVEGDDDGFISFGFYISMGLGFVIGFWGVCGTLILKTSWRYAYFQFFNNMCE >OIV90497 pep chromosome:LupAngTanjil_v1.0:LG20:10967201:10973652:1 gene:TanjilG_10261 transcript:OIV90497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGILSLVTGKAGPSGFGSASTAEHVTDGIDATNLTAIITGGASGIGLETARVLCLRKAHVIIAVRNMDSAKEAKQLILQENKSAKVDIMKLDLCSLKSVTSFVDKFIALDLPLNILINNAGVMFCPFQLSQDGIEMQFATNHIGHFHLTNLLLNKMKQTAKATGIEGRIINLSSIAHTYTYEEGIRFDDINDQNGYSDKKAYGQSKLANILHANELSRRLKEEGVNITANSVHPGVIMTPLMRHSSLLMMISFQADFLKMFTFYIWKNVPQGAATTCYVALHPSLKGVTGKYFLDCNEFEPSAFGKDKILASKLWDFSNNFITSLSKA >OIV90117 pep chromosome:LupAngTanjil_v1.0:LG20:20803332:20805963:-1 gene:TanjilG_01571 transcript:OIV90117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSFSTIQSPHLFSFTRFYTSITTRTRFTFPAKLFFCQAKSGFHSGTDGSLNIKVVPTTLFAAEKEEARAVLTLFFKKLGLSTAIAARIINKSDLFIDHLLAKLHQKHKSRYLVGRELTTLEIRDALNTFLDSLLEEHGNNLVRVVEYYPDPPGKEQSTVLPIPPPPRPTLESKKHKAVSRVSEIDPFAGKLRPHIAYLMELGMEIEQIRTIVRRFPSFVYYSLEGKIKPVVEFFLELGIPKEDIPTILAKRPQLCGISLSENLKPTMKFLESLGVDKKQWAKVIYRFPALLTYSRQKLKESVDFLQEIGISDESIGKILTRCPNIVSYSVEDNLRPTAKYFRSLGVDVGILLFRCPQNFGLSIETNLKPVTEFFLDRGYTVDEIGTMISRFGALYTFSLTGNLIPKWDFFMTMDYPTSELVKFPQFFGYSLEERIKPRYARMKESGVKLLLNQVLSLSSSNFEKVLKKKMEKMQVNQDSSFISKTAQ >OIV90486 pep chromosome:LupAngTanjil_v1.0:LG20:11621287:11623563:1 gene:TanjilG_18670 transcript:OIV90486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTNTLLLLPSHSQSPFSSFSHSSIPFPYSSTLHHSHPSTFKPTRSLLTNYTTTTTTTNPSHLSLPTTTTAAAAATDHHLLLTLLRQTKTEEAWILYTHSTYLPNTTCLSRLVSQLSYHNTLTSLTRAQSIVTRLRHERQLHRLDANSLGLLAVSAAKFGHVLYAASLVKSMIRSGYLPNVKAWSAVVSRLASPVDDYDGAMEALKLFKSVTRRLRKFEETDMIADSRPDTAAFNAALNACANLGDSKMFLQVFDEMPQWGVVPDALSYNILMKLCCRIDRKDLLVFVLERVLQLGIPLCMTTLHSLVAAYVDFGDLEAAEKIVQSMREQRRDLCEILREAESNLLEDLGMGHDDDGDNDEDDEEEECVLRKLLPNLMNPTGTDPPLLPKAYAPNTRIYTSLMKGYMKAGRVADTVRMLEAMRRQDDSASHPDHVSYTTVVSALVESGSMDRARQVLAEMLKIGVAANQITYNILLKGYCKQLQMDKARELLKEMIDDAGIQPDAVSYNTLIDGCILVDDSAGALAFFNEMRARGIAPTNISYTTLMKAFALSGQPKLAHKVFDEMVNDRQVKVDIIGWNLLVEGYCRLGLIEEAKNVIQKMKEDGFHPDVGTYGSLANGIALARKPGEALILWNEVKERCKVGKDGNKSDSSLQPLKPEEGLLDTLADICVRAAFFRKALEIVACMEENGISPNKTKFTKIYVEMHSRMFTSKHASTARQQRRVERKRAAEAFKFWLGLPNSYYGSEWRLEPMVGYE >OIV90726 pep chromosome:LupAngTanjil_v1.0:LG20:7258278:7260649:1 gene:TanjilG_15112 transcript:OIV90726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRAPCCEKMGLKKGPWTTEEDQILISYVQKHGHSNWRALPKQAGLLRCGKSCRLRWTNYLRPDIKRGNFTNEEEETIIKLHHMLGNRWSTIAAKLPGRTDNEIKNVWHTHLKKRLLLKTNQLNSDHKIRVSRPKIKRSNSNSSTITQSEPDTSTCTTSSYFSSATVDESKKIKIEDIESLEETMPEIDESFWSENATMDDETPTTMVPSKSLTISNELPLQCPSNNYEESFQQSNGYISNLDDGMDFWYDIFIRTGDSIELPEF >OIV90327 pep chromosome:LupAngTanjil_v1.0:LG20:16681913:16685236:-1 gene:TanjilG_14725 transcript:OIV90327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSPGDEHVVGGAEQNDAVIPFSHREEDDEEIQQHQHQHHNSHPLTGDGASPGKIFIGGLARETTIAQFIKHFGKYGEITDSVIMKDRKTGQPRGFGFITYADPSVVDKVIEDTHVINGKQVEIKRTIPRGAGGSKDFRTKKIFVGGIPSTVTEDEFRDFFTRYGEVRDHQIMRDHSTNRSRGFGFITFDAEEAVDDLLSLGNKIEFAGAQVEIKKAEPKKSNPPPPSSKRYNDSRSSYGGGGGYGGDAYEGFGGGFGVGGYRSGAGVYGGRGAAAYGGYGSEFGGYGGYAGAMGPYRDPSLGYAGRYGGAFSRGYGSEFGGYGGPSESYGAYGGAASGGASSGAGAYQSGYDAGLGGGYGGGASGGSFYGSRGGYGAGRYHPYGR >OIV90257 pep chromosome:LupAngTanjil_v1.0:LG20:18601054:18601326:1 gene:TanjilG_11985 transcript:OIV90257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKRQVVVRRSEEPPTRSVRSVKYGECQKNHAANVGGYAVDGCREFMASGDEGTDSALTCAACGCHRNFHKREVETETVSGCSSPTSSGT >OIV90208 pep chromosome:LupAngTanjil_v1.0:LG20:19706933:19709769:-1 gene:TanjilG_01404 transcript:OIV90208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSFLFLILVILSLCYIVAASDDCSNGNCKLLDKCSSDDDCGAGLYCFSCLAGFKGSKCVRSSITNQFKLINNSLPFNNYAFLTTHNAFAIDGEPSHTGVPRLTITNQEYSVTQQLNNGVRGLMLDTYDFDGDIWLCHSFQGHCHDFTAFEPAIDTLKEIEAFLSANPTEIVTLILEDYVETPKGLTKVFTDAGLMKFWFPMTSMPKNGENWPLVSDMVAKNQRLLVFTSVKSKEQSEGIAYQWNYMVENQYGDGGMKSGICPKREESSPLDDKSKSLVLVNYFRSVPLKAITCSDNSGGLINMIQTCHSAAGNRWANFVAVDYYKRSEGGGAFEAVDTLNGELLCGCKDIHACVPGATSQACSP >OIV91292 pep chromosome:LupAngTanjil_v1.0:LG20:1530126:1530371:-1 gene:TanjilG_01823 transcript:OIV91292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGWAGLPILYDGYCRLVLYSNCGSTVFLDFNALDDMGNRINELEQSINELREEIGVEGTSSPAAPSKPKEEELIKEEGSA >OIV91099 pep chromosome:LupAngTanjil_v1.0:LG20:2987274:2990552:-1 gene:TanjilG_30321 transcript:OIV91099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAEKKWLLKLFTAAFLSLILLLFSSFSVFNSQKTFPAFVQHCSQNPPSFAYFISGGNKDKDRILRLLLAIYHPRNRYLLHLGKDARDEERQALATAVRSVPAIRAFGNVDVVGKADWVTYLGSSNVAITLRAAAIMLKLDNCWNWFITLSARDYPLITQDGSPWVVLSRPFLEFCIFGWDNLPRILLMYFTNIKLPQEGYFHSVICNAPEFKNTTVNGDLRFMTWDNPPKMEPLFLNSSVYNQMAGSGAAFARQFEVDNYVLDMIDDKILRRGRNRATPGAWCTGRWSWWMDPCSWWGDVNIVKPGPQAKKLEGSISSLVDDLKSQTNQCQ >OIV90434 pep chromosome:LupAngTanjil_v1.0:LG20:12032171:12035007:-1 gene:TanjilG_01912 transcript:OIV90434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLGPSSKDSLKCCNCGYSCSSEAQSSGSWIRSVKRKHDEFECNSGLVVPEFARIGIENECMALREMVSSQQKTIQDLNGDLEEERNFASTAANEAMSMIVRLQREKAEIQMEDRQFKRYAEEKMSHDQEELLSLEDLLYKREQIIQSLTCEVQAYKHRIMSFGFTEEEAEGEQYEIDTYEYPPLKCNVTHGNVEGDNDGTDVEKYAFGETPSDRLRNLENRISQMERSPTYSQMDGDFTGKNTSEKVIVGQSPRRTRHSRKFSSDTTSFGGMARETGPEFQMDSPKVNNNLRKDYFSQPEDPSNLKKVDKAPECDDTSDIIYSVDSVQVGAANNGFADYATSPRESGNNADFEDPYIKKLYMRLHALEADRESMRQAIISMSTDKAQLVLLKEIAQHLCKEKAPERKMAVRKPCNVGRFSFVSIFKVAFFISNILPRYMFDLPADSRGLLLLLDKGTRARPWRCISSTQVGD >OIV91381 pep chromosome:LupAngTanjil_v1.0:LG20:820092:822559:1 gene:TanjilG_01999 transcript:OIV91381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNESNWVGKKPLRRIGGMSDALSIASDLGFSLSPPPSDLQNSTPEMGHDLIRVLRELTSVQRKIADLQVELQGRKDDKNVAHLTHVSEMEKKIQTLARITTILKDVIQNKDRIIARLQQPYSLDCIPVEAEYQKQFSELLMKAASDYGALTASVADFQWSQNFKEPPSVWGEMLRPIPVALASCTRLFEAMSATRESFAALQKLRVGHFESPIPRTPARDPSQRLPGVSDCLTPPTTMEN >OIV91157 pep chromosome:LupAngTanjil_v1.0:LG20:2549836:2551485:1 gene:TanjilG_30379 transcript:OIV91157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKRLPSRNQRSKGFKVKHVIQVILLLGVCFWLIYQVKHNHDKKKELDENDAILSAREKTGQVLKLGRKDLPVKDEGNQNEGHEEEEDENIVEDEENKHGHNEQEDEANEHETGESDENKHGVREQGEEENKHGAEEQEDENKSEEMEDEGRGGGDDEIDENDQEKPEVDVDRDEEFMDEEKEKEEGEEKGNENSEGEEKGGSVENHNTHEAREEHYKGDDASSAVVHDTHTTSTETETFTLENTSVQVEENITKPENKTSYSDESNRNQNNSKLKVAEGELTDGTSSNATAGKETENNKLFNSLDDSYLNKTAATNSDSHLEASGNMTAVATEASNNFIGAGSNTSSSSEQNKTIILPESDHAQNTTADTVVTGDVKNVPTEGLEQTSNEVSERNQPDTNSTVSVKTEHGNAGDAVVGESSKLGAGELEKTIKSVATNETENNSRNLNENEMSNALDSDKAKGDTETSETNETQNIDATEDEMFKGTTQTDETLDSSLANGTSDSVEHGDDSSDSHIHEDATAVQTDLDTLPDIRNEGDNAEDEAAAE >OIV91301 pep chromosome:LupAngTanjil_v1.0:LG20:1467288:1472535:1 gene:TanjilG_01832 transcript:OIV91301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRIGLRKLQDKVALITGGGSGIGKATATKFINNGAKVIIADIQQQIGQETAKELGPNATFITCDVSKESDISRAVDFAISEYNQLDIMYNNAAIACSTPPSIVDLDLALFDKAMAVNIRGVVAGIKHAARVMIPRKSGSILCTSSIAGVMGGMGPHAYSVSKFAVIGLVKSLTSEMCTYGIRVNCISPFGIPTPITMKEISRMYPHLDANQIVELIHSAGVLKGAICEANDIANAALYLASDDAKKLQDKVALITGAASGIGKATATEFINNGAKVILADIQQQIGQETAKELGPNATFIMCDVSNESDVSRAVDFAVSEHNQLDIMYNNAGIIGSNPPNIVDLDLALFDKDMSTNVRGAMAGIKHAALVMIPRGSGSILCTSSVSGVIGGMGTHAYSVSKFAVIGMVKSLASELCKFGIRINCISPFAIPTPIAMKHLSKIYAHLDAHKVEEIVNNLGVLKGAICEASDIANAALYLASDDAKYVSGHNLIVDGGFTSFKNLDFPAPDQVQ >OIV90521 pep chromosome:LupAngTanjil_v1.0:LG20:10517456:10518835:1 gene:TanjilG_32398 transcript:OIV90521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESIEEFMDSCNSDNNNININHTCSLTNSTTTNTNNNNIISISNINLVGSVSSSFGSSNSPSGSSTNTSSRYENQKRRDWNTFGQYLKNHRPPLSLSRCSGAHVLEFLRYLDQFGKTKVHTPICPFYGHINPPAPCPCPLRQAWGSLDALIGRLRSAFEENGGKPDTNPFGARAVRLYLREVRDLQSKARGISYEKKKRKRPLPQQQQQQQQQSMSLAPHLHHHTQLPPSDDCKWENLESDDESECTWRP >OIV90816 pep chromosome:LupAngTanjil_v1.0:LG20:5616682:5617590:1 gene:TanjilG_15549 transcript:OIV90816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDFPSCFGENAVQVADSSSSNSNCTKTAQNLVICVYQCLIRGKSCLISITWSKSLMGQGLSVGVDDSSNQCICKVDIKPWVFSKRRGSKSLQAYSCKVDVFWDLSSAKFGVGPEPLEGFYVCVVVDRQMIMLLGDLKKEAYKKTNAIPLASNAVFVAKKEHVFGKRLFGNKAVFCDNGQVHDLVIECDTSSVSDPCLVIRIDTKTVMQIKRLKWKFRGNCTILVDGFAVEVYWDVYNWLYGNGASLGNAVFMFRTCLSEHKLWVSQPVSDENMLQSFSQRFSETKLKGLGFSLMLHAWKNE >OIV90489 pep chromosome:LupAngTanjil_v1.0:LG20:11055767:11057346:-1 gene:TanjilG_32706 transcript:OIV90489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRMDIFCTSQASTAICLSMDPSASCSSSNTIQLGGKAIDRHNPIIISDSRRTTSKSLTTIDPKPYHEQQNQKAKNKNSSSKPSSKKNEKAIKGHDQKKKSIAEKVTEHVSNSYSSKPIDSVLRRSWLKPPSELFTPPGSTRCLLSDVTLVDGLSDNEPVLALAMVNDNKKAQIVHQNETILGSKPSSSSLKSSGSSDQVVELRVSLHCKGCEGKVRKHLSRMKGVTSFNIDFKAKKVTVVGDVTPLSVLTSISKVKNAQFWPASASGSGFVETRGNFYI >OIV90301 pep chromosome:LupAngTanjil_v1.0:LG20:17598194:17604475:-1 gene:TanjilG_13156 transcript:OIV90301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWPVPSNATTVVTDSQFLCKDGRKIRVGDCALFKPPQDSPPFVGIIRRFTFNKEENPSFEVNWLYRPADLKLAKGIFLEAAPNEIFYSFHKDEISAASLLHPCKVAFLRKGVELPSGISAFVCRRAYDIVRNCLWWLTDQEYLNEEINQLLEKTKLEMHGVVQSGGRSPKPLNCPSSTQSLKSGSDSIQSSSFGVQSKGKKRERGDQGSDSSKKERLFKAEEGDSGQYRSESMLKSEIAKITDKGGLVDFEGVEKLVQLMQLGSGDKKIDLAGRVMLANVIAVTDRYDCLSWFVQLKGLPVLDEWLQEVHKGKIGDGNMLKESDKSVEDFLLALLRALDKLPVNLNALQTCNVGKSVNHLRSHKNAEIQRKARSLVDTWKKRVEAEMKMTDSQSVSTHGVSWPAKPASSEVSQVGNRKTGVSSENVSKSSPVQPSVSKIPQSKPNSGELLSKSSSPPGSAKGMCTLAGSNSKDRNMKVLVGAVTSDLPLTPIKEERSSGSSQSQTNSLSCSSEHAKTIGSCREDARSSSAVSMSAGKIHGGVSRNRKSSNGLRGAGQAVPQKEPRSAKNSSRNSPAEKVSPTQTSQEKSPDHPLADQGNSQRLILRLPNSGRSPSRGASGGTFEEPAILCGKASPPADKNESQDRRVKAKTDCLQTYVASNLINDASDSNGALTGFEEGKGSPVSDERCRATEDSNKVAELSKPTSSSSGFVSRSGQIYDASLSPMNALVESCVKFSEASVSTSPGDDGMNLLASVAAGEIFRSKNNSPLASPERKSPAADESSSGNDCKSKLYDGGVARTLSKSDGGATGEHHLETVDPLQFKNDSRHPVTTVSCEGSGVVEAVSSSCVENTGDGITQKIISDVLQKAEGLYPLQFKNDSRHPLTTVSRDGDGESVSSSCVEKGGDGRTRMRFSDLLQKADGPCLLPEPKEGVSETSVAAIKEADVEPGGANHLNDQKDLGIQWAKGSSSDPKLKSRNSSFDEDKKFDHLDERITEHEKISVSKEVLSSVNIEKKEVDKNLPELSSDVGNENQIIAEKVSGTDITVQKPSPVAENCEPIDLKKEDVMLPTAAGNALMVSSDENADDMKAGEIEPDKKPMGQDSTVSAGINGYIEESLGTKEVLGHCSGVSGHAMLPVIPRKEIDVPKTSECNLDGIEYVAALERHVSSVNPSLTDSGSDTAVKLDFDLNEGFPVDDVSQGDIVRQEEPATLSAVHVPCALPFPMSSMSGSLYASITAASAAKGPVVPPESPMRNKGELGWKGSAATSAFRPAEPRKIAEAPSNTTDIPSVDATPIKQVRPLLDFDLNVADELSFEDVASHGSLESGPHDRSSTVGFDLDLNRVDETPESGSFSISRLNIPSLPSKPSLSGGISNGGSVSRDFDLNNGPGLDEVGTEVPARSQQMKSTITFPSAVHGARANNPEFGNYSSWFPPGNSYSAITVPPLLPGRGEQSYVAGAQRIMFPTGSTPFGTEFYKGPVLSSSPAVAYPPTTPFPYPGFPFETNFPLSSNSFSGSTAYMDSSTLGGLCFPTMPLQPVGPGGVVSSTYPRPYVMSLPGGTSNVIPDSRKWGSQSLDLNSGPGSGADTEGRDDRLPSGLRQIPAPNSQALMEEHMKMFQVAGVLKRKEPDGGWDGADRLSYKQPSWQ >OIV90952 pep chromosome:LupAngTanjil_v1.0:LG20:3595963:3597330:1 gene:TanjilG_16912 transcript:OIV90952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMRSVLVLSVGVIRRSMDSTSRGAMSRFYSSDKGRVLSEEEQAKENVYIQKWERERLEKQKQQGDKVKSEKDKDIADKTKKYATHMAMKYGAGTQGGGWVVYHTN >OIV90401 pep chromosome:LupAngTanjil_v1.0:LG20:14696462:14696905:1 gene:TanjilG_10701 transcript:OIV90401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQVLGFYTNLVGSTTKVRKGVNLVALRKGKCLNRDLANTFVHNVNEREVLDALNDIGDNKAPGVDGYTSHFFKAAWNVIRVEVLEVVKKFFNKQSLYPTVNCTLITLIPKSNDACTMKDMRPISCCTTFYKIISKILTKRLNKVID >OIV90224 pep chromosome:LupAngTanjil_v1.0:LG20:19548842:19561489:-1 gene:TanjilG_01420 transcript:OIV90224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSQDQFEIKFRLSDGSDIGPKSFPPATSIATLKESILAQWPKDKEYGPRTVKDVKLISAGKILENNRTVGECQSPLCDLPGGVTTMHVVVQPPSSEKEKKVADEAKQNKCVCTLNTVPFNKREPFSNGFHRIRRNPKQFSVLCATASNGKITQQEFTEMAWQAIVSSPEVAKENKHQIVETEHLMKALLEQRNGLARRIFSKVGVDNTRLLEATDKHIQRQPKVLGDSSGSMLGRDLEALIQRARDFKKEYGDSFVSVEHLLLGFAEDKRFGKILFRDFQITQQALKSAIESIRGRQSVIDQDPEGKYEALEKYGKDLTAMAKAGKLDPVIGRDDEIRRCIQILSRRTKNNPVLIGEPGVGKTAISEGLAQRIVQGDVPQALMNRKLISLDMGALIAGAKYRGEFEDRLKAVLKEVTESDGQTVLFIDEIHTVVGAGATNGAMDAGNLLKPMLGRGELRCIGATTLDEYRKYIEKDPALERRFQQVYVDQPTVEDTISILRGLRERYELHHGVRISDSALVEAAILSDRYISGRFLPDKAIDLVDEAAAKLKMEITSKPTALDEINRSVLKLEMERLSLMNDTDKASKDRLNRLEAELSLLKKKQDELTQQWEHEKSVVTRFQSIKEEIDRVNLEILQAEREYDLNRAAELKYGSLNSLQRKLESAEKELQEYMNSGKSMLREEVTGSDIAEIVSKWTGIPISKLQESEREKLLHLEEELHNRVVGQDPAVKAVAEAIQRSRAGLSDPHRPIASFMFMGPTGVGKTELAKALASYLFNTEEALVRIDMSEYMEKHTVSRLIGAPPGYVGYEEGGQLTETVRRRPYAVILFDEIEKAHSDVFNVFLQVLDDGRVTDSQGRTVSFTNTVIIMTSNVGSQYILNTDDDTVPKELAYETIKQRVMDAARSIFRPEFMNRVDEYIVFQPLDRDQISSIVRLQLERVQTRIAYRKMKIQVTEAATQLLANLGYDPNYGARPVKRVIQQNVENELAKGILRGDFKDEDTILIDTEVTAFSNGQLPQQKLSFRRLETDSESTTRDSEKSFKQIL >OIV90214 pep chromosome:LupAngTanjil_v1.0:LG20:19639719:19640919:1 gene:TanjilG_01410 transcript:OIV90214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLSYENKRGSGKKLKVHFELPKDEDYHIPHKKDSSSSISSDSSLDSNDDDSENDDHHNIDNFDSKNDMNGYNNSNAWSYNGESITHSPPPMQMMCSSGYDPNRIPSSIFSSPNHMEWSVQSNESLFSIHLGNASFSRDHVFSVNNKSGEFPWTNDLISMPNPMMLPSVQEVDHDNKQVKRHSISSDTSEETANLIMENEHKKVESPVHAKIPTVDQTPKDHSKEVIQAIVLSDEAKNYHSVSYRSMESDRSFQFPILTMDGRMNSSSILESEKEEKNEHQQQQQHQEKQVELSKPETEKEPAKKGGKSWCFCFSCLPCCFR >OIV90551 pep chromosome:LupAngTanjil_v1.0:LG20:9898611:9900618:-1 gene:TanjilG_18155 transcript:OIV90551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPESREEEKARTMKRILLIINSIILAIGACGGPLILRLYFIHGGSRVWLSSFLQTAGFPIIAFPLAISYIIRRRSFSATGDRQKPKIINMKFPLFLASSFVGLIVGADDYLYSYGVARLPVSTTSLIIASQLAFTAIFAFFMVKQKFTAFSINAVFLLTLASGVLAMHSSGDRPAGESSKEYVMGFILTLLAAALYGFILPLIELVYKKIKQEITFATVLEIQFVMSLIATVFCAIGMAIDNDFKAIPREARNFGFGETTYYVVLVVSAIIWQFGFLGSLGVIYCASSLFSGIMLALSVPITEVLAVIFYKESFKAEKGVSLVLAVWGFVSYFYGEYKKAKKIKNEPIPETELAQNPSVLEP >OIV91285 pep chromosome:LupAngTanjil_v1.0:LG20:1574802:1578036:1 gene:TanjilG_01816 transcript:OIV91285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSELIFRGHETQPINDDYSPKHNKQWHSVTRPIHYLLREQRLLFILIGVAIATVFFLLIPSNSPTSNSLYEPLPISYMDHESNQWDHKPRPLIGFHSVGKVPLGIKRKGLRIVVTGGAGFVGSHLVDRLIARGDSVMVVDNFFTGRKENVMHHFGNSRFELIRHDVVEPILLEVDQIYHLACPASPVHYKFNPTNVVGTLNMLGLAKRVGARFLLTSTSEVYGDPLEHPQKETYWGNVNPIGVRSCYDEGKRTAETLAMDYHRGAGVEVRIARIFNTYGPRMCLDDGRVVSNFVAQALRKEPLTVYGDGKQTRSFQYVSDLVEGLMRLMEGEHVGPFNLGNPGEFTMLELAKVVQETIDPDAKIEYRDNTEDDPHKRKPDISKAKEQLGWEPKVDLRKGLPLMVSDFRQRIFGDHKEGATATFA >OIV91016 pep chromosome:LupAngTanjil_v1.0:LG20:4137888:4140225:1 gene:TanjilG_16976 transcript:OIV91016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSREKDVEFDLESGGNTSEEDSSNNLCVSEREPKSAFSWSLNGILNFDGSDKRESEVELCNSLTKSDDVVVDDNDNLELLVDKGLDHVQDQEHISHLSNGNHGKHKSKLTNPRKPPKPPLPPRGPSLDAGDQKFVMELAELALRKRSRIKKMKATRKMKAIKSPPSSSSSYANLSAMAVTVFFFLVIIFQGIKSASSAAFGVTASPEASDEGLISVHYPKNFTNEGDASGSLYTSNLIVFQMSYWRSSAGKAI >OIV90922 pep chromosome:LupAngTanjil_v1.0:LG20:3278440:3279366:-1 gene:TanjilG_16882 transcript:OIV90922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAAAATTFYHHRRKDKVVVIMGATGSGKSRLSVDLATLFFPFSEILNSDKMQVYSGLDITTNKIPLHQRRGVTHHLLGDVDPDAGEFSPSDFRHRAGDIISDINNRRKLPIIVGGSNSFIHALLVERFDPELNVFGTELSSSPVISSELRYNCCFLWVDVSFPVLSEYLIKRVDDMLDSGMVDELAQFFDPDATEEMNRTGLRKAIGVPELDQYFKGYPPGSGEEGDRVRKGAYKEAVRAIKDNTCQLAKRQIEKILRLKRAGWDLQRIDATDAFRAALTSDDRWSDIWERQVLEPSVKIVNRFLMD >OIV90366 pep chromosome:LupAngTanjil_v1.0:LG20:15744974:15749100:-1 gene:TanjilG_19775 transcript:OIV90366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKLSKVAFDAKLGKLLKEYSRVLVISSDNIGCNELQGIRRNLHADSVVVMGKNSMMKRSLILDAQRTGNKAFLNLAPLLHGNLALIFTKSDLREVSEQVAKYKVVDPILMCPKFMSYDSYQNCSYMQSGQLPLNLKCCKEQSSESSEPFLVSSKFSPHQHCFLMRSRQFLMTSIWAPLLLLAGNL >OIV91495 pep chromosome:LupAngTanjil_v1.0:LG20:58840:60356:1 gene:TanjilG_26464 transcript:OIV91495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGALYVTAMTSPSSSLLQPHPFSTLSSPTLLHHLKPITCTFRMRRPFICAVTEDANKTDTLQIHTLTDVLNQPDQKGDGSSEVWSQFAKRVSGEWDGFGAEFSIEGKPIELPESVVPEAYREWEVKVFDWQTQCPTLAQPKDHILQYKTIQLLPTVGCEADAATRYTVDERKIAGDNMNYAISAFAYQSSGSYVAVWQKKDNLIELEYCLISPHDFESRVRIIQLLNVIDKTKLVLQSIQVFREQWYGPFRNGEQLGGCAIRDSAFASTAPLEASQVTGVWEGSKSVADFGSPNAEIFERLIDEKVKKCVRDGAKDTILLPKKMWSTVKEGKDGKKSVSEVGWVLDDGKAITSTCLFSSTANLEVS >OIV91224 pep chromosome:LupAngTanjil_v1.0:LG20:2015629:2015877:1 gene:TanjilG_30446 transcript:OIV91224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLKKVVEDKKFWVASFLITWAAALQGHMMWLQRQDSFKHKFGDPNQINHNDSHSDSQLN >OIV90738 pep chromosome:LupAngTanjil_v1.0:LG20:6347640:6355207:1 gene:TanjilG_21869 transcript:OIV90738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTELMRICVNEDSDDFPSVPPGFESYTAFSLKRVDDNEKQNDKNMTSCSASTGASESQSTKVETDVHVSDTAKVPRTLRRRPWINHGRYENSSEEDSDSVCCDQNFSSRPCLPRGVIRGCPDCSNCQKVVARWRPEDARRPNIEDAPVFHPTEEEFQDTLKYISSIRSRAEPYGICRIVPPSSWKPPCPLKEKSIWEGSKFATRVQRIDKLQNRGSIRKMSRIQSNTKRKRRRCTRMGVENGIGNVGFCEAESFGFEPGPEFTLETFQRYSDDFKTKYFRKYENVEHCGANTTISNGTSEPSVENIEGEYWRMVESPTEEIEVLYGADLETGVFGSGFPRKHSNAFASHEQYIKSGWNLNNFARLPGSLLSYESSDISGVLVPWLYIGMCFSSFCWHVEDHHLYSLNYMHWGAPKLWYGVPGKDACKLEEAMRKHMPELFEQQPDLLHKLITQLSPSILKSKGVPVYRCVQNPGEFVLTFPRAYHSGFNCGFNCAEAVNVAPVDWLPHGHVAIELYREQGRRTSISHDKLLLGAAREAVRAQWELNLLKKNSLDNLRWKDVSGKDGLLAKALKARVEMERARREFLCSSSRASKMESSFDATSERECIVCLFDLHLSAAGCCCSPNRYACLDHAKQFCSCTWDSKFFLFRYDISELNILVEALEGKLSAVYRWAKLDLGLALTSHVLPGKATIHKELSSDSSNLSHYSRGIVHKEVALHPSNKFIDDSCSTDVPIDNQTRAAKSKDQSYLQQRKSAEAVSSIHTKHLLTTNSSKPTCEMANHKNSVNKEGSLICGSKMRTPGCQFSQKDLSGEKSSLYRHDNVILLSDDEGDEIKMADSNRRKESSRMVTGSRDKASPCNNIENTNLIIPVTDAAVMGEKNGHTLPRGDMRSGSAQLLHVKQECHENRGPNLAFASVNLNCHIGHTTEESVRNIRASSAGEASHHCLESSDNSPLKPQQSGTTKAKNEDIHEKLGECATSNVADNVKAVNVNLSCSLNNFERNSHQKGPRIAKVVRRINCNVEPLEFGVVLPGKSWCSSQAIFPRGFKSRVRYISVLDPSSTMCYYISEILDAGRAGPLFMVSLENCPSEVFVHVSPARCWELVRERVNQEIAKQHKLGKKGLPPLQPPGSLDGFEMFGFSSPAIVQAIEALDRSRVCNEYWDSRPYSRPQGQISRPHQTNINGGNGAGVLINQHLPIGVVAILRNLFKKANPEELNSLYTILSDNKSATGQMQIAQLLNEEIHNSKVHNPPRSTQVAHPILMQP >OIV90910 pep chromosome:LupAngTanjil_v1.0:LG20:3177870:3180104:-1 gene:TanjilG_16870 transcript:OIV90910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRTPQMIKEEILNHTHMVFKEFLKPPNVMHDMGEEELLWRASMNPKVPEYPFDRVPKVAFMFLTRGPIFLAPLWEKFFKGHEGYYSIYVHSDPSYNGSHPESPVFHGRRIPSKGVKWGNVNMIEAERRLLANALLDISNQRFVLLSESCIPLFNFSTIYSYLINSTQNYVMAYDDPSAVSRSRYKIQMLPEMTIKQWRKGSQWFEMDRDLALEIVSDRTYFTVFQRYCKGSCYADEHYLPTYVSIKFWEGNSNRSLTWVDWSKGGPHPTKFWRSMVTVEFLEKLRSEKCLYNENTTNVCFLFARKFLPNTLSRLLKFAPKTMHF >OIV90743 pep chromosome:LupAngTanjil_v1.0:LG20:6415276:6415602:-1 gene:TanjilG_21874 transcript:OIV90743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANKDYGYGGLICIDMGTEERANWMMNHLQNYGQFGFMAVSLGYYETLMSCSGSSTSSELNSEEKELAGISPGLVRMSIGFIGTLEQKWTQLEKALTKLKEHELSNKN >OIV90690 pep chromosome:LupAngTanjil_v1.0:LG20:6649549:6653982:-1 gene:TanjilG_15076 transcript:OIV90690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDVSEKLEYFKSITGLQDHDLCTEILQAHNWDLELAISSFTSSTAPPPTNTAHHFSQPPQPPPPPPPIPLAWKIIKLPVTVISGSLGLLSGAIGLGLWAAGGVLSYSLGIIGLGSSASSSSTAPLVSVSAATSEAMDFVAEFERDYGSIGTMPNFVSEGFMDALQRSRNSFQLLFVYLHSPDHPDTPLFCERTLCSEAVTEFVNGNFVAWGGSIRASEGFKMSNSLKASRFPFCAVVMAAANQRIALLQQVEGPKSPEELLVILQRVLEESSPVLVAARLDAEERQNNVRLREEQDAAYRAALEADQARERQRREEEERLAREAAEAERKRREEEEARERAAQEAAEKQAALAKLREEKAQSLGEEPEKGPDVTQVLVRFPNGERKGRRFNDTATIQSLYDYVDSLGCLETESYSLVSNFPRVVYGQEKLTLSLKEAGLHPQASLFVELNS >OIV91327 pep chromosome:LupAngTanjil_v1.0:LG20:1281226:1282517:1 gene:TanjilG_01945 transcript:OIV91327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSKVTLDIFSKLEHKWLSHCKGTNRTRILSIDGGGTSVIVSGAALIHLEDQIRIQTSDPHARIADFFDIVAGTGIGAILAAMVTAADAFGRPLYSARDAVRLIMERNSELYKVKRAGVFGRRRRFSARSMDNVLKQVFQRKEEDGSRLLTLKDTCKPLLIPCFDLKSSAPFVFSRADASESPSFDFELWKVCRATSATPNHFKPFNFTSVDGKTSCSAVDGGLVMNNPTAAAVTHVLHNKRDFPSANGVEDLLVLSLGNGSSSAKTCENREASTPSVVDIALDGVSETIDQMMGNAFSWNRTDYVRIQSFGLGSEGMKNEVLKEMGLESLPFGGKRLLTETNGNRIHNFVQRLVATGKPSTPSSPCKE >OIV90973 pep chromosome:LupAngTanjil_v1.0:LG20:3764361:3764800:1 gene:TanjilG_16933 transcript:OIV90973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSIFLLCSPSFSSQWHQQRERFMAKPSSPKRSIDGEAPKPPSFFVHGSGQPRAIWHWFVTVVIRGGSILTLGEAPQSIGRTPTRSAYDDVNSAMKVM >OIV90718 pep chromosome:LupAngTanjil_v1.0:LG20:7107286:7108644:1 gene:TanjilG_15104 transcript:OIV90718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGLPPQPSTAPPSLSSRSATTILGKYQLTRLLGRGSFAKVYQAQSLIDGTTVAVKVIDKSKTVDAAMEPRIVREIDAMRRLHHHPNILKIHEVMASKTKIHLVVEFAAGGELFSKINRRGKLPENLARRLFQQLVSALRFSHRNGVAHRDLKPQNLLLDGEGNLKVSDFGLSALPEHLKNGLLHTACGTPAYTAPEILRRGGGYDGSKADAWSCGLILFVLLAGHLPFDDSNIPAMCKMISRRDFRIPDWISKPARFVIKRLLDPNPATRMSLESLYNNNSWFKKSLRPEPEESVFGSGLYKNGYDNSHEGCCYKGSGVNAFDIISMSSGLDLRGLFETKSYRNNERRFSSNKRLEEVEEKVKEVGSVLGFRVEVGKNGAIGFGKGKVGLVIEVIEIIENELLLVAMKIVDGGLEFEELHWEDWKVGLQDVVLSWHNNNESPTLSNMYNE >OIV90908 pep chromosome:LupAngTanjil_v1.0:LG20:3162923:3163219:1 gene:TanjilG_16868 transcript:OIV90908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWCSPEPNSKPEDKPARSIPAAQNHTSVVALAEQIISPERLAASAGALIGKEGEKLSEGAFCFFAAQRGGGGKVACYFFNYSVGFCCCWEPGLDGFPL >OIV91124 pep chromosome:LupAngTanjil_v1.0:LG20:2812991:2813370:1 gene:TanjilG_30346 transcript:OIV91124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPVFATLLFCSLVLSFSFLESAIASEDASFCSKKCSARCSVAGVQDRCLKYCGICCAECKCVPSGTYGNKHECPCYRDKVNKKGKPKCP >OIV90213 pep chromosome:LupAngTanjil_v1.0:LG20:19648216:19649199:1 gene:TanjilG_01409 transcript:OIV90213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKNNEKNKMTNSSKEADFQLKQEGLISSDQENAKASSGSAQWLRLKDPRIVRVSRAFGGKDRHSKVFTIRGLRDRRVRLSVPTAIDLYDLQDRLGLNQPSKVVDWLLNAVKQEIDELPPLPISPRNFTLGYPSLAASNEVTTSQFNIGPSSTRNSQRTDSEEHHKRESNESHVLPNNLLLPRANQPSFLGMLNTMPLGGYQLPSADVTQLENHGFVHQQDHIHSINVVPFPSTLSLSTGNSASQILVCPPGVTTQSYFPASNVAAIDMDPRQINHFQMLSSSSHQNLLMNNSLNPYQNLVRTTPKLFHSSNSSESQSHKDQDFPSE >OIV90386 pep chromosome:LupAngTanjil_v1.0:LG20:14285851:14295762:1 gene:TanjilG_10686 transcript:OIV90386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTFTLYSHLHSFRTPPISSTSIFSTPFKDTNKQFGFSKGTKLFKSRIIVYSSSSPKDAASGDNTQSSFFRVDSNFCIIEGPETVQDFVQMQLQEIQDNIKSRRNKIFLLMEEVRRLRVQQRIRSVQKVFNEDGVEESYEMPEIQSSIPFLPRVTPKTLKMLYLTSMSFISAIMLFGGLIAPTLELKLGIGGTSYEDFIRNMHLPMQLSQVDPIVASFSGGAVGVISVLMLIEASNVEKQEKNMCKYCRGTGYLACARCSASGVCLNVDPISVSNASVKQLQVPTTKRCPNCSGVGKVMCPTCLCTGMLMASEHDLRIDPFDM >OIV90982 pep chromosome:LupAngTanjil_v1.0:LG20:3828100:3829148:-1 gene:TanjilG_16942 transcript:OIV90982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISMLLASMLVPGNSASGYYGTKGNAEKPKLQEEKLLSTNIVVQGLVYCKSGSKLIPLEGALTRITCEVVDEYGFETTPYSFLSEATNAKGYFLATLSPLEVTEKWVLKECRAFLDASPSDNCIYATDVNKGSSGAVLHSYRLLHDKNMKLYTVGPFVFTTPPPTSISEGN >OIV90875 pep chromosome:LupAngTanjil_v1.0:LG20:5035107:5036888:1 gene:TanjilG_15608 transcript:OIV90875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESIVHRTVEVNGIKMHVAEKGEGPVVLFLHGFPELWYSWRHQILSLSSLGYRAVAPDLRGFGDTEASASVSSYNCLYIVGDIVALIDSLGVDKVFLVGHDWGAIIGWWLCLFRPDRIKAYVCLSVPFRPFNRGDSKVKTGDAFRAAYGDDYYVCRFQKPGKMEAEIDQVGAAYVIKNILTMRQTGPPIFPKGEYGTGFNPNTPETLPSWLSEEDLAYYVNKFEKTGFTGGLNYYRNLNLNWELTGPWTGVGIKNVPVKFITGDVDIVYTTPGVKEYIHDGGFKKDVPSLEEVVVQEGVAHFNNQEAAEDVSNHIYDFIKKF >OIV90281 pep chromosome:LupAngTanjil_v1.0:LG20:18196800:18199169:1 gene:TanjilG_08318 transcript:OIV90281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFSGIENKQCDEVHGDDELSDDGSQLGEKKKRLNLEQVKALEKSFEIGNKLEPERKMQLAKALSLQPRQVAIWFQNRRARWKTKQLEREYEVLKKQFEALKADNDNLKAQNQKLHGELQALKSTDCFETGTISFKKETDQGSWSNRSDNSSNINLDLSRTPVMNSPVSCQNAKNLLPNSLKPTSITQLLQCSSRSDLQEESFTNIFHNIDEQQNFWPWPEQNHFH >OIV91230 pep chromosome:LupAngTanjil_v1.0:LG20:1983888:1986122:1 gene:TanjilG_30452 transcript:OIV91230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFEIAVKAATGAPNVLGDCPFSQRVLLTLEEKNIPYNTHLIDVTDKPQWFLDVNPEGKVPVLKYDDKWVPDSDVIVGIIEEKYPDIPLATPSEFASVGSKLFGSFVSFLKSKDENDGTEQALLAELKALDEHLKAHGPYVAGEKVTAVDLGLAPKLFHLSVTLGHFKNWTIPESLENVHNYIKLLFARESFEKTKPAKEHVIAGWAPKVNP >OIV90893 pep chromosome:LupAngTanjil_v1.0:LG20:4913416:4914604:-1 gene:TanjilG_15626 transcript:OIV90893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQSKVLNTPGAEKQTKRELFDALRQQLQPSVLEKASKSVWDLILDNAGLGKEISETVEKVFCRLSGQEPPLFPLPNEKPELDKEGDSRKEKGKGKQKEHENSNSHTPSKKRSFSEINLEGAGETATRSYDPAAISEGSGKSPLLISKT >OIV90806 pep chromosome:LupAngTanjil_v1.0:LG20:5707193:5709549:-1 gene:TanjilG_15539 transcript:OIV90806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSGRTGYGDYTYENLEREPYWPSEKLRISITGAGGFIASHIARRLKSEGHYIIASDWKKNEHMTEEMFCDEFHLVDLRVMDNCLKVTEKVDHVFNLAADMGGMGFIQSNHSVIMYNNTMISFNMIEAARINGIKRFFYASSACIYPEFKQLETNVSLKEADAWPAEPQDAYGLEKLATEELCKHYNKDFGIECRIGRFHNIYGPFGTWKGGREKAPAAFCRKTLTSTDRFEMWGDGLQTRSFTFIDECVEGVLRLTKSDFREPVNIGSDEMVSMNEMAEIVLSFEDKKIPIHHIPGPEGVRGRNSDNTLIKEKLGWAPNMKLKDGLRITYFWIKEQLEKEKDKGIDLSLYGTSKVVQTQAPVQLGSLRAADGKE >OIV90118 pep chromosome:LupAngTanjil_v1.0:LG20:20796199:20801548:-1 gene:TanjilG_01572 transcript:OIV90118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPMEALITQIQGLSSSAADISRLHTILKQTTVDVSLRSYSDHLPSLLTNLDPSLHSLGFLYILDASIAIPVSKPQAEILVPIVTTFIVACSVEQIQLAPDKFVSVCKKLKDQVVLLEAPIRGVAPMLTAVRKLQVSTERLTPLHPEFLLLCLLSKSYKTGLSILDDDIFEVDQPRDLFLFFYYGGIICIGQKRFQKALDLLHNVVTAPMSTLNAIAVEAYKKYILVSLIRHGQFSTNLPKYSSSAAQRNLKNFCLPYIELANSYGIGKIALLEEYVQTNTEKFESDNNLGLVKQVVSSMYKRNIQRLTQTYLTLSLQDIANTVQLNSAKDAEMHVLQMIQDGEIYAMINQKDGMVSFLEDPEQYKTCEMIEHIDSSIQRVMALSRKLATVDEQISCDPMYLSKVGRERQRYDFDDFDVPQKFNI >OIV90035 pep chromosome:LupAngTanjil_v1.0:LG20:21950908:21956258:-1 gene:TanjilG_23955 transcript:OIV90035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVVPPPRRSDQGLGSGELRVYQAWKGSNKFFLQGRFIFGPDARSLFLSITLIVAPVAVFCAFIARKLMDDFPDHLGILIMAVPVIFTIYVLVLLLLTSGRDPGIIPRNAHPPEPEGFEGSLDAGAGQTPQLRLPRFKEVEVNGHYMKVKYCDTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGLRNYRFFFMFVFSSTLLCIYVFAFCWVYIRRIMESEEITIWKAMIKTPASIVLIVYTFLSMWFVGGLSGFHLYLISTNQTTYENFRYRYDRRANPYNKGLFNNFKEIFFTSIPQSKNNFRAMVPVEPALPPRSVSGGFMSPSMGKAVDDIEMGRKTVWDMGSAGIDDSQLNNRPTIKDGELGELSPEIRTTVDEAGDHVGSHPRRSSWGRKSGSWEMSPEVLSLAAKVGEPNRTGGGGSSGSTTENSHT >OIV91363 pep chromosome:LupAngTanjil_v1.0:LG20:997197:998870:1 gene:TanjilG_01981 transcript:OIV91363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVRINTLLQGYSGIRFEILEAIAKFLNNNITPCLPLRGTITASGDIVPLSYVASLLLGRPNSKSIGPNGQVLNAEEAFELAGIDSGFFELQPKEGLALVNGTAVGSGLASKVLFYVNILAILSEVLSAIFAEVMQGKPEFTDHLTHKLKHHPGQIEAAAIMEHILDGSAYVKAAQKVHEIDPLQKPKQDRNKALHGGNFQGTPIGVSMDNTRLAIASIGKLMFAQFSELVNDFYNNGLPSNLTASRNPSLDYGFKGAEVAMASYWSELQYLANPVTNHVQSAEQHNQDVNSLGLISARKTSEAVDILMLMSSTFLVALCQAIDLRHLEENLKCTVRNIVSQVAKKVLTNGVNGELHPSRFCEKDLLKVVEREYVFSYIDDPCSATYPLMQKLRAVLVDHALNNGDKEVNSHTSIFQKIEAFEEELKTLLPIEVENIRVEVENGNPVVPNKIKECEKVRSPGEECDKVFSALNEGKLIDPLLDCLKEWNGAPIPIC >OIV90940 pep chromosome:LupAngTanjil_v1.0:LG20:3467852:3473936:1 gene:TanjilG_16900 transcript:OIV90940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHDSRRNHHRSSSPDNVDKSSKRHKHRHHSHRHHHSKKRDEKIENDVETYVVVPSPIPDRYNRLTNDDVEEGEILEEDDDVTRKHSESEAEPGEIEVIGDRDSRSDKKNLGLLTKNSKTRSEDIDSDNVISHEDNGSPNRSTTGPQDGKDARSRSDGAGNGYLDPKSSKGDNWQNRELGSLKGNEKLKDNYYDEAIGANGGKVNNRWNSSSESGEEKYKMAGNSPHDRYRSRSRSIGHNRERSRSQSIVDEHAHSKRRHSGEQGTHDYINRDKTDYDEERMKPCRKEHRHHSIDLVVDDRREYITKYHSREARDRDRSRDMGVDRDLGRENKRAERSRNREVDWLRRTEKERGRSKERGRRDVEEVQIREREKARDRRGEKDIERSWEAVYGRDRTRGNERDRSADRRRGGEREREWESERGDINREWYNIRERERQYDRYRHRSRDNANGKGKDLHHEDGNDNRDRYRKHSRYEETEYHQERKRNSDPVEAFKSTGRTMEEDECKKLERGEIEDDIDEDAFQLPEQEEDLNKIKEESRRRREAIMEKYRKQHQQVEQGIENEGKDTEPMDITEALDGKNDADDSETSFAVGKSPENSKVASEKIYGARGLGEGTPKSERSDDKFCDDIFGETPTGVRKSGKGDSLLIEKVGLHDNWDDAEGYYSYRFGEILDGRYEITAAHGKGVFSTVVQAKNLKTGNGEPEEVAIKIIRNNDTMYKAGMDESTILKKLVGADPDDKRHCVRFLSSFKYRNHLCLVFESLNMNLREVLKKFGRNIGLRLSAVRAYAKQLFIALKHLRNCGVIHCDIKPDNMLVNKAKNVLKLCDFGNAMFAGKNEVTPYLVSRFYRAPEIILGLLYDHPLDIWSVGCCLYEIYTGKVLFPGLTNNDMLRLHMELKGPFPKKMLRKGAFIEQHFDHDLNFLATEEDPVTQKTIKRMILNIKPKDIGTIISGSPGEDPKMLANFKDLLEKIFVLDPDKSSSRGSNHLMLSSSLLSPRRVIWVVGPLK >OIV90157 pep chromosome:LupAngTanjil_v1.0:LG20:20404606:20407736:1 gene:TanjilG_01611 transcript:OIV90157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFPICHNTPYKDQNLLTQSLIRHNEKRFMYDSDNMLRSFFSYKASNILAKSNTKERNALRCIVHGKESLHKTVTKVIPGCYSKRENLSIVQCSTESSSIKKQLRSLDSYFGKRQDNAKSCSFDLSNKVMQAHQIDGQSRPKNGLESLDEYLGKVNNGAYQEIRLPTYVESQSEEDNLVAQQSFSNDTIRTNFRKRNTYPDISRIKGVRSLQSTIGSQQNDDTSSLYLIGILFSVNIAVFLFEIASPIRNEDIELFSLPLLYGAKINDLIMVGEWWRLVTPMFLHAGIYHMALSCWSLLTFGLQVCRGYGSFTFFLIYILGGVSGNLTSFLHTPDPTVGGTGPVFAIIGAWLMYQIQNKDVTSNDASENLFVKAIIVTALGFILSNLGPIDDWTHFGAAFTGMAYGYLTSPTLQLDDASSTGTGQEEGLKLVRKHGDYRKSLIIFTIFIIVLSSFLFFMEPPLHAMAYEYVAALDKFA >OIV90976 pep chromosome:LupAngTanjil_v1.0:LG20:3771390:3773566:-1 gene:TanjilG_16936 transcript:OIV90976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTMSTILILLLPLFVTLVLGDTPPTTTVSTGTVCKYTPDPSYCKSVLPTQNGNVYDYGRFSVKKSLSQATKFLDLVNKYLHKSSTLSTPAIRALQDCKTLAELNLDFLSSSFQTVNKTTRFLQSSQADDIQTLLSAILTNQQTCSDGLQSTSSAWSVKNGLSSPISNDTKLFSVSLALFTKGWVPSHKNKPSTFHPTRKQLGFQNGRLPLKMSSRTRAIYESVSNRKLLQATVDEDVMVSDIVVVSQDGSGNFTTISDAIAAAPNKSLSTDGYFLIYVTAGVYDENVSIDKKKTYLMMVGDGINQTIITGNRSVIDGWTTFNSASFVVVGQGFVGVNFTVRNTAGAVKHQAVALRNGADLSTFYSCSFEGYQDTLYTHSLRQFYRECDIYGTVDFIFGNAAVVFQNCNLYPRLPMSGQFNAITAQGRTDPNQNTGTSIHNCTIKAADDLAVNIGSVKTYLGRPWKEYSRTVYMQSFIDNVVDVTGWNKWDGDFALSTLYYAEYNNSGPGSSTDNRVTWPGYHVINATDAVNFTVTNFLLGDDWLPQTGVTYTSNLV >OIV90175 pep chromosome:LupAngTanjil_v1.0:LG20:20212055:20215512:1 gene:TanjilG_01629 transcript:OIV90175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTTSWAGLWFLTRNTTVSTTTSTTSLEFSVLKPNVGPFRLFSPYSLSHNGSTSLTQRSCKCSYTAPVSTRTYEFSDTSSEVELRLNLKGLDIQSSRDISVDTSDSSLAIRALRPGLPVTLIETNHLFDRIKPSETIWYIDDDELVVNFKKHDPDLKWPDIMESWESLTAGSTQLLKGASIYLVGNSTEINYKVAQEIATGLGYTPLSTKELLETYSNQTVDSSWLLAEGSDSVAEAESAILESISSHVRAAVATLGGQHGASGRTDKWRHLYAGFTVWLSQTEATDEDSAKEETRKNVKDGRLAYTNADVVVKLQGWDSAYAKNVAQACLSALKQLILSDKKLPGNSKV >OIV91437 pep chromosome:LupAngTanjil_v1.0:LG20:388348:395071:1 gene:TanjilG_02055 transcript:OIV91437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSVVVVVDVVLLYLVMVVPWRVWCQQQGLHVNMTHVQNARATNALCLDGSLPAYHLHRGYGAGINNWLLQFEGGGWCNDLASCLVRAQTRRGSTRFMNKWETFSGILSNDSSLNPDFYNWNRVKLRYCDGASFTGDTVFNNKTTMLYFKGQKIWEAIIRDLLPKGLGKARKALLSGCSAGGLATFHHCDNFAKYLPSNASVKCLSDAGFFLDGRDISLNHTMRYFVKSLVELQGVEQNLNRNCTSTLFFPDLCFFPQYALRYISTPYFILNSAYDVFQFRHILVPPSADMHGHWNHCKLDPAACTPDQINILQGFRHYMLATLRPFYIYSGRGGMFINSCFAHCQSESNDTWFADDSPRVNNKTIAEAVGDWYFSRNRSKEIDCAYPCDTTCINNIPQSQVT >OIV91367 pep chromosome:LupAngTanjil_v1.0:LG20:949566:951753:-1 gene:TanjilG_01985 transcript:OIV91367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFMVNSMERKSSDSERCFDSQLWHACAGGMVQMPPLNTKVFYFPQGHAEHAHGKLDFGQTSVPPLIPCRVSAMKFMADPDTDEVFVKMRLNPLRDNELNFEDDCFLGNNNGAETQDNKPASFAKTLTQSDANNGGGFSVPRYCAETLFPRLDYSAEPPFQTIIGKDVHGQCWKFRHIYRGTPRRHLLTTGWSNFVNQKKLVAGDSIVFIRGENGDLCVGIRRAKKGGIGGGIELSSCWNNNHAALPLFGGGSGFLMRRKGSDEFVRRVAVEYVVEAVTYAVNRRPFEVVYYPRASTPEFCVKASSVRNAMQIQWCYGMRFKMPFETEDSSRISWFMGTISSVQVADSIHWPHSPWRLLQVVWDEPDLLQNVRSVNPWLVELVTSIPTFHLSQFSPPRKKQKFLKDQVVHLNNQLPLPSLSTNLLLKNPHTNSLCRIEDHSFSSIQGPRHAQSGLTPPDFSFNNKQMQPETHLFCLQRLHHATQPFRPSCRIYKSSTKNNNVDISCLLTEGKPGQSLKESDEAKAPHILLFGKLIHAEQNSSNSSIFGINGNSASESTSQKTSNASDIQGSTDGGSPWYKDQHQSDLATENVNMLCMAL >OIV90578 pep chromosome:LupAngTanjil_v1.0:LG20:9164234:9167635:-1 gene:TanjilG_01659 transcript:OIV90578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISSGINLVMTVIGFVVSTMFIVFICTRLICARIHLNASRRSFPVAARSNLSMMERGCHGLERAAVAKFPTKKYSDKYFSDAENTQCPVCLSEYQGEDLLHILPYCGHSFHVTCIDLWLQQNSTCPVCRISLREFPERKRLMQPMFSFALRPQHNMESFDTDHYHCMMTNNGLSSRTPDNNGVNTIQEDHFPPEGGLAVARDNITCLSQGGLIKDEGKKHVESPSNL >OIV90494 pep chromosome:LupAngTanjil_v1.0:LG20:11102122:11107249:-1 gene:TanjilG_32711 transcript:OIV90494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVVGKVGSLITQGVYSVATPFHPFGGAVDVIVVQQQDGSFRSTPWYVRFGKFQGVLKGAEKIVRINVNGVEANFHMYLDNSGEAYFIKEVDDDEGIESNGVVQDSTNNTDSFLGNVHRLDHSISDTGVLQLKGEGNSLVPPRLQRAESDGDKRFYDFQDDRSSFEDPAELPEYGPNESLDGENFEDSHGSHPEMVLVSVDGHVLMAPISESEQNVENVQLKNPQFHLGPAEETDLTEGGEEFSSGENAWAADYISKLDAPTANVSPKHSVANGDDITSEIPLEVRKAEEGHICQARESLGIENQEGIHVQTDSEGVASGIVRENVFKSCLELQELAQQAGNADIPVLGSLLEVQNSAEESNASCPLVDENERLSIVQSDNIDELSLSRGLTSSNGHRSPKSELGLEEAERTASEEVEIAPDGHSATIDIERNGENVGKTASGDEVDANQQIPALEDMINKSEAVKPQIETSNKGDQSHSGLGFEISLCGHELKAGMGLVGSAEVFEAHKISAEDFTSSAPSLIKNQNLVVKFKDRYLTWEKAAPLVLGMAVFGLELPVESKDTIPVEEDYAQKSKDGGPGTSSSGRRWRLWPIPFRKVKTVEHTDSSSSNDDIFLDSESGFFIEPTQTSSTQGSPRKQLVRTNVPTNEQIASLNLKDGQNLITFSFSTRVLGTQQVDAHIYLWHWNTRIVISDVDGTITKSDVLGQFMPLVGKDWTQSGVARLFSAIKENGYQLLFLSARAIVQAYLTRNFLLNLKQDGKTLPNGPVVISPDGLFPSLYREVIRRAPHEFKIACLEDIKRLFPSDYNPFYAGFGNRDTDEISYRKIGIPKGKIFIINPKGEVAISQRIGAKSYTSLHTLVNDMFPPTSLVEQLQEDFNSWNYWKMPLPDIE >OIV90617 pep chromosome:LupAngTanjil_v1.0:LG20:8521108:8528245:1 gene:TanjilG_01698 transcript:OIV90617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEEKENPYEGLGTGGKFRKRPFRRTQTTPYDRPSTSLRNPTINNNNKGWFSKVVDPAHRLISYSAHSLFSSLFRKRLPPPQSNASSSGAEIEQDVGVSHQEEAVFVSNNLSGKQQGAIDNGESGAQISSSDGGRLTELEKLLGQKTFTRHVLSEIDHLTALMRSRTVDAPIREEEKRTEVVPSEAILSSKLKDEGPKTPIVQNRVENRLALTPYVTSRVPSEDIASPAELAKAYMGSRPSKVGGQSPAAREGPAFLKSDDNLPLNSPIMSIVPRTVRHAGVHENGFANPRTRGRSAIYSMARTPYARIYPTSTLKGVGHAVEGEPSSSTQSTLDHGMLYGSKQGSVKRRSSALNNDVGSVGPIRRIRHKANLYSKGSSLPLSGSSLSISRSGLGVDVAQQPSSSMWNPIVLDEDKHSHMKLSEENLGDTRPSMSGPLPSKSSEMASKILQQLDKLVSPKEKSSESRLPTVNDNSSMKLSPSMLHGPALQSMEIVDSSKLLDTVKDDRFNFSPGNLSDSSEKLTSQIDKVENGSLKLFAPSGELFPVVTNADSTIPRNQVISTAKSVDSSVIKSVSYPPQKKRAFHMSAHEDCLDLDDDAYPNGAVSSVFPVENEMTRPAAMVVKDTSGTEAVAQENTESLSVVMPSKSSIIGREAHVGTDDVTDGSRVVAKVDVSTSTTSSIPYQTSKPATADTQTSFGSRNPAPPNGSIAIPPVFGFVNKVAPPKELTNSGSVFGLEKVVSSKEFGADVPLVNFGSNGNADKVPQMPFTSSSVGGESTFPNFCVSSDSKLGTSISSATVASATDSMPKVRESSNDDTKTNIGSVGSPELPVSSATTTPLFTSTSASTFGQSNGSLATSTSLSSPFTSQNVFSSSSLAASSSNISATSTPAIIPSSNSSSSNSLVASSSSPAPSFKFGSSSVPSTDLPASSSSSEPLETKNINSNLSSTAFGSSSAAVGSTGSGLFGFSSSGMTTVTSQVQGSVIGSSNGSVLNAQASPASSGFATSTQTPSVPFGSSAPSLSFGLTGNTAFSSGNSLFPSATPATNAAFSFGSSSSPSSSAINIFNSGTTFGLGTSASSSAANSVSSNSGTNSAVFGSSSWQPSKSSPFGSTFNSSSSPSAFGLGTSASSSATNSVSSSSGTKSALFGSSSWQPSQSSPFGSTFNSSPSPSGFSFGTSASVPSSSPTMFSSTPQFSFTSAAATTSTQPAFGNPNSGFTFGSAPVNNDQMTMEDSMAEDTVQATPPAIPAFGQQPNPFGASAPSGVSPFQFGSQQNATLPNPSPFQASGSVEFSGGGGSFSLGTGGGDKSGRRIVKVKNRQRKR >OIV91174 pep chromosome:LupAngTanjil_v1.0:LG20:2411593:2412694:-1 gene:TanjilG_30396 transcript:OIV91174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHDQGHIVSISDDKYAQKLDDDDLVFVSDKEYAQELQLQEALIASVPPRNTTIKGSLSSSSLPSSSNVVQVSLDCKKIKFADEEAGESSRIICEICAETKESEQMFRNNFAMLVENNGQLLMGAAK >OIV90959 pep chromosome:LupAngTanjil_v1.0:LG20:3654303:3666361:1 gene:TanjilG_16919 transcript:OIV90959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPNQETVKSKNEEEALDVVVVNEEDDEYESKERVLHKYFMQEWKLVKSLLDDIVSNGRVTDPSSVHKIRSIMDKYQEQGQLLEPYLESIVSPLMYIFRSRTIELGVDSDAILEVIRPICIIIYSLVTVCGYKAVIKFFPHQVSDLELAVSLLEKCHHTSSVSSLRHESTGEMEAKCVMLLWLYILVLVPFDISTVDTSIANKESLVEFELAPLVLRIIELCKDYLSTSGPMRTMAGLVLSRLLTRPDMPKAFMSFVEWTHEVMSSATEDALNHFQLLGAVEALAAIFKGRTTKLNISLNTSSTTDQSNFPLNDVSSNSNESTDVAEDEDMDVPENVEEIIEMLLSGLRDMDTVVRWSAAKGIGRITSCLTSSLSEEVLSSVLELFSPGEGDGSWHGGCLALAELARRGLLLPASLPKVVPVVIKALHYDIRRGPHSVGSHVRDAAAFVCWAFGRAYFHTDMRNILQELAPHLLTVACYDREVNCRRAAAAAFQENVGRQGNYPHGIDIVNTADYFSLSSRINSYLQVAVSISQYDGYLLPFVEDLVDRKICHWDKSLRELAAEALSFLVKYDLQYFASTVMEKLIPCTLSTDLCMRHGATLATGELVLALHQCNYALPLDKQKSIAGVVPAIEKARLYRGKGGEIMRAAVSRFIECISVSKVALPEKIKRSLHDTLNENLRHPNSQIQNAAVKALKHFVRAYLHASDNKGTNDVIVKYLKMLSDPNVAENAEERDAEARVNAVKGLVSVCEILINGRGDAATPFTENDFSLFSLIKNEVMMSLFKALDDYSVDNRGDVGSWVREAALVGLEKCTYMLCKIDKLGSWGKSDGYEAESLLQPLTDNTLKNNQELSLFDEHLATSLVGGICKQAVEKMDKLREAAANVLHRILYNQMIYIPYIPFREKLEEIIPKEANVKWAVPSYSYPRFVQLLQFGCYSKEVLSGLVISIGGLQDSLKRVSLSALLDYLEGVESQHPDTSKSREYMLSVDIVWVLQQYKKCNRVIIPTLKVHTPNFCAGVLDSLAIELKGSRDFSKLYAGIAILGYIASVLEPINTRAFSQLLTFLGHQYPKIRKASAEQAYLVLLQNGDLVAEDKIDKAIEIICESCWDGDADLAKHRRLELYETVGLDAGALGKNSDASRKTNNKKPTNLDENASYSSLVESSGF >OIV90652 pep chromosome:LupAngTanjil_v1.0:LG20:8061876:8064049:-1 gene:TanjilG_01733 transcript:OIV90652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRAFSTKKPSSLPPPTPTAIGTSYTKKKRSPRSPLQDLNRISSSSNSSYASSNVSFEPPKGCLRFLSSSSFKAPVHRPKNLSKTSNSVPDIPALKQSKSKSSKENLSKGNHGLQTKRLRSHKAPQTLRKNPQYLYQWQSGSRTSQKSKPCSALNEHGKNLPRLPPSSEEVKRKEDKLEGVNYSSGEHSQLKSCHSDATMTPLSENVTRSDLDGTVYGDVEENLNTSVSRTPPIHSSVSPEIQGGSSLVSTTTPACYGAGYVVSGVTDKRKCRPRGILTVEDKYSGFDKMGASSFDDEKKMAGVNNHVSPSLLHSPSEALVLWLSSPRDKGKKVLSRKSEIILSKHQGIVESTSLGYSASPSSSSKTFWNISDSSDLSGAADGAGRKLSPSISPTGLSECQAAVNSISPSYPSILFSPNSTPSFRPDGSEKEKIDHHNLMIGNSPFSQNSLGSGNVIRTPPSDSSSDLHIGLSLVHADNPKEDKFNPDHISLTDVLFSQEPSLSSSAPLKDSVNSSFQFDCSTVPYESIDLNKLPNFLDDTDPWLSSSTIENASQSRMRISWREGISQHEEDEFDCCRCLSDEEDLANDCGNNRLSDPQVNLRPGKEIFPASISSSSDAESISTDGGGLVASTEDSDWTSCYKNKLFDVSLIFIVCSIFTSKSYMLSRTSGKGLKYILRGCYHCTIKNIFHVVKGGFQL >OIV90272 pep chromosome:LupAngTanjil_v1.0:LG20:18052404:18054425:-1 gene:TanjilG_08309 transcript:OIV90272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGPGQYSDIGKKARDLLFKDYNSDQKFTITTYSPTGVAITSSGTRKGDLFVADVNTQLKNKNITTDVKVDTNSNVFTTVTVNEPAPGLKAIFNFKVPDQRSGKVELQYLHDYAGIRTSVGLTANPVVNFSGVVGSNTLGLGADVSFDTKVGELKTLNAGLNFTKDDLVASLTVNDKGDALIASYFHLVSPLTNTAVGAEVTHRFSTNENTLTLGTQHALDPLTTVKARVNNFGKANALIQHEWRPKSFFTISGEVDTKAIEKSAKVGLSLALKP >OIV91326 pep chromosome:LupAngTanjil_v1.0:LG20:1289298:1289973:-1 gene:TanjilG_01944 transcript:OIV91326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFFDSTYNTSLFPSIVHCLWLPFFLYLLCTDLSPQDSILLPDIVEVDRLPSFKREDGEMWYAFYILTCHGIRYECSSNSKIQVLMVINFAEWVQIGMYYISSQ >OIV91181 pep chromosome:LupAngTanjil_v1.0:LG20:2358476:2367878:-1 gene:TanjilG_30403 transcript:OIV91181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNKGKVGLHVRLEHQVEFGDHVVIVGSAKELGSWKKHIPLKWTPNGWVSEFEFKQNEQIEFKFVIVKNDKSLVWEGGQNRVLKLPTAGNYGTLATWNATDRKTELVPLDHNNVDEIHDSHSHSESESEVTTTAAPVFGAQESPFVGQWQGKSVSFMHNNDHRANEVQGKWDTSGLQGLPLQLVQADQHARNWWRKLDVVRDIIEVGLQGEDRLEALIYSAIYLKWINTGQIACFEDGGHHRPNRHAEISRLIFRELERHTSRKDISPQEVLVIRKIHPCLPSFKAEFTASVPLTRIRDIAHRNDIPHDLKQQIKHTIQNKLHRNAGPEDLVATEAMLAKITKNPGEYNEAFVEQFKIFHHELKDFFNAGSLAEQLESISASMDEYGSSALNLFLECKKVMDAAAGTTADAEEQGLKLLFKTMESLNALREIIAKGLESGLRNDAPDSAIATRQKWRLCEIGLEDYSFVLLSRFFNVLEVKGGAHWLASNAQSKNINLWNDSLRALIIGVHQLKLSSWKPEECDAIENELIAWSKKGISEMEGNEDGKTIWALRLKATLDRSKRLTDEYSEELLKIFPQKVQTLGKALGVPENSVRTYTEAEIRAGVIFQVSKLCTLLQKAVRSVLGSEGWDVLVPGAVAGTLVQVERIVPGSLPSSVEGPIILVVNKADGDEEVTAAGSNIVGVILKQELPHLSHLGEKVVFVTCDDDEKVSDIQRLIGSFVRLEASTAGVNLKLSSSGDTDGNSSVKSASDDSSPAVANPSFSVGRISDFNQVASSGGVILLPDAEIQTSGAKAAACGLLSSLSAVSDKVYSDQGVPASFRVPSGAVLPFGSMELELEKSNSSETFKSILEKIETAKLEGGELDALCHELQKLVSSLKLSTEVTERIGEIFPNNARLIVRSSANVEDLAGMSAAGLYDSIPNVSPSNPTVFGNAVSRVWASLYTRRAVLSRRAAGVPQKEASMAILVQEMLSPDLSFVLHTSSPAKQDSNWVEAEIASGLGETLASGTRGTPWRISSGKFDGQVETLAFANLSEELLVCGGGPADGEVIHLTVDYSKKPLTVDPVYRRQLGQRLCSVGFFLERKFGSPQDVEGCVVGKDIFIVQTRPQPL >OIV90079 pep chromosome:LupAngTanjil_v1.0:LG20:21293397:21303937:-1 gene:TanjilG_01533 transcript:OIV90079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNDAMNVDHDQPHTKAQALQTLISGPISSSISNLYASSRCIPSERDFHFYRNFNEFKLPVNEIVKESQLMLESIGVSIAKESAAFPVDIDDAYDWLVNLNDDVLERFDLSADEFRKVRMEEEETGRPAVNSGMEDDGFQLVCGKKKKGGREFENSEEVAMEMETNVKVAMKDKKTMGPKAKVPFHIPTIRRPQDEFTIVVDNANVPFEHVWLEKSDDGQRLIHPLEKLSVLDFVDKDPENVVPVKPPPLESTPFMFVEDVKSLKELADKLRSADEFAVDLEHNQYRSFQGLTCLMQISTRTEDFVVDTLKLRVHVGPYLREVFKDPAKRKVMHGADRDIVWLQRDFGIYICNLFDTGQASKVLKLERNSLEYLLRHFCEVTANKEYQNADWRVRPIPDVMLRYAREDTHYLMYIYDLMRIKLFALSKESESSDNPLVEVYKRSYDVCMQLYEKELLTENSYLHIYGLQGVGFNAQQLAIVSGLCEWRDIVARSMDESTGYILPNKAVIEIAKQMPVTTSKLRRLVKSKHPFVERNLDTVLNIIKHSIQNAAAFEEAAQQLKEGNASTESSQHQDTNVLLKIKPPSFTSELPRASLTIPEQNRDANVEALSKAKENGASVQVLKKPTGAFGALLGKSASKRKFYPETKEKEVVKLEQIRSSVTLPFHSFFGSNQESKPVVESPNLASETSNPQEPVPVPYPTSTLDEIIMLESDTAVEDTEQNNLENSNDQRDKNYNVSTSGKEDESEPMSLSELSSNFQTCFHSNNQNNKTPLPKKDEQVGGTLQLKPFDYEEAMKHVKFGDNRKQASSQKGGKRGQDDSGNTSKKKRLAKGQEQTDDSTKELQQGRRRQAFPASGNRSATFR >OIV91193 pep chromosome:LupAngTanjil_v1.0:LG20:2262669:2266650:1 gene:TanjilG_30415 transcript:OIV91193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFDHSDTSGTDDDLPPSHENRFQRPVHTAGNGRSAVVGSGAFPRMQNDMQTQIHNIEKEAYTSILRAFKAQSDAITWEKESLITELRKELRVSDEEHRELLSRVNSDDIIRSIREWRKASGGVHLGTVNNAQSVHDHISGPAVSASRKKQKTPQSAASLSLGAPCPVVHPHIQPTPSLLRNGLPPGVKTKKPKPILTSASSMKSMQLPSTGVTSRSHVNNRGSALGAIATNEPAEAGPYDPLIGKKVWTRWPDDNHFYEAVITDYNSVEGRHALVYDINSADETWEWVNLKEILPEDIRWEGEDPDPRIPHKGGRPGSGRGMKRSVSGGGAITDAGRGRGITKAQPKKDFTLSQNGSRKKPTGDIEILHTDTLIKEVERIFSASRPDPMEMEKAKKVLKEHEQALVNAIARLGDVSDGESGNN >OIV90144 pep chromosome:LupAngTanjil_v1.0:LG20:20560148:20565187:-1 gene:TanjilG_01598 transcript:OIV90144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGVTSSIAAKFAFFPPQPPSYTVVADESEATTTTVEGGKVYNIPEVPKKENVEVVKLRTRRGNEIVGVYVKYHRPTSTMLYSHGNAADLAQMFELFVELSNRLRINVMGYDYSGYGQSTGKPTECNTYADIDAAYKCLKEQYGVKDEQLILYGQSVGSGPTLDLASRIPELRGVVLHSPILSGLRVLYPVKRTYWFDIYKNIDKIGMLKCPVLVIHGTADEVVDVSHGKQLWELSKVKYEPLWVSGGGHCNLELYPEFIKHLKKFVQTVGKSKATENGPKKDTVETEDQGKTSKESEGATSNASELVTDIPEASRNSLDSHLEKSKKPKKPEKSRMSTDHVDRFRRRKGLVW >OIV90050 pep chromosome:LupAngTanjil_v1.0:LG20:21572847:21576195:-1 gene:TanjilG_21182 transcript:OIV90050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPLLKYVFILLSADDNGEGGTFALYTLLCRHAKFNLLPNQQESDKEISAYKYGHSSQTDAASPLKVFLEKHKMFRTALLIIVLLGTCMVIGDGVLTPAISVLSSVSGLLVIDKNFTRGELALLACVILVGLFALQHYGTHNVAFLFAPILTIWLISLFIVGLYNTIYWNPKIVRALSPYYILKFFNDTHTQGWMSLGGIILCTTGTEAMFADLGHFTAMSIRLAFAFVIYPCLVMQYMGQAAFLSKNLDSIDSSFYDSIPGLACTMVMFVTTFLMTLVIIFVWRKNVMLAMTFLLFFWAIEGMYLSAVIMKVPQGGWVPLVLSCFFMVVMYVWHYGTKKKHSFDMDNKASLKWLLGLGPCLGVVRVPGIGFVYSELATGVPAIFSHFVTNFPAFHQVLVFVCIKSVPVPYVISEERFLIERDCPKSYRMYRCTVRYGYKDTQRNSGEFENQLIQCIAKFILMEATEQLEFSAKTSQSSSSFVVSEVEDFCVDNSFPSIQSLELQSLDSVESPQLLRRLVRFQLPNSNPSIDPEVSEELSDLMEAKEAGVAYILENSYVMAMTSSSVLKRLVIDYGYSFLRKNCRGAAETFNVPHICLIEVGMIYYV >OIV91021 pep chromosome:LupAngTanjil_v1.0:LG20:4189999:4190967:-1 gene:TanjilG_16981 transcript:OIV91021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRGLSDCGSSAAYAKEEEVVMKKGPWTQEEDSVLINYVNVHGEGLKRTGKSCRLRWLNYLRPNVRRGNITLHEQLLILDLHSRWGNRWSKIAEQVPGRTDNEIKNYWRTRVVKHAKQLKCDVNSKKFRDTIRFVWMPRLMEQIQASNFGRQDQITTLCSNTKAHTEPCVTMPSMLSVPTSSDSVPSNLMGGGGSWSLSDSFEKGSTSTPCILQQREYSEVEPGNDFGGVDMWTDENICFLQQLFADD >OIV91295 pep chromosome:LupAngTanjil_v1.0:LG20:1501038:1508156:1 gene:TanjilG_01826 transcript:OIV91295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISVGNRDAGKRLGLGFGVGGMREMDESELEEGEACLYQDHEDYDAIIDPDVALSYIDEKIQDVLGHFQKDFEGGVSAENLGAKYGGYGSFLPTYPRSPGWGHPRTVQKVHPQNALGSPNKLQLEGGQGNTAPFSTGSQSLKFGLGSVSSSKLPAFTASMINNAFTQEKCMKTAGAEAFSSKYESLNMSSTSIRDHKTLKVRIKMGPDNLPMRKNAAIYCGLGLDESPSSSLDDSPSGTEGLSREPQDAPLESPISILQIMTVLPTLLSPLTGDLIQLNERETYPRESIPVHMDDSESSGMLQCGSNILKGDQNLSGGKKMKSLEGHESSTEAKTFAKKNTLSDGVLSRKGQGMDELTTEELVSKTLKLPLLSSSYSNTGDSVKYVDGKCDKLKETTKKSMVREKTYTDHSQKGWVEPKSTEENAFVGRTKGGSGDLLQKSRVGPASAEVNGGMKGCLGTKVGDKVSIEDLSVCTAKGSTDQYKICDSVVAEPDLSKVRTTSNTDRIGPPKNPKGSHGTMVTERAKGKLKVSIPLVPKTKKSSDYSSTSKNGTEDVRAQKDRKKARDTYRDFFGEFEEEEDKIDSLESPYRDKLKETKVVKRSTPAINRVAKENSSSKIVGCTLPSDMYPKKATNVTGSVHYDDADNGKGGPISAPEDNWVQCDRCQKWRLLPVGTDPDNLPEKWLCSMLNWLRGMNRCSFSEDETTKAVIALCQGPPPEGQSNLRNFSTSVIVGGTVPSAQHPDQHQLNHSLHAVTCGKKKNVKEMYNSAYKGGSSQFYSSIKKNLNSSTESRSLNDVKISHVMIDANALREKHENNQRMLDQNPANGDKKNMMVKSRRNPNEDCSRPYKKSKIDSMHSTDEEWILEQGGTTRNISLPTTSVGKNQHRQKGCSSSKGSKCDGRGRLHVPVEITKDKGNGFLDEGYLDLRNHDSNGSVKKRKLKECQDTTLLEGRVSVQDFGDSRKGKKARQSKSEGRELGSSEGSGRTDKKGSHTKNQKLRQYPGNTLSERNLGSVQASAAATTSSSSKVSGSSKTKASFQEVKGSPVESVCSSPMRILNTDRPSNRELIWKDGFHATVMVGSPQRCSDAEGSDRSKTVKKDGSDGKVKLKKFGFKTDERENIHIGKKDNTENEKGKKEDQLNKKHDVQEVGIDAICKQEALPAPSQNELLDCDTERSSKRSLSERTDQEVVGKRKLSLPPSGGDQVGVSGRFPRPFVGLHKGNGDVEIDPPKVNDAFKLQKRQIRKADHQNGTQQIGSKHHMLNGSWLKELESPSPIRKDCNSHTTANSALKDAKDLKHLADRLKNSGSTLESTGIYFQAALKFLHGASLLESSNNDNTNEMFQSKEIYSSTAKLFEFCAREFEKSKDMAAAALSYKCMEVAYMRVIYSANTSVSKDRHELQTALQLVPLGESPSSSASGVDNVNNSTTTDKVALSKSISSPQTAGSHFIAAQNRPNIVRFLSYAQDVNTAMEASRKSCHAFAAANLSHSEGKHADGISSIKQALDFSFHDVEGLLRLVRLAMETIAR >OIV90314 pep chromosome:LupAngTanjil_v1.0:LG20:17806241:17808347:-1 gene:TanjilG_13169 transcript:OIV90314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVLVFGLGMFHIVDCLSHINGQNQIPKVGGRVASVLQKQNTNDALSYLNEVKDTFQDQSEKYDMFLAVMKDHKAQRIDIAGVVARVKELFKGHNNLISGFNTFLPMEFEITPDEDDAPPKKSNEFDSAMSFVNKIKQRLQNDEQAYKSFLKLLNKYRKGRMEMNDVYSEIAILFKDHGDLLDEFAGYIPNTFGTHSTQDAPSGQKSLNIDKQPSQGDMLPDKKKLVKNVEGFGLASDIASYDDKEDTLKNHQSYGQSSRSSKLEDKENEHKLEAGGGKEKERYIDNNGIIKRNDLKKLVAPFLNEGNDSPPMIVMVLVAMMVVSLAILVGVMWQKMSKIE >OIV91032 pep chromosome:LupAngTanjil_v1.0:LG20:4281154:4281441:1 gene:TanjilG_16992 transcript:OIV91032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDFAKVFSCGWSWKENKLFEQALTEVDENHPERWKVVAAMVGGEKSAGDVEKHYVILLEDLELIESGELDHELVEVQPFVLVDPITKSLCLSDK >OIV90864 pep chromosome:LupAngTanjil_v1.0:LG20:5105792:5107721:-1 gene:TanjilG_15597 transcript:OIV90864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIWKYLVVPIILYAGERLLRAFRPGNKSVRILKYTSGQYICVNCSDVSPFQWHVNQQMMTKVVFLELICYKATTNTKQQEEIEVENGVENKNKRNPFATERAYKEGVIELHNFLTSVYAEGDARSALITMLQSLWYAKNGVDIFSGKRVMTHFARPNWRNVFKHTALEHPNQRVGVFYYGVWIGGRTKKAFSRIFQENQHQV >OIV91484 pep chromosome:LupAngTanjil_v1.0:LG20:128496:131232:1 gene:TanjilG_02102 transcript:OIV91484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGLVRVRWQNCVRKITRVRWQNCVRKISFRSHSSSSSNHILTSPSSLASLDLPDHDIWTFNSSSHKTLIPTLNNAKVLDGKLISMEIKSKIAAEVTRMKKCIGIVPGLAVILVGQRRDSQIYVRNKILACDQVGINSFVTELPTDSAQADVCKAVMKFNKDPSIHGILVQLPLPQHLDEEEVLGAVCLEKDVDGFNPLNIGNLAMRGGEPLFIPCTPKGCIELLMRSGVQIMGKNAVVIGRSNIVGLPTSLLLQRHHATVTIVHAFTENPQKITCDADILVSAAGVPNLVRGNWIKPGATVIDVGTNPVEDLSCEDGYRLMGDVCYEEAVSVAAAITPVPGGVGPMTVAMLLLNTLDSAKRLLNFI >OIV90302 pep chromosome:LupAngTanjil_v1.0:LG20:17659227:17662545:1 gene:TanjilG_13157 transcript:OIV90302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPNSILVTTEKTNNDFTLLQVHDSESQMFAEEKQKAASPKQFRWFLLLKLHKVLTFLSWLTTGFKSTFASVKKRISLSDTNDEDPKYRGRLYRIIKVFLGLAIGALVIETIAHFNKWNLSNMMIQPFEVQGLLQWSYMAWLSFREDYVAPFVLLVSKFCIVLFLIQSLDRLVLCLGCFWIKFMKLKPTIEADAYDVEDPSSFPMVLVQIPMCNEREVFAQSIGAASQLDWPKDRILIQVLDDSDDKSLQNLIKDEVCSWKEKGVNIIYRHRLIRTGYKAGNLKSAMSCDYVKDYEFVAIFDADFQPNPDFLKLTGKPDVGLVQARWSYVNKDENLLTRLQNINLCFHFEVEQQVNGYFLNFFGFNGTAGVWRIKALEESGGWLERTTVEDMDIAVRAHLNGWKFIYLNDVKVLCELPEAYEAYKKQQHRWHSGPMQLFRLCLPAIITSKISTWKKANLIFLFFLLRKLVLPFYSFTLFCVILPFTMFIPESELPLWVICYVPIVMSLLNILPSPKSIPFLVPYLLFENTMSVTKFNAMVSGLFQLGSAYEWVVTKKTGRSSESDLLALAERESKSSNEDKIIRWNSESGLELLGKLKEAEMPQKKKKKRNKLYRKELALAFLLLTASARSLLSEHGLHFYFLLFQGLSFLVMGLDLIGEQISVEIHLKKLYIKKQEKRYRVKSMYNHKMENKKC >OIV90618 pep chromosome:LupAngTanjil_v1.0:LG20:8510922:8512309:-1 gene:TanjilG_01699 transcript:OIV90618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSGYQHGGVDNAHTKVLLTSMSAMVAETTTFPVDLIKTRLQLHGESLSSTRSTSAFRIALDIIREQGPLGLYKGLSPAIIRHLFYTPIRIVGYEHLRTVVSSDNGSISIISKAVVGGTSGCIAQIIASPADLVKVRMQADGRLMSQGLQPRYSGPFDAFSKIVQAEGFRGLWKGVFPNIQRAFLVNMGELACYDHAKQIVIKSRIAEDNVYAHTLASTMSGLAATSLSCPADVVKTRMMNQSAKNEGKVLYSSSFDCLVKTAKVEGIRALWKGFFPTWARLGPWQFVFWVSYEKFRKIAGLSSF >OIV91317 pep chromosome:LupAngTanjil_v1.0:LG20:1339303:1353386:-1 gene:TanjilG_01848 transcript:OIV91317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSRRKGSSKVAAAAACCQWKIGDLVLAKVKGFPAWPATVSEPEKWGYKADLKKVLVHFFGTQQIAFCNPVDVEAFTEEKKQSLVKRHGKGADFVRAVREIVDSYERLKKEKETQHHEASSGDVADANVSNPVESFVEDPRDALELALRLPKKSLDSVSNRQETECATENDSAHNKEASLEDPCNNVAALKSPKPVANSSRKRSTDNLCSQVCVTNRNTLVRRSRSSSRVQNSVMPCIDGGKIVSNLSGNAAQRAYVRRNKCIRKSPDLSGCVDFDSPAFVSNGSVVDNGSEILTIDSDAFSLNEGSTIDSNFKLEDTIECQEEVEPNKGLDVEIKSVVNKKKRKPSRKRASHDVSKPVNKQEEEAPVQNPGPSSQNICGNSERNFVEHDGDEHLPLLKRLRVRMGKSLSTEAEVNNFVQAQERSCKEDIKSPAQIITSSNCESGSSMGDESSLLNGASDMAFLKNSNICERAFCNPVDVEAFTEEKKQSLVKRHGKGADFVRAVREIVDSYERLKKEKETQHHEASSGDVADANVSNPVESFVEDPRDALELALRLPKKSLDSVSNRQETECATENDSAHNKEASLEDPCNNVAALKSPKPVANSSRKRSTDNLCSQVCVTNRNTLVRRSRSSSRVQNSVMPCIDGGKIVSNLSGNAAQRAYVRRNKCIRKSPDLSGCVDFDSPAFVSNGSVVDNGSEILTIDSDAFSLNEGSTIDSNFKLEDTIECQEEVEPNKGLDVEIKSVVNKKKRKPSRKRASHDVSKPVNKQEEEAPVQNPGPSSQNICGNSERNFVEHDGDEHLPLLKRLRVRMGKSLSTEAEVNNFVQAQERSCKEDIKSPAQIITSSNCESGSSMGDESSLLNGASGSVFPSKNLAPTVVTQIPNTEKDQTPCSVDDEAALPPSKRLHRALEAMSANAAEEFQSHMEATPCMMTSSDKCCISTVERCPCMAINNQEGNGLGLQGLDNCATDPSSINVYSFSTSSNPTISVENKSFIQVDKQLTKFQEPKIGNDVLSGVTDQVGEDHSDNAICVTAKTDFKIQLHGHISPRLGLKCCEGGSNQNSPDPSLLPNDDDNAGAHNDSNTAFNASEHNVISLDPVVARINNDALVPNNIDAPPEKVIVCEDTECLKLEAVDSGKSNDMSVVVKEIKSEGPEGDMNSVSTSDDLGEKAILGTRSSPSLTDGGDCIPHGSPPNTSVCNVSTSDSSNILQNGSCSPDVHPKQTLSGPDPGPVDGSKDGYVATQQSRSLGKTTDAGRAALLYFEAMLGTLTRTKESIGRATRIAIDCAKFGIAAKVMEILAQNLETESSLNRRVGLFFLVDSIAQSSRGLKGDVCGVYPSAIQAVLPRLLSAAAPPGNTAHENRRQCLKASLWSFMVLRVWLERRILPESVVRRHIRELDSYSNLASACVHLRRTSRTERSLDDPIREMEGMLVDEYGSNSSFQLPGFCMPRMRKDEDEGSDSDGGNFEAVTPEHYSEVRDVSSTKEKHRHILEDVDGELEMEDVAPSCDVEMNSIQNVNGGNASQFLESLPLSFAPPPQDVQRSPPPAPSSSPMLPPPPPPPPPPPMPHLMASASDPYTVVNSKSYIDSQTAKDNPRHSMAQPLAAPSRSQPISDAVHYEVPECREMHMPESNYSLNSFPVLPDNFRHSDGVTRHNNKGYSLRPPHRVPSNQFSFVHGEQHVKHRREVQPPPPPPPLYSNRHHLVQNMEREYFYNNHERSKPPPYDHRERWNTPAPYSDHRYRDRGLPAPYGCHPCESTRLPRHGWRFPPESMDHRNSMPLRPPPFDDAIPVANRCPNFWGPT >OIV90122 pep chromosome:LupAngTanjil_v1.0:LG20:20759791:20760183:1 gene:TanjilG_01576 transcript:OIV90122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMNHAKSFRNILTTKEAAGFACLRTFATGKAKKGSKGGSADAPKASTLSKEVKSTTVVGANILKDGTDPKILLDSEYPDWLWHLLDKRPALSELRRKSIEALPYEDLKRFVKLDNRARIKENNSVKAKN >OIV90696 pep chromosome:LupAngTanjil_v1.0:LG20:6720349:6721327:-1 gene:TanjilG_15082 transcript:OIV90696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELKKKVIVMKVAMLVFLMGSFTAIVEARFNPSSIITQLLMNDNTVTATMQYFSNSLSSKPCCDSCICNSCICTSFNFQQQWSDHNWSRFSKGETLLSVEVSFEEEMKAVVVEATKE >OIV90282 pep chromosome:LupAngTanjil_v1.0:LG20:18243102:18244328:1 gene:TanjilG_08319 transcript:OIV90282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCASSKQKRCSHCHSHFSSVPRSNSMHVLHPPQSKDDSYHFVALTSTTLGSLDETSHHNNYGNNGFIKVSDNDCFLRTQNHYENKNDFSVDVAEEAKAWSNMIEQKLPKSIVPRTPITTPPCEPETINTWELMEGLEDSSPLRSPINFRSFSFDVDRNSNHVIVEVDPHKCSFIENGSNIKHEVSVFDDHVVSSLLQKALKEKQESLAVKGFSFEEMKINGDDGVCVMDFKAVSPCRKERDKDKVVLYFTSLRGVRKTYEDCCHVRMILKGLGVKVDERDVSMDSGFKEELRDLLGEEFGKGVLLPRVFVGRNYIGGAGEIHKLHEDGKLEKLFACCEKIDDNDALCEACGDIRFVPCETCNGSCKIYYEDDEEENEEEDGEVGEYGFQRCPDCNENGLIRCPICCY >OIV91477 pep chromosome:LupAngTanjil_v1.0:LG20:163653:165297:-1 gene:TanjilG_02095 transcript:OIV91477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRVLWRSSLIVTVILELVQFCICKHEEVATPPLPILPLPTYSQLKWQEREIIMFLHFGVNTFTNREWGTGNEKPSIFNPSGLNTSQWASVAAEAGISLMILTAKHHDGFCLWPSKYTPHSVINSPWKSGKGDVVQDFVNAATAQHIDVGIYLSPWDKHDPRYGHDVPYNEYYLAQLQELLNKYENIKEIWFDGAKDPKAQNVSYYFSDWFSMVKGLQSSINIFSDSGPDVRWVGDETGTAGDTCWSTINRTSLSIGDPDIAEYLNTGDPRGTDWLPAECDVSIRQGWFWHKSESPKKLSDLLDIYYNSVGKNCVLLLNVPPNTTGLISDTDAHRLKEFRRAINTIFHHNLAERCSIKVSSQRGGKEGVFGPENMLDSDHLWSYWTPKEDGDEKDHWIEIWSRDGNLRFNVIRIQEAIGFGQRIKEHEIYVDGKVIIKGTTVGYKRLHRLDEDVGHAHVVTIRIIKARGVPLISSIGLHFDPFWHSRLTVATK >OIV90989 pep chromosome:LupAngTanjil_v1.0:LG20:3910338:3910793:-1 gene:TanjilG_16949 transcript:OIV90989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGVTVSVCKGLKQYWVRRRYQRLNGSGRRTNVVELSSSRTRKGRLWRWKIKITTKIRINKIPSPKKFLAWFRDAYVRMMLGLANTRVMSMSSPASGLGGGALWGGGYGFVRGPPPKEYDEKLIIEIYKSLIMRQEQLVARDAARIASEIS >OIV90664 pep chromosome:LupAngTanjil_v1.0:LG20:7613754:7614308:1 gene:TanjilG_23777 transcript:OIV90664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANNNINNFNNFSVCYDQQGNPRYTCRVCNTVFNDPLRFIRHIESHVTHESVAIRMIRRNFNRSMLNQETRNLDARRVPQTLPQQHALMPQTRPRQFLYTSNPIQPRPFQHEVLPSSRNIVREVPPLFTPPIQQQMEMEVSPIDGTKPYINLLDKPINKNEFANMVNMSGANVGLQNLDLTLRL >OIV91066 pep chromosome:LupAngTanjil_v1.0:LG20:4586036:4589720:-1 gene:TanjilG_17026 transcript:OIV91066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLYELYQLSREKVDKEVNNTSSVEQSQSSLPENDFFELVLENGQISSQGKYRKSPTSCRSLPSQCLPSHSPKGGDKDERGYAMNTRLGKFRDFDSGLNEIAMSVPSNEVNLSQDGDMMPWLDYTMDGSLQHYYSSDFLNELSGVTENDLPQPNNCALMDRRRNGTNQEFKDSHKNSARNVSGLEQGDVSKGSSAREVDTCGPKVSTSQLYPPSSHQCQTPFASVRSKVSDITENNTSSATEHAPRGETTQIASSSSDFYSLMMQKQDPIIPLPTNGSTVMNFSHFARPAAIMRTNLHNVGLKSDLSSGRPDSRGNKNKGTARTSSKPPESIKVDSSGHCSKEPIMHCQQVMEQSKVDLKRLEPKSLEQNAVASKQLDPACKENSIKIDKTSNQIIAKSGSKEQIAIEKSMEPAVASSSICSGNDTGIVSDEPKQNLKRKSRDTEGSESHSEDVEEESVGLKKAATGRGRTGIKRSRAAEVHNLSERRRRDRINDKMHALQELIPNCNKVDKASMLDEAIEYLKTLQLQVQMMSTGAGLYMHPMMIPPGMQHMHVPNTASFSPIGVGMQMRMGYGMGMPDMNGGSSRFPMIHMPQMQGLPMPMPHTPVFPFLGGPFVNSPTLGQHAGETVGVVESGNSASSDLKDPMQVKQSTGGCDSTSQIANKCEAATVGFEQTALVQNSGHTSDVNDSGAVNPGKEDSLVIG >OIV90890 pep chromosome:LupAngTanjil_v1.0:LG20:4928232:4929079:-1 gene:TanjilG_15623 transcript:OIV90890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQVAENERRILVAVDEGEESMYALSWCLKNLIFENSKDTLILLYVKPPRAVYSAFDGTGYLFSTDVMATMDKYKEQVAEVVLEKAKKLCNNLQNVEMKVGHGDPRDVICEMTQKLGADILVMGSHGYGVIKRAFLGSVSNHCAQNANCAVLIVKKPKSTAGGDI >OIV90120 pep chromosome:LupAngTanjil_v1.0:LG20:20782488:20784720:-1 gene:TanjilG_01574 transcript:OIV90120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSIVTKKDGAGSTGSSSLRALALIGAGVSGFFGFATTASADEAEHGLACPSYPWPHEGILSSYDHASIRRGHQVYTQVCASCHSMSLISYRDLVGVAYTEDEVKAMAAEIEVVDGPNDEGEMFTRPGKLSDRFPQPYANEAAARFANGGAYPPDLSLITKARHNGQNYVFALLTGYRDPPAGVSIREGLHYNPYFPGGAIAMPKMLNDGAVEYEDGTPATEAQMGKDVVSFLSWAAEPEMEERKLMGFKWIFVLSLALLQAAYYRRLRWSVLKSRKLVLDVVN >OIV90737 pep chromosome:LupAngTanjil_v1.0:LG20:6302122:6316502:-1 gene:TanjilG_21868 transcript:OIV90737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPELDQNDTVSTRQTPLYLPSSSSDEDTNDTVSPSNSIHSTNRRLDCMLQFLDRKLSYDHNHRLIPRPGSLPEFIAKGGGAGIFKLPPRGAVHPARPPGLELRPHPLRETQIGRFLRNIVGTESQLWAATEGGVRLWNFKDLYASWCNGVGGEESGFRIGDEETAPFWESVWTSPALCLVSDEGNRLMWSGHKDGKIRCWQMDDRTLDDKNWRTHFKESLSWQAHRGPVLSLTFTSHGDLWSGSEGGAIKIWPWESIEKSIHLTKEERHTAVISVERSYIDLRSQLSTNGFNNMLTSDIKYLASDNSRAKVWSAGYFFFALWDARTRELLKVFNADGQIENHADMSSIQDFSVEFTLNSKKDKTQSAVGFFQRSRNAIIGAADAVRRVAAKGGFGDDNRRTEALVVTIDGMIWTGHSSGSLVKWDGNGNRIQDFLYHPFAVQCFCTFGMRIWVGYVTGTVQVLDLNGNLIGGWVAHNSPIVKMTVGAGYMFALANHGGIRGWNITSPGPLDNILRSELGGKEFVYSKIENVKILTGTWNVGQGKASQDSLMSWLGSVASDVGLVVVGLQEVEMGAGFLAMSAAKETVGLEGSSAGQWWLNMIDKTLDEGSTFERIGSRQLAGLVIAAWVKTSIRFHVGDVDVAAVPCGFGRAIGNKGAVGLRIRVYDRIMCFVNCHFAAHLDAVSRRNADFDHVYRTMSFSRPTNFLNAAAASTSSSIPTFRVTNSAEAMPELSEAEMVVFLGDLNYRLDGISYDEARDFVSQRCFDWLRERDQLRAEMDTGNVFQGMREAIITFPPTYKFERHQVGLAGYDSGEKKRIPAWCDRILYRDCRPCLVADCNLDCPVVCSVLQYEACMDVTDSDHKPVRCIFSTDIARVDESTRRQEFGEILQSNEKIKYLLKGLCKIPDTIISTNNIILQNQDTLILRITNKCAEDNALFEIICEGQSTVLEGQKASNHQLRGSFGFPRWLEVSPATGIIRPDHMVEVSVHHEEFQTLEEFVDGVVQNSWCEDSRDMEAILVVKVRGNCTSQTRDHRVRVHHCYSAKKNQTESQPNNSSDIQASVLRRSDFQRLSSSYDVVDKLHNLHCP >OIV90539 pep chromosome:LupAngTanjil_v1.0:LG20:10836161:10837712:-1 gene:TanjilG_32416 transcript:OIV90539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKRGRGGSAGNKFRMSLGLPVAATVNCADNTGAKNLYIISVKGIKGRLNRLPSACVGDMVMATVKKGKPDLRKKVLPAVIVRQRKPWRRKDGVFMYFEDNAGVIVNPKGEMKGSAITGPIGKECADLWPRIASAANAIV >OIV90475 pep chromosome:LupAngTanjil_v1.0:LG20:11365788:11370466:1 gene:TanjilG_18659 transcript:OIV90475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHRLLRDHEADGWERSDFPIICESCLGDSPYVRMTKAEYDKECKICTRPFTVFRWRPGRDARYKKSEICQTCSKLKNVCQVCLLDLEYGLPVQVRDTALSIDSNDSIPKSDVNREYFAEEHDRKTRAGMDYESSFGKARPNDTILKLQRTTPYYKRNRAHVCSFYIRGECTRGAECPYRHEMPVTGELSQQNIKDRYYGVNDPVALKLLGKAGEMPSLEAPEDESIKTLYVGGLDARVTEQDLRDNFYAHGEIESIKMVLQRACAFVTYTTREAAEKAAEELSNKLVIKGLRLKLMWGRPQSAKPESDDSNQARQQASVAHSGLLPRAIISQQQSQDQNQGMVYYSNPPPQPQRSYYPSMDPQRMGALIPSQEGPPGGPSGSGENKPIMEKQQMQHYAHPMRPPPPGQYQHQYYPPYGYMPPVPHYQQQYPPPPYNAPMPPSHPPAVNHPYQHSMQPGSSQAGSAQGGSAPAEAGTSSSGSQQQ >OIV91382 pep chromosome:LupAngTanjil_v1.0:LG20:812754:818820:1 gene:TanjilG_02000 transcript:OIV91382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNPDSTQLPEVDSLPDGFVESTTEPVAPSTPSPEQEKPLNSYKEDGSSDLGLSNVSSNELGEKEFQNNHDCSEEVSSDGCMHQTEDTQVIPLQANSVSEAPLSSGCTVKEEQQQGECQASVKPSETKALPLKDAYSAEIDDSPKSRKPETVEKRKSSKRALKSEKELLEFSLKYQQVLAERDAALAVRDKLESLCRELQRQNKMLMEECKRVSTEGQNLRLDLSARFQDAIKDVSNKLDERKDECLSQLKENEMLRNKLKQFADQYELSEQQHAQKLKQKSLELQLADLKIKQHEERLAQEQSQMKLYAEKVSELLASEKNLRLQLATDGDKFQQFQDALSKSNEVFETFKQEIEKMAKSIKELKKENQFLKSKSEKSDVTLIELVDERERLKKQLEKTKNQKEKLESLCRSLQAERKLASSENKSNNSVPS >OIV90511 pep chromosome:LupAngTanjil_v1.0:LG20:10335837:10336097:-1 gene:TanjilG_32388 transcript:OIV90511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRITGDGCNAMVAFKLVGEDKYMIARFHESHTHALSSPTKRQFLRSARKVNPIHKSLLCVYNRANIGPSKAYHLVKEQVGGYENVY >OIV91207 pep chromosome:LupAngTanjil_v1.0:LG20:2156435:2162746:1 gene:TanjilG_30429 transcript:OIV91207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSEKIEEEIAKVIEEAKDVEDSVSAHISKTTIDEQLLQQRALSLHSKIRSLRSSLYSLLPNNPTLVHKLDEDLQRARCIMVDGDAATLLPGHAHAILLILRSWVWDGCLPAFPVQIYQAWLLFLYAGLAFRENILRVNGSDIRPWWIYHHYCAMVMALVSLTWEIKGQPGCEKKQIGVQLFLQWAMMQGVAMLLQNRYQRQRLYTRIALGKAKRMDVVWGETAGVDGQVWLLCPILFILQGFEAYVGLLLLQTAFVGVFYEWQVIFCGVLLILMAVGNFINTVQILMVKSRFKAKMIKNKGKQRLN >OIV90102 pep chromosome:LupAngTanjil_v1.0:LG20:20980160:20983053:1 gene:TanjilG_01556 transcript:OIV90102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSQNGAIQEPKIAPLAREGSLCNLTLDEVQNHLGNLGKPLGSMNLDELLKSVWSAEVGSDVASGLDFSGGANNKQQHGELVSGSSLNPQGSLTLSGDLCKKTVDEVWKDMQMKKGGDRGREARERCPTLGEMTLEDFLVKAGVVTDSFPTKDVGGGGGAMSGIDSNGASEKNASQHGNWLQYQHQHQNLQDNMMVGFVAGNAIQQPFQVAVNPILDAAYSKTMATMSPSDTQTLGRKRVASGNVVVEKTVERKQKRMIKNRESAARSRARKQAYTQELEIKVSRLEEENERLRRQCEIEKVLPCAPPPDPKHQLRRTGSATF >OIV91418 pep chromosome:LupAngTanjil_v1.0:LG20:561864:564693:-1 gene:TanjilG_02036 transcript:OIV91418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKRKSIATTLDEVDRTMYASFCTAASSLSQLYTQATNHHKLSFQAGERHGLEKLYQWIWGEQEGGSRVATVDILSYIQNELDYCGEEPSMSPRALPQHSQPVANSGFPVSSGSSGLTVVGQQGLRPEQCDNNQSKNSVFSNALSSPIRRSLQHYQISEEGNYPSGLSTGNGNRNSEVSFLHQQGRDSSTLNSNDSVMDMHSD >OIV90222 pep chromosome:LupAngTanjil_v1.0:LG20:19573105:19577841:1 gene:TanjilG_01418 transcript:OIV90222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLNPNVNVSTPIKSSNESQKWVSKKNPIVAMRQRKFVKVSKNKTDAATAYENLRASQEEFFKNRRCSLVEDDVIEEEIEGISKSGILKRKRERVLEEARNSVPENGVGRVKHFVKAFENILSIPSSIHENHQKVMKWALPGLQNSQYKAETDATDSSMFSASDLVLTSHNLGLNQRVLVSSSWDSSHASVTSRTSSRGRRSRRNLGISCRRKEVEEEEAVSKNKTDAATAYENLRASQEEFFKNRRCSLVEDDVIEEEIEGISKSGILKRKRERVLEEARNSVPENGVGRVKHFVKAFENILSIPSSIHENHQKVMKWALPGLQNSQYKAETDATDSSMFSASDLVLTSHNLGLNQRVLVSSSWDSSHASVTSRTSSRGRRSRRNSLESAVGGRRWKKKKQQLKVTSQKPFKLRTEQRGKMKEEEFMNKIQQMMTEQEKLRIPIAQGLPWTTDEPECLVKPPVKESTKLIIDLKLHSDVRATDRAEFDHQMAEKLSMMEQYRLERERQQKLEEEEEIKRLRKELVPKAQPMPYFDRPFVPRRSMKHPTVPKEPRFHMPQQKKIKCCME >OIV90817 pep chromosome:LupAngTanjil_v1.0:LG20:5596107:5601308:1 gene:TanjilG_15550 transcript:OIV90817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTSLIHCPAATSLSVNRGGDSFGFFVPTNNNRFSKILKSRIRCSLDSNVSDMSTNAPKGLFPPEPERYRGPKLKVAIIGAGLAGMSTAVELLDQGHEVDIYESRSIIGGKVGSFVDKQGNHIEMGLHVFFGCYNNLFRLMKKVGANDNLLVKDHTHTFVNKGGEIGELDFRFLVGAPLHGIKAFLTTNQLKTYDKARNAVALALSPVVRALVDPDGALRDIRNLDSISFSDWFLSKGGTRPSIQRMWDPVAYALGFIDCDNISARCMLTIFALFATKTEASLLRMLKGSPDVYLSGPIRKYITDRGGRFHLRWGCREVLYGNSADGSTYVTGLSMSKATDKKIVKADAYVAACDIPGIKKLLPSEWRQHQFFDNIYELVGVPVVTVQLRYNGWVTELQDLEKSRQLRNAVGLDNLLYTPDADFSCFADLALTSPEDYYIEGQGSLLQCVLTPGDPYMPLPNDEIISRVAKQVLSLFPSAQGLEVTWSSVVKIGQSLYREGPGKDPFRPDQKTPVKNFFLSGSYTKQDYIDSMEGATLSGRQASAYICDAGEELVALRKVLDADFKDDLKVANTKDELSVV >OIV90608 pep chromosome:LupAngTanjil_v1.0:LG20:8635392:8636233:1 gene:TanjilG_01689 transcript:OIV90608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYHCTPLSWEFYNQEEGLEDLKHSLLCTNLELEATIASAKEEITRRECELIQVNDLLSSVMKERDEAQAQCQKLMLEKLELQQKQQRETTTTTTTISHNEDEIQGGISEKLSTHAASSDCEENSMNTSTEPFQLALELAEKKPLPEKGKLLKAVIEAGPLLQTLLLAGPLPQWQHPPPQLNSIEIPPVEISSPTQKNFSFINNKRDLVLSLGEEYPISSKYRRVIQHLTTTPTTTSTHSLPYPSFS >OIV91422 pep chromosome:LupAngTanjil_v1.0:LG20:535394:535933:-1 gene:TanjilG_02040 transcript:OIV91422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSSAICSLLFTLGLIFISHAFLHAEANKGKGGKSLIEGICDETLEDKANCMRAVGSDPNVLKAKNIVQLAKAVLQIALNKGMEGQSLLKELAASTNSPDLVQCANFDYDEVVLSFRSALGELKEDAQTASYDAAVAVDGPVTCNRRLAGAGIVNPVIASLNSEIMLYSKIAARTTDHL >OIV90564 pep chromosome:LupAngTanjil_v1.0:LG20:9463468:9472402:1 gene:TanjilG_31638 transcript:OIV90564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDTTDDIAEEISFQSFDDDCKLLGNLLNDILQREVGSNFIDKLERIRVLAQSGCNMRQAGIEDMAELLEKQLASELSKMSLEEAFTIARAFSHYLTLMGIAETHHRVRKGGNLAQVAKSCDDIFNQLVRGGVSPDELYNTVCKQEVEIVLTAHPTQINRRTLQYKHIRIAHLLEFKDRPDLSVEDREMVIEDLVREITSIWQTDELRRQKPTPVDEARAGLNIVEQSLWKAVPHYLRRVSNALKKHTGKPLPLTCTPIKFGSWMGGDRDGNPNVTSKVTKDVSLLSRWMAFDLYIREVDSLRFELSMNQCSDMLSRLAHEILEETTNPENRRENWNQSGNRTHSLPTKLQARAHLPSFAENGESQHPRLDMPGHDYTQLNHKGGDILSSSTASKRGSSNTQSPQKSSTIASPSAVSPSSSSNSVPLLGQRKLFAESNIGRSSFKKLLEPSLPQFPGLAPYRIVLGNVKDKLEKSRKRLELLLEDIPCDYDPMDYYETSDQLLKPLLLCYESLQSCGSGMLADGRLADLIRRVATFGMVLMKLDLRQESGRHAETLDAITKYLDMGTYSEWDEEKKLNFLTRELKGKRPLVPLSIEVPPDVQEVLDTFRIAAELGSDSLGAYVISMASNASDVLAVELLQKDARLAVVGGLGRPCPGGTLRVVPLFETVKDLRAAGSVIRKLLSIDWYREHIIKNHNGHQEVMVGYSDSGKDAGRFTAAWELYKAQEDVVAACNEYGIKVTLFHGRGGSIGRGGGPTYLAIQSQPPGSVMGTLRSTEQGEMVEAKFGLPKVAVRQLEIYTTAVLLATLRPPHPPREVKWRNVMEEISKISCQCYRTTVYENPEFLAYFHEATPEAELGFLNIGSRPARRKSSTGIGHLRAIPWIFAWTQTRFVLPAWLGVGAGLKGACEKGYTEELKAMYKEWPFFQSTIDLIEMILGKADIPIAKHYDKVLVSEKRQELGRELRGELMTAEKFVLVISGHEKLQQNNRSLRRLIENRLPFLNPMNMLQVEILKRLRRDDDNLKIRDALLITINGIAAGMRNTG >OIV91356 pep chromosome:LupAngTanjil_v1.0:LG20:1052658:1055995:1 gene:TanjilG_01974 transcript:OIV91356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIPSPKTLKPQFPFFHHNYAPSSSFLVSIPKPISYRISLSTLRSVAAKHVDVATLGNLCIDIVLNVPQLPPPSLHQRKAFMDNLASSPPNKKYWEAGGNCNMAIAAARLGLGCVSIGHVGNEIYGRFLSDVLRDEGISLVGMTNDADVLNSSSASHETLLCWVLVDPLQRHGFCSRADFSKEPAFHWMSELTREVKTAIKNSKVLCCNGYGFDELSPGLLHSAVEYAVEVGTSIFFDPGPRGKSLSTGTPEEQKAFDQFLRMSDVLLLTSDEAESLTGISDPIPAGKALLKRGIRTKWVIIKMGPRGSILITASSIACAPAFKVNVIDTVGCGDSFVAAIAYGFIHNMPMVNTLAIANAVGAATAMGCGAGRNVARLAKVVDILRSSNLNEDDAFWADILEKNVAAQEITCLSNVVNGYRNNINHIPYDKIASQLLPRLQLPQIVENVPT >OIV91040 pep chromosome:LupAngTanjil_v1.0:LG20:4363874:4365596:1 gene:TanjilG_17000 transcript:OIV91040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDSTQAEMTRSSFNPPSIYGNDSHELVWENGQVLVHGGSNKRPAATESGYIFTPFKQHCLSSTPLKKPRIHSNQITPATKNVLRPPLFLKSTHNENSATTDNTTVLASMEHIKATKVGKTATSSKTIDHESVVIDSSKGSHGFRELKGKTPLVSDTSNQVPFVARSVEPLPDEISETTGYKSALHGSHAQYYNQTSTSEGLGAKVKAATTFCNECLLQSSSVCSLGASNNTNLCSMKNEETDESTYLSDNDEEQEVVKEKLAWEGKHTRVKRRRDTKIQRLNEMKRRDRINKKMRVLKELIPNCNKVDQASMLDDAIKYLKTLKFQLQIMSMSRGLYMPLMMLPSAAHYLLGAGMGFRPGTNIPQYPIPPLLPGMFGFPNQMPPMPMPMFGNPSTQPIHPTNIATNLGENLASVPKSFYFDG >OIV91349 pep chromosome:LupAngTanjil_v1.0:LG20:1105087:1110412:-1 gene:TanjilG_01967 transcript:OIV91349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGFSYGEILLLLGATAALIGPKDLPIIARTAGRLAGRSIGYVQLARGQFESVVQQSQARQVHKELQDTMAQLDAIRHEIRSISIISPGPMTRRLVDNLDHISTSDDNRKLEDVEENNPIPTVTKDSTLLASDSCNMQSQATTYARLAESPAIKNGLSASGAEDEKIEDELQLTVIPVSAENTGLLPKNRGADVKGSDLVLEAILEAEHKGKVVLPPPPGAYQARGVGRVVGGGGGTFINHHHASSTANHNLPHATPNNVFHGQPMLTNVPIAPHAPAMRPRVRARRGQATDPHSIAERLRRERIAERIRALQELVPSVNKTDKAIMLDEIVDYVKFLRLQVKVLSMSRLGGAGAVAPLVTDIPLSLVEEEGNQPAWEKWSNDGTETQVAKLMEENVGAAMQFLQSKALCIMPISLASAIYQSQPSDTSSIVKVETNPPS >OIV91080 pep chromosome:LupAngTanjil_v1.0:LG20:4709578:4714211:1 gene:TanjilG_17040 transcript:OIV91080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKKYYCDYCDKQFQDTPSDRKRHARGIMHQQAKARWYDSFNIQQPLSNAPICIHFLNTGFCSYGDSCRYTHPNPNNTITSQVQQQGGTGSVVRDRMGVSWGNLPPSLYPPPEAGYPSLPFIDWVNNWSSTGLTSPRLMKLRYKNLITELSFHARLDKHTHEKQLLPISLISLPPSPSPEALSPSVNAPFFNVKSFGAVGDGVCDDTQAFKLAWDTACQAEESGTLLVPNGYIFMIQSTIFTGPCKSGLTFKVGGTIVPPDGPDSWPRNSSKRQWLVFYRINGMSMQGNGLIDGRGEKWWNLPCKPHKGNNGTTLPGPCDSPVAIRFFMSSNLTVQGLKIKNSAQFHFRFDNCQNVLIDRLIIKSPALSPNTDGIHIENTNNVNIYNSVISNGDDCVSIGAGCYNVNIRNITCGPSHGISIGSLGSHNSRACVSNITVSDSVIKHSDNGVRIKTWQGGEGSVSKVTFSNIHMDIVRNPIVIDQYYCLSKNCINQSTAVSVSHVTYSNIKGTYDVRSRPMRFACSDSVPCTNLTLSEVELLPAQGYILATPFCWNAYGTMHTLTIPPVLCFLDGNPPMLPQDDDVVRD >OIV90364 pep chromosome:LupAngTanjil_v1.0:LG20:15715441:15726246:-1 gene:TanjilG_19773 transcript:OIV90364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMPSRRSNYSLLSQTPDEQFSAGAASSGNTAPSSSGDLKTNRSMFDFDIVSDHRAAQQQGNNRTGNLFTSIGLQRQSSGSSFGESSISGGYDYAPTLSTAAFGDVDTFGYVHDDGHMIGVGGEGRNRLPDTPAIAGGFSGKSWAQQTEESYHLQLALVLRLSSSATCADDPNFLDSGMDESAMGWSRLAETVSHRFWVHGCLSYSDKVPDGFYLIRGIDSFVWTVCTDLQENGRIPTIDKLKSVDPCTNSSLEVVLVDRRSDPSLKELENRVHNISSSSITTTEVVDQLSKLVCNHMGGSTSFGEDDFVSIWRDCSNDLKDCLGSVVVPIGSLSVGLCRHRAILFKVLADAIDLPCRIAKGCKYCKRDDAASCLVWFQHVDDREYLVDLIEKPGYLSEPNSLLNGPSSISFSSPLHFPRVKPAEPTIDFRSLAKQYFSDCLCLELVFDNSSAVSHSSTHDQDSETMVAKDPQPIKHKRPGGIKPPLALTNPSNDIIEEKRSLEGSQLIPSKPTRELALDMEDLDISWSDLALKERIGSGSFGTVHRAEWNDSEVAVKILMEQDFHAERVNEFLREVAIMKSLRHPNIVLLMGAVTQPPNLSIVTEYLSRGSLYRLLHRPGAKEALDERRRLCMAYDVAKGMNYLHKRNPPIVHRDLKSPNLLVDKKYTVKVCDFGLSRLKANTFLSSKSAAGTPEWMAPEVLRDEPSNEKSDVYSFGVILWEIATLQQPWGNLNPAQVVAAVGFKGKRLEIPHDLNPHIAALIEVCWVNEPWKRPSFSSIMDSLRPLIKQPTTQPGHSNMPLLS >OIV90242 pep chromosome:LupAngTanjil_v1.0:LG20:19135127:19137173:-1 gene:TanjilG_11970 transcript:OIV90242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFASCWVKASGLSSITWDGDGKATPKAIMQTMNVKGLTLYHLKSHLQKYRIGKQSGKDSEEGCKDGSYALESPGTGNASPKLPSSDANEGHEVKEALRAQMEVQSKLHLLVKAEKHLQVHQDAERRYMAMLEKACKMLSEQFIGDTIINTDSQKLQGQENKASRFSLVDPLGFFSLPSSEVAGMHLSELPSTFQPQGADCSNELCLTSLENISGLTLEGSSPGGIRKRMQSLDSMVAPLIFSEANIRTQGINLAQVNPYGITRYGM >OIV91223 pep chromosome:LupAngTanjil_v1.0:LG20:2021794:2022348:-1 gene:TanjilG_30445 transcript:OIV91223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKREREIDSTTMANYLMLLSGGGEFETTYFNSSNNNNNRVFECKTCNRQFPSFQALGGHRASHKKLRLSGEGDQMVNDSTPKLKTHLCSICGLEFAIGQALGGHMRRHRVATNSNVNMQSSITTTSSGSGGGGGDNGDGSSDDTKIEKGNSKRVLFLDLNLTPLENDMEILKIRQTIPLVDCFN >OIV90691 pep chromosome:LupAngTanjil_v1.0:LG20:6656894:6659618:-1 gene:TanjilG_15077 transcript:OIV90691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHNNKGVVKRVEWRINVGDGSSNQRVGHSCMWTMIVGLALKLYLFMKKAWDIGLNDPRKFIHCLKVGIALTCVSLFYYLKPLYDGVGGNAMWAVMTVIVVFENTAGATISKTINRIGGTSLAGFLAFGVNWVARRAGNEFEPLIAGVSLFLLASAATFSRFIPTIKARFDYGALIFILTFSLISISGYRVDELMNMARQRIFTIIIGTCMCIIVSLTICPIWAGQELHVLVTGNLDKLANSLQGCVTQYFSHSEASAVSDEECNKKLLGYKCVLSSKATEDVMANLARWEPAHGCFNFRHPWKQYLKIAATMRNCASCIDALIGCINSENKAPDQIKKNMRSISLRVVTNCSSLIRELAITMRNMTKSSKVDILIMEMNNATQELSDLLKSYPNLIDPSESHNAQDTQKEVAAKIEIPVMEIIQVVTLASLLIEIVARVKCIVKAVEELSDLAKFKAAKSKQHAVENKISPDQEKDEEAINKNQIV >OIV91109 pep chromosome:LupAngTanjil_v1.0:LG20:2923317:2924229:1 gene:TanjilG_30331 transcript:OIV91109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRGGVIKDHHNKKQWNGVVPPECRPDPSILRLSAALKWEPAHVPLHADIDTKKACGVGPGMPFANAVRGRVVGTLGLVPCAVGGTAIKEWARGEELYENMVKRAKESVKGVEKSEIKALLWFQGESDTSSEHDAETYKINMENLIHNVREDLNLPSLPLIQVALASGFEYIEKVREAQKSIDLPNVICVDAKGLQLKEDNLHLTTEAQVQLGQMLAEAYLTHFEA >OIV90837 pep chromosome:LupAngTanjil_v1.0:LG20:5340907:5344095:1 gene:TanjilG_15570 transcript:OIV90837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATCGGKRRQPCMVNKKSFANKSKKVLTGDSFNRRIGSLFSRSASAQDLRQFDLVKEKEDESSPRGVLESCNRDFDSEHQPPESETSCSRAQSHWGKLPKLWKKRSFKRLPSSLQALPHIGVPRLLKGKSKSTRENHVLNNLYNFRSSLVTFSLSELRNATNNFSNENIIGRGGFAEVFKGRLQDGQLIAVKKLTKGTTEEVISGFLSELGVIAHVDHPNTAKLIGCGVEGGMHLVFELSTLGSLGTLLHSPDKNKLDWSKRYKIALGIADGLLYLHENCHRRIIHRDIKSENILLTENFEPQICDFGLAKWLPEDWTHHNVSKFEGTFGYFAPEYCMHGIVDEKTDVYSFGVLLLEIITGHPALDHMQQSVVIWAKPLFDANNVRELVDPSLGNYYDREQMDHVVLTASLCVEQSPILRPLQVVTLLRGDKSVMGSKRASYRRRPFLRTYSEELLDVQEYNSTKYLRDLDRMKQVALGLES >OIV91335 pep chromosome:LupAngTanjil_v1.0:LG20:1231889:1232586:1 gene:TanjilG_01953 transcript:OIV91335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQEQPQRPQTSDETKPLTSKEAAKMKTSQKSGPGVTIGEALEASAMTRAGDKAVDMSDAAAIQAAEMRATKKSETEAGGVAAIAQSAATRNSRALPYMEKTTLSDVVSDAREKLPSDKTVTKEDAEGVIGAEIRNKKDMITTPGGVAATVTAAATLNQNK >OIV91068 pep chromosome:LupAngTanjil_v1.0:LG20:4601325:4601798:1 gene:TanjilG_17028 transcript:OIV91068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRKKVTLAYITDQSARKATFKKRQKGIMKKVSELTTLCGIQACAMIWNPFDAQTEVWPDPEGAKQLIKRYQETSLKDETKNLNQESFTRQRITKAKDQLNKLRKENREKGTAQAIMHYIQTRKLPQNPTITDLKDMDTLVEKYLTDINSKMAALGS >OIV90454 pep chromosome:LupAngTanjil_v1.0:LG20:12952030:12952879:-1 gene:TanjilG_01932 transcript:OIV90454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSQTPSHLPIVDFIDENMKPARDSWLSTCHVVRTALEDHGCLVVRYNKVSPELCRTIVSEMKQLFGLPFETKKQETSDKLFHGYYGQIPSLPLYESFGIEDPLTFHGSQKFTHIMWPLGNDRFRDSINKYAKMLAEIDQVVKKMVFESYGVDQTKCDSLIESGNYLLRCLQYRPHLMDESDLGMQPHTDLTMQSILHQVNNIHGLEVKLKDGMWIVVDPSPSLFVVLAGDGFKVQ >OIV90692 pep chromosome:LupAngTanjil_v1.0:LG20:6663361:6668068:-1 gene:TanjilG_15078 transcript:OIV90692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMVESLTLRNKLKALCTCLEDGWSYAVFWRFHPHNPLLLTAEDAYYEGQLGQEIANMLPQTHLFGEGIVGKAAFTGKHIWVHSDGQSQEWNLCGQNIYKEDSELLQHFSSGIKTIVAIPVIACGVVQFGSKNKILERVEFLEQTQRSLIEMDNVGMVDMLGNVVSPLDCENYDLNSLLASFSSENSYDSTLEYAHGENSEELMRKFYSYESDNNSFPSLYNVHNEGMTSFHGDYCVGDQLNAIIEAQVALCDTDFTNVLLRPNSLMNSLIANDTSFGAWNDEVSFFDSLGQQLVSAEENTFPCSEIAVEDPALSSMYSMNIGACQEKLQSTLTNQQSSQSDIVTQVDFQSSSNTLHGLSKNIEAVDMSEEFLNFGSLDGLCQWFAPSPDDNNFIAITALDSNLSESIEFNPTSSDWVGSSSLRNIPVTCSAGANSIETSAIMHSPENSFFDFNRDEKNEWWENMPTPAWSPAIFSECVSELNTSTLTGIQNGLLFSEPLSGEASYNPLDSSNFEYELSPNKRQVVEFAQLNTNAIQFRNLARPAKATSDLMDSISYSEKTNNLVRKKDTLPKLQVPRWIDDGHSINNGKAVPTHCQTQNPEEGTTKRTKKRARPKESTRPRPKDRQQIQDCIKELRGIIPNGEKYSIDSLLGQTISYMRYLKIVAEYADKLQEPIELKLIEQANEVALEDSNVEDNKNFGVTWAVDLATPTMECPIIVEDMNTPGQMRIEFLCEGQEGVFGLGIGHNIESIGLKTLKAEMKSRKNKLWAVFIVEIVQQANRHWTRQDVFYFILNLIQQSYTSKIDSANDIANVIDPIDSWLRKSPEKQ >OIV90623 pep chromosome:LupAngTanjil_v1.0:LG20:8405363:8406754:1 gene:TanjilG_01704 transcript:OIV90623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSSETMQNDKLHVVMFPFLAFGHISPFVQLSNKLYTHGVHITFLSALSNIPRIKSTFNLNPAIEIVPLQFPNGISNTADIPPDLAANLIHALDLTQTQVKSILLELKPHFVIFDFAQNWLPKLASELGIKSVHFSVYSAISDSYIIVPSRLHGIEGRSITFEDLKKTPLGYIQSSNLSLKTFEAMDFMFLFKRFGENITGYERVLQSLSECSFILFKSCKELEGVYLDYIEKQFGKQVLLSGALVPEPSNDVLEEKWSKWLDNFQAKSVILCSFGSETFLNDDQIKELAIGLELTGLPFILVLNFPSSTNANGELERILSKEFLERVKNRGIVHTGWLQQQLILKHKSVGCYICHAGFSSVIEAMVNDCQLVLLPFKGDQFFNSKLIAYDLEAGIEVNRNDEDGYFGKEELLKAVNTIMVEVDKEPGKHIRENHMKWKEFLLNKEIQDKFIIDLVLQLKSLA >OIV91417 pep chromosome:LupAngTanjil_v1.0:LG20:565986:567750:-1 gene:TanjilG_02035 transcript:OIV91417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLVANEEFQHILRVLNTNVDGKQKIMFALTSIKGIGRRLANIACKKADVDMNKRAGELSAAELDNIMTVIANPRQFKIPDWFLNRKKDYKDGKFSQVVSNALDMKLRDDLERLKKIRNHRGLRHYWGLRVRGQHTKTTGRRGKTVGVSKKR >OIV91265 pep chromosome:LupAngTanjil_v1.0:LG20:1740192:1747118:-1 gene:TanjilG_30487 transcript:OIV91265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDNNESSGTGFHHHATAAQSRQHRHKLQVYNEVLRRLKDSGNDEAMQPGFDDQLWAHFNRLPTRYALDVNVERAADVLMHKRLLHLAHDPANRPAIEVRLVQVHPISDGNSTDSVVSDVPGKESGQSSSKFSNRLGLHPPPAFGSSPNLEALALEANNSEDIEEEQSVNTSAQYSRPMHEITFSTDDKPKLLSQLTALLAEIGLNIQEAHAFSTTDGYSLDVFVVEGWPYEETEKLKATLGREVLVIERQAWSGQQSESSVEKPDQAEVKCEPDQLTIPNDGMDVWEIDPKHLKYGTQIASGSYGELFKGIYCSQEVAIKVLKAEHINSDLQTEFAQEVYIMRKVRHKNVVQFIGACTKPPRLCIVTEFMSGGSVYDYLHKQKGCFKFPTLLKVAIDISKGMNYLHQHDIIHRDLKGANLLMDENGVVKVADFGVARVKSQSGVMTAETGTYRWMSPEVIEHKPYDHKADVFSFGVVLWELLTGKIPYEYLTPLQAAIGVVQKGLRPTIPKNTHPKFVELLDRSWQQDPALRPDFPEIIEILQQLAKEVGDEGEERRRDKPGGGFLSVLRRGHH >OIV91216 pep chromosome:LupAngTanjil_v1.0:LG20:2060695:2062017:-1 gene:TanjilG_30438 transcript:OIV91216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTNSSQGMKRVDAVDSRSASALVRGKDGSAFTRCEECKKDVPVALISMHSCSLEAKIKMNLDSQVVEAGAEVKKPERKKPKSKEPNAKRAKAEKVKKVKDPNMPKRPATAFFLFLDDFRKSFKEENPDSKDVKRVGKEGGEKWRSMTDEEKKPYLDKFAELKVEYEKAMETYKSPGNGEEEQAGSDKSDKEAAPAEVEELTDEE >OIV90900 pep chromosome:LupAngTanjil_v1.0:LG20:4836128:4836691:-1 gene:TanjilG_15633 transcript:OIV90900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSSSKEPNIDHSTNSDTTLHPSNHTKITQNSPNLIPWKSQFEQQIYASNLAQALRRTPPSRAARQVRDTADRLLARAAKGTTQWSRAILASPRWNLHMNKLKKVKKVSNGMMKTRVTGGNGKMKRRLPAVKEKARVLSRLVPGCKKVTFQNLLEETSDYISALEMQVRAMTDLTQLLAGRMVS >OIV90792 pep chromosome:LupAngTanjil_v1.0:LG20:5830209:5830382:1 gene:TanjilG_15525 transcript:OIV90792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPREFFTDFVNIAQDDWRHFTLLAARLEDLGSYYGALAAHDCLWDSATATSTNLLLP >OIV90573 pep chromosome:LupAngTanjil_v1.0:LG20:9217670:9222439:1 gene:TanjilG_19209 transcript:OIV90573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSAEDFGAPDSWEVADLDESMNRLNLMLSSSPNNKDSKLSDEPPTSSSSAPSGSASSFSTGDKLSDDVINQVDQFLREAIQNPRERLSVLRMEQDVEKFIGDPNEQQLEFEQLPTSYLRLAAHRVAQHYSLHSMVLLDNSLPDSSGSRIIVRKTSECKLPVIRLADIPVKLSSENNSVTKFAIKQRPQKQSQVLSNANSNSGKNSNSKSVEERKEEYSRARARIFSLSNNGTTISGKPERESRQQDNSLRGSLGVPRVEDKPVSVSDVSSNRGLVESPTNTSRGLVESSTNTGRARSRSDKEPVGSRYRQSNRVAIFRDRDVERQDPDYDRSYERYMQRFDPGFGFSDGSYTMQPMYTAVVNYNTEFPHLGSAHGPQLSTEHRPRPLPQHIPGTWVPQQIPSGIGYGHPETMMSPFNPSQVGAHSSSAMYLHSLHYPCQHPGMPFLHHEHVYQPFAQMTVSPPFVLCDSIYNFHPISSTTTWCNIWIGPAPVGAWAMPAPDQPELFDARCWSFYSSKFHFADWIAGI >OIV91502 pep chromosome:LupAngTanjil_v1.0:LG20:31590:37637:1 gene:TanjilG_26471 transcript:OIV91502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGANHSKPPFYSSASASNNNNNNNNNNRKSRWENNSNTKSPSDPNTKPNPNPSPSPRHPPPPPPPAYGFHMLERRTIILADGTVRSYLALPPDYQDFPPPPPPPPHGRFDYHRNLPPPHIEGPGKRKFGGGEDDHHNNNNNNNNNGSEFRSNKQLRVDNKVDQDALKKAFLHFAKLINENVTLKNTYLAHRNQGRIRCLACTRSAKEFPDIHTLLIHTYNSDNGDLRVDHLGLHKAVCVLMGWNYSKPPDNSKAYQFLSADEAAANQDDLIMWPPLVIIHNTNTGKSRDGRMEGYGNKIMDSRIRELGFMGGKSKSLYGRDGHLGITLIKYVGDQSGLKEAMQLAEHFEKENHGRKDWARLQTQTLGRDDENNPNLVKLDETKVEKRRILYGYLGTVFDLDKVDFDTRKKVVIEIKREYKRSV >OIV90609 pep chromosome:LupAngTanjil_v1.0:LG20:8625274:8627498:1 gene:TanjilG_01690 transcript:OIV90609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTDITNNMEQQRRLKSPSKQQPASLSENAMLMQLLANRNAIIESCKAELQKSQINFEKLQKQNSELALTNSRMLAELNSSRQRLREVQHELGSKNGILKAMKLEAKEHTEKLKREIDKKQAGASQSKKPDQMIPDDRGNNVCHAKRQRVSKSQSSAPAVVEQVKPVNSQRYSLRRQSKAEKPRQPVDDFIEVDEIKYGVSHLQENLANESEETSLGSKVHEEAREDAECNASGPTNSEQVLPKKNVEKKRHSLRRQSAMFKPEKLEPTKDSFEIDDAKFAITRLCDDMSKKSGPATSGLTSGQENIESDGCKFDPQEIRRSSVGRPKRQSVAKIQSYKEVPLNVKMRRPT >OIV91238 pep chromosome:LupAngTanjil_v1.0:LG20:1939267:1940661:1 gene:TanjilG_30460 transcript:OIV91238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPTVTSVEIENVVFPSTVKPPGSANAFFLGGAGVRGLQIQDNFVKFTAIGIYLQHHAVSSLAVKWNGKNAHELTESVEFFRDIVTGPFDKFMQVTMLLPLTGQQYSEKVSENCVAIWKSLGIYTDEEEKAIEKFVSVFKDETFPPGSSILFTVLPQGSLVISFSKDASIPEVETAIIENKLLSQAVLESMIGRHGVSPAAKQNLATRLSELLKEGGAN >OIV91504 pep chromosome:LupAngTanjil_v1.0:LG20:26771:29113:-1 gene:TanjilG_26473 transcript:OIV91504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKPPFHSDVYVNLVQSAIKTRDPFLGRSIHAQIIKHGLHLGLFLMNNLLNFYAKTATVSHAHSLFDEMPLKTTFSWNTVLSAYAKGGNFKAAHHLFDLIPHPDSVSWTTMIVGYNQMGLFHNGIHMFLNMISHGVSPTQFTFTNVLASCAATRALDTGRKVHSFIVKLGLSGVVPVANSLVNMYAKSGDTVMAKIVFDRMRLKDKSSWNTMISMHMQLGRFDLALSLFKQMTDQDIVSWNSIITGYIHQGYEIKALETFSCMLKSSSLNPDKFTFGSILSACAKLESLKLGRQIHAHIVRAGIDISGAVRNALISMYAKSGDVKIAQRIVELTGTSSLNVIAFTSLLDGYVKIGDINPAREIFDSLKCRDVVAWTAMIVGYAQNGLISDALEIFRLMIREGPKPNSYTLAAVLSVFSSLASLDHGKQLHASAIRLEVVQSVSVGNALITMYSRSGSIKDARTVFKQICSNTDTLTWTSMITALAQHGLGNEAIQLFEKMLNFNIKPDHVTYVGVLSACTHVGLVGQGKFYFNLMTNVHHIEPTPCHYACMIDLLGRAGLLEEAYNFIQSMPIEPDVIVWGSLLSSCRVHKNVDLAKVAAEKLLLIDPNNSGAYSALANTLSACGKWEDAAKIRKSMKDRAVKKEQGFSWVQIKDKVHIFGVEDGLHPQRDAIYLMISKIWKEIKIMGFTPDTDSVLHDLEQELKEQILRHHSEKLAIAFALINTPGYTTLRIMKNLRVCNDCHSAIKYISKLVGREIIVRDATRFHHFKNGSCSCQDFW >OIV90163 pep chromosome:LupAngTanjil_v1.0:LG20:20329185:20329589:-1 gene:TanjilG_01617 transcript:OIV90163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPPGRAIPKIRQVGFFTSGAPPDPSHSGPPDPNHIPSSPVIIPPSRHLSDNLLLHSRLLPPPHSYSSAAADFFTSPLSSVHLPSPLSASYSSMMAASGEGGAKGNSGGGKVASSYPCGGFDLSTAMKKSGGSG >OIV90628 pep chromosome:LupAngTanjil_v1.0:LG20:8368398:8374079:1 gene:TanjilG_01709 transcript:OIV90628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPSLSLPTLSLTLTKTHFPLFSPSPLPTPSSTLLSHFKSLIPHCSISNSSNSDHHLWLREEQRWIREEQRWLREEQRWARERDSLLREIAELKLEVQALQRRVSSHEVSSDAVVNVKTLLQVLKEKNLVLDSESSVRRLVLEHNENENRKEEEPEEVIEHEKEVVVIEESVSASSSSSSATVKKRTTLRKGSEGEQVQELQEALLKLGFYSGEDEMEYFSFDSGTDRAVKTWQAAVGVPEDGIMTHELLERLYLEIATNDAGNGTDNKKSAPVLLKEVENGAAIASVTEISEGQQKVVVKDDSGVQVSSHGRVFLLGENRWEEPSRLVATPGVDRTKNKDGTTKCLQCRGEGQLLCTECDGSGEPNIEPQFMEWVGETTKCPYCEGLGYTPCDLCGGKATEVENGAAIASVTEISEGQQKVVVKDDSGVQVSSHGRVFLLGENRWEEPSRLVATPGVDRTKNKDGTTKCLQCRGEGQLLCTECDGSGEPNIEPQFMEWVGETTKCPYCEGLGYTPCDLCGGKATV >OIV90731 pep chromosome:LupAngTanjil_v1.0:LG20:7280429:7284009:1 gene:TanjilG_15117 transcript:OIV90731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKGRSDGSQKKRVVASICVVTIFLGFLYVYGGSIFGSQNSGSSALQYGSKSLQRLGSSYLGGDDDTDAKQDESSSFGGDEVVPKSFPVCDDRHSELIPCLDRHLIYQMRMKLDLSLMEHYERHCPSAERKFNCLIPPPPGYKVPIKWPHSRDEVWKANIPHTHLAKEKSDQNWMVVKGEKIVFPGGGTHFHYGADKYIAAIANMLNFSNDNLNDEGRLRTVLDVGCGVASFGAYLLSSDIIAMSLAPNDVHQNQIQFALERGIPAYLGVLGTKRLPYPSRSFELAHCSRCRIDWLQRDGILLLELDRLLRPGGYFAYSSPEAYAQDEEDLRIWKEMSDLVGRMCWRIASKKNQTVIWQKPLTNDCYRDREPGTRPPLCQSDDDPDAVWGVNMEACITPYSEHDNEVKGSGLAPWPARLTSPPPRLADFGYSNDMFEKDTELWQRRVEKYWNLLSPKIKSNTIRNIMDMKANMGSFAAALRGKDVWVMNVVPQDGLNTLKLIYDRGLIGSTHDWCEGYSTYPRTYDLLHAWTVFSDLEKKDCSPEDLLIEMDRLLRPTGFIIFHDKQPVVDLIKKYLTALHWEVVATTESSSESDQDGDEVVFIIQKKLWFTAESLRDTE >OIV91365 pep chromosome:LupAngTanjil_v1.0:LG20:966903:984408:-1 gene:TanjilG_01983 transcript:OIV91365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLISTFLGIIGFVIGILLGLLIGFFVFIYSQDTKQVKDPVVRPIAELGPKALQELLPEIPLWVKTPDYERVDWLNKFLLDMWPFLDKAICRMIRIIVKPIFAEYIGKYQIKGIEFDKLSLGTLPPTICGIKVLQTNEKELVMEHVIKWAGNPNIVLDLHVSSLKISFQLLDLQVFVTSRITLRPLVPTFPCFAKIVVSLMEKPHVDFGMKISGGDIMAIPGLYRFVQETIKKQVASLYLWPQTLEIPILDESTVAIKKPVGILHVNVVRAHKLLKMDFLGTSDPYVKLTLTEDKLAARTTTIKRRNLNPEWNEKFKLVVKDPQSQVLQLQVYDWDKVGAHDRLGMQLIPLKVLKPYENKAFTLELLKDANTNETPKKKNRGQIVVDLTFVPFKEDSIKFGGSMEGYRSKKSRIDDEVEEGAGLLLLVIQEAEEVEGDHHNNPYAVLTFRGEKKRTKMMRKTRNPRWSEEFQFMLEEPPLHEKIHIEIDLHSHIIKVYLTLKVENSGTSPASEVHLALSPTEVEHLAIVKAAATSGKRKKKTYTPLGVKSAELPDGPNGTKFFSITLLTPLGKGETINLEVLYQLTHSLEPFPVEISQSESQLVYFRDSAILLSPYHVKEQTTFIKTPSTRVESFTVVDPIKHSGTELKYGPYDDQLPYSYSPVLVHFENNNPFTVVEELEREIEVSHWGSVQVTERYRLVHGGARHKGVFSRVEYQGRQGSSGASSFKYLLARLPAKVHSVYYRDGIGNISSSHLRTGFVKSELEFEPRYPLFGGWKSTFVIGYGVPLQDFLFESPDGRRYLNFTFGCPLAEAVVDKLIVKVVLPEGSRDPTAVVPFQVEQRLEIKHSYVDIVGRTVVVLEKRNAVPEHNTPFQVYYSFNPIFMLAEPLMLVTAFFLFFVGSVAYLHIDLSIRKQWVRRSKAVKVRNMTHRSDGKMEGWLYLVLANRFGQHNLRKRFFILKDYVLTSFKIKPTSQMKQPFRSAIIDSCIRVADNGRESISKKMLFVFTVYNASNQRDMLKECPNPVKSLVPKSKRRRSSLRYGGAKSTDWNDPDMNFQSCIYTEAMTSDVISTSPWKIFGCQNGLRMFKEAKEGDSCGTHWGEHPAIMAVGVIDGTSEAIFHTLMSLDPSRAEWDFCIYRGNVVEHLDGHTDIIHTQLYNDWLPWGMKRRELLLRRYWRREDDGTYVLLYHSVQHEKYPPHSGYVRACLKSGGFIVTPVNKGKQSVVKHMIAIDWKYWKLYLLPSSARSVTIRMLERVAALRELYRTKAEDLNSDPIVMTKDIGFLSVKEDMKSEVSVENSKIEDLEMKDEGDNEPSDHTNLMGLNDSDEFFDVPESTEYNNFENEWQTDLASEQPAMPHTKISSAAGLVKKLQDLTVQKKGYIDLHEVGRDESQSWCYGSTLQKDSSCALPCSWAASDPSLFLIRGETYLKDQHKVKAKGTLMQMVGADWLRSNTREDDLSSRPGSIVQQYAAKGGSEFFFVINIQMPSNPMYSLALYYMTRTPLEDSPLLQSFVEGDDAYRNSRFKLIPYISKGSWIVKQSVGKKACLVGQALEILYIRGTNYLELDINVGSSTVARGVSNLVLGYLNNLIVEMAFLIQGNTQDELPEVLIGTCRLNHMDASKSFMVKP >OIV90645 pep chromosome:LupAngTanjil_v1.0:LG20:8164550:8170981:1 gene:TanjilG_01726 transcript:OIV90645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAPIVHVENNMFVLVDDVSLLLERAATEPLPPKDEKGPQNRTKDGNSGEDFDNDSMQHDEMRLTELGRRTLEIFVLVHVFSNKIEVAYREAVALKRQEELIREEEAASQAESEKRAKRRVNDREKKSKKKQAKQKRNNRKGKDKGREESTAVAVHDKNPEDNAVGEKKDSYMADAQTLSEKPDAMEDVSDVSDSAYGVSEVLQPDSEDRDASPVNWDTDASEVHPPTKASNNGIGGVSSIQNGRFENRSSSVVDDSSSTCSTDSLPSVFMSDPYKGNSFSNYTIQKSPSRGKNRGKASRDVDSWTNEIGSQPSGSAAEARDNNDESGSGKVGESASEGSVLSLQDRLKWAEKHVVRKKEEALSLQKEHSIKDHIEIEGTVDTESLQKEKISRLPSSPISTPRNLSSSVQMKLEQKTSATVDPVHVKKTYSNGSLLADKDASILSNAASQVTNLSKFEIQKSSTARLTERSVPLSRTASAPLVPGLRSTAPVVSMVQMAPLPARSVSAASRLGPNLSPATNVPQSYRNAMMGNPVASTAASLTYSSSSSSGVNSSPGYSQPSSMLSSPMFLPQSSDRVESNPDQSGLPFGMIACDNVQSGPQWMEISQREASRRMHFDQPSRTNDFQNHDLYRPLHSRSLGNLSTEFPPCTSGRQNQGLLVDEFPYLDIINDLLDDEHGIGKTPMASSVFQPWDNRPQFLNRHFTSPGDLGADDDLGSLASSCRFEQSRGYHHGHGFQGGYSSSGGHFGSPRDYIPHTSAVPYANGQSDRLVPNQWQVAGSDLSYLGMRNSENGGYSYYQDYSNMACGVNGYNVFRPSNGP >OIV90606 pep chromosome:LupAngTanjil_v1.0:LG20:8647702:8648061:1 gene:TanjilG_01687 transcript:OIV90606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFFSHHFITKIEQQGSTNVHYGHLGKDSGSLVYGLIRAKFASFSHGKLDKGCGFGWWRAQVYGCDSALSGCVMTWISDARCGSYSRRMTDMGGWGWRCGHRVVAMRVDVKGDERKWRK >OIV90967 pep chromosome:LupAngTanjil_v1.0:LG20:3713463:3715609:1 gene:TanjilG_16927 transcript:OIV90967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFHAVLPDLHTFPFLLQSFKSPTQLSPGKLIHAQTFLLGLNNDSFLQTSLINMYSSCGSVAFARLVFDEITQPDLPSWNSIIHANVKVGMLHVARELFDRMPQRNVISWSCMIHGYVRCGEHKAALALFRHLQMGKGCEVRPNEFTLSGVLSACAQLGALQHGKWVHAYIDKSGMRIDWCSTPLGWIRTKIGGRAEILL >OIV91120 pep chromosome:LupAngTanjil_v1.0:LG20:2839092:2839655:-1 gene:TanjilG_30342 transcript:OIV91120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNEDETIATAMEQPSDVMTGDYNNDGSKSNNPKEWLNLSLGGTSFSTTGDADSKSRPATTKVFSCNFCMRKFFSSQALGGHQNAHKRERGAVKRYQTQRTEAMTGFSMNNHMFQSLGFQPHALVHKPCRGGRTMMAPSFHDAYAMACTPFMIEEQTDLVWPGSFRLVPEQSEPPQKSLKLDLNLRL >OIV90142 pep chromosome:LupAngTanjil_v1.0:LG20:20585459:20587752:-1 gene:TanjilG_01596 transcript:OIV90142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYDYLFKYIIIGDTGVGKSCLLLQFTDKRFQPVHDLTIGVEFGARMLTIDSRPIKLQIWDTAGQESFRSITRSYYRGAAGALLVYDITRRETFNHLASWLEDARQHANPNMTIILIGNKCDLSHRRAVSKEEGEQFAKENGLLFLEASARTAQNVEEAFTQAAAKILQNIQEGVFDVSNESFGIKVGYGRSQGQSGARDGAIAAKGGCCN >OIV90101 pep chromosome:LupAngTanjil_v1.0:LG20:20986694:20986888:-1 gene:TanjilG_01555 transcript:OIV90101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLVLLVLRIPFNLPINPFLNLLVMLVLGYLVIFLFAGKMSLENAETREQELNNNFGTSVPDTE >OIV91311 pep chromosome:LupAngTanjil_v1.0:LG20:1396900:1398786:-1 gene:TanjilG_01842 transcript:OIV91311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLFFIFYLFLFTLPIPSLSLNATCPLNFTILTTLSGGARPSSFDSTTRCQFIRQAFRLVQSDYLRRTTFFLPPINSSQSCWQSFQSYINSFDSTFNIQSSCGFQTNYISQGCINITTKHDFEALVSDSVLQDVNTNCNQSLENNSPCAICTTSVSNLPTSGPSIGNLSDCTAYPSIYVAAFSNHFGPSDPGTAKCLFSLDFSSEGSSGGKKKVVIVVVSVVCVFVLFFMIVGFWGYWKLKRVSRGNGGNITEMNLVSGLDSMDQSTTLIRFTIDDVKKATKNFHRDNIIGRGGYGNVYRGVISDGSEVALKRFKNCSAAGDESFTHEVEVIASIRHVNLVALRGYCSATTKYEGYQRIIVTDLMKNGSLHDHLFGSMGIKISWPIRQQIALGTARGLAYLHYGAQPSIIHRDIKASNILLDDKFEAKVADFGLAKFNPEGMTHMSTRVAGTMGYVAPEYALYGQLTERSDVFSFGVVLLELLSGRKALQTNNDGQPSALTDWAWSLVRTGRALDVIEDGMPEPSSQQVLEKYVLIAVLCSHPQLYVRPTMDQVVKMMETDDESVLSIPERQIPFVAGRLDIERTVSMSGSGQLSSPTGYQPYTLESDPHSSNSKEEGSSSPRILCTD >OIV91000 pep chromosome:LupAngTanjil_v1.0:LG20:3983194:3984645:-1 gene:TanjilG_16960 transcript:OIV91000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWVTRFLTAVAFLAVGVIFSPETFRSNSDATNVSTYLKLAHLLSFSTAFGAALWVTFIGGIIMFKNLPRHQFGNLQSKMFPAYFSLVGVCCAISVASFGYLHPWKTSSPTQRFQLGFLLSAFAFNLTNLVVFTPMTIEWVGVSLFSEVQNGVCDLVRKMMKQRHKVERENNIGDEVGRSKNVEVAKSNPKLAAMNKKFGMIHGLSSLANILSFGSLAMHSWYLAGKLDL >OIV91215 pep chromosome:LupAngTanjil_v1.0:LG20:2062911:2065708:-1 gene:TanjilG_30437 transcript:OIV91215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTASGASKSLLPMEEAVPASSYRAYPIPLAKYEEVVENPNTFMFTLEKLHAEMGTKFMIPIIGGRELNLHHLFVQVTCRGGLEKVIKDRKWKEVNATFNFPSTATNASFMLRKYYTSLIFHYEQVYYFKSLGWAPPPTSDALPSQPTMPSPAPQMQSPQIQSSSNVAELPEAMAASSASSAAIGVIEGKFELGYLVTVTIGSEKLKGILYHPPENSTFSAPHPIVLINNDNVSSPSGVRRRQRRKKSEIKRKDPAHPKPNRSGYNFFFGEQRARLKSLNQVKDKDISRVIGDLWNKLNEPERAVYQERAAKDKERYKTEMEDYHKKLKPDQVISDAEPSQQGLPEHDTDMVDAVADANSFQTPEESSSDEGEHEDGKTNENDFDMDASQSHDKGGSSCSGSEK >OIV90729 pep chromosome:LupAngTanjil_v1.0:LG20:7271388:7271756:1 gene:TanjilG_15115 transcript:OIV90729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKISSSKTYPCLFKLTLLGTFKSRLIWEKVITSKYGNCNPFSTEVGNSRRRNSKSRWWSDIERMSNSIVTVNNWFLSTLKRSVSNGSLVNFWYDRRRGNESLCRLSFHVSSQKDVSLGDMGF >OIV91392 pep chromosome:LupAngTanjil_v1.0:LG20:734101:737126:-1 gene:TanjilG_02010 transcript:OIV91392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHILHTPFLTSSSSLRPNPNPNITQSRSSSSPNVVRAKIREIFMPALSSTMTEGKIVSWLKSQGDKLSKGQSVVVVESDKADMDVETFYDGYLAAIMVDEGDVAAVGSPIALLAESEDEISLAISKAQSLSSSSSAAATTVTSDSSSAPPASESGEVAVKAPVVVAVASTHPASEGGKRIVASPYAKKLAKELKVELERVVGSGPLGRIVAKDVEAFAASAPAVAVTPAAVAAVAAPPSGGVDLGSVVPFTTMQNAVSRNMVESLGVPTFRVGYTITTDALDALYKKIKSKGVTMTALLAKATALALAKHPVINSSCRDGNSFTYNSHINIAVAVAIDGGLITPVLEDADKVDVYSLSRKWKELVDKARAKQLQPHEYSTGTFTLSNLGMFGVDRFDAILPPGTGAIMAVGASQPTAVATKDGRIGQKNQMQVNVTADHRVIYGADLASFLQTLSQIIEDPKDLTF >OIV90090 pep chromosome:LupAngTanjil_v1.0:LG20:21113103:21115086:1 gene:TanjilG_01544 transcript:OIV90090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSYSFNNGGYGSYGYLSRASIGSSVVLVPQQPLLTNPFGLMDPPQEVEVEARDSSVAAEKNKLCARGHWRPTEDAKLKELVAQYGAQNWNSIADHFDGRSGKSCRLRWFNQLDPRIKRGAFTEDEETRLIYAHSLYGNKWALISRLFPGRTDNAVKNHWHVMNARWQREESNYYRRNNPYLLRDLSLNNSVASGLTTTSLSGERRVQTEHVGFGKIFGAWNGSGQIGPIRRPNYSDTNSEVSVGTTTNISFYAENENMVNKSQVHFIDFLGVGDA >OIV90813 pep chromosome:LupAngTanjil_v1.0:LG20:5638071:5639759:1 gene:TanjilG_15546 transcript:OIV90813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGENTQESSLVIYEEAGSLRNGTMHNEVTYLRNNAQGGQGTYLRNNAQGGDLFKEQYAQGENSVQIRITLAFAHLCSDNNRKSIFIDNNRLELLPDLLESTSLKQRCGAAVALYKLATKTTSSPLVDAAPSSPTLQVYLGEQYVNNLSSSFLVLPLCL >OIV90134 pep chromosome:LupAngTanjil_v1.0:LG20:20657792:20658794:-1 gene:TanjilG_01588 transcript:OIV90134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKLRLKRFSRSSSKISNGKKASPQEDKDCSEIKWELRPGGMLVQKREKNNLGEGMITIRVSTMSQWHDISIENTSTFGELKKILSLITRLEPREQRLLFKGKERDDNDFLHMIGVKDKDKVLLLEDPAIKEKKLLSMLRDQPINNSCTTRATPCW >OIV90078 pep chromosome:LupAngTanjil_v1.0:LG20:21309526:21309807:1 gene:TanjilG_01532 transcript:OIV90078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGIIHKIEETLHLGGHKKEEEHHGDDHKGKHKGDHKGEHKESIAEKIKDKIHGDEHHKGEKKNKKDKKEKKDKKKHGEHGHGHDSSSSSDSD >OIV90598 pep chromosome:LupAngTanjil_v1.0:LG20:8717115:8724563:-1 gene:TanjilG_01679 transcript:OIV90598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPESSYVSSPEEPRNHASPPPPPISPTHDSEEKPTYVRFLVSNSAAGSVIGKGGSTITDFQSQSGARIQLSRNHEFFPGTTDRIVMVSGTINEILSAVELILSKLLSELHIEDDNDAEPKTKARLIVPNGSCGGIIGKGGANIRSFIEESQAGIKISPQDNNYYGLNDRLVTVTGTLDEQMRAIDLIVSKLAEDPHYSQTMNSPFSYPGVYFSGYQGAPYTYPVPSVAPPAYNAVNYRANGAGGKFQSSKEEQSNSVTIGVADEHIGLVVGRGGRNILDISQNSGARIKISDRGDYISGTTDRKVTITGSQRAIRTAETMVLQKVAYATERVVE >OIV90830 pep chromosome:LupAngTanjil_v1.0:LG20:5377470:5379357:-1 gene:TanjilG_15563 transcript:OIV90830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQNRVLVEVLQQSIEASSSSSSSSSERFYNWLLECHGFWHNAFLIIASFLFVLYLALQAKQSYLKLSHGRSYIIISYYLSLWFVTLLNLLWCSSQAWECSSGKVMAWNLLSLFTTSGMLFLEVSLLAFLLQGNNASGLEALTRTFGISGIIVGFDILLKAIYLFAFGIPFFIDSNDGTQHMKWNLWVVHKLLLTAVYGCIWFMYHSRWRERLPARPAFYKYVTIMFIFNAIALFACGLAGNGAAFGLWLYSVTVVCYHAFYLPLLYITFLADFFQEEDLHLENVYYSEMKDAGFFEADWD >OIV90934 pep chromosome:LupAngTanjil_v1.0:LG20:3414741:3415862:-1 gene:TanjilG_16894 transcript:OIV90934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEPRKQPTKRKQQRVQPFQEPKIMRKLRIIFNDPDATDSSDDESEPIRVKRSIREVALPPLPATNSTTTFTEITSSCDETNKSIVGVLNKTCIEGQQPQIKRKKVFSQNPSSKVLPCGKYRGVRQRKWGKWAAEIRDPFRSTRLWLGTYNTAEEASQAYENKRLEFEAMAKAQSCSNGSHSSATSVVVPKTAKSSTSSDKGNYTAVQSVSDKSSSSTSEDSESMVSHTSPSSVLELDTSASNLIEKENVACNEAVETCDLVAELADLEIPDLSTLNLPPPPSNAALAASVSELNLGLDFDMLPFDDFAKGFDDLSGLDDIHIFGFDENDPSELPDFDFGDFGSDDFGFGDLVDFGADEFAGWIEEPLHVPCA >OIV90507 pep chromosome:LupAngTanjil_v1.0:LG20:10208972:10212507:1 gene:TanjilG_32384 transcript:OIV90507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRTPCCDKKGLKGPWTPEEDEILANYIKKNGGHGSLLRCGKSCRLRWTNYLRPDIKRGPFTVEEEKLVIQLHGILGNRWAAIASELPGRTDNEIKNLWNTHLKKRVKSHQPLSSSHSHSVGKAHPASLSTRHMAQWESARLEAEARLSTQSSLFNFNNNNNNAKTHDYYDFFLRIWNSEVGEAFRNNNNNVHKLDDDNNKTSCYNQSPISGEKCESVSAITAELGFSKSCAEDVNGIFHGYDSSSSNELDESSDTAFQLLLDFPINNDMSFLEGNSFVCTL >OIV90317 pep chromosome:LupAngTanjil_v1.0:LG20:17920447:17922273:1 gene:TanjilG_13172 transcript:OIV90317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDENKTFTLHLLFFSFITFWVLLVSSQYEEDYVGCLKGIKNTLHDPQNNLNSWRFENTTVGFICEFNGVSCWNQRENRVLGLSLRDFGLSGSIPESLKYCGKSLQKLDLGANSLTSLIPSEICSWMPFLVSLDLSDNKLSGKIPPTIQNCSYLNELLLANNDLSGSIPYEFGGLSRLKKFSVANNKLSGTIPSFFSDYGKEDFDGNDGLCGGPLGSKCGGLSKKNLAIIIAAGVFGAAASLLLAFGVWWWYHLRLSGRRKRGHGVGGVGAGGDENWAVKLKGFKLVQVTLFQKPIVKVKLGDLMAATNNFSTENVLISTRTGTTYKADLSDGSTLAVKRLDTCKIGEKQFRMEMNRLGQVRHPNLAPLLGFCVVEEEKFLVYKHMSNGTLYSLLHKSGGGLDWLMRFRIGLGTARGLAWLHHGCHPPIIQQNICSNVIVVDEDFDARLMDFGLARLMTSDHDGSFVNGDLGELGYIAPEYPSTLVSSLKGDVYGFGVLLLELVTGRKPLEVSNGEEEFKGNLVDWVNMHSSSGRIKDCIDIAISGRGHDEEILQFLKVALNCVVSRPKDRWSMYQVYHSLKAISKDHSFSEHDDEFPLIFGKPESEPA >OIV90492 pep chromosome:LupAngTanjil_v1.0:LG20:11079596:11080624:-1 gene:TanjilG_32709 transcript:OIV90492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTKQTTLVHVNEDYIDMELITCSSPQHREFEFQMSSISNGKDSTTSPADDLFYKGKLLPLHLPPRLQMVQNLLQNSNVTNVKSESLLEKSTFPFTTKNAITPLESCNISPSESCRVSSEVNPDEYLFGWSSSSSEKSWPKKLKQIKQFWLGQKLKASKTYLKSLFNKSGCSNNSCASATSNNVGSEKKPRCKECQNKYLKIKKNQLDISDNVNNTKHQISYDVIVEEFITHRKSFSGVGQRHCAKKCSSLSTSSSGSSSSSSSFSLSSSSYYDMQLFKRSISANSELESSVEGAIAHCKKSQMQKCSSKNVQDGSMICSQFVSKIAVSGNQEMASLANTRG >OIV91328 pep chromosome:LupAngTanjil_v1.0:LG20:1274994:1277852:-1 gene:TanjilG_01946 transcript:OIV91328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLMSATTHILSSNFHNSLPLSGASSLRSFTSSSHYVTLKLPTTSTFTPLLSTSFQLRTTPFVRAATIDEIEAEKATIEKDVKNRMEKAIENVRSNFNSIRTGRSNPSMLDKIEVEYYGSPVSLKSIAQISTPDASSLLVQPYDKSSLKAIEKAIVSSDVGMTPNNDGEVIRLSIPQLTSDRRKELSKIVSKQTEEGKVALRNIRRDALKAYEKLEKEKKLSEDNVKDLSSDLQKLTDEYIKKVDTIYKQKEKVLYISTQLIL >OIV90204 pep chromosome:LupAngTanjil_v1.0:LG20:19735162:19736376:-1 gene:TanjilG_01400 transcript:OIV90204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWFLVILFLILTFGSVSEARHHKKLPSAVVVGTVYCDTCFQHDFSFGSHFISGASVAIECKDGKSKPRFKNEVKTNEHGEFKVHLPFSVSKSAKRIKGCTFKLISSSEPYCAVASAAKSSSLILKSRKQKEHIFSAGFFSFKPLKKPNQCNQKPSIQNSKYLDKKFFFPTNPFFPPIIPNPFQPPLIPNPFQPPPLIPNPFQPPPLLPNPFQPPSPPPLFPNPFQPPSPPPLFPNPFQPPPSTPSPLIPNPFQPPPSTPPPLIPNPFQPPPSNPSPSFPPLFPFPPIPGLTKPPPPPPPPPPVLPFPFPPLFPPLFPPPHSPGTPPASSFP >OIV90156 pep chromosome:LupAngTanjil_v1.0:LG20:20414594:20417716:1 gene:TanjilG_01610 transcript:OIV90156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKIALEHLPQHRPLWEIHIIKYPTSNASSTLIFKLHHALGDGYSLMGALLSCLQRVDNPSLPLTIPNSSQVMPQLSSIFNAKTIFKTLPSIFSLAFQTISDFGWSVLKSSLVEDDETPIRSCEEDINFRHVDISSISFSLDHMKEVKSILGVSLNDVIAGLIFFGLRLYMQEINLKSSKAESTALVLLNARNIKGYKSIKEMVDNTNNNGASWGNRFAFLHIPIPKLSETRFSNPLEFIFEAHKEITRKKNSLATPLTGMLLNMVKKLRGPEAAARYLHSTLRNSSTTISNIIGPIEQMALANHPVKGLYFMVVGPPESLTITIMSYMGKLRIAFGMEKGFINKEKMKSCMENSFEMIIVAARKISAKTQIKI >OIV91240 pep chromosome:LupAngTanjil_v1.0:LG20:1910570:1925994:1 gene:TanjilG_30462 transcript:OIV91240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHEGSNTETLAPVESNLFEHRHVVTSQYQPSAYAPATTGPEAISWTVHNSTTNGIYSNPTYQYDQHPEPSGRSIQDGQNVTSVAGNSSHLGTANVPHDYSAYISNPSSGNSSNLGTANVPQDYNAYTSYPTSTNPYGYGSTGYYNSYLQQPNHTYSQPVGAYQNTGASYQPISSFQNTGSYTGSASYSNTYYNPGDSQTTGGYQNSSGYGDQATTWNNGSYSSYSSKPNTNYTPDSGGSYSSGVAATSVQYQQHYNQWADYYNQTEVSCAPGTEKLSVTRSSSLGCPIPAATSDYATPAATGGYATPTSQPSQSYPQFWRQESSSSAMPSFQQAAVNSGGDHDGYWNHGAQTSQNHQTNPTQPNYQSPLDLKSSYDKFQDHQKTASSQGTDFHFLPPPPPPLPPPPQQVNPAPLHKAPYLNTRQVQIPINPQIPSNLAFGQLKTEKDSSTTSAAQKPAYIAVSLPKSTEKVSCGDDVNSILKPGMFPKSLCGYVERALARCKDDKQMAACQAIMKEMITKATADGTRSMRNWDMEPLFQMPDAVLVNKEYDMYLLFLYVEMSFSCACVRHFLFSPGMFPKSLCGYVERALARCKDDKQMAACQAIMKEMITKATADGTRSMRNWDMEPLFQMPDAVLVNKEYDMYLLFFSSPSSTPDSLLPKYKRSPRRSKSRWEPLPEEKPVDNPALINNDSVRYSGWVPSEKDRKMVMEIKGSKEDVYRSSKFSPLIQRTSSKAPQRAFKKQRLTDASIASENGDASSDSDKEQSLTAYYSASMTFSDSPEERKRRENRSKRFEYRQGHRSENNHFRKKSAGAGNMYNRRASALVLSKSSEDGVSKAVEDIDWDSLTVKGTCQEIEKRYLRLTSSPDPATVRPEEVLEKALLMVNSSQKNYLYKCDQLKSIRQDLTVQRILNQLTVKVYETHARLALEFGDLPEFNQCQSQLKTLYAEGIEGSYMEFAAYNLLCVILHSNNNRDLVSSMSRLSGESKKDEAVQHALAVCAAVTSGNYVAFFRLYMAAPNLNTCLMELSVEKMRYKAVSCMCRSYRPSVPVSHVSQVLGFSTVVPTNGVRDDEDTDALEECLEWLEAHGASIIMDNNGDTVLDTKASSSTLFVPEPEDAVAHGDANLDVNDFFSRTPL >OIV91046 pep chromosome:LupAngTanjil_v1.0:LG20:4418156:4420745:1 gene:TanjilG_17006 transcript:OIV91046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAEEEEEGDDRVEGEEDEEGSESLTEDGVYIEVMKMEKNTRRIESRISIEASLDAIWSILTDYERLSDFIPGLAVSQLIQKGDRYARLLQIGQQNLAFGIKFNAKGVVDCYEKELETLPSGMKRDIDFKMVEGDFQIFEGKWSILQQSNIGSCEESQEVNTTLSYIVDVKPKLWLPVHLIEGRLCNEIKKNLTSIRKEALKDSDRAVHAQ >OIV91475 pep chromosome:LupAngTanjil_v1.0:LG20:171791:174115:1 gene:TanjilG_02093 transcript:OIV91475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKMSYILTSFLILTSITLFANAQLVKKTYIIQMDKSAMPNTFSNHLDWYSSKVQSVVSNSVEAEMDYEERIIYTYQTAFHGLAAKLSQEESEKLEAEDGVLAIFPDTKYELHTTRSPTFLGLENLHRSTNNIGSKKLVDHDVIVGVLDTGIWPESESFNDTGLRAVPSHWKGECETGRDFRKSHCNKKIIGARIFYHGYEAATGKFDERTEYKSPRDQDGHGTHTAATVAGSPVHGANLQGYAYGTARGMAPGARIAAYKVCWTGGCFSSDILSAVDKAVADGVNVLSISLGGGISSYYHDSLSVAAFGAMERGVFVSCSAGNAGPEPVTLTNVSPWITTVGASTMDRDFPAYVKLGNGVNVTGVSLYKGRNMLSAKKQYPLIYMGSNSTSPDPRSLCLEGTLDPKRVSGKIVICDRGISPRVQKGQVVKNAGGLGMVLTNTAANGEELVADCHLIPAVAIGEKEGKELKDYVLTSRKATANLAFLNTRLGIRPSPVVAAFSSRGPNFLTLEILKPDVVAPGVNILAAWSGAIGPSSLSTDHRRVKFNILSGTSMSCPHVSGIAALVKSKHPEWSPAAIKSALMTTAYVHDNTIKPLRDASTAASSTPYDHGAGHINPSRALDPGLVYDIEPKDYFEFLCTQKQSQAQLAVFGKYSNRSCTHSLASPGDLNYPAISVVFPEQKSISVLTSHRTATNVGPPVSKYHVVVSAFKGASLKVVPDTLNFTRKYQKLSYKVIFTAKSRPEEPEFGGLVWKDGVHKVRSPIVITYMAPI >OIV90885 pep chromosome:LupAngTanjil_v1.0:LG20:4951712:4956488:-1 gene:TanjilG_15618 transcript:OIV90885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLEENMTKIENKKDKDCQARTLCTHAYYDLAHVSPVVFLHLLKECYFYGTCKAATKFHSLQQQICLVLHNDPKPEPSTFIVQCLYLSPLFESHSQGFTHLIISAFRRFLKRSITSADSLEAIDLAAQLILDIIRGQTYHDEKIVMKVLEIFDVKLSNIEKAMYQIEEKGDLSHGTAKEFVQKYIFKLIESQLYMTAVTLIEHFSIDQYGQSFLLDMIQRNQFKPAEKWATFMGKPMLSILVEELNKRNMLKDVYQIIKKNDLKQDFPDVYKRCKESSIKNLAQKGCWDVAEARTNNDRQLMEYLVSLAMEAGYTEKVDEWCDRYSLDRFLDIKAPESNIQQQHYLDLDGLLVEDIIWVDEAEGLLDATSHIEGFKVVGLDCEWKPNYVKGSKPNKVSIMQIASEKMAFILDLIKLHSEEPDVLDNCLTRILMSTRILKLGYNFQCDIKQLASSYGELKCFKNYELLLDIQNAYKDHQGGLAGLSQKILGASLNKTRRNSNWEQRPLTPNQLEYAALDAVVLVHIFHHLPSHEHGKFEWKSYIVSHTESNKKSKKNVPKVEKTRTETKNH >OIV90408 pep chromosome:LupAngTanjil_v1.0:LG20:14900954:14904123:1 gene:TanjilG_10708 transcript:OIV90408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSKVIGAKYFYLEQPVIENASPMDEDGHGTHTSSTAAGVPVAGASVDGIGRGTARGGVPSARIAMYKVCWESGCSDMDMLAAFDEAIADGVNLISVSIGGPPRDFFSDPIAIGSFHAMRRGILTSCSAGNDGPDLKSVENVAPWIMTVAASSMDREFTTMVALGDGKNATGISINTFTPENKMYPLTSGALAANHSRDDYGNASSCDPDTLSKEKVMGRIVYCLGQGNQDWNIKELGGAGTIVALDERADYGSITIIPGSYVDANNVGKQIELYINSTKDPVAIIYKSISTRVPAPRIISFSSRGPQSIANNILKPDLAAPGANILAGYSKLPSLTGNPEDTRHNVFNILSGTSMACPHATAAAAYVKSFRPGWSPAAIKSALMTTATPMSIKDNSAELGSGSGQINPLRAIHPGLLYDMNMNSYIAYLCKEGYTNTNIDILIGSKGFNCSTIAPPPQSTDGINYPSMHLRILSPDSEISAIFYRSVTNVGQANSTYKAIVTAPKGLSIEVVPDILQFSRVRQELSFKVVLKGPPMPMEVNILSASIEWNDSRHSVRSPILVYKE >OIV90099 pep chromosome:LupAngTanjil_v1.0:LG20:20990059:20990499:-1 gene:TanjilG_01553 transcript:OIV90099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHYKILGLHKTASKAEIKTAFKKLAFQFHPDKHSQSPKSVRDTATLRFKQVSEAYEVLMDDRKRADYNIRFRSGATGYGGGGGGGGGYYSSYGYGYGRSGSGYESSRYKNSATSRFSGGGFASKFEIVMRILTARSSLLNLGFAA >OIV91482 pep chromosome:LupAngTanjil_v1.0:LG20:141677:144247:-1 gene:TanjilG_02100 transcript:OIV91482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFMFGSLALEQFVDRLPEWPQYCNHILQISHLRSTHLEIVGFIEDALARISSAHADGDGASLASGISSHNSAPATLGHVELNASSIIQPGQQHMPLQLQQRRDIPLDHRYKASFGLGSSTDVKPLLSSLGQSSVVTPPDASNINKLHSTVSASSMLASGFVRPSRGATSARFGSALNIETLVAAAEKRETPIEAPGSEVQDKISFIINNISVANADTKAKEVTEILKEQYYPWFAQYMVMKRASIEPNFHDLYLTFLEKVNSKALNKRDSQDNL >OIV90784 pep chromosome:LupAngTanjil_v1.0:LG20:5937632:5942321:1 gene:TanjilG_15517 transcript:OIV90784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPDPRVAKAFNASRALGISDEEMKPVLKYLLNVYGGKWELIEEDNYRTLVDAYFELKEDKQTEGKKKAPISDNEGERPRQKQNSVDGDEQDFSGGKSRQVSVKRDSEIQKNPEDPIDEPSISHIQREKMLSNHHQKELMTRRIEKPLTLMPNDRVQNELMAPRVEKPKLRPGPASASQRGPINVSSSGNARMKSLSTLYQKDEKGEKDEKDDTLVTQDTSGIPPKFLSDITKGSENVKISLLDETGREELPKFNYTPCNIIYQCANVNISLARIADQGCCFDCSGDCLSLPFPCACAQETGGEFAYTQQGLLKEEFLEDCISMKNEPKAHHFLYCQECPLERFKNEIKPEPCKGHLVRKFIKECWRKCGCDMQCGNRVVQRGLTCKLQVFLTGEGKGWGVRALEELPKGSFVCEYAGEILTNTELYERTVRYGGNEKHTYPVTLDADWGSEGVLKDEEALCLDATFNGNVARFINHRCSDANLFDVPVEVETPDRHYYHLALFTNRKVKAFEELTWDYGIDFDDHEHPIKAFHCCCGSAFCRDKKQKGIAMLSITSCLFYDIDWILMIINI >OIV91013 pep chromosome:LupAngTanjil_v1.0:LG20:4106643:4113556:-1 gene:TanjilG_16973 transcript:OIV91013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLGGTPLFDGHRNAARRKRSQTSRRARPDSQPVSDGCDLSLLSLTPPSDDISKVSIEENVEYDKSHRKRQRVKGMVVYMRFIITSLARVVHMINALVKVFLLQLIGKDGLRNENRVKKFKLKLSGVTHTIQANIASGNASDKNSGLQGLLWKDFSRGSFGIGKEESSMGKLSGKNKLKQNEKLIEVEQQLKKVEAAHRCRMQVAKAARESDAEAIRKILGQDSNRKKQEEKLKKHFHEIFFYVMGPSGTTVTFPMEMGLPIIFNSKPFILPPTHTSIRIQSRSFLFAFSNPTRKLKNSVENKKSNQKYTPKAVYGDEFWTPERSSPRGIWSIRDDLGVPSSPYFPAYAQGQGPPPMVQERFQSVISQLFQYRIIRCGGAVDDDMANIIVAQLLYLDAVDPNKDIVMYVNSPGGSVTAGMAIFDTMRHIRPDVSTVCVGLAASMGAFLLSAGTKGKRYSLPNSRIMIHQPLGGAQGGQTDIDIQANEMLHHKANLNGYLSYHTGQSLEKINQDTDRDFFMSAKEAKEYGLIDGVIMNPLKALQPLAAAAEGKDLASV >OIV90656 pep chromosome:LupAngTanjil_v1.0:LG20:8028469:8029455:-1 gene:TanjilG_01737 transcript:OIV90656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHHSRTSFPIIAIVIMGILATALLLVSYYIFVIKCCLNWHHIDLLRRFSSSSRREDPSPIYSRVIEPRGLDEAVIRLIPLIQYKTQQEGRTSSISSNGEFGERSFCECAICLNEFQENEKLRIVPNCSHVFHIDCIDIWLQNNANCPLCRTSISLTHRFQIQQLLSIRPSFSSPQHQNSHISGDEDFVVIELGHNDNDRGQNNAMELPITCNITSPSPRKLLEQRSLNKKGRKLHNKVTSMGDECIDIRAKDEEFSVQPIRRSFSMDSSGDKLFYLAVQEALQNIQVNEVNSIEECSSSGNSRAKKSFFSLGHGTRLRSSVQHVFLDH >OIV90482 pep chromosome:LupAngTanjil_v1.0:LG20:11531805:11538299:1 gene:TanjilG_18666 transcript:OIV90482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPKQQSKADLAKKQKLVEDKTFGLKNKNKSKNVQKYVQNLKQSIPQPDAAKIAAKKKKEEEKAKEKELNDLFKIAVSQPKVPVGVDPKSIVCEFFKVGQCTKGFKCKFSHDLNVQRKGEKIDIYSDKRDDETMEEWDQETLEKVVESKKNEYNQNKPTDIVCKHFLDAVEKKQYGWFWVCPNGGKNCHYRHALPPGYILKSQMKALLEEESDKITIEEEIENQRAKVATTTPMTPDLFHQWKKKKIEERDANLAAQQADRAKNDRMSGRELFLSDATLFVDDDEAYEKYQREPEPESDNTDQKANGNSVEHGPSTSAAAGSDADDDDDDELDMDEPLVSSKSVCLWQLALLALKEVFWDESFDDNEITVCNITLDMNNDGMATTTPMTPDLFHQWKKKKIEERDANLAAQQADRAKNDRMSGRELFLSDATLFVDDDEAYEKYQREPEPESDNTDQKANGNSVEHGPSTSAAAGSDADDDDDDELDMDELNELEASLSKTSIQIKESGAEA >OIV91172 pep chromosome:LupAngTanjil_v1.0:LG20:2433698:2439423:-1 gene:TanjilG_30394 transcript:OIV91172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNEIIAQKLPPSILVGRFKALLKHRDDELRDSLGAPVPPPTTDEIVQIYELLLSELTCNLKPIITYLTIIAEQQREHAKGIADAICAHILEVPADQKLPALYLLDSIVKNYGQEYVRYFSLRLPEVFCVAYRQVQPSLHPAMRHLFGTWSKVFPPSVLQKIEAQLQFSQDVNNQSSTMNFLRASESPRPSHGIHVNPKYLRQLDRSTVDSVAGEKLDSSGKASNISFGLVASKTHQFVSSRVGMPSSPSRTGVDRPFSSSIDEYAVDNFATRITERESPRPAVDYAMAKASGRDEELSDWQRKQYSGDGQNRFQTSMAYSLSSGHQRQSPRSLIDAYGCDKSQETSRNKPLLVERLDRNGKDNMLSTSWQNTEEEEFDWEDMSPTLVDHSRNNVVLPSTIGFPRERPGILAANATSLEQDIRKGWSSGSQIPPVDDSSVTAEDAFPSSAYGQVSRFQNQIDQSLGSRQPHEAWKISHHPSNSSQHLLNIRGGPRSHVMPPTDNIINTNANPFGIRPAASRISGIVSNMETYYPPVLPASFEIRPSVNAHATRPPTLNPISPLQRHARSHFEAINTSNPIMNHGPNKSSYMPEQLLESVENKDTGKARINQLPNHLAGLPQLQNFPSQDPSAPQYNHAVSLQGHGASLTTAMSNPLPVIQFPRSTQGIANSSFNFQGGAHPPLPPGRPRAPLQMIPRPNVSPFMPSQQPTVAYTTLINSLMSQGMISLANQPPIQDSVGTEFNLDILKFRHESAINALYGDLPRQCTTCGLRFKCQEEHSSHMDWHVTKNRMSKNRKQKPSRKWFVSDRMWLSGAEALGTESVPGFLQTEKVEEKKDDEELAVPAEEDQNTCALCGEPFEEFYSDEMEEWMYRGAVYLNAPTGTTAGMDRSQLGPIIHAKCRSESSVPPSEDFGHDAGPQIFWHEAILVEYTVVGLALLMRGFLI >OIV90632 pep chromosome:LupAngTanjil_v1.0:LG20:8324715:8328080:-1 gene:TanjilG_01713 transcript:OIV90632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHSTTTTKTRLRGVVFDMDGTLTVPVIDFQAMYRAVLGDDEYRRMKDDNPSGIDILHQIENFSPDKQRHAYATIADFERQALDRLQIMPGTAELCGLLDSKKIRRGLITRNVKSSVDLFHERFGITFSPALSREFRPYKPDPAPLLHICAAWEVQPNEVIMVGDSLKDDVACGKRAGAFTCLLDQTGRYDSPEYADVEFKPDFKVASLADVYSILEEKFDLSP >OIV90048 pep chromosome:LupAngTanjil_v1.0:LG20:21594661:21595497:-1 gene:TanjilG_21180 transcript:OIV90048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKSFTSSSSSKVTKKKQTTSTRSGTSSSTSQEKPPPQQQQQQQHETTWGGRYLGVRRRPWGRYAAEIRDPSTKERHWLGTFDTAEEAALAYDRAARSMRGSRARTNFVYPDTPPGSSVTSIISPDEQTQNYHHQAQEFSPIFDPTHITQQPDPHTHFSLSGFPGMTDTTMLYGYSEQSLGSTTIESSNFEQLFHDDETQLPPLPPDITSSVGYDMGHGFYNDEVGLSDPGLNACAGGSSYPYLGFESGEYVHSPLFSSMPPVRDPEGFDLGNSSYFF >OIV91263 pep chromosome:LupAngTanjil_v1.0:LG20:1761607:1762563:1 gene:TanjilG_30485 transcript:OIV91263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPATAGRVRMPANNRVHSSAALQTHGIWQSAIGYDPYAPNKEDKKDTSQNLPNDEPDAENAYASFQGLLQLAKITNADVDISRGACKRCGRVGHLKFQCKNYVKIKDETEEKNLDMSMGLVGLDKKLRGDKRSNIESSEEEDSESSDSEVDSEIERIIAQRSGKKISGRGGSSRKKGGLDDDDGSDKDSGKKRKKRGRSKKRSGKREVSDSDDSSERRRSRRSKRRREHRRKRDESSDEDDEHRRRRRKSRKEKRRRRSRPSDSDSESSEDSGIRRKQKSKRVSSSSDSDSSGYNDLRRMGRDVKKSEKRRCHRRDDE >OIV91334 pep chromosome:LupAngTanjil_v1.0:LG20:1236702:1237298:1 gene:TanjilG_01952 transcript:OIV91334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTINLRNFKLCFSKSTESETTTNHTATVPSSSHDAYNNNNNPPFPTSPPLIKNFNSLYEPEPEVAADLATALASQRFFFSSPGNSNSLIEYTRQKHSSLVEGDSENKNKKKKKNKVLLFKGSVAVPTYSPDPYSDFRRSMQEMVEARPELMDVNSNWNILHQLLLSYLALNPKPTHNFILSAFSDLLISLMDVTVS >OIV91271 pep chromosome:LupAngTanjil_v1.0:LG20:1692576:1695248:-1 gene:TanjilG_30493 transcript:OIV91271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPIFSIRPDRIHTGSTVRPASNRTPNNNRTVARADDSASFEMSVENALKLLGVSDGASFDDILRAKNSILATCNDDHETITKVEAAYDMILMQRLTQRRAGKVVNSSVCYADVKRVKSPTMGPMPQWLQSTVKNSLVSVESPSTSDLGLQAGVYGALMGLTYINGISTPSAAYAGVDVPGIILAGSFGASLYFMTKKNVKLGKAALITIGGLIAGAVVGSAVENWLHVDIFPFMGIHSPAAVVSEIIIISQFLVSLYLR >OIV90991 pep chromosome:LupAngTanjil_v1.0:LG20:3920529:3920828:1 gene:TanjilG_16951 transcript:OIV90991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGYGYESGLRSQIVSGKSGYGWSQRYGSDLGTIPGEVTRSSHSGAAVGKPWSFGDPETKRKKRIARYKFYALEGKVKATFRNGMRWVKDKCSRIVHGY >OIV91143 pep chromosome:LupAngTanjil_v1.0:LG20:2654934:2655269:-1 gene:TanjilG_30365 transcript:OIV91143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLIPYLIHAMKKQKPHRHRYRSFSHSESSNRSYHLLMESESITGSSHHRRTRSDYQPPTAEFLEHRNSVDDFLVSPRDLVTATTVVSPTANAKSSYAAKQPRKNFIIRK >OIV90094 pep chromosome:LupAngTanjil_v1.0:LG20:21051548:21052672:1 gene:TanjilG_01548 transcript:OIV90094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATIVASIEYLVHEILLRLPVKSVLRFKCVSKQWLALISDPKFCHSHTLHPYRTSRVFPSAVLFSTLNSPICPIIPLKTKNDSGSSNFRDVKVPTGTVVQSCNGLILIQRNTSENLSEVEYFICNPTTNKSVPVIFPNQKFSSSVISLFICFEPLKSPYYKLVSIRFKNYTSEHDMMNMVIYRSTPDTEYVFNVYSSETSSWIEPGFTFSTPDAEPTFQNNTVFVNGVLYWYIFRLKKFCCFDFETLSFKTFPMPLKIEKSGVLYFGECGGHFIFVLQSRLQIDILEINVESSESSLISNVKEWDTSFFQEMFGPYSVVKENDKDTKIVFFRNGNVMSYNLADSSYEVLMSLNSCLMPTPGCHIYQHFENLSSV >OIV90770 pep chromosome:LupAngTanjil_v1.0:LG20:6077547:6079480:-1 gene:TanjilG_15503 transcript:OIV90770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDTQQVQHEYELHQSNRKQVLKAFDDTKAGVKGLVDAGINKIPHIFIASTTENSFKTSTSTSNELQIPVIDLKYEELQEDGVARKDIIDKVKVASETCGFFQVVNHGIPKEVLDDMIEGDRRFHEQPYDVKKEFYTRDGSRKVRYFSNFDLYESKAANWRDTMICGMAPDPPKPEELPTTCREIMICYSKHVKRLGDIILELLSEALQLKPKHLEEMECGKGHVLVSHYYPACPEPDKTLGITEHSDPDFFTILLQDRIGGLQVFYRNQWVDIKPVEGALVINLGDLIQLISNDKFKSAKHRVLANTIGPRISVACFFSTYYYPSKRVYGPIKELLSEENLPLYKETTARDYVLYYNSKGLGTPALEDFRL >OIV90023 pep chromosome:LupAngTanjil_v1.0:LG20:21823507:21827656:-1 gene:TanjilG_23943 transcript:OIV90023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGKDSSVMKAWEATVRKTQAVAKKRANSLFGTTSVAHADEDDKRDDQDGSDQGTELYHAEKVLPNGDYYKGEWAENFPHGNGKYLWSDGCMYVGEWFQGKTMGKGRFTWPSGPTYEGEFKSGYMDGTGTYTSSNGEVYKGQWVMNLKHGQGVKSFANGDQYDGEWRRGLQDGQGRYQWKDGNHYVGEWRNGTIWGKGSFVWTNGNTYDGYWEDGLPNGSGTFKWPDGTFYVGNWSKDPRDQSGTYYPPGSSREGHLDWDPLEVFNELSTYPICPGEKVSVLPSQKRLAVWRSTKGGDSTKPRRMSVDARVSVGLEKPSDRMQLWGGGEGDFSGSRTSAKVGALHDELLGLNIDPANARGTQLNTLKAPRKSKRQGETICKGHKNYELMLNLQLGIRHSVGRPAPSASLDLKPSAFDPKEKVWTRFPPEGSKYTPPHPSCEFKWKDYCPVVFRTLRKLFKVDAADYMLSICGNDALRELSSPGKSGSFFYLTNDDRYMIKTMKKAEAKALLRMLPAYYNHFRAFENSLLTKFYGLHCVKLTGAAQKKVRFIIMGNLFCSEYTIHRRFDLKGSSLGRITDKPESEISETTILKDLDLNFIFRLQKTWFQEYFRQIDRDCELLEQEGIMDYSLLVGIHFRDISADGDLIPSGTQTPGGDSYSEVTPRISRADVDQLLLDPSRWASIKLGVNMPAKVERTVRRSDCELQLVGEPIGEFYEVVLFFGIIDILQDYDISKKLEHVYKSIQYDPTSISAVDPRQYSKRFRDFIFKVFSEDS >OIV90997 pep chromosome:LupAngTanjil_v1.0:LG20:3959763:3962505:-1 gene:TanjilG_16957 transcript:OIV90997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAPCCEKVGLKKGRWTEEEDDILRKYIKENGEGSWRSLPKNAGLLRCGKSCRLRWINYLRGNLKRGNISAEEEDIIVKLHASFGNRWSLIATHLSGRTDNEIKNYWNSHLSRKIYSFRRPTITTDMDPTPNVVIPPRRRGGRTSRWAMKKNKISTQQIPKHIVLQQNTNETTHPKQNNNNNHNNNDEVALEVLLPPTPSLEREGNFMVLDPDVEDTVEIAAELGDELSSYYDGKGSDSNCSSLLNYVVGDMDLSFHVEEVKTTHDILVDGEKQLSDDLLEGLHYQQVEDCINDVGGSLSYGDKDIMDNCVVEACGISSEDNGVIKVTMNDGYSDVQDVCPNKMSSIEDQNRNGVNLTTTTLNDENGEWLYDNLDWENIMAFTNHDDKYNESDAKESKEDLLNWLWKDDEWESECNNLGEIDLQEQNDMVAWLLS >OIV90707 pep chromosome:LupAngTanjil_v1.0:LG20:6948777:6950328:1 gene:TanjilG_15093 transcript:OIV90707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGNNGSDTVENKGFLWKLPELNSEGFGKMGPAFGLGAGCGIGIGIGLLGGVGFGPGIPGFQVGVGFGAGCGVGLGFGYGVGKGIAQDVNRRYSNVANPFPPSVKIPSQDDITALVDELVDNTKNLIAVTSREIDKWRR >OIV90446 pep chromosome:LupAngTanjil_v1.0:LG20:12545734:12551722:1 gene:TanjilG_01924 transcript:OIV90446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTSWADSADHSANASARPAYLPPHLRNRTLSSEPPAAATSYLSSLGGSDRGNYGSLAAGFHGGISSKPDLGQQGYGSNVQTGGGGGGWNGRGVGRDRGRREVNPFENDEPVDQFSHEQENTGINFDAYDDIPVETSGGNVPPPVNTFAEIDLGEALNQNIQRCKYVKPTPVQRYAIPISLAGRDLMACAQTGSGKTAAFCFPIISGIMREQCVQRPRVARTAFPMALILSPTRELSCQIHDEAKKFSYQTGVKVVVAYGGAPINQQLRELERGVDILVATPGRLVDLLERARVSLQMIRYLALDEADRMLDMGFEPQIRKIVEQMDMPPPGMRQTLLFSATFPKEIQGLASDFLSNYVFLAVGRVGSSTDLISQRVEYVLESDKRSHLMDLLHAQRENESNGKQCLTLVFVETKKGADALEHCLCVNGFPATSIHGDRTQQERELALRSFKSGNTPILVATDVAARGLDIPRVAHVVNFDLPNDIDDYVHRIGRTGRAGKVGLATAFFNDSNLSMAKPLADLMQEANQEVPVWLTRYAARASYGGGNRNRRSGGGARYGGRDFRKEGSFNKGADYNGGTGGYGVPANYSGGYGQGVTSAWD >OIV90877 pep chromosome:LupAngTanjil_v1.0:LG20:5012636:5013376:-1 gene:TanjilG_15610 transcript:OIV90877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRKKVKLAFILNDSARKATYKKRKKGLLKKVDELSTLCGIEACAIVYSPYEQQPEVWPSPWGVQRVLSKFRNMPELEQSKKMVNQEIFLKQRILKAKEQVKKLRKENREKEMTQLMFQCLSAGKILHNNLNMIDLNDLAWLIDQNLKDIGRRMETLEKNGQVTQSQSHMAAPPPPQQQPPALPSRVAKNEEMTLMDHGHGLEMNAETVPRQWFMDMMNGNGNGNETMPFGETNLQNGFWPNPLLP >OIV91384 pep chromosome:LupAngTanjil_v1.0:LG20:805256:806011:-1 gene:TanjilG_02002 transcript:OIV91384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAEEFYSYQEAENEENTVLQDPYDDDDEEEETLSLSDLPIYSDAHSISSAQWSSHDNFNKEDGQNSQNDENDDDDDDFFEFFSEEFTTSTHIATAENIIFCGKLIPIFKDLPSHEKGKKLESKNNTQKKGPKEAKSYTTEYSSSSEKVSLVRSTTKSRWFLFMSGMLRLSSNTEMELRDIRSRQRRSRSRSRRGPVVVSMVPAPEHGGEEVEIKVRRNYKGLWKVLRTISLGLGCKSSKLANDVVKAAFV >OIV90826 pep chromosome:LupAngTanjil_v1.0:LG20:5421844:5431301:-1 gene:TanjilG_15559 transcript:OIV90826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEKVGKRERMKNIFKKLHIGSNNNTNDPHRSNETTPPVPSPLSSADNRTVRNATSSPATASPSSSPSTAAAVPSAAATTPAMNRQDFISSEEEFQMQLALAISASNSEFRDDPEKDQIHAATLLSLGGRRIDSARSKEDVAEALSRHYWEYNVLDYEEKVIDGFYDLYGLNMDSSMQGKMPSRADLEADSGSSSFEVVIVNQTIDPALEELVQIAHCIALDCPVTEVGILVQRLAELVTSHMGGPVKDANNISARWTERSTELRTSLHTSVLPLGSLNIGLSRHRALLFKVLADNIKMPCRLVKGSHYTGVEDDAVNIIKVEDEREFLVDLMAAPGTLIPVDISSTKDSAYKSYNPKILPSLPSTKETEFSYSRPIPSLGEGSSQNPVVKGHPQPWNGKSYFDKPDYMPFNIDLSRDTGIGPSKMPNGGTPNKLEDLSPSSGIPLYKGSLGMNAVGDGTRLNVNVVPYAQDSLNDSQSLFADLNPFQIKGTGKISVHNKHVRNKAPELQNTRNNTVSVRPLWKNQYAYNEVPRKTNPNPNEYNPSLLVSNSSSVSENVDLGSSKSSYNSHVNNGINAQTLAEVTSSDSPSSEAELRRINDLNANYNMEDLGNSQNGMVEVVKEHENIEIRHQDQRKRTHDRFMSSNLKLKDPETESPSSLMDSISNRIDLDDVDVGECEIPLEDLVIGERIGLGSYGEVYHADWNGTEVAVKKFLDQDFSGAALSEFKREVRIMRRLRHPNVVLFMGAVTRPPNLSIISEFIPRGSLYRILHRPNCQIDEKRRIKMALDVARGMNCLHASNPTIVHRDLKSPNLLVDKNWNVKVCDFGLSRLKHNTFLSSKSTAGTPEWMAPEVLRNEPSNEKCDVYSFGVILWELATLRLPWTGMNPMQVVGAVGFQNRRLDIPKEVDPLVARIIWECWQQDPNLRPSFAQLTVALKPLQRLVIPSSQDQIASPLPQEIYVNSTP >OIV91198 pep chromosome:LupAngTanjil_v1.0:LG20:2226102:2226651:-1 gene:TanjilG_30420 transcript:OIV91198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGLSSATSSMVGVGVGVEIQSLERRRSGSFGKKKKVMLEGYVNEDIGRTKSLSDEDLDELKGCLDLGFGFSYDEIPELCNTLPALELCYSMSHKEEPSSPSTSSSHHSFSNSIANWKISSPGDHPEDVKARLKFWAQAVACTVKLCS >OIV90435 pep chromosome:LupAngTanjil_v1.0:LG20:12109176:12111475:1 gene:TanjilG_01913 transcript:OIV90435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENQRRSDETAETFTWTIKNFSKLRNKLFSEIFFIGGHPWRVFIFPKGNNVDYLSIYLDAGDSANLPYGWSRFAKFKLSLINKVNSKMTKTKETEHEFNARENDWGFTAFMPLNEIRDPSKGFIVDDTCIIEAEIFVTKREHENQVDQAAKNATVTPVSTQVNAVPDNPSPKETSSTSLGELVDFRGLGKIERAFVPLLEEVCSRHPSLIKCQQNRSCRFTEWAFTALGRVLHFLKTKKVRDMNDALFMHLQALWEELETFKFDLTWLEPHVQSALGIKSYMERAAEVKKMKENVAVREMEIKRLKAKMAAAEIDLEIAKRDLVKAEEGFEERDLDGDLGYKA >OIV90354 pep chromosome:LupAngTanjil_v1.0:LG20:16068358:16068957:1 gene:TanjilG_00564 transcript:OIV90354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSKVLGFKTPLQALATHFTLPLSSIISPRVFGCVVFVHLHKSQRTKFDPCVVKCVFLGYATNKKGYCCYDSTTKRHYTIMDATFLETENYYSTSCTTSHLQGEIGSEDMKLWDCPEVENVGYNVLVDKINTKEEVVIVDDNDSNLNNMDVINVDDAIPNENDIIIVDTGNGATNDDIDVSSPLSFVHTTMMFLRMSMR >OIV90093 pep chromosome:LupAngTanjil_v1.0:LG20:21060679:21061824:-1 gene:TanjilG_01547 transcript:OIV90093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATIVASIEYLVHEILLRLPVKSLLRFKCVSKQWLALISEPKFCHSHTLRLYSNSYVFPSALLLAPAFVSSPTCQVIPLRTNNISGSSNFHNVNVPTGTVLQSCNGLVLIQSNSSENLSEIEYIICNPTTNKSIPVIFPTQQFSSSVISLFICFDPLKSPYYKLFTIRFEYCDSTMDPGYIINFYSAETSSWNEPGFSFISPDFAPPPQIETVYSNGALFWYDSLRRQCFYLDLEAPNFKTCELAVQNEFHYLLSCRGFDGWDFNFVLDSRFDFKLYIVELDDVNSEWPVRLNLNLLESTHQPLLFIPYSVVKDDDLVELSCLIIQNGGTVSYNLGDSRFKGRGNFCPFLENGMQYFVYQHYENLTWVGPTKSIGNCMFFL >OIV90689 pep chromosome:LupAngTanjil_v1.0:LG20:6640989:6641679:1 gene:TanjilG_15075 transcript:OIV90689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLLSHKCFLFFLVSLLLILFQNKSKVQCYKYKVGDLDAWGIPTSANPQVYTQWSKYHNLTIGDSLWFLYPPSQDSVIQVTKGSYKSCNLKDPILYMNNGNSLFNITSEGLYYFTSGEAGHCQKNQKLVISVGNVTSNMDDDAEAPGPSSSSYPTVFGNIPIAPSASLPSTSTSSKLASTFQIVVVGFFMCGFFSALM >OIV90167 pep chromosome:LupAngTanjil_v1.0:LG20:20293842:20296136:1 gene:TanjilG_01621 transcript:OIV90167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKRFRRTIERKITRPFKGRPQPASLPPPPPPPPLPPPPPPPPAPPTLPSPPPLPPPPPPPSRPYSPPPSRPHQPQLLLSPPSKQPNTPFLFPQTHSRILPDPSIFFSPDLVSKPLPTNSFFQNFVLKNGDQPEYIHPYLIQSSNSSLSISYPSRSVNSSFISQVFKPHITISASEKNPDSHDKHVISSFSDLSVTLDIPSSNLRFFLVRGSPFVTASVTRPTPLSIITLHHSIVSFTPNSSLNKHTMQLSNGQTWLIYTSSPIILNHRPYEITSYDFSGIIRIAVLPDSDPKYEAVLNLSSSCYPVSGDAAFKKPFSVEYTWEKKGWGELLMLANPLHLKLLSSNDCNATVLDGFKYRSIDGDLVGVLGDSWLLKAHPVSVTWHSIRGIKEEYHNEIFSALSEDVDALSSSGITTTSCYFYGKEIARAARLALIAEEVSFFDAIPPIRKFLKENIEPWLDGNFNGNGFLYDGKWGGIVTKQGSKDSGADSGFGIYNNHHYQLGYFLYGIAVLAKIDPAWGRKYKPQAYSLMADFMNLGRRSNSNYTRLRCFDLYKLHSWARGLTEFADGRNQDSTSEAVNAYYSAALMGLAYGDTYLITIGSTLAALEIHAAQMWWHVKEGDKLYEEDFTRENKIVGVLWANKRDSGLWYAPSHRRECRLGIQVLPLVPITEALFSDVDYVKELVEWTLLNMNRKDVGEEWKEFVYAMEGTYDKESALENIRRLKVFDDGNSFTNLLWWIHSRGDVEEEFGHAKHCWFDQYCH >OIV91100 pep chromosome:LupAngTanjil_v1.0:LG20:2982433:2983992:-1 gene:TanjilG_30322 transcript:OIV91100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFTRPFKRFTNSLLNFPNLLRRTLSSSPTSNLNHHYSTPTNHLIQNHTPIIQPQPLSEPNNTVNALTAESICKILSNTRDSNIDLSLGSGVPLEVSPELVVEVLKKLSNAGVLALSFFRWAEKQNGFKYTTECFNALIEALGKIRQFKMIWVLVNDMKRRKLLSRDTFALISRRYARARKVKEAVETFEKMEKYGMKPEVFDFNKLLDVLCKSKSVEKAQELFDKMRDRKLVPDLKSYTIMLEGWGQQQSLLKLNEVCREMKSEGFEPDVVAYGIIINAYCKVKKYDEAIGFYHEMEAKKMRPSPHIYCTLINGLGSDKRLNEALEFFQKSKDAGFAPEAPTYNAVVGAYCSLMKMDDAYRMVDEMKKCGIGPNSRTYDIILHHLIKAQRSEEAYSVFQRMSCSEFGCEPSVSTYEIMVRMFCNEDRLDMAMAVWDEMKGKGILPGMHMFSTLISALCHESKLDEACRYFQQMLDVGIRPTAQIFSTFKQALIDDGKENTAINFALKIDKLRKTPLVA >OIV90651 pep chromosome:LupAngTanjil_v1.0:LG20:8074802:8082270:1 gene:TanjilG_01732 transcript:OIV90651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYLKTALPSQIMSERGSNLVVINPGSANIRIGLASQDTPYNIPHCIARHTKQVPKRNVQDQMLNSQVTTAQHMEREKAYDVVASLLKIPFLDEEVPSNSFPRKMGRVDGHNPHTIRKDLPFTWTNVNEEAITSSSSAVESSSKDVKIESLDPKEGTDSKESDVSKEKFREFIFGEEALRIPPSEPYCLCRPIRRGHLNISQHYSMQQVLEDLRAIWDWILIDKLHIPRNERNMYSAILVMPESFDNREIKEMLSLVLQELCFGSAVVHQEGLAAIFGNGLSTACVVNIGAQVTSMICIEDGGTLPSTLKTLPFGGEDIARCLLWTQRHHQTWPQIRTDILTKPIDLLMLNQLKETYCEIKEGELDAVAVVHSYEDKVPVGSHKTRLTALNVPPMGLFYPTLFVPDVYPPPPRTWFHDYEDILEDTWNIDFSRRSDMSDTFYPNFNGGLPMWESYPVFSSKPKKEEKVGLAEAITNCILSTGRIDIQRKLFCSIQLIGGVALTSGLVPAVEERLVLILIYLSISNDPMTAVI >OIV91458 pep chromosome:LupAngTanjil_v1.0:LG20:270296:278601:1 gene:TanjilG_02076 transcript:OIV91458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKSWSKKKKIKAVFKLDFQATQVPKMKKSALMVSLVPDDVGKPTVKLEKTSVQDGTCLWENPVFESVKLVRDSKSGKLHEKIYHFIVSTGSSKSGFLGEASIDFADFVAEIEPLTVSLPLKFANSGIVLHVTIQNVEGYTAERNGEDNESVGLYNDGSLKNQLSFGSADGSCYVDENGQNSVPSKGPVEAIAKEAQAHKRSNTDWSTGSASDGSLGDWTNSLEDNLPRERLKEPSDSNAAENLRSEIASLKRQAELSELELQSLRKQIEKESSRGQNLSRQINSLRDEKDVLKTKYEQLKTQQNYNNESKASKTLKSEIDDTKLQLEATKEELVYKQELSSNLQMQLQKTQNSNSELLLAVTELEAMLEQKNKEISDLSTNIKSRSINKEHTDAPELDLLKHKITDQNHELDICYKQHEDLNDEIKELNLEYELLKKENVDISLRLKQGEAQKIMLQNEHSAALATIQQLELKLERLEEKMKTKEVGLSESLVSINELENEVESLQKELTLQSEKFEGDLHAMECAKIEQEERAIQAEEALRKARHNNDLTSEQFQQEYRMLSIEMSSKVEENEKMTMKAVEEANELRQQNKLIEEMLQKCNQELRLITDQNELKLQQLLNQIDSKGKTIEKMSQELEVKSKQLNEAESTNDEKDAAFSRQIQMLRSEIKKLIAEDYVLSKLKPTKKITEVERTDSETTCEVETLLSEIETFNIRHKDVKHSLQKEQVEKESMKKQISQLEGELKKKEAELSTAEKKLKNNKGRAPVSHMNLTSRDNDCAAPPSAKAHIKKSKSETQKGIDAASKSEGGTIDKSAENKVSQSNASELKTCSADEVNNHGTECDTKELLSEVAALKERNEYMESELKEMEERYSEISLKFAEVEGERQQLVMALRNLKNVLLLPVVQTENKLQESGAASCSLGINQLSLINLNLLPVLQSTPVSNTISVVEQSIVAQDPVALALYAVVVSVCAPIWEEIVFHGFLLPSLTKYMPVWCAILIS >OIV91156 pep chromosome:LupAngTanjil_v1.0:LG20:2558580:2563234:-1 gene:TanjilG_30378 transcript:OIV91156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLGGEISEEERKEEEEVGTTAFSTGECCGQNKVVVGYALTSKKKNSFLQPKLTTLARNKGIYFVAIDLNKPLLEQGPFDIVLHKLSGEEWHEIIEDYRQKHPEVNVLDPPDAIQHLHNRQSMLQVVVDLNLSDCHGKVGIPRQLVITKEKNPSEIPYEVSKAGMKLPLVAKPLLVDGSAKSHELFLAYDESSLSELEPPLVLQEFVNHGGILFKIYIVGETIKVVRRFSLPNISKRELSKVAGVFRFPRVSCAAASADDADLDPSIAEHPPRPLLERLAKELRHRLGLCLFNIDMIREYGTTDVFYVIDINYFPGYGKMPEYEHIFTDFLLSLVQCKIKMKPAT >OIV90790 pep chromosome:LupAngTanjil_v1.0:LG20:5839417:5840507:-1 gene:TanjilG_15523 transcript:OIV90790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKIYNTKEDDLEEKHRALEEEKSQYEARKKEEQEAAIKQLRKNLVIKANPVPSFYYEGPPPKTELKKLPLTRPKSPKLNRGRSFGDAVNSSPEVCNRTRHSFGSHIRGGSNSHLTPKTKDQAIRRSSTGTYKIKERPKVGKEIKIDLPKIVEQVNADISVQ >OIV90477 pep chromosome:LupAngTanjil_v1.0:LG20:11415747:11416217:1 gene:TanjilG_18661 transcript:OIV90477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRKKVKVAYINDVNARKATFRKRKKGIMKKVNELTVLCGIQGCAIIQNPFDSQIEVWPNPKGAKKVVERYMSTSKVDETKNMNQESFFVQRISKLQGKLNKLRSENREKEMALAMLEYFQTKKLPENLTLTDLKEMEKLIEQCVKETENKMVTLC >OIV90498 pep chromosome:LupAngTanjil_v1.0:LG20:11025902:11028196:1 gene:TanjilG_10262 transcript:OIV90498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLPHPMALSSLHYHPLPLPYTSSTPPPHSKPLYFSLTPKPFKTFSSTTTAAAAASHPLPPDFTPSQLLHLLRRQNDDTSAFRIFQWASSQPNFSPNSSIYHEILRQLSKSGNFDSMNTVLQQMKPSSSCHVIDSGTFLIFVEGYANFHLYEEIAKVLHIMEHEFGLKPDTHFYNIVLNALVEGNKLKLVETVHSDMVSAGVKPDVSTFNVLIKALCNAHQLRPAILMLEDMHNYGLLPDEKTFTTLMQGFIEEGDLNGSLRIKEMMVESGCLLTNVSVNVLVNGFCKEGRVEEALSFIEGVAEEGFSPNQVTFNALVNGLCRTGHTRHALEIMDVMNEKGFDPDVYTYNSLISGLCKLGDIDEAIEILQQMVFRDCSPNTVTYNTLISTLCKENQIGAATELVNFLSSKGIFPDVCTYNTLIQGLCLSRNRETAMDMFEEMKEKGCYPDEFTYGILIDSLCSEGKLKEAMMLLKQMEKSGCARNVVVYNTLIDGLCKHKRIREAEEIFDQMELLGVSRNSRTYNTLIDGLCKSRRVEEAAQLMDQMVMEGLKPDKFTYNSLLTFFCRGGDIKKAADIVQTMTSNGCEPDIVTYGTLIGGLCKAGRVEVASRLLRTIQMKGMVLTTRAYNPVIQGLFRRGRTQEAMRLFREMMEKGDPPDALTYKIVYRALCNSGRPIQEAVDFTVEMLEKEILPEFSTFGLLAEGLCSLSMDATLIELINMVMVKAKFSETETSIIRGFLKIHKFRDALANLGGILDRKSRRF >OIV91149 pep chromosome:LupAngTanjil_v1.0:LG20:2609046:2624318:1 gene:TanjilG_30371 transcript:OIV91149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNNPQFPGLQPLRPPIAGSGDPQRNFAPPMHVQYHHVVPTQQSQQFIPMPSQHYQPIGHGVPMINVGMPPQNPNPQFSQPIQQLPPRHSQPMPLPPQAIPLPVARPNMHMSSEQKMAQADSQAPNVYTSGLGGPGMPLSSSYTFAPSSYGQMQTNFVSTGQYQSVPQVHAHTGSSQSITSGTIIQSNGEQPSVTNAMASAISLQPPPAMNDSTDWIEHTSATGRSDKSLLYQLLQLKEEKRTKGNGVMMDRPMCVCIRLGHGLDLGHWAKPPLWLYIGFYYNKKTKLSSWEKPYELMTLIERVDASTNWKQYSSPDGRKYYYNKVTKESKWLIPEELKLARAQVEKAAVNGTYPEAILIPHSQPSASPQVVEATPGADISSLTVPGDPTSPVSVAPVGTTFTNNLQPEITSGSPASPSVVPQTGTKVEEVEAPGNTVKPSDAGVGRDGASVTDVNTAKTLMDGANNTSVQYTQGSADGVPAEDKEDGKTDSSREKTDDVASETKVVEPEPLVYVNKMGAKDAFKALLESVNVGSDWTWDRAMRVIINDKRYGALKTLGERKQAFNEYLNQRKKQEVEEKRMKQKKAREDFKKMLEESTELTSSTRWSKAVPIFENDERFKALERDRDRRDVYDSFMEDFVIKERARAQVERKQNLMEFRMFLESCDFIKASTQWRKVQDRIEADERCSRLEKIDRLEIFQDYLHDLAKEEEEQKKIQKEELRKTERKNRDEFRKLMEEHVAAGILTAKTNWRDYHSKVKDLPAYVAAASNTSGSIPKDLFEDVVEELEKQYNEEKSRIKDAVKLAKITLSSNWNLEDFKSTISKDISSPPVSEFNLKLVFDELLERAKEKEEKEAKKRKRLADDFFHLLSSIKDMTASSKWEDYGPLIEDSQEFRSIGDENLCKGLFEEYIIQLKEETIENERKRKEEKVKKEKDKEEIERRKGKQRREKEGGREREKGEAHVKDKAESDGADKRSEDGNRKRRKQHRSPEDISHEKDKDRSKKSHGHSSSRKKSRRHGHESDESRHKRHKREHRSDSHREGDHGNLEGGEHGDSVVDRW >OIV91436 pep chromosome:LupAngTanjil_v1.0:LG20:396300:408491:1 gene:TanjilG_02054 transcript:OIV91436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFSSSNSLSTNDNNNNNNNNSAFLKLNHSTFLASLMPKTEIGVDSFLHSHPHYDGRASLIAIFDSGVDPAAAGLQVTSDGKPKILDILDCTGSGDIDTSKVVKADAEDCISGASGASLVINTSWKNPSGEWHVGYKLVYELFTKKLVSRLKRERKKKWDEKNQEEIAKAVKQLDGFDQLHVKVEEAKLKKARKDLQNRLDLLRKQSESYNDKGPVIDAVVWYDGEVWRAALDTQSLEDDPDSGKLANFVPLTNYRTERKYGVFSKLDACSFVANIYNDGNTLSIVTDCSPHGTHVAGIATAFHPEEPLLNGVAPGAQLISCKIGDSRLGSIETGTGLTRALIAAVEHKCDLINMSYGEPTSLPNYGRFVDLVNEAVNKHRLIFVSSAGNSGPALSTVGAPGGTSSSIIGVGAYVSPAMAAGAHSVVEPPSEGLEYTWSSRGPTTDGDLGVCISAPGAAVAPVPTWTLQRRMLMNGTSMASPSATGGIALLISAMKAEGIPVSPYSVRKALENTSVPIGDSPEDKLSTGHGLMQVDKCFEYIQKSQNITGVWYQINIKQSGKSNHSSRGIYLREASTLRQSTEWTVEVDPKFHEDANKLEELVVFEECIELHSTDETVVKTPEYLLLTHNGHTFNIIVDPTNLSDGGLHYYEVYGIDCKAPWRGPLFRIPITITKPVAVTNRPPQVSFSKMLFQPGHIERKYIEVPHGASWVEATMNTSSFDTARRFLVDAVQICPLQSPLKWRNVISLPSPAVKSFAFRVVSGQTLELVIAQFWSSGRGSHATTSVDIELVFHGVKVNQEEVVLDGSEAPVRIDAEALLASEKLAPVAILSKIRVPYRPIHAKISALSTDRDKLPSGKQILALTLTYKVKLEDEAEVKPQIPFLNDRIYDTKFESQFYVISDSNKRVYSSGDAYPNSTKLPKGEYNLQLYLRHDNVQILEKMKQLVLFIEQNLGDKDVIRLCFFSQPDGPLTGNGSFKSSTLVPGIKEAFYLSPPLKDKLPKNSSLGSVLVGAISYGELSFSEHKNPEKHPVSYRVSYIVPPNKIDEEKGKGSSLSSKKTVSERLEEEVRDAKIKVLGSLKQESDEERLEWKNLSATLKSEYPKYTQLFSKILEGLVARSPIKDKFQHDEPPLRFLKKLTVIGAANEVIDSIDREELAKYFALRNDPDDEEAEKIKKKMESTRDHLAEALYQKGLALAEIESLKAVEKSSASPAATEGTKLDAVKTDEQPKDNDIHPDLFEENFNELKKWVDVKCTKYGILLVTNERRSQRLGTALKVLSDIIEDDNEPAKKKFYEVKLSLLEEIGWTHLVTYERQWMIVRFPPTLPLF >OIV90610 pep chromosome:LupAngTanjil_v1.0:LG20:8611598:8614418:-1 gene:TanjilG_01691 transcript:OIV90610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGGVCSIQLQALTPEAVTVVKQAVNIATRRGHAQVTPLHVASAMLANSTGLFKKACIQCHSHPLQCKALELCFNVALNRLPVSTSSPLLGPHYSSPSLSNALVAAFKRAQAHQRRGTIESQQQQHTSLALKIEVEQLIISILDDPSVSRVMREPGFSSTLVKSKVEHSISVEVCSQKPLSKDINTSTKPQVLGGSNSTSYVSSSRPFGQVEGSFIKPIDYVNNDDVTSVLSEFVKRRRNIVIVGESLTNAEGVARGVMGRFEVENVPRDLKYVQFVSLPIMYFRNISKEEVEQKFEEVKSLVKSYVGRGVILYLGDLKWLFEFWSSYCQQRTKYYCSVEHMVMELRKLVSGSVENSRLWLMGISTFKTYMKCKICSPSLESLLELHPFTIPVANLSLSLNLDSDFQDQERSKVIFKDVTFEDIAGTKKHLTCCRDCSLNFEKEAKNIASTSKKLRIADLPSWLQNCKQERSHIMEYQENERLEDICKKWNSFCNSVHRNHSIIEKPVLFASSCPSSPTSVSSHERKFNLHHSHLNWPIISEPRKSLKECELHTETGADDCHDSNFIMFMPETNVPKPDLLSNPNSSPNSASSSEALDGLDNTQMFKELSADNLKILCDALEQKVPQHREMIPEIASTVLCCRSGMKKVENHLMRREHRQETWLLFLGAHSQPKENISKELAKVVFGSYNNFVTIGMSNFSDSTEDDSDKRKRPRDELGSTYLQKFGEAANENPHRVFFMEDLDQVDYFSQKGVQKAIQSGSITLPGGESVPLNDAIVIFSCESISSLLRSPPRKLPHAENKGKENIDDNLEEKIPSLSLDLNIAIEDDTRGVALGGGNGILELVDKQFNFNMQKL >OIV90236 pep chromosome:LupAngTanjil_v1.0:LG20:19420865:19424453:-1 gene:TanjilG_01432 transcript:OIV90236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNVFEGYERQYCDLSANLTKKCTTATALNGEQKKQKVSEIKAGIDEAEALVRKMDLEARSLQPNIRGVLLAKLREYKSDLNNLKSEVKKIVSGNLNPSARDELLESGMADAMTASDDQRTRLMTSTDRLNKTSDRVKDSRRTMLETEELGVSILHDLHSQRQSLLHAHNTLHGVDDNIGKSKKILTNMSRRMNRNKWIIGCVVLVLVVAIILILYFKLSK >OIV90540 pep chromosome:LupAngTanjil_v1.0:LG20:10848359:10849132:1 gene:TanjilG_32417 transcript:OIV90540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITKRYSQPFLFLFILYALFLHHISNADNTNLIFKGCSEEKLQDPFGICTRNLKSLLVSLVSQSRQKPFYTTTSGENKNMIMGLYQCKGDLSNSSCYNCVSKIDDMLDKLCGKVVAARVHLCGCYLRYEVVGFKQVPETHLLYKVCGSEQEREDNDNGFVEKRDVAFDMIESGVKNGGKLFYSGSYKSLNVLGQCEGDLASDDCGDCVKSAEDQAKTECGDSLSAQIYLNKCYVSYNFKPNEMPKESSSPGKGIDKK >OIV90123 pep chromosome:LupAngTanjil_v1.0:LG20:20752641:20754802:1 gene:TanjilG_01577 transcript:OIV90123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLGDSSQESSFSVPQEKGSSWQSIVDGRVVKNYGCFGNSFSLCSFNGNLEGNPCFEMVKAVISDFDDHEEVVVDDDVLDRLPMDPFGMNIESTFTSIPISDWIENFEEGETHKKIGDHRHFLGLSLSWNGSDNLQSKKHSVKEDEISVSADVFNGYQNFEMIHEFDGSVVTDGNAGVFQNVRLMDNLVSSSEVKESQNHTEVYGADQGGVPHDAFYFVLGYLCVQDLLSVERVCRSLHDAVRGDPLLWRTVHIHPPLNDRITDDTLVKLTSRAQGTLQCLILVNCLWITDSGLGHVVQSNPRLMKLVVPDCFRLTIDGILFNLRALKSSGTPGIKHLRIGGLTGVSPVTEQQFEEFKELLGLDASKHLQHREQKPQFYRRGYSHIICEDGRAIDIEVCPRCQKLRPVYDCPAESCQQKHQSAQLCRGCTLCIARCINCGQCVKDFDYEETFCLDLLCLNCWNPFLHCPEKSLCYIHFIKEVA >OIV91159 pep chromosome:LupAngTanjil_v1.0:LG20:2532068:2535116:1 gene:TanjilG_30381 transcript:OIV91159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVLSLRSLPFLSCLLVTIFFLSKTTAQEIDARYFCNKDNDRGNYTTNSTYDTNLKTLLSTLTSIAESNNFGFYNLSYGEDTDKVYAIGLCRGDIKTDKCNSCLNNARINLTLVCENRKEAIGWYEDETCMLRYSDRLILGKMEIGPAYYAWNENNATSADEFNEDVRTLMERLTSKAALGNSSWKYATGSMVGPNDQTIYCLVQCTPDLSGSECSGCLNETISQIPGCCNNRIGARQARPSCLLRYETNFLFYDPQPDAPSPPPPPTPGKSNALLIAIAVAVPVVVLTFIFMSFTVWKRRKIFQTQLNYDDDDHDDEISIVESLQYNFDTIRDATNDFSDSNKLGQGGFGAVYRGRLSNGQDIAVKRLSRDSGQGDVEFKNEVLLVAKLQHRNLVRLLGFCLEGRERLLVYEFVPNKSLDYFIFDPIKRSQLDWESRYKIIGGTARGILYLHEDSRLRIIHRDLKASNILIDEDMNPKIADFGLARLFVVDQTQGDTKRIIGTYGYMAPEYAMHGQFSVKSDVFSFGVLVLEIVSGRKNSSIRQGENIEDLLSFAWKNWRDGTAINIVDPTITNGSENEIMRCIHIGLLCVQENIATRPTMGTVVLMLNSYSVSLAVPSEPAFFVDSRTGFLPQMHSWGYHSETTRSSESTHRPAPKSVNEASITELYPR >OIV90867 pep chromosome:LupAngTanjil_v1.0:LG20:5085932:5086096:-1 gene:TanjilG_15600 transcript:OIV90867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRALAAEELCPVLSPRRNYVPCSRRGVSYYNCRTGAEANPYNRGCSAITRCRS >OIV91108 pep chromosome:LupAngTanjil_v1.0:LG20:2929276:2932172:1 gene:TanjilG_30330 transcript:OIV91108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNAMHTALSLRTLSTPSSSLSLSSNPPFPSAFSLRPFPRHHRPLVFIVSASRDAKPTVLVAEKLGEEGLKLLKDFANVDCSYNLTPEELCKKISVCDALIVRSGTKVSRDVFESSSGRLKVVGRAGVGIDNVDLAAATEFGCLVVNAPTANTVAAAEHGIALLAAMARNVAQADASVKAGKWQRNKYVGVSLVGKTLAVMGFGKVGTEVARRAKGLGMHVVAHDPYAPADRARAIGVELVGFEEALSTADFISLHMPLTPTTSKILNDETFAKMKKGVRIVNVARGGVIDEDALVRALDAGIVAQAALDVFTEEPPSKDSKLILHEQVTATPHLGASTMEAQEGVAIEIAEAVVGALRGELAATAVNAPMVPAEILTELKPFVGLAEKLGRLAVQLVSGGNGVKTVKVTYASSRAPDDLDTRLLRAMITKGLIEPISSVFVNLVNADFIAKQRGLRITEERVILDGSPENPLEYIQVQIDNVESRFASAISDSGEIKVEGRVKDGVPHLTKVGSFEVDVSLEGSIILCRQVDQPGMIGKVGSVLGQENVNVSFMSVGRIAPRKQAVMAIGVDEEPRKESLKKIGDIPAIEEFVFLKL >OIV90822 pep chromosome:LupAngTanjil_v1.0:LG20:5463206:5468657:-1 gene:TanjilG_15555 transcript:OIV90822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSQDMAPPPCLIFTVRRHQPEYVTPAKPTPHEIKPLSDIDDQQGLRIQIPFVQIYRNEPSMEGKDPVEVIRQALAQTLVFYYPFAGRLREGADRKLMVDCNEEGVLFVEADADVTLEQFGDSLQPPFPCFEELLNDVPGSEGILHTPLLLIQVTRLKCGGFIVGMRFNHTMIDGVGILQFMTALSEMARGLDELSISPVWDRELLCARNPPCITCNHREYEEVPSTDSIKVPSSDDNGNLVHKSFFFGPNEIAAIHGLLPTHFGRCTTFEVLTAYVWLCRTKALQLESHEVVRMMCIVNARSKFNPILPIGYYGNCIAYPAAVTTVHNLSENPFEYAVELIKKTKVEVTEEYMHSLADLMVIKKRPLFTIPGTSIVSDVTRLGYRDVDFGWGKSLYGGQAKAGADMAPPPCLIFTVRRHQPEYVTPAKPTPHEIKPLSDIDDQQGLRIQIPFVQIYRNEPSMEGKDPVEVIRQALAQTLVFYYPFAGRLREGADRKLMVDCNEEGVLFVEADADVTLEQFGDSLQPPFPCFEELLNDVPGSEGILHTPLLLIQVTRLKCGGFIVGMRFNHTMIDGVGILQFMTALSEMARGLDELSISPVWDRELLCARNPPCITCNHREYEEVPSTDSIKVPSSDDNGNLVHKSFFFGPNEIAAIHGLLPTHFGRCTTFEVLTAYVWLCRTKALQLESHEVVRMMCIVNARSKFNPILPIGYYGNCIAYPAAVTTVHNLSENPFEYAVELIKKTKVEVTEEYMHSLADLMVIKKRPLFTIPGTSIVSDVTRLGYRDVDFGWGKSLYGGQAKAGAGSFFGAHYHVLSKNSRGEDGMVVLICLPTKVMKRFAKELVDGFLDRQSKNN >OIV90165 pep chromosome:LupAngTanjil_v1.0:LG20:20315356:20322944:1 gene:TanjilG_01619 transcript:OIV90165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGAEKLNEGGYGGEAGNNRSEECISDSLDKEENLVEDEKIEIENGEDLDVGIEVIETVVMSEEVEGVSSVLHAENKGPNGSLEIDGRCAKRARVTIEEHQPSVHFVYKSLTRASRQKLEELLQQWSEWHEKHVSSSNDPSEVLESGEETFFPALKVGHEEASAVPFWMDNQTINDQNKDFIPLNHNSVPLYDRGYALGLTSADGPSNLDGGLEIIDAAARCFNCGSYSHSLRECTRPRDNVAVNNARKQLKSRRNQNASSRNPTRYYQSSPTGKYAGLRPGALDDVTRQLLGLGELDPPPWLKRMREIGYPPGYLDVDDEDEPSGITIYTDREIAEQEDGEIMEVDKSKPKQKMTVEFPGINAPVPEDADERLWAAGAAPSSYDRNLPQHRSNHSTDYGSRGHFRDQRPPGDFRDDAPPGDPGFSSPRFSFHPRYGGHDSAQRSPPRSRFQSERGRRSPLHDEESSHPSSFHSLYYSSSSERLPSPRDRDSGRHGNRSSGSLYDRDRDRPSQNRDISEDRHYRSRRDQFYNVLVKLTDLAIF >OIV90298 pep chromosome:LupAngTanjil_v1.0:LG20:17547337:17557841:1 gene:TanjilG_13153 transcript:OIV90298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGEHNLNNVSEATEDVSVSVSDKDHHHPKPKRVASLDIFRGLTVSIVHSIDKLATWHRLPVFLGLVYLAIRRHLHQEYNLFNVGSTPVGIRFNPFDFPFRTADGKFNDPFNEVAGSQGSFFGRNILPVDQEKTLLKPDPMLVATKLLARRSYKDTGKQFNVIAASWVQFMIHDWIDHLEETSQIELTAPREVASECPLKSFKFYKTKESPTGFYEIKTGSTNIRTPWWDGSAIYGSSNEVLNKVRSFKDGKMKISKEGELLHNENGTAISGDVRNSWVGVSTMQALFVQEHNSVCDTLKKHYPELEDEELYRHARLVTSAVIAKIHTIDWTVELLKTDTLLAAMHGNWYGLLGKKFKDTFGHVGGFILGGLVGMKKPENHGVPYSLTEEFVSVYRMHSLLPDDLKLRDIYATPGPNKTPPLIKEIPMKNLIGIDGEKTLKKIGMARQIVSMGHQACGALELWNYPLWFRNIIPQNVDGTERPNHVDLPALEIFRDRERSVARYNEFRRALLLIPISKWEDLTDDKEAIQVLEEVYGDDVEQLDLLVGQMAEKKIKGFAISETAFVIFLLMASRRLEADRFFTSNFNEETYTKKGLEWVNTTESLKDVIDRHYPQITHKWMNSSSAFSVWDSPPNTHNPIPIYLRIPH >OIV91119 pep chromosome:LupAngTanjil_v1.0:LG20:2843150:2846591:-1 gene:TanjilG_30341 transcript:OIV91119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLTSEEDTNKSAPKALAEVRKLVDDRKYPEANYSRSCQFVRDPSDVSNGKGVIHVLDDNKGVVDYWKPSHQLHKRSFLFNIWFQYDGVVRNNPTVVAFLHCVTGDEGPGSTLRFTIEMASRVGSVSRSFISTARASLRPASPSNLPGIRPPPLAAPRVQSRRFSIPSSRNLGQLGCTQSLLPLHSPMVLTCLTSHLAVSARACCELFNGTFRRSCQDR >OIV90210 pep chromosome:LupAngTanjil_v1.0:LG20:19684453:19689227:1 gene:TanjilG_01406 transcript:OIV90210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTVVPTSEEDPALSVFRFVSDLSWADAGPEVAEPQVSRLCLEAEEFIATGKWLELANLIVPSAEVIFPKVSEKDVESIFTIICNLVTKTENPDEALEIVKVIVPEKPIQPQIEKPAVRLKIWINLYNLLETPDSRFYVYKKALELSVVGKVTEYIIPSFKKIDSFLKDWKIGIPEQRELFLTISNILKDNKSMAKDYFKFLTNYLATFNGEDAHVLEKAKEGAVRAIVEFVKAVDIFQCDLLDIPAVGQLEKDAEYSLLYKLLKIFLTQRLDAYLEYYSANSALLKSYGLVHEECVAKMRLISLVDLSSDGSAQIPYELIKDTLQINDDEVELWVVKAITAKLIVCKMDQMNQVVIVSHHTDRVFSQHQWQTLRTKLVTWRGNIANVISTIEANKISEDGSQAAQGLVVR >OIV90827 pep chromosome:LupAngTanjil_v1.0:LG20:5411332:5420946:1 gene:TanjilG_15560 transcript:OIV90827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSILDGLLDGFEEPTTVIKEDEKEVASLQQGEMEQLKLKQKMLYEKRREALNKIFDIKGSIRVFCRIRPIVLADKRRISEPVSAGSERVRVKFGGTRKDFEFDKVFTQEVSQDPKGLIEIEGLTEVQISDYAKAKWWYNKGKRFRSTSWTNVNEASSRSHCLTRINIFRRGDAMERKSQLSRLWMVDLGGSERLLKTGAKGLTLDEGRAINLSLSALADVIAALKRKRNSKLTQILKDSLGDGSKVLMLVHIRPSEEDVCETICSLNFAKRARAIESSKEIAVDLKKQKEKKIMELEEDIKEAEKQLQNTRGQIQNIEFKLSESKNEGSISPKDDVKEIIETPKASKKSIKRNFSGSSVPRFMNPTVASRQRQSTAGRDSIGGRSKDLRSVVALSSIQLSCSQSLSYSDIRIKGLLRSSNGKSRHAETNTVLAETPKGIELESKTSNPRSKMVTSSDPNLRVKLCRHRRRMSNLI >OIV91153 pep chromosome:LupAngTanjil_v1.0:LG20:2573490:2573915:1 gene:TanjilG_30375 transcript:OIV91153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFVLKLLCIQLVLCEIREEPPESLFMKELRRRGMSPTLLEDYKQDNLGLDEEVYVNEENRSFPDRKSVATDVKRSLYNQREQSIALNSEGLEVGPLLTFLE >OIV90915 pep chromosome:LupAngTanjil_v1.0:LG20:3220719:3221971:1 gene:TanjilG_16875 transcript:OIV90915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFVDSKEEEKKTMAMGTERSKPLHNFMLPCLKWGSQRHLRCMKVPTDNATDAGDQRPPASRKRGLEQTVVNNARVMTVKPRIHVDDDGGIDAVREKLMLDLKTEAHRMKDAIFGKEVAEENGDGNVAATAAEELVASVGVRPWNLRTRRSACPEKELKIEEKKSNFSTSSPLRTNNNNNNVGGVVMKSPKLKGIEGKMEKVKFSVPLSKKEIEDDFMELLGHRPPRRPKKRTRIVQKQLDTLFPGLWLSEVTADSYKVPEAPETGKIEQFCSVLYKHQTPPYRGNIEISNFKGTCWLNADS >OIV90377 pep chromosome:LupAngTanjil_v1.0:LG20:14975761:14978236:-1 gene:TanjilG_21913 transcript:OIV90377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENLDDGEFWLPPHFLTDDYTPMNNNSAKNVDVLSALFPSDLSSLVESVVWSSETESSDEEYHMADLTRHMTHQLDLDPSQNSEGVYFTRSPQSPNSVYNLNTHRATWDLLHAAAGEVEKMRLLVPPKKPSLATLTNSGVGFYTQQHSLTHQQFQIAQMEQQQGLVWGGANTTTQLKGYSGNYQWGQNNKMVANRVTNIDGGLSSCAWPHIQRAKQQYECGMKAVNLVNPSVQRESIGTGVFLPQRIVDFPIKSKKKPDSKQLHHRSNEALYEDYGKF >OIV90073 pep chromosome:LupAngTanjil_v1.0:LG20:21343061:21346771:1 gene:TanjilG_01527 transcript:OIV90073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKVSILLMGLLLVHCLIPMAEAEYLKYKDPKQPLNVRINDLIKRMTLEEKIGQMVQIDRTVASAHVINKYFIGSVLSGGGSVPKKQASAEDWIDMVNDFQKGALSTRLGIPIIYGIDAVHGHNNVYKATVFPHNVGLGATRDPELVKKIGAATALEVRATGIPYVFAPCIAVCRDPRWGRCYESYSEDPKIVQAMTEIIPGLQGEIPANSLKGVPFVSGNKKVAACAKHYVGDGGTTKGINENNTVIDRHGLLSIHMPGYYNSIIKGVATIMVSYSSWNGVKMHANRDLITGFLKNTLRFRGFVISDWQGIDRITTPEHANYTYSIHTGITAGIDMIMVPFNYTEFIDGLTLQVKSNSIPISRIDDAVKRILRVKFVMGLFENPLADYSLVNQLGSQEHRELAREAVRKSLVLLKNGESAYKPLLPLPKKASKILVAGTHADNLGYQCGGWTIEWQGVSGNNVTSGTTILSAIKNTVHKDTKVVYQENPDSDFVKSNDFSYAIVIVGETPYAETQGDSMNLTIPYPGPNIISNVCGSVKCVVVVISGRPVVIEPYVNNIEALVAAWLPGTEGQGVADVLFGDYGFTGKLSRTWFKNVDQLPMNVGDSHYDPLFPFGFGLTTKPNKAT >OIV90336 pep chromosome:LupAngTanjil_v1.0:LG20:16811178:16836725:-1 gene:TanjilG_14734 transcript:OIV90336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSGVENDNFDWNTEDELEIENFHSSSSCLTVVPNREATAGFGEASSSSSKLCDHFVGMGFPIEMVCKVIQEHGEENEDKLLEELLTYSVLESAPQPPVKIEPDPCSSDCAISSWDDLSDPDYTSSDYEEIAKSVSVNDGKLLSLVKMGYKEEEASIAIERLGPKSSLEELVDFISVAQIVKTEDVLLPLEDQYRDHAKLKKQRYYEYEVLGRKRPKIREKRIFNNEDDEDAALHLPKPMIGFGIPTEPDFITHRKIPKEAIGPPYFYYENVALAPKGVWQTISRFLYDVEPEFVDSKYFCAAARKRGYIHNLPIENRFPLLPLPPRTIGEAFPLTRRWWPSWDPRTKLNCLQTCIGSAKLTDRIRKAVEKYDGEPPQHVQKYVLDQCRKWNLVWVGRNKVAPLEADEVETLLGFPRNHTRGGGISRTDRYKSLGNSFQVDTVAYHLSVLKEMYPNGINLLSLFSGIGGAEVALHRLGIPLKTVVSVEKSEVNRNIVRSWWEQTNQKGNLIDMDDVQQLDGECLEQLMNTFGGFDLVIGGSPCNNLAGSNRVSRDGLEGKESSLFFDYFRILDLLKNLMIKSE >OIV91037 pep chromosome:LupAngTanjil_v1.0:LG20:4331397:4332544:-1 gene:TanjilG_16997 transcript:OIV91037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPFISTASSLTYQNSEELHTDLRLGLSIPTTQHVGTSMSRGQWQQMQAHLSSSSQGAEVNDCIDHGNFFVKVYMEGIPIGRKLNLLAHDGYLELVRTLEQMFDTTILWGNEMDRVQPERCHVLTYEDGEGDLIMVGDVPWKMFLSSVKRLKITRVDAFGC >OIV91255 pep chromosome:LupAngTanjil_v1.0:LG20:1814245:1816004:1 gene:TanjilG_30477 transcript:OIV91255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSTSIYADVVSGSSICINDALTEDEMRSILARLENEKDKEIFGLVCKKWLWLQSTERKKLSARAGPHMLQRMADRFTRLVELDLAQSASRSFYPGVTDSDLAVIANGFTCLRLLNLHNCKGITDVGMKAIGDGLSLLQSLDVSYCRKVTDKGLSAVAKGCHDLRVLHMAGCRSVTDSALEALSVNCHNLTELGLQGCTKITDNGLINLSSGCRQVKFLDINKCSNVSDVGVSSVLRACSFSIRTLKLLDCYRIGDETILFLAKFCHKLETLIIGGCKDVSNDAIRSLATACSSNLKNLRMDWCRNISDSSLSCIFSQCRNLEALDIGCCEEVTDAAFQLISNEEPGLSLKFLKVSNCPKITVAGIGILASKCSYLEYLDVRSCPHITKAGLDEAGFHFPECCKVNFNGSISEPVMLL >OIV90092 pep chromosome:LupAngTanjil_v1.0:LG20:21063694:21065849:-1 gene:TanjilG_01546 transcript:OIV90092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRNFVSQHWSHPPLLLLLLAVILVPSLVSPCPSLPLWPHSNLHKPLSYSKPSKFTSLVIKSDRRICELIDLHEEQVPYELAWSLQKEIVKEKKAQIEKYGDCSDTLIVLQHPSVYTLGTASTEENLNFDMKSAPFHVYRTERGGEVTYHGPGQIVMYPIINLRRHKMDLHWYLRTLEEVVIRVLSSTFSIQASRLEGLTGVWVGNKKLAAIGIRVAHWITYHGLALNVTTDMSPFKWIVPCGIRDREVGSVKGLLTEARSCVSRGTGYLHDLDDASLIQTTYKSMVEEFSQVFMLEYQSKTISIPMLCEREKFSLMKETQQG >OIV90247 pep chromosome:LupAngTanjil_v1.0:LG20:18832213:18832584:-1 gene:TanjilG_11975 transcript:OIV90247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTDRKSFAFDRGILARDRGSLDCDPGMLTCGLDSDQGILARDRGIVTHGLDRDRGILAHQTWSRRINLVHQIWPMALHWSVTHQTWPRHINLVHQTWPRRINLAYQIWLMTLHQTHQAWSES >OIV91186 pep chromosome:LupAngTanjil_v1.0:LG20:2297510:2304119:-1 gene:TanjilG_30408 transcript:OIV91186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKAIGQTVRDLKRGVNKKVLKVPGIEQKVLDATSNEAWGPHGSLLADIAQASRNYHEYQMIMAVIWKRINDTGKNWRHVYKALTVLEYLVGHGSERVIEEIKEHAYQISTLSNFQYLDSSGRDQGNNVRKKSESLVILVNDKERIIEVRQKAAANRDKFHNNSAGGMPRPGSYSSSGAYGDRYNNDSYGSREEDRSGSGYGREREWGSRDGDRYSRDGDRYSRDGDRYGRDYEKHSSKDGYRNDEYSGRNQSFEDYQYGSRSRSSDRDHDRGYDDDGQRSSRSVEDVIPYFLTCILIIMKTWESLCIMAVMNLIHTTNQFYAFVRGSSAKAEDRSLEGRLERKLSEQITCTPPSYEEVVGESRSPPTHNGRDGETSAASAPKGSFPVSDNPQQPSAPPGSSLMKDSPTKATAAATTSAPGNKEVEGFDEFDPRGPASAAPATANNAEVDLFGSLSESLPPVPSSATTTLEGNIDLDSTASFAAAPSTSNNFNQSFEDPFGDTPFKAIPSTETAPSQPQTHHSLEPSQSSGPNVEAISNFGFGDSFSVVPFSTSGASDTQSFSTNTQFVSQDFSTPPQETDILADILPPAPLPGMTSQQNSAPPDSQSSLSFSAPFGQIASQTSFVQSGQLTQQGFSAPTSQPALQAFSFSAPSGQPIQPPFSSPTSHVQQPFSAHASQSGHQAFTSPSGQPLQPPFSSPSSQHAQQPFSVHGGQPGQQPFSAHAGQPGQQPFSAHAGQPTQPSGHMYGGFQSQAGSLTPGASDMFLPQGSTAPIPSHMAPQAPTGQSSQSANYFPQQGGFTAHGTSHLDSQFSTGQASKFNSGNFITQGNAAPSTHQPSPFGGSNSIVSQPSKDKFETKSTVWNDTLSRGLVNLNISGAKINPLSDIGIDFDAINRKEKRMEKPTTTAVTSTITMGKAMGSSSGIGRTGAGALRPSPNPLMGMSMGSGPGVGIGMGMGSYGGINAPMGMGMGQGVQMQPPPGSDINLTGNYNNPMMGTGSAGYPQHQQPYGGGGYR >OIV90772 pep chromosome:LupAngTanjil_v1.0:LG20:6065932:6068272:1 gene:TanjilG_15505 transcript:OIV90772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGNNNNSGNYRNPCLTMHQPWASLLVYGIKRIEGRSWPAPIRGRLWIHAASKVPDESTIKAMEYFYKEIYACNGITDITFPQHYPVSRLLGCVEVVGCLKREELAGWEKVPEGVRLEALTDNCWLCESPQIYDAAVRGLSPVNSPLPVKFPLPDPRDPFSLKPGSIFAVTPNLKPTEVEGSSSIGLAISGARAAANQFIKKDLNSQTTTSSNTPDQMNLNHEETEIDRSYNLRSQIRSTEKDDNISPTTLNKKFDDGASRSRHELKSSWKKNEESSLHNRSHNADWKQYLQPPTKGTQEYREKSSSDLYEGSSRHNRSPGAEADLRQYPQASSKVSLFVKGVTMSVIFC >OIV90119 pep chromosome:LupAngTanjil_v1.0:LG20:20789807:20793881:-1 gene:TanjilG_01573 transcript:OIV90119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSRLSIPFSDEHFFSHPIRCSPSLPSFFPLSLRKSHRALRRRSYCSASNPETLVAGTKTVAVSGKKEEEQGDLKSWMHKHGLPPCKVVLKDRPSHDNTHKPIHYVAASEDLQAGDVAFSVPNSLVVTLERVLGNETIAELLTTNKLSELACLALYLMYEKKQGKKSFWYPYIRELDRQRGRGQLAVESPLLWSESELAYLTGSPTKADVLQRSEGIKREYNELDTVWFMAGSLFQQYPYDIPTEAFSYEIFKQAFVAVQSCVVHLQKVSLARRFALVPLGPPLLTYGSKCKAMLTAVDDAVELVVDRPYKAGDPIVVWCGPQPNSKLLINYGFVDEDNSNDRLVVEAALSTEDPQYQEKRMVAQRNGKLSIQVFHVNVGKEREAVLDMLPYLRLGFLSDPSEMQSVISSQGPVCPISPCMERAVLDQLADYFKTRLAGYPTTLAEDESMLTDDNLNPKKRVATQLVRLEKKMLHACLQATIDFINQLPDHTVSPCPAPYAPTLK >OIV90476 pep chromosome:LupAngTanjil_v1.0:LG20:11372059:11372871:1 gene:TanjilG_18660 transcript:OIV90476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLEAPEDESIKTLYVGGLDARVTEQDLRDNFYAHGEIESIKMVLQRACAFVTYTTREAAEKAAEELSNKLVIKGLRLKLMWGRPQSAKPESDDSNQARQQASVAHSGLLPRAIISQQQSQDQNQGMVYYSNPPPQPQRSYYPSMDPQRMGALIPSQEGPPGGPSGSGENKPIMEKQQMQHYAHPMRPPPPGQYQHQYYPPYGYMPPVPHYQQQYPPPPYNAPMPPSHPPAVNHPYQHSMQPGSSQAGSAQGGSAPAEAGTSSSGSQQQ >OIV90075 pep chromosome:LupAngTanjil_v1.0:LG20:21324307:21332766:1 gene:TanjilG_01529 transcript:OIV90075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKTKIQQLCHSRSWNLPDYETIRDGPDHNPRFISTVTVNGLHFHSPEPTRTSKQAQNDAAKLAFHYFTQPFNPNLNPNLNLNPNPPLSLLHLSSFPGCSFPQPSLSLSPTSTTTSTTTNQKKCSFGPDNGGVLRPKAVEGLQTSQISSPVKATTTTAVDQKNMQHLYKNQLQNYAHKKNLNLPAYSSEWEGPPHALRFKCKVTIDGQTFESSKFFSTLKDAEHAAAEVALMSLSPGGVQEDQTGLYKNLLQEFVQKEGFRLPSYNTNRYGESHMPTFVSQVEIEGESFTGQEAKSKKQAETSAAKVAYMTLKERKGMSDQSSLFPLSAHCGQAPEFSSDLSEANVITGLQHHANLKSPVSPGLVPKIQLDKSKEPVSITEKKGSSSSSGNSNGCTEPSLSEISNVVSDTSKMASTQGTTPCRVKVIVYSSNTNVEIEGGGSMMPISDDKWLAYSFSH >OIV90563 pep chromosome:LupAngTanjil_v1.0:LG20:9564098:9564397:1 gene:TanjilG_10657 transcript:OIV90563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTFFISKFVLLLVLLLSPPSNNNGGSYSTPFFVAATRPLEQNVPKFNDLKPEKGHGLQSRNIEACMPKGPRRNSAPSRYINYEPLGSTCSSAKVVNGP >OIV90824 pep chromosome:LupAngTanjil_v1.0:LG20:5446144:5449464:1 gene:TanjilG_15557 transcript:OIV90824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQEQPQRPEEKEAIKYGDVLGVKGDLASNTVAPKDAAMMQKAENAKLGMTQKGGAAAAMQSAATKNEKSGVVGHNDTSKVASDGGVNVTETDGPGNRVISETIAGKAVHKLEGKERKICKLAEVVEQFSQKVSLGIMTPPSVVEEMGIGGGGSPGITIGEALEATALTAGKKPVEWSDAAAIQAAEVRATGRTNIVPGGVAAAAQSAATLNARLTKDEEKTKLGDILADATSKLPSDRPATRRDAEGVVGAELRNDPYLTTHPGGVSASVAAAARLNQTKHN >OIV90536 pep chromosome:LupAngTanjil_v1.0:LG20:10783498:10783953:1 gene:TanjilG_32413 transcript:OIV90536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTKTMRLPPRRVLTPSSTTNKRKERDEPRPKPTNTKLPTPVNKPIPRPTTSSATTSSGVPSNHLLAGYLAHEYLTKGTLMGQPWAPSGEKLKGKEEESTEAAAAATPCRRSTEDEKVKERYAEVAGLLKGGGTHLPGVVNPTQLARFLHL >OIV91470 pep chromosome:LupAngTanjil_v1.0:LG20:203620:204626:-1 gene:TanjilG_02088 transcript:OIV91470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERYRNYTNHATSNMFGEEHIQQLKFDSASLAEKIELLELSKRKMLGHSLSCCSYDELQGIEDQLQRSLESIRLKKAEVYKEHIEKLRSQERDLLKENEELNALVTDLLKELKVIGFLASYVLLSNCFILECFDDSA >OIV90413 pep chromosome:LupAngTanjil_v1.0:LG20:14118298:14118636:-1 gene:TanjilG_00057 transcript:OIV90413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRNGSTPKLDLKLNLSLTRANRRNESPSRSATPSPTSPPTSCVSIELNQEDKYSNNSPEATSMVLVGCPSCLMYVMLSENDPKCPKCKSTVLLDFIHDNNKNNNKNIRRN >OIV90983 pep chromosome:LupAngTanjil_v1.0:LG20:3836835:3843055:-1 gene:TanjilG_16943 transcript:OIV90983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANYYSPTPLDRARALPYIITSNDNNVEYREERDTFGHIQVPSDKLWGAQTQRSLQNFDIGGARERMPEPIIRAFGILKKCAAKVNIEYGLDPTIGKAVIQAAQEVAEGKLNDHFPLVVWQTGSGSQSNMNANEVIANRASEILGHKRGEKFVHPNDHVNRSQSSNDTFPTVMHIAAAVEINSKLIPKLKTLHDALNAKSIEFKDIVKIGRTHTQDATPLTLGQEFSGYTTQVKYGIDRVIATLPHLYQLAQSGTAVGTGLNTKKGFDAKIAEAVAEETNLPFVTAENKFEALAHDAFVECSGALNTIAASLMKVGNDIRLLGSGPCCGLGELILPENETGSSIMPGKINPTQCEALTMVCAQVIGNHVAITVGGSNGHFELNVYKPLIASCLLHSLRLLGDSSASFEKNCVSGIEANRERISKLLHESLMLVTSLNPKIGYDKAALVAKTAHKEGTTLKEAALKLGVLTSEEFDNLVVPEKMLGPSEV >OIV90728 pep chromosome:LupAngTanjil_v1.0:LG20:7270272:7270475:1 gene:TanjilG_15114 transcript:OIV90728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYPIEKSSSRIARAEESLIFKLYKARYFPFEEFMTTTMGSQPSYSGRSFVGSRWIIERGSRWVISY >OIV90835 pep chromosome:LupAngTanjil_v1.0:LG20:5352940:5354667:1 gene:TanjilG_15568 transcript:OIV90835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDSSLHQIQNLKNLNSVLLKETSQRRQQIQAMDARFSEAEEKNDALDLEKDLLFVFMETQMREIGFGFDKLVGEKNEIERALSQRESDVVVLKGEVSELALRVENKTVEIVRVSEEREHVKNELDGVVAEVNVLREKVLESEKVREEVENLRVERERLLEEGSEKERVIGELKKDLDLGRKSSEESREVVEKLKEEIEAVSRERDEVVKVKNDQELRIIGLGFELKQLNESLKNLRNEEASTRARIAALEGSLGLAAEKEKEMEVEIRGLLKEKKEMEMNIEMLIERGESIKKVLSLAQKGLEDKQHELDDAIRARDENVNLKVIRENEIVELKSEVLQLRDNEHKLEGSFRELEEKNKQLVSEVDHLRNSIDEVTVEKDNIRKGFDEEKNKVENLSLQVVEMKGKIEQTEAELDQVSSEQKKITERNKMLESHVSVLANEKDTLHTSHQEAQREHGDLRAKFEYSRSNSNQALAMLKNTSAFVCQDKDSVGVQEVISNGKKLEEEIQPYAEELDAIRNAFKSKNEIIDGMKQQIESLQKSVAEAHKNKTLLPVISSATTIFAAALTAYFTRRR >OIV91420 pep chromosome:LupAngTanjil_v1.0:LG20:545794:549617:-1 gene:TanjilG_02038 transcript:OIV91420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVQAKPCEESDYSLVLHKLKLENGYYSNHDFVPHRRSTGQTLHHHVQVEPPRNHNKLDSSVSNDAKKRDVDVDVDVDVVDGNNKFLMKASVENENENEMVDGWPRWLLDNVPIQVLAGLVPKSAESFKMIDKVGQGTYSNVYKALDRDTGEIVALKKVKFNTSKPGSIKFMAREIMTLQRLDHPNVVKLKGLATSRMKYSIYLVFDFMQTDLARIIARPGERLTEPQVKCYMRQLLSGLQHCHDRGILHRDIKGSNLLIDKNGMLKIADFGLANYYNPNQNRPLTSRVVTLWYRAPELLLGATDYGVGIDLWSAGCLLAEMFTGFPIMPARTEVEQLHKIFRLCGTPSEEYWKKLNLSTTFRPPKSYRPSIVETFRDLPSSSLGLLCTLLALDPAFRGSASKALKNQFFFTSPLACDLSGLPVVYSEDDELYQVKEQIKYMNSKIRRSHTFLDHHKNLASKRQIEHSELRRSPKPEEYLAGEEMGSTTTRSNSSVKDHSPFFFSPFMVSEQKPSKKIHHHADVGANIKNLPPFPKSKPNATKKDNNRYKPDHVLRSTTFTREFRNCNKRENLPFEIDG >OIV90264 pep chromosome:LupAngTanjil_v1.0:LG20:18498179:18502600:1 gene:TanjilG_11992 transcript:OIV90264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANQSESSDSKGSKRDFSTAILERKKAPNRLVVDEAINDDNSVVALHPDTMEKLQLFRGDTILIKGKKRKDTVCIALADDTCDEPKIRMNKVVRNNLRVRLGDVVSVHQCPDVKYGKQVHILPVDDTIEGVTGNLFDAYLKPYFLEAYRPVRKGDFFLVRGGMRSVEFKVIETDPGEYCVVAPDTEIYCEGEPIKREDENRLDEIGYDDVGGVRKQMAQIRELVELPLRHPQLFKSIGVKPPKGILLYGPPGSGKTLIARAVANETGAFFFCINGPEIMSKLAGESESNLRKAFEEAEKNAPSIIFIDEIDSIAPKREKTHGEVERRIVSQLLTLMDGLKSRAHVIVMGATNRPNSIDPALRRFGRFDREIDIGVPDEVGRLEVLRIHTKNMKLAEDVDLEKISKNTHGYVGADLAALCTEAALQCIREKMDVIDLEDDTIDAEILNSMAVTNEHFQTALGSSNPSALRETVVEVPNVSWEDIGGLENVKRELQETVQYPVEHPEKFEKFGMSPSKGVLFYGPPGCGKTLLAKAIANECQANFISIKGPELLTMWFGESEANVREIFDKARGSAPCVLFFDELDSIATQIISVRLGYCNYLDLLTTSKSIAHFVLQRGSSVGDAGGAADRVLNQLLTEMDGMSAKKTVFIIGATNRPDIIDPALLRPGRLDQLIYIPLPDEESRYQIFKSCLRKSPIAKDVDLRALAKYTQGFSGADITEICQRACKYAIRENIEKDIEKERRRSDNPEAMEEDIEDEDVAEIKAAHFEESMKFARRSVSDADIRKYQAFAQTLQQSRGFGTEFRFADNTSSGAATAAASDPFASAGGADDDDLYS >OIV90717 pep chromosome:LupAngTanjil_v1.0:LG20:7082602:7085039:-1 gene:TanjilG_15103 transcript:OIV90717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENNKNQNLDSGTISVESQQGKRKLPNFLLSVRLKYVKLGYHILISNAMYLLLIPILGVASAHLSTTSINDVIQLWENLKFNLVSVTLCSSLIVFLATLYFMSRPRGVYLVDFACYKPEENCICTRETFMDRSVLTGTFSDENLAFQKKILERSGLGQKTYLPPAILRVPPNPNMAEARKEAEEVMFGAIDQLLAKTGVKAKDIGVLVVNCSLFNPTPSLSAMIVNHYKLRGNIQSYNLGGMGCSAGLLSIDLAKQLLQVHPNSYALVVSMENITLNWYFGNNRSMLVSNCLFRMGGAAILLSNKSSDRKKAKYQLVHTVRTHKGADNKSYGCVFQEEDDKKTVGVALSKDLMAVAGEALKTNITTLGPLVLPMSEQLLFFATLVARKVLKMKIKPYIPDFKLAFEHFCIHAGGRAVLDELEKNLDLSDWHMEPSRMTLYRFGNTSSSSLWYELAYTEAKGRIRKGDRTWQIAFGSGFKCNSAVWRALRTIDPAKEKNPWMDEIHEFPVHVPKVETIN >OIV90750 pep chromosome:LupAngTanjil_v1.0:LG20:6244331:6245991:1 gene:TanjilG_15483 transcript:OIV90750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTIVEDENDVVLAPPANFSMVEESIYRSSFPRPCNFPFLQTLNLRSIIYLCPEPYPQQNLDFLQSQNIQLFQFGIEGKTDLSVSTVNDTIMESLKVLIDVRNHPVLIHCNRGKHRTGCLVGCLRKFQNWCLTYIFEEYKQFAGAKSRNTDLNFIETFDTISLRQCLFSIIYQYQGYASKKQRLMYKDDNLQKPQLASA >OIV90493 pep chromosome:LupAngTanjil_v1.0:LG20:11088840:11097987:-1 gene:TanjilG_32710 transcript:OIV90493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWVRSAMTKAVEAGGQNNFSRNLRNYADSVVLHASNAVAGGAKIIHERIVDRNMRSFRHTAKRLEELSVSCRGIERVQMLRRWLVALKEVERLTSPTTFDIDPKEPEDHPLLDDFKDSPHKPTLVYYVDHDVAGEPKSFRDVFLHSQALEGITLSLILEAPNEEEISLLSEIYGLCIKGGKEECTAVISSVQDLAITFSGYQDEVLAKREELLQYVQTAISGLKVNADLMRIEDEACSLKERIEKMNITNSDGNFVNSPKKSSSVEKEALDEALVQIKTCSKLEELLLKKKYLSYGDSPELHAEKVDKLTILSESLANSTAKAESRISENRVTKSNEVNQIEKELTVEIEELVKQKDELEDRLKMVNTLLASAQMRLRHAKEEREQFDEASNEIIAHLKAKEDELVRAITSYTMEGSVVGTWIHFLESTWVLQTSHTKKKEEQVRLGISEASNNDCSKVVNPRKTFEEEYLDIESKFLTTLNIVDTLNKQFHIRKEGIFRKDSDKVIELLDDIEKIKDEFESIERPKLAMGTANQRSDTPLNQTLLKSPSSTSTANKQKPDGVINSLSITGKTISVTAESSQIEAELDKYSDYDSAEEISEWEFDAVERDHQGRSEK >OIV90658 pep chromosome:LupAngTanjil_v1.0:LG20:7307115:7309638:1 gene:TanjilG_23771 transcript:OIV90658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEKKLADAELSEEEQHNLVKYFEKKERDYMRRQRHKMGPDDFELLTMIGKGAFGEVRICREKASGHVYAMKTLKKSEMLSRGQVEHVKAERNLLAEVDSNCIVKLYCSFQDEEYLYLIMEYLPGGDMMTLLMRKDVLTEDEARFYVGETVLAIESIHRHNYIHRDIKPDNLLLDRYGHMKLSDFGLCRPLDCSNLKEEDFSVGGNRCGTLRSGGGPAYSAVGTPDYIAPEVLLKKGYGKECDWWSLGAVMYEMLVGYPPFYSDEPLSTCRKIINWRTNLKFPEEANLSAEAKDLISRLICDVNQRLGIEGADEIKAHPWFKGIEWDKLYQMKAAFIPEVNDELDTQNFEEFEEVDSQTQSPSKSGPWRKVGFRY >OIV91277 pep chromosome:LupAngTanjil_v1.0:LG20:1644471:1644779:-1 gene:TanjilG_01808 transcript:OIV91277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVEGSSKKMIATQEEMVEARVPLAYRDQCAHLLIPLNKCRQSEFYLPWKCENERHSYEKCEYELVMERMLQMKKIREEQANLKHSQPQGAAIPLIPKTANA >OIV90933 pep chromosome:LupAngTanjil_v1.0:LG20:3394586:3396076:-1 gene:TanjilG_16893 transcript:OIV90933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPAMPDFSNTVKLKYVKLGYQYLVNNIITLTLVPIMAAIFMEVLRLGPDEILNLWKSFHFDFVQILCASFLLIFIATVYFMSKPRTIYLVDYACFKPPVTCRVPFATFMEHSRLILKNNPKSVEFQMRILERSGLGEETCLPPAIHYIPPKPTMEAARGEAELVIFSAMDSLFQKTGLKPKDVDILIVNCSLFSPTPSLSAMVVNKYKLRSNVKSFNLSGMGCSAGLISIDLARDLLQVHPNSNAVVVSTEIITPNYYQGKERAKLLPNCLFRMGGAAILLSNRRKEHKRAKYKLVHVVRTHKGADDKAHHCVFEEEDREGKVGITLQKDLMAIAGEALKSNITTMGPLVLPASEQLLFLLTLIGRKIFNPKWKPYIPDFKQSFEHFCIHAGGRAVIDELQKNLQLSAEHVEASRMTLHRFGNTSSSSLWYELNYIESKGRMKKGDRVWQIAFGSGFKCNSAVWKCNRSIKTPLDGPWSNCIDRYPVYIPEIVKL >OIV90571 pep chromosome:LupAngTanjil_v1.0:LG20:9250235:9252348:-1 gene:TanjilG_19207 transcript:OIV90571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFTEKMHRLKMGFRDYIQALEQERCKIQVFSKELPLSLDLITQAIEACRQQLSGTTTEYNLNVQSECSGQTTSMEGPVFEEFIPIKKRASSDCDDEDEQHSHKSKVSKDNNKNNTDKRKSDWLRSVQLWNPNPLAEEDLSREVSVMEVKRSGSGGGFYPFHKEEKAGKTIELLCKAPSIMPVVAASSTAVTVAKDNAESSKKEEKDGDRKQRRCWSPALHKSFLQALQQLGGADTATPKQIRELMKVDGLTNDEVKSHLQKYRLHTRRPSPMNHNNGNPQAAPFVLVGNIFLQPHEYTALATSTASTDLTTVETRTGMHAPLASNPPTLSHALAAAIKKPPVKKVEPYKYSEERANQSEGAVHSNSPASSSSTHTTTTSPGY >OIV91300 pep chromosome:LupAngTanjil_v1.0:LG20:1473451:1481312:1 gene:TanjilG_01831 transcript:OIV91300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTLSSYTTTSLSSALLSTVKFQYSSYRPILSLHSNAQQKKEGVVVQKKKQSKDEKGNVAKPQQASSYKGFGITRNDNKDSVFDSKDQQVEPSNIQNAAFLNAVVKVYCTHTAPDYSLPWQKQRQYTSHILCSAFMIGGRKLLTNAHCVEHDTQVKVKRRGDDTKYVAKVLARGVDCDIALLSVESDEFWREVEPLRFGRLPHLQDSVTVVGYPLGGDTISVTKGVVSRIEVTSYAHGSSDLLGIQIDAAINPGNSGGPAFNAQGECIGVAFQVLRSDDAENIGYVIPTTVVSHFLTDYEKNGRYTGFASLGVLIQKLENPALRTCLKVKSNEGVLVRRVEPTSDANNVLKEGDVIVRFDNVRVGCEGTVPFRSNERIAFHYLISQKFAGDTAELGIIRAGTSMNVKIVLNPRVHLVPYHIDEGQPSYLIIAGLVFTPLSEPLIEEECEDTIGLKLLAKARYSLARFEGEQIVILSQVLKLNGSRIKNIQHLAHLVDSCKDRHLYFEFEDSYVAVLEREAVATASSCILADYGIPSERSPDLLKPYVNSLEGETSSEKEFGDIPVSNYEIGVDGLFWA >OIV91064 pep chromosome:LupAngTanjil_v1.0:LG20:4565664:4567176:-1 gene:TanjilG_17024 transcript:OIV91064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRHSCCYKQKLRKGLWSPDEDEKLLNYITKHGLGCWSSVPKLAGLQRCGKSCRLRWINYLRPDLKRGAFSQQEENLIIELHAVLGNRWSQIAAQLPGRTDNEIKNLWNSCLKKKLRQRGIDPNTHKPLSEVENDKDKPSIADKKLASMGSNEVCSFVEPKKTNQGSIPPERYNSLEVSTCSKINNKSSNSNLTSTTSTQELLLDSINSCRPSDMVGNYFSFQHLNYGPNMNNTPLYMSDLNSGITSTILHNSVSTSSIFHTPTHVKPTVTLHSNNNFDNNPSISSGDIGGNRSTMQLQNNTNFLRNNSTMTWGMEESVKADKDAISLQGEEQEEIKWSEYLNTPFLLGNTVQNQTSQSIYSDVIKPESGFITEDSSTGWQQHNQQHQPAFQLSDIYNKDLQRFSVAFGQTL >OIV90776 pep chromosome:LupAngTanjil_v1.0:LG20:6019428:6020762:1 gene:TanjilG_15509 transcript:OIV90776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAASLINVMARKSQIPHFSSCTNLIRGFTKIGRLDKACKIMNIMVMSGGVPDIITYNMIISVLCKTGHLSSVVDLVDDMSLSGCSPNLITYNTIIRCMFDKGDANQAISFWKDQLSKGCPPYLLTYTVLIDLVCKYCGAARALEVLDDMALEGCYPDIVVYNSIVNFTSKRGKIEDTALVIHHLLCHGLQPNDVTYNTLVHSLSSHRCWDEVYEIMKIMDKTSNPPERVTYNILINGLCKAGLLDRAVNLYMTMVSEKCSPDTITYNTLLSTLCKEGFIEEGIKLFYLMVGTSCSPCLVSYNTIIDGLSKMGSMESMELAKKLHDEMVEKGLTPDIITYSCLFWGFCRLDQLEEAVEILKEMHKKKRRSKNNDYRYVILGSCKQGKVDIAIEALDLMVKGRYKPDETIYSALIKAVADGGMMKEADNLHQNLIKWKILKKESC >OIV90362 pep chromosome:LupAngTanjil_v1.0:LG20:15693919:15696694:1 gene:TanjilG_19771 transcript:OIV90362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEIKTAKTRRGKRELEKRAPKLVESGKKTLILHGTKTSGVLNAVLTQIFQLKKESAVKYSRKNENIKPFESGGETSLEFFSLKTDCSIFVYGSHSKKRPDNLVIGRTYDHHIYDLVEVGVENFKPMESFTYDKKITPKEGSKPLIAFIGEGFENVEELKHLKEVLLDLLRGEVVENLNLAGVDRAYVCSAVSPNRVFFTHCALRLKKSGTIVPRMELVEIGPSMDLVIRRHRLPNDGLRKEAMKTSREKPKKKEKNVKKDVLQGKIGNIYVPDQKIGEMALPNKSKGVKRERREAKRKNHGDEHAKRRKEDA >OIV91462 pep chromosome:LupAngTanjil_v1.0:LG20:254007:255912:-1 gene:TanjilG_02080 transcript:OIV91462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLELWETAKEAIIAYTGLAPATFFTVLAALFAAYYVFSGLFGSSSDHHRHTDRDFEEEEMPPLRPPVQLGEITEEELKAYDGSDLEKPLLMAIKGQIYDVSQSRMFYGPGGPYALFAGKDASRALAKMSFDEKDLTGDTSGLGAFELEALQDWEYKFMSKYVKVGTIQKTVPVTEGGESTGEPSEAAIPRDADAAKPTEDVEGVKSDETLSNAGAN >OIV90064 pep chromosome:LupAngTanjil_v1.0:LG20:21416197:21416908:-1 gene:TanjilG_01518 transcript:OIV90064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCPLRFILVFFSAVLAGYFAWRTVRSTPEIDLASGGSALEKDDKKEGFDMKKMVQNGFWVFVDMASGRYLWRNLRSNNNAIDELKSS >OIV90941 pep chromosome:LupAngTanjil_v1.0:LG20:3480192:3482708:1 gene:TanjilG_16901 transcript:OIV90941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRVESLGRVGSLRQSFLEKGKERLLKKENIDFGLNNGDNSVANGSFLDALSDRVVRFRNGLQEFMSQLYEMGRNDPRKVMFAGKAGLSLAIVSLFIFIKEEQLSKYSIWAILTVVVVFEFSIGATLNKGFNRALGTVCAGVLALGIAELSVFVGGALDELIIVVSIFIAGFCANYVKLYPAMKPYEYGFRVFLLTFCIVLVSGRHGLQFFSTAFYRLILIGVGACVCLSVNICIFPIWSGEDLHKLVVKNFNGVAASLEGCVNGYLKCVEYERVPSKILLYQASDDPLYRGYRTAVQSSSQEETLVDFALWEPPHGPYKKFNYPWKSYIKVSGALRHCAFMVMAMHGCILSEIQAPPEKRLVFCDELQKVGAEGAKVLRQLGSKFEKMEKLSNTDILREVHEAAEILQLKIDKLSYLLLNSEGWEAARKAKENEQPDNSNDDVKDNENKDSLINSPTETGDDSKLSICIEHSLTELSFAHTMNKSLVNWPQSSFIIDPITYEPESKVYESASSLSLATFTSLLIEFVARLQSLVHEFQDLSEKANFKDPFDQPVLR >OIV90501 pep chromosome:LupAngTanjil_v1.0:LG20:9996588:10000963:1 gene:TanjilG_32378 transcript:OIV90501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKGGCHRVTILDDTGEGTLDESHSRTYFYFSVRFLLRSGREYDQSLTDSDKLSPRFLGYFLFRSSDRKQPLDRSTSLKEQSAHITSVKNILENHASFLMAGKELSKLVAFVKGTQFDLVLHMGTLQSRLDADFLLAHMCSVKFKEWIVVLATLLRRSESHPAFAEYQDLLEELEEKLLSVANMEEK >OIV91079 pep chromosome:LupAngTanjil_v1.0:LG20:4704963:4708204:1 gene:TanjilG_17039 transcript:OIV91079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVGVAPTSDLREVSGHAAAGVDKLPEEMNDMKIRDDREMEATVVDGNGTEAGHIIVTTIGGRNGQPKQTISYMAERVVGHGSFGVVFQAKCLETGETVAIKKVLQDKRYKNRELQTMRLLDHPNVVSLKHCFFSTTEKDELYLNLVLEYVPETVHRVIKHYNKLNQRMPLIYVKLYTYQIFRALSYIHCCIGVCHRDIKPQNLLVNPHTHQVKLCDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTTAIDVWSVGCVLAELLLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKAHPWHKIFHKRMPPEAVDLVSRLLQYSPNLRCSALDALIHPFFDELRDSNSRLPNGRFLPPLFNFKSHELKGVPVEILVKLVPEHARKQCPFLGL >OIV90754 pep chromosome:LupAngTanjil_v1.0:LG20:6218910:6219413:-1 gene:TanjilG_15487 transcript:OIV90754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEGSSKRKRPNILVTGTPGTGKTTMSTALAESTQFRHINVGDLVKDKNLHDGWDAELDCFILNIIEEGGNIVDHHGCDFFPERWFDCVVVLQTDNTVLYDRLSNRGYNETKLSNNVECEIFQVILEEAKESYAEDRVVALKSDTIQDMSRNITTMTDWVRNWHSAS >OIV90448 pep chromosome:LupAngTanjil_v1.0:LG20:12617045:12619163:1 gene:TanjilG_01926 transcript:OIV90448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHCPDESYKKISLLAHLRFLDLCGAQNLSDDGLFSISKCKYLVSLNLTWCVRVTDEGIISIAKGCTSLEFLSLFGITGVTDKCLEALSKSCSTKITTLDVNGCIGIKRRSREELLQLFPHLECFKVHS >OIV90449 pep chromosome:LupAngTanjil_v1.0:LG20:12661057:12664167:1 gene:TanjilG_01927 transcript:OIV90449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATIPSSHLCLFFLLSLSLSLSLSSSSSESPQNFIIHVSQSQKPSLFSTHHHWYSSILRSLPPSPHPAKLIYTYSSVIHGFSVRLTPTQAAALRRIPTILSVLPDQIRYLHTTHTPRFLGLAESFGLWPNSDFADDVIIGVLDTGIWPERRSFSDAGLSPVPSSWKGTCETSRDFPSSLCNKKIIGAKAFYKGYESYLGGPIDESLESKSPRDTEGHGTHTASTAAGSVVANASLFHYAQGEARGMATKARIAAYKICWSQGCFDSDILAAMDQAVADGVHVISLSVGSSGYAPQYYHDSIAIGAFGAAQNGVVVSCSAGNSGPGPYTAVNIAPWILTVGASTIDREFPADVVLGDGRVFSGVSLYSGKNLPDFKLPLVYASDVGNRYCYLGSLQPSKVQGKIVVCDRGGNARVEKGSAVKLAGGLGLILANLEDSGEELLADAHLLAATMVGQTAGDKIKEYIRLSQYPTATIEFRGTVIGTSPSAPRVASFSSRGPNHLTPEILKPDVIAPGVNILAGWTGKVGPTDLDIDPRRVEFNIISGTSMSCPHVSGIAALLRKAYPKWSPAAIKSALITTAYNVDNSGNNLQDLGTGKESNPFIHGAGHVDPNRALNPGLVYDLDDNDYLAFLCSIGYDSKQIAVFNKQPASLNICETKLARTGRLTSPGNLNYPSFSVEFGSNNDLVTYKRVVTNVGSSADAVYNVKVNAPAGVEVSVSPSKLVFSAENKTQAFEVTFVQGIGSANSASFGSIEWVDGSHIVRSPIAVKWSNELSASM >OIV91212 pep chromosome:LupAngTanjil_v1.0:LG20:2086844:2099572:1 gene:TanjilG_30434 transcript:OIV91212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPCWSPFDAGESSSRNVESSGRFVGLLWHKDSGRHLNGEFSMAVVQANNLLEDHSQLESGPLCSVAASPQGTYVGIYDGHGGPEAARFVNDHLFKNIKKFTSENQGMSADVITKAFLATEEEFLSIVKKQWVHKPQIASVGACVLVGVICSGHLYIANAGDSRAVLGRRDFATKEIKAVQLSYEHNASFESVRDELRSLHPDDPHIVVMKHKVWRVKGLIQVSRSIGDAYLKKAEFNKAPLLPKFRLSQSFEQPILKAEPTILEQKLHPEDQFLIFASDGLWEHITNEKAVDIVHNSPRNGVAKKLIKTALCEAAKKREMRYSDLKKIDRGVRRHFHDDITVIVLFLNSHIISRSILRGPIVSIKGAGGGGVGSGQNHMPQFDAPIMVVVVKGNLRHAQRLSRGKGIDMCKVLSNNQVITEFSRPVQEEEEERSDRWISFLERHAESSELATDRLGVEEYETVLRAKASEQEADVGPEKVVDGDELSNQKPGSDSIAENDSQKEEDKVHRVQLWSEIRPSLRIIEDMMNIRVKKITTSIEDVGNKKSVLKDEQIVGDGTTLSHTDGAKSQKGTFEEDSEDEFYDVERSDPSPDTPIVNGTSAPANGIDTDTAPREASFPWKEELEVLVRGGVPMALRGELWQAFVGVKARRVEKYYQSLLLSDDDSGRIMDHQSMHSNDDNGITSTDPVFLPDKWKGQIEKVFCL >OIV90732 pep chromosome:LupAngTanjil_v1.0:LG20:6269421:6273912:1 gene:TanjilG_21863 transcript:OIV90732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSKEKPTLGGTRIKTRKRNIAAPLDPASFSDAVVQIYLDNSGDLELVAKSVESIDLDFSRYGDTFFEVVFIGGRTQPGTTKPDEGEHHPYSVIECEPKREVILPYVIYIQKILRRRPFLIKSLENVMRRFLQSLELFEENERKKLAIFTALAFSQKLSGLPPETVFQPLFKGNLVAKGLVLSFVTEFFKEYLIDNSLDDLISILKRGKVEDNLLNFLPPAKRSNEFFSEHFTNEGLPTLVEYNEKKMFEVKLKEMKSALTTQIAEEADIADVIETVKQQVRDSKLPDIEVVRVLWDVLMDAVQWSGKNQQQNANSALRQVKTWAELLNTFCSNGNLELELMYKVQMQCYEDAKLMKLFPEIIRSLYDQDVLAEDTILHWFQKGTNTKGRQNFVKALEPLVKWLEEAEEEE >OIV90316 pep chromosome:LupAngTanjil_v1.0:LG20:17906483:17907049:-1 gene:TanjilG_13171 transcript:OIV90316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVNKRECEIVEHNKDDNTHITIVFTACTFLLMMCLKHFLVEQWRAWVFLLLNVILLAILFMSMRTSYKGQSYQIGTSVDEESKYEKKVKKGQCYECCEEIEEVKECYKQQCWTSTCTSNAHVLVQNETCEDEEEEQVPLLSKEELNERVEAFITMFRKHLISDVKQAENLRFQKTVNFTTKIEVPCC >OIV90028 pep chromosome:LupAngTanjil_v1.0:LG20:21881203:21883908:1 gene:TanjilG_23948 transcript:OIV90028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTETSSSCSSLFASIIFLLIIIFQQICCDAKHHPPCPSSSCAKITNITSPFRLKSYPSYCGQKSLELDCDKNGSVLTFFSGSYYVNNINYSTYTMSLSDAGVVEDATCSFIPRYYLNHNIVYKFGSFYVQSFEPRNQNYSRIAYLNCRNPVMDDPRYVKVDMNNSCGFGGHVYAVWMQHIDDLKPMMIKSEIKVGCGLMVATSASKRLSGVELNGGVSYSEIHKWMVEGFEVTWFSVICDQLCGRKVGCEIVNGEVKCFNLTCEWEYATNNCGILQNISNYIRAYLSGVIIGIYRRFSTKDYLGKPFQNFRDGGIFMGQYILPSLIAIRYLFGVVLVLLLLIYRWRRRHLSMYEEIEHFLLDNNLNPIRYEYKEIKKMSASFKVKLGQGGYGSVYKGKLRSGPDIAIKMLNNKLNHNGQDFISEVATIGRIHHVNVVRLVGYCVEGKKRALVYEFMPNGSLDKYIFSKEGFVSLSYDKIYEISLGIAHGIAYLHQGCDMQILHFDIKPHNILLDENFIPKVSDFGLAKLYSVDDSIVTLTEARGTLGYMAPELFYRNVGGVSYKVDVYSFGMLLMEMTSKRKNSNPNAERSSLVYFPFWIYDQFNEEKDIEMKDLCEEEDKSLAKKMFIVALWCIQLKPSDRPSMTKVVEMLEGDIENLQMPPKPSFYPHETYQHEDGINSDDQTSWSDSYSSDSYRQSSHE >OIV91368 pep chromosome:LupAngTanjil_v1.0:LG20:939379:946595:-1 gene:TanjilG_01986 transcript:OIV91368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKLAWTGKSSMQLRTLFKPQNDFIIHRNIHCNASASATAVPYVDRSDFLRLQNGSDIRGVAVDGVKGEPVNLTEPVAEAIGAAFAAWLVEKKKADASQHLRVSIGHDSRISAKSLQNAISRGLAGAGLEVVQYGLASTPAMFNSTLTKDEAFLCPADGSIMITASHLPFNRNGFKFFTNAGGLGKTDIKDILERAVDLYNQFTTESLTNSEKKASLVTKRVDYMTVYTSDLVNAVRKAAGNVEKPLDGFHIVVDAGNGAGGFFAAKVLEPLGAKTSGSQFLEPDGLFPNHIPNPEDKTAMKAITQAVLDNKADLGIIFDTDVDRSAAVDSTGREFNRNRLIALMAAIVLEEHPGTTIVTDSVTSDGLTTFIEKKLGGKHHRFKRGYKNVIDEGIRLNSIGEESHLAIETSGHGALKENHWLDDGAYLMVKILNKLASARASGVSGGSKVVTDLIEGLQEPAFAVELRLKINQNHPDLKGGSFREYGEAVLKHLENLIGSDPNLQKAPVNYEGVRVSGYGGWFLLRLSLHDPVLPLNIEAQSNGDAVKLGHAVLAAVKDFAGLEISALSKFVGAS >OIV90786 pep chromosome:LupAngTanjil_v1.0:LG20:5918051:5921239:1 gene:TanjilG_15519 transcript:OIV90786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQINLLILFSFIGISLVFTEGIQAAEDGELLTLLSIKSSIIDPMNYLKDWQHQSNVTQGGSPHCSWTGVWCNSKGLVETLDISNMNLSGRVSDRVQSLSSLSYFNISSNNFASSFPISLSNLTSLKSFDVSQNYFTGSFPIGLGRAAGLKYINASSNDFMGFIPEDIGNATSLESLDFRGSYFVSIPRSFKNLQKLTFLGLSGNNFTGKIPGYLGELSSLETLILGYNEFEGEIPAEFGNLTNLQYLDLAVGSLSGQIPLELGKLKKLTTIYLYHNNFTGKIPPHFGNITSLAFLDLSDNQISGEIPEEFANLESLQLLNLMTNNLTGPIPEKLGELKNLQVLELWKNSLKGPLPENLGKVSPLEWLDVSSNSLSGEIPPGLCSRGNLTKLILFNNSFSGSIPSGLSNCSSLVRVRIQNNLISGTIPVGFGSLPSLQRLELAKNNLTGEIPKGITLSTSLSFIDVSWNHLDSSLPSEILSVPTLQTFIASHNNFESDIPEEFQDCPSLSVLDLSNTHISGKIPESIASCQKLVNLNLRNNHLTGEIPKSITNMPTLSILDLSNNSLTGRIPENFGSSPALEMLNLSYNKLEGPVPSSGILMTMNLNDLIGNAGLCGGILPPCSQSFADVSHPHGRSHIRHIIIGFVTGISVILSIGAAFFVGRCLYKRWYLCNNFIHDWLKQTNEDWPWRLVAFQRISLTSSDILTCIKESNVIGMGGTGIVYKAEINRPHVTVAVKKLWRSATDIEEGNNILREVELLGRLRHRNIVRLLGYVHNERDVMMVCEYMPNGNLWTTLHGEQSARLLVDWVSRYNIALGIAQGLNYLHHDCHPPIIHRDIKSNNILLDANLEARVADFGLARMMIQKNETLSMVAGSYGYIAPEYGYTLKVDEKIDIYSYGVVLLELITGKMPLDPAFEESVEIVEWIRKKRCKNALEEALDPTIAGQCKHVQEEMLLVLRIAILCTAKLPKERPSMRDIITMLGEAKPRRKSTCHSKGQESSMDKPIFTTSPVVNLL >OIV90372 pep chromosome:LupAngTanjil_v1.0:LG20:15299644:15313680:1 gene:TanjilG_10567 transcript:OIV90372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEEELCIAKLFDVVKNKKKSNAKKKNKTKSEKAVTETVELKEAEAEDSARAVENNEDDDAVGVDDGDGDASSGGVRISQFDYSVDNYFHYLDTIARLCGENEDEDFEQSEIQRISSSVTFLRKWRDFQYPSRSIRFGYGPGSSEREDVNTINLPQFSSATVPTYDLQKENRLENAKSQESRDFVMYVGGPVWALDWCPQMHEKPDCSIKCEFIAVATHPPGSSYHKMGAPLTGRGVIQIWCLLNIREQNEDVFPLTEKRRKTTKKGGGTDDKSTQIKRPRGRPRKNPIQVPVDDMNCETQNVLPLDIKFPEDSAEFPTPDGNLEHNEKICSLTEKRENPPKKGGGKNDKSIQIKKPRGRPRKNSIEVPVDDMNCEAQNVLPLEVKFPEDSAELPTPDGNLEHNEVCSLTKKRKKRHEKGGVTNDKSTEINKPRLRPRKNPVKVPVDDINCETQDVLDFDVQFPEDSVEFYTTDGDSETSEEIFYTTTHKRKKGPKKNEATSEKSGQIQRPKGRSKQNSNEVIAVDTKCKNQSVQALAVQVLQDSAEFISPDLANGCCNKNASLQNSVAEQKHAKTAASACSTRSETPIKSRLINNFRKQSHIQDIGQTLVNEANHQPPCSSELGPLAGSHSIPAEVTLPRVVSCLAHNGKVAWDVKWRPPNMSDSLFKYRMGYLAVLLGNGSLEVWEVPLPRVLRAIYIHGEGNDPRFIKLQPVFKCSLLKRGGLQSIPLTLEWSVTPPHDYLLVGCHDGTVALWKFSKNASSKCDDTKPILCFGADTVPIRAVAWAPFDGDPESSNIIVTAGHERLKFWDLRERSCVKKLILPGKCYLSTNSVICADTKPILCFGADTVPIRAVAWAPFDGDPESSNIIVTAGHERLKFWDLRNPFRPLRNLHAAPRIIYSLDWLSKPSCIIMSFEDGAMRTISLSQAANDLPVSGKIYTGKKQPGLHTTIYSSFAIWSVQVSRITGMVAYCGADGTVFRFQLTSKAVEKDNSPRNRAPSFLCGSVTEEESTLIISTPVSHDPFPLKSCERSRRPAESFRELLSKTNNQIAKASNSDSRTLALSNGDGLDLESESEEALSSREKPKRPKLIHSNKEKPVENQGLICRDDVSTSTPGVDNEESNLSNIPEVFPSKMVALHKVRWNMNKGSERWLCSGGAGGVVRCQKIVLSDIDRQWALKR >OIV90160 pep chromosome:LupAngTanjil_v1.0:LG20:20382774:20384623:1 gene:TanjilG_01614 transcript:OIV90160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSTFAFTTISSFSMNPTNTSSLFITSPTTTTFSSFTSSNKSLKLNTTSSHSHHLAVPKKSFTCRSQAEKSDSVKVQELSVYEINERDRGSPAYLRLSQKSVNSIGDLVPFSNKASYFHFITYYAFLYTGCLQKRVGITAGICILIQNKAEKKGDRYEAIYSFYFGDYGHISVQGPYLTYEDTCLSVTGGSGIFQGVYGEVKLHQIIFPFKLFYTFYLKGIKNLPQELLSKPVGPSPSVEPSPDAKACEPHAVISGFTD >OIV91219 pep chromosome:LupAngTanjil_v1.0:LG20:2042565:2044250:-1 gene:TanjilG_30441 transcript:OIV91219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDRLGSSSSTIAIDSSNIGFQLLKKHGWKEGSGLGISEQGRIEPVETYVKNNKRGLGADKVKKKIVKPDHSDASKGNTQQEHSQKKTKAAKALSKRMKKMEEIEKKMQEKEFERAFFREFWPENV >OIV90535 pep chromosome:LupAngTanjil_v1.0:LG20:10753781:10757959:-1 gene:TanjilG_32412 transcript:OIV90535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRGLIKNLASRSLSVAGKWQHHQLRRLNIHEYQGAELMSKYGVNVPKGIAVSSVEEVKNAINQVFPNENELVIKSQILAGGRGLGTFKSGLKGGVHIVKTDQIEDIAGKMLGQILVTKQTGPQGKLVSKVYLCQKLSLVNEMYFAITLDRNTAGPLIIACSKGGTSIEDLAEKFPDMIIKVPIDVFKGITDEDAAKVVDGLAPKGADRNQSIEQVKNLYKLFRDSDCTLLEINPIAETADKQLVAADAKLNFDDNAAYRQKEIFTLRDTTQEDPREVAAAKADLNYIGLDGEIGCMVNGAGLAMATMDIIKLHGGTPANFLDVGGNASEGQVVEAFKILTADDKVKAILVNIFGGIMKCDVIASGIVNAAKQVDLKVPVVVRLEGTNVDQGKRILKESGLALITAEDLDDAAQKAVKAYK >OIV90037 pep chromosome:LupAngTanjil_v1.0:LG20:21969987:21977891:1 gene:TanjilG_23957 transcript:OIV90037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSNLNLPRHLSDSHSSSFVKDEPSGGHGGGKGISGLFDDSKDQVSSDSGIPLSPQWLYSKPAEGKANTNPAGDKKDWRRTAPDLDISRRWREEERETSLLGRRDHRKEDRRSENTAASDSRALSSDRRQDSRGSGHDSRRENKWSVRWGPDDKEKDSRSDKRNDGEKEDSNFEKQSSGVSNRAGSDRNSDSRDKWRPRHRMEAQSGGVATYRTAPGFGLEKGRTEGSNVRFSSGRGRANINGNMQPFALLDKDKAMLGKSSGVNSYRYPRGKLLDIYRKQKVDPTFESMSTGMEHMSPVTQVGLVEPLAFVAPFSEEEDVLGDIWKGKITSSEVSGYSLRGKDGGPNDDISGSDVTLSEEKEPLVGTGGKTISENDILNNSDQIFIHTSSSAGSLFGNVVKGGIYGRDESSGSNVREGNSPGEKVVESGPSIQKHANWDGVESIAVPEISSNPPDDSHSPYDFSSLQQTRGINHFGLKINEETYPSEIANPLEELSLCYLDPQGVIQGPFLGIDIILWFEQGFFGLDLPVRLSDAPEGSPFHELGDVMAHMKVKSASTSGSNQITQAEPSDVTRKNLKVSGDYDKSAVVDTQPQSQVPNQSHHSEMKFSNDQRFNYIVAQDEDIALSKLIVSSNDNPLMSSADVNSLHSHPTGKLVTNDASGTDTHNSEADKLHPFGLLMSELSDSSHLRRAQSSNISTRLGDPGHFLDPSIDRDAPFADQSTLGGMVNQPSYGKTWTDDYGINKNFSPHVESLDDQFLSHMGPNVNTFDMAEHLMFQKLQRERLQQQGNLSNIFPAHHTGSDLERFPGISSKNHNAQQMIQNSGSDMERFLEFQIQLRQLEQQQQQRHLELQQQHELQRQIELQQQQDMHNQQLLHQKMKLQQQQSQAQKLILEQYMHQQVSDTNFGKSKLDLNRDNLFDRVQLRRYLHELQQNPHSLRHLDPSMEQIIQANIGLNAVQGRQADLSDFLVQAKHRNILPSEQELHFQQDPWPQQHISLEHRQQLLLDGERQFSRSRSINETGQLARNPANLQLANSAGFSVLDIHKQQQRLMQQEEQLNYLGRNFVEPNSMMFERSAPVSSGTPAMNFGSVNTSVQEMELQERLRYMHSTDHLSSMSSHHPQVSDEFLAHHPDAFKSSFSGKNGHFENRWSDPHAQLHLEAESQRREFAGLNMSASAGAIGESSAQGFMDRLHQNLGIQSTQPSNVDKWHPLSSTSQDTSGQVSEAGSLVHPFELPSDQQVDLNNQFVERSQSTNSSALMQDHFIGMHATEQFNNIRNNERMPLRSRPELLMEEQSLVTASEDTVHPSHRNPLLIGKSTMEKDLLELETNNGQRHEFTGTLNKSFPGITDPSEQVDFTMDLTVSAHSRHSLLSSTGDAASHGRDMVLNNSRVDEVSSDRVPPSTKGSSNALHKRPPVSRVLSSPDALSEQLSVPHVKQNNIINLASSKGRQSAGNPSISMMTDAQASRKNEVQFRRSSSCNEGSVSERSFIDMLKKPVHTEIDAGTSMESSDGGAQAGRSGKKKGKKGKQIDPSLLGFKVSSNRIMMGEIQRPED >OIV90947 pep chromosome:LupAngTanjil_v1.0:LG20:3537090:3539749:1 gene:TanjilG_16907 transcript:OIV90947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNSGKGKAKAKDVAGKGSTGKRKIAVDDDKTGGGKRRNRGVIQFFEETADVDDFDDSSEDSDFSDDDNGIDLNSFGVKEFVPTIWKVKCTVGRERISAFCLMQKFADIKLLGTKLEIISAFAVDHMKGFVYIEVERQCDINQECNGITGIYATRVQPVPRNEVFHLSSVQPRSNEIVVVAVNNTTKKVTVKLIPRIDLEAMAAKFGGGYSRQKTAAVPAPRIISSSELQEFRPLIQIRRDRDTGKVFEVLDGMLLKDGYIYKKVSPDSLNLWGVEPTEGELLKFVPSENHESKDLEWLSQLYGDSKKKQAIRDDKGGEKGESSSGSGVVNGFELYDLVCFG >OIV91236 pep chromosome:LupAngTanjil_v1.0:LG20:1951833:1953402:-1 gene:TanjilG_30458 transcript:OIV91236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAYECFKPPEDTKLDTDSAARIVLRNKNLGLEEYRFLLKTIVSSGIGENTYCPRNVIEGREECPSLHDAYAEIDEIMFDTLDNLFKRTGFSPSDIDILVVNVSLFSPSPSLTSRIINRYKMREDIKSFNLAGMGCSASVVAIDVVQQLFKTYKNSIGVVVSTEDLGAHWYCGKDKMMMLSNCLFRSGGCSMLFTNKPTLKHRAILKLKHMERTQYGADDEAYNCCIQVEDDQGYSGFRLTKSLVKSASQALTVNLQVMTPKILPLWELIRFFTTSVKNSMKKREFVMFFTSFLVGNGKRKKNTFNVLGGGGLDFKSGIEHFCVHPGGRAVIDGVGKGLKLNEYDLEPARMALHRWGNTSAGGLWYVLGYMEAKKRLKKGDRILMISLGAGFKCNNCVWEVMKDLSDANVWEDCIDSYPPNTLNNPFKEKYSWLNDECLSFVRLDFSKMVF >OIV90856 pep chromosome:LupAngTanjil_v1.0:LG20:5175590:5179682:1 gene:TanjilG_15589 transcript:OIV90856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSTITCNFHSNYPPPISATHFSNSLSSFSYSLLSLQSSTTSSSFRISAKFDKFQGEPTQDPQLSSSLQVPLAPVEVEDDSCLPPDLEGAVRQSSQAAGLFVSSGGMRAVVELLIPQLQFLDDEGAQLELWELSKIFLDTLMEETQCQRVKAIFPDAGAAALLKYRWKDALFRFASLSDRKPVDSDDEIVIMVVPDYQMLEYVEKIASNLSNDPPRPLIMWNPRLISEDVGVGMNVRKLRRYFLSTFTNVYYMRPMPFGAIFRCYPGLWKVFSDDKDRPNRYLLAKELVSRPDTEDLEIIFGDEEQKSEQGQSLFEKAAGIFSSINRFMKAM >OIV90762 pep chromosome:LupAngTanjil_v1.0:LG20:6134062:6152993:-1 gene:TanjilG_15495 transcript:OIV90762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEWKKKSGQIPAFGNWDLANDMPITQYFDCPRQAGLLRYSNSSSAETETDLYALHFHKPKTVHNSNKHKNQGTRNRERRCPVGGNGMVKEKEDVNVIITRKQGKVNDVVAEQPRKAIRVSKKLQVHQNDTVTRSPPRKPVDEDLYKISPDLLRTTKRRCEFMVGALVITGTYTTSLDGYEEG >OIV90699 pep chromosome:LupAngTanjil_v1.0:LG20:6794011:6796450:-1 gene:TanjilG_15085 transcript:OIV90699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRLQFENSCEVGVFSKLTNAYCLVAIGGSENFYSTFEAELADVIPIVKTSIGGTRIIGRLCVGNKNGLLLPHTTTDQELQHLRNSLPDQVVVQRIDERLSALGNCIACNDHVALTHTDLDRETEEMIADVLGVEVFRQTIAGNILVGSYCAFSNRGGLVHPHTSIEDLDELSTLLQVPLVAGTVNRGSEVIAAGMTVNDWTAFCGSDTTATELSVIESVFKLREAQPSAIVDEMRKSLIDSYV >OIV91070 pep chromosome:LupAngTanjil_v1.0:LG20:4614060:4614530:1 gene:TanjilG_17030 transcript:OIV91070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRKKVKLVYISDDNARKATFKKRKKGIMKKVSELTVLCGIDACAIISNPCDSQFEVWPDPKGVKQIIKRYHKSSVIDETKNMNQESFVMQTISKAMIKLDKQRKENYEKEMSVAMFDYMESRKVPENMTITDLRNMDKLIQEYISEIRNKIDQIA >OIV90874 pep chromosome:LupAngTanjil_v1.0:LG20:5038311:5040243:-1 gene:TanjilG_15607 transcript:OIV90874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNECSLRHRARHRRGGGGARQEPEEAAMGIDLKAGGKSKKTKRTAPKSNDIYLKLLVKLYRFLVRRTGSKFNAVILKRLFMSKVNKPPLSLSRLIKYTKGKEGKIAVVVGTITDDIRTYEVPALKVTALRFTETARARIEKAGGECLTFDQLALRAPLGQNTVLLRGPKNAREAVKHFGPAPGVPHSHTKPYVRSKGRKFEKARGKRNSRGFRV >OIV91272 pep chromosome:LupAngTanjil_v1.0:LG20:1688692:1691579:1 gene:TanjilG_30494 transcript:OIV91272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSGLMNFLRACFQPSSEGDAHTGSEAGGRQDGLLWYKDLGQHFHGEFSMAVVQANNLLEDQSQVESGTLSSNEFGPYGTFVGVYDGHGGPETSRFINDHIFHHLERFTSEQQSMTVDVIHKAFEATEDGFMSLVGRQWPMKPQIAAVGSCCLVGVICNGTLYVANAGDSRAVLGRVVKATGEVLAMQLSTEHNASIESVRHELHSLHPDDSNIVVLKHNVWRVKGIIQVSRCIGDAYLKRAEFNRKPLYADFILDEPFKMPILSSEPSITVHQLQPHDRFIILASDGLWEHLSNQEAVDIVANNPHTGSARRLVKVALQEAAKKREMRYSDLQKIDRGVRRHFHDDITVIVVYLDSNLMSRASGVKFPSISVRGGDMHLPPNTLAPCTVPKEIGGT >OIV90668 pep chromosome:LupAngTanjil_v1.0:LG20:7662211:7665293:-1 gene:TanjilG_23781 transcript:OIV90668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTPSSKPNHTTQFKTPHSKHRLNFNGLKAQTPQPSQNPNSTPPEHPIEVIARIRDYPDKKDKPLSVLQINSTSSSIRVRADFGYRDFTLDGVSVSEEEELDSFYKKFVQSRIKGVKLGEKCTIMMYGPTGSGKSHTMFGCSKQAGIVYKSLKDILGDGDEEGEYLGLGMGTFVQVTVLEIYNEEIYDLLSTNGGGGGFGFGWPKGSASKVKLEVMGKKAKNATYISGNEAGKISKEIHKVEKRRIVKSTLCNERSSRSHCMVILDVPTVGGRLMLVDMAGSENIEQAGQTGFEAKMQTAKINQGNTALKRVVESIANGDSHVPFRDSKLTMLLQDSFEDDKSKILMILCASPDPKEIHKTMSTLEYGAKAKCIVRGPHTPVKDDESSSAVILGSRVAAMDEFIMKLQMEKKLREKERNEAHQKLLKKEEEIAALRAKVEITEGKGTAASEEEINLKVNERTRLLRQELEKKLEECQRMTNEFVELERRRMEEKILQQQEEVEILRKRLEEIELQLSRSREVCIEENESKDMEPSGFARRLMHIYKSVDDPGMVKSMDLDMDDQEPLGREVKIVGGVMCKSDYNVMQDFSFANQPDPNTLNGAHACAPSYGQRLCLSTVYEEEGEGEKDHEDKGEEDEEVEKEVIEEKRVLCTVDKISPKKEAYCFKQSSEDDKDSDSSRLLRIQNIFTLCGNQRELSQHIATPTPIKKRSDETSDFKFSPVKTCEKDSTTVFKVSNKENMEPHIAVGI >OIV90545 pep chromosome:LupAngTanjil_v1.0:LG20:9687619:9688698:-1 gene:TanjilG_18149 transcript:OIV90545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEGSDARKEKTMKRVVLMINCILLATGVTGGPLVIRLYFIHGGSRVWISSFLQAAGFPIILIPLFISYIIRRRSVVDSSKLKIITMKLPLFVASAILGILNGLDNYLYSYGVARLPVSTSALIVASQLAFTALFGFFLVKQKFTPYSVNAIVLLTLAAGILALNTKGDRPTSESSKTYMMGFVLTIVAAILYGFILPLVELVYKKAKQPITYSLVLEIQVVMCFFASLFCIVGMIINNDFKVITTEARHFGLGEATYYVVLVTSAMVWQINFLGAIGVIFCASSLLSGIVIALMVPVTEVLAVVFYKETFKAEKGVSVVLSIWGFVSYFYGEFKQAREFERNHTIANELNQKGSTLDL >OIV90801 pep chromosome:LupAngTanjil_v1.0:LG20:5772726:5775239:1 gene:TanjilG_15534 transcript:OIV90801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSISLPAKRKERSLSSLVVSAPKVSTQTGFTGKRTKTSTRKAAGLRGCSFILEESIKKEQIYGEDNKDSSMAEPSKKLKTSEDTDNSVDLTEGKADLWTPLNCLVEAANRTKSSRSISQGSPSKLENPTIPNVGVDLSENANKPELPASVHNELNFSKTKNKDNGHKTKFGDDKDASSLPSRPVKRKRLRPAGQKRVGASEMSASAQVALDASRGKYNRKNSPIWFSLVASEDQKGDVPLPQISACYLRIKDGTVPVSFIQKYLVKKLSLASEAEVEIMCRGQPVLPSLQLHNLVDLWFRTASTSKKIPASVGSSAKDFVMVLSYCVKPLPS >OIV91146 pep chromosome:LupAngTanjil_v1.0:LG20:2637680:2641897:-1 gene:TanjilG_30368 transcript:OIV91146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVGGGKVDEFEPHPMKEQLPGVDFCVSSSPPWPEAIMLGFQHYIVVLGTTVTISTILVSFMGGGNVEKAEMIQTLLFVSAINTLLQTLFGTRLPVVMGASYAFLIPATTIAFSSRMTIFLDPHQRFKQSVRAMQGALIVASFVQIIIGFFGFWRIFARYLSPLSVTPLVTLTGLGFFVIGFPKLAGCVEIGLPALLILVILSQYIPQSLKSRGFDRFALVVSIGIAWAFAEILTAAGAYNKRSQKTQLSCRTDRSGLISAAPWIRVPYPFQWGRPSFNAGDVFTVIAASIVAIIESTGAFIAASRFGSATPIPPSVLSRGVGWLGIGTLLDGFFGTVTGSTASVENAGLLGLTRVGSRKVIQIAAVFMFFFSILGKFGAVLASIPLPIFAAIYCVLFAYVASAGLGFLQFCNLNSFRTMFILGFSLFMAFSVPHYFNEYEYPWLPGHGPLHTHSTAFNNIVEVIFSSPATVAIIVAYFLDSTLSRGHSSTRRDSGRHWWEKFRNFNQDTRSDEFYSLPWNLNRFFPSH >OIV91248 pep chromosome:LupAngTanjil_v1.0:LG20:1851401:1851745:1 gene:TanjilG_30470 transcript:OIV91248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFEPHSVLVHGKRRLRRLFWRVRADIKRQMKARSTKNNFNYDPLSYSLNFDDGNCVILLNTNTSQHKGNNNLGSLEEMNRRTTQYACDEDQLECLVSFLLSILLDSLHFLML >OIV91115 pep chromosome:LupAngTanjil_v1.0:LG20:2879035:2880456:-1 gene:TanjilG_30337 transcript:OIV91115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEALSHVLLVSFPGQGHINPLLRLGKCLASRGLFVTFSTTEDTGKDMQAANNITQKSVTPVGDGFLKFEFFEDGLAPDDPIKTNLNDHLKHLEVVGKKFVSEMIKKHAEANKPISCIINNPFFPWVSDVAAEYGIPSALLWVQSCAVFTAYYHHFHKLVPFPSSSEPFIDVQLPSIVLKYNEIPDFLHPSSPFPFLGTVILEQFKNLSKPFCILVDSFDELEKDDINYLSKYFLIRSVGPLFKNPKAPLTNNIRGDFFKRDDCMEWLNSKPPSSVVYISFGSVAYLPQKQVDEIAFGLVNSKVSFLWVLKPPPKEWNVQPHVLPDGFLEETSDKGKVVQWSPQEQVLAHPSVTCFITHCGWNSSMETLTSGVPVLTFPAWGDQVTNAKYLVDVFGVGIRLGYGQAENKFVTRDEVKKCLLEATVGSKAEELKKNALRWKKAAEAAVAIGGSSDRNLDALVEDIQKRGNVII >OIV90882 pep chromosome:LupAngTanjil_v1.0:LG20:4982681:4983007:-1 gene:TanjilG_15615 transcript:OIV90882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQLLTMVKSHLMSLPQNIDLGHDTLYDTARSSMHTILAACGTDRNKSEVCNVPLPPLCQHFNGWWGNESD >OIV91372 pep chromosome:LupAngTanjil_v1.0:LG20:898205:904043:1 gene:TanjilG_01990 transcript:OIV91372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLSSKPFLTGFASSLNSDFPSSFSLHLSLHHRLPNIRLQIRAAGSSYGSHFRVTTYGESHGGGVGCVIDGCPPRIPLSETDMQLDLDRRRPGQSRITTPRKETDTCKIFSGVSEGLTTGTPIHVFVPNTDQRGNDYSEMSIAYRPSHADATYDMKYGVRSVQGGGRSSARETIGRVASGAVAKKILKNFSGTEILAYVSQVHNIVLPEDLVDNDALTLDQIESNIVRCPDPEYAEKMISAIDAVRVKGDSVGGVVTCIVRNCPRGLGSPVFDKLEAELAKACMSLPATKGFQFGSGFAGTFLTGSEHNDEFYIDEHGKVRTRTNRSGGIQGGISNGEIINMRIAFKPTSTISRKQFTVTREKEETELIARGRHDPCVVPRAVPMVESMVALVLVDQLMAQFAQCNLFPVNTDLQESLLPRLEPKEVLF >OIV90886 pep chromosome:LupAngTanjil_v1.0:LG20:4950264:4950659:-1 gene:TanjilG_15619 transcript:OIV90886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEVRRQRGNNPQLFYGVNNSSIRNAVNERSISDNKSWVGKSINRTSPSYNYEPPRRIEQCDGNGRYMERVRNNNNTDNDKSSTPNTSWWNDSDTKRKRRVAKYKVYSSESKLKCSLKKGFRSFKNMVYNL >OIV90265 pep chromosome:LupAngTanjil_v1.0:LG20:18446949:18450325:1 gene:TanjilG_11993 transcript:OIV90265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRLKNYYMHLRHHHHHHHNSERKWVYPLAIGSMLSIFLLFLATLTSPAGSPFYRSVTTTYSVFVESKLHPLPISTLPPPPRIAYLISGSVGDGNATKRTVLSLYHPQNYYILHLDLEASPQERLDLRDFVRGSELFQRFRNVKVISKANLVTYRGPTMVANTLHAAAILLRECGDWDWFINLSASDYPLVTQDDLLHMFSYLPRDLNFIDHTSDIGWKDHQRARPIIVDPGLYMSKKQDVFWITQRRSRPTAFKLFTGSAWMVLSRSFIDYTIWGWDNLPRTVLMYYSNFISSPEGYFHTVICNAQEFRNTTVNSDLHFISWDNPPKQHPHYLTVDDMQRMVDSNAPFARKFHREDPVLDRIDAELLSRGPGMAVPGGWCIGSRENGTDPCSEIGDTAVLRPGPGSKRLEILISSLLSNESFRPRQCI >OIV90173 pep chromosome:LupAngTanjil_v1.0:LG20:20232411:20242595:1 gene:TanjilG_01627 transcript:OIV90173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAILIDCVQKNLRHFMHSNAIFISHRLCAHFPSETNLQLLAGCYLQCNQAYAAYHILKGTQTAQSRYLFALSCFQMDLLSEAEAALCPSNEPNAEVPNGSAGHYLLGLIYRYTDRRKSAIHHFKEALSMDPLMWAAYEELCLLGAAVEATVVFGEAATLCLQKQYLNSATSPSLHSSVEDCRMAAARHTVSEDASPRQLRQMQGLKDNARNHHGTSIFGGMAAEPINSGPSNISFYNTPSPKATQLSSVAPPPLCRNMLPNGPNPNTLSSDSSPKSTLNSTIQAPRRKFVGEGKLRKISGRLFSDSGPRRSSRLSSEASINANANATVLSGNGTNNISKHLGGSKLSPIKFRSMTIRKGQSWANENIDEGIHNEAQNDSRLNITSTSSCSSHAIEVKSCEQEAAIFPVGGLVMSSSKVISGASEILSLLRVLGEGYRLSCLYRCQDALDNYLKLPHAHYNTGWVLCQVGKAYFESVDYVEADRAFSLARQITPYSLEGMDIYSTVLYHLKEDMKLSYLAQELISTDRLAPQSWCAMGNCYSLQKDHETALKNFQRAVQLNPRFAYAHTLCGHEYVALEDFENGIKCYQSALKVDERHYNAWYGLGMIYLRQEKFDFSEYQFRKAFQINPQSSVIMSYFGTALHAVKRSHEAMVIMDKAILADQKNPLPMYQKANILMCLEKFDEALEVLEELKECSPSESSVYALMGNIYKRRNMHDRAMLHYGIALDLKPSATDVATIKAAIEKLHVPDEIEDNL >OIV91393 pep chromosome:LupAngTanjil_v1.0:LG20:727388:732348:1 gene:TanjilG_02011 transcript:OIV91393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRFGVRASGTHDHPNASSDHLAVGIRGAALPHKQPRLRRSARSDRVPQLSLSTFILFLFFVLLLTFLAFFYISRQEVSNNGEDDDDVKSDSDFLTNVPRIDRKKALEFGHASGGHGRDSRYWDKDDRRRDGNYDEDMKTRDTDEDIPVKMNHEVRSSQDDSHISLKRKGDGLYNEAGRHELKRYEAEYEASLNNVEHSTKDDGKMSREADLRKKNAANNIDDDYDDLFDFHDVQTNHSDDSRSMREKPNSNVGRLESEVQDSFDVGDDIGSEDAEWSSFLKTSNDGITKSRHESNSRSNRKSHPETKNKPRRRKFSGSCEMKLLNSTSQLVEPLESRKFARFNLQYTDIQEKPLGEEQRVPRFAGHQSLEDREKSFFARDQKINCGFVKGPERFPTTGFDLSEDDESYISRCHIAVISCIFGNWDRLRTPATKTITHFSRKNVCFVMFTDEVTVQTLIAEGHEPDRMGFIGFWRLVVVKNLPYDDMRRVGKIPKLLSHRLFPFARYSIWLDSKLRLQLDPLLILEYFLWRKGYEFAISNHYNRHCVWEEVARNKKLNKYNHTVIDQQFAFYKADGLKRFNASDPNKLLSSNVPEGSFIIRAHTPMSNLFSCLWFNEVDRFTPRDQLSFAYTYQKLRRMNPDKPFHLHMFKDCERRKMAKLFRHRVDEKRNTRQKATE >OIV90963 pep chromosome:LupAngTanjil_v1.0:LG20:3693894:3695911:-1 gene:TanjilG_16923 transcript:OIV90963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQSKRGSWKECDFSVGKWVLDQSYPLYDSNCPYLSTAVACQKNGRPDSEYEKWKWKPHACSIPRFDALRFLGKMRRKRILLVGDSIMRNQWESLVCLVQGVIPTDRKTVTYNGPLMAFHAMDFETSIEFFWAPLLVELKKGPENKRVLYLDLIEENARYWKGADILVFDSAHWWTHSGDTSSWDYYMVGNSIIRNMNPMVAYQKGLSTWARWVDLNLDPQRTRVIFRSMSPRHNRQNGWKCYNQKLPIQFFSHIHVPEPLVVLQGVLKRMRFPVYLQDITTMTAFRRDGHPSVYKRALSVEERQNQKPGTGLSSDCSHWCLPGVPDIWNEMLNALL >OIV90687 pep chromosome:LupAngTanjil_v1.0:LG20:6606201:6609215:-1 gene:TanjilG_15073 transcript:OIV90687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNAPSSALKMEHEKIERDEDLEDQVAEEGGEFKGHKWREEITVRGLFVSMMIGTMYSIIVMKLNLTTGMVPNLNVSAALLAFVFIRSWTKVLQKAGFITKPFTRQENTIIQTCAVACYSIAVGGGFASYLLGLNRKTYELSGVGTEGNSSSAVKEPAFGWMIGFLFVVCFVGLFVLIPLRKIMIVDLKLTYPSGMATAVLINGFHTQGDKMAKKQVLGFMKYFSISFLWGFFKWFFSGIENCGFEQFPTFGLQAWKQTFYFDFSMTFVGAGMICSHLVNLSLLLGAVLSFGVMYPLIDKLKGHWFPENLEEMNMKGLYGYKVFLSIALILGDGLYNFIKILVATVDSILDRMKNSKSKNHKNAVASDQHRNPARDKQTELFLRENIPMWIGIVGYLVFTVISIIVIPLMFPQLKWYYIVVAYIFAPSLAFCNAYGSGLTDMNMAYNYGKVALFVLAAINGKENGLVAGLVGCGLVKSVVSVSCILMQDFKTAHYTCTSPRAMFVSQVIGTAMGCVTAPLSFFLYYKAFDVGNPHGEFKAPYALIYRNMGVIGVEGFSALPDHCLQLCYGFFAFAVGANMVRDLSPKKIGKWMPLPMVMAVPFLVGGYFAIDMCVGSFIVFAWHKLSKKAEFMVPAAASGLICGEGMWTLPAAILALAKVHPPICMKFVPS >OIV90777 pep chromosome:LupAngTanjil_v1.0:LG20:6013815:6016945:1 gene:TanjilG_15510 transcript:OIV90777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPSSSTSQSHFTYSNNASSSYFPVPFHLQQHSTTHYTAPYIPPPVQIPVPPVIGPVPPVPVAAVYSVPQYPAHHLFERDAQIITPEALESVKAAIASSEVENKADAKKKAIPRKAGGQTWEDPVLAEWPEDDYRLFCGDLGNEVNDDVLSKAFSRFPSFNMARVVRDKRTGKTKGYGFVSFASPSDLAAALKEVNGKYVGNRPIKLRKSKWKERTDLEALEKQKNQNLKKSKLPRKGVLHK >OIV90635 pep chromosome:LupAngTanjil_v1.0:LG20:8275763:8278349:-1 gene:TanjilG_01716 transcript:OIV90635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKQLRKRNGIQLKISPVKSQPLDLDDLLVAPNRSMMGKKNVIVRSIVEVPKCYVPNVPSPQVNVTEDFLDCSLSSESSETEKDSNNDEVRLHIRAGPNLRPRAIISSPDNDILIGHKNKTRDGRLSATTNGAALQNRRVHLKVKSYEVTDTPPDTRKSKEPDSNGKIDPIRKKNVHKVSIKSEKVPKSWKF >OIV90128 pep chromosome:LupAngTanjil_v1.0:LG20:20707108:20710603:-1 gene:TanjilG_01582 transcript:OIV90128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSVAVKLYSVFFKFLFKQRLQNRIQSPIQLPDPFGVTSRPDESVANSNPSFSEGVATKDIHIDPFTSLSIRIFLPDSALTLPEPDSKPDSKPNPSLVKVVASETASSFRRNSYGPSIAAESRDEDEASRRNSVGEGLGSYKGYSPGLDNRRKKLPVMLQFHGGGWVSGSNDSVANDFFCRRIAKLCDVIVVAVGYRLAPENRYPAAFEDGMKVLSWLAKQANLAECSKSMGVKKLDSHNRHIVDSFGASMVEPWLAAHGNLSRCVLLGVSCGANIADYVARKAVEAGKHLDPVKVVAQVLMYPFFVGSVPTRSEIKLANSYFYDKAMCMLAWKLFLPEEEFNLDHPAANPLVPGRGPPLKLMPPTMTIVAEHDWMRDRAIAYSEELRKVNVDAPVLEYKDAVHEFATLDILLKTPQAQVCAEDVAIWVKKYISLRGHEFSY >OIV91331 pep chromosome:LupAngTanjil_v1.0:LG20:1257108:1259276:-1 gene:TanjilG_01949 transcript:OIV91331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLVKQEILKKRQSLAEDTGGKRVFKRSEIQQKQIQKLREQEKRELEAKSQRHLNSSNSAAATSVTTSSSTTNSKNATAIASVPLTNDQNIDNLVLPKQEVIRRLRFLKQPITLFGEDDDARLDRLKYVLKAGTFEVDSDMTEGQTNDFLRDIDELRKRQKSGMMSERKRKKTDDGGGEDGDGGGGGGGEEDMSGDGGSSGVDADKDLKRMKANFEELCGEDRILVFFKKLLNEWKQELDDMGESEKRTAKGKSMVATFKQCARYLTPLFKFCRKKILPDDILRALLVVVECCMKRDYLAAMDQYIKMAIGNAPWPIGVTMVGIHERSAREKIYTNSVAHIMNDETTRKYLQSVKRLMTFCQRRYPTLPSKAVEFNSLANGSDLQSLLAEEGNSQGTQERLRIMPVPRDS >OIV90574 pep chromosome:LupAngTanjil_v1.0:LG20:9209714:9212159:-1 gene:TanjilG_19210 transcript:OIV90574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLMESPPHGVHEKPQVIDYYVKTLQKLLGSVIDAQMCIYDASWETHFGFCCDIDEDLSSQLASLPGVLLVRPDSDFNSLKKNYSLSNVQAAFPSILQTETNLLFPAGSSKHWLVRMGKPGVGVVTKAQIVDHYAQILTKVMGNEMDAQMCIYHVSWKSNFGFCCELDEDCAQALAGVPGVLSVQPDNNFESETKDYGGSNLKNSLVKSDEGNQAAPLKTKKLFVTGLSFYTSEKTLRAAFEGFGELVHVKVIMDKISKRSKGYAFVEYTTEEAASAALKEMNGKIINGWMIVVDVAKTNPPSNRVRAKPSI >OIV90471 pep chromosome:LupAngTanjil_v1.0:LG20:11282360:11284143:1 gene:TanjilG_18655 transcript:OIV90471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTFCFESAENKQVIVAATKEDHIWSSKKMEGDKGLNFNTVEWLRGRLLAERKASRVAKEEEESMGNKLVELEKLLRLEIKLRDKAERRLKFLRKKLESLNTPTMSEQSDSTEKCANSSGSSSISSISKYEEANEEKHHIKNVALPENAVHNHNVSEDCDSHITDNSSCNSYPGYSFPKIMRENHNQSSKDLNNDESRHSSSTSKSSMTEDEEDHGKSMASVPVNVTITS >OIV90347 pep chromosome:LupAngTanjil_v1.0:LG20:16311958:16312140:-1 gene:TanjilG_21789 transcript:OIV90347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRMVVALKSLIQDSRTRGGDDTHGGGAFMAPTVGYCLDVVYQREAASSVAPVARKVNSG >OIV90268 pep chromosome:LupAngTanjil_v1.0:LG20:17990855:17991591:1 gene:TanjilG_08305 transcript:OIV90268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKIKIGVCVMEKKVKCGSEVFSAPMGQIFDRLQAFGEFEVIHFGDKVILEEPVERYS >OIV90682 pep chromosome:LupAngTanjil_v1.0:LG20:7966709:7968767:1 gene:TanjilG_23795 transcript:OIV90682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIEDTEICIIKEPDCVVVYSDGVSHDSGHETGTDHHNITESYEHINETTEHHSSGESTKEYEVKECTIEVSVKISDASNIKSSEKLTSDFEGVLNEKSSKPHKTNSNHKPRDTVKHGSAAGNLHSRSTGGVQKKATIPQPFSLATEKRATIVTRPAFEEDNKGNSERKSLTKKNVLTPNLLKQNQLKPPLVSRKPLQPDNKKHLDEDDSCSVASIITVNSMRSSKSRATVASAPVFRSTERAEKRREFYTKLEEKHQAMEAEKTQTEARTKEEKEEAIKQLRRSLMFKASPMPSFYYEGPPPKVELKKLPPTRAKSPKLGRRKSNSGAVNSSEGEKVKGDVARRKHRTQLNDKIASTNSNNYDKSNVNDDSGIYELRNKTKHIDEINVTEATGQADLEISIQSSFQ >OIV90375 pep chromosome:LupAngTanjil_v1.0:LG20:15367641:15368135:-1 gene:TanjilG_10570 transcript:OIV90375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGHFENLPGKGKPLKLDTNPHADPAEDTLYRILSKNGCAPEWIELNKEIRSTISQWRASLKKAWADKCRGDHSKWVESSEDLKLQLKEINNKVFRYNLIVPFGRQMCGLKWEKELGYVEEEEE >OIV90253 pep chromosome:LupAngTanjil_v1.0:LG20:18728381:18734955:1 gene:TanjilG_11981 transcript:OIV90253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNALAFDPSETRRGDGRLHYRYKRHNIRAVGKSVGASNEDKDDMLKGTIEKSKKVLALQRNLLQQIAERKKLVSSINSDSIPELEGGSISYEHGEKSLSNDSNPRKAAIGRGNAVENHQSGGIALSNDVHYSGDGILDAPSVGINQVFDEGEEEDDKFIPAERTASRIYFNEQLKNRRYETIKPVALPNYLSYSKKTSTPKVENQEGVSHSSAKVVTNEANKVESVYEKTPPLAGADVMNVILVAAECAPWSKTGGLGDVAGSLPKALARRGHRVMVVAPRYGTYAEPQDAGIRKRYKVDGQDMEVMYFQTYIDGVDFVFIDSPIFRHLEQNIYGGNRMDILKRMVLFCKAAVEVPWNVPCGGVCYGDGNLVFIANDWHTALLPVYLKAYYHEHGLMKYTRSVLVIHNIAHQGRGSVDDFKYLDLPEHYKDIFKLYDPVGGDHLNIFAAGIKTADRIVTVSHGYAWELKTSEGGWGLHGIINDNDWKLRGIVNGVDNKEWNPRFDVHLTSDGYTKYSLETLQSGKRQCKAALQKELGLPVRDNVPVIAFIGRLDQQKGVDLIAEAIPWMMGQDVQLIMLGSGRRDLEQMLRQFENQHHDKVRGWVGFSVKMAHRITAGSDILLMPSRFEPCGLNQLYAMNYGTVPVVHAVGGLRDTVQPFDPFNESGLGWTFDSAEASKLMHALGNCLWTYRDYKSSWEGLQRRGMMQDLSWDNAAQQYEEVLVAAKYQW >OIV91247 pep chromosome:LupAngTanjil_v1.0:LG20:1857747:1861549:1 gene:TanjilG_30469 transcript:OIV91247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPSKTLRKVQVVSPVPADIDIANSVEPIHISEIANDLNLTPNHYDLYGKYKAKVLLSVLDELKESKDGYYVVVGGITPTPLGEGKSTTTVGLCQALGAYLDKKVVTCVRQPSQGPTFGIKGGAAGGGYSQVIPMDEFNLHLTGDIHAITAANNLLAAAIDTRMFHESTQSDKALFNRLCPPNKEGKRRFSDVMFSRLNKLGISKTNPDDLTPEEVNKFARLDIDQNAITWRRVMDINDRFLRKITVGQGPDEKGMVRETAFDISVASEIMAVLALTTSLADMRERLGKMVIGNSKSGDPVTADDLGVGGALTVLMKDAINPTLMQTLEGTPVLVHAGPFANIAPGNSSIVADRIALKLVGPGGYVVTEAGFGADIGTEKFMNIKCRYSGLKPQCAIIVATIRALKMHGGGPAVAAGKPLDHAYLTENVALVEAGCENLARHIANTKAYGVNVLVAINKFSTDTEAELNAVRNASLAAGAYDAVISDHHAHGGRGAVDLGIAVQKACENVAQPLKFLYPLEAGIKEKIEAIAKSYGASGVEYSEQAEKQIEMYTKQGFSGLPICMAKTQYSFSDNAAVKGAPSGFILPIRDVRASIGAGFIYPLVGTMSTMPGLPTRPCFYDIDLDTTTGEVIGLS >OIV91132 pep chromosome:LupAngTanjil_v1.0:LG20:2751330:2752232:1 gene:TanjilG_30354 transcript:OIV91132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIGVTCNMEPSTRINKSWVPAVKHVVWLAPWRHGSLPVRDRKFNTGRQNATGPLGKPQNLASEELISKRLEQQSAMELNSLGSRPPVSVEDGEEVEQMAGSPSIQSRSPVTAPLGISMNFGSCRKLLSNVSLCNKYYEETCHSRGHLPDSRSLRSCLEQKLEKEGLTVSVDCVNVLNNALDSYLKRLIESYLNLSGSRNEELIQPHGRLRTGSNRLCPGRYMHTTTQSAGTSLLDFRVAMQLNPQVLGPDWPIQFEKICMRSSEE >OIV91060 pep chromosome:LupAngTanjil_v1.0:LG20:4523682:4525400:-1 gene:TanjilG_17020 transcript:OIV91060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRHVKALAALKETYRRFFKGGGWNDFEGHYSLSQKLISSPLTMASYVDGFGTNNGPYTLMNEVLVSAGLR >OIV90440 pep chromosome:LupAngTanjil_v1.0:LG20:12312575:12322939:-1 gene:TanjilG_01918 transcript:OIV90440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGFNMQPYGIQSMLKEGHKHLSGLDEAVLKNIDACKQLSTITRTSLGPNGMNKMVINHLDKLFVTNDAGTIVNELEVQHPAAKILVLAGKAQQEEIGDGANLTISFAGELLQGAEELIRMGLHPSEIISGYSKAINKAVEILDELVENGSENMDVRDKEQVISRMKAAVASKQFGLEDTISSLVADACIQVCPKNPANFNVDNVRVAKLLGGGLHNSTVVRGLVLKGDASGTIKRMEKAKVAVFVGGVDTSATETKGTVLIHSAEQLENYSKTEEAKVEELIKAVADSGAKVIVSGAAVGEMALHFCERYKLMVLKISSKFELRRFCRTTGAVAMLKLSQPNPDDLGYVDSVSVEEIGGARVTIVKNEEGGNSVSTVVLRGSTDSILDDLERAVDDGVNTYKAMCKDSRIVPGAAATEIELAKRVKEFSFKETGLDQYAIAKFAESFELVPRTLAENAGLNAMEIISSLYAEHASGNTKVGIHLDEGVCKDVSTISVWDLHVTKSFALKYAADAACTVLRVDQIIMSKPAGGPRRQQPAGAMDED >OIV90517 pep chromosome:LupAngTanjil_v1.0:LG20:10444790:10446655:-1 gene:TanjilG_32394 transcript:OIV90517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAMIDSHDDECIDENGRDNNLVGMNMHVVFNDIEKNVNDEEKPFDDEREKPFQENKDSCYDQEDLDHLETYGEGNNPNPIENKLKIKKSLLEFRCKVEDSILGNYLLGERHENLSQGEIGLAREKLRDITLWGVPLLPSKAHEGTDIILMKFLKTKDYKVNDAFDMLQKTMIWRIENNIDGIMDEEFDFENAGFLKGKDREGRPVCYHVRGFFRDKLVYKVSFGTQEKRDMFFRWGIQLMEKAIKKLSFREGGVDSILQIYDLRNTPAQGMKELHSISKKTIILFQNYYPEIIHKSIVVYSPFWFFTSQILFSKLMSQRNKKRFILARPQNTTETLLKVIAPEHLPVEYGGLRRENDQEFSPDEKVGELKIKANTVSRVEFPVKEPGVTITWDVTVVGWEVSYKEEFIPDDEGSYIVLLQNQNRVGDSTRNSFYINEPGKIVITVANATFNKKKMFYRFKSRTTIPMFLLLS >OIV90074 pep chromosome:LupAngTanjil_v1.0:LG20:21335417:21338422:1 gene:TanjilG_01528 transcript:OIV90074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPIRKLIVEIQDARNLAPRDGHGTSSPYVVVDFYGQRRKTRTVPRQLNPLWSETLSFNVGTASDVFGDTLELDVLHDKSFGSTRRNNSLGRLVLSSTQFVRKGEEALIYYKLQRKYMLRVVQGEIGLKIYYVDEESPPPEPPLNVTSPPPPKTEEAKVDESVTNPPPPPPPAEPENITEQPPAEPVTEGDVAVEKEKDEKPKPEAPNEGSSDQVHAIPELPPVQPDVNFDVMAASVLRSNSEIRYSGINGPQPIRRVGSTASFTSEASSIERTSFDLVEKMHYLFVHVAKARYLPTNMNPIVKITVSNHHVTSRPARKNINTTSLFEWNQSFAFSREAPDSSSILEVSVWDPQSVNQSLLGGVCFDVNEIPVRDPPDSPLAPQWYRLEDGGAQYGDLMLATWVGTQADESFADAWKSDTFGNVNSKAKVYQSPKLWYLRATLLEAQDLNLNLLEAPFQVKAQLGFQVLKSKVAVTRNDIVSWNEDLIFVAAEPISENLVITLENRQPKASVTIGVLRIPLTAIERRVDDRNVSSRWFTFDDPREERSSYKGRVHLRLCFDGGYHVMDEAAHVTSDYRPTARQLWKQPVGTVELGIIGCKNLIPMKTVNGKSSTDPYCVAKYGSKWVRTRTVSDNLEPKWNEQYTWKVFDPSTVLTIGVFDSWGVFEEDCTKPDFRIGKVRIRISTLNTGRVYRNTYPLLVLTHAGLKKMGEIEIAIRFVRTAQRLDFIHVYSQPMLPLMHHIKPLGIVHQELLRNTAVKMVAGHLSRSEPPLRKEVVYYMLDADSQNFSMRKVRANWYRIINVVAGVIDIVRWIDDTRGWKNPTATILVHALLVMLVWFPDLIIPTLSFYVFAIGAWNYRFRLRDPLPHFDPKLSLAELVENEELDEEFDTLPSTRSPDLVRLRYDKLRTLGARVQTVLGDFATQGERMQALVTWRDPRATGIFVLFCLFVAFILYLVPSKMMAMASGFYYLRHPIFRDRMPSPGLNFFRRLPSLSDRIM >OIV90931 pep chromosome:LupAngTanjil_v1.0:LG20:3374860:3375883:1 gene:TanjilG_16891 transcript:OIV90931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVSCNGCRTLRKGCSDNCVLRPSLEWINSPDCQSNATMFLAKFYGRTAFINLISAAPESLRTDVFKSLLHEACGRLVNPTYGSMGLLWSGEWARCEAAVEAVLNGSRIHGVAAFDWLATGAHVGRVENGIQAYDIRHVPRVRDIDEVGDRRRAKRPRKTMKPTPQMGLVELHENESMETVEQPLNRVAAETELNLELTLAFSS >OIV90616 pep chromosome:LupAngTanjil_v1.0:LG20:8530368:8531504:1 gene:TanjilG_01697 transcript:OIV90616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGVKGKLLKKLKSIKPIGSLNQDQILQVKAAYGYVNFLPKIPTLNIQNPFVSRENEPKEVVQTSLKEPGSEVMDVSVLMKDLTEDEEKDDKENIEPYSLKSNSYNQKGILSDNRNREETESKQSDVLKESKKSSESRGSSDRKRKINLSEIDICSFRRPDLNSSTLFDPNLLAAFEQAMKEHVLIIEEQRRARVEADALQKDCSIEKAFVNDDDNVDDPLVLFEEKCPPGGDGSVIFYTTTLRGIRKTFEDCNKIRFLLQSFKVVYFERDISMHKEFKDELWSTLDGKIVPPRLFIKGRHIGGVEEVLTLHEQGKLKKLFEGIPIDRSNGPCDACIGIRFVVCFNCNGSHKVLAESGETNQCIQCNENGLIICPYCC >OIV90480 pep chromosome:LupAngTanjil_v1.0:LG20:11463574:11472663:-1 gene:TanjilG_18664 transcript:OIV90480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRGALLSVDGDDKDMKEVTQCLQDEKTFNDGVDGEGNSLLGDDGVKCNGVAEEVKVVGQGGTLESSSVQQHIPQPQPQGAIVCWERFLHIRSLKVLLVEYDDSTRHVVTALLRNCSYEVIEAENGLQAWKMLEDLTNHVDLILTEVAMPGLSGIGLLYKIMSHKTRKNVPVIMMSSHDSMGLVFKCLSKGAVDFLVKPIRKNELKNLWQHVWRRCHSSSGSGSESGTQTQKSVKSKSLEKSDNNSGSNDKDDDGSEGLNNGDGSDNGSGTQSSWTKQAVEVDSPEPTFQWDQIAECPDSTCAQVVHSNAEICGNKVVPLAIKESTEQKEQLAHTHRLSSKHSQAQDVGPSKFNEKISRGLDLNCENQSSEPRCKGISVSDAIPRTFDSHMHSGEFESLDKRLKYSDVENKGTNNDEELPSLELSLKRLRGVKHAGITVQDERNVLRRSDLSAFSRYNAASNTKKSPTGYVGSNSPHDNSLEVTKKDLSHDIQSHSSGNPPNQNSNGASNNIDMGSTTDNNAFTKSAVIRGPAVASTTKCLYQSSAFQPLNNNLICASKQVVLHDTEDKITSNLAPPKVDIHKDSASKDFYHHYESHNCLANNMQHQVPLDHRAELFKKMAAAAPHFGSSNVVEVVVEGNVGNYSVNRSASGSNNGSNGQNGCSTAFNVGGTNIENNNGPAGNSGSGDGSANRVDQNKISQREAALTKFREKRKERCFHKKVRYQSRKKLAEQRPRFRGQFVRQSSNGSASEATDS >OIV91133 pep chromosome:LupAngTanjil_v1.0:LG20:2747267:2748298:1 gene:TanjilG_30355 transcript:OIV91133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMSKRNFARIDLAELKALIVRKVGHQKAEKYFDQLVKLFSSKINKTEFDNICIMTIGKENLQLHNQLIRGILMNTCLAKTPPQRGSAKTGSVLSEKVSNGDVLPPSPWRHGSLPVRDRKFNTGRQNATGPLGKPQNLASEELISKRLEQQSAMELNSLGSRPPVSVEDGEEVEQMAGSPSIQSRSPVTAPLGISMNFGSCRKLLSNVSLCNKYYEETCHSRGHLPDSRSLRSCLEQKLEKEGLTVSVDCVNVLNNALDSYLKRLIESYLNLSGSRNEELIQPHGRLRTGSNRLCPGRYMHTTTQSAGTSLLDFRVAMQLNPQVLGPDWPIQFEKICMRSSEE >OIV90089 pep chromosome:LupAngTanjil_v1.0:LG20:21137329:21137907:-1 gene:TanjilG_01543 transcript:OIV90089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDQGQGPNSPSQGSEKSEPVRSRWTPKPEQILILESIFNSGMVNPPKDETVRIRKLLEKFGAVGDANVFYWFQNRRSRSRRRQRQMQANLEQRNNQLQAQVGGGANPYHHHHHHQVSSAMDFGGSSSSFIGSSPSYISGSSSSCGGQGGFGGFFSASSQMGFSEIDHSSAAPSVLFPSCDAANLNYQSGKN >OIV90332 pep chromosome:LupAngTanjil_v1.0:LG20:16775750:16778999:1 gene:TanjilG_14730 transcript:OIV90332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGTWYLLNIYFNIYNKQVLKVYPFPATVTAFQFGFATLMINLIWTLNLHPRPKITGSKLASILPLAVAHTMGNLLTNISLGKVAISFTHTIKAMEPLFTVVLSSLLLGERPTFWVVSSLIPIVGGVVLASMTEVSFNWIGFSTAMASNLTNQSRNVLSKKLMTNEEETLDNINLYSVITIISFILLVPFAIFLEGVKFTPSYLQSAASQGLNVRELCMRSILAGFCFHAYQQVSYGILELVSPVSHSVGNCVKRVVVIASSVIFFQTPLSLINTLGTAIALVGVFLYSRAKRIKPILPKTKTA >OIV90285 pep chromosome:LupAngTanjil_v1.0:LG20:18410999:18415385:1 gene:TanjilG_08322 transcript:OIV90285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAPKKRPFQIEAFKHRAVVDPKYAEKTWKVLEHAIHEIYNHNASGLSFEELYRNAYNMVLHKFGDKLYSGLVMTMTSHLREISQSIESAQGEIFLEELNRKWADHNKALQMIRDILMYMDRTFVPSNNRTPVHELGLNLWRDVVIHSSKTQARLQDTLLELVFRERNGEVINRGLMRNVVKMLMDLGLSVYQEDFEKRFLFVSANFYCLESQKFIESCDCGDYLMKAEKRLNEEMERVSHYLDPRSESKITHVVEKEMIESHMHTLVHMEISGLVIMLQNDKYEDLGRMYNLFRRVPEGLTIVKEVMTSFIRETGKQLIMDPERLKDPVDFVQRLLNLKDKYDKVITLAFSNDKTFQNALNSSFEYFINLNARSPEFISLFVDDKLRRGLKGVGEEDVEVVLDKVMMLFRYLQEKDMFEKYYKQHLAKRLLSGKTISDDAERSLIVKLKTECGYQFTSKLEGMFTDMKTSHDTMQGFYAFLGAEFGDNPTLVVQVLTTGSWPTQSSLQCNLPSDIIGVCDRFKSYYLGTHNGRRLSWQTSMGTADLKATFGNGQKHELNVSTYQMCVLMLFNNADRLTCKEIEQATSIPISELKRCLQSLACVKGKNVLRKEPMSKDISDDDTFFFNDKFTSKFFKVKIGTVVAQRESEPENIETRQRVEEDRKPQIEAAIVRIMKSRKTLEHNNVIAEVTKQLQPRFLANPVVIKKRIESLIEREFLERDKVDRKLYRYLA >OIV90082 pep chromosome:LupAngTanjil_v1.0:LG20:21275531:21278510:1 gene:TanjilG_01536 transcript:OIV90082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVERKECNFNEPRLLQCADLILPYLTPPELANISITCKSLFEIATSITLLRISDASRTFENLPIPFLNTTLDNHPYSYFLYTPSLTLASNLQRQVWGGTSVISRPSRAVLESVSVVDELGRKVSGCECEDCGGLGSGLGSECGCLGLDGLGELGSECGPGCLCGPECVNRFTQNGVAVRVKIVRDRRKGWCLYADQFIRKGQFLFEYAGELLTTKEAQRRHQYYDELRLHGCFSSALLVVREHLPSGKACLRLNIDATRIGNVARFVNHSCDGGNLSTKLVRSSGLLFPRLCFYALKDIQEDEELTFSYGEIRKKADGLPCFCNSSSCFGILPSEDT >OIV90969 pep chromosome:LupAngTanjil_v1.0:LG20:3727329:3729397:1 gene:TanjilG_16929 transcript:OIV90969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHNLHPYCLATKFIDDKLLGAASHIDGVKQVVLFTDGMDTRPYRLRWPTSTIIFDISPERIFETAAENLKGVGAKIPKSCLLYHIPLESSDIKHGLQFRGYNGSRPSVWALQGFPMMTLSIFEDVLSMISSLAMKGSFFVGELPVWLSEAEIKNKSNTRQWMEKLFMSNGFRVEMIDFEGVAKCSKDEFAPGHYNNILFVAEQLRFSDDQMETWRREFERVEAEGDEEGFEEL >OIV90631 pep chromosome:LupAngTanjil_v1.0:LG20:8334228:8337731:1 gene:TanjilG_01712 transcript:OIV90631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQEEHEVYGADIPDEVDMDEQEEQQQQQQQQQQHNPATNPNKELGDMKKRLKEIEDEAAALRELQAKVEKEMGAVQEDPAGSSATLAEKEEVDARSIYVGNVDYACTPEEVQQHFQSCGTVNRVTILTDKFGQPKGFAYVEFVEVDAVQNAVMLNESELHGRQLKVSAKRTNVPGMKQYYGRRPMGFRGRRPFMPSPFYPPAGFG >OIV90390 pep chromosome:LupAngTanjil_v1.0:LG20:14404903:14410155:-1 gene:TanjilG_10690 transcript:OIV90390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDPTTRPVGGTEFSWCKAVPGGTGVTVLGLLFSKSPPIQIIQNALHNLQNSNPILRSKIHHDSSTNTFHFLTPPNPTIQIETFDFQSTAQIIQTESNGHNQNQTGPFQILHEHEMNRNTWRDLGDVDGDVIYASAYEISNQRFAVFIRIHTAACDRTAAVALLREMLRRVGGGGDGEEEKEEEMNLTIEELIPMEKRNKPFWARGLDMIGYSLNAFRLGNLGFVDAESPRSSKLVRMQLNTEETKSLITGCKSRGIKLCGALAAAGMIATRTSKSLPEYQKEKYAVVTLIDCRSTLDPVLCSNHLGFYHSAIMNTHDVCGETLWELANRCYTSFVNAMNCNKHFSDMSDLNYLMCKAIENPGLTPSSSLRTALISVFEDTVIDDSAENEMHKELGLEDYVGCASANGIGPSLAVFDTIRDGKLDCIFVYPSPLHSREQIQDLVDHMKRILVDACNSGNQI >OIV90168 pep chromosome:LupAngTanjil_v1.0:LG20:20289669:20291885:1 gene:TanjilG_01622 transcript:OIV90168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKKLRRKVSIALNKHPKPYKNLKPQKPPPKPPSPPPPPPPKPPSPPPPPLLAMSPIKKHGPFLFPQAHSTVLPDPSPFFSANLISCPLPTNSFFQNFVLKNGDQPEYIHPYLIKTSNSSLSLSYPSQFSNSSFIYQVFIPDLTISASQKTNPSSHFDHKVSSFDDLSVTLDIPSSNLTFFLVRGSPFLTVSVTQQTLLSITTIHAILSFSSNDSLTKHIVSLNNGQTWIIYASSPIKLDHSLSEITSDAFSGIIRIVLLPDSDSRHEPILDRFSSCYPVSGDAVIREPFCVEYKWEKRGWGDLLLLAHPLHLQLLCNSDNDDVVVLDDFKYRSIDGELVGVVGDSWVLKTDPISVTWHSSRGVREESYDEIVSALIKDVEGLNSSLVTTTSSYFYGKLIARAARLALIAEEVCFLDVIPTVRRFLKETIQPWLEGTFNGNGFLYDKKWGGILTKQGSNDTGADFGFGLYNDHHYHLGYFLYGIAVLAKVDPAWGRKYKPQAYTLMADFMTLSRRSNMNYTRLRCFDLYKLHSWAGGLTEFADGRNQESTSEAVNAYYSAALMGLAYGDTHLVAIGSTLTALEIHAAQMWWQVKSGGNLYDKDFTKENRVVGVLWNNKRDSGLWFAPPEWKECRLGIQLLPLLPISEALFSNVDYVKELVEWTSPALNRQGVGEGWKGFVYALEGIYDNESALRKIRSLSGFDDGNSLTNLLWWIHSRGDEEETFGHGKHCWFGHYCY >OIV90276 pep chromosome:LupAngTanjil_v1.0:LG20:18088418:18090484:-1 gene:TanjilG_08313 transcript:OIV90276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPTTTLILPFLFLLLLTTTTTTTTATPIIGLDSFLTHQSRTDPHSSNDSFTSLPPSIKSSLSHSLSSLSLSLSLPLSLSLHLIGDFPPSTSSLLSSFLFAATQPNHHFHVISHHSHPLSISHSLHLHVTTTSSPSLSSLISQSLLSHLRNATSSLRSPLISIPYSSVDQFVKSHFDNSKSNDAVSIYLINLPRDSSQTKPYAYSYTGSGESSPGYTSCLGTFWTARDRYVWIDLGAGPVDYGPAISGDGVIPRGEFHPFAAALRGRPPKSQKAFVADLASLVWSAYQVFMVPSLRIPVTFENSLIVQFIHVCGGCHDGGGDDKNKKDKKNGYSSGLDWKLIEKSFRDEVDENGLLLGDQSLSFKDYEIKYSECPICSFAISRSINSYTSRFLFDNYTLIVNEYLDSKKLHQVLSDSAEEFRRLAGVPEEDYGRVVPVYVFDLDYNSVLLLDRYHQSIAFKDMVIAVRTKNTHTVSDYSCNGRHVFTQTRELERPIVGSILQSMWGVSPTHMSWSPRHNDTLLDYTWSIGQTPFGPFSEMLSLSFVQKDAARRNVLLTSLNYSIASAMDVLQTIESHGGDRNLLKPKQHAEFVQRWNLFKYKLNKVVSALSHLDFEIALFYLRSSDHDLYALHSIVYHASQEIEASLVCFKDPSFPWFPVSTSAVAFLALSYVYAKRDKLFRNKRKQF >OIV90450 pep chromosome:LupAngTanjil_v1.0:LG20:12671702:12682115:-1 gene:TanjilG_01928 transcript:OIV90450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSVLRVHLPTEIPIVGCELTPYVLHRRADKTVTTDDVPETAPLYGHFLRYKWYRVQSDKKVAVCSIHPSEQATLQCLGCVKARLPVAKSYHCTPKCFSDAWQHHRVLHDRAASAATENGNEDEEVYGRFNSSGSGVSYSSLSASASSASLMNGSAAPYPAAITQKSGEIWYEVGHSKTYTPTADDIGHVLKFECVAVDAETKLPVGHVNTILTSRVIPAPSPTPRRLIPVDGMGHLDADGCITSSGTFTVLSYNILSEAYASNDLHNYCPTWALSWTYRRQNLLGEIVGYCADIICLQEVQSDHYEEFFAPELDKHGYYCLYKRKTNEIYSGNTNAIDGCATFFRRDRFSHVKKYEVEFNKAAQSLTDAMIPTTQKKTALNRLVKDNVALIVVLEAKVNNQPVDNAGKRQLLCVANTHVNVHQDLKDVKLWQVHTLLKGLEKIAASADIPMLVCGDFNSIPGSAPHALLAMGKVDSSHPDLAIDPLNILRPHSKLAHQLPLVSAYSSFARTAGLGFELHKRRLDGGTNEPLFTNITRDFIGTLDYIFYTADSLVVESLLELLDEERLRKDTALPSPEWSSDHIALLAEFRCFCPSTADLFCSVFSRKMVKLTRSDQENTQRKKLNEAHGRLVIANLVMPVFSRYLHVGSLQ >OIV90669 pep chromosome:LupAngTanjil_v1.0:LG20:7684960:7687321:1 gene:TanjilG_23782 transcript:OIV90669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYKRALPEAMILLRVILLMLATRLGTLLLCGSLCFSGKWPFINNFSNISLDNREKVLQKWLKHRFLTPIRLAFLYIKIMSLFVFFSRCDENGENPTWKAIGYKVDIDNEGNTNNIHKERPLEEGIVEAINETNLTLPQSLAKKGLQVAIDAKNNILKIKCDVVVVGSGCGGGVAAAILATSGQKVLVLEKGNYFTPSDYSSLEGPSLNELYEYGGTFASWDGNMAILAGKTVGGGSAVNWAACIRTPDYVLKEWAEDFKLSLFSSYEYVSAMDMVCKRIGVTDICVEEGLQNQVLRKGCNNLGLRVDYVPRNSSEHHFCGSCNYGCRRGDKQGTEVTWLVDAVDHGAVILSGYKAERFILQKNKEGSVRKKKCLGVIANSLTNNVTWSLQIEAKVTISACGALYTPPLMIFSRLKNKNIGRNLHLHPVLMAWGYFPDSISDLKGKIYQGGIITSVHKVLSKDSKVKAIVETPAMGPGTFSSLMPWQSGLDFKERMLKYSRTVHLITIIQDTGCGEVRGEGRIRYELDESDKENIKDGLKQALRILIAAGAVEVGTHRSDGQRIKCNGNNENELEMFLESVYATGGPMSHEEKWTIYGSAHQMGSCRMGMTEKEGAVDENGQSWEAEGLFVCDASLLPTAIGVNPMITIQSTAYCIAKRIAKFLKM >OIV91362 pep chromosome:LupAngTanjil_v1.0:LG20:1004246:1010677:1 gene:TanjilG_01980 transcript:OIV91362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNVEIPNWLEGLPLAPEFRPTETEFSDPIAYISKIEKESSTFGICKIIPPLPKPSKKYVFSNLNRSLLKCPELGQVNSSLGACNSLKTGYRDSSSDCAPRAVFTTRQQELGQSVKKAKGAGQNPISCVQKQVWQSGEVYTLEQFESKSKTFARSILGTVKDVSPLDIEAMFWKAASEKPIYIEYANDVPGSAFGESKGEFCYSPTKQRRKTCYKSRVDTSDCKQTELNSVRDAKSNETMGASSETHAGPCLKMYESAMPVSTFPLNEASQCSSEKSSEVSNDIQGRSGWKLSNSPWNLQSIAHSSGSLTRFMPDNIPGVTSPMVYIGMLFSWFAWHVEDHELHSMNFLHTGSSKTWYSVPGDYAFAFEEVIRCQAYGDNIDHLAALKLLGEKTTLLSPEIIIASGIPCCRLIQNPGEFVVTFPRAYHVGFSHGFNCGEAANFGTPEWLTIAKEAAVRRAVMNYLPMLSHQQLLYLLTMSFIPRVPRTLLPGARSSRLKDRQKEERELLVKKAFIEDMLQENKLISILLGKEATKQAVLWNADLLPNFSKHCQLSDLASTTNSSVADMSNSSSDKSSHYLFDETSLYMENQTDLYLGDVDDDLSRDFQTDSGPLACVGCGVLGFPFMTVVQPSEKLTVELFPVDHHLVQDSSPNSTASLHSSVSTKESSNLSLKAFDKHWNASSKFLRPRIFCLEHAVQIVNMLQCKGGANVLIICHSDYQKMKAHARAVAEETHSTFDYNEVPLEIASPENLTLIDLAIVAEQHDESEDWTSKLGINLRYCVNARHNSPSRQVPWTLDLGMLFPDKHSDLEFLSLNWQLRRSRSKRLNHSAQTKTCDKIQRNKDCQLEGRKDGSTVKKIVIHYSRRKFKSKQSCSSGLSMVHTFQEKSTNVSAVLSGKHYDCASKCEFDTSNFRSECALSGVSASTAMSPTHHEMSTKNLDDEVHKEYQSTCKSNNEEATLSTVSLVNQPMLASMDESFESPNNNYAAEKVSDGMSLKETTGQEINSMSGRDKEPLGDDKPISEYTPNSEVCEVPRQPFAAPVLNDTVMDAERQCQAQNKRELIGEPVSSYVAKGENSSVTLSELGCSEVSVEACPEEDSFIQFISDKGRELEIQPTDRRDEEICSGTKTPLKDSSVSIQECSKNEKEMCVGQNLNGSEVHLLQDNSEPESSDLTAVVPHSSARKKRKREVEQLTENQSDCSNFIRSPCEGLRPRAGKVTTDKRSGESSQNDKEHQDNRRARRVPVPHKNKTDDVKKPHSCDLDGCEMSFTTKTELQLHKRNICPHKGCGKKFSSHKYTLLHQRVHDDERPLKCPWNGCSMTFKWAWARTEHIRVHTGEKPYQCKVEGCGLSFRFVSDFSRHRRKTGHYVQSS >OIV91453 pep chromosome:LupAngTanjil_v1.0:LG20:298607:299711:1 gene:TanjilG_02071 transcript:OIV91453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTTTTTTVPDIVVETDTEEEEEEEEEDSFFDLELTLHHKTTLPTKSPTHKSEKTTPFSLNEPISKRKVLPIEPISKPHSPIALLKSPPSFRLFTFKNRITPHKTQQQQLQTIKLKPNIQCSPTLSRVNSTGSLKTKAEPKAERISKDVVHKYLKLIKPLYVKVSKMKFPGEAASMASPLSSPLGSSVSSKKGSFPAGIRGASKHLGKSRSASTVVGIDSPANRSDDTLLQQNDGIQSAILHCKRSFNSRDSIDSTVHSVRSSFHDENEANI >OIV91313 pep chromosome:LupAngTanjil_v1.0:LG20:1383661:1386275:1 gene:TanjilG_01844 transcript:OIV91313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKIADSNGENKQDSKRESCHHSILPADSPTSLVEFLSEITESSNELGSTEVDWNETFADMVEELLLSNLRGVYQGAIKQIVELGYTEDVAEKALSRKALYIQEGDPVSNVVNATLNVLKGKNVATSDTVFENFQHLLSYTMLEMITVLRQFRPSLTVGEAMWSLLICDLNVSSACTVEDFLNVDSNEESSTSPSIPQLKSEVQSSTSPSCTSQESCPTHKKCKHEAPTIGKLQSSPNKKSSLASERVKPEEENDSLPTVSGRPFETSEDICKVGSISKDHNIKEIESLRQIYLHMDKIYRASRKGGLTTDKKMEPPSDIHNQQMKSVSSNTTSKQGVCASDATLPDTISSSSIVNPNISASDTISKPKSQPNPFDAQKTPDYYAEIPYDESLGKYLPRDKKDECILKLVPRMHLLQGELQSWINWANKKIMQVTARVGKLRPELKMLKKEKQEAEKDAILFQENAAKISQVDNATENTKKLIESTTSDALILEVENMLLSEELDADRLSLEKSLTRHQQALKREQTALKKAESLESENALHRDDLSRDKQKLSKLQQELDKEKILLTRVEGRVRTEEAEKEKLLAQVASIRREGKQLAEHIKAEEDKIRKKAACKLQKYVDLIVKLESQLEEVKQKSESAKTAALHKGEPSGSKKPCTSKTVVSCQAKSAARSFRREQECVMCLSEEASVVFLPCAHEVLCPACNKLHEKQRMKDCPSCRTPIQHRIHVRFAADQWRV >OIV90460 pep chromosome:LupAngTanjil_v1.0:LG20:13166836:13169821:1 gene:TanjilG_01938 transcript:OIV90460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLHRDLDLLPSPFIDPSKGDGVKSHGMSIEKKIEYLESLTGKVTNRRSRRWLNDRLLMELVPRLNAEEIRGLFAPPPWGDEVPPSTFSMANVEDWDRFRNIDMDKEVNLIQALENSLEKRKGCIDADKLAVLNGWHRVDCRTRDALRRAPFSELIERYENCIRAFITESSDEDVLELQIQDSFHRLLLHGVCEFYNLVSDTVSGCNGEESSKITKVKKKKSGSPKLPNITLSHFLKMSKEGKW >OIV91366 pep chromosome:LupAngTanjil_v1.0:LG20:954005:956725:-1 gene:TanjilG_01984 transcript:OIV91366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKIVGPNFMDEIDCGNFFDHIDDLLDFHVEDDVDGSATTLPDVSAAGNCNSLVSIWPPETDSIFSGKSASDLSVELSVPYEDIVQLEWLSNFVEDSFSGGSLTMSTVEQPSTTTTTTTTTTKEDSSSVHTQFQTSSPVSVLESSSSYSGGKTIPRSSPKIYIPVPCGRARSKRPRRAAFNPRPAMQLISPASSSVGENMQPNVISAKASSDSENFAESQPLAKIPKQGSGEHKKKKKIKLSLPSAPVADDTNQIVQAIRKCMHCEITKTPQWRAGPMGPKTLCNACGVRYKSGRLFPEYRPAASPTFCPSVHSNSHKKVLEMRCRSIEETSFGTHSAASPELIPNTNSSILTLE >OIV90201 pep chromosome:LupAngTanjil_v1.0:LG20:19793846:19798948:-1 gene:TanjilG_01397 transcript:OIV90201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGRVELKRIENKINRQVTFAKRRNGLLKKAYELSVLCDAEVALIIFSTRGKLYEFCSCTSGMVKTLERYQKCSYGAVEVNKPSKELEQSSYREYLKLKARFESLQRTQRNLLGEDLGPLNTKDLEQLERQLDSSLKHVRSTKTQFMLDQLSDLQNKEQMLVETNRGLAMKLEEINSRNQYRQWDDGEQSMQYDTQNAHSQGFFQPLECNPTLQIGTDYRYHHVAQDQLNATTQAQQVNGFIPGWML >OIV90809 pep chromosome:LupAngTanjil_v1.0:LG20:5682198:5683930:1 gene:TanjilG_15542 transcript:OIV90809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCTRLLGLMAMILMVMLPFTTKGDLVDDILGKVCDEVECGKGSCVVNTSYPLNFLCECESGWKRTRNEDNDTYATSFLPCVIPNCSLDYGCQPAPPPVPEKDVPHNLSAFDPCYWAYCGVGECTKNKTYTYGCKCNPSYYNLLNISVFPCYSECTLGSDCSRLGIKVSNSTSSTGGGTNQASSTFPRWFNWIIMLLVSTGMVMWS >OIV90657 pep chromosome:LupAngTanjil_v1.0:LG20:8023401:8023811:-1 gene:TanjilG_01738 transcript:OIV90657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKDGSKGRDKDDSRDVRYRGVRCRPWGKFAAEIRDSARQGQRVWLGTFNTAEEAARAYDRAAYTMRGSFAILNFPHEYPMSGASAGSGGSHSSAASSSSSRHGNVEGREVFEIEYYDDKLLEEMLDYEEKKKKGH >OIV91158 pep chromosome:LupAngTanjil_v1.0:LG20:2538230:2541419:1 gene:TanjilG_30380 transcript:OIV91158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVLSPRPLSFLYCIHVIIISLTKTTSEIISVKYCNPSEDSGNYTTNSTYHTNLNTLLSNLTSNTETSNNNGFYNVSYGQNTNKVYAIGLCRRDIKRDICHSCLNNAIVNLTQYCPNQKEAYAWYNDETCLLYYSDRLIYGNLIIEPWDYSWDGENEMVVDAVQFNRSLINLMDSLITRAASGDSSRKYATSSVIGPNNHQTIYGLVQCRPDLSGTDCSSCLNESMLALPGCCNNMKGARILRPSCILRYGTNTLFYDPEADAPPPAPSNNNTSSQGNSNVLKIALAVAVPAVVVLLLLIFIIIYLRMRKPKQSFEAQEDDYDIQIADSLQFDFDAIQEATNDFSDANKLGQGGFGIVYRGRLSNGLEIAVKRLSRDSGQGDIEFKNEVLLVAKLQHRNLVRLLGFSIQGKERLLVYEFVPNKSLDHFIFDPTKTRQLLDWESRYKIIGGIARGIVYLHEDSRLRIIHRDLKASNILLDEEMNPKISDFGMAKLFDVDQTQGETNTVVGTYGYMAPEYALHGQFSVKSDVFSFGVLVLEIVSGRKRSSTRHGENVEDLLTLAWRNWRAGTIANIIDPTLINVSESEIMRCIHIGLLCIQENVAGRPTMGAIMLMLNSYSISLAVPSEPPYSVDSKDGLLPDMQSWEYNSDATRSRESTNTSAPISVNEASISECFPR >OIV90378 pep chromosome:LupAngTanjil_v1.0:LG20:15051813:15052686:1 gene:TanjilG_21914 transcript:OIV90378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAQIKVFFLLGLIVFFVSILGVHRVDGAGECGKSTTPDNEAFKLAPCASAGQNENASVSQSCCAQIKTLGQNPSCLCAVMLSNVAKMSGVDPKVAITIPKRCNLANRPVGYKCGPYTLP >OIV90432 pep chromosome:LupAngTanjil_v1.0:LG20:11914148:11925695:-1 gene:TanjilG_01910 transcript:OIV90432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKANSLGLLRTKSDQLVESMVAAFKSPQSSDHSTNGTVDGVGTMSRKSSRRLIAASPGRGGGKNTHIRKSRSAQISQMKFELDEVSSGAALSRASSASLGLSFSFTGFAMPPDEIADSKPFSDDDIPEDVEAGIKTKFQMETTLPIYLKFTDVTYKVVVKGMTSTVEKDILNGISGSVSPGEVLALMGPSGSGKTTLLNLLGGRLSQPTIGGSVTYNDLSYSKSLKSKIGFVTQDDVLFPHLTVKETLTYAARLRMPKTYTKEQKEKRALDVIYELGLERCQDTMIGGSFVRGVSGGERKRVCIGNEIIINPSLLFLDEPTSGLDSTTALRIVQMLQDIAESGKTVVTTIHQPSSRLFHKFDKLILLGKGSLLYFGKASEVMKYFQSIGCTPLISMNPAEFLLDLANGNINDVSLPSELEDKVQMGNAETETPSAKPSPTVVHEYLVEAYETQVAEAEKKRIMVPIPLDEAVKSKLRSPKRQWGSSWYEQYSILFSRGIKERRHDYFSWLRITQVLSTAIILGLLWWQSDANNPKDLQDQAGLLFFIAVFWGFFPVFTAIFTFPQERAMLTKERAADMYRLSAYFLARTTSDLPLDLVLPVLFILVVYFMAGLRLSAGPFFLTILTVFLCIVAAQGLGLAIGATLMDLKRATTLASVTVMTFMLAGGFFVEHVEKLKYMLEVLS >OIV91391 pep chromosome:LupAngTanjil_v1.0:LG20:746439:752694:-1 gene:TanjilG_02009 transcript:OIV91391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSQASNSYFLILQSLSQIPIHHYLIATAFLIIVYLYNFIECHFLQDFFSGFTGSPVQLTYNSCSQIYHGVVSNCEILHGKYLTTPWLSTPHIQTCFLNFFGRPPRFNYKRELFTTPDGGTLALDWLMASDVSGGAVDTDSVVSEHESTPTVVVIPGLTSDSSSAYLKHLAYHTAKRGWNVVVSNHRGLGGVSITSDCFYNAGWTEDTRTVVNYLHKEQPETTLFLVGTSIGANILIKYLGEDGEKIPVAGAAAVCSPWDLLIGDRFITRRRVQKFYDRALAIGLQGYAKLHQPLFTRLANWEGIEKSLSIRDFDNHATRIVGKYETVDTYYRRCSSSTYVQSVSLPLLCISALDDPVCTREAIPWDECRANKNIVLATVKHGGHLAFFEGITASSLWWVRAVNEFLSVLHSSQYMHVQKKMSKPSTPVHSSIDQGPYVNVTEDGMVAALNKEPTMDNVEELHVIQDTHEVHASVPEEKVNEVDELVTNAKSDDSSGVAQASSAHDGMVLDVIKPLKRYIGQLSRQSRWSFWLLVYIAITTSWPLVGSVLYFVFGKKVRDILLGGLGRR >OIV90901 pep chromosome:LupAngTanjil_v1.0:LG20:4826546:4829056:1 gene:TanjilG_15634 transcript:OIV90901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMVWLQRMMTKGRGNLLSTVAIPHSRFFSKPNPYVVKVGIPEFLNGIGKGVESHVAKLESEIGDFNSLLVTRTLKLKKLGVPCKHRKLILKFAHKYRLGLWRPRAESIKA >OIV90903 pep chromosome:LupAngTanjil_v1.0:LG20:4811126:4811626:-1 gene:TanjilG_15636 transcript:OIV90903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVSEKVKARSEMYHGDEMGQIKSKELLKEIGLPNGLLPLKDIEECGYDRESGFVWLIQKKSSTYKFEKVGKLVSYAPEVTATVEFGKIKKLTGVKVKELLVWLTLSEICLDDPPNGKITFKAHSGLFRTFPVSAFEIEEKEADKDVKGKLEVKKAAGAVEVKEV >OIV90742 pep chromosome:LupAngTanjil_v1.0:LG20:6389300:6393216:-1 gene:TanjilG_21873 transcript:OIV90742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITFILYSYSSLASNFVSHNTTPFLLFFLCVICMQMDVMKRTRSVLTLSGRVINEALSIIVFCVLDLVDYVLCFVFKAIDMLIEVECKPCCCSSSAKEAIKSSGKILVSEKGGESAKIVSLSSTKLMLEDISDTLYSRPSLVSELSNFTLNELKKFKLEDPILQQQITKRSTSHCSGSSFKVNTTIVEMLQGKIERQEKHHIPRWSDCDCNLCTSWIQSSFPSTKPTLFVKTQGPIIGKAKEDVLFIHGFISSSLFWTETLFPNFSSKARLRYRMFAIDLLGFGRSPKPSESLYTLNEHLEMIERSVLEAHNVKSLHIVAHSLGCILALALAVKHPHSIKSLTFLAPPFYEVPKGEAQATQYIMRKIAPRRVWPIISLGASLVFWYEHITRMICFLICKNHSFWEFLAKLLTRNRVRTFLLEGFFCHTHNAAWHTLHNIICGTAGKIGVYLDDIKDNLNVKVTIFHGKDDEVIPIECSYEVQRRIPRAHVRVIDNKDHITIVVGRQKVFALELEEIWNNTNN >OIV90233 pep chromosome:LupAngTanjil_v1.0:LG20:19465232:19468766:1 gene:TanjilG_01429 transcript:OIV90233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGCMSVPTHPLDKPRKLHRRIIRRRRKILNPALDGSKKRISDAGARVTDYSVSEFVHMDLENGLTTTCRHSEVTNSTFHVTQLEWHHSQYDGNVMSQDEAYFDSVSILESESDDDFTSVHGDGFPMVSNTPGRISNGQVLQYERSCIVDNKNQYEEYHESYIKVDGGISDKFKVKDESAFVPISAQNHGKSRLGMTNGSFKGLKEENTLKSGITRLIQSVSFNDKIVNGPRKSLQYNKQQSSLFRLSFKRRSCDVDDATELCQSKRYLCRPIAGHIIPFQKGEKQSSGCWSEIPPSTFKLRGENFFKDKRKYPAPNYSPYIPIGVDQFVCPKKIHHIAQYLELPNVKANGKLPQLLIVNIQLPIYPAAMFNGDADGEGMSLVLYFRVSETLEEQISTQFQESIKKFVDDETEKVKGFAKESSVAFRERLKIMVGLTNPEDMTLSSTEKKLVNAYNEKPVLSRPQHSFYKGPNYLEIDLDVHRFSYISRKGFDAFRDRLKDGILDLGLTIQAQKQEELPEQVLCCLRLNKIEFGDNGQVPRLMTSDAE >OIV90572 pep chromosome:LupAngTanjil_v1.0:LG20:9232306:9238304:-1 gene:TanjilG_19208 transcript:OIV90572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSITRPQILLHSYRPSLKPSSSSSSSSLFLFRNFPNSLFSFPKFSTPKFHPRTFCSISNSNSIVGDLLDYLNESWTHFHATAEAKRQLISAGFHLLDENEEWDLKPGGRYFFTRNMSSLVAFAIGEKYNVGNGFHVIAAHTDSPCLKLKPKFVTLKADYSMVNVQTYGAGLWHTWFDRDLSVAGRVIVRSSSNSYVHRLVKVKRPLLRIPTLAIHLDRTVNQDGFKPNLETHLLPLLSLKPEEISSGSKEKTAALSSKASHHPLLMQILSDELNCDVDDIVSVELNVCDTQPSCLGGGNNEFIFSGRLDNLASSYCALRALIDSSESPGDLASEHAIRMVALFDNEEVGSDSVQGAGAPTMFQAIKRVIGSLENKYVGEGSFERTIRQSFLVSADMAHGVHPNFMDKHEELHRPQLQKGLVIKHNANQRYATTGITSFLFKEVGKIHNLPTQEFVVRNDMGCGSTIGPILASGVGIRTIDCGIAQLSMHSIREICGKEDIDIAYKHFKAFYQSFSSIDKMLTVDI >OIV90030 pep chromosome:LupAngTanjil_v1.0:LG20:21892693:21893283:1 gene:TanjilG_23950 transcript:OIV90030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCCMSGQGDASGRSKQSRSEKKSRKAMLKLGMKPVTGVSRVTVKKSKNILFVISKPDVFKSPASDTYIIFGEAKIEDLSSQLQTQAAEQFKAPNVSTSASKPESSSTAQEEEDEDVDETGVDPKDIELVMTQAGVPRPRAVKALKAADGDIVAAIMELTN >OIV91161 pep chromosome:LupAngTanjil_v1.0:LG20:2520242:2523413:1 gene:TanjilG_30383 transcript:OIV91161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFHFSKYVTSFLLFSLFFGSLTSASPNYNGIYCPNNITYEINNNTISQTNLNVLLSSLLSNATEGSSSYTTAMGMGTSNALNGLYLCRGDVSSATCAECVAAAVTNITKLCPNKKESIIWFDECMLHYTNTYFNPLSIEPRLNLWDNKNISTLDVDNFNEMLLSFLGSLASEASNTESSMKFSTGEWNFTKEITVYGLAQCLPGVTNEQCEGCLVNGSKTLVTCCEGKQGARALLAWCNIRYDLFQFYNTTQTSASPPLFSPPPPSVGDESEALESLQYNLSTIEAATEKFSNENKIGKGGFGEVYKGVLSNGREIAVKKLSQSSGQGTIEFKNEVLLIAKLQHRNLVALVGFCLEAQEKMLIYEYVPNKSLDYFLFDPLKSKLLNWSERYKIIKGIAQGIHYLHDHSRLKIIHRDLKASNVLLDSSMNPKIADFGMARIIGLDQDRGKTNRIVGTYGYMSSEYAMYGRFSEKSDVFSFGVILLEIISAKRNAHSILSDDVEYLLSYAWRQWRDETPIQILDEDIRESCNESEVIKCIQIGLLCVQDKADDRPTMGKVISYFSNSDSEAELPFPGEPINSMHNQILQKTVAAGESSSGSIQLTELSMPR >OIV90525 pep chromosome:LupAngTanjil_v1.0:LG20:10679111:10686725:-1 gene:TanjilG_32402 transcript:OIV90525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKNTVTPFLFLILLAFSICVAATIHHPISDSHRSAASELFASTDGSFPSLEAAYEALRVFDVLGIEKKSQVSTATCQKVLENLKPSSPLKDLYYSLKVNGILKCKVDGKVYKEIASRLKATVKDASTLLDIHYLIGSLVLIKDQDSNVDVLLVDADGTFHSIKALSQSDGRWRYSSDNPESSTYASGLALEALAGVISLASSEVDQSKVNTVKRDILKLFDTIEKYDDGTFYFDEKFVGGHEHQGSLSTTSSVVRGITAFAAAISGKINLPSDKILGLAKFILGIGIPGDAKDLVSIPLILSLPETVYSLTKKDQLKVSVNTALGSAAPPLTVKLVRAFSTGAKDSAVIESKELQYDKKSGFHVLDTFPKNVNVGVYNFVFEIVLHDSDSEKDYVTGGQIHVPIYVTGIIDVSNAEIAVLDSDHGSVETLKALDLAGNDVVSLSANHLQKLRFSFQLKTPHGHVFKPHQAFLKLRHETKVEHIFVVGTTGKKFEIILDFLGLVEKLFYLSGKYDIELTVGDAVMENSFLRLLGHVELDFPEAPEKAARPPPPPVDSYSRYGPKAEIAHIFRVPEKRPPQNLSHAFLGLILLPFIGFLIGLLRLGVNLKNFPGSTVPATFAILFQLGIGAVLLLYVLFWLKLDLFTTLKTLGLLGALLLFVGHRTLSHLASTSAKLKSA >OIV91213 pep chromosome:LupAngTanjil_v1.0:LG20:2076126:2078210:1 gene:TanjilG_30435 transcript:OIV91213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTSPEMLCCFCPAPFRQFLEAVVSMKFDEEPNYAKLISLFDGIIGPPALRPVNTDGAQKVGQKRSRLNIEEDDDSQPKKKVRLGVPAMQWISIYNARLPMKQRYHYNVADTRLAQHIEKGIADGLLISCVSSCSNLWALIMDAGTGFTHQVYKWSPVFLHKEWIMEQWDKSYYITSIAGSVNGASLVVMSKGTQYTQQSYKVSDSFPFKWINKKWKEGFHVTSMATAGSRWGVVMSRNAGYSDQVVELDFLYPSEGIHRRWDNGYRITATAATTDQSALILSIPRRRPGDETQETLRTSQFPSTHVKDKWSKNLYLACLCYGRTVC >OIV90464 pep chromosome:LupAngTanjil_v1.0:LG20:11794091:11797691:-1 gene:TanjilG_28542 transcript:OIV90464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISNTFSDSESEIPLLQETVDGAIDFKGRPVLRSASGGWKAAAFIISVEVAERFAYYGINSNLINYLTGPLGQSTVTAAENVNIWSGTASLFPLIIAFLADSVIGRYRTIIIATLVYILGLSLLTLSAILPVTKSEGQVAGFEPQVILFFFSLYLVALAQGGHKPCVQAFGADQFDINHPEERKARSSFFNWWYFAFSAGLFVTLSILNYVQDNMGWVLGFGIPCIVMIIALAIFSLGTWTYRFSIQGDERGPFLRIGRVFIVAVKNWRTTPSTITSEEEACGNLPHQGSEQFSFLNKALIASDGSKEEGKVCSVTEIEEAKAVLRLFPIWATSLIYAVVFAQSSTFFTKQGVTMDREVFSGFYVPPASLQSFISLSIVLFIPIYDRIIVPIARAFTGKPSGITMLQRIGIGMFLSITSMVIASFVEMKRLTVARDNGLIDMPNVTIPMSIWWLIPQYVLFGVADVFTMVGLQEFFYDQVPAELRSVGLALYLSIFGVGSFLSSFLISAIEEVTGGDGHDSWFSSNLNRAHLDYFYAILAALSAVGLSAFWFCSKSYVYKRSSS >OIV91325 pep chromosome:LupAngTanjil_v1.0:LG20:1294448:1301058:1 gene:TanjilG_01943 transcript:OIV91325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPLLSHPSFLFAIPIPIPIPIPHRYSYFYSHSHLSRSLHPLPFRPPHASITNSNFNTSNGFDQYKQQNLPSNQKPRTLFPGGYKRPEIKTPTLILQLDADEVLSGGDAALNLINKAVSKWVGIVVLNSSSDGGGGRLYEAASLLKSVVGDRAYFMVSDRVDIAAAAQANGVLLSDQGLPTVVARNTLMDSKSESVVLPLVARIVQTVDAAVNASKSEGADFLIYGTGDLKLAGQELNSLYENVKIPIFVSCTSPKKSVSYSEVSSLLTSGASGFVISLGNFGLFGDDLLHKLFDAGFANDKRTLGDRDSNVNEHKLLNSSNDFQSKMEGLAGFVKLEGREKRLIESERSVLSEAIEAIKKAAPLMEEVSLLNDAVSQIDEPFLLVIVGEFNSGKSSVINALLGERYLKEGVVPTTNEITFLRYMDLDFGEQRCETQPDGQYICYLPAPILKEMTIVDTPGTNVILQRQQRLTEEFVPRADLLIFVLSADRPLTASEIAFLRYSQQWKKKVVFVLNKVDIYQNTRELEEALSFVKDNIQRLLNAEDVIMYPVSARSALEAKLLSPSNEELSVSGSPYDVSNFYELENFLFSFLDGSTIPGMDRMRLKLETPIVIADKLISACETLVTQDYRYAKQDLSAVNDVVNSVNDFAMNMETESLSWRRQTLSLIETTTARVVEQVEATLRVSNFDIIASYVLNGEKYAMPATSGIQNDIIGPSVLAAQSILEEYGKWLRSKNTQQGRLYKDSLEKRWPLLVSENSLMNFETYELLTKVDQSGSQVIENFNTSAASKSFEQEFREMFVETFGQLGVAGLSASLLTSVLPTTLEDLLALGICSAGGYLAILNFPNRRQSVIDKVKRRGDTLAYELEEAMKKDFTEAIENLDTFVKVISKPYQDQVQNRLNRLVVMQEELSDIERKLRTLQIEIQNLNVS >OIV91251 pep chromosome:LupAngTanjil_v1.0:LG20:1829582:1833914:1 gene:TanjilG_30473 transcript:OIV91251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKSGEGNTSQPPPPCRRVRRSRRSKPQMHVTPPFTYYDKNYFEGYANLGVHEDMIKDTVRTNTYRDAIMFHRPSIEGKVVIDVGCGTGILSIFCAQAGAKRVYAIEASDIAMQARLVVETNNLSNIITVLHGRVESMLESVIIARDRWLKHGGLMLPSDATLYMAPVTNIEVYRKSTDFWGDVYGIDMSPIIPLSKKSAFEEPSLEIITSENLLTWPIEIKRLDCHSVTIPQIQSITKEFQLASLFEAPLHGFAFWFDVEFNGPVLEPTPITWVPSTSSVDDHQEEEEEEDSRKRKRGTELSAAPILSTAPEDPETHWKQTLIYLHDPIELEKYQIIKGSLKLSQNEDCARSLDVHLEYASGGQSYVKETSLFLL >OIV91442 pep chromosome:LupAngTanjil_v1.0:LG20:353454:357719:1 gene:TanjilG_02060 transcript:OIV91442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTLHRKFKIPSEVKLNGKTGGSCTRRHFNDTISEKADNSPAVSRSPSPSKVARCQSFAERPHAQPLPLPGLHPSSLGRVDSEISISSKSRPEKGSKPSLFLPLPKPACMHGRPNPSDFDGDLITASLSSDCSVDSDEPVESHNRSPQATDSETLIRTAAGSPSSLMHKHQSTAVSQPNSKEAKKPANILNNHISSTSPKRRPSRNHVPNLQIPPHGAFYSAPDSSLSSPSRSPLRTFSNDQVLNSVFYYSGKPYSEVNFIGSGHCSSTGSGQNSGHNSMGGDMSGQLFWQPSRGSPEYSPIPSPRMTSPGPSSRIQSGAVTPIHPRAGGAPNESQTGWADEGKQRSHRLPLPPLVVTNSSPFSHSNSAATSPSVPRSPARADNPMSPGSRWKKGKLLGRGTFGHVYLGFNNDSGEMCAMKEVTLFSDDAKSKECAKQLNQEINLLSRLRHPNIVQYYGSETVDDRLYIYLEYVSGGSIYKLLQEYEEFSEPVIRNYTQQILSGLAYLHAKNTVHRDIKGANILVDPNGRVKLADFGMAKHITGQTCPLSFKGSPYWMAPEVIKNNGLNLAVDVWSLGCTVLEMATTKPPWSQYEGVAAMFKIGNSKELPTIPDSLSIEGKDFVRKCLQRNPRDRPSASELLDHPFVKCTSPSERSSLCPEASDPVSWITHGTKALGIGQGRNLPILDSDRLAVHSSRVLKTSPHASEIHIPRNISCPVSPIGSPLLKSRSPQHVNGRMSPSPISSPRTTSGASTPLTGGSGAIPFSNHLKQSVYFQEGLGSMPKLSSNSVYMNMNGPIHHESNTDMFRGMQIGSHMTSEMVPNENDVLGKQIGRPHLAEPYDFQSVLADHVGRQLLGDHVNPSLDLSTNSSLLNRENGL >OIV91007 pep chromosome:LupAngTanjil_v1.0:LG20:4072658:4073107:1 gene:TanjilG_16967 transcript:OIV91007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIQRASASSGSEGGEPATVDDRKRKRMISNRESARRSRLRKQKLLEDLTEEVNRLQSSNREIVQNIKTKEDAYSKKESANNILRAQTTELADRLQSLNSIINRAEEVNGKSNGFFYQISQISDPLMNPWQQNYPFYPLMPSPNMFLH >OIV90259 pep chromosome:LupAngTanjil_v1.0:LG20:18546047:18547653:1 gene:TanjilG_11987 transcript:OIV90259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEFGQIWSQLGSTMATIMFVYTMFEKFFPPHLRTYFRKYTQKFTSLMYPYIQITFPEFSGEHLKRSEAYTAIQTYLSANTSQRAKRLRAEVVKDSQTPLVLSMDDNEEIIDEFQGAKIWWHSNHTTNKTQSFSIYPASDEKRYFTLTFHKLYRDLITSTYIQHVLKESKSISVKNRQLKLYTNNPSKEWYGYKRTKWSHIMFEHPARFETLAMDPKKKEEIINDLVKFKTGKDYYAKIGKAWKRGYLLYGPPGTGKSTMIAAIANFMYYDVYDLELTAVKENTDLKKLLIDTTSKSIIVIEDIDCSLDLTGQRKKPKEKSDEDEKPKDPIKNAKEEEEKNVSKVTLSGLLNFIDGIWSACGGERIIIFTTNFVDKLDPALIRRGRMDKHIELSYCGFEAFKVLANNYLDIESHNLFPIIEKLLGETNMTPADVAENLMPKSFDEDSENCLNDLIKSLEEAKNKAEEEAKEKVDDENKELKLEKENGELAQEEHVS >OIV91075 pep chromosome:LupAngTanjil_v1.0:LG20:4675678:4676481:1 gene:TanjilG_17035 transcript:OIV91075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLPPIKSTPPPLPNTTTAVATKGVCSTCNLKQRWLLHRVLYRGTNRLLCSSCVLRLHPSSFCPTCLEFFDHPLSSTSLNRFISCTKCSSLTHLRCLPSSSPPPSSFLCPSCSSPDFKFFNPNNARNVLDKKHALVFVCAAKIASASVAKALTIARIKADRSVRDSAAARKRAREALLRVDNLQRLKGSIQASGSWNLGTTNQKVQVNHSVLCKKEELNGQNNKAMVSSAALPSLMPLPQYGSSVTTNNNGVPHSVVRGNGNGNVIV >OIV90473 pep chromosome:LupAngTanjil_v1.0:LG20:11346025:11350208:1 gene:TanjilG_18657 transcript:OIV90473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKRISAQPKDDISKIEASRAQGDETYSSSVRSVALWVCAAVAFGVGLGFKDGVDKATEFFAGYLLEQSLSVDNLFVFVLIFKYFKVPIMYQNRVLSYGIAGAVVFRITLILLGTATLQRFEEVNLLLAAILLYSSFKLFASEEDESDLSDNFVVKTCQKFIPVTRIKHFGQATPLLLTVAVVELSDIAFAVDSIPAVFGVTRDPFIVFTSNLFAILSLRSLYPIISEGMSDLEYLQPSIAVVLGFIGSKMILDYFGIHVSTEASLAFVASSLSLGVLLSLAKKSD >OIV91340 pep chromosome:LupAngTanjil_v1.0:LG20:1179793:1185191:1 gene:TanjilG_01958 transcript:OIV91340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNTDYEYSSSMNVESVPCVAVPPPQSFLKSLKYSLKETFFPDDPLRRFKNQPASKRLVLGLQYFFPIFEWAPQYTLKFFKSDLIAGITIASLAIPQGISYAKLANLPPILGLYSSFIPPLIYAMMGSSRDLAVGTVAVGSLLMGSMLSSEVNPNQNPKLFLHLAFTATFFAGVLQASLGLFRLGFIVDFLSHATIVGFMGGAATVVCLQQLKSILGLDHFTHDADIISVMRSVFTQTHQWRWESAVLGVCFIFFLLLTRFFSKRQPKLFWVSAMAPLTSVILGSVLVYFTHAENHGVQVIGELKKGLNPTSMTDLVFVSPYMSTAIKTGLIVGIIALAEGIAVGRSFAMFKNYHIDGNKEMIAIGTMNIVGSFTSCYLTTGPFSRSAVNYNAGCKTAASNIVMSIAVMVTLLCLTPLFHYTPLVVLSAIIVSAMLGLIDYEAAIHLWKIDKFDFVICISAYIGVVFGSVEIGLIVAVALSLLRILLFIARPRTFVLGNIPNSVIYRNVEQYPNANHVPGILILKIDAPIYFANASYLRERWIDEEEDRIKATGETSLQYVIMDMTAVGNIDTSGISMLEEVKKIADRRELQLVLVNPGSEVMKKLNKSKFQMDLGQKWFYLTVAEAVGACNYMLHACKPNSVKDEQEGWNNV >OIV91350 pep chromosome:LupAngTanjil_v1.0:LG20:1100472:1103062:-1 gene:TanjilG_01968 transcript:OIV91350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPASASASLSSNSLRLRNLPLIFPQNPNSKPLTQFAIGSRNHHHQNRLLSLKLKCSFTDTDKVVVGEYPKPSEIQWKKELCNSVNLIGIVASPVEIKHFPSGTVVAWTRITVKKNATQSSWINLSFWNEMAHIAHQHLQKGNQIYVSGRLTADTVETDDGKTQTYYKVIVQQLNFIERSFSSVSSHDQDFDSTMPANSGKKVSYSANNTGSVVELWNTFFANPGEWWDNRKNKKNPKGPDFKHKDTGEALWIEGRSNPPWVKSQLAILDSRMGSNAAPNTRMPVHMINADEILSF >OIV91336 pep chromosome:LupAngTanjil_v1.0:LG20:1229605:1230078:1 gene:TanjilG_01954 transcript:OIV91336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFILKRNNLKRNNQRSQHAVVSSTSNSNSRNLWRLPHVFANMLELPFHSHADVSIEETPKFLRFVASCNSNINKFNANAGGVRAQVIEIVPGITKIVIEGMNGSEVTVAGHHHQQQHLRHVVDIWRFRLPAWTRPEMVTAVCSGGKLVVTVPKSKGD >OIV90796 pep chromosome:LupAngTanjil_v1.0:LG20:5800395:5801079:1 gene:TanjilG_15529 transcript:OIV90796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTVQTKKTTSSKKTSSHPPFADMIVEAILNLKERTGSSQYAIAKFIEEKHTDLPSTFKKLILHNLKKSVAAGKLVKVKGSFKLAPTVKAAADVADSKKPKTVAKPNAKVVSKAKPKTVAKSEAVTKPKAKAVSGGAKAKVKIVKSTVKKKVDAKPVKKKVDAKPAKKAPVKSVKKTKSVKSPVKKLAAKKAKK >OIV90169 pep chromosome:LupAngTanjil_v1.0:LG20:20283562:20285553:-1 gene:TanjilG_01623 transcript:OIV90169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNNKNITPFIFPQAHSTVLPDPSNFFSPNLVSKPLPTNSFFQNFVLKNGDQPEYIHPYLIKSSNSSLTLSYPSRSSNSAVISQVFNADITISSKESSIGKHIISSYSDLSVTLDLPYSNLSFFLVRGSPFVTASVTQETSLSITTIHAIISLSSNDSLTKHTLSLNNGQTWIIYASSPIKLDHSTSEITSDAFSGIIRIALLPDSDSRHEPILDRFSTSYPVSGDAVIREPFCVEYKWEKRGWGDLLILAHPLHLKLLSNSVNDVTILDDFKYNSIDGELVGVVGNSWILESDPISITWHSTFGVKEESHDEIVSALKKDVDALNSSAITTTSSYFYGKLIARAARLALIAEEVFYFEAIPKVRNFLKETIEPWLEGTFNGNGFLYDKKWGGLITQQGSNDSGGDFGFGVYNDHHYHLGYFLYAIAVLVKIDPTWGNKYKPQAYSLMKDFMTLDKKPTSNYYTPLRCFDLYALHSWAGGVTEFSDGRNQESTSEAVHAYYSASLLGLAYGDASVVSIGSTLTSLEILGTQTWWHVKEESTLYEEEFTKENRVVGVLWANKRDSGLWFAPAELREDRLGIQVLPIVPISEVLFSNIGYVKELVEWTLPALNREGVGEGWKGFAYALQGVYDNENALKKIRGLNGFDDGNSFTNLLWWIHSRGN >OIV90069 pep chromosome:LupAngTanjil_v1.0:LG20:21377719:21380687:-1 gene:TanjilG_01523 transcript:OIV90069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREIISIHIGQAGIQVGNSCWELYCLEHGIQPDGMMPSDNLDAAINDAFSTFFSETGSGHYVPRALFVDLEPSVIDEVRSGPYRQLFHPEQLISGKEDAANNFARGHYTVGKEVEELCLNRIRKIADNCTGLQGFLVFNAAGGGTGSGLGSLLLERLSVDYGKKSKLGFIIYPSPQVSTAVVEPYNTVLSNHSLIEHTDVIVLLDNEAIYDICRRSLDIERPTYTNLNRLISQTISSLTTSLRFDGAINVDISEFQTNLVPYPRIHFMLSSYAPVISSVKAYHEQLSVPEITRAVFEPSSMMVKCDPRHGKYMACCLMYRGDVAPKDVNVAVSNIKTKRQVQFVDWCPTGFKCGINYQAPTVVPGGDLAKVNRAVCMISNNTAVAEVFSRIDHKFDLMYSKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYDEVGEDGADEEVEDY >OIV90481 pep chromosome:LupAngTanjil_v1.0:LG20:11478992:11483573:-1 gene:TanjilG_18665 transcript:OIV90481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISYVHMLRSATTFHKSNLSFSSYDKRRRTHTLAMQSSDRNIVTDLKVDTLLESIKWDDKGLTVAIAQNVDTGAILMQGFANREAVATTISSRKATFYSRSRSSLWTKGETSNNFINVHDVFLDCDRDSLIYLGKPDGPTCHTGSETCYYTPVLDLLDEKQAEGSRSALTSLYALESTISQRKAELVGGNGKPSWTKRLLQSDKLLCSKIREEADELCQTLENNEDKSRTASEMADVLYHAMVLLALKDVKVEDVLQILRQRFSQSGIEEKKSRAPKKPEEN >OIV90255 pep chromosome:LupAngTanjil_v1.0:LG20:18711047:18712269:1 gene:TanjilG_11983 transcript:OIV90255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMELDPMDLLRSNLSRVRIPEPTNRIYKQECCISFETPRSEGGLFIDMFNFLAFGKECVSWNFEKTGNPVYLHIKQTKKIVPEDRPSKKPTLLAIGVDGGFDNNDTEYEETRSIVILPDYVSLPFPSVELPEKVPVSFYL >OIV90218 pep chromosome:LupAngTanjil_v1.0:LG20:19598017:19604339:1 gene:TanjilG_01414 transcript:OIV90218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNGEEGSEGTSRRIQVRFITKLDAPFKVPPTVIAIPADLSRFGLSSVVNGLLQAADADHEAEPFDFLIDGELVRMSLQQFLLAKGISAERILEIEYARVVAPRKEEDPSLHDDWVSAVDGSSSRFFLTGCYDGLGRVWKSAGLCTHILEGHSDAVTSVSIINPEDVETVTLATASKDRTLRLWKLNIDEDTNYSTKIRAFKILRGHKASVQSVAAQTSGEMVCASFLPIFGLSTLNLSLGSRWWQIAPLPRQSGTLSYENVSYATLFGGSQHGLICGENHGFSGPVAAVTGMITHKRKPVCLQSGHDMPRTKVCSGSWDSTINLWKTDDFSTEDDQVSKKRNVGGHAEESQLEGAAFTTLVGHTQCVSSVLWPQRDSIYSASWDHSIRKWDVETGKNLSDIFCGKALNCLDIGGEASALIAAGGSDPVIRIWDPRKPGSSAPVFQFASHTSWISACKWHATSSFHLLSASYDGKVMLWDLRTAWPLSVIESHSDKVLCADWWKGNSVISGGADSKLCISSQIPVQ >OIV91249 pep chromosome:LupAngTanjil_v1.0:LG20:1838637:1847380:-1 gene:TanjilG_30471 transcript:OIV91249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDNVDPIHDFINSTWRFCSLLGGLVSGLISKPYTKLVGSAFHGSLSSLTEERENCNFKFMENYICLSSSDDEIEEIEDPRRSLPQWDAPERNSDNGWWSNLDSSSPRGANTSNNHSQIRPHTQPSSSNNSLNHRFAQRDEPSYRTENGNSSQIQMVNTRTSNTSGSDHEKMPSQHAFKRNLPLSLQPSVTKGLPSSSFPPDIRSNNLKKHTNSSQFHDTYKNRRHAVGSSMTGDKSYLRDNYNRVNDEDRLMFPNGGSRILPSSLAYGKAKNSQFTSSTEAAYRSGTVDERASATDERLIYEAALQDLYRSKTETDLPDGLMSVSLLRHQKIALAWMLQKETRSLHCLGGILADDQGLGKTISMIALILMQKSLQSRSKTDDACNHKTEALNLDDDDDNGIADVDNLKKNEEFDDIKPVTEPSSSTRAPSRKRPAAGTLVVCPASVVRQWARELDEKVGNEKLSVLIYHGGSRTKNPDELATYDVVITTYAIVTNEVPKQPLVDEDEFDEKNGERFGLSSQFSASKKRKKAYNGNKKSKKGRKGIDSSLDCGSGPLAKVGWFRIILDEAQTIKNHRTQVARACCSLRAKRRWCLSGTPIQNTIDDLYSYFRFLRYDPYAVYKSFYNTIKVPISRNSIQGYKKLQAVLRAIMLRRTKGTLIDGQPIINLPPKKIELTKVDFSGEERAFYAKLEADSRSQFKAYAAAGTVNQNYANILLMLLRLRQACDHPLLVKDYNSNPVGQDSVEMAKRLPRDLVTNLYMELDTTSAICHVCNDPPEDPVITMCSHVFCYQCVSDFLTADNNTCPAVYCKETVGEDVVFSKATLRSCFSDDLGGSSSSNSHHVDYSLFQESEYNSSKIKAVLEILQSNCKMKAPSSGSPNSSGGHGDLLSSDISYIEDCDSDIQVTKYTRKYSEPMTEGPIKSIIFSQWTSMLDLVEDALKQSRTRIRYRRLDGRMTLLARDKAVKDFNTDPEVTVMLMSLKAGNLGLNMVAACHVILLDLWWNPTTEDQAVDRAHRIGQTRPVTVTRLTIKDTVEDRILALQEEKRKMVASAFGEDHAGGTATRLTVDDLKYLFMV >OIV90114 pep chromosome:LupAngTanjil_v1.0:LG20:20841415:20844007:1 gene:TanjilG_01568 transcript:OIV90114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCFTIHRNTETTTTTVAATDMKVKLSSSGSETVNFVKENNKNRSFDWSTPQSNTIVNGSKDEAFFDSKILLDSDCEDDFYSVNGDFTPSRGNTPIHNTFGTPGHNENPFVIRIQGSMHAPSPVKKERKKLIDLFRESIEDEQDSGMKEAKPTIQDVLPKSELSSPFSSTNSAWSSERTASEDTVSTRETSIKSVKSSRWCLPILGSPSRRSFREKRTKVKSCNSSE >OIV90993 pep chromosome:LupAngTanjil_v1.0:LG20:3927314:3927865:1 gene:TanjilG_16953 transcript:OIV90993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLKKHDVTHRAWNLLRFSLLWARKGGMFRRKVMMNQLRLVPKYLKRLGHTAPTSQINYFERELSFDETPIFHVKMHRPSSMRFSLPHIPCINPHVDFDYDFNDNDDDAIEYDNGRKSGLIVHDEEYCHGYEGCKEIGSNEEEEQEGIDERAEEFIAQFYKQMKLQRQISYLQYNETPRKDSK >OIV90593 pep chromosome:LupAngTanjil_v1.0:LG20:8816555:8817772:-1 gene:TanjilG_01674 transcript:OIV90593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDHFPQEILISILLRLSPKSLIKCTCVSKSWYSLITNPSFISNHLHHSSTNNPSFLLIQFCNEFSHPNPSLAPVFYSLRRDNHPFLLDSASTLFLPSGFNREFSVMGICNGVICITSGSQCLTLIICNPCVRRYVTLPKPRDYCSLYSASVGFGFDSVNNDYKVVRICSMLDDDRFELCAPEVEVYSLATGFWRNSSNLPPVCSLCYVGSYAPHGFINGVIHWGAKRRTGSYNDNSWYHFVLSFNFENETFGEVLLPQSLASVSADSVTVIGGGGDGKCLTVYHVNAGSPCSCNIWVMKEYGVVESWNKVFSFDLRGFNLEAPSLGITVAGVTAPPAALCIRNSGEVLLLMDEAGRGCLYSLDIEGKRFIDLQIGGEGYTWYLYSGYYRESLVFLNKASGMVSY >OIV90673 pep chromosome:LupAngTanjil_v1.0:LG20:7768766:7771878:1 gene:TanjilG_23786 transcript:OIV90673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKCICSSMEQFKVIDDISSSSESLATKDYSASGGYSSHPGETETKVENRNIEEAESSLRESGYLNYEEARALLGRLEYQKGNIEAALHVFEGIDIDAVIPKMKFSISRRHASNRFLSQSDAMPPMSIHAVSLLLEAVFLKAKSLQTLGWFQGSAQSCKTILDTVESALPEGLPENFVSDSKLHETVTNAVELLPELWKLAGSPQDVIASYRRSLLYHWNLDTETISRIQKDFALFLLYGGCEACPPSLRSHLDGSYVPINNIEEAVLLLLILLRKSALGSIVWDPSIIDHLSFALSVSGEFMTLAKHIEELLPEAMERKDKYYTLALCYCGEGNHMIALDLLRNFLNNRENSDTIQELLLASKICGDNMVCVEDGIKYAIKVISQLHGKCMQMLAIANCILGVLLSSKSRLIGFESEKVLMQSEALNALETAERMMRESDPYIVLNLCLEYAEQRKLSIAFYHAKKLVALEGITGYILLARILSAQKNFVDAEIVIDAAIDQSGKWEQGVLLRTKAKLRIAQGKLKNAIETYTFLLAVLQVQKKNSVISSKVLKNKGNCDRRLEMEIWHDLANVYIALSQWQDAEVCLSKSQAINPYSASRWHSTGLLFEARGLHQEAMKSFRKALDIDPNHVPSLICTAGVMRHLGCQSSSIVRSLLTDALWLDRTNPSTWYNLGLLYKDDFGISSPEAAECFQAAAFLEECSPIEPFR >OIV91283 pep chromosome:LupAngTanjil_v1.0:LG20:1584436:1589743:1 gene:TanjilG_01814 transcript:OIV91283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLTRTEYDRGVNTFSPEGRLFQVEYAIEAIKLGSTAIGLKTKEGVVLAVEKRITSPLLEPSSVEKIMEIDDHIGCAMSGLIADARTLVEHARVETQVEYAIEAIKLGSTAIGLKTKEGVVLAVEKRITSPLLEPSSVEKIMEIDDHIGCAMSGLIADARTLVEHARVETQNHRFSFGEPMTVESTTQALCDLALRFGEGDEESMSRPFGVSLLIAGHDENGPSLYYTDPSGTFWQCNAKAIGSGSEGADSSLQEQFNKELTLQEAETIALSILKQVMEEKVTPNNVDIAKVAPTYHLYTPSEVEAVISRL >OIV90136 pep chromosome:LupAngTanjil_v1.0:LG20:20636771:20639071:-1 gene:TanjilG_01590 transcript:OIV90136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHFSCFLILFAMFLLTTRFVSSSAVQDPELVVQDVQRSINASRRNLGYLSCGTGNPIDDCWRCYPNWENNRQRLADCAIGFGKDAIGGRNGKIYVVTDSGDDDPVNPRPGTLRHAAIQDEPLWIIFQRDMVITLKEELLVNSFKTIDGRGASVHIASGGCITIHYVSNIIIHGIHIHDCKPRGNTNIRNSPQHSGFWTISDGDGISIFNSKHIWVDHCSLSNCHDGLIDAIHGSTAITISNNYFTHHDKVMLLGHNDYYTQDKDMQVTIAFNHFGEGLVQRMPRCRHGYFHVVNNDYTHWEMYAIGGSANPTINSQGNRFLAPNIRFSKEVTKHEDASEDEWKNWNWRSEGDLFLNGAFFRQSGGGAGASYARASSLSARPSSLVGSMTVTSGALICRKGAHC >OIV91176 pep chromosome:LupAngTanjil_v1.0:LG20:2404020:2406319:-1 gene:TanjilG_30398 transcript:OIV91176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTLQLCPTILPIRSCTQTKSEGAPQNPGRRPKISPQLNRWSRARSIRSGRKLDRSSLRTPTLEPNQPNPPSPLSIPLDPDDAVSDDGADVRFAKSIYIVSDGTGWTAEHCVNAALGQFDYCLVDHGCPVNTHLFSGIDDAEKLLEIIKQCAKEGALLVYTLADSSMASSAKKACKLWGVSFTDVLGPITEAIATHLGASPSGLPRGASGVPLSDDYFRRIEAVEFTIKQDDGACPKNLAKADIVLTGVSRTGKTPLSIYLAQKGYKVANVPIVMGVEMPRTLFEVDPWKVFALTINPVVLQNIRKTRAKTLGFSSESTSNYSERDHIREELEYAGRIFAQNPVWPIIDVTAKAIEETAAVVLRLYHDRKHKCLMPRISKRY >OIV90825 pep chromosome:LupAngTanjil_v1.0:LG20:5437415:5439641:-1 gene:TanjilG_15558 transcript:OIV90825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTRMDAALDSMLPYGFPKKLVRQTVNHLLKVYGGSDGWVFIEDSAYSLLIETLLEKQQEEEEGEEGTTAEAGHNVDMEDNPADGHSEAPPTGSSNGTLIPCSNLETFVDSSLSNQAIETVSATSETCIQLPIKPLDTLSPASETSKQSPIKAVNPASATCGTGTKLSSSPSITSIKFETKVDCIIKHIYCKPNETFAMDEIHGTPLACSSLQTKLPQPAERLCHQRRRPCFGWISDDEEDEEPIELPPAPLCLKSKLKY >OIV90619 pep chromosome:LupAngTanjil_v1.0:LG20:8501840:8510112:1 gene:TanjilG_01700 transcript:OIV90619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQKDITRFLTKTIDSFIQDRLINKEQRIEHKEQCAERLAAKDGSSDKDTEVEYSDQAVIANLDWGIEALEEAISTYNMETKLARLDHAEKMLQVCAMLNPKQKTAGVPNFYLSAWAHLNLSYLWKLRSNTQNCIFHALEMFIVDPFFSRVDFAPDLWKTLFLPHMSSIVGWYSEERHKLMMEVVSGSANLSFTADLDQVFNESLVSSMRPHQLDKLQKLDQLYGESLNENTRLYAKYYKDCMNSDSTSGKKVSMLPIAEPPMTPLHELSLSIPDYIKFGPILPKSAGFSSTSIPKDIVNERENSASSHSKKAEKSSTLGAKENIIEENENYSESELDGVSVDSDNKNNIFSPEKKMIKDEYMEPNSSNQKNQIYSPNLSPLDSRRTSLDNSSTNPDLSSKREPKILRSLSSRFRDSAISDLTLSPDMSSDHIFNSDNDVMVFRNNQRKNYNHTPSMNHDNDNSLILNDSSICESDDGYQGCISLPKLEKPTSGSKPPKDFVCAITGQIFCDPVTLETGQTYERKAIQEWLRTGNTTCPITRQPLSASALPKTNYVLKRLITSWKEKHPELAQELSNADTPRSSSLSPFAKDIPMHSIIGTEDSPHQKNKGDCNKQNSSTSIPKDIVNERENSASSHSKKAEKSSTLGAKENIIEENENYSESELDGVSVDSDNKNNIFSPEKKMIKDEYMEPNSSNQKNQIYSPNLSPLDSRRTSLDNSSTNPDLSSKREPKILRSLSSRFRDSAISDLTLSPDMSSDHIFNSDNDVMVFRNNQRKNYNHTPSMNHDNDNSLILNDSSICESDDGYQGCISLPKLEKPTSGSKPPKDFVCAITGQIFCDPVTLETGQTYERKAIQEWLRTGNTTCPITRQPLSASALPKTNYVLKRLITSWKEKHPELAQELSNADTPRSSSLSPFAKDIPMHSIIGTEDSPHQKNKGDCNKQKSKRFMQFVVSTSPTSVLSQAAVETIMNCLKPYISTLCTSENLQECEKAVMEMAKLWKDSKTDSQILSYLSKPTIINSLVEILSASMSREVLRTSIYILSDLISADESVGETLHNLDSDFDCMTTLLKNGLAEAAILIYQLRPVYSQLSSHELIPSLVKVIQDKNEDLNDFHLALGPKDAAIAILEQILIGGDENRRSLNALNIISANGIPALLKYLEKMEGRRAIIYVLLCCMQAEKGCKSSIASGIELSPVLELFHAGNDSVRGICVEFLAELVQLNRRTFSNQILQIIKDEGTFSTMHTFLVYLQMAPMEHQLAVASLLLQLDLLVEPRKMSIYREEAVETLIEALWQKDFSKNQIKALDSLLFLIGYVTSSGKSYAEAWLLKIAGFDQPYNALMEAGQLGEFDNDMIETMEDEKKALNSWQKGIAFVLCNHENGSIFKALEECLRSNSVNIVKSCLVLATWLTHMLSTLPETGIREVARKSLLHALINVLHSSKNLEEVILATLSLKAFLNDPFAHEALGVYAKSIYRTLRKLRKYSVVAVDIMKVLLNLKSVDMTELWSCKEVVELDLSSNGEVLSLLYLNGQVLSGHSDGTIKLWDSRKRVPRIIQETHEHTKAVTSLCSSGDRLYSGSLDKTIRVWMIKPDEIICIDVHDVKEAVYVLTANAKLACYVSQGTGVYNWSDTPKLINFNKYVKCLAIAGDKLYCGCSGYSIQEVHLSNYTSISFFSGTRKLLGKQTIHSLQIHDGFLFACGSSVDSTAGKVFSLSTKMVVGSLLTGFDIHRVAINSDFIFAGTKYGTIEVWLKDKLTQVASIKMAGGHTKITSLVSDADGMMLYVGSSDGKIQVRP >OIV91359 pep chromosome:LupAngTanjil_v1.0:LG20:1033371:1033970:-1 gene:TanjilG_01977 transcript:OIV91359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGNYVNKNTHREDHSYGFEEHARGTSWPARNYACSFCKREFRSAQALGGHMNVHRRDRARLRSSLCSWVSECPKPNPNTTKPNNPSSPLSDELLNCTHRTPLYSPYLTLPSSTNGDKKPRLASSSQQLSPLSTQSMEIKMMSKYTTMSTFNVEDLKDCEEEDEGRIFKNSSEHNLTLELGIGLLKQEEKLDLELRLGH >OIV90152 pep chromosome:LupAngTanjil_v1.0:LG20:20483799:20484838:-1 gene:TanjilG_01606 transcript:OIV90152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCYSFQQNAFAACEEMRGSVNLADQRETVICPKPRRVGVLSNMAIRPLRWHLNQQAEGSDSKAGADLLDIIFKKESHGDEIANQVASSPPYFCGSPPVRAANPIIQDARFGDENHSPISPSGLPSPASASRKGGCVRMNFGLKPAAVRVEGFDCLNRDRQNSGITAVA >OIV90988 pep chromosome:LupAngTanjil_v1.0:LG20:3900560:3900901:1 gene:TanjilG_16948 transcript:OIV90988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQDVVFKLEETLVINPRSMILFGAWEMPSLHKTFLIPDQDEAKFYFDKAAEYFQQAVDEDPTNELYQKSLEVVVKAPELRVEIHKQGFGQQLQAVGPSSSFLGTKILLWQRF >OIV91063 pep chromosome:LupAngTanjil_v1.0:LG20:4556957:4561001:1 gene:TanjilG_17023 transcript:OIV91063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKHFWVGIIIVIGLVSSNLADAFETYHINETELSLLEAHEVSESLVGRNPLMVGITLIQAAAAKGAVCTDGTLPAYHLHRGYGSGANSWLVNLEGGGWCNNVRSCVFRKKTRRGSSAFMEREIPFTGILSNNPQENPDFFNWNRVKIRYCDGASFTGDSEHRDAQLQFRGERIWWAAIEELKSKGMRFAKQALLSGCSAGGLATIIHCDEFRGLFPRTTKVKCLSDAGLFLDAPDVSGGHTLRDFFTGVVNLQGVQKNLPFTCTNHLDPTSCFFPQNLIGSVRTPLFILNTAYDSWQIQSSLAPPSADPHGYWRNCRSNHAKCTGSQIRFLQGFRNHMLNVVKGFSRSNQNGLFINSCFAHCQSERQDTWFADNSPAIGNKAIALAVGSWYFDRAVVKDIDCPYPCDNTCHNLVFRQTKSHQ >OIV90088 pep chromosome:LupAngTanjil_v1.0:LG20:21148736:21151563:-1 gene:TanjilG_01542 transcript:OIV90088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSQRDMSDKDPGIKLFGMKILVTSQMGCQISAKSSTMNARPSETEVEIPCAGNSQQRENSTDLEDSKQESEHNVKESESKANPKLVEDDQETSSTDQDKTFKKPDKILHCPRCNSLETKFCYFNNYNVNQPRHFCKNCQRYWTAGGTMRNVPVGAGRRKNKHLPTQFRHIIVTSDGIPTTRFETKDSSGHQLVSTLESEAAFRSSVENGTVLKFGPDAPLNESMESMLNLREHKRSVDGNSIHYHDNREDEPSLCGSSVTKAYTPGNELSEHYKSNSLQCYPVPPWAFRSNPGWNTVASMAPVHQPSLRMCNPSVSMQWCSAPMVAVPTMCPPSMPLQFVPASYWSGTPVWTTAAGTGAIGSNGYLSPSSSTSNNSCCSGNGSPILGKHTRDTVLSDEEKSDMCVFVPKSLRTEETHDA >OIV91448 pep chromosome:LupAngTanjil_v1.0:LG20:325396:326311:1 gene:TanjilG_02066 transcript:OIV91448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKECKCCGKLGKMGPRDGSMSAYEFSLLLSPVVSFWDCIVRKMSMAFNKGHHVGKGKKKQVKDEFDRLKQAEKKKRRLEKALATSAAIISELEKKKQKKKEEQQRLDEEGAAIAEAVALHVLLGEDSDDSCKVVIDDNGHKTWNCNHNLDLFMAGKRACFPHLDGGTWSVTAENGEWSFSSGSFDKNVYEPLYEEAGWDSAGFSADLIAAQAVRSLQIAENADEDRILF >OIV90369 pep chromosome:LupAngTanjil_v1.0:LG20:15862674:15867428:1 gene:TanjilG_19778 transcript:OIV90369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTFKYVILGGGVSAGYAAREFAKQGLKPGELALISKEAVAPYERPALSKAYLFPESPARLPGFHVCVGSGGERLLPEWYTEKGIELILSTEIVKADLAAKSLTSARGETFNYQNLVIATGSTVIRLTDFGVQGADAKNIFYLREVDDADKLYETIKGKKNVKAVVVGGGYIGLEISAVLKLNNIDVTMVYPEPWCMPRLFTSDIAAFYEGYYANKGVNIIKGTVAVGFNTNSDGEVKEVKLKDGRVLEADIVVVGVGGRPLVSLFKGQVEEEKGGIKTDSSFKTNVSDVYAVGDVATFPLKLYGELRRVEHVDHARKSAEQAVKAIKAAEDGKTVEEYDYLPYFYSRSFDLSWQFYGDNVGDTVLFGDNKPESPKPKFGTYWIKGGKVVGAFLEGGTPEENNAIAKVARIQPPVESVDQLAKEGLSFASKI >OIV90238 pep chromosome:LupAngTanjil_v1.0:LG20:19393551:19396712:1 gene:TanjilG_01434 transcript:OIV90238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAINIILNPCQPLSCTSLVRGNILKKNMFPQHLCLKERSGSKSFQCESKRNSTFNHKSSNQNILRELPEASFDEYLEEKGRIIRIIFPGKFPREQLNEEKWKVSLTPVEALFLTCQPVIHLTARCTSDAENYPPEVSRNVTKIFEVQVSKCEFQKLPVEYAPKNFKINCRGAIYLERQRKNSWMKNQLDFKISLDFPPLLAWVPEYVLQGIIHTVLKSYINDINNGLALRLLEDYNSYKRNHSKNSA >OIV90081 pep chromosome:LupAngTanjil_v1.0:LG20:21280124:21281550:-1 gene:TanjilG_01535 transcript:OIV90081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDQPQGGYGTSGTRRKGAALRRAVAAEGQETVVVSPCGACKFLRRKCVAGCIFAPYFGSDQGAARFAAVHKVFGASNVSKLLSNIPESHRQEAVATVSYEAQARLADPVYGCVSSIFALQQQVASLQAEVAMTQTQLTNSRCAYVTALQTQQQQLQQPNMNLAMQPAYSNNSSASTNFMDMSNFNHGFDLAMGTAPSSHSLDPLHLSQMSHYEEEDEQESKIPPIFNHDIGRHSR >OIV90045 pep chromosome:LupAngTanjil_v1.0:LG20:21614154:21616342:-1 gene:TanjilG_21177 transcript:OIV90045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEKMNKKEMKEVIRLERESVIPIIKPTLIMTLANLIQHSSDRAEFLKLCKRIEYTIRAWYLLQFEDLMQLYSLFDPVSGAHKLEQEKLTSREIDALELNFLTYLFQDTIGRFQCVGLKRANEIIGTTTEELVLMARQGANTP >OIV91282 pep chromosome:LupAngTanjil_v1.0:LG20:1592367:1596787:-1 gene:TanjilG_01813 transcript:OIV91282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSSSASLFGFREEDQNQNQLNQQQHSSSATPAALPQKKKRNQPGTPSKALGDLTGIKKHYSRKHGEKKWKCEKCSKKYAVQSDWKAHSKTCGTREYRCDCGTLFSRRDSFITHRAFCDALAQESARQPPNLISNANIGSTSHLYGTNNSNMALAFSHVATQMSNMQDQINNNHSTDMLGLGGNPGRTGQFDHILHPSLQAGSSSFKPSQQTMHHSPASFFMSSDNLNQNYHHPDQSQQQQQQQGLVQQNKVPFQGLMHLSHDGLNNHHNNSPSPGGSANLFSHPFLSSNNSTNSSNNSFSEHFNNANGSVMGGNEATNFFTTSNAIMGGGHHQQTITSSAPSLFSTSLQSNTSAANTHHMSATALLQKVAQMSAISSNNTTSLLKSFGSSTSSSSGSKTEQHRPIHVPALPPATNYSSIFGGNENSNNNLQDLMNSFAASGNSSIFEHSSSVGFTGFEPYDHNSNRSREQPKLHGVKIGTGSDDRLTRDFLGVGATVRSISGGNGGIVSQREQHGHGGGFNLSNTLEAETNNDAVPSRQAFGGNFFSE >OIV90565 pep chromosome:LupAngTanjil_v1.0:LG20:9431202:9431483:1 gene:TanjilG_31639 transcript:OIV90565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSQYSKSLHLPCKNFSVVLILVYLLLVSSCTAIRTGVTMRQSESTKLLRRKSHPDFQHHGLVFNFFPKGFPVPPSGPSCRHNAVVDSKPQN >OIV91310 pep chromosome:LupAngTanjil_v1.0:LG20:1402529:1410827:1 gene:TanjilG_01841 transcript:OIV91310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEKVDSPITVLEDYFRSSDSETSSSKDPTMYSESQDISKPASKWHAFFQLMRNKSKKPVPILHPLNGLKLSKRLSRSMRENILPSCLLDTTLSSPCKSPWKIFTNHDILLATNHFSQENLIGKGGYAEVYKGCLPNHQVVAIKRLTRGSADEKIGDFLSELGVMAHVNHPNTAKLVGYGVDGGMHLVLELSEKGSLASVLYGSKEKLPWFIRQKIALGTAKGILYLHEGCQRRIIHRDIKAANILLTEDYEPQICDFGLAKWLPENWTHHTVSKFEGTFGYLAPEYLLHGIVDEKTDVFAFGVLLLELVSGRRALDYSQQSLVLWAKPLLKKNDIKELIDPSIADEFDSRQMNLMLLAASLCIQQSSIRRPSMRQGFLDDQFIQLQKLQDETSPDFVIEVITMFFEDSENLINNMKRALEQTPVDFRQVDAHVHQYKGSSASVGAARVKNVCATFRNFCDAQNLEGCVRCLQQLQHEYSLLKSNLQHLFGLQEQIQAAGGSIPTIE >OIV91173 pep chromosome:LupAngTanjil_v1.0:LG20:2428714:2431320:1 gene:TanjilG_30395 transcript:OIV91173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTKFKGICKSFKYITQIFVAKEREMEIGYPTDVKHLSHIGWDGHSGSKPSWMSHFETAPDFSTSRGNLVGQMDPNPLAMSTSGSSQDFEESKGIQPTPNTYKGISSGGASHVPKKPKRKKVKSTSSSESLSASSIKSSRTAKPVARYSEREATPIAQA >OIV90774 pep chromosome:LupAngTanjil_v1.0:LG20:6039650:6040856:1 gene:TanjilG_15507 transcript:OIV90774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSIVNNQYELCEEIGRGRFGTIFRCFNPTTNQVFACKIINKTLLTDSTDRNCLLNEPKFMTLLSPHPNILQIYHFSEDDDVLSIVMELCQPLTLFERILKTNGSNTLTEPQSAFIMHQLLSALAHCHRLGVAHRDIKPDNILFDSRDNLKLADFGSAEWFGEGKKMSGIVGTPYYVAPEILMGREYDEKVDVWSCGVILYIMLSGIPPFYGDDAAQIFEAVVRGNLRFPSRLFRSVSSSAKDLLRKMICRDVSRRFSAEQALRHPWILSGGETADLS >OIV90549 pep chromosome:LupAngTanjil_v1.0:LG20:9770851:9771036:-1 gene:TanjilG_18153 transcript:OIV90549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCQVLDTMHQVEDNCDNIPRPTRMICPSQSCAISISNGVPQLDCLGQSPGQPGSIDRTEA >OIV90006 pep supercontig:LupAngTanjil_v1.0:KV862198:190659:205209:1 gene:TanjilG_00352 transcript:OIV90006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SNPLVAYLSISTPSLCHGVLQNEISSYTIEMVKRLCPEVVEIVKPAREGYQLTLRLNLNQIPPGKDYVKVIGDISTVQSVILSSQLKEILWNVNSDVTMQGMFKPIKLVYHPREPFFIIMQELVDVGSSDKWAKAPPCTWSAIPPPELRGETLEDLSTNGGFVTFDISSRHIEDKRLDKTVWNLLNFNAYVRYHVKVLHHTNSEENEQTKQHQGSTCIRVLVFSSSASNGPLASPPLKYRTLTTPGTIQKPKPLPQ >OIV90003 pep supercontig:LupAngTanjil_v1.0:KV862198:58061:59593:-1 gene:TanjilG_00349 transcript:OIV90003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDASSEMVQACKNAADVSNNDNIESVYVVGDEEFLPIKESSLDLVISCLGLHWTNDLPGAMIQSRLALKSDGLFLAAILGGETLKELRIACTMAQMERDGGISPRVSPLAQACSLKRHYHE >OIV90005 pep supercontig:LupAngTanjil_v1.0:KV862198:175482:177789:1 gene:TanjilG_00351 transcript:OIV90005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDQGKLFIGGISWDTNEDKLRDYFGNYGDVCHASVMRDKNTGKPRGFAFVVFSDPSVIDRVLEDTHVIDGRTSISENDVVHTSIMREKNTVTFMLHECYLFVDEHVHLYYTVDAKRALSREDQQVSFNSRSGNSNSARNSGNGGNIRTKKIFVGGLPPTLTEEKFREYFEAYGQITDVVVMYDQNTGRPRGFGFISFDNEDAVDSVLYKTFHELSGKNVEVKRALPKDANPGASNRIGGAECGGYLGYGASGGNQNAYDGRIDSSRYMQPQNNASGFPPYGSSGYTAPGYGYGAANNGIGYGAANNGIGYGAANNGIGYGAANNGI >OIV90002 pep supercontig:LupAngTanjil_v1.0:KV862198:27281:30535:1 gene:TanjilG_00348 transcript:OIV90002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRLNLKLMWSLTLISLCLYPYHVSGVLSTNHYANICPNLETIVRQAVQKKFQQTFVTIPSTLRLFFHDCFVQGCDASVMIASTANNKAEKDSSDNLSLAGDGFDTVIKAKAAVDAVPQCRNKVSCADILALATRDVVALGGGPSYSVELGRFDGLVSRASDVTGRLPQPEFKLNQLNTLFASNGLTQTDMVALSGAHTLGFSHCDRLSSRIYSTPVDPSLNKQYATQLQQMCPRNVDPRIAINMDPTTSKTFDNVYYKNLQQGKGLFTSDQILFTDQRSRATVNSFASNSNVFNQNFITAITKLGRVGVKNASNGKIRTDCSVL >OIV90001 pep supercontig:LupAngTanjil_v1.0:KV862198:513:6556:1 gene:TanjilG_00347 transcript:OIV90001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEDRMGMRKSIG >OIV90004 pep supercontig:LupAngTanjil_v1.0:KV862198:151400:156112:-1 gene:TanjilG_00350 transcript:OIV90004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQKSRRESYSGPISTTSSHNNQYHSGPISITCSHNNQYHSCPISTTCSPNGQRLHCHHHHHYYSQGQQTLLELDDKGYNMVSPFEKLEGLSQDDIRETAYEIFFISCRSSPGFSGRHPLSFNSKTHENSNVNGGKPSNVVMSPTSRVKRALGLKRASSRRMVSGGGGGTISAGGGSPMHYTVPPARPRRPMTSAELMRQQMKVTEHNDNHLRKIITRTLVGQVGKRVETIILPLELLRHLKPSEFSDSREYHMWQKRQLKILESGLLQYPSVPLEKSNTFAMRLKDIIRSGEAKPIDIGKNSDTMRTLSNSVVSLSWRSNNGTPTDVCHWADGYPLNIHLYTTLLQAIFDFRDETLVLDDVDELLELIKKTWSVLGITRPIHNVCLTWVLFQQFIATRQVENDLLCASYAMLNEVANDAKREKESFYVKIWTSVLSSMQGWAEKRLVNYHDHFHRGSVGQIENLLPVVLSVSKILGEDLKIADEEEGGEKGDITIVDSSSDRLEYYIRSSIKNAFDKVVEKVKFKSSDLELKGELSEILVKLAQETEDLAMKERETFTPTLKKWHQTAGSVAALVLHNCYGNMLRQYLNELTSLTSETSQVLQRAEKVEKVLIQMVVDDTREGDDNGKTVVKELVPYEVDSIILNLLRQWIQESVHRGKEYLQRAKETETWNPKSKLEPYAQSAAELMKLAKTTVEKFFQIPIGNTEDLVPHLAEGLESLFQDYMMFVASCGTKQSYVPSLPPLTRCNRDSKFSKLWKRTAPCGSSLSDLYHMHGTNEGHHPRPSTSRGTQRLYIRLNTLHYLHSHILSLEKTLTQTQSPGVTVPSNRHRFATNRRSQSNSSLSYFETVNLSIPEACQHVSEVAAYRLIFLDSNSTFYDSLYVGDVDNARIRPALRILKQNITLMTAILADRAQPLAMKEVMKATFDAFLMVLLAGGSSRVFNRLDHVMIQEDFESLNRVFCTCDEGLIAENVVEGEAAVVEGVIALMGQSTEQLVEDFSIVTCETSGKGMMGSEQKLPMPPTTGRWNRSDPNTILRVLCHRNDRTANNFLKRAFQLAKRRS >OIV89995 pep supercontig:LupAngTanjil_v1.0:KV862199:209623:212442:1 gene:TanjilG_00373 transcript:OIV89995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLRTATRLPHLAPSSQRALSLHTTLPSLSPETAATPYARPPPPSTSSPAGISKTAEFVISKVDDLMNWARRGSIWPMTFGLACCAVEMMHTGGARYDLDRFGIIFRPSPRQSDCMIVAGTLTNKMAPALRKVYDQMPEPRWVISMGSCANGGGYYHYSYSVVRGCDRIVPVDIYVPGCPPTAEALLYGLLQLQKKINRRKDFLLWWTK >OIV89990 pep supercontig:LupAngTanjil_v1.0:KV862199:176025:176594:-1 gene:TanjilG_00368 transcript:OIV89990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYYFSLRPSLISCTLLTFLLFAESTFASKIVDVNLICEEVENPSFCSKFLNSKPGGAKGADLGSLANYTVEVAFGNITKTVKLIKSLIARSGKDREAKSHYEVCLIFFSEERGSLGGIMETQKRLEARDYFGVITSATSVVANIEYCISGDDPQDPPYPDKSKLPRYARFIEQVVEIILVISKYLTLE >OIV89993 pep supercontig:LupAngTanjil_v1.0:KV862199:190277:194447:-1 gene:TanjilG_00371 transcript:OIV89993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYSTDSVSLDFDALFLPPPTEYIIPTYHGYVSVAVYGDPEKPALITYPDLALNHVSCFQGLLFCPEACSLLLHNFCIYHISPPGHESGAAAIDPDYPILSVDDLADQIAEVLNFFGLGAVMCMGVTAGAYILTLFAMKYRQRVLGLILVSPLCKEPSWTEWFCNKVMSNLLYFYGVCGVVKEILLKRYFRKEIRGGTQLKESDIVKACRKSLDERQSLNVWRFLEAINGRPDISEGLRKLNCRSLIFVGDMSPFHSEALHITSKLDRRFSALVEVQSCGSLVTEEQPNAMLIPMEYFLMGYGLYRPTNLSVSPRSPLSPSCISPELYSPESMGLKLKPIKTRISMRV >OIV89983 pep supercontig:LupAngTanjil_v1.0:KV862199:96100:101281:1 gene:TanjilG_00361 transcript:OIV89983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKREKDEEKVVGPMFPRLHVNDTEKGGPRAPPRNKMALYEQFSIPSQNLNQLGSSSQGTGSGRSYIIPCHLPSQMPTHRAEIYISHQSNRARQNTSSAHLEQRKKVDEDDFMVPVYVDSRTGQCNDKRLESFDRKKLTSMGSRNFGCSLEVQKDGGRHLKQLGSPLTNMREDASSESEGFAQVSPSRDPPAKNISTVETTKSLVNQTKVIQYQDCPASFVSSLCQADDCLQQECVAGSQSNNIGHRHREVLLDSIGDTDKGNTTLPMGCFRSPTNQTSPVEAMHETEFDDTTRTASPVQKGSSDESDDVSKISSIGNLSSLKASPDDVVGVLGQKHFWKARRKIANQQRVFAVQVFELHRLLKVQHLIARSPDLLLEDGAFMGNSPPKRSTPNLTLEVVVKPQLQNHKRKDDSEKLNHNKMECSAENAVGKRSCSTPKNGSHHSNYTPFAGNLHQTEAAADNRMGSWGFNQSPGHQWLIPVMTPSEGLVYKPYPGPAFPGTMCGGGCGPFGPGPPGGTFMNPSYGVPPHISPGSLTYFPPYGMPVMNQAVSGSADEQVNHFAAQGSHDQNGHSLVEGANFNTHNQVSNNSPVQRKGAISHVKKSHASKKSELQGSTASSPSEKAQGIRKRQIADGRDAHSLSHVAALIPEGDLQSLETRPQTRVIKVVPHNRRSATESAARIFQSIQEERKQYDLM >OIV89989 pep supercontig:LupAngTanjil_v1.0:KV862199:160261:160473:-1 gene:TanjilG_00367 transcript:OIV89989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTQERLKARDYFGVITSAASVIANIEYCISGEDPQDPPYPDKSKLPQYVRFIEQIVEIILVISKYLTQE >OIV89979 pep supercontig:LupAngTanjil_v1.0:KV862199:32020:33129:1 gene:TanjilG_00357 transcript:OIV89979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DPIKYLTFSMIISILGIRGILLNRRNIPIMSMPIESMLLAVNSNFLVFSVSSDDMMGQSFASLVSTVAAAESAIGLAIFVITFRVRGTIAVEFINSIQGFIIFSRKSLGKTFKVTLDGRIQAIQEESQQFPNPNEVVPLESNEQQRLLRISLRICGTVVESLPMARCAPKCEKTVQALLCRNLNVKSATLPNATSSRRIRLQDDLGTKFHLLVRRRFCPQSLSKAEKIELIRESLVVLRMVRVGGYLKNK >OIV89982 pep supercontig:LupAngTanjil_v1.0:KV862199:55624:60968:-1 gene:TanjilG_00360 transcript:OIV89982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQLGDKPVHDESRSRMVPIHIVTNASQLPIEFLEPSPQSQIAIGFDCEGVDLCRYGSLCIMQLAFPDAIYLVDVFEGGEVLIKACKPALESNYITKVIHDCKRDSEALYFQFGVKLNNVVDTQIAYSLIEGQEGRKKSPDDYISFVGLLADPRYCGMSYLEKEEVRVLLRQDPKFWTYRPLSELMVRAAADDVRFLLYIYHQMMEKLSERSLWYLAVRGALYCRCFCVNSNDYADWPPLPPFPDKLKSDGDVIEEEILSVLDVPQGKMGRIIGKKGATILSIKESCSAEILIGGSKGPPDKVFVMGPVKQVRKAEAMLRGRLMDIY >OIV89985 pep supercontig:LupAngTanjil_v1.0:KV862199:118453:118641:1 gene:TanjilG_00363 transcript:OIV89985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSKVMFITAMSVLAAFISVAAAAETPAPSPASPAAYVSPSFAAGAVAAVVALAFGSAFKI >OIV89992 pep supercontig:LupAngTanjil_v1.0:KV862199:185472:186137:1 gene:TanjilG_00370 transcript:OIV89992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTVSGNSVSSKQISLSKAAKILSKFVSADNGASQVTSAYLHRASDAFNELKHLHKELNSPQSHKKHKRIHDDSGNVVVNYVHSFEINLELNHERQFDNENGEKSIQTVAKFSEEFIGSNGYDEGNGRSEKNKKNKKKHHVESRRDGDSRVNYEEREGEGKLPTSLGREQSHENTVATEKGKKQKKEKKKDKEGNGELSNNVEDNEGMSKKKMKRKHDDDF >OIV89991 pep supercontig:LupAngTanjil_v1.0:KV862199:178514:179083:-1 gene:TanjilG_00369 transcript:OIV89991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYYFSLRPSLISCTLLTFLLFAESTFASKIVDVNLICEEVENPSFCSKFLNSKPGGAKGADLGSLANYTVEVAFGNITKTVKLIKSLIARSGKDREAKSHYEVCLIFFSEERGSLGGIMETQKRLEARDYFGVITSATSVVANIEYCISGDDPQDPPYPDKSKLPRYARFIEQVVEIILVISKYLTLE >OIV89977 pep supercontig:LupAngTanjil_v1.0:KV862199:3122:7622:1 gene:TanjilG_00355 transcript:OIV89977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKRGRGGSAGNKFRMSLGLPVAATVNCADNTGAKNLYIISVKGIKGRLNRLPSACVGDMVMATVKKGKPDLRKKVLPAVIVRQRKPWRRKDGVFMYFEDNAGVIVNPKGEMKGSAITGPIGKECADLWPRIASAANAIV >OIV90000 pep supercontig:LupAngTanjil_v1.0:KV862199:400399:400903:1 gene:TanjilG_00378 transcript:OIV90000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNEKVKDALGVKNLDFVSCSISVYYAMLKDWMKILEVDIPSLLEDGIKLLVYVAEEDLICNYRWVRAMKWLGQKKFKSTPKVKFIVDGGKVGSLTSHGPLSFLKVYQAGCMVPMDQPKASLEMLRKCMRGKLYVSKKRD >OIV89986 pep supercontig:LupAngTanjil_v1.0:KV862199:138346:143283:-1 gene:TanjilG_00364 transcript:OIV89986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKELFHNNHSNGTSNNNFTSVRDRDKTDGSGSASGSASGSLLLRASSDTIRQTGTADLGLQWGNRKRLRCMKVQVKDPTNSSNPVQRTTVRVDRRVVRTDKDSSNNPTPTPSIVNNVNNNNNNQSNGYPNLRQRQPSPQQPQRILRSRSHYRADYYNYSTLLETWNGHSPSTCLPKVIVFKKSVFGRPMRKQVVYLVIPIQHLYTNLESSSAAMKGNHSNGTVRGIASPDRGAHDKRGTHNNNHLNDKSAASSDTAHDSKKGGSPSGSGDAAPPVWPPKFVIALTNKEKEEDFLAIKGSKLPQRPKKRAKFIQRTVNLVSPGAWLCDLTLERYEVREKKITKKRPRGLKAMGNMDSDSE >OIV89988 pep supercontig:LupAngTanjil_v1.0:KV862199:154648:158602:1 gene:TanjilG_00366 transcript:OIV89988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDEENILESILDDDVEMIDIEEGELVEDHDLLQISAAVERGDDINISEVDKGSDTKNRKRRVNKKKNKKKRKNSGPNAAINIDRFVLDTCRRLKEKKSYMVYTAVGCLGLSALSDIIKESMVVFDGTPGTGNGSLQHAQLLALTLLSILFYISHLNSSWGAFLNPSYIFTNVVGVDAIQACGGQKTADDKRFRTGGGVLWNIIKVREPQAYKEIMKKAKEFEKQFRHPNVKQPPMQKKEDDSPGVAFTLLCGNEGNVSDSSFPKSQKQDQHELSASEEKHVSVHDRLRIPVSYDDDLLGSNADNNAN >OIV89987 pep supercontig:LupAngTanjil_v1.0:KV862199:146652:151242:-1 gene:TanjilG_00365 transcript:OIV89987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSFSLPILFFLFTIILAFVSGSRDLAGDVLRLPSTQASRFLHDDNIQGTRWAILFAGSNGYWNYRHQADVCHAYQILRKGGVKEENIIVFMFDDIAFNEENPRPGVIINKPDGGDVYEGVPKDYTGSDVTAQNFYAALLGNKSALTGGSGKVVNSGPNDHIFIYYTDHGGPGILGTPVGPYIYADELNEVLKKKHASGTYESLVFYLEACESGSIFEGLLPEGLNIYATTASNAEESSWGTYCPGEYPSPPPEYSTCLGDLYSIAWMEDSDIHNLRTETLHQQYKLVKERTINGNAYDGSHVMQYGDVGLSKDFLYLYLGTNPANDNITFVDENSLKPPSKSVNQRDADLVHFWEKFRRAPEGSLRKIEAQKQIQEAMSHRTNVDNMVQLIGKLLFGIEKGPEVLNSVRPAGKPLVDDWLCLKTMVRTFETHCGSLSQYGMKHMRSFANICNAGIHNKQMAVASSQACISISGSPSFSFSA >OIV89981 pep supercontig:LupAngTanjil_v1.0:KV862199:37749:39332:1 gene:TanjilG_00359 transcript:OIV89981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNPVRWLFSTNHKDIGTLYFIFGAIAGVMGTCFSVLIRMELARPGDQILGGNHQLYNVLITAHAFLMIFFMVMPAMIGGSGNWSVPILIGAPDMAFPRLNNISFWLLPPSLLLLLSSALVEVGSGTGWTVYPPLSGITSHSGGAVDSAISSLHLSGVSSILGSINFITTISNMRGPGMTMHRSPLFVWSVPVTAFPLLLSLPVLAGAITMLLTDRNFNTTFSDPAGGGDPILYQHLFRFFGHPEVYIPILPGSGIISHIVSTFSGKPVFGYLGMVYAMISIGVLGFLVWAHHMFTVGLDVDTRAYFTAATMIIAVPTGIKIFSWIATMWGGSIQYKTPMLFAVGFIFLFTIGGLTGIVPANSGLDIALHDTYYVVAHFHYVLSMGAVFALFAGFHYWVGKIFGRTYPETLGQIHFWITFFGVNLTLFPMHFLGLSGMPRRIPDYPDAYAGWNALSSFGSYISVVGIRRFFVVVTITSSSGNNITRANIPWAVEENSTTLEWLVQSPPAFHTFGELPAIKETKRYVK >OIV89984 pep supercontig:LupAngTanjil_v1.0:KV862199:109029:112419:1 gene:TanjilG_00362 transcript:OIV89984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLEEGNERMNQTKGLPLRLLRLLVVFIALCVMFSIISMYTIKHFGIESVVTTMSSRLQPCYEEPENGLLDKWIRPRSNPIHNMSDKELLWRASFVPRINKYPFKRVPKIAFMFLTKGPLPLAPLWERFLKGHDRLYSIYIHSLPSYKARFPPSSVFYNRQIPSQVSEWGRMSMCDAERRLLANALLDISNEWFILLSESCIPLYKFSFIYNYIMKSKYSFVGAFDDHGPYGRGRYKSNMAPLVNITEWRKGSQWFEVNRKLAISIVEDTTFYPKFEHYCRPPCYVDEHYFPTMLTINAGNVLANRSITWVDWSRGGAHPATFGRTDINEEFLNRVRSRNRCLYNDRNSSVCFLFARKFAPSALEPLIHLDSKVLGF >OIV89978 pep supercontig:LupAngTanjil_v1.0:KV862199:24472:28809:-1 gene:TanjilG_00356 transcript:OIV89978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNISFLPSPYLLEPTVLEADGIEKRSESIAAITPYASASHTPPTSAGQDIYFVIGNKRKTGPHVMRFRKQRVSPIILFESLTKPVPRVPFANYTANATGAKSMGAGAATIASAGAAVGIGNVFSSLIHSVARNPSLAKQLFGYAILGFALTEAIALFALMMAFLILFVF >OIV89980 pep supercontig:LupAngTanjil_v1.0:KV862199:34205:34534:1 gene:TanjilG_00358 transcript:OIV89980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLINRTGRGVSQKERPPKVTTTKIRIVIRSFDHPFFENHFGGLPPYTRKIGLPESRVLYTVLRSPHIDKKSREQFEMEIKKKVLVIKTETHELRKKFFRLKRRATRRT >OIV89996 pep supercontig:LupAngTanjil_v1.0:KV862199:214180:220474:1 gene:TanjilG_00374 transcript:OIV89996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADESQYSDATPPSLKRKYDDQSTAAADSVLTAGIELAKQKAQEVAARLLNNAPLPTTVDPSKRSKPDNGFDSVDLKAQYPVSTFSPSVGSYGHQGAASKKIDIPNGRVGVIIGKGGETIKYLQLQSGAKIQITRDSDADPNSPTRLVELMGTPDAIANAEKLINEVLAEAEAGGSGVATRRLTGQAGSDEYVTKIPNNKVGLVIGKGGETIKSMQASSGARIQVIPLHLPPGDPSTERTLKIEGTPEQIESAKQLVNEVISGENRFRNPAMSGGYPQQGYQARAPSSWAPPGAPAQQPGYGYVQPGAYSGPTPQYNMSQQQYAGYPPQSAGGYSTGWDQSAAPPSQQSTHGSGYDYYSQQPPSQQPQNPGSAAPPGDGSAYNYSQPPTSGYNQLGQGYAQDGYGAYQAQAQSGYGQPPSYDQQQGYGSAPNYGTGNNPAQEGHTANYGSQADSTQVAPVQPSSVTQQGYASGQQQPSPHAANYPPQGTAQPGYGVPPTSQAAYGNQPQAPSGYGPPQSQKPGATPPVYGQSQSPKTVAAGYGQSGYPSSQPPTSGYGGAYGPTSYGAASGGQPGYGQAPPSYGNSSYGAGYAQPPAYGSDGNAGTRGSYDGTQTAQQGGTVAKTSPPQS >OIV89999 pep supercontig:LupAngTanjil_v1.0:KV862199:372366:373578:1 gene:TanjilG_00377 transcript:OIV89999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDFYITRESYAGHYILALASQYYDIRKQYEGSLCYDFSNVDKFFINEKVKDVLEVDIPSLLEDGIKLLVYAGEEDLICNWLGNYRWVRAMKWSGQKKFKLAPKVKFIVDGAEVGSLTSHGPLSFLKVYQAGHMVPMDQPKA >OIV89994 pep supercontig:LupAngTanjil_v1.0:KV862199:199842:203809:-1 gene:TanjilG_00372 transcript:OIV89994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQNSLKQVPTYNPMLKESINRFLSQYRKGATDFTDFTSIFSRMLNTFPDPPIQIIWFYSALEFHTIKLADTSAPSRRVAVAKDLFQLLVSCSDSCGPVKRIAVLAPLVFELYQLGFHEKEMKSEVEGLLERVISYCSILCGEALRCEDGMEVLEADLVDLIEVWMVGEQFFRAEDCVRGFFPFASEEFRKGVRVGCEIGLFAGVVMCEVLLLKMCLNFEFGITKVEVEKKLHGSAVQTISGFRNFYFLDALFRMMLEPVLPVISLLGSENEVFLKDVLYNAVMMIEHSCINPQAGVSLYANSLKDLAVTWLFVADSAIQSAREKGDHGKALSYVNAFSISCVPIQLINWVTQSGLGRKICRPNVSTPIALINWLLHVEDQGLAKHRANANFFASRTDCMLPAIKHFVNSLDKNCFSDSTHGETQADKLDGDIEMVDSVDPVSFAAGSRVRTTSIDGTRKRKEVIEDETKTQLKFMRCQFHENPIFCASKLEALSPCLLA >OIV89998 pep supercontig:LupAngTanjil_v1.0:KV862199:341696:344064:-1 gene:TanjilG_00376 transcript:OIV89998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDEISSPISTRILELCDPELFSDTVQNSEVTSSSNCCYEENTSYATNISPTIDVHNNINSISNIVTTPTSPTATSTNIIDNNIATNRNNLSLIFDSQEDIDIDISASIDFSSSPSFTVPSFLPVTTHQDQFDFSTMQPQAQLSPGSVLKGLLQYPTDPIVAPLMGAPLPSVFDDICIASIPSYIPLNSPSGNCSYLGRSMGMYMPPGPGNLTTTLSTDSSGLFGGNILLPSELQAQELDYQGVNVGIFCTDSTQRVFNPPDLQALSTESQQLTAGAGSSGTLAPEISNLGDSNLKVGKLSVEQRKEKIHRYMKKRNERNFSKKIKYACRKTLADSRPRVRGRFAKNDDFGETHRQASSNHEEDEEDIVVKEDADNMVDSSDIFAHISGVNSFKCNYSIQSWI >OIV89997 pep supercontig:LupAngTanjil_v1.0:KV862199:328801:329442:1 gene:TanjilG_00375 transcript:OIV89997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAFYASVEAETNCMSSKPNKAYVIPGRSVETQKALQVLRDLVTKKFSLLLHIGGNFWMEDNLNHLLSLSPDDGVSLRTKLILQQISEGFAEWSLDYEEAWFELASAVDDLSKSDEVNGNLEANEEEIKSITSEIADFAAKRDKVTKKKKQVFGRGTLLKAERDVLRKEEPMLKAKQELLKLTLATIEAA >OIV89968 pep supercontig:LupAngTanjil_v1.0:KV862200:82584:96530:1 gene:TanjilG_10250 transcript:OIV89968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSASPSQQQPVPARRLMRTQTAGNLGESIFDSEVVPSSLVEIAPILRVANEVEKTHPRVAYLCRFYAFEKAHRLDPTSSGRGVRQFKTALLQRLERENDPTLKGRVKKSDAREMQSFYQHYYKKYIQALQNAADKADRAQLTKAYQTANVLFEVLKAVNMTQSMEVDREILETQDKVAAKTEILVPYNILPLDPDSANQAIMRFPEIQAAVYALRNTRGLPLPKDYKKKKDEDILDWLGSMFGFQAILFKMQKHNVANQREHLILLLANVHIRQFPKPDQQPKLDDRALTEVMKKLFKNYKKWCKYLGRRSSLWLPTIQQEVQQRKLLYMGLYLLIWGEAANLRFMPECLCYIYHHMAFELYGMLAGNVSPMTGENVKPAYGGEEEAFLRKVVTPIYDVIAKEAGRSKKGRSKHSQWRNYDDLNEYFWSADCFRLGWPMRADADFFCLPVEHLFFDKSNDDKPANKDRWVGKVNFVEIRSFWHIFRSFDRMWSFFILCLQAMIIIAWNGSGNPLAIFNGGVFKKVLSVFITAAILKLGQAFLDVILSWKAQRSMSLYVKLRYILKVVSAAAWVIVLSVTYAYTWDNPPDFAQKIKSLFGNNSSVPSLFILAVAIYLSPNMLAALLFLFPLVRRLLERSNYRVVMLMMWWSQPRLYVGRGMHESTLSLVKYTFFWALLIFTKLAFSYYIEIKPLVQPTKAIMSVRISTFQWHEFFPHARNNLGVVVALWAPIILVYFMDCQIWYAIFSTLFGGIYGAFRRLGEIRTLGMLRSRFQSLPGAFNACLIPEEKNEPRKKGLKATLSRRFDQIPSNKGKEAARFAQLWNQIITSFREEDLISNREMELLLVPYWADSELDLIQWPPFLLASKIPIALDMAKDSNGKDRELKKRIEADNYMSCAVRECYASFKSIMKHLVQGEREKPVIDYMFTEVDRHIDASTLISEFRMSALPSLYKQFVQLIKYLLENDQKDRDQVVILFQDMLEVVTRDIMMEDQDHIFNLVDSIHGGAGHEGMLPLETEAHHQLFASEGAIKFPIEPLTAAWTEKIKRLYLLLTTKESAMDVPSNLEARRRISFFSNSLFMDMPLAPKVRNMLSFSVLTPYYTEEVLFSLRDLDSPNEDGVSILFYLQKIFPDEWNNFLQRVNCSSEEELKGNESDELEEELLRGMMYYRKALELQSFLDMAKDEDLMEGYKAIENSEDNSNRERLWTQCQAVADMKFTYVVSCQQYGIDKRSGSPRAQDILRLMTRYPSLRVAYIDEVEETSKDRQTKINKFYYSCLVKAMPKSSSSEPEQNLDQVIYKIKLPGPAILGEGKPENQNHAIIFSRGEGLQTIDMNQDNYMEEALKMRNLLQEFLKKHDGVRYPSILGLREHIFTGSVSSLAWFMSNQETSFVTIGQRLLANPLRVRFHYGHPDVFDRLFHLTRGGVSKASRVINLSEDIFAGFNSTLREGNVTHHEYIQVGKGRDVGLNQISMFEAKIANGNGEQTLSRDVYRLGHRFDFFRMLSCYFTTIGFYFSTLITVLTVYVFLYGRLYLVLSGLEEGLSTQKAIRDNKPLQVALASQSIVQIGFLMALPMLMEIGLERGFRTALSEFILMQLQLAPVFFTFSLGTKTHYYGRTLLHGGAKYRPTGRGFVVFHAKFADNYRLYSRSHFVKGIELMILLVVYQIFGHTYRSALAYVLITISMWFMVGTWLFAPFLFNPSGFEWQKIVDDWTDWNKWISNRGGIGVPPEKSWESWWEEEQEHLQFSGIRGIIVEIVLSLRFFIYQYGLVYHLTITKKGSKSFLVYGISWLVIFVILFVMKTVSVGRRKFSANFQLVFRLIKGLIFLTFISILVTLIALPHMTVQDIVVCILAFMPTGWGMLQIAQALRPVVKRAGFWGSVKTLARGYEIVMGLLLFTPVAFLAWFPFVSEFQTRMLFNQAFSRGLQISRILGGHRKERSSRNKE >OIV89973 pep supercontig:LupAngTanjil_v1.0:KV862200:157717:158219:-1 gene:TanjilG_10255 transcript:OIV89973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNVSNNPTASQGPATSESNNLPIKKHSSASVLGKHEGCCSAKDIQFGEPPLLLTGDSLAKAAITKADTDLLAQNRGNAMLRYKEKKKTRRYEKHVRYESRKVRAETRKRVKGRFVKATEAPDT >OIV89975 pep supercontig:LupAngTanjil_v1.0:KV862200:162666:163661:-1 gene:TanjilG_10257 transcript:OIV89975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNRESENRVTGCEFCSSEIGVLYCRADSAKLCLLCDQGVHSANILSRKHLRSLICDNCSSQPVSVHCHTHNLLLCHHCDWDSHSSPTNHHRTPVHGFTGCPSVSQLASMWGFNCNSDYGYLDWWVHDLMVVPNPLSSSLSSSSSSSSFCGKHKHIIHKQLLQLLNIPDSAAAIVTSVGANEEDNYCRVPVVQTINNNMEQLFQEEAVALHPQPHHPQPHQHQPQPQPVNVALTTSSMPIMPERQQYLHHEQVQESPQIINHNMFSNTHTNPKPQTVQVTYTYTFNSSKFHLWHLFMLCSLLQLLENASTIAVVTRLQTPPKPQHYCNLKP >OIV89976 pep supercontig:LupAngTanjil_v1.0:KV862200:166390:167726:-1 gene:TanjilG_10258 transcript:OIV89976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKRGRGGEEEEVVMGMPVSSYYYQNGLIPPNSVFGDPKGIPIQQTIYRDTPAPFNCPYCATTALSSIKSKPSLAAVIGCLMPMMLGVCFLCPSMDCLWHKYHYCPNCHQKVADFEKSDPCAVMDPSQWTQQSFALSG >OIV89961 pep supercontig:LupAngTanjil_v1.0:KV862200:421:6274:-1 gene:TanjilG_10243 transcript:OIV89961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESYVTIKNFDEDVEMGNKTNEISESRSVIMNNGEKTTTCFSSKQQRQPQSQSQSQTHRLVSLDVFRGFTVGLMILVDEAGGLFRALNHSPWNGVTLADLVMPFFLFIVGVSLALAYKKLPSRVVASRKAILRALKLLALGLFLQGGYFHRLGDLTFGVDLKQLRWMGILQRIAIAYLFTALCEIWLNADNTVNSGSSLVRKYRYQWAVALFLSGIYICLLYGLYVPDWEYQIPTEPSSAPMTLSVKCGVRGDTGPACNAVGMIDRTILGIQHLYKRPTYARMPECSINSPDNGPLPPDAPVWCQAAFDPEGLLSSVMAIVTCLVGLHFGHIIVHFKDHRVRMLYWMIPTSCFVVFGLTLDLFGMHMNKVLYSFSYTCVTAGAAGFLFVGTYLMLKLIGIGT >OIV89964 pep supercontig:LupAngTanjil_v1.0:KV862200:48391:52229:-1 gene:TanjilG_10246 transcript:OIV89964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLMQAKWYYYILLGIVDVEANFLVVKSYQYTSLTSVMLLDCWSIPTVMLLTWLFLKTKYRFKKITGVVICVAGLVLVVFSDVHAGDRAGGSNPSKGDILVIAGSTLYAISNVSEEFLVKSADTVELMAMLGIFGGIMSAIQICILERNELKSIHWTTGAVIPFLGFSVAMFVFYSLVPVLLKTNGATMLNLSLLTSDMWSVLIRIFAYHEKVDWMYFLAFGAVVVGLLIYSGGKKDEIQQHPNVGEEDPHPMKQDEEANSGHENKGSEAGSSKTWVA >OIV89969 pep supercontig:LupAngTanjil_v1.0:KV862200:97317:99726:-1 gene:TanjilG_10251 transcript:OIV89969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPTIATPLPIDSNNVETNQVIRENDSIKEQISVGKKARVDEKILGGWATAFILLANQALATLAFFGVGVNLVLFLTRVLGQDSADAANNVSKWTGTVYIFSLIGAFLSDSYWGRFITCTIFQLIFVVGLGLLSLTSWIYLIKPSGCGDEHTECIPASKLGIGIFYLSIYLVALGYGGHQPTLATFGADQFDEKNKKQKNSREAFFCYFYFALNVGSLFSNTILVYFEDTGKWTLGFAISLASAIIALISFLAGYRRYRFCETCGNPVIRVAQVFVAVAKKWKVQPGKPEELYEVEGKKSAIKGSRKILHSEDFGYMDKAATIIKEDEVNDPKSKWRLCTVTQVEEAKCVMRMIPVWLCTIIYSVVFTQMASLFVEQGDVMNSYIGNFRLPAATMSVFDICSVLLCTGIYRQVLVPLAGKLSGNPKGLSELQRMGIGLIIGMLSMFAAGATEYQRLRYVKPGEKTSSLSIFCQVPQYVLVGASEVFMYVGQLEFFNGQAPDGIKSFGSSLCMASISLGNYVSSMLVSMVMGITAKGEKPGWIPNNLNIGHMDRFFYLLAALAAFDFVLYLFCARWYKNMVVEDSVKGSHEDDEEEDETDINKV >OIV89965 pep supercontig:LupAngTanjil_v1.0:KV862200:60887:62694:-1 gene:TanjilG_10247 transcript:OIV89965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKGHTIPLLHFARLLLLRHNTAVTVFTTPANHPFVAEFLHGTAASIIVLPFHTADGIESTDQLPSMSLFYKFGNSTATMQPYFENALENEITIPRISFMVTDSFLWWTLQSATKFNVPRFAYFGMSCYSVCLSSEAVFSGILGGSQPDDELVTLTRFPWIKLCKNDFEAEFRNSEPKSDAYEFNMNVISAIGGSYGMLVNSFYELEHVFVDHSNKEYSPKSWCVGPFCLPQYTPNIHLIRGEKPRWIEWLDEKLKEKGSVLYVAFGSQAEISDEQLIEMAKGLEESMVNFLWVIRKAEWALPDGFEERVQNRGIVVREWVDQREILMHESIEGFLSHCGWNSVLESICAGVPILAWPMMAEQHLNARMVDEEIKIGLRVETCDGSVRGFVKSEGLRKSVKELMEGEKGREGRKKVTELADIAKNAVQEGGSSCSTLDLLLQEICTHHKELPPLSNLETIPSNNNI >OIV89971 pep supercontig:LupAngTanjil_v1.0:KV862200:117544:121363:1 gene:TanjilG_10253 transcript:OIV89971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFSCFDSMEDEKLNQNHHQQQQQQQQQTHQQQHHHHHHNNNIRNPNPSHISTMPSGADKLRSRSNGGSKREQPSPNGIHIPGVQIAAQTFTFRELAAATKNFRPESFIGEGGFGRVYIGRLESTRQTVAVKQLDRNGLQGNREFLVEVLMLSLLHHPNLVSLIGYCADGDQRLLVYEFMPLGSLEDHLHDLPPDKEPLDWNTRMKIAAGAAKGLEYLHDKANPPVIYRDFKSSNILLDEGFQPKLSDFGLAKLGPVGDKSHVSTRVMGTYGYCAPEYAMTGQLTVKSDVYSFGVVFLELITGRKAIDSTQPHGEQNLVTWARPLFNDRRKFSKLADPKLQGRFPMRGLYQALAVASMCIQESAATRPLIGDVVTALSYLANNAYDPKGSGGDDKRNKDDKGGRILKNDEAGGSGRRWDLEGSEKEDSPRETARMLDRERAVAEAKLWGENLREKRRQSALPGSFDGSNP >OIV89972 pep supercontig:LupAngTanjil_v1.0:KV862200:152022:153772:1 gene:TanjilG_10254 transcript:OIV89972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTISHNTLAFAFGMLGNVISFMVFLAPMVTFYRIYKKKSTEGFQSLPYLVALFSSMLWLYYALLKKGAFLLITINSFGAVVEFIYIIFFITFADTNSRRLTIKLFSAMNVGSFALILLVTRFAMHDGPLRVKVVGWICVSISISVFAAPLSIVRQVVRTKSVEFMPFNLSFTLTLSAIMWFGYGLFLKDICIALPNVLGFALGLVQMLLYAIYRNNSKKVTGNEKKASQETLKNVGGASQIGNGHEVFPMEEDIEQGNNEELEGEKHST >OIV89970 pep supercontig:LupAngTanjil_v1.0:KV862200:112558:113073:-1 gene:TanjilG_10252 transcript:OIV89970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGAKEKKSLITKTWERCKSIGGGQKSNIGDNHHSLMTTTTTWRSRSCPNIDSKLKEEKENSENKKGRVAPEGCFSVYVGPQMQRFNIKAEYANHPLFKILLEEAESEYGYNSQGPLALPCKVEIFYKVLAEMYEEGDNEETRQGCAAFRKRSSRSYQLLSPSTMAAINHS >OIV89963 pep supercontig:LupAngTanjil_v1.0:KV862200:39219:46652:1 gene:TanjilG_10245 transcript:OIV89963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKVIGQTVRDLKREVNKKVLKVPGIEQKVLDATSNEPWGPHGTLLADIAQATRNPHEYQMIMAVIWKRINDTGKNWRHVYKALTVLEYLVANGSERVIDEIREHAYQTSTLSDFQYIDSSGRDQGNNVRRKSQSLVLLVNDKERIVEVRQKAATNRDKFHNNPSGGMYRPGSYSNSAYGDRYDDDRHGSREEDRNGHGYGREREGGYKDDDRSSRDGDRYSRDYEERNGKDGYRDDDYRGRSPSADYQYESRSRSSDRDRDRSYEDDGHSSRGSNAKPEDRSLEARLERKISEQSIGAPPSYEEAVGESQSPVHSEKDVETSAASAPRGLSPHANDNPSQTSATIGSSPSVSNNPIEPTAIATAPATSNNVEMDLLGSLSDSFALVLMPATSATETPEGNANTASTASFAAPSAGSSNFNQSFEDPFGDSPFKAVPSTETAPSQPQTHQSFEPAYSTGHNEDFGFGDSFSVVPYSASGASDTQSFSITSQFPSQDLSSLQQETDILADILPPAPLPGMTSQHNISAPAGSQPSPSFLALSGQMATPFSEPTGELTEQSFLAITSQPTQGFSPPVGQFPQQAFSAPNGQPGHAQSPFSAPIDQPGQLQSPFSAPNGQPGQLQYPFSALNNQPGQLQSPFSASNGQPGQVQSPFSAPSGQPGQVQTPFSAPTSQYGQQPFSSHAGQPNLHGFSLSTGQSTHPPFASQGGQPAQSSGHMYGGFNSQGGSPVAANMSLQSQNGYNGHMNSGNFLQQAPTGQPSQSTNFPHHGGSPSHTTYSMASHSPTNQASQFNNQSFLGQQGSAAPFSSSFTHQSLASNASQLAVSTGSNSLISQPPKAKFETKSTVWADTLSKGLVNLNISGPKTNPLADIGIDFESINRKEKRMEKPTTQAVTSTVTMGQAMGSGSDKTNPLADIGIDFESINRKEKRMEKPTTQAVTSTVTMGQAMGSGSGIGRAGAGAIRSSPNPSSNMGSGMGMGMGMGNGMGMGGYGGMNPSMGMSMGNGMGMGNSMGMGMGMNNMGMGMGMTNMGMGQGVQMQPPTGYQQRANMQSNYNPMMGPGGYSQQPYGGYR >OIV89966 pep supercontig:LupAngTanjil_v1.0:KV862200:66426:69717:1 gene:TanjilG_10248 transcript:OIV89966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGLNVLYQYQKGITLHFIGLRTQNWVRLEGQKLVFPGGGTSFPDGVKGYVHALNKVLPVTLESGLIRTVLDVGCGVASFGAYLMDNEIMTMSIAPSDEHEAQVQFALERGLPAMLGVLSTHRLPFPSRSFDMAHCSRCLVPWTANDGLYLREIDRILRPGGYWVLSGPPINWRVNYEAWQIDSMVLEKEQNMLEELAMQLCWEKVAEGEQIAVWQKPTNHISCIHKSKTLISPKFCNSSDPDNGWYTKMTACIFPLPEVKDIHEVSGGALEKWPKRLNTPPPRVRDENNNDGFTLKTYTEDTRTWERRVSAYNAMLKSFSSGKYRNVMDMNAGFGGFAAAMVKYPVWVMNVVPFDAKSKNLGVIYERGLIGTYMDWCEPFSTYPRTYDLIHAHGIFSMYINKCDITDILVEMHRILRPQGTVIIRDNEDVIVKVKEMIDKIRWKGTLVAGDQNGTFDPQMILLVHNKE >OIV89967 pep supercontig:LupAngTanjil_v1.0:KV862200:71015:73182:-1 gene:TanjilG_10249 transcript:OIV89967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPQSQSQSSEGRHDDDSALSEFLASLMDFTPTIPDELVEHYLAKTGFQSPDIRLTRLVAVATQKFVAEVAGNAHQHCKARQATIPKDKRDKQQKDKRLVLTMEDLSKALHEYGVNVRHQEYFADSPSTGMDPATRDE >OIV89974 pep supercontig:LupAngTanjil_v1.0:KV862200:160218:160720:-1 gene:TanjilG_10256 transcript:OIV89974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNVSNNPTASQGPATSESNNLPIKKHSSASVLGKHEGCCSAKDIQFGEPPLLLTGDSLAKAAITKADTDLLAQNRGNAMLRYKEKKKTRRYEKHVRYESRKVRAETRKRVKGRFVKATEAPDT >OIV89962 pep supercontig:LupAngTanjil_v1.0:KV862200:22355:33172:1 gene:TanjilG_10244 transcript:OIV89962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPPELQPRSFRPHISTSTSAPSFSSSSSANPNSTTSFRFQSNDSTNNNNININSSSSRSTETTRIPHSFSYNSRIAIALIPSALFLLDLGGATLLSLLLIGLMISYILDSLNFKPASFISIHLSLIFSQLTLFYTFFTSSPFPHSLSLSSLASLLCGVHTFLIAIWSSLQFKFVIIQNPTVVLALERLLFATVPIAASSVFTWATISAVGINNSAYYLLTFNCIFYWIFSIPRVSSFKSKHQVRYHGGEIPHETFILGPLESCVHTLYLLFVPLVFHIASHYAVVFSSAASFCDLVLLFFVPFLFQLYASTRGALWWVTKNENQVRSIRVVNGAVALVLVVVALEVRVVFHSFGRYIQVPPPLNYVLVSVTMLGGAVAGGAYAMGMVSDALSSVAFTTSAIVVSSAGAVVVGFPVLFLPLPAVAGFYLARFFEKKSLISYFAFVILGSLMVTWFVLHNFWDLNIWMAGMSLKSFCKLIIANAVLAMAIPGLALLPSKLNFLSEVSLIGHALLLCHIENRFFSYSSIYYYGFEDEVMYPSYMVVMTTLLGLALVRRLSADHRIGGKTVWVLTCLYSSKLAVLFIASKSVVWVSAVLLLAVSPPLLLYRERSKTASSKMKPWQGYTHAFVVALSVWFCRETIFEALQWWNGRSPSDGLILGFCILLTGLACIPIVALHFSHVLPAKRCLVLVVATGLLFILMQPPLPLSLGYQSDLIKNARHSADDISIYGYMAGNPTWPSWLLILAILLTLASITSIIPIKYIVELRTFYSIAMGVAVGIYISAEYFLLPWVLHVLIVVTMVCASVFVVFTHMPSASSPKLLPWVFALVVALFPVTYLLEGQLRIKNILEDSEIGNLGEEEKKLTTLLAIEGARTSLLGLYAAIFMLIALEIKYKLALILREKAIDIGGARQNHSGQSASASFLPRMRFMQHRRASTVPSFTIKRMAADGAWMPAVGNVATILCFAICLVLNFNLTGGSNRAIFFLAPILLLLNQDSDFFAGFGDRHRYFPVAVVISAYFVLTALYDIWEDVWQGNSGAWGLQIGGPDWIYVVKNLALLILTFPSHILFNRIRCSQSDGNGIAAKRTVLHDLYEKEGQSPWYDNLCRPVTDLLPLIASGVRGVTSNPAIFQKAISSSNAYNDQFRELVQAGKDIESAYWELVVKDIQDASKLFEPIYDQTDGADGYVSVEVSPRLADDTEGTVEAAKWLHKVVSRPNVYIKIPATAPCVPSIKEVIANGISVNVTLIFSIARYEAVIDAYLDGLEASGLDDLSRVTSVASFFVSRVDTLIDNLLEKIGTPEALNLRGKAAVVQAALAYQLYQKKFSGPRWEALVKKGAKKQRLLWASTSVKNPAYPDTLYVAPLIGPDTVSTMPDQALEAFIDHGTVSRTIDSNASEAEGIYNALQKLGIDWKFVGDQLEVEGVDSFKKSFDSLLDTLQEKANSLKLVSL >OIV89960 pep supercontig:LupAngTanjil_v1.0:KV862201:16742:27685:1 gene:TanjilG_10275 transcript:OIV89960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLNSPAHLSSSLLDRHRSESTPTEEIGNYNNEARIFTFRELATATKNFRDETFIGQGGFGTVYKGKMGNMSQVVAVKRLDTTGVQGEKEFLVEVLMLSLLRHPNLVSLIGYCAEGDQRLLVYEYMRLGSLEYHLHDLSPDQEALDWSKRMIIAAGAAKGLNYLHNEAKPSVIYRDLKSSNILLDEGFHPKLSDFGLAKFGPTGDQSFVNTRVMGTHGYCAPEYATSGKLTMRSDVYSFGVVLLELITGRRAYDDTGGPEKHLVDWARPMFRDRKSFPRLADPRLQGRYPGSSLKMAIELASMCLREEPRHRPEAGDIVLALDYLSCKQYPPKVSNTVNTEGMESGDSPKETSTILIKDSQREQAVAEAKLWGETWRDKKRQSGQSSPGELGDRF >OIV89956 pep supercontig:LupAngTanjil_v1.0:KV862202:90242:91860:-1 gene:TanjilG_10313 transcript:OIV89956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCGISTLDREQASSSRCLNRPTHHRTIVKPVTENSPIHKKDIDNGDDGAIKVMKPLGGDNEEAKIAKEVGSKEEEERVVLESKEGKKEHGGDHNMHVEKNVKDNEENLGEDNDWDDRFIGPGSPSFRDYCIDFDTTNRSSMADSNDYCDPGDSTMNSSDHDSINSKTMQKNDQSVHSKESEKKDRRGRGFRSVINRSKRRSGRKNLLNFVCYNANSDSNANSDSNAEDSMNKNR >OIV89957 pep supercontig:LupAngTanjil_v1.0:KV862202:102997:105069:1 gene:TanjilG_10314 transcript:OIV89957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEKNLTDKNAGETPRSPMVNAVETDPKPIGETAETEERVTEDVDGGVEILPESESPPPSIEKVSEDIDEFLVSFDQKEKEKESITGFVDRFLDLVEEKLTKYDIEGKPKWGEIVEEDGLFIESVNRISKLMKFLSNQMENSITIPDSDADGVKETKDLLVNRIGLIQQRAMSYLEEDFRFLLEEFRNNPNENQNEVDHKGKQVASESQEPDHEKVEESDLGFPGYTDDAIVNLNKVAGVMICGGYQSECFQVYMISRRNAFEESLQKLGFEKISIDEMQRVQWEILARDIVPAWINTFKQCAAVYFSGEKKLAEAVFVDQPNVAAGLFSNLSRGVVIQLLNFAEGAAMTKRAGEKLFKFLDMYETLRDVIPKLDELFPEECVEELKTEMTLAKSRLGEAAISIFCDLENSIKSETAKTPVPGGAVHPLTRYIMNYLNIAGDYKETLEQVFKEHSKIERADSTSRPHHENDENIVAAGVGGGGGGDGGNNKNVSPFAAQVMRVMELLDTSLEGKAKLYKDIALSNFFMMNNGRYILQKIKGSSEMAQLMGDSWCRKRSSELRTYHKIYQRETWNRVVACLTHEKLNVNGKVQKPVLKERFKSFNALFDEIHRTQSTWVVKDEQLQSELRVSISAVVIPAYRSFLGRFAQYLDPGRQTEKYVKYQADDIETYIEELFDGKPHQSIARRKT >OIV89955 pep supercontig:LupAngTanjil_v1.0:KV862202:50659:51302:-1 gene:TanjilG_10312 transcript:OIV89955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVVVRLTPVEEAATFVFFQQCFSSFSGGTVSISLNEDFSPKMVSEQGKFSMYVICLLDVCINFDAHGT >OIV89959 pep supercontig:LupAngTanjil_v1.0:KV862202:171095:219385:-1 gene:TanjilG_10316 transcript:OIV89959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEPLPIPCERTLRELVAPVFIYESLCIQYPNEDVPYVLKTGLIYLLSKFHGLAGEYPHKHLKEFQIVCSILKPRDVQEDHICLKAFPHAFEDPTKDWLYYLAPLLVGKKWYFVADSVLDEVTFGWPRQKDSYQLREKLALGLQRAINWVGLSGIPLDKNPHTLSGGYKRRLALAIQLVQIPDLLILDEPLAGLGEILFEIENPSQTSFHDHTEVM >OIV89954 pep supercontig:LupAngTanjil_v1.0:KV862202:4860:38025:-1 gene:TanjilG_10311 transcript:OIV89954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSISKTLILFVLFLLCCSNSHASSDVPFIVTHKKVNLNRLKSGAETVSVTIDIYNQGSSTAYDVSLLDDSWPNDAFNVISDSISKSWEKLDAGGILSHTFDLEVKTKGVFSSKPAVVKFRVPTKAALQEAYSTPILPLDVLADRTPEKKLEWFNIPNLRVGTLDSLLSLSDDLAKSNNFMEGVSHKIRRQIEELERVSGGDSGGLTVDGVPVDSYLTRFVWDEAKYPTFNIPNLRVGTLDSLLSLSDDLAKSNNFMEGVSHKIRRQIEELERVSGGDSGGLTVDGVPVDSYLTRFVWDEAKYPTVSPLKEIVDGIHGQVAKIEDDLKVRVSEYNNIRSQLNAINRKQTGSLAVRDLSNLVKPEDIVTSEHLTTLLAIVSKYSQKDWLSSYETLTSYVVPRSSKKLFEDNEYALYTVTLFNRDADNFRTSAREKGFQIRDFEFSPETHESRKQELEKLVQDQESLRGSLLQWCYTSYGEVFSSWMHFCAVRLFTESILRYGLPPSFLASVLAPSTKAEKKVRSILEGLSDSTNRQVAY >OIV89958 pep supercontig:LupAngTanjil_v1.0:KV862202:132092:146735:1 gene:TanjilG_10315 transcript:OIV89958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKAKQVVETWARQFHCSPREKRLAFLYLANDILQNSRRKGSDFVGEFWKVLPDALRDVIANGDEFARNAALRLIGIWEDRKVFGSRGQLLKEEFVGRHVENNRRDVKPMNMKLRPSAGNVLEKIVSGYRVIYGEQTDEDAVLSKCRNAIICLEKADKEIGHDSNSGNFHGSALVDELEGQNTALRDCIEQLTAIESSRATLVSHLREALRDQEIKLGQIRSQIQAARVQSEQANNTCRQLLNGNNVQSLVEQGSKEIQTSMASGGFISDDREQSAPLMYTPQASFPQKPGHVEENTRKSAAAAVAAKLTASTSSAQMLSYVLSSLASEGVIGNPINESSSDYHSEKRLKLENDQSSYIPSPNPQQQPLPLFSLPESVQHNGSSTNQQFSPNELPPPPPPPPSSSPPPLPPPPPMPQYSLPQFMQTAGSFNSMTYSYGVAQQPAMQAYLPVGSSLNAASPFAPAPMSAYQGFQGSDGNYYNQPSSMPMAPISRQ >OIV89953 pep supercontig:LupAngTanjil_v1.0:KV862203:162:4251:1 gene:TanjilG_16811 transcript:OIV89953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QCDFQVIYASGIQGKAGLTPENLGDDLGPLFESITRCIPGPHIEKDGALQMLATNVEYDEHKGRIAIGRLHAGVLKKGLDVKKIHADMEELIATVEVPEVHMGAVVELLGKRRGQMFDMEGVGSEGTTLLKYKIPTRGLLGLRNAILTASRGTAILNTLFDRYGPWAGDMSTRDQGSLVAFEGGTSTSYAISSSQDRGQMFIGPGVDVYKGQIIGIHQRPGDLALNVCKKKAATNIRSNKEQSVILDTPLDYSLDDCIEYIQEDELVEVTPQSIRMCKNPKFTKKTR >OIV89937 pep supercontig:LupAngTanjil_v1.0:KV862208:15781:31562:-1 gene:TanjilG_31855 transcript:OIV89937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSSTQEFDYLFKLLMIGDSGVGKSSLLLCFTSDSFEDLSPTIGVDFKVKYVTLDGKNMKLAIWDTAGQERFRTLTSSYYRGAQGIIMVYDVTRRETFTNLSDVWAKEIDLYSTNQDCIKMLVGNKVDKEGDRVVTKKEGIDFAREYGCLFIECSAKTRANVQQCFEELVLKEYSTIV >OIV89938 pep supercontig:LupAngTanjil_v1.0:KV862208:41930:46328:1 gene:TanjilG_31856 transcript:OIV89938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIEEFGGVGDGKTSNTASFRRAMRYMHDFRGRGGSQLNIPNGTWVTGSFNLTSDFTLFLEHGAVILASQDPKEWPIIEPLPSYGRGRERLGGRHISLIHGNGIRNVVITGENGTVDGRGRMWWELWWNRTLEHTRGHLLELMNSDNVLISNLTFQNSPFWTIHPVYCSNVVIKGMTILAPLNAPNTDGIDPDSSTNVCIEDNYIESGDDLVAIKSGWDHYGIKMARPSSNIIVRRVSGTTPTCSGVGIGSEMSGGVYNITIEDLHVWNSAAGIRIKSDKGRGGYVANVSISDIRMERVKIPIRFSKGSNDHPDNGWDPKAIPRFRNISISNVVSINSTKAPVLVGVEGSSFEGLCFKNITMIGVASSSEWHCQHVSGFVTDVFPVACPELLNNGNSSWCSPS >OIV89936 pep supercontig:LupAngTanjil_v1.0:KV862208:7817:9019:1 gene:TanjilG_31854 transcript:OIV89936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKVQIEITIPHLFRCPISLDLLEDPVTLCTGQTYDRSSIEKWLASGNLTCPVTMQKLHDPSIVPNHTLRHLIDQWLQLGHQFDPGNSATIDYLASLKHTLQSHDSNLENKVQALDKIRVLSYEYCSFKKSCFIQLGFLPLLLELVFGTEYSQLSSNHIEFNEVALSCILKLLPLVSLEPLNMIKDESKFSTFMLLFEKGSVSIKVSLCNIIESTASSSKTEDICYMLGNSNKLVQEIVLICHQNCELSKAAIKALSALCSLQSNKESLVRAGAIDGIITYISGCETKEKNLAPLAMNIIEKLMVLESAKEALVNNPNGVETLVKMVFKVCNQECSEGAVEVLLIVCDDYRNAREEAIGAGVLTQLLFLLQSQCGTKTKTKARMLLKLLRSKWSEESKQI >OIV89913 pep supercontig:LupAngTanjil_v1.0:KV862213:4232:4690:-1 gene:TanjilG_08887 transcript:OIV89913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSLQARVLSCGYKYGTNSMNRHMKDCKKIKFENLGQMMIDGQARLKSNVINQMISREMCASAIIGHDLPFNFVEYKNIRAWVKYLNPNCLPISRNTTKADVIRIYMREKEKLKTTLTNIPSRICLTSDMWTTCTTEGNVYNDIGIFEGVEN >OIV89912 pep supercontig:LupAngTanjil_v1.0:KV862213:2791:3095:-1 gene:TanjilG_08886 transcript:OIV89912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARDLLSIPITTVASESAFSIGARVLSKDRSCLLPNNVQALICSRNWLHGFAENGDDDDDDSDLHSRSVVID >OIV89914 pep supercontig:LupAngTanjil_v1.0:KV862213:6036:34113:1 gene:TanjilG_08888 transcript:OIV89914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAIPYLTALTTYFSYGLLFAFGQFRDFFRKFFDFFNSTNFHGYAPICLGLEDFYIRRLYLRIQDCFGRPISSAPDAWFDVVERYSNDNNKTLKRTTKISRCLNLGSYNYLGFAAADEYCTPRVIETLNKYSPSTCSSRVDGGTTLLHNELEECVANFVQKPAAIVFGMGYVTNSAILPVLMGKGSLIISDSLNHNSIVNGARGSGATIRVFQHNTPSHLEDVLREQIAEGQPRTHRPWKKIVVIVEGIYSMEGEICKLPEIIAICKKYKAYTYLDEAHSIGAVGKTGRGVCELLGVDTADVDIMMGTFTKSFGSCGGYIAGSKDLIKYLKYTCPAHLYATSISPPAAQQIISSIKVILGEDGSNRGAQKLSQIRENSNFFRSELQKMGFEVLGDNDSPVMPIMLYNPAKIPAFSRECLKQNVAVVTVAFPATPLLLARARICISASHSREDLIKALKVISRVGDLVGIKYFPAEPQKQQQEGKTLKFD >OIV90022 pep supercontig:LupAngTanjil_v1.0:KV862194:128:1573:1 gene:TanjilG_00076 transcript:OIV90022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWNEDEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEDEDRRRMRMRSRMRMRSMMRMRITAG >OIV89935 pep supercontig:LupAngTanjil_v1.0:KV862209:69361:71335:1 gene:TanjilG_00451 transcript:OIV89935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNPSISEEPIDMMDTLPDSLFFHILTLLPTKSAVVICMLSPRRRRNLCHQLDFLDFTNFDFLYCPRLRNPHFDDETVFHHMGLQLKTLDTRFVDFINGVFSLRTMQCVRKFRISCTYDLDTTFYLYSLESWILAIVGPHLQELQLFLPSATYNVFYLPISVFSCTSLVSLSLFGTIILQKPSSPVHLPLLKTLEVDVFNAQPAESISTILLGCPILESLYLGLHSYFIDVPLIMVPSSVKRYTLVDRTFFDIDDLVIATPSLQYLNITITSSCLQFSVSSLDNVVEAHLDIYSEGGHVSLVFDLLRALRKTKFLDLRCSTIECLLLVPDLDFPEFSYLIHVELVLPNLNFSFVLDILQKCPMLQVITIEKDKKPESSFLERWTPPTTVPNCLVSHLTMIIFRGYQGSPKEVAFTAYILLRGLVLKTLTVHTHFSLSLEEKHWIFEQLSNMPKASNKFQLVFT >OIV89933 pep supercontig:LupAngTanjil_v1.0:KV862209:49309:54770:-1 gene:TanjilG_00449 transcript:OIV89933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENEIKNDDSPVVADIHGSATIAGGVQDVYGEDRATEDQLLTPWSTSVASGYTLLRDPHYNKGLAFTEEERDAHYLRGLLPPTVISQDTQVKKQIQLIRQYQVPLQKYTAMMALQLRNERLFYKVLIDHVEELLPVVYTPTVGEACQKYGSIFMRPHGLYISLKEKGKILEVLRNWPERRIQVIVVTDGERILGLGDLGCQGMGIPVGKLALYTALGGVRPSSCLPITIDVGTNNEKLLNDELYIGLKHRRATGKEYAELLNEFMIAVKKNYGEKILVQFEDFANHNAFDLLEKYRSTHLVFNDDIQGTASVVLAGLVAALKLVGGSLADHKFLFLGAGEAGTGIAELIALEISKRTSLPVDEVRKKIWLVDSKGLIVSSRKESLQHFKKPWAHEHEPVTQLLDCVNQIKPTVLIGTSGQGKTFTKEVIESMASNNEKPIILALSNPTSQSECTAEEAYTWTQGRAIFASGSPFSEVEYAGKVFLPGQANNAYIFPGFGLGLIMSGTIRVHDDLLLAASEALASQVTQENYDNGLIFPPFTNIRKISAHIAASVAAKAYELGLATRLPQPKDLVKFAESCMYTPTYRNYR >OIV89934 pep supercontig:LupAngTanjil_v1.0:KV862209:62798:66249:-1 gene:TanjilG_00450 transcript:OIV89934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTPQTIPIDFRAPPPSPVASGRTSSLTNDDVLSEFLETSLRVPHLVLPDKIFPKQKNLETPPKVDFVSLCFHEDEAVYDVVSDSMARIGCFQLVNHGIPRELMASTAEAALGIFDVAAEKRAAVMRTEEKAWGFEEEEMGGELSEEFVWCKDEELKLKMEGILPIGYTKFSQKMETLLSRIEKVAEKIDLVMLKNFASDADIINRGHQLNGTLCCIYKYHEEDNVTEKWVDSLRYDVIRMLIKGTDYSHSLCMHILSGGHYKHVIGRPFFKGENKDNTSMAFLYSSQYNKTICQTNREGTISLDQQVILAIILTLMYHVLVYVYTFF >OIV89931 pep supercontig:LupAngTanjil_v1.0:KV862209:10649:12320:-1 gene:TanjilG_00447 transcript:OIV89931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKATRWLKGLLGMKKEKDHNDPNLGSLVSDNKKEKRRWSFAKQGKDIPVSPSQNSAWLRSYIAESENEQNKHAIAVAAATAAAADAAVAAAQAAVAVVRLTSHGRGTLFSGSREKWAAVKIQTFFRGYLARKALRALKGLVKIQALVKGYLVRKRAAATLHSMQALIRAQAAVRSQRARRSVSKENRILPEVLARKSVERFDEPRSEFHSKRLPASYETSVNGFDESPKIVEIDTYKTRSRSRHFTSTMSEYGEDMPCHAISSPLPSPVPGRISVPECKHVQDFEWYLNVDECRFATAHNTPRFASFVRPNAPATPAKSVCGDGYFRPYCNSPGYMANTQSFNAKLRSHSAPRQRPEPKKRLSLNEMMAARNSISGVKMQRPSDFQAQESWNL >OIV89932 pep supercontig:LupAngTanjil_v1.0:KV862209:24502:29622:-1 gene:TanjilG_00448 transcript:OIV89932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSYRPFPPPPPPQPPSSAAPPPPPQNWGGGAYDPNTPSFPQSYNNQIQPNSNFHNHNAAGYAAPPFPPSNHNHFPYPPPQQPPPPPPPPPPPETSYPPPPPPPPPSSNAPPPPMYYPTQYYEPPPPPPPSSPPSSSIPPPPPPASPPPPPKNQNSEVRDRDRGLVKDVSAFGRREHEHSNHGGSYKKQKVAAAAAAVPPMPMKKVNGPPSGRVETDEERRLRKKREFEKQRQEEKHRQKLKESQNTVLHKTQMLGKGHGSVIGSRMGERKATPLLSGDRIENRLKKPTTFLCKMKFRNELPDPSAQPKLMAFKKDKDQYTEYRITSLEKMYKPKLFVEPDLGIPLDLLDLSVYNPPSVRRPLDPEDEELVRDDEAVAPIKKDGIKRKDRPTDKGVAWLVKTQYISPLSMESAKQSLTEKQAKDLREKRGGRNVLENLNNRERQIREIDATFEAAKSHPVHATKKDLYPVEVMPLLPDFDRYDGQFVVAAFDNAPTVDSELYTKLSKSVRDTYESRAVMKSFVTGSDPANPEKFLAYMAPTLGELSKDIYDENEDVSYSWVREYHWDVRGEDADDPTTFLVSFDESEARYLPLPTKLVLRKKRAKEGRSGDDVEQFPVPSRLTVRWRSSASVIEQKDSWAYSISKGSSSKKRSLEMDDGLEQHRRVASHQDNYQSSGTEDDMSE >OIV89930 pep supercontig:LupAngTanjil_v1.0:KV862210:11474:56022:-1 gene:TanjilG_00554 transcript:OIV89930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQKPTMPAEETAAPSSSVVADESKPSSLFPLFSISNSLSLQTTNSVPQWLCNSSFTSDVSIINDAVVSQLHHETTEYPSQDDDEDNNEKQPFHSSYEILKSSESDGERRERGKKDKKRKKKKRKHERSELDGYGSRKSRVRAWADSETNTSKDYYIDSHGDPDNLAFGSMYRMDIARYKSYNPLKLSGLHVRGLYWWNQSGSLWERDGDVDSLDSKMKSSGRYWSGKYMALERHKSFKRVRLVTPKLSAATTQNDFIPLSDVGTSHGAVDSDSVSETSVALEESWEDEMLNKTREFNKLTREHPHDEKVWLDFSEFQDRISGMQRQKGARLQTLEKKISILEKAIELNPDNEELLLCLLKSYQRRDNSDVLIGRWEKILLRHSGSYRLWREFLHVVQRNFSRFKVSEIRKMYTHAIEALSASCSKHSRQVHHSADPSSSDPVIVQLELGLVDIFVSLCRFEWQAGYRELATALFQAEIEFSLFCPPLLLTEQSKQRLFEHFWNSNGARVGEEGALGWSSWLEKEEETRQRVMNEELSHENEAGGWTGWSEPLSKEKEGIVDVENEDNNDLVLEDIQDEDEHKDVEPEVDTESLMKMLGIDVNSGDGGEVNEASTWIKWAEEESSRDCDQWMPIRRKSGTTSANTGAHETEEDEHLLRTILYEDVNEYLFSLSTAEARLSLVSQFIDFYGGKISQSICSNSSSWTENVLGLEDLPDSMLEKLKCLHEVLTRTQSSPIGFSFEFISGSLSKNAGMMKFLRNVVLLCLPVFARNYVLEEAVLISEELYVTKMNSSNLMVTPCRALAKSLLKSDRQDVLLCGVYAQREANYGNIDLARKVFDMALLSVDGLPVELQSNAPLLYFWYAEMELANNSYDNRESSHRAIHILSCLGSGTKYSPFQSQASSLQLLRAHQGFKEKMRTVRSSWIRGIINDQSVSLICSAALFEELTTGWDAGIEVLDQAFATVLPAFFIYLRDFGRQQRYSRFCGRVVVLSILALLLYPFLWTWTIIGSVWFSSTKICGQKWGFLIWLLFSYFALTCIACMSMRKVLLEMIQVPDWAFEAAGQETRAMDQDAAAYHPGVFLTPAQKEAVEALIQELPKFKLKAVPTDCSECLICLEEFHVGNEVRGLPCAHNFHVECIDEWLRLNVKCPRCRCSVFPNLDLSALSNIRTESEQSSATVVTTRRYVRGQSSSQVYFSRSQGLVHPVHAEIAIPIDETDNGLENAENGIAPVVT >OIV89908 pep supercontig:LupAngTanjil_v1.0:KV862214:30084:44085:-1 gene:TanjilG_09583 transcript:OIV89908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQHLYVNQPELVRELNRCMTLNLGKPTYITNKLAPLLGNGILRANGFSWAHQRKLVAAEFFMDKVKGMVGLMIESAQPLLTKWEQIIEGQGSGANPIAEVKVDEYLRELSADVISRVCFGHSYSKGKEVFSKLRSMQKAMSKEGGFLFAPSGFRKKKQNEINSLESEIESLIWDLVKERKRECSEDSSPSSEKDLMQLLLESSMSDENVGKEFSKSFIVDNCKNIYFAGHETTAVAASWCLMLLALHPEWQDRIRVEVSEHCPNGIPDADSLPLFKTVTMVIQEVLRLYPPAAFVSREAYEDIKIGDLNIPKGVCVWTLIPTIHRDPKVWGPDANEFKPERFSEGVSKACKIPHAYVPFGAGNRLCLGKNFAMVQLKIVLILIISKFSFTLSPGYMHSPAYRMIVEPGHGVHILIQKI >OIV89909 pep supercontig:LupAngTanjil_v1.0:KV862214:63902:68198:1 gene:TanjilG_09584 transcript:OIV89909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGSGKRITVSPRPCSGRRIIATKRPRRGGAADGFVNSVKKLQRREISSKRDRGFSMSDAQERFRNIRLQEEYDTHDPKDHSAVVLPFLRKRSKIIEIAAARDIVFALAQSGVCAAFSRETNSRICFLNVSPDEVIRSLFYNKNNDSLITVSVYASDSYSSLKCRSTRIEYIRRGQPDAGFALFESESLKWPGFVEFDDVNGKVLTFSAQDSIYKVFDLKNYMMLYSISDKNVQEIKISPGIMLLIFSKASSHVPLKILSIEDGTVLKSFNHLLYRNKKVDFIEQFNEKLLVKQENENLQILDVRTFELTEVSRSEFMTPSAFIFLYENQLFLTFRNRTVAVWNFRGELVTSFEDHLLWHPDCNTNNIYITSDQDLIISYCKADSDDSLSEGNAGSINVSNILTGKCLAKIRASNSRFPIEKECSCAGNCSGSSCNSRKRKRVSKIRSTVVEALEDITALFYDEERNEIYTGNRNGLVHVWSN >OIV89905 pep supercontig:LupAngTanjil_v1.0:KV862214:3256:8837:1 gene:TanjilG_09580 transcript:OIV89905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYPSNTKIVDESTKDAAVVDPVEPQKVLEVANLHGLNLKLVLTTHHHWDHAGGNEQIKQLVPGIKVYGGSIDNVKGCTDKVENGDKVSLGADINILSLHTPCHTKGHISYYVTSKEDENPAVFTGDTLFIAGCGKFFEGTAEQMYQSLCVTLGSLPKPTKVYCGHEYTVKNLQFALTIEPDNLKLQEKLTWAQKQQQAGQPTIPSTIEEELETNPFMRVDLPVLQEKVGFKSPVEALREIRERKDNWRG >OIV89911 pep supercontig:LupAngTanjil_v1.0:KV862214:83848:84207:1 gene:TanjilG_09586 transcript:OIV89911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHIMAVIGIGGNQNDRKNTSPDNDTAALELKKRNEQLEKELKESKEREEEMKRKLQSAWESLRVAEEAEEMLCSQLGDLEAETVDQARDYHAKIVLLTEQLSRAQTLLHKSGSSISFS >OIV89906 pep supercontig:LupAngTanjil_v1.0:KV862214:14000:20651:1 gene:TanjilG_09581 transcript:OIV89906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTGAPSSHMNSSISSSEGIFPPSRFGSFESLGVGASSSFSRPDADVSENDETNLLTISWNQDYGCFAAGTSHGFRIYNCEPFKETFRRDLKSGGFKIVEMLFRCNILALVGAAANSSYPPNKVLIWDDHQSRCIGEFTFRSEVRGVKLRRDRIVVVLEHKIYVYNFMDLKLLHQIETLANPRGLCCLSHHSNTFVLACPGLHKGQVRVEHFGLNVTKLINAHDSQIACFTLTMDGLLLATSSAKGTLIRIFNTMDGSRLQEVRRGVDRAEINSIALSPNVQWLAASSDKGTVHIFSLRVRVSGEDSVTHPSSPQGPAMIHQNSSTSLDPLVSANTGANPNSSLSFMRGVLPKYFSSEWSFAQFHLPENTQFIVAFGSQNSVIIVGMDGRNHLVALHFLYLGQLWVLSEANADRFGSFESLGVGASSSFSRPDADVSENDETNLLTISWNQDYGCFAAGTSHGFRIYNCEPFKETFRRDLKSGGFKIVEMLFRCNILALVGAAANSSYPPNKVLIWDDHQSRCIGEFTFRSEVRGVKLRRDRIVVVLEHKIYVYNFMDLKLLHQIETLANPRGLCCLSHHSNTFVLACPGLHKGQVRVEHFGLNVTKLINAHDSQIACFTLTMDGLLLATSSAKGTLIRIFNTMDGSRLQEVRRGVDRAEINSIALSPNVQWLAASSDKGTVHIFSLRVRVSGEDSVTHPSSPQGPAMIHQNSSTSLDPLVSANTGANPNSSLSFMRGVLPKYFSSEWSFAQFHLPENTQFIVAFGSQNSVIIVGMDGSFYKCSFDPVQGGEMLQQEYVRFLKFETKAR >OIV89907 pep supercontig:LupAngTanjil_v1.0:KV862214:24954:27129:-1 gene:TanjilG_09582 transcript:OIV89907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAMGVPTQSHIQIHITECFVSKSMELKWVRRKFTKSSQLQKKNNNHLLLTPPLFPIYISTHPPHVDPHRLQKLFTDCNHSCHMFQNDAVVEPVDIHKLRIALSNSAVVVSVFCKPHHVYADGDADEAIKMSSSVMGVAGLLQSVTPVTPSDGELVGFGRAVSDFGLTASIYDVMVTPSLRRMGIGQMIVKKILRSTPVFKYLDISSSFFRMLINRDIYDIAALCSEKERLFFKACGFGDDILNSTTMMYTRTVSSTTQEGEQTIMRTGWKLMLNPPLKGLTNTQGQ >OIV89910 pep supercontig:LupAngTanjil_v1.0:KV862214:75449:80934:1 gene:TanjilG_09585 transcript:OIV89910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYAEDGDAPLNFASFQIFPNQNRYETFVCKGKQSEKIAAGQLEHLLPHVPAINDLYAKGCDANFDLQLSENLHGAEWFSKVTVKRFLHVVTLPNLVNDINTIMDEMYQLEDSKKFHVSLYGKFIAYEQPEVNNVSSETSKNDLLRAMDLRLTALRNKLAETLSEATGATCSTKDIAYLAKFSQHFGATNIENSLSKFIELNQKSQDVGPMNNETTCDMSNDGSNRSVKNLPISKPVHSDTPVKYSVSPAKAAQVERHSPTESESSNSGDEDQASAERSRSLIRSTTPRRSASPMRRVQIGRTGTRKAATLTIKSLSYFPSRERLISYKDAAEDDSNGEISEQPHKKTEIDVRRITVQDAISLFESKQRDETAGTQKRKSLTEVSISTTKSVLRRWSTNSGMGETSVRGHPELVHDDPVPVSSNDVAYAEILKNSKVGVVSNFISESHNNNENTVCDVKPEMQESVGSNSVENQEETGPKVREDIVDKLAVSEEWNKRKQAEFNQILKKMVESKPVLFGKSQPNRKQNVTFEQRGGSYDHYKEKRDAKLSREKPGKQVEKEAQYQEMQQLLDKKKAEMLSKSSTANKKSSARLPQKSLRNSTQPANSPGETAKPSVTKKTSSKTSPMPAIRKSWSATPSPRASAISPAKARSGISSASTTPTRQKPMSTAAVPQPSSQREKSQQRSRNEKETQTGNVGSFKSTNEKRQPAVPAKSKAIKAKTMTSSGETSVTSKIGLGNKGTKKSSVVPLESKPLLHKGTRPGPGNHKKKKSPPKLDKPLRDSEDLINDQESELVVNASDLVSQHSDRDQNNATEIESQINNHMQCDETKNVDQNRSENGDVSTNIEEFSLKIENEEESTISPSAWVDTEEDLKLPKPCEDITFQPAFQSNFPAAGSTSPRVRHSLSQMLQEETSEPETSEWGNAENPPVMIYQKDAPKGLKRLLKFARKSKGDAGSSGWSSPSIFSEGEDDAEEFKNSNKRNADNLLRKAALNAKSYGHPKCSVREVYERNLASRDDGKGSQKMQEGRDSSTGPTTRGSRSFFSLSAFRGNKPSESRFH >OIV89903 pep supercontig:LupAngTanjil_v1.0:KV862215:16255:18960:1 gene:TanjilG_09627 transcript:OIV89903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKQNQSIMALLLLFLILAISAEVEGAKKSYGMYNNDNNSVKLFVFGDSYVDTGNFIHSGAYKPPSGMTFPGYPAGRFGNGRIITDYLGIFKTLVDGPNLTIQIDSFEQLIKQNVYSKTDLESSIVLVNAGANDYTTFILKDRNIFEIHKFMKSLVDEMIVSLIRIHNLGAKKIVVSLLQPIGCLPTISVASLYIDCIDLFNLVSRDHNKMILGNLEDLNKEIGKPIFRTLDLYNSFLSAIRTIQKKRKENSTLINPLEPCCALGSVGYDCGKVDEKGEKKYTLCEKPELSFFWDNAHPSQNGWYSVFMQLESSLGQIFGKNL >OIV89904 pep supercontig:LupAngTanjil_v1.0:KV862215:85601:91233:1 gene:TanjilG_09628 transcript:OIV89904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKQNQSIMVLLPLFLILAISAEVEGAKLFVFGDSYLDTGNYLNSVSYKPPYGITFPGYPAGRFGNGRILTDYLASFLKIETPTPYALKNSSNLQNGINFAFGGTGVFQTRVNGPNLTVQIDSFEQLIKQNVYSKSDVESSIVIVNAGANDYITFILKDRNLFGIAKYIKSLVDELTINLKRIHSLGAGKIVVSLLQPVGCLPTVSVASLYNDCIDLFNNVPRDHNKIILQNVENLNKESGKSVFRTLDLYNSFLSAIEEKQKSRQENSTLVNPLEPCCAPLKAGYECGKVDENGKKKYTVCEKPELSFFWDTVHPAQNGWYSIFKQLESSLSQIIGTNF >OIV89896 pep supercontig:LupAngTanjil_v1.0:KV862218:79503:79673:-1 gene:TanjilG_14034 transcript:OIV89896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEHSTAQSKAAIDSTTYWKGIGRTDRPLGQDRLLARWSAISDLGFLTTLKGKTLT >OIV89894 pep supercontig:LupAngTanjil_v1.0:KV862218:76160:76357:-1 gene:TanjilG_14032 transcript:OIV89894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSITHRRLVRTEGQCPPNLSGPTSETTGTGSGVGGLVSCKAFAAGRGSCHLKGKALCIGFQTCAP >OIV89895 pep supercontig:LupAngTanjil_v1.0:KV862218:78471:78851:1 gene:TanjilG_14033 transcript:OIV89895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCKPDGTKLGFGRYGIQSCRVGHLSYRAIEAARRAIIGHFHRAMSGQFRKNGKIWVRVFADIPITGKPTEVRMGRGKGNPTGWIARVSTGQVLFEMDGVSLSNARQAATLAAHKPCSSTKFVQWS >OIV89897 pep supercontig:LupAngTanjil_v1.0:KV862218:91633:92143:-1 gene:TanjilG_14035 transcript:OIV89897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METPNGTCELRCHKGKIGMHATKENKEMPNQAEMFCETRQSKKGEPLDQETTNAMAQLKDLIENSSQQPDEAFQSVFGKEKPGRVQCH >OIV89899 pep supercontig:LupAngTanjil_v1.0:KV862218:219777:233692:1 gene:TanjilG_14037 transcript:OIV89899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFTSKVGNSNCDYSFKVLLVGDSGVGKSSLLFSFISEAVPDSLSPTIGVDFKVKLYTIGGKGVKLTIWDTEPVYFVSSSLPFLSSKAFESEFSYANSQCEHIFKFELKAIAVLLGPEKGARYFRSADAKGILDDVYDVSRRETFTNVADLWVKEVERYSTNHDCIKILVGNKVDKESRRAVTKEEGMAFAQKHRCLFIECSAKTRENVQQCFSDLTLKILDVPSLREKGSVEMKRRKQKRIYDTHRGGRRGCCG >OIV89898 pep supercontig:LupAngTanjil_v1.0:KV862218:106658:108652:-1 gene:TanjilG_14036 transcript:OIV89898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLKKTDSTPNIPPGPWKLPILGNIPQLLTSTPHRKLRDLAKIYGPLMHLQLGEVFTIIVSSAEYAKEVMTTHDIIFASRPITLASELLAYNSTNIAFSPYGNYWRQLRKICTSELFTTKRINSFKPIREEEFTNLINMIASLQGSPFNLTEAVLSLIYTITSRIAFGKKFKGQEEYISLEKESTVVAGGFDIGDFFPSARWLQIFSGIRPKLERLHKKQDRIMENIINEHKEAKSIAKEDQGEVDEDLVDVLLKFEDGNGINQDICLTKNNIKAILQDIFVGGGETSATTIDWAMAEMIRDPRVMKKAQAEVREVFNEKERVDEIYINELKYLKSVVKETLRLHPAAPLLLPRECGEACEINGYHIPVKSKVIINAWAIGRDPNYWTEPGRFYPERFIDSSIDYKGSNFEYIPFGAGRRICPGNTFGLMSVEMALAFLLYHFDWKLPNGMKNEDLDMTEVFGVSVRRKEDLYLIPIAFHPLLAT >OIV89890 pep supercontig:LupAngTanjil_v1.0:KV862218:11563:11970:-1 gene:TanjilG_14028 transcript:OIV89890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHSRRTASDILEEGGDEVKSAWNLWAGLHTCYNGNYNGKQGCKTERIRKYCLSSNCSLQLGNMKFESLVIADQNAAVNMYPGPVHTACHTLGIGFARSIRPMITHDFCVPLVPQRLLVVFLVHTTVGSSIGVKS >OIV89891 pep supercontig:LupAngTanjil_v1.0:KV862218:12771:13265:1 gene:TanjilG_14029 transcript:OIV89891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSHSNVSKDPESCLRFWPSFVDLRISPLCTKFHSPLSHSSELISRAFHQFLATFTFNPIHRLRALYTQSFRRTLAPPPSYHSYWNGVSRSFFIESCHDRALEERALQATLPFFTHAILLDRAFAHCPRFPTAFPRGSTGRVSAPMWLIIRKDQLSIIGLAAFT >OIV89892 pep supercontig:LupAngTanjil_v1.0:KV862218:22423:42192:1 gene:TanjilG_14030 transcript:OIV89892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTPSSKTLILSNLFRFSSVQLPPFAIVTTDFQAEPSLRTFSHRFLSPSHRLQSALIPWCGVKGRVPFLSCPKTSLIRIRERLSRDKAKNAIGCFAYLFYYGLLGDIRGRPTFLSGLTPVSQNHWPFAEHLQDYPPLMGIGPYSVYDNKGYCNKKSAYSTSCSSQPSLAIFRRLVSLLSLGGYTYYNMEDPARGEGGSGTNSSQRPVLDLNRPPGRRDELSDLVLKLAQVERDLPLERILDRIARGGYDIEAREGISKPSLLPSSERDVIKLMVDDVENIKPIC >OIV89900 pep supercontig:LupAngTanjil_v1.0:KV862218:236692:239746:1 gene:TanjilG_14038 transcript:OIV89900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDTSQTSFFPGFRFHPTDEELVRFYLKRKLTGKYFRFDPIAEVDVYKNEPWDLPPMSKLKTRDLEWYFYSALDKKYGNGSRTKRITEKGYWKTTGKDRAVKHGSRTVGMKKTLVYHAGRAPHGDRTNWVMHEYKLVDEQLAQTGTLLKDALVLCRIFEKSGAGPKNGEKYGAPLIEEEWEDEEVAPVPIACVEVSNEAPAVEPIAFLETSDLEKKVDVAEAFGNTGPPSSFYHGECSSYPEHIQGPITDQKPLEGGNVGVSEPQSGQPFRTAEEYAVDMYSVNDGDSAEQSSTENALGFMFTFDDLDVYLDDTDYPLVKDSGSFLETNDLLNPFEGNPIEADSSATAMLDEYLSYSDDDISKYLSFDSPVSVESENLIPDQKPLIQQNVEGDTNGITMDIKHDVKAPSSNEPTSKQNPQPLSGSTHPFVKQVNKLLAGIQAPPAFASEFPSKDIALGLHPAAQSSSSTHVTAGMISITDINSGGDVMDRMARKNGRFNTISSTEFSQSDVYSVALMPVLDVLSSKTAFAVSHDWIFFMVMLFPLLILSLVCKFGSFTSAAGK >OIV89893 pep supercontig:LupAngTanjil_v1.0:KV862218:57501:64239:-1 gene:TanjilG_14031 transcript:OIV89893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKDLAILLSKKSFDEVGSVPRSTHFPLLQELPPDTDPDLPFENSVGCTTTLLPSFYFIPCVTYFLLIRSGWTDLLDPATSTSSGTGSVNQPPEGMPVPPANPVAPGEADIRPVVAYPYQEDEMIGGQSVLDIRTRLLRSKAQPSAEEINLAHINAQDRFEVKVDIIQQMAVLDSEPSGDWTGRGARALDNPRAVRTGGEPSLIELYRLRDELHEGGVQSDAFLSGKALQSEVLRLGEEMFLVDAGPGTAIICMQDEPTGVPINRATRFEKKVGSLDLVAGESLIKKKILERLFIDLVAGESLIKERAAARFNDLVGSTDVVAGEPLLLLPRRFRQNRAWMELNKIWRTNTKKALLFLSAGSVIHAMSDEQDMRKMGGLASSFPFTYAMMLMGSLSLIGFPFLTGFYSKDVILELAYTKYTISDGSTSYNHEAEVIVLEGLLPRMKLDSVLTPSYADLTMKRQKNLFFDSQISGTSEKDWKVRKEVLDRIGKGEVGSLLSACAMEDFVLF >OIV89889 pep supercontig:LupAngTanjil_v1.0:KV862219:84320:87192:-1 gene:TanjilG_14345 transcript:OIV89889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRVIWKLIKDKLIFPYLELDIKYFDLGLPHRDSTDDRVTIESAEATLKYNVAIKCATITPDEGRVKEFDLKQMWKSPNGTIRNILNGTVFREPIICKNIPRLVSGWTKPICIGRHAFGDQYRATDAVIKGPGKLKLVFVPNGHEETKELEVYNFTGAGGIALSMYNTDESIRAFAEASMNIAYQKRWPLYLSTKNTILKKYDGRFKDIFQEVFDAQWGRKFKDAGIWYEHRLIDDMVAYAVKSDGGYVWACKNYDGDVQSDFLAQGFGSLGLMTSVLVCPDGKTIEAEAAHGTVTRHYRVHQKGGETSTNSIASIFAWSRGLAHRAKLDGNAKLLDFTAKLEAACVGTVETGKITKDLALLVHGPKVTRSQYLNTEEFIDAVAEDLRTRLYTKARM >OIV89887 pep supercontig:LupAngTanjil_v1.0:KV862219:19531:46066:-1 gene:TanjilG_14343 transcript:OIV89887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDLEQDHEEEHNRLYIHNPLDLSSLRPSQIEELVKGVSFDLSDKELFCVEEQDVFERVYSLIKGYTILSRTCKLNLVESLRSNLAVLLPNVDSLSRVSNDEIPVLDRVASHRNAFKIYTFFLIHIVLAEESNTSSNNGSKVVAASTRKKQPVNSWNWEPQRARILNLIANSLEINLELLFGSSDPDESYLSFITKNAFSMFENATLLKDADAKDALCRIIGACSTKYHYMAQSCASIMHLIHKYDFVVTHIADAVVGAQKKYADASLATSLIREIGRANPKDYVKDTVGADNVGRFLVELADRLPKLVSTNIGILVPHFGGESYKIRNALVGVLGKLIAKAFKDVDGEVSSKSIRLRTKQAMLEILLERCRDVSAYTRSRVLQVWAELCEQHSISIGLWNEVAEVAAGRLEDKSAMVRKSALNLLIMMLQHNPFGPQLRIVSFEATLDQYKKKLKELDPAVPSEGVSDDLPSVDNFNDDGEVDNLNSETVAKEQPDSITDTCMSQTEDAIPLQNNNLPDVGNLEQTRALVASLEAGLRFSKCIEATMPTLVQLMASSSATDVENTILLLMRCKQFQIDGSEECLRKMLPLVFSQDKSIYEAVENAFHTIYIRKNPVETAKNLLSLATDSNIGDIASLEFIVSALVSKGDISSSTISALWDFFCFNVGGTTAEQSRGALSVLCMVAKTSADVLGSHLQDIIDIGFGRWAKVDSLLARTACLAIQRLSEDDKKKLLANSSIRIFGILQSLITGFGLPGNIWYAATDKAIAAIYAIHPTPETIAADMIKKSFSSVFNDGGGDVQSDNDTSSASIPATVEVAKLSRCLFVISHIAMNQLVYIESCGRKIQKQKLAKEKKEIQNQSIDSNDTASIGTTKDNDINAELGFAASEDAALDALFEKAEKEIVSGGSNDKNLIGICATFVSKLCRNFRLMQKYPDLQASAMLALCRFMIIDADFCNANLQLLFTVVDSAHSEIVRSNCTITLGDLAVRFPNLLEPWTENMYARLKDPCASVRKDAVLVLSHLILNDMMKVKGYINEMAMRLEDEDERISNLAKLFFLELSKKGNNPVYNLLPDILSKLSKQNLSKDSFCNIMQFLIASIKKDRQMEALVEKLCNRFSGVTDVRQWEYIAYCLSQLSFTEKGMKKLIDLFKTYEHVLSEDSVMDHFRNILNKGKKFAKLELKSCIEEFEDKLNKFHMEKKEQEVTTRNAQIHQQKFGNSEGFTVATNSEDHRESNTASEETDGEVVDAYTEETTMSLNDKSKSKLHVSEECSNVTSKTDANPGDTEVESPMVNTRGVRRSRAKKSNMKDRKDDISVTEQRTLRSRRYASLPASSWINAIFDLNLYD >OIV89888 pep supercontig:LupAngTanjil_v1.0:KV862219:80030:82839:1 gene:TanjilG_14344 transcript:OIV89888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSKPVRMPDLPEMNLNSRETLKTNHSSGHNSCANSGNNSPTHPTKVNGAAKDANTVDYSTSRSEHVEHVSKTDAKFTSKSNRPHKLAPSTRNISDFPTQSPRTKKPSNFDSAITTPGLQAEAARGMSKNTDQGPVSGASSCSDSLESTSATIRPHTGGDVRWDAINMVSRGGPLNLKDFRLLKRIGYGDIGSVYLVELKGTKAHFAMKVMDKAALISRNKLLRAQTEREILGLLDHPFLPTLYSYFETDKFYCLVMEFCTGGDLHSLRQKQPNKCFTEEAARFYASEILLALEYLHMLGIVYRDLKPENLLVRDEGHIMLSDFDLSLRCSVCPTLVKSSSSHVSNGSSDSGAMLGEDQAVQTCAQSSNFFPRILPSKKNRKAKSDFGLMLGGVRLPELMAEPTNVRSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLHGTTPFKGAGYKATLFNVVGQPLRFPETPQISVVARDLIRGLLVKEPQKRIAYKRGATEIKQHPFFEGVNWALVRSATPPHIPEPVDFSKYASKNKDTTVAASTDKNMADIANDKNNKSSTDSYIDFEYF >OIV89886 pep supercontig:LupAngTanjil_v1.0:KV862220:70929:74784:-1 gene:TanjilG_14408 transcript:OIV89886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVAAYYTAAARGVCLNHHGTVRAEAKLCYAQISLNNQALCYEGLRSLNKLQMRTRTRNATKIYSSCRRSNNDKVLGEIVCGKGMNLVFVGTEVGPWSKTGGLGDILGGLPPALAGNGHRVMTVSPRYDQYQDAWDTSVLVEIKVADKIETVRFFHCYKRGVDRVFVDHPMFLEKVWGKTGSKLYGPKAGVDYEDNQLRFSLLCQAALEAPKVLSLNNSKYFSGPYGEDVIFVANDWHTALLPCYLKSMYKSRGIYKNAKVAFCIHNIAYQGRHAFSDFSLLNLPNEFKSSFDFIDGHVKPVKGRKINWMKAGILESDRVLTVSPYYAKELVSGEERGVELDNIIRATGITGIINGMDNREWSPVTDRYIDVHYDATTGTGKKTMENQIKQLEVLYPENARGVAKFNGPLAHKINAGADFIVIPSRFEPCGLVQLHAMPYGTVPIVSSTGGLVDTVKEGFTGFHTGAFNVECEAVDPDDVDKLATTIKRALLTYGTHALKEMIQNCMAQDFSWKGPAKQWEKVLLSLGVTGSEPGIDGEEIAPLAKENVATP >OIV89883 pep supercontig:LupAngTanjil_v1.0:KV862220:35668:40735:1 gene:TanjilG_14405 transcript:OIV89883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVMKRTRSVLKLSGRVLNEAVSFIVFSALDLVDYMLCFLFKAIDMLIEAECKPCYCSSSAKEAITSSGKILVSEQGGESTKIVSLSSTKLVLEDISDTLYSRPSLVSELSSFTLNELKKLKLEDPILQQQTKRGTSLCSGSSFSVNNTIVEMLQGKIGRLEKHPIPRWSDCDCNLCTSWMSSSSPSTKPNLFVRSQVPNIGKAKEDVVFIHGFISSSLFWTETLFPNFSSRARSSYRLFAVDLLGFGRSPKPSDSLYTLSEHLEMIEKSVLEAHNVKSFHIVAHSLGCILALSLAVKYPHSVKSLTFLAPPFYPVPKGEAHATQYVMRKIAPRRVWPIVSLGASLVCWYEHITRMVCFLICKNHRLWEFLTKFLTRNRVRTFLLEGFFCHTHNAAWHTLHNIICGTARKIGTYLDAIKDNLNVKVTIFHGKDDEVIPIECSYEVQRRIPRADVRVIDKKDHITIVVGRQKAFARELEEIWSTPNK >OIV89885 pep supercontig:LupAngTanjil_v1.0:KV862220:52513:68623:1 gene:TanjilG_14407 transcript:OIV89885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSDFPMDNGASCLPIPPDEEIRIVSELIKESELNLKEGNLYYVISNRWFSSWQKYARPCVGMLSNDEQSSNSEHANEVHSEIAARPGPIDNSDIISNENNCDDNNLDICRTLEEGTDYVLVPQKVWERLLEWYKGGPALPRKLISQGVGQKQHSVEVYPLTLKVTDARDNRKSTVKLSKKATISELYELVCKIKGVEQKKAHIWDYFNFSKNTLLTVSDQTLEDANLTMDQDILLEVSLDRDRSSHSGMDSVGNELALIPLEPPRSSVSIAGGPTMSNGHSTGSSFNLYHGTSASSSLISMDDKNDNVCRGERDGLAGLQNLGNTCFMNSALQCLVHTPPIVEYFLQDYGDDINTDNPLGMRGELALAFGDLLRKLWSSGQTAIPPRVFKGKLARFAPQFSGYNQHDSQELLAFLLDGLHEDLNRVKQKPYIEMKDSDGRPDEEVAYECWKNHMARNDSLIVDICQGQYKSTLVCPACDKVSITFDPFMYLSLPMPSTITRTMTVTVFHGDGSGLPMPFTVNVLKLGCCRDLCTALGTACCLKSDETLLLAKVYDHKIFRYLENPLEPLSSIKDEEHIVAYRLKKGPRKTKLEIMHRDNIRSGDRKLFGSPLVTYLVEDPQFGANIEASVHKILSPLRKAYSSTKSHDGKEDGFISAGSDEQSNISNTECESRTLTTVCSEQEGTSYGESSFKLFLTNESCLSYDPIEKDSLIKPSQHIRVFLDWTGSEHALYDASFLRDLPEVHKTGFAVKKTRQEAISLFSCLEAFLTDEPLGPDDMWYCPGCKEHRQATKKLDLWKLPEILVFHLKRFSYSRYLKNKLDTFVNFPIHNLDLTKYVKTEDGQSYVYDLYAISNHYGGLGGGHYTAYAKLADDNKWYHFDDSHVSPVTEAEIKSSAAYVLFYQRRSKAQMEGESQVHTGSHGQ >OIV89884 pep supercontig:LupAngTanjil_v1.0:KV862220:49258:50109:-1 gene:TanjilG_14406 transcript:OIV89884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGRSYGLQNMDSVEDWGDGSWTVDCICGVTFDDGEEMVKCDECGVWVHTRCSRYVKGDDTFTCDKCKPNIDVVIAATMHNTEETEVTHLSDDHPTKTTSLKNKNKHNQIAVSEVDSSNSWTPFKLWTKKPIEERVHVQGIPGGDPSIFATLGKNSIFGPQLWKSTGYIPKKFNFQYEEFPCWDNDNHNGTGVLFSFSKDTSPVLASSLVASIDLRSDEKQTMAAKSLKEVNKVGNEDGGNVHNDVRDEDQSGKKKQKKMKISDKMVDTKKRSSHSSRTGDFI >OIV90021 pep supercontig:LupAngTanjil_v1.0:KV862195:43823:46381:-1 gene:TanjilG_00131 transcript:OIV90021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSMSRWVMKNENEVRSIPMVNGEVALLLVVVALEVRVVYFIRSGAAVVESYLGMRLNEIAEVLTRRNYFNTFGRNPVCTAAGLAVPKVIEKEKLQENAFEVF >OIV90019 pep supercontig:LupAngTanjil_v1.0:KV862195:12413:18282:1 gene:TanjilG_00129 transcript:OIV90019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRSASSSTRILKHLKGHGGNLGATRFATSAAVASRASSGGLFSWLTGERSSSLPPLDTPLGGVALPDPLPDHVEPSKTKITTLSNGLKIASETSLNPAASIGLYIDSGSIYETPLSSGASHLLERMAFKSTTNRSHFRIVREVEAIGGNIGASASREQMGYTFDALKTYVPQMVELLVDCVRNPAFLDWEVNEELRKVKAELGELSNNPQGLLLEAIHSAGYSGALAYPLLAPEAALNRLDGPSLEEFVAEHYTAPRIVLAASGVDHEELLSIAEPLLSDLPNVPRPEEPKSNYVGGDFRRHGESGGAHVAIAFEVPGGWQKERDAIVLTVLQMLMGGGGSFSAGGPGKGMHSRLYLRVLNEYQQIQSFSAFNSIFNNTGLFGIYASTSSDFVAKAVDIAAKELLALASPGQVSQVQLDRAKKSTKSAVLMNLESRTIVSEDIGRQILTYGERKPVELFLKAVDEITLNDITKIAQKIISSPLTMASYGDVLNVPSYESVNSKFHAK >OIV90020 pep supercontig:LupAngTanjil_v1.0:KV862195:38278:40965:1 gene:TanjilG_00130 transcript:OIV90020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIILLLFILATSIATSHGLDLDHGIDYNILPLRLKSGSGGHYIKGITCSSWRLGVEAHNIIDWKTIPQECEDYVGNYILGEQYRADSKAVISEAYLYAKSLNLTKDGKNIWVFDIDETSLSNLQYYANHGFGVEPFNATAFNEWVELGEAPALPESKKLYKKLLSLGIKIAFLTGRSESQRDITAKNLKDAGYYKYEKLVVKDTVKYKGKTAVTYKSAEREKLEQEGYRIIGNIGDQWSDILGTNTGNRTFKLPDPLYYIA >OIV89948 pep supercontig:LupAngTanjil_v1.0:KV862204:39145:43097:1 gene:TanjilG_24447 transcript:OIV89948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTLNLILQVVQTQPLVISALLGGLPLLLLLFFKIKRGLSSQLPSVPVVPGLPVIGNLLQLKEKKPYKTFTQFAEKYGPIYSIKTGSSTVIVLNSAQAAKEAMVTRYSSISTRQLSNALRMLTFDKCMVAISDYNDFHKKVKRHILANVLGANAQKRHRVHRETMVENISSELNESVKASTDLPINFRKIFASELFGLSLKQALGTNIESIYVKELGCTLLNEDIYKILVLDLMEGALEVDWRDFFPYLKWVPNTSFDTKIQKLCQRRQAVMNALVDEQKKRIASGKELNSYIAYLLSEAKELTDEQLCMLLWEVIIETSDTTLVTTEWAMYELSKDKIRQERLYKDIKNVCGNEKFNDDYISKIPYLGAIFHETIRKHSPAPIVPLRSAEEDTQIGGYHIPAGSQIAINIYGCNMDKKEWENPEQWNPERFLDEKYDPNDLYKTMAFGAGKRVCAGSLQAMLIASTAIGRMVQEFEWNLIERENEESIDTMGLTTHKLNPLLVTIKPRKS >OIV89952 pep supercontig:LupAngTanjil_v1.0:KV862204:72456:75723:-1 gene:TanjilG_24451 transcript:OIV89952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIAGTEVAKESSDIIILDDNFASVVKVVRWGRSVYANIQKFIQFQLTVNVAALVINVVAAISSGDVPLNAVQLLWVNLIMDTLGALALATEPPTDQLMNRSPVGRREPLITNIMWRNLIVQAVYQVAILLVLNFGGDSILPKQDTRAGDVQVKNTLIFNAFVMCQIFNEFNARKPEEMNVFRGVTKNKLFMGIVGVTFILQIIIIEFLGKFTSTVRLDWKLWLASLIMGLVSWPLAIAGKFIPVPKTPLSRYVLRPLRRLRRSRATAAQ >OIV89951 pep supercontig:LupAngTanjil_v1.0:KV862204:65933:69697:1 gene:TanjilG_24450 transcript:OIV89951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVDLRQVVAGILTITMFVMLIHMIKRDHFDSLVEDKFVGATDSKFEGSHVRKNILWKVDVNVTDKPKPCWAKPSGDDVEQTEGFVVFSLSNGPEYHMSQIADAVVVARSLGATLVIPDIRGSQPGDKRNFADIYDVDVFTKSMEGVVRVVKDLPDNISKNKVAAVKVPNRITKDYIVTHVEPIYRSKRNIRLATYFPSVNMRKAGGNGDTDSIACLAMYGSLELQPEIKDLVDLMVERLRTLSRKSDGQFIAVDLRVEMLDKKGCQGGSNGKKDKSCYNAEEIAKFLRKIGFLKDTTIYVTESRWDSTLDFLKDLFPKTYTKEAIIPVDKKTKLEGSQDSELAKVIDLYISSESDVFVPAISGLLYANVAGKRIGNGKTQILVPSHIQDSSASSASNFLSPYISKKNHFAYSCFC >OIV89950 pep supercontig:LupAngTanjil_v1.0:KV862204:58889:64166:-1 gene:TanjilG_24449 transcript:OIV89950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSCTLIIHFDKSTPSLANEIKESLEGNDVASKIEALKKAIMLLLNGETIPQLFITIIRYVLPSEDHTIQKLLLLYLEIIDKTDSHGKVLPEMILICQNLRNNLQHPNEFIRGVTLRFLCRIKQSDIVEPLIPSILSNLEHRHPFVRRNAVLAVMSVYKLPQGEQLLDSAPEIVDKFLSSEQDPSSKRNAFLMLFSCSEDRAISYLFNNIDKIVDWNEQLQMVVLELIRKVCRSNKGEKGKYIKIIIALLNANSTAVIYECASTLVSLSSAPTAIRAAATTYCQLLLSQSDNNVKLIVLDRLSELKSSNREIMVEMVMDVLRALSTPNVDIRRKTIDIALELITLRNIDEVVMMLKKEVVKTQSGEHEKNGEYRQMLVQAIHSCAIKFPEVASTVVHLLMDFLGDSNVASALDVVVFVREIIQTNPKLRISIITRLLDTFYQIRSARVCSCALWIIGEYCLSLSEVETGIASIKQCLGDLPLYNATEEGAGHDGSKSATQQVSSTTVSSKRPAVLADGTYATQSAALETAMSPPTLIQGSLSSVGNLRSLILTGDFFLAAVVSCTLTKLVLRLEEVQTSKAEVNKANAQALLIMVSILQLGQSSVLTHPIDNDSHDRIVLCIRLLCNTGGEVRKIWLESCRQSFVKMLADKQHRETEEIKAKAHISNAQPDDLIDFYHLKSRKGMSQLELEDEVQDDLKRATGEFTKDADDANKLNRILQLTGFSDPVYAEAYVTVHHYDIVLDVTVINRTKETLQNLCLELATMGDLKLVERPQNYTLAPESSKQIKANIKVSSTETGVIFGNIVYETSSNVLERTVIVLNDIHIDIMDYISPASCADVAFRTMWAEFEWENKVAVNSVIEDEREFLNHIIKSTNMKCLTPPSALEGECGFLAANLYAKSVFGEDALVNVSIEKQSDGKLSGYIRIRSKTQGIALSLGDKITLKQKGAASIL >OIV89949 pep supercontig:LupAngTanjil_v1.0:KV862204:46048:55191:-1 gene:TanjilG_24448 transcript:OIV89949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGEAVNPKAYPLADSQLTITILDLVQQAANYKQLKKGANEATKTLNRGHSEFIVMAADTEPLEILLHLPLLAEDKNVPYVFVPSKQALGRACGVTRPVISCSVTSNEGSQLKTQIQQLKDNLITDKDTLETPPPTPQTGTTTGGGGGVFGGSSRAGLFRTPISGGVQSATSAHGLPKPALAVRNLLEQARFAHLCTVMSRMHHRREGYPFGSLVDFAPDPMGHPVFSFSPLAIHTRNLLADPRCTLVVQIPGWSGLSNARVTLFGDVYPLTEDQQEWAHKQYIAKHHQGPSQQWGNSYYFRMQNISDIYFIGGFGTVAWVDVKEYETIQPDKIAVDGGEQNLKELNAIFSKPLKKLLSTEIEVDDAALISIDSKGTDVRVRQGAQFNIQRISFDEGHSVETLEEAKDALWKVIEKGKVHNLQK >OIV89947 pep supercontig:LupAngTanjil_v1.0:KV862204:5325:35026:1 gene:TanjilG_24446 transcript:OIV89947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTLNVILQVVQTQPLVISALLGGLPLLLLLFFKVKRGSSAKLPSVPVVPGLPVIGNLLQLKEKKPYKTFTQFAEKYGPIYSIKTGSFTVIVLNSVQVAKEAMVTRYSSISTKQLSNALRILTSDKCMIAVCDYNDFHKISKKHILANVLGANAQKRHRVLRETMMDNISSKLNESVKASIDLPINFRKIFSSELFGLSLKEALGTNIESIYVKELGSTLLNEDMYRILVLDVMEGALEVDWRDFFPYLKWVPNASFDTKIQKFKERREAVMNALIDEQKKRIASGKELNSFTDYLLSEANDLTEEQQYMLIWETIVETSDTVSVATEWAMYELSKDKIRQERLYKDIKNVCGNEKFNDDYISKIPYLGAIFHETIRKHSPAPMVPLRIVQEDTQIGGYHIPAGSQKRHRVHRETMMENISNELNESVKASIGLSTNFRKIVASELFGLALKEALGTNIESIYVKELGRTLLNEDIYRILVLDMMEGALEVDWRDFFPYLKWVPNASFDTKIQKLCEHKQAVMKALIDEQKKRIASGKELNSYIDYLLSEAKELTEEQISMLIWEIIVETSDTTLVTIEWAMYELSKDKIRQERLYKDIKNVCGNAKFSEDYISKIPYLGAVFHETIRKHSPAPIIPLRSAEEDTQIGGYHIPAGSQIAINIYGCNMDKKEWENPEQWIPERFVEEKYDPNDLNKSMAFGAGKRVCAGSLQAMLIASTAIGRMVQEFEWNLLESGDEESVDTVGFTTRKLNPLQVAIKPRKS >OIV89927 pep supercontig:LupAngTanjil_v1.0:KV862211:18456:19757:1 gene:TanjilG_02118 transcript:OIV89927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLGWRRRKNNNNKLNVEVVIPKHFTCPISLDLMKDPVTLSTGITYDRESVEKWFDDGNCTCPVINQIVRNFDMIPNHSLRVMIQDWCVENKQHGIERIPTPRIPISPFEVAELLIQVKASARGLDQHGCLELVQKMKRLGCEMERNKKCIVENGALGALASAFDAFANDSIEGNVSVLEEILSALNWMFPLQFEAHKSLGSKASLTCMVWFLKHQDLSKKEMSIAALKELLSFGDNKHVETLSEIEGVYELLIEFINKGISPTITKASMSVVWYLVSSSSPSSEKMKLAFIELGLVSSLLDILIDSEKSLCEKTSAIFDSLCSTPQGREKAYGNDLTVPLLVKKILRVSAITTDNSVSCIWKMCKFGEKVDERKVLVEALQVGAFQKLLLVLQVGCGDEIKEKATELLKLMNPYRVDLECIDSDYKNVKRSF >OIV89929 pep supercontig:LupAngTanjil_v1.0:KV862211:29428:31446:1 gene:TanjilG_02120 transcript:OIV89929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHPKSLMENLLGLLRVRVKCGINLAVRDVRSSDPYVVLKMGKQKLKTRVIKKNVNPEWNEDITFSVLDPNLPILLTVYDHDTFSKDDKMGDAEFEILPFIEALRMNITGLPSGTVISRIQPSKQNCMTNESCITYTNGKVIQDIILRLRNVECGEVEIQLHWIDLPGCKGL >OIV89928 pep supercontig:LupAngTanjil_v1.0:KV862211:22729:25131:-1 gene:TanjilG_02119 transcript:OIV89928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQWLIISYVVAIEAAIVVLITLPSPKLLRNRIVSLVSLILQPALFVIPFAGFQLLDLYWKNEHRLICTSEVCTATERDRYEKAIYKAQRNVILCVATILIYWCISRICKYQKDVQSLEEVEKRYKSK >OIV89926 pep supercontig:LupAngTanjil_v1.0:KV862211:4388:14670:1 gene:TanjilG_02117 transcript:OIV89926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASESIEEDKKWEAMLRRMLPTGAPLPDEDHLDYSFAVEYAGPPVPYDVPRVDPLEIACGGGSIRTLSIASSASDHASAVAVPVAMPVHHRFPMFSRVRNGGFNREPRSPVESQRSSSVSRTQFDSRSGEVVRSDFSLEVAEREVTADFSDSVTPIPKSAPLGRGGAVEGKRPITVTFRTLKDSEDDHDYDGYLSSQSVATEPVGSPFASVHSANTSKKRWICSRCGNRNRLKEKEACFVCDSRYCSNCVLKAMGSMPEGRKCVSCIGMPIHESRRSTLGKCSRMLSRVCSPLEIKQIMRAEKECAANQLRPEQLIVNGRQLRQEELAEILGCPIPPQKLKPGRYWYDKDSGLWGKEGEKPDKIVSSKLNIGGKLQTEASNGSTRVYMNGREITKVELRVLKLANVQCPRDTHFWVYDDGSYEEEGQNNIKGNIWGKASTRLVCSLFSLPVPPNNPSGVEENATNHSTRSVPEYLEHGRVQKLLLFGMEGSGTATLFKQACLSAKFLYGNKFSTEEVQDIKLMIQSNMYKYLSILLEGREQFEEEARKQSTSLDGEGSGPETEADENKPTIYSINQRFKHFSNWLLEIMATGDLEAFFPAATREYAPMVDEIWRDHAVQETYKRKEELHNFPDVAKYFLDRAIEISSNEYEPSEKDIIFAEGVTQCNGVAFMDFSFDDRSPMSEIYNNDNPPPLTKYQLICINSKGLHDRCKWLEMFEDVRVVIFCVALSDYDQMWPNSTGELRNKMLVSRDLFKSLVRHPCFKDTPFVLLLNKYDAFEDKINKAPLSTCEWFGDFSPVRPHHNYHALAQQAYYYIAVRFKELYYSITGQKLFVGQTRARDRASVDEAFKYIREIIKWEDEKDDDVYEINQEDSFYSTEMSSPNIRQE >OIV90018 pep supercontig:LupAngTanjil_v1.0:KV862196:561:3487:1 gene:TanjilG_00153 transcript:OIV90018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTISMTFNKIHIKLTTTTPLAIKLNYGNHHICKSVKSSNIENSNAAKGKALNFGSESKTTLSIVKEREFISIDDDLEFTKPLLQHPKHNNKDQDLDDVALRKPTLVKPEGAMQGKCNLAESSRIDIDIEIPNISAAVMDDVTLPSNVNQVQPTINIRKESPLGLSNSGGICFSGGLLGPDGTNRFLGKWCKRGQNSESTSANGSGNGDLIAVGADGRGGRIKALRSSNQTFSREFISIDDDLEFTKPLLQHPKHNNKDQDLDDVALRKPTLVKPEGAMQGKCNLAESSRIDIDIEIPNISAAVMDDVTLPSNVNQVQPTINIRKESPLGLSNSGGICFSGGLLGPDGTNRFLGKWCKRGQNSESTSANGSGNGDLIAVGADGRGGRIKALRSSNQTFSDGKESSLCSKRLKLGSKTNTLQSKGCLQIEHFFGRVTQ >OIV89945 pep supercontig:LupAngTanjil_v1.0:KV862205:50954:51928:-1 gene:TanjilG_24519 transcript:OIV89945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLIGAFAHSECFPCPDISGLSQSLPNFVTERESWVYDQMPEPRWVISMGSCANGGGYYHYSYSVVRGCDRIVPVDIYVPGCPPTAEALLYGLLQLQKKINRRKDFLLWWTK >OIV89944 pep supercontig:LupAngTanjil_v1.0:KV862205:26770:32814:-1 gene:TanjilG_24518 transcript:OIV89944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEFQYSDTTPPSLKRKYDDQSTAAAATDSDLTAGIEIAKQKAQEVAARLFNSAPPPPTLDPSKRSKTDNGFDSIDVKAQYPIPTISPSAVSYGHQGAASKKIDIPNGRVGVIIGKGGETIKYLQLQSGAKIQITRDSDADPSSPTRMVEIMGAPDAIAIAEKLISEVLAEAEAGGSGVVTRRLTGQAGSDEYVSKIPNNKVGLVIGKGGETIKNMQASSGARIQVIPLHLPPGDTSTERTLKIEGTPEQIESAKQLVNQVISGENRFRNPAMSGGYPQQGYQAQPPTSWAPPGAPAQQHSYGYVQPGSYSGPSSQYNNPQQQYAGYPPQPAGGYSTGWDQSTAQPLQQSSQGAGYDYYSQQAPQQPQNPGGAVAPGDGSAYNYSQPPASGYSQLGQGYAQDSYGGYQAPPQSGYGQPPSYDQQQGYGSAPGYGNGNNSAQEGNAPNYGSQADSTQVPPVQPSATQQGYATGQQPSPHAANYPPQGTAQPNYGVPPISQASYGSQPQMPSGYGPPQSQKPGATPPVYGQSQSPKTVAAGYGQSGYPPSGYGGAYGPTSYGAASGGQPGYGQAPPSYGNGYGTGYAQPPAYSSDGNAGSHGSYDGAPAQTAQQGTVAKPSPPQS >OIV89946 pep supercontig:LupAngTanjil_v1.0:KV862205:53626:54036:-1 gene:TanjilG_24520 transcript:OIV89946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLTRTATRLPQLAPSSHRILSLHTTLPSLSPDTAATPAPYSRPPPPSVSSPAGISKTAEFVISKVDDLMNWARRGSIWPMTFGLACCAVEMMHTGGARYDLDRFGIIFRPSPRQSDCMIVAGTLTNKMAPALRK >OIV89943 pep supercontig:LupAngTanjil_v1.0:KV862205:14869:20052:1 gene:TanjilG_24517 transcript:OIV89943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIGENILESILEDNNIDDFDEDVEMIDIEEGELVEEHNSVNVSEQTSATVEGDDQKSDSKNRKRRANKKKNKRKRNGSGPNVAINIDRFVLDTCRRLKEKKSYMIYTAVGCLGLSALSDIIKEVDAIQACGGQKTADDKRFRTGGGVLWNIIKVREPQAYKEIMKKAKEFEKQFRHPNVKQPPMQKKEGDSPGLAFTFVGDNKGNVSDSSFPESQKQVQPELSGSEEKRVSVHDRLRTPVSYDDDLLELSADNNAN >OIV89902 pep supercontig:LupAngTanjil_v1.0:KV862216:2898:7078:1 gene:TanjilG_11861 transcript:OIV89902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METWFTIIISLCLIFLFRALLSLLQKSSTIKINNPFPPGPTHIPIITPIIWLTKSVSQLEPIIKQLHVKYGPIVTLQIGSSPTIFINDRSIAHQALIQNGSIFSDRPVNLPMENFISKNQHNITSASYDTTWRILRRNLTAEMLHPSRVKSFAQTRKWVLDVLLKRIKTNIKSSDSIKAKDHIQHAMFSLLVFMCFGQRVDDEKLHDIEQGERNLLVSLKKFNVLNFWPKITKILFRKRWEELLKLRSNQEMVLVPLIRVRKEAKKNGLCNDNNNPRAYVDTLLDLKLSNEGQRNLDEGEVVALCSEFLSAGTDTTSTALQWIMANLVKHPHMQQRIVDEIRKVMAVSDKEEKEEVHEEDLEKLPYLKAVVLESLRRHPPTHFLLPHAVSEDVAFNGYLVPKKGSVNFMVAEIGRDPKVWEDPMAFKPERFLNEDGSQIEGFDITGSKEIKMMPFGAGRRICPAYNLGMLHLEYFVANLVWNFDWKD >OIV89881 pep supercontig:LupAngTanjil_v1.0:KV862221:131775:159234:1 gene:TanjilG_14967 transcript:OIV89881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPSRVAGGLTQSSLSSGIFFQGDTQSQNIINSHLSSSFRNNSNTILGTARSNLGPVSGDINSGVLNGVVNSVRSVGASSLVTDANSTLSGGPNLQRGASINTDPYLRLPASPMSFTSNNTISGSSVMDGSTGAHQISHQDQNVQQLQLQGASSAMSLPASQTAASTIPMGAQVPGSFIQDPNNLSQLAKKPRLDIKQEDMMKQQVIQQFLQRPDLIQMQGHNPQMHALLQQQQRVRQQQMFQSMPQSQRAHLQQQQQQQQKQQQQKQQQQQMQLRQQLQQQAMQPSSSVKRPFDNGVNGVCSRRLMQYLYHQRQRPNDNSIAYWRKFVTEYYSPRAKKRWCLSLYNNVGQHALGVFPQAAMDAWQCDMCGSKSGKGFEATFEVLPRLNEIKFGSGVIDELLFLDLPREYRFQSGVMMLEYAKAVQETVYEQLHVSREGHLRIIFTQDLKILSWEFCARRHEELLPRRLVVPQVNQLVQVAQKCQSTIAESGSDGVSQQDLQANSNMLLTAGRQLAKSLELQSLNELGFSKRYVRFLQISEVVSSMKDLIDICKEYKVGPIESLKNYPQFPTAAKLQMHEMERLANVQGLPTDRNTLNKLMAMSPGFNNQVNNNHNMVNCGALSGSAQTAFELSNYQNILMRQNSMNSSPGPLQREGSSSFNKLDQSHSSSLQGSAAAAALIPGSMRNSTNRGFPSPYHLPSQQQHQQLQQCSRNANSLPHQNYSQGSNGNQAMQQQFIQQLLQDMSNNNNGGVQQQSVVGGPNANGNNMSKNGLGFGGHTPSMSGSSAHVSGNNEPVSRSHSFKAVSNSDSSAAADGNNGFYQRTPDMPQNQHMQDIAHDFTENSFFNNDLDDNMGFGWKP >OIV89882 pep supercontig:LupAngTanjil_v1.0:KV862221:160727:163477:-1 gene:TanjilG_14968 transcript:OIV89882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLEPQEICKLASVNKNFHRASSADFVWESKLPHSYKFLMNKVIGGEKHGTMTKKEIYAKLCQPNFFDNGTKEVRLDRCSGKACLFISSKSFKITGIDDRRYWNYIPTEESRFKSVAYLQQMWWVEVLGELEFEFPKGNYSIFFRLQLGKASKRLGRRVCNLDQVHGWDIKPVRFQLSTSDGQNSLSQCYLHTPGEWAHYHVGDFIIDKPNGPTSIKFSLAQIDCTHTKGGLCIDGAVIYPKELGERFKQF >OIV89879 pep supercontig:LupAngTanjil_v1.0:KV862221:84590:91400:1 gene:TanjilG_14965 transcript:OIV89879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPSRVAGGLTQSSLSSGIFFQGDTQSQNIINSHLSSSFRNNSNTIHGTTRSNLGPVSGDINSGVLNGVVNSVRSVGASSLVTDANSTLSGGPNLQRSASINTDPYLRLPASPMSFTSNNTISGSSVMDGSTGAQQNSHQDQNVQQLQGASNAVSLPASQTAASTIPMGAQVPGSFIQDPNNLSQLAKKPRLDIKQEDMMKQQVIQQFLQRPDLIQMQGHNPQMHALLQQQQRVRQQQMFQSMPQSQRAHLQQQQQQQQQQQKQQQQQMQLRQQQALQTSSSVKRPFDNGVNGVCSRRLMQYLYHQRQRPNDNSIAYWRKFVTEYYSPRAKKRWCLSLYNNVGQHALGVFPQAAMDAWQCDICGSKSGKGFEATFEVLPRLNEIKFGSGVIDELLFLDMPREYRFQSGMMMLEYAKAVQESVYEQLRVSREGHLRIIFTQDLKILSWEFCARRHEELLLRRSVVPQVNQLVQVAEKCQSTIAESGSDGVSQRDLQANSDMVLTAGRQLAKSLELQSLNELGLSKRYVRFLQISEVVNSMKDLIDICKEHKVGPTESLKNYPQFATAAKLQMHEMEQLANVQGLPTDRNTLNKLMTLNPGLNNQVNNNHNMVNCGTLSGSAQAALELSNYQNILMRQNSMNSSPGPLQREGSSFNQLNQSPSSSLHGTATALIPGSIQNSNSRGFPSHHHLPPRLQHPQLQQRSLSANSLPVQNYSQGSQGNQAMQQQFIQQLLQDMSNNNNGGVQPQSVVGGPSANGNNMSNNGLGFGGHTPSMSGSSALMSGNSEPVSRSNSFKAISNSDSSAQADGNNGFNQRTSNMPQNQHLQDMVQDIAHEFTENSFFNNDLDDNMGFGWKP >OIV89878 pep supercontig:LupAngTanjil_v1.0:KV862221:2364:3806:-1 gene:TanjilG_14964 transcript:OIV89878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRKRNGNNTNGLSSLMMNNGTRAEPGSKEWEMRPGGMLVQTRTTDSDRNSVPPPPTIRVKVKFGSIYHEVNINSQATFGELKKMLSGPTGLHHEDQKLFYKDKERDSKTFLDIVGVKDKSKIVLKEDPISQEKRYLEMKKNAKMEKAAKSVSEISLEVDRLAGRVSAFESIISKGGKIAETDVISLIELLMNQLLKLDGIIVDGDVKLQRKMQVKRIQKYVETLDMLKAKISNVGHAPTQSQQKHSNAQRLAPIPEHPQIPSNGHHSLMPIEEPKKQQPQPSRDPSTSEVVVTTKWETFDSMAPLIPVPSTSTPMTNNISGHHNKFNWEFFG >OIV89880 pep supercontig:LupAngTanjil_v1.0:KV862221:120718:122064:1 gene:TanjilG_14966 transcript:OIV89880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPSRVAGGLTQSSLSSGIFFQGDTQSQNIINSHLSSSFRNNSNTIHGTTRSNLGPVSGDINSGVLNGVVNSVRSVGASSLVTDANSTLSGGPNLQRGASINTDPYLRLPASPMSFTSNNTISGSSVMDGSTGAHQISHQDQNVQQLQLQGASSAMSLPASQTAASTIPMGAQVPGSFIQDPNNLSHTTVHFILQMRSIL >OIV89877 pep supercontig:LupAngTanjil_v1.0:KV862222:17698:20347:-1 gene:TanjilG_16149 transcript:OIV89877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWIILCSHESSNLERHGVLQPRDVTRFDWLWVAGQRICELLGGPSWAVPLGRRDARTASIDTANNDIPGPSSDLTTLTTKFAAKGLSPSDLTVLSGAHTIGQSECQFFKTRIYNETNIDTKFATSRQANCPFSSGGETTLAPLDSLTPNRFDNNYYKDLVANRGLLHSDQVLFNGGSQDSLVRTYSTNNVAFFNDFAAAMVKMSKISPLTGTSGEIRKNCRVIN >OIV90011 pep supercontig:LupAngTanjil_v1.0:KV862197:164919:167049:1 gene:TanjilG_00312 transcript:OIV90011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQLTDEQISEFKEAFSLFDKDGDEAELRHNVKVVCLATQRSLFSNPGNRLSTYESKQKNLGLHVDYTPAGCITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMARKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQINYEEFVKVMMAK >OIV90013 pep supercontig:LupAngTanjil_v1.0:KV862197:224315:226984:-1 gene:TanjilG_00314 transcript:OIV90013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKMALLKPISKFSNDTPKFSTPRMFSHSKFSTIKMSVTTTSTSTKPNKKGNKTAIKETLLTPRFYTTDFDEMETLFNTEINKNLNNDEFEALLQEFKTDYNQTHFVRNNKFKEAADKLDGPLRQIFVEFLERSCTAEFSGFLLYKELGRRLKKTNPVVAEIFSLMSRDEARHAGFLNKGLSDFNLALDLGFLTKARKYTFFKPKFIFYATYLSEKIGYWRYITIYRHLKANPEYQCYPVYVTMYLNDCQRSDFYEGIGLNTKEFDMHVIIETNRTTARIFPAVLDVENPEFKGRLDRMVEINEKILAVGESDDIPVVKNLKRIPLIAALVSELLATYLMPPIESGSVDLSQFETQLVY >OIV90015 pep supercontig:LupAngTanjil_v1.0:KV862197:249129:250824:-1 gene:TanjilG_00316 transcript:OIV90015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSGRDPGIVPRNSQPPESEESLNVNTPSMEWVNNKTPNMKLPRMKDVMVNGHTVKVKFCDTCLLYRPPRASHCSICNNCVQKFDHHCPWVGQCIGATTYENFRYRYDKKENPYTKGIMVNFKELSCSKIPSPLINFRSLVTEEEDVQDGSFTSDIENGFINPKHKFDMDMGTMYGKDGKRVTSILHDLDYNGIDDHLKKKAGSKEAGFEIFANADQDHKLTQWKSKTGINSPLDERKQ >OIV90017 pep supercontig:LupAngTanjil_v1.0:KV862197:283146:298798:1 gene:TanjilG_00318 transcript:OIV90017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFYSLKATCHVMLYLIYLLAFHCYADTNQTSILVVNATSNLTPRRIPDTFFGVFLEEINHGVSGGLWAELRNKVALRMEVLCGGTKPCPSSGVGISNPGFWGMNIEQRKKYQIVYYIKALAKLDLQISFTGANSEKLASTNVTVGNTTNWTRMVNILEANASYHNASLQITTTTKGVYWLDQVSAMPMDTYKGNGFRNDLFQMVAELKPKFLRFPGGTFVEGNRLRNAFRWKDTVGPWEERPGHFNDVWDYWTDDGFGYFEGLQLYTNSKDMFQKSSKFDKTSRSGPKAFVSEYAVWKEDAGMGSLVAAVAEAAFLIGLERNSWLPDAIVFDSYQHYGTPSYWVQKLFTESSGAIFLPTTLETNSSNSLIASAINPKQSKDNQNYIRIKVVNFGINSQNLQISVNGLNSTIKQLGSTKTVLTSSNLMDENSFSEKMKIFHFRSTFIA >OIV90012 pep supercontig:LupAngTanjil_v1.0:KV862197:191714:193532:-1 gene:TanjilG_00313 transcript:OIV90012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNENLPPNVIKQLAKELKNLDESPPEGIKVVVNDDDFSTIFADIDAGTPYENGVFRMKLLLSRDFPYSPPKGFFLTKIFHPNIATNGEICVNTLKKDWNPSLGLRHVLIVVRCLLIEPFPESALNEQAGKLLLEDYDEYARHARLYTGIHAKPKPKFKSGAISESTTALNVDQTNTSVPIADIKSAPQGAALPLPSSLAPSTTATRGNGQEHAPVILTDTIVNASATVLSAAPVPQKKEGGQAKAQPDKKKIDARKKSLKRL >OIV90007 pep supercontig:LupAngTanjil_v1.0:KV862197:8364:14939:-1 gene:TanjilG_00308 transcript:OIV90007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRERERERENLRGQRNLAYPFFKNKVASSGYLKNLELNSTSFFFTNFPDSHGTMDMWKLFSKWGSVGDVFIPHKRDKRGKSLSSKVNQMVNVPSDSDLDSCKDRDSCWGDHFLLEEEDAEVADSLANESILERKVNQKVSNSGSLSNMLVDVSVDSPNLSASRASLAPSCPPLLPFKEGALSPSKGPWVMDSDKDRQDPLFSYSNVPTPESHVVGASSCRIKGQKIKVGVGPILSDGNSSGSGTVFRPVTFSSKSVSKEGHIVSGHCEAPLNKLNVSKNCVFENSIFEVGSASKALVIQEADKYTQAPLKEVTKNRRHFLKKGKKKKLNSSIGEPLPGYLLSQIQRKKKFHPPLVLKPSSHRYKDQASNISVKKKKVIQKEVGSNDNLHSSISNSIDDSHVRCVNRLLLKEAGNIAVVPAGNTDAAPAGNTDAAPAENTDAASAGSFCITDALRWFAMLHFS >OIV90008 pep supercontig:LupAngTanjil_v1.0:KV862197:90573:93485:-1 gene:TanjilG_00309 transcript:OIV90008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPHKSQGSVEQLENVVVLGGSAMKNATPAGGSGKQRLRWTSDLHDHFVDAITQLGGPDRATPKGVLRVMGVPGLTIYHVKSHLQKYRLAKYLPESSVDGKDSKDEKRNSGGSVSGADSSPGFQINDALRMQMEVQKRLHEQLEVQQQLQMRIEAQGKYLQKIIEEQQKLGSTLTTTETLPSSHDKQNHPQSEPSRSSNAFAGALSPLKKQKIEDGSKDGSTASQVPPTSAQKTDCSAGKPNPKLYQDDAGFGFDLDPEKD >OIV90014 pep supercontig:LupAngTanjil_v1.0:KV862197:229565:235053:-1 gene:TanjilG_00315 transcript:OIV90014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGDRNLHMGSSSSCGGAVAHNVKRRRLYQVWKGDNKFLCHGRLVFGPDVSSLFLTTFLIAGPAIAFCVKIYLKIRDGSDDFNWYPVLIIGLILTVLDLIFLLLTSGRDPGIVPRNSRPPEFDDAFDIATPSMEWINGVTPHLKLPRTKDVIVNGYAVKVKFCDTCLLYRPPRTSHCSICNNCVQKFDHHCPWVGQCIGMDEHMTVESTTPTIMEGMLTPKEKSDIEMGSMCAEAGGMPIPELLRHFDFDNFEHDMKYEEGQPSFDPFYSVEDDIKDSARTSVATVLNFHSITNDEMQESFQSSFAGAKVRESAQRPSTDGTNAIEEN >OIV90010 pep supercontig:LupAngTanjil_v1.0:KV862197:148555:152380:1 gene:TanjilG_00311 transcript:OIV90010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRDNSVISPSSSRRENTLAEKKIHAKTIGCMSGILHLLSNSRHRRRFLTFGKTHTKNHSYSSPSSANSQRENQKEEKIPDVSIARNSISSCQVLRSPTLPAEIRRWSSAAQKKTAEEERRRTPTLVMRLMGLEDLPETPTESVEAKRLRLLGALQRCDKDLKILKKIIESVQSKDYSAVRAVVVTRSAVVDKIRTVSEMDCLMFNGEQQQLSPVSLLDEFTRSPLNPSCYSRRHSFGRMQQHKQQLLKKPGEEEISSAYINDRMTSEFIHKKINDKDHSFMWSSKAMINSVEDVCRDIAWGEKRELGRIGLALQNYICKDLIEEIVRELGCLYALPFETCKRKLCF >OIV90016 pep supercontig:LupAngTanjil_v1.0:KV862197:257766:259817:-1 gene:TanjilG_00317 transcript:OIV90016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHNFGQDFVPAPGSFDKSRVVNVKPIRTLVPVFPSPSNPSSSSNPQGGAPFVCVSPSGPYPAGVAPLYPFFVSPESQRLSEQNAQAQAGQRLPAGPISTAVPINSFRTPTGATNGDIEMNEVDAEDGTGNGSHKRKSGKKGRKPKGARGAPTNVNPEAVANDIFESINPIVFDALSQPDGRDSVTYTLLVYEVLKRKLGQLEETSKDISGAKRPDLKAGALMLTKGIRANSKKSIGVVLGVEIGDIFFFRIELCLVGLHAPSMAGIDYIGTKTSQEEEPLAVSIVSSGGYEDNVEDGDVLIYTGQGGVNKDKGASDQKLERGNLALEKSMHRGNDVRVIRGLTDLAHPTGKVYIYDGLYKIQNTWVEKAKNGFNVFKYKLVRCPGQPAAYMIWKSIQQWTQKNASRTGVILPDLTSSAEKIPVCLVNDVDNEKGPAYFTYSPTIKNLKPTAPVESSAGCSCIGGCQPDNYNCPCIQKNGGYLPYSAMGVLADLKSVVYECGPSCQCPPNCRNRVSQGGLKIRLEVFKTKDKGWGLRSWDPIRAGTFICEYAGEVIDNARVEELVGENEDDYIFDSTRIYQQVDVFPSDTEAPKIPSPLYITAKNEGNVARFMNHSCSPNVLWRPVVRENKNESDIHVAFYAIRHIPPMMELTYDYGIVLPLKAGQRKKKCSCGSAKCRGYFC >OIV90009 pep supercontig:LupAngTanjil_v1.0:KV862197:111787:116535:-1 gene:TanjilG_00310 transcript:OIV90009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQIIREWSGINTFASATQKKLLELLGKLKQEDVNSLTILVMGKGGVGKSSTLNSIIGERVVSISPFQSEGPRPVMVSRSRAGFTLNIIDTPGLIEGGYINDMALDIIKRFLLNKTIDVLLYVDRLDAYRVDNLDKLVAKAITDSFGKGIWNKAIIALTHAQFSPPDGLPYDEFVSKRSEALLKVVRAGAHIKKDVAQFCTQRVNQDSNLGCCMARWHSTTTSMLSLNSKHCTFNYVIYDGVDEEMDHGQSEEAATFECNDSDEEKIEGYDAELAAVEDDAASIPVVLVENSGRCNTNDSGEKVLPNGTAWIPHLVQTITETALNESESIHVDKNLIEGPNPNQRGKLWIPLVFALQYFFVMKPIKGLIRRDIAKESRPAWEMRDAGFRRRDLY >OIV89942 pep supercontig:LupAngTanjil_v1.0:KV862206:5400:27003:1 gene:TanjilG_27237 transcript:OIV89942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIALEPLRTSPSTTSFRAGPVTVEASERPWDAATTDSAPWDQSSASTANTFPRDKPNRGTLLLASQRDAPRLQARTRAHDTLPDDWTCAPSCCGYAPEGFTKHHILPCRTGRTRSLGTSVGCRYYRLRTVGPIQRLNSQRISEGQAYRVTLLLASQTTAPRLQARERAHDTLPDDWTCAPSCCGYAPEGFTKHHILPCRTGQNQKPRNARHYPTRHRVTKPEPRQPAPGHVRPGFGMEDDSVELPTTPWKNAPACLGQVAGALGGSPMACHPDPPRPQKDGGPATLEASARPTDARNYPTRHRVTKPAPRQEGLTHQEIRVGPRGTVEALDASPTSPTCPDDTKPKHQPAPGRVRPGFGMEDGSVELPTTPWKNAPACLGQLAGALGGSPMACHPDPPRNAWNIIPKHFPKLRPRNIEASPSNDFPPKCGTRPVLSRLFDARGRGPEGPVPNPSPDRHAATRSRRESSSSSPPTADGFGTGTPVPSPQSQSFSRGYGSILPTSLAYIVPSTRGCSPWRPDAVMSTTGRGRHSVLRIFKGRRGRTGHHATCGALPAAGPYLRLSRFQGGQAICTDDRSARAHAPGFAATAAPSYSSGPGPCPDGRVSAQLGTVTQLPVHPASPVLLTKNGPLGALDSVAWLNKAATPSYLFKSFAPIPKSDERFARQYRCGPPPEFPLASPRSGIVHHLSGPDRYALTRTLHRRSGSVGGATHKGIPPISFLAPYGFTCPLTRTHVRLLGPCFKTGRMGSPQADARSTQVPKHTKRRALPTTIAMMTSPRACQQPGLGPPSQFASVHAPSRLADRLSPFHIRPRHIAGPHPLPSRQFQALFDSLFKVLFIFPSRYLFAIGLSPVFSLGRNLPPDWGCIPKQPDSPTAPRGATGSGHDGALTLSGAPFQGTWARSAAEDASPDYNSDTEGDRFSWRPTDPHGSKSRKAGGGDTHDRSRALAQPPSITAPSTADSVFNQPRALGLMASGATCVQRLDGSRDSAIHTKYRISLRSSSMQEPRYPLPRVFRISVSQRRPHEHRLRADGGELNDFNFLGAFRAGVLLLGQEDTAEGSPTETLLRLLLPLNDKVQWTSHNVAGSEPPTSPQSEHFTGPFNRQIAPPTKNGHAPPPIESRKSSQSVNPYYVWTCGVLKATSADPWSASFMVETRTLFVFHKSKNFTSDYEIRMPPTVPVNHYSDPEGQHNRIRILCAGGTTRPVKARSASPAEGTSRPVHTNGGPIDPTQAVSQAPSPESNPNSPSPVTTMCCHRKRLSKTDTTAKCYSREPINRRDSTGQTHQPAFAACTASKGTLDTCDNASHHNSQLTLHTHHFRILQRPQEGAWMERPTTHFRMIALEPLRTSPSTTSFRAGPVTVEASERPWDAATTDSAPWDQSSASTANTFPRDKPNRGTLLLASQRDAPRLQARTRAHDTLPDDWTCAPSCCGYAPEGFTKHHILPCRTGRTRSLGTSVGCRYYRLRTVGPIQRLNSQRISEGQAYRVTLLLASQTTAPRLQARERAHDTLPDDWTCAPSCCGYAPEGFTKHHILPCRTGQNQKPRNARHYPTRHRVTKPEPRQPAPGHVRPGFGMEDDSVELPTTPWKNAPACLGQVAGALGGSPMACHPDPPRPQKDGGPATLEASARPTDARNYPTRHRVTKPAPRQEGLTHQEIRVGPRGTVEALDASPTSPTCPDDTKPKHQPAPGRVRPGFGMEDGSVELPTTPWKNAPACLGQLAGALGGSPMACHPDPPSTRDVCHGTGHFHLALGKCVMASISPSSTRTHVSWPLFHLALGITHEEPHHAQSTPTTGHHEPHTRHHGAWPEAMPHSTLGPEGPVPNPSPDRQAATRSRCESSSSSSPTADGFGTGTPVPSPQSQSFSRGFAATAAPSYSSGPGPCPDGRVSAQLGTVTQLPVHPASPVLLTKNGPLGALDSVAWLNKAATPSYLFKSFAPIPKSDERFARQYRCGPPPEFPLASPRSGIVHHLSGPDRYALTRTLHRRSGSVGGATHKGIPPISFLAPYGFTCPLTRTHVRLLGPCFKTGRMGSPQADARSTQVPKHTKRRALPTTITMMTSPRACQQPGLGPPSQFASVHAPSRLADRLSPFHIRPRHIAGPHPLPSRQFQALFDSLFKVLFIFPSRYLFAIGLSPVFSLGRNLPPDWGCIPKQPDSPTAPRGATGSGHAMALTLSGAPIQWTWARRPTDPHGSKSRKAGGGDTHDRSRALAQPPSITAPSTADSVFNQPRALGLMASGTTCVQRLDGSRDSAIHTKYRISLRSSSMQEPRYPLPRVFRIRVSQCRLHEHRLQADGGELNDFNFLGAFRTEVLSLGQEDNAKGSPTETLLRLLLPLNDKVQWTSHNVAGSEPPTSPQSEHFTGPFNRQIAPPTKNGHAPPPIESRKSSQSVNPYYVWTCGVLKATSADPWSASFMVETRTLFVFHKSKNFTSDYEIRMPPTVPVNHYSDPEGQHNRIRILCAGGTTRPVKARSASPAEGTSRPVHTNGGPIDPTQAVSQAPSPESNPNSPSPVTTMCCHRKRLSKTDTTAKCYSREPINRRDSTGQTHQPAFAACTASKGTLDTCDNASHHNSQLTLHTHHFRILQRPQEGAWMERTALTSQLPLTRPPVRQTRRYHTDHSPQCQWQAIKWKHMVPPDPLRRARTEMVTAKTDRDKGVGYVQPDAHIFPNDQPATGHVRPGFGMEDDSVELPTTPWKNAPACLGQLAGALGGSPMACHPDPPRPQKDGGPATLEASARPTDARNYPTRQQHLKPAPRQEGLTHQEIRVGPRGTVEALDSSPISPTCPHNTKQKHQPAPGRLRPGFGMEDDSVELPTTPWKNAPACVGQLAGALGGSPMAFHPDPPRNARSIIPKHFPKLHPCNIMAFTSNDFPPRCGTHGGAMTGNIA >OIV89941 pep supercontig:LupAngTanjil_v1.0:KV862207:30777:39122:1 gene:TanjilG_27269 transcript:OIV89941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTRIASSPDSDLEAFSHNPTYGSFAPLAFQPSVMTNCGNQRFLSTKICTDDRSAHAHAPGFAVTAAPSYSSVPGPSPDGRHVAKARGNDVQHPGRRALGLMASGATCVQRLDGSRDSAIHTKYRISLRSSSMQEPRYPLPRVFRISVSQRRPHEHRLRADGGELNDFNFLGAFRAGVLLLGQEDTAEGSPTETLLRLLLPLNDKVQWTSHNVAGMPAANCPRRRNPVTTPDHSIGRGDGRQIAPPTKNGHAPPPIESRKSSQSVNPYYVWTCRVLKATSADPWSTSFMVETRTLFVFHKSKNFTSDYEIRMPPTVPVNHYSDPEGQHNRIRILWSVSQAPSPESNPNSPSPVTTMVGNTRTRIERRGRNDTRRTWEGNAWNIIRKHFPKLRPCNIECVWHGPNSPTTRIRDYVSIVDFRCTNSTNRTHELLTLVGVRTRTQKDGGFATLQASARPTDARNYPTRHCVTKPAPRQEGLTHQEIRVGPRGTVEAIDASPVSPTCPDNTKPKHQPAPGRVRPRFGMGDDSIELPTTPWKNAPACLGQLAGALGGSPIACHPDPPRNASSINPKNFPKLRPCNIVAFTSNDFPPRCGTCGGAMTGNIA >OIV89940 pep supercontig:LupAngTanjil_v1.0:KV862207:27898:30445:-1 gene:TanjilG_27268 transcript:OIV89940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDEASRCKVRVPQAHGRDKVTYNVECGIASGHAPWCLVCGSWCPVVGVLCAWCGSSCVIPSARMACHGAPPSAPATCPRHAGAFFHGVVGNSTESSSMPNPGHTWPGAGWSFREMCALSWTYLAPRTRSGLGLGDGRSEASSVTGPARKDVVLGEAFRGIAAARRGASPIIRKCVVGWFAWLKSSNNVPLLGLSLGNVLAVELLDWSHGAELVVAASHGRSEASSVTGPARKDVVRWCETHATMQFVGVGLSHGNMCAPRKIVRTLGNMLPVRPFSPWKDVVLGDALRAEPVRRKCVVGGFGSRINFDPLSLSVLAVTFSVRARRSGSGGTMCFHLLACHWHCGEWSVWYLRVCLTGGRVSGNWDVSAVWLHDHGHRTFSTQPFPCSPCVISAPAFDSGSCVAYPLSGIHVPLMTRSIQAPS >OIV89939 pep supercontig:LupAngTanjil_v1.0:KV862207:184:27335:1 gene:TanjilG_27267 transcript:OIV89939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLLPTPHPSQRDAPRLQARTRAHDTLPDDWTCAPSCCGYAPEGFTKHHILPCRTGRTRSLGTSVGCRYYRLRTVGPIQRLNSQRISEGQAYRVTLLLASQTTAPRLQARERAHDTLPDDWTCAPSCCGYAPEGFTKHHILPCRTGQNQKPRNARHYPTRHRVTKPEPRQPAPGHVRPGFGMEDDSVELPTTPWKNAPACLGQVAGALGGSPMACHPDPPRPQKDGGPATLEASARPTDARNYPTRHRVTKPAPRQEGLTHQEIRVGPRGTVEALDASPTSPTCPDDTKPKHQPAPGRVRPGFGMEDGSVELPTTPWKNAPACLGQLAGALGGSPMACHPDPPRNAWNIIPKHFPKLRPRNIEASPSNDFPPKCGTRPVLSRLFDARGRGPEGPVPNPSPDRHAATRSRRESSSSSPPTADGFGTGTPVPSPQSQSFSRGYGSILPTSLAYIVPSTRGCSPWRPDAVMSTTGRGRHSVLRIFKGRRGRTGHHATCGALPAAGPYLRLSRFQGGQAICTDDRSARAHAPGFAATAAPSYSSGPGPCPDGRVSAQLGTVTQLPVHPASPVLLTKNGPLGALDSVAWLNKAATPSYLFKSFAPIPKSDERFARQYRCGPPPEFPLASPRSGIVHHLSGPDRYALTRTLHRRSGSVGGATHKGIPPISFLAPYGFTCPLTRTHVRLLGPCFKTGRMGSPQADARSTQVPKHTKRRALPTTIAMMTSPRACQQPGLGPPSQFASVHAPSRLADRLSPFHIRPRHIAGPHPLPSRQFQALFDSLFKVLFIFPSRYLFAIGLSPVFSLGRNLPPDWGCIPKQPDSPTAPRGATGSGHDGALTLSGAPFQGTWARSAAEDASPDYNSDTEGDRFSWRPTDPHGSKSRKAGGGDTHDRSRALAQPPSITAPSTADSVFNQPRALGLMASGATCVQRLDGSRDSAIHTKYRISLRSSSMQEPRYPLPRVFRISVSQRRPHEHRLRADGGELNDFNFLGAFRAGVLLLGQEDTAEGSPTETLLRLLLPLNDKVQWTSHNVAGSEPPTSPQSEHFTGPFNRQIAPPTKNGHAPPPIESRKSSQSVNPYYVWTCGVLKATSADPWSASFMVETRTLFVFHKSKNFTSDYEIRMPPTVPVNHYSDPEGQHNRIRILCAGGTTRPVKARSASPAEGTSRPVHTNGGPIDPTQAVSQAPSPESNPNSPSPVTTMCCHRKRLSKTDTTAKCYSREPINRRDSTGQTHQPAFAACTASKGTLDTCDNASHHNSQLTLHTHHFRILQRPQEGAWMERPTTHFRMIALEPLRTSPSTTSFRAGPVTVEASERPWDAATTDSAPWDQSSASTANTFPRDKPNRGTLLLASQRDAPRLQARTRAHDTLPDDWTCAPSCCGYAPEGFTKHHILPCRTGRTRSLGTSVGCRYYRLRTVGPIQRLNSQRISEGQAYRVTLLLASQTTAPRLQARERAHDTLPDDWTCAPSCCGYAPEGFTKHHILPCRTGQNQKPRNARHYPTRHRVTKPEPRQPAPGHVRPGFGMEDDSVELPTTPWKNAPACLGQVAGALGGSPMACHPDPPRPQKDGGPATLEASARPTDARNYPTRHRVTKPAPRQEGLTHQEIRVGPRGTVEALDASPTSPTCPDDTKPKHQPAPGRVRPGFGMEDGSVELPTTPWKNAPACLGQLAGALGGSPMACHPDPPRNAWNIIPKHFPKLRPRNIEASPSNDFPPKCGTRPVLSRLFDARGRGPEGPVPNPSPDRHAATRSRRESSSSSPPTADGFGTGTPVPSPQSQSFSRGYGSILPTSLAYIVPSTRGCSPWRPDAVMSTTGRGRHSVLRIFKGRRGRTGHHATCGALPAAGPYLRLSRFQGGQAICTDDRSARAHAPGFAATAAPSYSSGPGPCPDGRVSAQLGTVTQLPVHPASPVLLTKNGPLGALDSVAWLNKAATPSYLFKSFAPIPKSDERFARQYRCGPPPEFPLASPRSGIVHHLSGPDRYALTRTLHRRSGSVGGATHKGIPPISFLAPYGFTCPLTRTHVRLLGPCFKTGRMGSPQADARSTQVPKHTKRRALPTTIAMMTSPRACQQPGLGPPSQFASVHAPSRLADRLSPFHIRPRHIAGPHPLPSRQFQALFDSLFKVLFIFPSRYLFAIGLSPVFSLGRNLPPDWGCIPKQPDSPTAPRGATGSGHDGALTLSGAPFQGTWARSAAEDASPDYNSDTEGDRFSWRPTDPHGSKSRKAGGGDTHDRSRALAQPPSITAPSTADSVFNQPRALGLMASGATCVQRLDGSRDSAIHTKYRIWLRSSSMQEPRYPLPRVFRISVSQRRPHEHSLRADGGELNDFNFLCAFHAGVLLLGQKDTAEGSPTETLLRLLLPLNDKVQRTFHNVAGSEPPIASTANTFPRDKPNRGTLLLASQRDAPRLQARTRAHDTLPDDWTCAPSCCGYAPEGFTKHHILPCRTGRTRSLGTSVGCRYYRLRTVGPIQRLNSQRISEGQAYRVTLLLASQTTAPRLQARERAHDTLPDDWTCAPSCCGYAPEGFTKHHILPCRTGQNQKPRNARHYPTRHRVTKPEPRQPAPGHVRPGFGMEDDSVELPTTPWKNAPACLGQVAGALGGSPMACHPDPPRPQKDGGPATLEASARPTDARNYPTRHRVTKPAPRQEGLTHQEIRVGPRGTVEALDASPTSPTCPDDTKPKHQPAPGRVRPGFGMEDGSVELPTTPWKNAPACLGQLAGALGGSPMACHPDPPRNAWNIIPKHFPKLRPRNIEASPSNDFPPKCGTRPVLSRLFDARGRGPEGPVPNPSPDRHAATRSRRESSSSSPPTADGFGTGTPVPSPQSQSFSRGYGSILPTSLAYIVPSTRGCSPWRPDAVMSTTGRGRHSVLRIFKGRRGRTGHHATCGALPAAGPYLRLSRFQGGQAICTDDRSARAHAPGFAATAAPSYSSGPGPCPDGRVSAQLGTVTQLPVHPASPVLLTKNGPLGALDSVAWLNKAATPSYLFKSFAPIPKSDERFARQYRCGPPPEFPLASPRSGIVHHLSGPDRYALTRTLHRRSGSVGGATHKGIPPISFLAPYGFTCPLTRTHVRLLGPCFKTGRMGSPQADARSTQVPKHTKRRALPTTIAMMTSPRACQQPGLGPPSQFASVHAPSRLADRLSPFHIRPRHIAGPHPLPSRQFQALFDSLFKVLFIFPSRYLFAIGLSPVFSLGRNLPPDWGCIPKQPDSPTAPRGATGSGHDGALTLSGAPFQGTWARSAAEDASPDYNSDTEGDRFSWWAFPVRVQGTGQRCATPKQACPQPNGFGHNLRSKTRRFTGFSNSHQYHILLLSSSMQEPRYPLPRFIRISVSQCRPHDHRLRADRGELNDFNFLARSAPRQIAPPTKNGHAPPPIESRKSSQSVNPYYVWTCAGGTTRPVKARSASPTEGMSRPVHTNGGPIDPTQAVSQAPSPESNPNSPSPVTTMVGHYPTIES >OIV89901 pep supercontig:LupAngTanjil_v1.0:KV862217:7852:13877:1 gene:TanjilG_13570 transcript:OIV89901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAGMCLGLLRNGCAWPEKRWAAKNRGAQTCEGDRKHNRGEQTCKGSLILKYHCDWPEKRSDGCYWNSRVEEVESESASNDLIQLFQPFGVITKLVMLRAKNQALLQMQDISSAVNALQYYANVQPSIRGRNVYVQFSSHQELTTMDQNQGREDEPNRILLVTVHHMMYPITVDVLHQVFSPHGYVEKIVTFQKPAGFQALIQYQSRQSAVTARTTLQARNIYDGCCQLEIQFSNLDELQVHYNNDRSRDFTNPNLPTEQKGRPSQAGYGDGGSMYGVQGSGARGGGLHFNLEVMSSNPESKLFACEMANAAAIAAAFGGGLPPGITGTNDRCTVLVSNLNPDRIDEDKLFNLFSIYGNIVRIKLLRNKPDHALIQMGDGFQAELAVHFLKGAMLFGKQLEVNFSKYANIIQGPDTHEYVNSNLNRFNRNAAKNYRYCCSPTKMIHLSTLPQDITEEDIINLVEDHGTIVNSKVFEMNGKKQALVLFDTEEQATEALVCKHASSLAGSIVRISFSQLQNI >OIV89876 pep supercontig:LupAngTanjil_v1.0:KV862223:51960:52151:1 gene:TanjilG_18596 transcript:OIV89876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAIDASGNPIPTSSVLMAASKHIGIRCHSENLEFLKCKKKDQNPEKCLEQGRQVTRCALGL >OIV89875 pep supercontig:LupAngTanjil_v1.0:KV862223:9876:49140:1 gene:TanjilG_18595 transcript:OIV89875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEDEETKETKISANSNKLHGNESDSHKVVDSVVKELVESPQKENVSVGSGIGDEHEDERIQLERHGIDSVNSVMHEDQLEQVSLKDQEQNNEYVASNQFSGLHNVQHQFGEHTEDVQDSSGMYSEEDSSSPVSDMQHDHLPYSPGLEGHFANEQSASSSVVFDSPRYSPVSSPQKPKPKVAMTSGSPELLHLVDSAIMGKPEAMEKLKNIASGVEIFGSGETMDSVAFSIVDSLLGTMGGVESFEEDGDKNPPSVMLNSRAAIVAGEIIPWLPYAGDTKIVMSPRTRMVRGLLAILRACTRNRAMCSMAGLLEVLLRTAEKIFIVDVGLNGQIRWDGTPLCHCIQYLAGHSLSVSDLHRWFQVITRTLTTIWAPQLLLSMEKAVSEKESRGPACTFEFDGESSGLLGPGDSRWPFINGYAFATWIYIESFADALNTATVAAAIAAAAAAKSGKSSAMSAAAAASALAGEGTAHMPRLFSFLSADNQGIEAYFHAQFLVVEIGTGKGKRSALHFTYAFKPQCWYFIGVEHIGKHGVMGNVESEVRLYVDGSLYESRPFEFPRISKPLAFCCIGTNPPATMAGLQRRRRQCPLFAEMGPVYIFKEPIGLEKMSRLASRGGDIVPSFGNAAGIPWLATNAHVQSKAEESVLLDAEIAGFIHLLYHPSLLNGRFCPDASPSGASGMLRRPAEVLGQVHVATRMRPVDTLWALAYGGPLSLLPLAVSNVHEETLEPQQGTFSVAAATTCLAGPIFRIISVAIQHPRNNEELSRGRGPEVLSKILNYLLQTLSSLDVGTHDGVRDEELVAAVVSLCQSQKINHMLKVQLFTTLLLDLKIWSLCSYGIQKKLLSSLADMVFTESTVMRDANAIQMLIDGCRRYYWTVPEKDSVNTFSLTGATRPVGEVNALVDELLVVIELLIVAASPLVASDDIRCLLGFMVDCPQPNQIARTLHLFYRLVVQPNTARAHTLAEAFLACGGIETLLVLLQREAKAGDSDVMESMSKCSELKKTEIDGSSEIIERCRDDGGSEEKSEAILQDNDQGSQSVDCESNCDLSSPSVNRMSFTSETPPVKNLGGISLNISADSARKNVYNVDKSDGIVVGIIGLLGALVASGHLRFGSRDGPDTTSNLLGVGLHDGGGTMFDDKVSLLLYALQKAFQAAPNRLMTNNVYTALLAASINASSIEDELNFYDSGHLFEHSQLLLVLLHSLPFAPRSLQSRALQDLLFLTCSRPENRSSLTNMEEWPEWILELLISNHEMGPSKSSDSTSVGDIEDLIHNFLFIMLENSMRQKDGWKDIEGTIHSAEWLSIVGGSSTGEQRVRYDLAVTSLISANVMVMMWREESLPIFKRRLLGGLLDFAARELQVQTQIIAVAAAGVAAEGLSPDDSKAQAEDAAHLSVALVENAIVILMLVEDHLRLQSKQSYFLRATDCSPSPLSIFYPIRNNSTSLSTIGESAEVLGDRTSSSSDSGGVSLDVLSSMADANGQISTSVMERLAAAAAAEPYESVSCAFASYGSCAKDLANGWKYRSRLWYGVGLPSNTASFIAGGSGWDFWKSALEKDINGNWIELPLVKKSMAMLQALLLDESGLGGGLGIGGGSGTGMGAMAALYQLLDSDQPFLCMLRMVLLSMREDDDGEEHMLMKNASNDDMTSEGRKPHSALLWSVLSPVLNMPISDSKRQRVLVASCVIYSEVYHAVGIDQKPLRKQYLEAVLPPFVAVLRRWRPILAGIHELATADGLNPFTVDDAALVSDALPTEAALAMITPAWAAAFASPPAAMALAMIAAGTSGGEINAPSTNAHLRRDTSLLERKQARLHTFSSFQKPLEAPNKTPPLPKNRAAAKAAALAAARDLQRFSRIGSGRGLSAVAMATSAQRRNASDMERVKRWNITEAMGVAWMECLHPVDTKSVYGKDFNALSYKFIAVLVASFALARNMQRSEIDRRAQVDILSRHRIHTGIRSWCKLVRQLIEMRSLFGPFADHLYSPPRIFWKLDFMESSSRMRRCLKRNYQGSDHLAAANYDNYLGEENNDQSAPILSAEAISTESINVDEEQVEIDNLDARADDFEDKIENQPIFSESAEQTVHTSLESGNSQLASDQSVVQSSSDIAPGYVPSELDERIVLELPSSMVQALRVVQGTFQSSESRRNVYRAIVQARPPHLNNIYLATQRPEQLLKRTQLMERWARWEISNFEYLMQLNTLAGRSYNDLTQYPVFPWILSDYSSESLDLSNPSSYRDLSKPIGALNPDRLKKFQERYSSFDDPVIPKFHYGSHYSSAGTVLYYLVRVEPFTTLAIKLQGGKFDHADRMFSDISATWNGVLEDMSDVKELVPELFYLPEILTNENSIDFGTTQLGGKPDTVRLPAWAENPDDFVHKHRMALESEYVSAHLHEWIDLIFGYKQQGKEAIAANNVFFYITYEGAVDIDKISDPVQQRATQDQIAYFGQTPSQLLTVPHLKKLPLAEVLHLQTIFRNPKEVKPYAVPSPQQCNLPAAAIHASSDMVVVVDMNAPAAHVAQHKWQPNTPDGQGSPFLFQHGKATSGSAGLMRMFKGPPGMDEDWQFPRALAFAVSGIRSQAIVSITCDREIITGGHADNSIRLIASDGAKALEVAYGHCAPVTCLGLSPDSNYLVTGSRDATVLLWRVHRAFGSLSSAISESSIGAGTPRSTSSSLSHFLLEKNRKCRIEGPIQVLRGHRSEILSCCVSSDLGIVVSCSLSSDVLLHSIRRGRLVRRMDGVEAHAVCLSSEGVVMTWNESQHTLSTFTLNGVLIAKTELSFSSSISCMEISFDGRSALIGINSLENGSAYSNSCNFQSSKSGVADFDSESEETHESNRINAPSPSICFLDLHTLEVFHVLRLGEGQDITALALNKDNTNLLVSTSDKQLIIFTDPALSLKVVDQMLKLGWEGDGLRNLIKS >OIV89874 pep supercontig:LupAngTanjil_v1.0:KV862224:35:11717:-1 gene:TanjilG_21049 transcript:OIV89874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSPSSNPLLLLILSSSYPHPILLLILIVILIVSSSSSSSLSSPSSYPRPHLHPIHIQSSSYPHPHPHPILVLILSSTSSSSYPQPPPHPILNLLLILSSTSSSSYPQPPPHPILNLLLILSSTSSSSYPQPPPHPILNLLLILSSTSSSSYPQPPPHPILNLLLILSSTSSSSYPQPPPHPILNLLLILSSTSSSSYPQPPPHPILNLLLILSSTSSSSYPQPPPHPILNLLLILSSTSSSSYPQPPPHPILNLLLILSSTSSSSYPQPPPHPILNLLLILSSTSSSSYPQPPPHPILNLLLILSSTSSSSYPQPPPHPILNLLLILSSTSSSSYPQPPPHPILNLLLILSSTSSSSYPQPPPHPILNLLLILSSTSSSSYPQPPPHPILNLLLILSSTSSSSYPQPPPHPILNLLLILSSTSSSSYPQPPPHPILNLLLILSSTSSSSYPQPPPHPILNLLLILSSTSSSSYPQPPPHPILNLLLILSSTSSSSYPQPPPHPILNLLLILSSTSSSSYPQPPPHPILNLLLILSSTSSSSYPQPPPHPILNLLLILSSTSSSSYPQPPPHPILNLLLILSSTSSSSYPQPPPHPILNLLLILSSTSSSSYPQPPPHPILNLLLILSSTSSSSYPQPPPHPILNLLLILSSTSSSSYPQPPPHPILNLLLILSSTSSSSYPQPPPHPILNLLLILSSTSSSSYPQPPPHPILNLLLILSSTSSSSYPQPPPHPILNLLLILSSTSSSSYPQPPPHPILNLLLILSSTSSSSYPQPPPHPILNLLLILSSTSSSSYPQPPPHPILNLLLILSSTSSSSYPQPPPHPILNLLLILSSTSSSSYPQPPPHPILNLLLILSSTSSSSYPQPPPHPILNLLLILSSTSSSSYPQPPPHPILNLLLILSSTSSSSYPQPPPHPILNLLLILSSTSSSSYPQPPPHPILNLLLILSSTSSSSYPQPPPHPILNLLLILSSTSSSSYPQPPPHPILNLLLILSSTSSSSYPQPPPHPILNLLLILSSTSSSSYPQPPPHPILNLLLILSSTSSSSYPQPPPHPILNLLLILSSTSSSSYPQPPPHPILNLLLILSSTSSSSYPQPPPHPILNLLLILSSTSSSSYPQPPPHPILNLLLILSSTSSSSYPQPPPHPILNLLLILSSTSSSSYPQPPPHPILNLLLILSSTSSSSYPQPPPHPILNLLLILSSTSSSSYPQPPPHPILNLLLILSSTSSSSYPQPPPHPILNLLLILSSTSSSSYPQPPPHPILNLLLILSSTSSSSYPQPPPHPILNLLLILSSTSSSSYPQPPPHPILNLLLILSSTSSSSYPQPPPHPILNLLLILSSTSSSSYPQPPPHPILNLLLILSSTSSSSYPQPPPHPILNLLLILSSTSSSSYPQPPPHPILNLLLILSSTSSSSYPQPPPHPILNLLLILSSTSSSSYPQPPPHPILNLLLILSSTSSSSYPQPPPHPILNLLLILSSTSSSSYPQPPPHPILNLLLILSSTSSSSYPQPPPHPILNLLLILSSTSSSSYPQPPPHPILNLLLILSSTSSSSYPQPPPHPILNLLLILSSTSSSSYPQPPPHPILNLLLILSSTSSSSYPQPPPHPILNLLLILSSTSSSSYPQPPPHPILNLLLILSSTSSSSYPQPPPHPILNLLLILSSTSSSSYPHRHSRPHPFLFLFLNLILLLILLEILS >OIV89917 pep supercontig:LupAngTanjil_v1.0:KV862212:61769:62239:-1 gene:TanjilG_08294 transcript:OIV89917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRDIVKVAYINDINARKASFKKRKRGIFKKVNELTVLCGIQACAIIQNPFDSQLEVWPNPESANQMIERFQNISFIDESKNMNQESFFIQRISKAQVKLDFQRQENHEKEMNLAMFEFMQTRKLPESLTITYLKAMNDLIEKHMKEIEYKKATLV >OIV89920 pep supercontig:LupAngTanjil_v1.0:KV862212:142589:144106:1 gene:TanjilG_08297 transcript:OIV89920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGESCLASLSMDNHHPHPSTLLSMDSSASSHEELDLEMNRQIILSRPPDINLPLSAERSPPPQQPWISDPCDILDVGLGTQGYETESFLNLPKAAGRKCAKRVDSIWGAWFFFSFYFKPALNDKSKAKIVRDSNGVSGFDKSDLKLNVFMVQHDMENMYMWVFKDRPENALGKMQLRSYMNGHSRQGERPFPFSADKGFVRSHRMQRKHYRGLSNPQCVHGIEVVHSPSLMCLDEDDRKRWTELTSRDLNFTIPPEASDFSSWRNLPNTDFELERPLPLIKIAPNAHPKKLLNGSGLNLSTHPSNHSNGNGLDLSPISSKKRKDFFPHGNGEECYLAVNLPSDRVTDIEMHPTEPHWMHDFTGVMRNVYGPVTAAKTIYEDEKGYLIIISLPFVDLPSVKVSWRNTMTHGIIKVSCMSTSRKPFIKRHDRTFKLTDPSSEHCPLGEFVREIPLSARIPEDANIEAYYDGPGSVLEIMVPKHRVGPEEHEVRVCLRPHLGGNDLI >OIV89924 pep supercontig:LupAngTanjil_v1.0:KV862212:487663:489144:1 gene:TanjilG_08301 transcript:OIV89924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNGSSRRDLLLNSQNFFRSIPAGAKNPSLSRLCYRRLWGSRNRRALILGWAYYLDAFSSYPLRTWLPSVYRGHDNCPTLGTYYSPRWRRADIEVPNLPVDVVRIFTDMSISPSLSPRQCPDRYAFRAGRNLPDKEFRYLRTVIVTAAVHRGFGRRLPCHQSPGPGHCDPLCEEAPLLPKLRGYFAEFLRESCLAPLGILYLPTCVGFGYRYPFVEGRSSFSWEYGMGYFSAVAPGTRTLARGIFSTPSYPEKAGAPCVLEPITIFRLT >OIV89923 pep supercontig:LupAngTanjil_v1.0:KV862212:480557:481799:-1 gene:TanjilG_08300 transcript:OIV89923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIRKNAKLSPLFFSFSSSSQGGSFPVQTHVCQLNQSPWDVIPFDSDSIQFEGEDSFTAGNGAGDSIVVVESVASMPRIDDLVMVDDNHTIEIDNNCDKVVAKTSCCEGFDAKGWPCKNEPKDGQSFCRHHLSSKKAVAAAASAARRGARARAAKKSTPSSSSSNPYEFYYYSGFGPLWGKQRGGNRNGGEERNNSNAAAEENSPMMESENTIKTATMSSDNTDSSVVLMDNNKEGFDFVDDNEEEEDDANDDGGIKRMRKPVKARSLKSLM >OIV89916 pep supercontig:LupAngTanjil_v1.0:KV862212:37537:48215:1 gene:TanjilG_08293 transcript:OIV89916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPIETPDKVLISHNSSYPPLKERILSSMTRSSAAAHPWHDLEIGPEAPTIFNCVIEIAKGSKVKYELDKKTGLIKVDRILYSSVVYPHNYGFIPRTLCEDSDPIDVLVIMQEPVLPGCFLRAKAIGLMPMIDQIGSALKKLFDDGVVKREDIWITSKLWCSDHAPEDVPKALDKTLQDLQLDYLDLYLIHWPVRMKKGSVGFKPENLDQPDIPSTWRALEALYDSGKARAIGVSNFSSKKLQDLLEIARVPPAVNQVELHPAWKQPKLRAFCESKGIHLSGYSPLGSPGVLKSDILKNPAISVVAEKLGKSPAQVALRWGLQTGHSVLPKSTNEARIKDNFDDRLIKGTGFVHETYGFYKTIEELWDGE >OIV89918 pep supercontig:LupAngTanjil_v1.0:KV862212:67389:67859:-1 gene:TanjilG_08295 transcript:OIV89918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPREKVKMAYINDINARKASFNKRKKSIFKKVSELTILCGIQACAIIQNPFDFQIEVWPNPERVDQVIERYQNTSLINESRNMNQESFFIQRISKAQQKLHNLRKDNYEKKITLAMFEYIQTRKLPENLTIEDLKVMDDIREKYMKEIENKKAILY >OIV89915 pep supercontig:LupAngTanjil_v1.0:KV862212:11879:17167:-1 gene:TanjilG_08292 transcript:OIV89915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATRARADYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTIELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIKNWIRNIEQHASDNVNKILVGNKADMDESKRAVPTSKGQALADEYGIKFFETSAKTNLNVDEVFFSIARDIKQRLADTDSKAEPQALKINQSDQGSGSAQAGQKSACCGS >OIV89919 pep supercontig:LupAngTanjil_v1.0:KV862212:73420:74794:1 gene:TanjilG_08296 transcript:OIV89919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMHSLVNAVDYNFISNLESSFTKSLYIEYVEKSEHASDRDDKYNLGKGDLFEGFEQQQTKLTMNCLKKCSTFPYPDMMLPPSSSDEDDDTSSTESFSDQSPPESNSCLVLPAPSTLLSAMKGSREKQGVGGSQMKLTVKWAPEVYDPVPTLLSHTIKGKKQHKSRHRSEKKYGKKGQKGHPSKGSCGKDKKHYRKPSGGSSDMWWLDSHHDEVIEASTELDDFNVVNHDSHCGTSFLKQSVAKVHCPVGEAL >OIV89921 pep supercontig:LupAngTanjil_v1.0:KV862212:152405:153928:1 gene:TanjilG_08298 transcript:OIV89921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSLLTCCLSLENHHHHPHPSTFLSMDSITCSHEEVDLEMNAQIVLSRPPDINLPLSAEPSPPPQPWISDTCDVFDVGLGTHGYETENFVNLSKAGKKCTKRLDSIWGAWFFFRFYFRPALLDKSKATVMGGNDGVLEIDKSDLKLDVFMVQHDMENIYMWVFKERPENSLGKMQLRSYMNGHSRQGERPFPFSADKGFVRSHRMQRKHYRGLSNPQCVHGIEFVPSPNLMNLDKDERKRWIELTGRDLNFSIPPEASDFSSWRNLTNTDFELEKPPLAIKSAPKPRSKKLLNRSELNLSTHLSNLNNEDGNDLCPISSKKRKDLFLCGNGEECYLAVNPPSDRIPDLELHPSEPHWLNDFNGVMNNACGPVSGAKTIYEDEQGYLVIVSLPFVDRPSVKVSWRNTPTHGTIKISCVSTSRMPFIKRHDRTFKLTDPSSEHCPPGEFVREIPLSTRIPEDANVEAYYDGPGSVLEIMVPKLLQGSGEHEVRVCLRPNLGGNDLMLA >OIV89925 pep supercontig:LupAngTanjil_v1.0:KV862212:1023774:1027710:1 gene:TanjilG_08302 transcript:OIV89925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGNGSNVDLYKLFMVVKGKGGYDVVCQSKLWSSVAEELDLSVGTSLKLVYSKYLSALDAWLKKVADSKVAECGLVDDRDKFGKRLMELQDEVKGLLSGYAENEEVNDSKGDFDDDEKDGRKVWSLGNHGAEMTDCMMNDYVLGDLHNDGMKATGKVLAKVSSKLGVGVDLSDAENSMVGLLSGGEKCDGGLVLDASSGDGITSDRKRKRESMMGLLGWVTRVAKNPGDPVVGSLPDKSKWKSCSNQEVWKQVLSFRDAVFFKRRFDSSTEQQNWKNQRMIPFMYDDHSGGSYNLRERLRCDKRHLLAKGTAAARSPSDSSRGSRDLGRTQCPHTRDRCEKQLVVSGIDGSPEVCIPVGPSHQAEVPEWTGITPENDSKWLGTQIWPSKKGNSRLIIERDPIGKGRQDSCGCSITGSAECVRFHIAEKRAKVKLELGAAFYLWKFDKVGEEVRLLWTKEEEKRFKDVVGPNPLPEGYYFWDHIFRSFPKKSRADLVSYYFNVFLLQRRAYQNRHTPEDIDSDDDEAEDGLRNVFGHQTQKSRCSILTPKKAAKKKKNSK >OIV89922 pep supercontig:LupAngTanjil_v1.0:KV862212:305125:306471:1 gene:TanjilG_08299 transcript:OIV89922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRDAGTWDGRRGWIVTTRRDSNAGTLWQGTRGLTGLEVRWLIPPSPFFSCLVQGKRSLLLLSGTMHDNKGVPRSDPPSLARLMRCVSRSPCPILLSQGNICPGTINPSSSVKVQKS >OIV89873 pep supercontig:LupAngTanjil_v1.0:KV862225:3542:12949:1 gene:TanjilG_21918 transcript:OIV89873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGKEEDNFEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEDEDEDEEEE >OIV89869 pep supercontig:LupAngTanjil_v1.0:KV862226:127364:127663:-1 gene:TanjilG_23134 transcript:OIV89869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVGHLANHLPHSSTVGTGHCWTIGMEDEGTATSTHFYPPILDRSSYRALTVGIVVRQWWGYDNNECCNIGEGFPLISVIWVHIIKSSGVLLRKAAMPK >OIV89865 pep supercontig:LupAngTanjil_v1.0:KV862226:58230:60784:-1 gene:TanjilG_23130 transcript:OIV89865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPVNAAKVPGASIHSLGHLSRTVLVYSGEGKHVTLPAPRSEGDILSSPHLKAFTFKYLKYATRNFHPDSLIGEGGFGNVYIGRIDGQFLGAARPGSGVMVAVKRLKAEGFQGHKEWLSEVNYLGQLHHPNLVKLYGYCLDGDNRLLVYEYMPKGSLEKHLFCRGAHPLSWATRIKVAIGAAQGLTLLHDSKQQVIYRDFKASNILLDSEFNAKLSDFGLAKAGPTGDRSHVSTQVLGTEGYAAPEYIATGRLTTKCDVYSFGVVLLELLSGRRAVDKTKPREEQNLVEWSRPYLCDRRKLLRIMDTKLAGQYPKRAAYTAANLALQCTSEAKIRPQMSEVLSTLELLPTMTHSISSSPCRLERKSISSPMRDRLSISYSPMINSPLRDI >OIV89870 pep supercontig:LupAngTanjil_v1.0:KV862226:154387:154584:-1 gene:TanjilG_23135 transcript:OIV89870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVALEPQFGGEKTSSGAATLGCNGAARSVVVANTRDIMFPNEGTAGADPCSSFSGRFVDETSDIS >OIV89871 pep supercontig:LupAngTanjil_v1.0:KV862226:162636:170401:1 gene:TanjilG_23136 transcript:OIV89871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGAPAPKADEPQPHPPKDQLPNIYYCITSPPPWPEAILLGFQHFLVMLGTTVLIPTALVPQMGGGNEEKAKVIQTLLFVAGINTLLQTLFGTRLPAVIGGSYTFVPTTISIILAGRFSDELDPKEKFKRIMRAIQGALIVASTLQIVLGFSGLWRNVARFLSPLSAVPLVSLVGFGLYELGFPGVAKCVEIGLPELILLVFVSQYVPHVLHSGKHIFDRFAVIFTVAIVWIYAHILTVGGAYNNAAPKTKVSCRTDRAGLIDAAPWISVPYPFQWGAPSFDAGEAFAMMMTAFVALVESSGAFIAVYRYASATPLPPSILSRGIGWQGVGILLSGLFGTVNASSVSVENAGLLALTRVGSRRVVQISAGFMIFFSILGKFGAVFASIPPPIVAALYCLFFAYVGAGGLSFLQFCNLNSFRTKFILGFSLFLGLSVPQYFNEYTAINGFGPVHTGARWFNDIVNVPFQSKPFVAGVVAYFLDNTLHKKEARKDRGKHWWDKYKSFKGDTRRKFGAVFASIPPPIVAALYCLFFAYVGAGGLSFLQFCNLNSFRTKFILGFSLFLGLSVPQYFNEYTAINGFGPVHTGARWFNDIVNVPFQSKPFVAGVVAYFLDNTLHKKEARKDRGKHWWDKYKSFKGDTRSEEFYSLPFNLNKYFPSV >OIV89864 pep supercontig:LupAngTanjil_v1.0:KV862226:52667:52993:-1 gene:TanjilG_23129 transcript:OIV89864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGTGENDKHEDEIEKKDKKDKKEEKDEEGGGKEAEKSKDKKKKKEKDGKEKKNPEDKTDPAKLKLKLEKLDTKMQALVAKREEILKLLEEAERGAANPSEATHPLAA >OIV89863 pep supercontig:LupAngTanjil_v1.0:KV862226:3098:34436:-1 gene:TanjilG_23128 transcript:OIV89863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTPARKRLMRDFKRLQQDPPAGISGAPQDNNIMLWNAVIFGPDDTPWDGGTFKLTLQFSEDYPNKPPTVRFVSRMFHPNIYADGSICLDILQNQWSPIYDVAAILTSIQQQSYSSNPFLLSFPQLSSYSSLHPPGTTDPLGNSIFNLSNPTHPSSSAAYDSQTALSQNWIVQQSDPIGYGLICAVVLNSNASDMQAVGSSYETSAASNQTLSHQVYANYTTIMTPNQSNVTNAMKCEVCKIDCNSRDVYEKHISGKKHKRTLQVQSQISSIQGQVSTGAVGKEMESQNQKVSNGSATIDSVKICTACNIVCNSQEVLNKHLAGKKHCGQVSLMSNNGVGPYIAAFKRHSVGPWKKAPKKIKVAQSAWCAVCKINCNSRDVYIVHLSGRKHLKNLEKLSKPKIDAGAMAGNALQSAENSIIGPQEKPSIDKQKSPKASEMDIEAKKRKVVEGGASAAAVRLCTLCNVVCNSQTVFNTHLTGQKHAAAVKKQSQSTGSTTS >OIV89867 pep supercontig:LupAngTanjil_v1.0:KV862226:91212:94671:-1 gene:TanjilG_23132 transcript:OIV89867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRVLFIDNIGLKEEVIKKACSLAFKAHKSPEKSYVSEKIRISSESNLFISFPGSWDQNNWFSKKPFGESKIDLNLFPSLRSVGNDEAALVNEAFLLKFKNILDNSSLKFEVNKAMEDGKQIVFTGHSCGAAVAILATFWALEEYLNPNQNHKPPLCITFGSPLVGNHIFSHATKRENWSHNFIHFVKRFDIVPRIMLAPYSSIEQLFSSILQYLNPKSKPSTQDSTRRGTLTCDFYSTVMKNTATVTSHAACNLMGSSNLLLETVTNFVDLSPYRPFGTYIFCNGNGQMIVVKNSDVVLQLLFHTTQLRDLAELSEVANKNILEHLVYEVELKDCFEMLNVVYLNQLEELPLSNDGSNSDIATISTALDGLGLSTRARLCLRAAGMLEKHKKNNEDKIDKKKALASMKELEEYKATCEIQKGYYDAFKVQKETRDFQANVKRLVLAGIWDEIIEMLKRYELPDEFEGNSEWVKLGTSFRRLVEPLDIANYYRHLKNEDTGPYMIKARPKRYRYTQRWVEHANRIPTGASSESTILAEVEELWSWSNNNKPFEDIKEKVMTLEQDIKRWFEKGEITRNVFLKDSTFVKWWETLPIEHKATSCIATLIGMQ >OIV89866 pep supercontig:LupAngTanjil_v1.0:KV862226:81471:82938:1 gene:TanjilG_23131 transcript:OIV89866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSDLTSPAPIPSSTSTKNMGKKKRTNRSAKLKQCKFDARRQQWLSQVVAKNKGCSDDDARTPMKGSKASLEKLEMRSIDEEEDEGLIDHGSYSELVSNSPTSVNNCIHYGTNFTGCCSNGSSSSSSASSAGCRSGNVTEDEGDDGCLDDWEAMADALAANDKNQNPSSEFPPEAEPVVQMVLPGELINGLNAVPRNCKPDSARLDSCSSRNGRAWRPNDAFRPQCLPNLSKQHSLPTPDRRCGGGVPWAFTAAPLPCPICCEDLDLTDASFLPCLCGFSICLFCHKRIIEEDGRCPGCRKPYECEPIETEASVHGGSLTLRLAHSRSMFERS >OIV89868 pep supercontig:LupAngTanjil_v1.0:KV862226:111835:114650:-1 gene:TanjilG_23133 transcript:OIV89868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSLSLTLSKRLSNSNPLFRFSSNLLSYSSSSTTTTTTSPPPNSPPSPISAANGTALPSFLTAPWSATQSRGFTVSGSDVRVGNLFENRAGYATAATTGGVTRRKEGNSGLKTKREQLLKATALVPLLLIYPNAYSLLAANLFVFWHIRAGIEEILADYVHQEMTREFVMISFKLFLIIAMKDVFLKFVFV >OIV89872 pep supercontig:LupAngTanjil_v1.0:KV862226:175976:177372:-1 gene:TanjilG_23137 transcript:OIV89872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLLNKSTLASHFRSHYKSSEDSIYLSRRAFHVEPGTREKALLAEDSALKPFKSYKKSVKQLKRIGDVLTIVVVAGTVFYHWF >OIV89860 pep supercontig:LupAngTanjil_v1.0:KV862228:5505:7082:1 gene:TanjilG_23800 transcript:OIV89860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKDQLNVLKALDVAKTQWYHFTAIVIAGMGFFTDSYDLFCISLVTKLLGRIYFYEEGSNKPGSLPSNVAAAINGVALCGTLAGQLFFGWLGDKMGRKRVYGITLMLMVICSIASGLSFSKDPKAVVITLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGFGILAGGMVAIIVSSVFSAYYPAPPFSVDPVLSTIPQADYVWRIILMFGALPALLTFYSRMKMPETARYTALVAKNAKQAAEDMSKVLQVEIVAEQEKIQELEKRRGNDFGLFTTQFFRRHGLHLLGTATTWFLLDIAYYSQNLFQKDIFSAIGWIPAAKTMSALEELFKIARAQTLIALCSTVPGYWFTVALIDRMGRFKIQLMGFFFMTVFMFGLAIPYHHWTLMGNQIFFVVLYSFTFFFANFGPNATTFIVPAEIFPARLRSTCHGISAAAGKAGAIVGAFGFVYAQSTIGVRYSLIILGVINFFGMMFTFLVPEPNGKSLEEMSGEAEEETTATTESALEAGLDVRT >OIV89861 pep supercontig:LupAngTanjil_v1.0:KV862228:8366:37214:-1 gene:TanjilG_23801 transcript:OIV89861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKDQLNVLKALDVAKTQWYHFTAIVIAGMGFFTDSYDLFCISLVTKLLGRIYFYEEGSNKPGSLPSNVAAAINGVALCGTLAGQLFFGWLGDKMGRKRVYGITLMLMVICSIASGLSFSKDPKAVVITLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGFGILAGGMVAIIVSSVFSAYYPAPPFSVDPVLSTIPQADYVWRIILMFGALPALLTFYSRMKMPETARYTALVAKNAKQAAEDMSKVLQVEIVAEQEKIQELEKRRGNDFGLFTTQFFRRHGLHLLGTATTWYRELEGDRSSMRGEALGKRSTATAIVEYGTLYVATAFVPQFHHHHSNGYIDAVMGNYMRDAASNNHSNNNNDGSVASKAATITNADQPSGRSI >OIV89862 pep supercontig:LupAngTanjil_v1.0:KV862227:5416:7459:-1 gene:TanjilG_23181 transcript:OIV89862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQQVFDGNDGTHSLIHSFKRSFNGFAAMLTNEQKEKLSQMEGVVSVFPSRNLQLHTTRSWNFLGLTTSSKRSHATESDIVVGVLDTGTSARDNVGHGTHTASTAAGNHIPGASFYGLAKGTARGGVPSARIAAYKVCDETGCTGTAVLAAFDDAIADGVNILSLSLGGSIQNPFDEDIIAIGSFHAMAKGILTVNAAGNDGPFPTSVSSIAPWMITVAASTIDRKFIDKVVLGNGKTLIGNSVNSFSLNGTKAIIAKKNVGEGSREYPPNQCSYLDKSLVKGKIVICDGLSSPNYEDAQPIGSIMQDTTQGKVSPAFVTSLPSLILQPIEYAQLKSYTYSTKIPKAEILKSETIRDINAPKVVDFSSRGPNTIAPEIMKPDITGPGVDILASFSPVASPSGDTFDKRAAKYNIISGTSMACPHVTGIAAYVKSFHLDWSPSAIKSALMTSSKPMKGSKDDIGEYAYGSGHVNPIPATHPGLVYDISLNDYIQMLCNLGYDNKKVKAISGKDNACSKATHRSLVRDLNYPALAIGVNSTTSFTIKFKRTVTNVGLANSTYKATIFPNPKIKITVVPNSLSFKSVHEKKSFVVTIAGGKLPVQTITSALIWSNSNYKVRSPIVVDISN >OIV89834 pep supercontig:LupAngTanjil_v1.0:KV862235:15004:44503:-1 gene:TanjilG_28396 transcript:OIV89834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQEETLKRSPSQEKYGRVQYAIKILFLIVFVGWIFIWIMLPTNTNREKWLPKLGTKINSTYYGTEGASFLIYTSPVLLMSVLGCVYLHIAKKSNDSNLESYNVTKLDVAILKRPILVKGPLGIVSGTQLAFLLMFIALIIWSFATYLHNGFATITPQLAAKDGEKIGLLDVCYGLYYCLLYAMVCTRPDLAQAVSQVCKYMSKPGKQHWEAVKWIFRYLKGTTGLGIMFGSQHSKPSVIGYVDSDYAGDLDDRRSTMGFVFTLVGGSIIWRSSVQSVIAMSTIEAGYMAAGEASKEAMWLMGLVNELGIEQGGVPLHCDSQSAIFLAKNQVYHARTKHN >OIV89836 pep supercontig:LupAngTanjil_v1.0:KV862234:73152:74856:1 gene:TanjilG_27663 transcript:OIV89836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLPGDLAYADRVQNLWDSFGHLVDPLASQRPWIVTQGNHEVEKTPVADRSYTDFDNESKQYKWLQGDLKKGDKESVNMKASMKDLLYKARVDVIFEGHVHAYERFTWVYKNKGDKCGPIYINIGDGGNREGLATKYKNPNQIFHYSGRLTLDMGHWRCLMQHMHFGIGIRMIIMKQLLMTQFG >OIV89837 pep supercontig:LupAngTanjil_v1.0:KV862234:119387:122059:1 gene:TanjilG_27664 transcript:OIV89837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISWITESSTPATVQYGLTPSANSNTATGVTNSYRYLLYKSGEIHNVVIGPLKPNIVYYYRLGNSPKGYSLKTAPSQFPIKFAVSGDLGQTEWTKSTLDHINKSNYDMMLLPGDLAYAYFFQNLWDSFGRLVDPLASQRPWMVTQGNHEVEKIPLLHSEPFTAYNARWKMPYEESGSDSNLYYSFDVAGVHVIMLGSYTDFDRNSKQYKWLEGDLKKVNRKNTPWLVVLVHAPWYNSNTHHQGEFESVDMKASMEDLLYQARVDVIFEGHVHAYERFTRVYKDKGDKCGPIYINIGDGGNIEGLATKYIEPKPEISILRDANFGHGMLEIFNATHALWNWHKNDNDEAIVSDSVWLTNLSFKTTCKV >OIV89835 pep supercontig:LupAngTanjil_v1.0:KV862234:2339:33536:1 gene:TanjilG_27662 transcript:OIV89835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLPGDLAYANFVQYQWDSFGRLVDPLASQRPWMVTQGNHEVEKIPLVHHTPFTAFNARWKMPHKESGSDSNLYYSFDVAGVHVIMLGSYTDFHSESKQYKWFQRDLKKVNRKNTPWFVVLVHAPWYNSNTTHQDEKESVNMKASMEDLLYQASVDVIFEGHVHAYEGFTRVYKDKGDKCGPIYINIGDGVMEKLNSELLLKNINIMKENERLRKLAQQLNQENQTLLIELKRKLSNDGSNSNNNASNNSNSTQNASHSNN >OIV89859 pep supercontig:LupAngTanjil_v1.0:KV862229:2335:7828:-1 gene:TanjilG_25733 transcript:OIV89859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIVSVAFRSLNSGLSHRVHQTQTHLLRSFSSWSLSDNGAVKPPTNKSESHEDFEKRIFGEIPGSDSKTDAFFDKLNRLGRNHDRSSSVDGGSSQMMNDLEDSFDTLSDGMDGKLKNAATYFEFDPEELIKDDYAFRYDTDFYPGSTYTIKDLDLTKPGVHKPPIRPEFKVTTKEVLSQADFRNVRFLANFITEAGILIKRSKTGISAKAQRKVAREIKTARAFGLMPFTTMGTKSFVYGVTMENLDKDFAYASVDRNIHDELDI >OIV89856 pep supercontig:LupAngTanjil_v1.0:KV862230:2187:2516:-1 gene:TanjilG_26685 transcript:OIV89856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGLRALELAKAISVRMLGLGASDLAKADSVRMLGLGTSDFAKAVGLGALDLELGTSDLDKTLGASDQVRALGALDQARALGASDQDITFGAQNLAKGLRRNTSGQSPI >OIV89858 pep supercontig:LupAngTanjil_v1.0:KV862230:39810:40732:1 gene:TanjilG_26687 transcript:OIV89858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVHDDCKLRFQDLKSKRSYRFILFKIEQQQVVVDKLGEPTESYDDFMASFPADECRYAVYDFDFTTEENCQKSKIYFVAWSPDTSRVRMKMVYASSKDRFKRELDGIQVELQATDPSEMSLDIVKTRAL >OIV89857 pep supercontig:LupAngTanjil_v1.0:KV862230:21950:32458:-1 gene:TanjilG_26686 transcript:OIV89857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNKFLSLACLRNEVWGHLSPRPHYPSMPRYPKGMKREGSSSSSMMMIMMEGSEAKALFSVIGMTCSACAASVEKAIKRLPGIREALVDVLNNRAQVLFYPSFVNEESIGEAIEDGGFEATLLRDECNERSIRAIEGAVKAEVALATEEAQVHYNPSVVSYNQILQAVQDTRFEAILISTSEDMSKIELQVDGVRTDRSMRLIENSLEALPGVQHVEMSPKLNKWEKFQDGCLPHPCSSLSEGGFTLVPTKLYAVDLANMDVLIALGTNAAYLYSVYTALRAATSKEFKDTDFFETSAMLISFILLGKYLEVLAKGKTSNAIAKLINLTPDTAILLTLDDEGNAIGNMILSIPIPNFGPISQSHGLF >OIV89854 pep supercontig:LupAngTanjil_v1.0:KV862232:1750:4135:-1 gene:TanjilG_27387 transcript:OIV89854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHDYDSTEMEHATSIAAAAFAIHSQEGVSEIPQKKKISEHPETSLTKTKSKVKDTKSPFSLPGVASKRSVSFKFGNDQGKEEQTSSVIITEEKNPEKAITPDPSLKNTSSFGANSKYSDENKPEIPAPKRTPSFGDNNLMNNIDDIKPETPPPKKTPSLRLPPLPPPPPPPIRQASTRPDPIRPPTPASGTRGQTLTRAGTFETKADAWERNELQKIKERYERLIVTIDSWEKRKKMKARSKLNKHEQSEDEHKRVRAVKKYQSQMKYIDEIAAGARAESVERRRNEEFKAKEKANIIRTTGKLPRTCCCF >OIV89841 pep supercontig:LupAngTanjil_v1.0:KV862233:64468:65652:-1 gene:TanjilG_27447 transcript:OIV89841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVCTEQHKFHPSYQQQQLSPKKILRDIDIPPRKLLTRRATATTYDGGADMFSDDFVQKFLPCNKLDDEDEESDDPYSSDYFRMYEFKVRRCTRSRSHDWTDCPFAHPGEKARRRDPRRYHYSGTVCPEYRRGSCSRGDNCEFAHGVFECWLHPARYRTEACKDGKKCKRKVCFFAHTPRQLRILPVSSQHSTSSNDNISLCKKNINNKLFLNSSSGSNNNNCCMFCHHCVGAANSASPTSTLFNMSHFSPPLSPLSTTNSPPFSPVKRAISGVNTNSYKDVVCELMNSMEGLNFGDGSPISAAKARNFAWLDMSLNNDDQQFLVSPSASPFGWEDQFVLSPSTQNPTRSNVGKCSNFSSKFFSNDNKVVDADINNGLSCPAPDLEWVNELLM >OIV89840 pep supercontig:LupAngTanjil_v1.0:KV862233:32975:42351:1 gene:TanjilG_27446 transcript:OIV89840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAMIDKLTGAIFVFQIVVVMVLGIAGNVWKDTEAKKQWYVLYPDEGPWYELLVIPLRFELLCSIMIPISIKVSLDLVKSLYANFIDWDHQMIDLETSIPSHATNTAISEDLGQVEYILTDKTGTLTENKMIFRRCCINGIFYGNENGDALKDVELLNAVSSGSPDVVRFLTVMAICNTVIPTRSKTGDILYKAQSQDEDALVQAAAWMHMVFLNKNGNILEVKFNSKILQYEVLETLEFTSDRKRMSVVLKDYQNGKILLLSKGADEAILPYARAGQQTRNFIEAVDQYSHLGLRTLCLACRELKEDEYQEWSLMFKEASSTLVDREWRVAEVCQRVEHNLEVLGVTAIEDRLQDGVPETIETLRKAGINFWMLTGDKQNTAIQIALSCNFISPEPKGQLLSIDGKTEDEVRRSLERVLLTMRITTSEPKNVAFVVDGWALEIALNHYRKAFTELAILSRTAICCRVTPSQKAQLVQILKSCDYRTLAIGDGGNDVRMIQQADIGVGISGREGLQAARAADYSIGKFRFLKRLILVHGRYSYNRTAFLSQYSFYKSLLICFIQIFIHAYAYDKSEMEEISMVALSGCIWLQAFVVTMETNSFTTLQHIAIWGNLGFFYVINWIFSALPSSGMYTIMFRLCQQPSYWIAVFLMVAAGMGPVLAIKYFRYTYRPSKINTLQQAERLGGPILSLGPIEPQPRSIEKEVSTLSITQPKDRSPVFEPLLSDSPNSTRRSLGAGAPFDFFQTQSRLPLFRHTRKDN >OIV89845 pep supercontig:LupAngTanjil_v1.0:KV862233:191993:193939:1 gene:TanjilG_27451 transcript:OIV89845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSTHGFLGLMLLVITMLMLQATAHTFYVGGKHGWVPKPKERYNKWAERTRFQVNDTLHFKFHEKHDSVLVVDKESYLKCKTKHPIHKLGGHGHSEFKLDRSGPFYFISGRSKHCRRGQKLIVVVLALSHHKHPSHPPHHAPHHAPSHVPSHAPHHAPSHAPHHAHHHAPHHAPSHAPHHHAPHHHGPHHHAPHHAPHHHAPSHAPHHHAPSHAPHHHPPSHVPANAPSSVPRHAPASGPAHAHSWSPVHAPAPGPSSAASSRFGGSVSVAMGLGIWVVLVLSSFI >OIV89849 pep supercontig:LupAngTanjil_v1.0:KV862233:339893:343994:-1 gene:TanjilG_27455 transcript:OIV89849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGHLTCSHSFKERLDEALAGSYSIATICIFGWSSKAWCLEYLHVDVHTIVIDELCVAEYLKFKEDLVEGSSNSNFVLELDFEPFNTTFLRSTLNKSIGNGVEFLNHHLSSKLFHDRESIKPLLKFLRLHSYKGKTLMLNDKIRNLNSLQHVLKKVEEYLVTLTPETPYSEFDVKLQDIGLERGWGDTAESVLEIIQLLLNLLDTPDPYTLEKFLGRIPMVFNVVILSPHNYFAQDNVLGYPDTGGHVVYILDQVRALDNEMLNRIKKQGLNIKPRILIITRLLPDAIGTTCGERIEEDVAHELTKELQGKPNLIVGNYIDGNCCLFDTIGQYESHTTFTLPRLYRVVHGIDVFDPKFNIVSPGADMSIYFPYIETKRRLTSFHPEIEELLYSSDQSKLIIFTMATLDRVKNITGLVEWYGKNARLRELVNLVVIAGDRRKESKDLEEKAEMKKMYGLVETYKLNDQFRWISSQMNKVRNGELYRVICDIKGAFVQPAVYEAFGLTVIEAMTCGLPTFSTFNGGPTEIIVHGKFGYHIDPYNGDHVADLLIDFFEKSKADPSHWDKISQGGLKRIHEK >OIV89843 pep supercontig:LupAngTanjil_v1.0:KV862233:73970:76112:-1 gene:TanjilG_27449 transcript:OIV89843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECLHRFCRECIDKSMRLGNNECPACRTHCASRRSLRDDPNYDALIAALYPDIEKYEEEELEFREEEKNRNKQIQASIAKVVQRQSEAMGKRRKDTPGTSVTRSQRNQRNVHSRRQNQVNDTQGSEDNDEDNDNNEKDSSSADERCTESRQSKRKRWTRVRPSQPSSSRASPDGGCIESDMDISRENQGTSRQVTRPRKLTWGRGGFRSHTRYGSGGGSNSKSSRSARVSKLVDHLRNLDENTDEFEIHLMLISLDKQSTPVLQQPYLCCRPTLSVKHLCEYVACQTPLAVEEVEILAVKGCSSTKFDKSDDDTSALICDKLTTLVIDPCKDELEILKEHESLAGITSKCISKMEHLILAYRRKEAL >OIV89850 pep supercontig:LupAngTanjil_v1.0:KV862233:350010:350165:-1 gene:TanjilG_27456 transcript:OIV89850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHIPRSTVKGSSPPLKVVESKRPSVSIDAAAKQARLMLQRKMKRMNLMRR >OIV89853 pep supercontig:LupAngTanjil_v1.0:KV862233:585322:603479:-1 gene:TanjilG_27459 transcript:OIV89853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSATENLENTDSVFVWDENSQLYFHSSSGFYHDPNAGWYYSSRDGLYYKFEDGNYVPLGSNNDDCGETHLCNKTTPENPEQIYDNNNEDCSFFLENKCEAYQQTGTSANEAANDSVNSMSSPTSENPPPPSEWLEDTLIELYLSGYNNAAVRDDDTAAVPVETDDGYNSKLAADAYRNSYEAEGEWIPDPMDENGIADKTTVDEGIADSNTYELEEGEWIPDPEDENDIAGRTTIDEGILLDEERWQAQYGQVTESEKDLVSEFQIVDLWDWEMVRGSIKDGKDKAARLVGRLVKQSAKTHPSIPSSGGKLRSAPICEVHLNLVRVKTGQVYKLRNPSARYMASLSTYDSANPTKDWDFPQLSSSRKVTRLSRSSESTASASGEIPIEKALFMSSQLSASKQIKCQYRDRAAARRILHGGYGKGPGQKNQVLGDDDTPSSPFSDCPQQAASEALEMSFGVGSYARKLLENMGWKEERLNEALAGIRNEILALLSRIEAKGKGILHHHQVIDECEEIPKENREKLIDGVFGEVLRSTQEVVVLPPFVVLAGRPRPGVWEYLRVDVHAIVVNELCAAEYLKFKEDLIDGRPTLNKSIGNGVEFLNRHLSSKLFHDRESMKPLLEFLKLHSYNGKTLMLNDKIRNLNSLQHVLKKVEEYLVTLTLGTPYSEFEVKLQEIGLERGWGDTAESVLEIIQLLLDLLEAPDPSTLKKFLGRIPMVFNVVILSPHGYFAQDNVLGYPDTEGQVVYILDQVRALETEMLNRIKKQGLDIKPRVLIDVALELTKELQGKPDLIIGNYSDGNIVASLLAHKLGVTQETFEDKYHFSCQFTADLFAMNHTDFIITSTFQEIAGSKDTIGQYESHIAFTLPGLYRVVHGIDVFDPKFNIVSPGADMSIYFPYTETKCRLTSFHPEIEKLLYNYVENEEHICVLKDRNKPIIFTMARLDRVKNITGLVEWYGKNARLRELVNLVVVAGDRRKESKDLEEKAEMKKMYGLIETYKLNGQFRWISSQMNRVRNGELYRMICDIKGAFVQPAFYEAFGLTVIEAMTCGLPTFATCKGGPAEIIVHGKYGYHIDPYNGNHAADLLVDFFEKSKADPSHWDKISQGGLKRIHENVYGFWKHVTDLDHRERKRYLDMFYALKYRKLVYIFSHYPFNGFTYLLMI >OIV89838 pep supercontig:LupAngTanjil_v1.0:KV862233:3824:6847:-1 gene:TanjilG_27444 transcript:OIV89838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSKTPSIAEKPIPNPLPQTLTTESPPPSPLRKYKTRLADRLQIDAVEESPEPPIVLRRRKCRDSSVAVAQSPKKVRKARKRSSEVEIREEKDIGLVEEVGKPRKRLNRVRSKKEKVNLVPSAPPSSLSSPSTIQHILSVSTVVVKSQFDTLDSGEAEEENGIDFDRVGQLLGDLIMWKDLSKSVLWLGFGTLCFLSFSFTKGLNFSIFSAMSQLAIPFLGFSFFSNSILQRNQVEKKCEFKLKESDIFRLAKFILPALNFTISKMRVLFSGDPSMTLKVAPFLLLGAKYGHLITFWRLCAIGFFGSFTVPKLYSCYTAQIHHRAECIKSWLLKTWSACTHKKKMIAVLLMAFWNLSSVKTQICTAFILLVLFRYVKQHVIRQLEDEQARESDKEPQQASVVAEPDEKETLQASVLAEPEEMEQQQPLVVWEEQGVPKLAP >OIV89847 pep supercontig:LupAngTanjil_v1.0:KV862233:315687:316022:-1 gene:TanjilG_27453 transcript:OIV89847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLASIRKVALEMKQKHALEGSHHLVVDMTKIFEDTRFFKLCVDLGRTYAMIHEQPFLLSDFERASISDLMDLSVLRAYTSSQVYTPEDLINFIDNVVAEELHAYMRVGLG >OIV89848 pep supercontig:LupAngTanjil_v1.0:KV862233:319839:324574:-1 gene:TanjilG_27454 transcript:OIV89848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCFSMDHGRLALVAKNLTYKLQSVEKGKLILRRKQVVNSLLMGINVKGKIEKVNGKELSYTEFAKRYMDKNDPVVVTGLMDLLHWRACVDWVTPLGQPNFHFFSSHFGASEVQVADCDMREFTDQKREEMSVSDFVEHCLRVEGSAVQCNNENHTSNDHSVPYLKDWHFVKEYPEYAAYITPMLFCDDWLNLYLDNFRMHTDSTTCQQNKEICSSDYRFVYMGVKGSWTPLHADVFRNMKNCVYNIFDEVSDSKFPGFKKEDTISINHNWFNAYNLSWVWNLLLRDYEEAKEYIEDIKDVCDDFEGLCQRNLAANTEDT >OIV89839 pep supercontig:LupAngTanjil_v1.0:KV862233:17390:22097:-1 gene:TanjilG_27445 transcript:OIV89839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIGSISKKWNFEGNEWLNASAVSVRAVFNKLKENISNEDKRPSIYMCRVDPTENPMFRTTFIASDAIVSAVQSYNFNSYPPTLGLPDATRAIADYLSSDLPYQLSPDNVFLTIGGTQAIDIILPVLARPGANILLPRPGYPQYESRANCCRLEVRHFDLLPERGWEVDLDSLEAIADENTVAMVLINPSNPCGNVFTHQHLKRVAEAARKLGIFLISDEVYAHIAFGSNPFVPMAVFSSIVPVITIGSLSKRWFVPGWRFGWIATCDPNGILKQTGILTLIKSYLEISTDPPTIIQAAVPEILKRTKEEFYAENLNIMREGANIFYDRCKEIPCLKCPHKPEGAMSIMVQINISQLEGIIDDVDFCVKLAEEESVLLLPGVSVGLKNWLRISFAVERSALEDEGTDLGVPRPSLLVDGSRSVDASDLATDAPSLTETDVPSASRLTEADAPRLTDVDAPGLTEVHASHHAPSLIETYASHTPHATHLMQM >OIV89852 pep supercontig:LupAngTanjil_v1.0:KV862233:476957:479236:-1 gene:TanjilG_27458 transcript:OIV89852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCVCNSSAKVDAAHTSTTISAISKSDASSSSLSLPIEILSSPNLKPFTFNELKNSTSNFRPDTLLGEGGFGYVYKGWIDEQTFTASKPGSGMVVAVKKLKPEGYQGHKEWLTEVNYLGQLHHPNLVKLIGYCVEGEHRLLVYEFLSKGSLENHLFRRGAQPLSWPVRMKVAIDAARGLSFLHNAESQVIYRDFKASNILLDAEFNAKLSDFGLAKAGPTGDRTHVSTQVMGTRGYAAPEYVATGRLTAKSDVYSFGVVLLELLSGRRAVDRTKGDVEQNLVDWAMPYLGDKRRLFRIMDIKLEGQYPQKGAYVAATLALKCLSIEAKARPPMTEVLATLKQIEVPKSSGRSSQSQRRRVHVPIKKSHAPINLTRKAAFPLPSHGQSPGVH >OIV89844 pep supercontig:LupAngTanjil_v1.0:KV862233:79214:79528:-1 gene:TanjilG_27450 transcript:OIV89844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAQKRSFPDSLDDVDTSSQLPHHHLKHQQQQHPKQHEYEDEENDEHEGQEEGEGEEEAEEQGDGEEEQDEDEDGEEAEDDKEEEEEEEEGQHQNDNKDEKPQG >OIV89842 pep supercontig:LupAngTanjil_v1.0:KV862233:67740:68093:-1 gene:TanjilG_27448 transcript:OIV89842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMARVLAFLTLFLLICRSEMSPNSSTLCDKVYWDFATCLRYLAGYESDPIPYCCKSIAELSSDAMQYTEAEAICQCIETLAMGADIRFDVSRVEDLPEKCHTPVTFPISNYMNCSK >OIV89851 pep supercontig:LupAngTanjil_v1.0:KV862233:359600:361237:1 gene:TanjilG_27457 transcript:OIV89851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGDQGNLGRDRDNLARDHEKLTHKDRKNSGRDDLAHERENMTHDQGTTWAWSDRGTKRPRPSLGASGLAMMHQVGASDLAMTHQIGAPGDVGITSGGNSNGEDGDGSDNGVEVKVEAISRMLVEGEKNNSSVSCWSNYEMTMVVDAKAEAILEEAVWFGQ >OIV89846 pep supercontig:LupAngTanjil_v1.0:KV862233:252526:252729:-1 gene:TanjilG_27452 transcript:OIV89846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQSHPHSSEAPRDNNFNVIEESIVIQRKLKPSMVSSFHHHHQNLMIPKEASFNNTDYNSFTNFSPN >OIV89855 pep supercontig:LupAngTanjil_v1.0:KV862231:22318:25769:1 gene:TanjilG_26709 transcript:OIV89855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKYEAVKDLGAGNFGVARLMRHKETKELVAMKYIERGHRIDENVAREIINHRSLRHPNIIRFKEVVLTPTHLAIIMEYAAGGELFERICSAGRFSEDEARYFFQQLISGVHFCHAMQICHRDLKLENTLLDGSPAPRLKICDFGYSKSSLLHSRPKSTVGTPAYIAPEVLSRREYDGKLADVWSCGVTLYVMLVGAYPFEDQDDPRNFRKTIQRIMAVQYKIPDYVHISQDCKHLLSRIFVANPLRRITLKEIKNHPWFLKNLPRELTESAQAIYYQRDNPMFHVQSVDEIMKIVGEARNPPPVATAVKGFGFEGEEDEGEDLDGEVEEEEDEEDEYDKRVKEVHASGEFQIS >OIV89831 pep supercontig:LupAngTanjil_v1.0:KV862236:37649:64542:-1 gene:TanjilG_29766 transcript:OIV89831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQQPSLPPPPPPQPQPPPPSSHPPSDNVRVRCAGCRMILTVAPGLTEFACPTCRMPQMLPPELMARVQHHTAPPNLPHPQTTSHPPPPLLPHPSSASSSSHVPAHGIDPTKIQLPCAKCKAILNVPHGLARFSCPQCGIELAVDVSKVKQFFAPEEVNEVAVEVEREEDEGGLVGETFTDYRPPKVSIGPPHPDPVVETSSLSAVQPPEPTYDPKTKDILETTKALSCLQIETLVYACQRHLQHLPNGARAGFFIGDGAGVGKGRTIAGLIWENWHHGRKKALWISIGSDLKFDARRDLDDVGATCVEVHALNKLPYGKLDSKSIGVREGVVFMTYNSLIASSEKGRSRLQQLVQWCGPGFDGLVVFDECHKAKNLVPESGSQPTRTGEAVLDIQDRLPEARVVYCSATGASEPRNMGYMVRLGLWGAGTSFSEFREFLGALDRGGVGALELVAMDMKARGMYLCRTLSYKGAEFEVIEAPLEDEMMDMYKKAAEFWAELRVELLSASAFLNEKPNSSQLWRLYWASHQRFFGHMCMSAKVPAAVRLVKKALIEDKCVVIGLQSTGEARTEEAVTKYGSELDDFVSGPRELLLKFVEENYPLPEKPELLPGEDGVKELQRKRHSANPDVSVKGRVRKVAKLQPPSDVESDEESETDSAIESTDSDDEFQICEICTTEEVGGPDKVSEMTGRRGMLVRAINGKGVTYQARNTKDVTMEMVNMHEKQLFMDGKKLVAIISEAGSAGVSLQADRRAKNQKRRVHLTLELPWSADRAIQQFGRTHRSNQASAPEYRLLFTNLGGERRFASIVAKRLESLGALTQGDRRHVGVFSFLFQAGPSLSAYNYDSAYGKRALMIMYKGIMEQDSLPVVPPGCLSDRPDTIQDFIIQAKAALVSVGIVRDTILGNGKDLGRLSGRIIDSDMHDVGRFLNRLLGLPPEIQNRLFELFVSVLDLLVQNARIEGNLDSGIVDLKANVIELQGTPKTVHVDQMTGASTVLFTFILDRGITWESASTMLNEKQKDGLGSSNDGFYESKREWLGKRHVILAFESSDSGMYKIVRPAVGESIREMPLSELKTKYRKVSSLEKAQTGWEEECEVSSKQCMHGPKCKIGNFCTVGRRLQEVNVLGGLILPVWGAIEKALAKQARLSHRRLRVVRIETTVDSQRIVGLLVPNAAVETVLQGFPLSLSLKYTFMHVICTHDA >OIV89828 pep supercontig:LupAngTanjil_v1.0:KV862236:14605:18942:1 gene:TanjilG_29763 transcript:OIV89828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHSPGQRHWPQLFYVVAFCLITISVAADYNSYYGSKPTHYNQPKHPQHPIYYPKSPLQHVYKYPPYVYKSPHPPPSSYVYKSPSLPAYFKKSPYVYKSPPSPPPPYVYKSPPPPAYTEKSPYIYKSPPPPSPSPPHPYVYNSPPPPAYTEKSPYIYKSPPPPSPSPPPPYVYNSPPPPAYTEKSPYIYKSPPPPSPSPPPPYVYKSPPPPAYTEKSPYIYKSPPPPSPSPPPPYVYKSPPPPAYTEKSPYIYKSPPPPSPSPPPPYVYKSPPPPAYTEKSPYIYKSPPPPSPSPPPPYVYKSPPPPAYTEKSPYIYKSPPPPSPSPPPPYVYKSPPPPAYTEKSPYIYKSPPPPSPSPPPPYVYKSPPPPTYTEKSPYVYKSSPPPSPSPPPPYVYKSPPPPTYTEKSPYVYKSSPPPSPSPPPPYVYKSPPPPAYTEKSPYIYKSPPPPSPSPPPPYVYKSPPPPAYTEKSPYIYKSPPPPSPSPPPPYVYNSPPPPAYTEKSPYIYKSPPPPSPSPPPPYVYNSPPPPAYTEKSPYIYKSPPPPSPSPPPPYTAAQLRFEPEIIILLQFLNKSEPAQPVKSCPAHNPYTIFKKGSQRTGFGCFTGAAG >OIV89832 pep supercontig:LupAngTanjil_v1.0:KV862236:65845:67249:-1 gene:TanjilG_29767 transcript:OIV89832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIELEGPLGKSDAVNGELVPLERELSTLRKNGTIDPFGLYLYGLVLKQKGSENLARTVLLESVNSYPWNWNAWTELRSLCTTVVALNSLNLNSHWMKDFFLASAYQELRMHNDCLSKYEYLLGTFGYSNYIQAQIAKAQYSLREFDQVEAIFEELLRNDPYRVEDMDMYSNVLYAKECFSALSYLAHRAFTIDKYTPESCCIIGNYYSLKGQHEKSVMYFRRALKLNKNYLSAWTLMGHEFVEMKNTPAAVDAYRRAVDIDPCDYRAWYGLGQAYEMMGMHFYALHYFKKSVFLQPSDSRLWIAMAQCYETEQLHMIEEAIKCYKRAANCNDREAIALHQLAKLHSELGRTEEAAFYYKKDLERMESEEREGPSMVEALLYLARYYKLQNKFEEAEVYCTRLLDYTGPERETAKSLLKGMRSNQSSFPSMDVEHFPPMS >OIV89829 pep supercontig:LupAngTanjil_v1.0:KV862236:26240:28617:-1 gene:TanjilG_29764 transcript:OIV89829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQMNYNFSEEALRTDHSYYPHSENSSPSHARKMNQASKDAFTNDYTNNAKMPIKPASKSQKIESPRVKSGYSSFKSDSLDNKSAPSIKPHTGGDIRWDAINIASKGTPLNLSNFRILKRVGYGDIGSVYLVELKGTRAFFAMKVMDKASLASKNKLLRAQTEREILGLLDHPFLPTLYSYFENDKYYCLIMEYCSSGSLHSLRIKQPNKHFTEDAARFYCSEILLALEYLHMLGIVYRDLKPENVLVRDSGHIMLSDFDLSLRCSVNPTLVKSSSAHISNTSIGSGAILDIENAVYGCMQPSNFFPRILPSKKNRKSKSDFGPLIGGHLPELMAEPTNVRSMSFVGTHEYLAPEIIRGEGHGSAVDWWTFGIFLYELLHGTTPFKGAGNRATLFNVVGQPLRFPETPHVSSVARDLIRGLLVKEPKKRFAYTRGATELKQHPFFEGVNWALVRSAIPPIIPKPLDFSKYVTKETLAPAADKKLANITSENTQTDSTYIDFEYF >OIV89830 pep supercontig:LupAngTanjil_v1.0:KV862236:34565:36244:1 gene:TanjilG_29765 transcript:OIV89830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKAILIGCNYPGTKAEPTGKNVLRRKRMCFLFITVETGEEDDTSYDECIVPSDMNLITDDDFKDLVDELPRGDGILLSRCQTDQTSADAIPAGNSAKAYGGFSNAIQSILEETGGAVTNQELVLKARQKLKIAGFTQQPGLYSWVDMFSS >OIV89833 pep supercontig:LupAngTanjil_v1.0:KV862236:69424:69992:-1 gene:TanjilG_29768 transcript:OIV89833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKDSCRSELRIAVRQLTDRCLYSASKWAAEQLVGIEQDPAKFTPTNTRFQRGSSSIRRKYRTHEIVTTPIAGVSYVATPVMEEDELVDGDFYLLAKSYFDCREYKRAAHVLRDQTGRKSVFLRCYALYLVSSVLMFHAKD >OIV89827 pep supercontig:LupAngTanjil_v1.0:KV862236:9761:11425:-1 gene:TanjilG_29762 transcript:OIV89827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTSPGKRHWPQLFYVVAFCLIAISVAADYNPYYGSKPTDYSPPKQAEYLTYDHELPQEHVHKSHPYVYKSPPPPSPSPPPPYIYKSPPPPVYVEKSPYTYKSPPPPSHVEKSPYVYKSPPPPSPPPPYIYKSPPPPPHHVHIPSYHIPYIYKSPPPPYVYKSPPPPAYTEKSPYVYKSPPPPSPPYVYKSPPPPAYTEKSPYVYKSPPPPSPSPPPPYIYMSPPPPAYTEKSPYVYKSPPPPSPSPPPPYVYKSPPPPAYTEKSPYIYKSPPPPSPSPPPPYVYKSPPPPVYTEKSTYGYKSPPPPSPSPPPPYVYKSPPPPVYTEKSTYGYKSPPPPSPSPPPPYVYKSPPPPVYTEKSTYGYKSPPPPSPSPPPPYVYKSPPPPVYTEKSTYGYKSPPPPSPSPPPPYVYKSPPPPVYTEKSTYGYKSPPPPSPSPPPPYVYKSPPPPVYTEKSTYGYKSPPPPSPSPPPPYVYKSPPPPAYVEKSPYVYKSPPPPPHVEKSHYVYKSSPSPPPPYVYKSPPPPSHHAHIPSYHIPYLYKSPPPPATTTVY >OIV89818 pep supercontig:LupAngTanjil_v1.0:KV862237:301343:305270:-1 gene:TanjilG_29910 transcript:OIV89818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAELVNAATSEKLIEIDWVRTIAICELVTHDLRQARDVVKAIKKRLGNKNPNTQLSAMVLLEMLMNNTGDHIHQQVIDTGVIPILMKIVKKKSELPLRERIFLLLDATQTSLGGASGKFPQYYNAYSDLVSAGVQFPQRAQLVQSNRPTSQLNRTNNVLNREQALPRQGGVAQHAESQTVPESSIIQKASNAIEVLKEVLDAVDAQNSQGARDEFILDLVEQCSLQKRRVIHLVMTSRDKRIVSRAIELNEQLQKVLARHDNMLSSKVTTTNHFNHEEEEEEEEPEQLFQRLRKGKACARPEDEETEPEFPRLGLLEERLNRPLIRPLSLEPSRQTNTRPVPAVIPPPSARQNHELAHVAIPPPPAKHIERERYFQENKKDGATLAGHLRGLSLHSHNGSSSNSGSFDFSE >OIV89822 pep supercontig:LupAngTanjil_v1.0:KV862237:339636:345031:1 gene:TanjilG_29914 transcript:OIV89822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSTTPFRSHHSFNHRSSTSSPSLSRLVQRTVSAPFSHRDFKLNAVVGNGICGVLYKWVNYGRGWRPRWFTLHHGVLSYYKVHGPHIQTHSKIIGKQSFRHISTVHHGHPKPLIQLHLMVCSVEESKSDERRFCVYTGMKKRLYLRAECKEDRETWVEAMLAVKDMFPRLSNVPEESVVISTDRLRQLLLKEGVNESIIKESEDIMKGELSRVINHNNFLLMDTLHHLETDKVDLENALIESQRQHSKDDVVSYLSAHENLSEGSASDSHEDGRDEFFDTLSISSDRSSESHSQGSSLDSENEETSSNGKYEEWLIECVESNYPHVRRRKKLPDPVEKEAGISLWSIIKDNIGKDLTKVCLPVTFNEPLSSLQKCFEDLEYSYLLDQAYAWGKMGNSLMRMLHVAAFAVSGYACTHGRSCKPFNPLLGETYEADYPDKGLRFISEKVSHHPMIVACHCEGRGWKFWGDSNLKSKFWGRSIQVDPVGVLTLKFDDGEVFQWSKVTTAIYNLILGKLYCDHYGTMRIEGNGEYSCKIKFKEQSIIEKTPHKVQGVVEDNKGRTVATLHGKWDESLNYGIVESSGKGKGSNVSSKEHQLWKKSNPSEYQTRYNFTQFATTLNEITPGLREKLPPTDSRLRPDQRYLENGEYEKANAEKLRLEQKQRQARKMQEMGWKPRWFAMDKESHTYSYVGGYWEAREKEKWETCHDIFANSSTTP >OIV89819 pep supercontig:LupAngTanjil_v1.0:KV862237:310361:312958:1 gene:TanjilG_29911 transcript:OIV89819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFAAIVRRKFTTHFKLPSLCFTNQLFSLNSQTQQQLFSFHTESVGSGGDGLPSYMRGAVFWEPNKPLTIEEFRMPRPKAGEVLIKTKGSRPVCLALKIDYSKVICKGESQCKSAEAVSRVGPVEWLKPYTDDTIVELGKRGVKSLLAVPISFVSEHIETLEEIDVEYKELALKSGIQKWGRVPALGCEPTFISDLADAVIESLPYVGAMAVSNLEARQSLVPLGSVEELLAAYDSQRKELPPPVLVWEWGWTKSAETWNGRAAMLAVLLLLFLEVTTGQGFLHQWGILPLFR >OIV89811 pep supercontig:LupAngTanjil_v1.0:KV862237:253885:260994:1 gene:TanjilG_29903 transcript:OIV89811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPAVAAGQGGRQPQQQQEGGFGKTITGIIRMAVFWYFASKFFSPKRAPTDPSGAPAPLISNLFQKNQPLDMWVYLSEHEKFNDFGREESLVWHETNIPYASWGAGSTRNLALKYDPSEGLKHNGSLYAHVFFAQSGYSPDPNDPEYQPQAAFGRTYAVVKYLPKSRADKRRSLLGSSPDSIEDPVASKVADDAQNDSEDDGPVEWVSYLKPNITINLVADFTQYSKNGIPPNIAPYLSVDPITGNYYPTVFFNEFWLLRDKLIQMNETVTELVLNLEVGPISMTKWQLFLQIDQSFQIHRSYGSMVDGEGDELKRVFLEGNPYLLGITMAVSLLHSVFDFFAFKNDIQFWNKNKSMEGLSAKSVVVSFISQLIVFLYLLDNDTSWMILGSSGVGCIIEFWKIGKAMHIEIDRTGRIPMLRFRDRDSYAQNKTKEYDDIAMKYLSYVLFLLVAGSSVYSLMYERHKSWYSWILSSLTSCVYMFGFIMMCPQLFINYKLKSVAHMPWRQMTYKFLNTIIDDLFAFVIKMPTLHRLSVFRDDIIFLIYLYQKWAYPVDKKRVNEFGFGGEDDTAVVSAEVDAAAKEEEKKTN >OIV89808 pep supercontig:LupAngTanjil_v1.0:KV862237:43979:47251:1 gene:TanjilG_29900 transcript:OIV89808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFASSSSTPSSSEPASVTTNPPLPSAVHDGAPSPSSAIDFLSICHRLKTTKRTGWVRKEVEDSESIADHMYRMGLMALIAADIPGVDRNKCVKMAIVHDIAEAIVGDITPSDGISKAEKSRREQEALDHMCKVLGGGSRAKEIADLWVEYESNSSQEAKFVKDLDKVEMILQALEYEDGEKLL >OIV89810 pep supercontig:LupAngTanjil_v1.0:KV862237:56509:76795:-1 gene:TanjilG_29902 transcript:OIV89810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKNGKAKPHKAKGEKKKKEEKVLPTVIEITVETPEESQVTLKGISTDRILDVRKLLAVHVETCHLTNFSLSHEVRGSRLKDSVEIASLKPCHLSIVQEDYTEDLAVAHIRRLLDIVACTTTFGSTTSTGKPAAGKSNVKDSNGTKDSGSADTEPAQQSPKSENGPERSPKHKPVDSMEGDITLCPPPRLGQFYDFFSFSHVTTPFQYIRRSNRPFLEDKTEDDLFQIDVRVCNGKPTTIVASRIGFYPAGKLLLANHTLVGLLQQISRVFDAAYRALMKAFTEHNKFGNLPYGFRSNTWVVPPVAADNPSVFPPLPMEDEAWGGNGGGQGRDGKHEKRKWARDFAILAAMPCQTAEERQVRDRKAFLLHSLFVDVSVFKAVSAIKHLKESKQSSTADAAVPTCYEERTEDLTIKVTRDASDASMKLDCKNDGNLVLGLSEEELAQRNLLKGITADESATVHDTPTLGAVVIRHSGYTAVVKVSAEKELEGNPISLEIDIEDQPEGGANALNVNSLRILLHKSSTSQSSNAIQRIQSTEFENSSYSRSIVRKVLEQSLLKLKEEPTRQSNSIRWELGACWVQHLQNQATGKNEPKKAEEAKPEPAVKGLGKQGGLLKELKKKIDTKNSKVEQGKDISACNGIDLNKSDSVQQELERHDEEKETIWRKLLPDVAYTRLKESKTGLHLKSPDELMEMAHSYYIDTALPKLVADFGSLELSPVDGRTLTDFMHTRGLQMSSLGRVVELADKLPHVQSLCIHEMIVRAYKHILQAVVASVDNASELASAIASCLNILLGTPSPESNDADITSCDELKWKWVEIFLQKRFGWKWKHDNGQELRKFAILRGLCHKVGLELVPRDYDMGTASPFRNSDILSMVPIYKHVACSSADGRTLLESSKTSLDKGKLEDAVNYGTKALSKLVSVCGPYHRMTAGAYSLLAVVLYHTGDFNQATIYQQKALDINERELGLDHPDTMKSYGDLAVFYYRLQHTELALKYVNRALYLLHLTCGPSHPNTAATYINVAMMEEGLGNAHVALRYLHEALKCNKRLLGADHIQTAASYHAIAIALSLMEAYPLSVQHEQTTLQILQAKLGSDDLRTQDAAAWLEYFESKSLEQQEAARNGTPKPDASISSKGHLSVSDLLDYITPDADLKAREAQKKARAKLKGKPEPDQNSETASDENHKEEDTSQGYSIQQTASDKENTSGTQVEGRSIDKVESTQLGQTVLNESNNPAPDESSDEGWQEAIPKGRSFTGRKSSSARRPTLAKLNTNFSNVSQSSKYRGKPTNFSSPRTNLNETISGPSLPVTKKFTKSSSFGPKLNKSNAPAAGPEKLADSKSAPASPAPGDQIAKFSPASNGISVQSTGKLFSYKEVALAPPGTIVKAVAEQSPKVQQNPDASPEIVGKKDKDSNVAKTKAAEDFEKKPIDEIQKKSVHDEQKEKEAAVVVMDNTETAKSNDVKHEVIEINLKEVNNDSVIEKKVEARVITATDSNSASDGASETKVQDSSQATESDLNSLNILAEDEKQSLHNDATVSKKVIEGDEKQHELSSGNTDAKSPPSEGEKQDDIETVKETTKKLSAAAPPFNPSTVPVYGSVPVPVPGFKDHGGILPPPVNIPPMLAVSPRRSPHPSATTRVPYGPRISGGYNRYGNRVPRSKPVFPSGEQFTDGNPNSPPRIMNPHATEFVPGQTWVPNGFPVPPNGYIASPNGIPVSPNSLSPVSPDGNPVSPGGDPAPLTDIQVNQNGSETSPTSLTVSAEVVYVETNPENKNQALDEENKDTSSSEVSSEKQQVEQNPRDHLSATDENSPKIEKKPEDLSTTADCIEEDKVTNKDGADENIPSKCWGDYSDNEADMIEVKS >OIV89814 pep supercontig:LupAngTanjil_v1.0:KV862237:275939:276436:-1 gene:TanjilG_29906 transcript:OIV89814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMSRFGLIFVFLLLLASQEFVTKTEGFLGSHRCGKVLSSRFKGKCLTDHNCDSVCQLEGYVGGDCHGVRRRCFCNKRC >OIV89813 pep supercontig:LupAngTanjil_v1.0:KV862237:269587:271597:-1 gene:TanjilG_29905 transcript:OIV89813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRWGVCHQAMFISKHDEYGCKLSSSASEEEEPQDEEKHIKPKPIFMPKFKKRKRLSRATSENPITQPSLRMAARKHIGDTGLLDHLLKHIDGKVAPGGTELFRRRFNTSGIMEYWLESANLGKVHQEAGVNDSYWIPPSTFRADNVPSQNADTIGELEILKIEMAQMKKDMEELIAKQQEKSEISLMERRNEALMKWKAMTEQRLTEITTSLNGVQGMHDNMFIWKTKVEQQLVVVTNKLSDLQASKEHTTFSSPAVRWQDWLENSNPDSVQGNEFAPWFGNPELLNVPQEILLQDPYSTLPIVPVNEELTNMKSDLLELGPKKQEDQPNVTPDSCSTVNSKSDLDNSLILFQEMFMELFTWKDKMEQQLLEVSNTVFGMLNMK >OIV89816 pep supercontig:LupAngTanjil_v1.0:KV862237:289374:292254:1 gene:TanjilG_29908 transcript:OIV89816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKYETVKDLGAGNFGVARLMRNKETKGLVAMKYIERGHKARYFFQQLISGVHYCHAMQICHRDLKLENTLLDGSPAPRLKICDFGYSKSSLLHSRPKSTVGTPAYIAPEVLSRREYDGKLADVWSCGVTLYVMLVGAYPFEDHEDPRNFRKTIQRIMAVQYKIPDYIHISEDCRHLLSRIFVANPLKRINLEEIKNHPWFVKNLPKELTESAQAIYYQRGGNPSFSVQTVEEIMKIVGEAREPPPISTPVKGFGWEGEEEDEEDVDADVEEEVDEDEYDKRVKEVQASGEFHIS >OIV89820 pep supercontig:LupAngTanjil_v1.0:KV862237:313608:317637:-1 gene:TanjilG_29912 transcript:OIV89820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAELVNAATSEKLIEIDWVRTIAICELVTHDLRQARDVVKAIKKRLGNKNPNTQLSAMVLLEMLMNNTGDHIHQQVIDTGVIPILMKIVKKKSELPLRERIFLLLDATQTSLGGASGKFPQYYNAYSDLVSAGVQFPQRAQLVQSNRPTSQLNRTNNVLNREQALPRQGGVAQHAESQTVPESSIIQKASNAIEVLKEVLDAVDAQNSQGARDEFILDLVEQCSLQKRRVIHLVMTSRDKRIVSRAIELNEQLQKVLARHDNMLSSKVTTTNHFNHEEEEEEEEPEQLFQRLRKGKACARPEDEETEPEFPRLGLLEERLNRPLIRPLSLEPSRQTNTRPVPAVIPPPSARQNHELAHVAIPPPPAKHIERERYFQENKKDGATLAGHLRGLSLHSHNGSSSNSGSFDFSE >OIV89823 pep supercontig:LupAngTanjil_v1.0:KV862237:347376:351403:1 gene:TanjilG_29915 transcript:OIV89823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQETFITLSHQDIHNNLPKKKKSQQHLKPPSRRVTPSSSSSSNGDIYTGTLSGNTPHGAGKYVWSDGCMYEGEWKKGKPCGKGKFSWPTGATYEGEFKLGRVDGYGVFTGVYGDTYRGSFVEDRKHGFGEKRYANGDVYEGWWRWNLQEGEGKYVWKNGNEYVGEWKKGGIFGKGVLVWGNGNRYEGFWENGVPKGKGVFTWCDGNWGMEFMNEEENNDDEIVMALTKNKSSLDGGCKGVSFPKICIWELDGEAGDITCDIVDNVEASMFYKDGGSGVCESENVGQSCSLSWSVDDAEVKKPGQIVCKGHKNYGLMLSFQLGIRYTVRKHALTMRELRPGDFDPKEKFWTRFPLEGSKSTPPHHSVDFRWKDYCPMVFRHLRELFAIDPSDYILAISASGSLREMSSPGKSGSLFYLTQDDRFIIKTVKKSEVKVLIRMLPSYYQHVFRYKNSLVTAFLGVHCVKPVGGQKTRFIVMGNVFCSEYRIHKRFDLKGSSHGRTTDKPQKEIDETTTLKDLDLNFVFRLEQSWFQELKCQLDRDCEFLEAEGIMDYSLLIGLHFCDDASVDEMKNLSHDSCSGKRNMHDDKLHIGRKPLIRLGMNMPARAESVCKGLDQQMSSGSSISTLSVSDDSSNSGEISNVILYFGIIDILQDYDISKKLEHAYKSLQVDPTLISAVDPKLYSRRFRDFIQRIFVEDK >OIV89824 pep supercontig:LupAngTanjil_v1.0:KV862237:354682:363025:-1 gene:TanjilG_29916 transcript:OIV89824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGLCSKSAKSDKVFAKIDGHDHKNHSKSGGKSYKATMASDLATAPSARERIEKKKQEPSATNEHAANDDFYDGIPRFDDSFSQKSGSVKSRQATVAKVSDKFIGKAVDVLDTLGSSMTSLNAGGGFVSGAATKGNEIGILSFEVANTIVKGYGLMESLSTRNIRHLKEEVLRLEAVQDLVSKDTDELLSIVSADKREELKVFSDEVIRFGNLSKDPQWHNLDRYFEKISRELNSQRQSKDEAESMMQQLMSLVQSTAELYHELHAMDRFEQDYQHKLEEEDNSGATRSGDSLSILRAELKSQRKQVKHLKKKSLWSRSLEEVVEKLVDMVHFLHLEINNIFESADVRKPLIGTMSNRQTLGPAGLSLHYANIMLQIDTLVARSSSMPANTRDALYQSLPPTIKSALRSKLPSFRGVEELSVADIKNEMEKTLHWLVPIATNTAKAHHGFGWVGEWASTGSELNKKAAKTNIMRIETLHHADKDKVETYILELLLWLHRLAIKSKAASEAAEMRPTIKSPIGTAPQLQKTNQQSKSELPPLLTSDDQKLLQDVCKHIRTRGISKSLDFDTGLRDISRLIKSNSYSSTSRSKELSFNRISSKLPVIDFDINRKRVLDVIDRLDVPLK >OIV89815 pep supercontig:LupAngTanjil_v1.0:KV862237:281744:284800:-1 gene:TanjilG_29907 transcript:OIV89815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEHAPNTTPITTTTTVAKKEEQVAAVVTDVVADKTETASVVDIVSDELKERVADIVEKEKALEPLVEESVTLGSHLSRPNNDEKLPEPETGSLKEETTKLSNENKALQELKQLIQEALNNHHFFSPPTKEQHDKKEKQAESKQETKAAEEVATKTEEGEKKEQVEETEVVAEVKQAVSVAVTVVVDALSSVDEDGAKTVEAIEETVVSSVQAEQPPPQQPEEKVSEADTKETNKVEEASSTPLTPEQVSIYGVPLLADEKSDVILLKFLRARDFKVKEAFTMISNTIRWRKEFGIDELVEENLGDELEKVVYMHGFDKEGHPVCYNIYGEFNNRELYKKTFSDEEKRDKFMRWRIQFLEKSIRKLDFNPGGICTIVQVNDLKNSPGPGKWELRQATKQALQLLQDNYPEFVAKQVFINVPWWYLAVNRMISPFLTQRTKSKFVFSGPSKSAETLLSYIAPEQLHVNHGGLSKYGESENTDAVTEITVRPATKQTVEFPVTENSLLSWEVRVIGWEVSYGAEFVPSSEESYTVIIQKGRKVASSEEPVLLSSFKVGEPGKVVLTIDNPTSKKKKILYRLKTKPSPSE >OIV89809 pep supercontig:LupAngTanjil_v1.0:KV862237:50043:50213:-1 gene:TanjilG_29901 transcript:OIV89809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVEPIPRLYKVGIPYQDYYMMHQQQKQQPFIIPNMLSPTQYFVLSNVGDDASFAS >OIV89821 pep supercontig:LupAngTanjil_v1.0:KV862237:327717:332837:-1 gene:TanjilG_29913 transcript:OIV89821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCKVSGKTSLTYEEALVSERHATEKVQQFPKELMAPALKTIQYSMLPLKDLADSINEKLLGYFFVGAELFVKKDDGVYPCKILKFITEGVDKCHYEVAWLYKDTNITEKANVCAEDLVQKKPLFSRNILKSFIRESTYRNAPWVLHEELAQKHGISTDIPEELRGRIFFKNGILACSKKRKNEESMKETETCNKRKKWDGSTQQKDNGQHIEGPIRYPIDDLLVKPGLDDPVFTARPSPSRDFNVSMDCVGDLLMIWDFCSSFAKLLNLWAYSLEDFENAINHRGSNVVLLVESHAALIRVLINDDGEYSTTIEKRRLKHKITMINWSDYLSDFLEMINIPGLCQYEATIKRGHYGLVDVNAKLEILRELVHRVLESGIFRKKMDKLIEQRQALGASRREEALEDGKKRRKEKEQLKAEPESNGVVHGHHLDSASVSTNNNHSMQNGDVGEKRNAEIKPSRNDMPGRSGIKHSNPASKKTQKKLASELEEQPENGKEVSSKKLQKHFMGDKDLSEKNSIVQRKKLHSELKEPAENGKELSGKKLQKQLKSDKDPSEKNSVEQRREYYEREIEKRLISRSPLGKDRDYNRYWWFPRDGRIFVESSNSREWGYYSSKEEVDALMGSLNCKGERERALQEQLGKYYDSICSELQKRLKDLMHKVEVDESVVRRSTRVRAPPRQNPANAFLRYVNKLKEE >OIV89812 pep supercontig:LupAngTanjil_v1.0:KV862237:262281:266712:-1 gene:TanjilG_29904 transcript:OIV89812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSNTTTNTIPTKPTWVLPYRTPNLKDEYTLGRKLGQGQFGTTFLCTHVSTGRTYACKSIPKRKLFCKEDYDDVWREIQIMHHLSENPNVVRIHGTYEDSMAVHLLMEICEGGELFDRIVQKGHYSEREAAKLIKTIVEVVEACHSLGVMHRDLKPENFLFDSVQEDAKLKAIDFGLSVFYKPGESFCDVVGSPYYVAPEVLCKLYGPESDVWSAGVILYILLSGVPPFWAETEAGIFRQILMGKLDFQSQPWPSISDSAKDLIRKMLDQNPRTRLTAHEVLSHPWIVDDNIAPDKPLDSAVLSRLKQFSAMNKLKKMALRVIAERLSEEEIGGLKELFRMIDTDNSGTITFDELKDGLKRVGSELMESEIKDLMNAADIDNSGTIDYGEFIAATVHLNKLEREENLLSAFSYFDKDGSGYITLDEIQQACKDFGLDDIHIDDMIKEIDQDNDGQIDYGEFAAMMRKGNGGIGRRTMRKTLNFREALGLLGNGSNQVIDGLL >OIV89817 pep supercontig:LupAngTanjil_v1.0:KV862237:296015:300693:1 gene:TanjilG_29909 transcript:OIV89817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRSSGGHAEAPTNTNPLKIYMVGRCSTGWSEAQPLVSKQSLNRHLLPVEALVTPTAQDVSDTPFIGDDKIGVLLLNLGGPETLEDVQPFLFNLFADPAEELRKSLWAKNVPANVYVGMRYWHPFTEEAIEQIKRDGITKLVVLPLYPQFSISTSGSSLRLLESIFREDEYLVNMQHTVIPSWYQREGYITAMVNLIEKELKDFDSPNEVRIFFSAHGVPLAYVEEAGDPYKAEMEECVDLIMGELEKRNITNAYTLAYQSRVGPVEWLKPYTDDTIVELGKRGVKSLLAVPISFVSEHIETLEEIDVEYKELALKSGIQKWGRVPALGCEPTFISDLADAVIESLPYVGAMAVSNLEARQSLVPLGSVEELLAAYDSQRKELPPPVLVWEWGWTKSAETWNGRAAMLAVLLLLFLEVTTGQGFLHQWGILPLFR >OIV89826 pep supercontig:LupAngTanjil_v1.0:KV862237:376402:376623:1 gene:TanjilG_29918 transcript:OIV89826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKPLATVITALISVTFLQEELYVGTKHKAARSDVHTNLTSSRLDVIRSIYGIAVVGILMETEAADNWLSGLH >OIV89807 pep supercontig:LupAngTanjil_v1.0:KV862237:19225:25399:-1 gene:TanjilG_29899 transcript:OIV89807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELKKGKLVRFYTEGKKHKEPLWGAAESPSLEKSSSPYKVQPYSTLKTRNAPPLGKNRFPELCRDGGSKVFAEDHEPWRKRILDPGSEIVLKWNRVFIVSCLVALFIDPLYFYLPSVRGNKRSSCVRTDLMLRIVVTFLRTIADVFYLLHLIIKFRTAYVAPSSRVFGRGELVMDPKKIARRYIRSDFFIDFIATLPLPQMVIWFIIPATRSSLADHKNNALALIVLLQYVPRLYLIFPLSSQIIKATGVVTKTAWAGAAYNLLLYMLASHVLGASWYLLSVDRYTTCWKSICKTESNPENCLRYLDCSFYNDKQRNIWANSTNVFYRCDPSNTIVFQYGLFENAVKKHVVSSNFIRKYFYCLWWGLQQLSSYGQNLQTSTFIGETSFAILISIFGLVLFAHLIGNMQTYLQSITIKLEEWRLKRRDTEEWMSHRQLPEDLRRCVRRFIQYKWLATRGVDEETILRALPADLRRDIQCHLCLDLVRRVPFFSQMDDQLLDAICERLVSSLSTVGTYIVREGDPVTEMLFIIRGRLDSSTTNGGRTGFFNSTILRPGDFCGEELLSWALLPKSTTNLPSSTRTVKATSEVEAFALRAEDLKFVANQFRRLHSKKLQHTFRFYSHHWRTWASCFIQAAWRRYKKRMSSKDLILRESLHLDETPANEREHEEGDPSGSNSSQAKLNLGVTILASRFAANTRRGALKMKDDMPKLPKPEEPDFSTEVDED >OIV89825 pep supercontig:LupAngTanjil_v1.0:KV862237:369123:372526:1 gene:TanjilG_29917 transcript:OIV89825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYWSNLSGLVLAVLSSVFIGSSFIIKKKGLQLARINGPSASVGGYGYLLQPLWWLGMITMFVGEAANFIAYVYAPAVLVTPLGALSIIVSAVLAHFLLKEKLQKMGMLGCLLCIVGSIIIVLHAPEEMSLTSVQQIWELAIQPAFLSYTASAIAVVLILVLYFAPRYGQTNILVYIGICSLVGSLTVMSVKAIGIAIKLSFEGANQTFYFQTWIFAVVGITCIVVQLNYLNMALDNFNTAVVSPIYYALFTAFTILASAIMFKDYYGQSISSIISALCGFITVLSGTLVLHNTREPDPQSNADSYTPLSPGVSWYIQGNNESRKQKEEDGPPFNLITIMKPDHFK >OIV89798 pep supercontig:LupAngTanjil_v1.0:KV862238:14228:15525:1 gene:TanjilG_30547 transcript:OIV89798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGIWRISSLRQNPLGAAIFQQFHGNGIINPNKITSGFGCVTSSSSMQQKGLENVTVSEVLMTKGEENIGSWIWCRTDDAVINAMKNMAENNIGSLVVLKPEGQHIAGIVTERDCLRKIVAQGRSPLYTKVDQIMTHENNLITVTSDTNILQAMQLMTENHIRHVPVIDGKIVGMISIVDVVRAVMEQQSGELKKLNDYIKGEYY >OIV89795 pep supercontig:LupAngTanjil_v1.0:KV862238:206:3191:-1 gene:TanjilG_30544 transcript:OIV89795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVILRENDAADWVYRGEGAANLVLSYIGSSPLFIGKVMRIRKAPRSDVVTVRSPSALTAHERLLWKDVDELISSSDKEIAGRLFVQHVMKPLLGSKFVDAGMHVLVSTEFLESVEKDIICQRPPWRVDNAQVDTQCDSVLLMSDHSVFSHGNLECSSCISIEIKPKCGFLPNSRFISKETTIKRRITRFEMHQALKLHEEEISLQSEYNPLDLFSGSKERIHKAIKDLFTTPQNNFRVFFDGSIIFGGLGGGAEDTNFGIAKAFEDVLKSFIQANDGLCTESLLTLVAEALTKSGVLNQLLEVQKLDNVDIEGAIHAYYDITSQQCMVCRELGEEQAKKYTSLHSASLNESLRVVRDYLIAATAKDCSLMMCFRPRKDGGSGSEHNTVYLESTKQTFDFKADNSSHEPFGSVSVGRLVPA >OIV89803 pep supercontig:LupAngTanjil_v1.0:KV862238:34209:42721:1 gene:TanjilG_30552 transcript:OIV89803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSLISDTPEWNDLKAHVEEIKNTHLRNLLTDESRSQSLFLDSDGIVLDYSRQQATLETIQKLFKLAQAASLKDKINRLYGGERINSTENRPVLHVALRASRDAVINSDGENVVPEVWKVLDKIKDFSDRIRNGSWVGATGKPLKDVVAVGIGGSFLGPLFVHTALQTDPEANESARGRQLRFLANVDPIDVARNITGLNPETTLVVIVSKTFTTAETMLNARTLREWISSALGPSAVAKHMVAVSTNLTLVEKFGIDPNNAFAFWDWVGGRYSVCSAVGVLPLSLQYGFSVIEKFLKGASNIDQHFYSQPFERNIPVLLGLLSVWNVSFLGYPARVSMESNGKGVSIDGLPLPFETGEIDFGEPGTNGQHSFYQLIHQGRVIPCDFIGVVKSQQPVYLKDEVVSNHDELMSNFFAQPDALAYGKTADQLQKENVSPHLIPHKTFSGNRPSVSLLLPSLNAYNIGQLLAIYEHRIAVEGFIWGINSFDQWGVELGKSLATQVRKQLHASRTKAEPVQGFNFSTTALLTRYLQASSDVPADLPTSLPKI >OIV89805 pep supercontig:LupAngTanjil_v1.0:KV862238:49926:54296:1 gene:TanjilG_30554 transcript:OIV89805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDDDFQLFPSSPIILPQPKLKRLKKVASLIVPSIDSSDELESLRSDSTLEELTVRSVANHESLSPLPNKHGSGAARVLDFNSVDEDFDVNVESTEIEKDKVEEVADLKSHELERKRRSLDNSAEYSNKKKKKRVDDGGAEKIAKESASNKRKAEKERRENLKQLRAESQRLLRETRDAAFKPIPLVQKPISSILDKIRQRKLEILKKSISSYVDSDTDDSEYCLDEEIIDKSEEVELEVTPTSPSPTNNEEIIDKVEDIELKITPTCPIPAKSGVSASHLDGSNDVVGDLSRESIPSPVGRDSESDHTFRAPIGDTQELYSDSERSDAKDEAVNEKPNNPSEEVSEEPSMFAMNLHFDSAPPDDDVSSDDEDNDKENVDPRLHGSVVVPSSPSGDPVKAFVDEEAEVEDDSDNDIQHFQDNEDEVDDDIEDLNDLIATGFEEKSTDREKRDQLHQQWLAQQDTVGMDNILHKLNCGSKLKESTSVEEEGDEESNETEIENEFDDEAEDYIAPSDSMKINLKKMKQMIPQMFTDKDDAYVSSDDDNKTEMRLAKQSLFDKAEEKATFLSPAEDESSREVFSRIKKLNVVPDAKRKGRTSSTIDMLRMGQSINLSSKSSFVGRASNHFMHSSHKSASSKARSFIFERDDSNSRTSISMSDDSPDTIQRESQVPKTFSAKFQRNTQNKHTASDSASQKSSISLLEILRKSSIHAKHSFQHAEAQQQESVFDAFKLAKKPSRT >OIV89796 pep supercontig:LupAngTanjil_v1.0:KV862238:4044:7290:-1 gene:TanjilG_30545 transcript:OIV89796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHWWFFVFVIVVSVTVEQRIYVEAEEGFVKARGVQLILNGSPYYANGFNAYWLMYVASDPSQRNKVTSTFQEAANHGLNIARTWAFSDAGYKPLQNSPGSYNEEMFRGLDFAIAEARKYGIKLVLSLVNNYESLGGKKQYVDWARSQGQYSINSEDDFFTNPLVKGYYKNHIKSVLTRKNSLTGIAYKDDPTIMAWELMNEIRCPSDQSGNTVQAWITEMASYLKSIDGNHLLEAGLEGFYGPSKQDSNPNFRVGTDFIANNQIPAIDFATIHSYPDQWLTSSSYEEQITFLGKWLNEHIQDAQNILHKPLLFAEFGISTKNLGSNSAPRDRFFDTVYSAIYASASGGGAAVGGLFWQLLAEGMDSFRDGYEVVLGESPSTDTLIAQNSQKLIRIRKMYARLRNIEKWNKARENRDSHDGVDGRN >OIV89801 pep supercontig:LupAngTanjil_v1.0:KV862238:24169:28311:1 gene:TanjilG_30550 transcript:OIV89801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVQVECCGTKFALFVVIIVGLVCFAGLMAGLTLGLMSLGLVDLEVLIKSGPPKDRIHAAKIYPVVKNQHLLLCTLLIGNSLAMETLPIFLDALVPPWAAILLSVTLILMFGEILPQAICTRFGLTVGATLAPLVKVLLVVFYPISYPISKVLDWMLGKGHAALLKRAELKTFVNFHGNEAGKGGDLTHDETTIITGALELTEKTAKDAMTPISKAFSLDLDATLNLDTLNTIMTLGHSRVPVYAGDQRNIIGLVLVKNLFMVDSKAAVPLRKMIIRKIPRVSENMPLYDILNEFQKGHSHIAVVYRDLNDKKDTPKRVKDRERYDFKDSCKNKGENAPLDKAISSRHTYAAIAFYGLMKYVVDLPNETFDDYLADLTIATNTGVESESGDTLTTIAKVDGSPRVKKSPPANPAPAFKKRHRGCSHCILDVDNVPLLVFPPNEVVVGVITMEDVIEELLQEEILDETDEYVNIHNKIKVNMNSSKEKSPNAIPVQPSPLAVQGNTPTNSVSTATSATGSPTIIDQISENNSLRNQ >OIV89799 pep supercontig:LupAngTanjil_v1.0:KV862238:16325:17840:1 gene:TanjilG_30548 transcript:OIV89799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKISLVLVFSLLVVLPFGSVLSQEDSLFKNLIGSGSFSSFTEGDAAALFEEDDEEGSSLADFVDGDEAGNKGSWSTPSVESPIGAPEDLPADYDDVYDDTDDGSPAGAPDQDDSLEDDDNSPAGSPTEAPEQAPNAAPSESEAPEDSAEAPEESASTIAGAPEESASAPTDETEESASAPTDESEESASAPTDETEESTSTPTGAPEESASTSVEAPEGSASAPTGAPEGSSASAPKGAPTSTPTGKVDDDADELDDGDLDAPAGQVDDASEQD >OIV89800 pep supercontig:LupAngTanjil_v1.0:KV862238:20598:21060:-1 gene:TanjilG_30549 transcript:OIV89800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNSTWSPKQNKKFESALAIFDKDTPDRWENVARAIGGKTIEEVKLHYENLVEDLKMIEEGHVPTPKYTKVAQGGCNSFNGNEEKQRIKMENLILQ >OIV89804 pep supercontig:LupAngTanjil_v1.0:KV862238:45886:47787:-1 gene:TanjilG_30553 transcript:OIV89804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKLCPNFDKVDGLETVLEVPIPEEMLTGMGTNGFNRWRNLRNLMNAAQDNSNSSSLPSNNEFMPLLKLVGAPLVPLQVQSDHTLTRPLRDCSIKDSTAKYIVQQYVAATGGLAALNSLKSMYAMGQVRMHVSEQLRQNDDIDIESVHERGKAEVGGFVLWQKNPDLWCLELVVSGFKVSAGSDGKVAWNQSSSQPFHANKGPPRPLRRFFQGLDPRCTANLFLDAECVGENTINNEVCFMLKLQTDQHILQAQSTSHTEIVMHTVLGYFSQRTGLLVKFEDTKLVKMKPVKGKESVFWETSIESMIEDYKYIDGINIAHSGKTIATLYRYGAAHNHKRMIEEHWSIEEIDFNIFGLSMDCFLAPSDLEKEQDGAENAAPVGMS >OIV89797 pep supercontig:LupAngTanjil_v1.0:KV862238:10461:13045:-1 gene:TanjilG_30546 transcript:OIV89797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLGRVIYTVGFWIRETGQAVDRLGSRLQGNYYFQEQLSRHRTLMNIFDKAPAVDKDAFVAPSASVIGDVHIGKGSSIWYGSVLRGDVNSISVGSGTNIQDNSLVHVAKSNLSGKVLPTIIGNNVTIGHSAVIHGCTVDDEAFVGMGAILLDGVVVEKNAMVAAGALVRQNTRIPSGEVWAGNPAKFLRKLTDEEIAFISQSAINYTNLAQVHAAENSKSSEDIEFEKVLRKKHAHKDEEYDSMLGVVREIPPELILPDNVLPGKAEKALQK >OIV89806 pep supercontig:LupAngTanjil_v1.0:KV862238:58989:61042:1 gene:TanjilG_30555 transcript:OIV89806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTETNNPSPSSMAASGEATFSSSSLENASQPPPKKKRSLPGMPDPDAEVIALSPTTLMATNRFVCEICKKGFQRDQNLQLHRRGHNLPWKLRQRSANDVRKKVYVCPEPSCVHHHASRALGDLTGIKKHFSRKHGEKKWKCERCSKQYAVQSDWKAHMKSCGTREYRCDCGTFFSRRDSFLTHRAFCDALAEEARAQTLTVASPSPPPPPLTPSTTVISPTLSIQSSEIPENPMRISPPPPPPPKAVTTGNTPSVMASSTISAPSSFSNLMSTMSSTIEPTSLTLSTSSLFDSSSLFQDPHYITATTSSPQPPALSATALLQKAAQMGAASSNVSFFHGLALPTSSSTSSTSAQDHTHTPTMTWNTFINLKQDNLGLGLASGNNNNAPGFADVMMGSADPFGGSGQPIMTRDLLGLSIGTGVSSLPSFFTSFGGNFQASEEGGGGVGH >OIV89802 pep supercontig:LupAngTanjil_v1.0:KV862238:30879:31223:-1 gene:TanjilG_30551 transcript:OIV89802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDLSVAIAEASSIEVGAEMGQQEIQPQPPRKRGRPRKIIVMETNQEKKIEAAEKATENSMKKEEQPQQQQEESIAACMSINNTKEKEFQLIITKGEPSRRSRVRRKSKPRKST >OIV89794 pep supercontig:LupAngTanjil_v1.0:KV862239:5819:11819:1 gene:TanjilG_30781 transcript:OIV89794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIQTISRSLSLRLSLLHQRRLLTTTSPFPSLPAFFLRPILSPTSPSAQFAAVRCQSTRPGGNSAYSPLNNSGGGSNFSDRPPTEMAPLFPGCDYQHWLIVMDKPGGEGASKQQMIDCYVQTLSKVLGSEEEAKQKIYNVSCERYFGFGCEIDEETSNKLEGLPGVLFVLPDSYVDPEYKDYGAELFVNGEIVQRSPERQRRVEPQPQRHQDRPRYNDRTRYVRRRENVR >OIV89793 pep supercontig:LupAngTanjil_v1.0:KV862240:132:2447:-1 gene:TanjilG_31179 transcript:OIV89793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLSIANNYAASIVSPQTICNYTLYPSYCQTVLANQNGTTFDYCNISIRKSLSQSRNFLNLVYSYYKGFSSFSQITVRALEDCQFLSELNFEYLSNTYDVIEKSSNVLTTSQVEDFHTFLSATLTNQQTCLDSLETSTSDPRVKNDLYSSLYNDMKLNSVSLALFKEAWVPENKNSTSSENHTSQSNFQNQVRAIYDSSKGNGRKLLQTMDDNESIVVKDIVVVSKDGSGNFTTINDAIDAAPNNTVASDGYFIIFITEGVYQEYVSIAKNKKFLMLIGDGINQTIITGDHNVVDGFTTFNSATFAVVAQGFVAVNITFRNTAGPSKHQAVAVRNGADMSTFYSCSFEGYQDTLYTHSLRQFYRECDIYGTVDFIFGNAAVVFQSCNFYPRLPMSQQFNAITAQGRSDPNQNTGISIQNGIIKADNDLAPMVSSVKTYLGRPWKEYSRTVYMECFMDNLIAPEGWHEWNGDFALNTSYYAEYNNMGPGSNTQNRVTWLGYHVINANDALNFTVSTFLDGDFWLPQTSVPFQDEEEEEEEGEEEEEEGRMRMRKRRRRKRRVG >OIV89651 pep supercontig:LupAngTanjil_v1.0:KV862265:23619:24731:-1 gene:TanjilG_11164 transcript:OIV89651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKASDNVNIGPCILFMISQYASVGLTTFMRFQGLLHAGVAHNRKLIVEWVPAWDLEDVTSQQDPDTYKVAWELLKVADDFLVPGGFSDRGVQGKILAAKYGRENNVPFLGICLGMKLLSLSLRDLSSVCMILLAHNLILKPKTLVSYLCQKKLADKVVAAGWYVVVPD >OIV89650 pep supercontig:LupAngTanjil_v1.0:KV862265:4076:22820:1 gene:TanjilG_11163 transcript:OIV89650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDMQPEQILGDVVKYLLEKPNFNAVFSESMKNVEINESFLESFCNGLKLSLMEKIAVSLSLSDSENPDARHCGKNFCTAQIEEVFANPGSLNCHDLIHDIIIFLKQSEGLSKYMDSFMQILSLVQFKDTLSFVLNPLLPDEMREAEFLRWNMELFHESGENDFDAILADIQKEMNMGEIVNELGYGCTVDVSQCKEIFSLFTPLTDNTLSKLLGSIACTHAGLEDNQSTFLTFGAALGYNLSELPPPNSWNIDVLIDTIKQLAPQTNWVQVFENRDHEGFFLPNEEAFSFLMSVYKHACKEPFPLHAICGSVWKNTEGQLSFLKFAVSAPPEVFTFAHSARQLTFIDAVNGSKFQNGHSNHAWLCLDLLDVLCQLAERGHASSVRSVFEYPLRQCPEILLLGMAHINTAYSFFQHEVCHIVFPMIVKRAGDSEMIQHLWHVNPNLVLRGVMDSQKNNIDIVTRIVDICQELKILSSVVEIIPSYYGIRLAAVSGTKEYIDLEKWLNNNLTTHKDVFFKECLKFLKDVHLTGSLDLSGKSFHQSGAVLNLYAESTATFLKVLKSHADLVTSRQLSEDLERLHIFIDSNPRLQNSGTNDSSASDGYADDIEAEANSYFHQMFSDSLSMNEMVEMLARLKESSVKREKSIFECMIANLFEEYRFFQKYPERQLKIAALLFGSVIKHQLVTHLSLGIALRYVLDALRKPADSKMFMFGSLALEQFVDRLPEWPQYCNHILQISHLRSTHLEIVGFIEDALARISSAHADGDGASLASGISSHNSAPATLGHVELNASSITQPGQQHMPLQLQQRRDIPLDHRYKASFGLGSSTDVKPLLSSLGQSSVVTPPDASNINKLHSTVSASSMLASGFVRPSRGATSARFGSALNIETLVAAAEKRETPIEAPGSEVQDKISFIINNISVANADTKAKEVTEILKEQYYPWFAQYMVMKRASIEPNFHDLYLTFLEKVLLGSELIKSSSEERSLLKNLGSWLGKLTIGRNQVLRAREIDPKSLIIEAYEKGLMIAVIPFTSKILEPCQSSLAYQPPNPWTMGILGLLAEIYSMPNLKMNLKFDIEVLFKNLSVDLKDVTPTSLLKDRKRAIEGNPDFSNKDVGSSQAQMISDVKSGLVPPVNQVDSPLEVTNLSNTGGHPHMLSQYAGPLHISTGTLMEEEKVTPMGLSDQLPSAHGLLQATPTPAPFSISQCCKVNENGPIAVPIAMDRAIKEIVSSIVQRSVSIATQTTKELVLKDYSMESDENRILNAAHLMVASLAGSLAHVTCKEPLRASISSQLRTSLQNLSIGSEILEQAVQLVTNDNLDLGCAVIEQAATDKAINTIDTDIGQQLSLRRKHREGMGSTFFDANLYTQGSMGGVPDYLRPKPGQLSLSQQRVYEDFVRLPWQNQSSQTSNSVSSVQSGNAGSVITGYEGVSRQLDDMAESNLSSQLSASSIHNRAADSSSQLSVEKDSVASFPSTASTPELHQVDSSDAVQESGASSQQLVSPGAVERFGSSFLESSLTTRDALDKYQIVAQKLEALVNNDSAEAEIQGVISEVPEIILKCVSRDEAALAVAQKVFKLLYDNASNSIHVSAHFGILTAIRDVCKLAMKELTNWVIYSEEERKFNKNITVGLIRSELLNLTEYNVYMAKLIEGGRNKAATEFSISLLQTLVIEEPKVIPELHNLVDALAKLATKPGSPETLPQLVEMDPGLLVANREELITVESVEPDPAGFREQVSMVFAEWYRICELPGANDTASAHFISQLHQNILLKGDDVTDRFFRLLMELSVAHCLSTEVINSGAMQSPQQLQPMSFLAIDVYAKLVFSILKGSSKLILLSKILAVTVRFILEDVEEKKMSFNPRPYFRLFINWLLDLGSLEPVIDGANLQILTAFANAFHALQPLKVPGFRVLLVLLHDFPEFLCDYHFTFCDVIPPSCIQMRNIILSAFPRSMRLPDPSTPNLKIDLLQEITQSPRVLSEVDAVLKAKLMKADVDEYLKSRQQNSSFLSDLKEKLLLSSIEAGSVGTRYNVPLINSLVLYVGMQAIQQLQGRTPHAQSSANAFPLAVFSVGAALDIFQTLIMDLDTEGRYLFLNAVANQLRYPNTHTHYFSFILLYLFAESNQEIIQEQITRVLLERLIVNRPHPWGLLITFIELIKGRGIKPKPPIKYSKFEAL >OIV89791 pep supercontig:LupAngTanjil_v1.0:KV862241:19213:21992:1 gene:TanjilG_31377 transcript:OIV89791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVENVDSSDNIIDETCEGVSDIAVSGVISLDHQVADEAINLMEKNSAEFLSVQVRDDFPLELNSVEITNASMNVQVEFAHVEQFSSSSDVNIFRCSPDMYVVSSTNSMKKEPVNFEKIETNAEENTEVSPLKLTLEGTFDGCKDSQQISLPEGSLEASSTVNPRDASFAYATSETIGVISIYNEVHHEINRTKINDAVVYGNNVKADVENDIRTKTKDLQTSELLQLEAEQSRDLVTNDDAGETGKIEILISKVEKFMELSLCSVQDRSVNNLVKHSSSGFDASVDFSSRCDSLDGNWGSVSVFSLQSDAPAVIDTETLPSTSSLASTDAGKSNVNNPIDSFHGQQSGKSETFEPSSFMTLPDSTSQAGWFPTLTKVINDSPGRKKNEKIIAKVKNWSTSNEHKPLKSLLGEAAQSDKAKYPKFGGHSLNQKNFNFPENSNSGSKTVNSILGSGSPAAHVAKGEFAKEWNSPARYPADIKREKGKDKSRPLWIQLVCWSSSMDHQPQRR >OIV89792 pep supercontig:LupAngTanjil_v1.0:KV862241:27213:34923:-1 gene:TanjilG_31378 transcript:OIV89792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEMANTDPEGIDGVRMTWNLWPRTKVEASKCVIPLAATLSLLRPHPDIPTLPYAPLRCKTCSSILNPFSRVDFTAKIWICPFCFQRNHFPPHYSQISETNLPGELYPHYTTVQYTLQNPNPNYDHSAQSPVFVFVLDTCMIEEEMEFVKSEIRRAIGLLPDNALVGFVSFGTQVQVHELGFSEMSKVYVFRGNKEIGKDQILEQLGLGGGAVRRPAAGFQKGIPGHGGGGFGVSNVTRFLLPASDCEYTLNTLLDELQTDQWPVSPHTRPSRCTGVALSVAAGLLGACNPGTGARIIALVGGPCTEGPGTIVSKDLSEPVRSHKDLDKDAAPFFKKAVKFYEGLAKQLVSQGHVLDLFASALDQVGVAEMKVAVEKTGGLVVLSESFGHSVFKDSFKRVFEDGEQSLGLCFNGTLEINCSKEIKIQGIIGPCTSLEKKGPSVADTVIGEGNTTAWKMCGLDKSTCLTVMFDLSSSDRSNTPGAANPQLYLQFLTSYQSPDGQLLLRVTTVTRRWVDVSISSEELVQGFDQETAAVVMARLASLKMETEEAFDATRWLDRLLIRLCSKFGDYRKDDPSSFTLNPSFSLFPQFMFNLRRSQFLQVFNNSPDETAYFRMLLNRENISNAAVMIQPSLISYSFNSLPEPALLDVSSIAADRILLLDSYFSVVIFHGMTIAQWRNLGYHHQPEHQAFAQLLQAPHDDAQIVIRDRFPVPRLVVCDQHGSQARFLLAKLNPSATYNNAHETAAGSDVIFTDDVSLQVFFEHLQRLAVQS >OIV89638 pep supercontig:LupAngTanjil_v1.0:KV862266:651:10906:1 gene:TanjilG_12724 transcript:OIV89638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNWFVKRFNVVVFENELKWYATENCSDVNSTVDAYISRQRELQHNGIFMDGIGLESHFTVPNLPLVRTILDKFATLDLPIWLTEVDISKTLDKNAQAILLRGIGGQRIEEGLQISR >OIV89649 pep supercontig:LupAngTanjil_v1.0:KV862266:852074:853486:1 gene:TanjilG_12735 transcript:OIV89649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METKITHIAVVSIPAFSHQASIVEFCKRLVHFHHNFHVTCIFTTIDSPPQATITFLQSLPPNIDYIFLPPINKQDLPKGVPLSLQISHAVSQSLPSFHHALQSLCSSNNPLVALIADPFANETLEIAKEFNLLSYIYFPPSAMTLSLFLHLPTLHEEVSCEYKDYKEAIHIPGCLPIHGNDLPEHLQDRSSLSYELILERCKRFSLAHGFLVNSFSEIEGERVSTLVKIGKKPIYLVGPVIQTGASIELNKAECMKWLEIQRPKSVLYVSFGSGGTLSEEQVNELAFGLESSGQKFLWVFRAPNVSANALYLSTSNDDPLQFLPDGFLERTKGQGLVVPNWAPQTQILSHKSIGGFLTHCGWNSTLESIVLGVPMITWPLFAEQRMNAVLLTKGLKVALRPKFNDNDIAEKKEIAEVIKELMLGEEGHGISQRIEELKYVALSALKEDGSSTRALSQFITDIENFLRHKV >OIV89645 pep supercontig:LupAngTanjil_v1.0:KV862266:615925:616158:1 gene:TanjilG_12731 transcript:OIV89645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVCYLAKKISLQENKGKLKTENYLKYSQFHKDKNSSHAFLLIEFSDNGAILMGGWYGDLMKVGCENDYDVSVSGWW >OIV89639 pep supercontig:LupAngTanjil_v1.0:KV862266:58557:58832:1 gene:TanjilG_12725 transcript:OIV89639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSNSRVSVVCLEHILTTDIGVYDVVVLPSFVSSTDNYVHILTKMTRHSVNGVLHSYLTKRDTELAGPLVEILEQCGQKVPPTMKSLQHQT >OIV89647 pep supercontig:LupAngTanjil_v1.0:KV862266:702149:705403:1 gene:TanjilG_12733 transcript:OIV89647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKNEEQARSLFGISLSDRPRWHQFLICSSGFFFGYLVNGICEEYVYNRLHFSYGWYFTFIQGFVYLLLIHLQGFTSKQMVNPWKTYVKLSAVLMGSHGLTKGSLAFLNYPAQIMFKSTKVLPVMIMGAFIPGLRRKYPFHEYISAILLVVGLILFTLADAHTSPNFNIIGVIMISGALIMDSFLGNLQEAIFTMNPETTQMEMLFCSTVVGLPFLIPPMIFTGELFTAWTSCAQHPYVYGVLVFEAMATFIGQVSVLSLIALFGAATTAMITTARKAVTLLLSYLIFTKPLTGQHGSGLLLIAMGITLKMMPENKPTSTKRVLTSSPNSSKEKSAGDEEELRENEERRPLV >OIV89643 pep supercontig:LupAngTanjil_v1.0:KV862266:494229:499360:-1 gene:TanjilG_12729 transcript:OIV89643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMMRRLKSIASGRTSISSDPGGDSITKKTKYDQENDGKVIEKKIPIERGDKDEGQHVDASRETTVGTSNSILSTVARAEKELHEMKIRDEKGKSNDEKDVEATVVNGNGTETGQIITTAIGGRDGQPKKTISYKAERVVGTGSFGVVYQAKCLETGEAVAIKKVLQDKRYKNRELQVMRMLDHTNVLKLKHCFYSTAEKDEVYLNLVLEYVPETVYRVSKHYIRMHQNMPILLVKLYTYQICRGLNYMHHVIGVCHRDIKPQNLLVNPQTHQIKICDFGSAKMLVPGEPNISYICSRYYRAPELIFGATEYTTAIDIWSAGCVLAELLLGQPIFPGDSGVDQLVEIIKILGSPSREEIRCMNPNYTEFKFPQIKAHPWHKVFHKTVPSEAVDLASRMLQYAPNLRCTALEACAHPFFDDLRDPKVTLPNGRPMLTLFDFTARGAPDELRRRLIPDHARS >OIV89646 pep supercontig:LupAngTanjil_v1.0:KV862266:660416:661895:-1 gene:TanjilG_12732 transcript:OIV89646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLDATRAELALLVLYLNKAESRDKICRAIQYGSKFLSDGQHGTAQNVEKSTSLARKVFRLFKFVNDLHGLISPTPQGTPLPLILLGKSKNALLSTFLFLDQFVWLGRSGIYQNKERTELIGRISLFCWMGSSVCSTLVELGELGRLSASMKKIEKELKNSNKYDNEQYSAKLKISNERTLSLIKAGMDIVVAVGLLQLAPKKVTPRVTGAFGFVTSLISCYQVPAIIVQQNVKELRKGTC >OIV89640 pep supercontig:LupAngTanjil_v1.0:KV862266:122879:123109:-1 gene:TanjilG_12726 transcript:OIV89640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEDFSDSEDEDKEMVIRNAVWYSADDVVLVLEHVVMPLVEEHRAREESVSYPPVIIIDSNTEIEEDPDEHKSSDS >OIV89644 pep supercontig:LupAngTanjil_v1.0:KV862266:577650:583281:-1 gene:TanjilG_12730 transcript:OIV89644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKWAIHFPKKTNNHLTKLYFLTFAAILCTLSYFLGLWRNSPTVAISRSYYAAGGSDCPHPNSTSASPKFPTTTNLDFSAHHYLPDLSLTTARDFNAPPCNASLSEYTPCEDVQRSLKFPRENLIYRERHCPNAEEVLRCRIPAPYGYRVPFRWPESRESVWYANVPHKELTIEKKNQNWVRFEGERLSFPGGGTMFPRGASAYIDEIGELINLKDGSIRTALDTGCGVASWGAYLLSRDILAVSFAPRDTHEAQVQFALERGVPALIGVIASIRLPYPSRAFDMAHCSRCLIPWGQNDGIYLTEVDRVLRPGGYWILSGPPVNWQNRWKGWGRTTESLKNEQDGIERVAKSLCWKKLVQKDDLAIWQKPTNHIHCKITRKVFKNRPFCEKQDPDTAWYTKMDTCLTPLPEVNDVRDVSGGKLSNWPERLTSVPPRISSGSLKGITAETLKENTQLWKTRVAYYKTMDYQLAEKGRYRNLLDMNAHLGSFAAALVDDPVWVMNTVPVEAEINTLGVIYERGLIGTYQNWCEAMSTYPRTYDFIHGDSIFSLHQNRCNIEDILLEMDRILRPQGSVILRDDVDVLLKVKKFADAMQWDTRIADHEKGPHQREKILLAVKQFWTAQPTDKS >OIV89641 pep supercontig:LupAngTanjil_v1.0:KV862266:205077:211474:1 gene:TanjilG_12727 transcript:OIV89641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QNFYIVFLGAHPVNRDIAVDTHLNVLSSVKGSYIEASESIVYSYTRSFNAFAAKLSEDEAKKLSAKDEVLSVIQSQYRKLHTTRSWNFIGLPLTAKRRLKLESDIVVALLDTGITPDSQSFKDNGFGPPPARWKGSCGHYANFSGCNNKIIGAKYFKADGNPDTSDILSPIDVDGHGTHTASTAAGNLIQDASLFGLANGTARGAVPSARLAIYKVCWSSGGCADMDILAAFDAAIYDGVDVISISIGGGDPSYVTDSISIGAFFAMRKGIITAASAGNGGPTLATVTNTAPWIITVAASAINRAFKSTVQLGSGKNISGIGVSCFNPERKLYPLVNGIDAAKDSKTKEEASYCYEDSLDPNKVKGKLVYCKLGAWGTEAVLKEIGGIGTILENGQYPDVAQIFMAPATIVNDSIGEIVTNYIKSTRSPSAVIYKSREVKTPAPVAATFSSRGPNPGSQHILKPDVAAPGIDILASYTLRNSLTGLKGDTQFSEFTLMSGTSMACPHVAGVAAYVKSFHPQWSPAAIRRLNNEAEFAYGSGQLNPTRAISPGLVYDMDEFAYIQFLCHEGYNGSTLSVLVGSPINCSSLLPGLGYDAINYPSMQLILKSNEDTIGVFRRTVTNVGTSPTIYNAKIRSPKGVKITVKPSSLIFCRKLQKKSFTIVVKAKSMASKKIVSGSLIWKSPLYIVRSPIVIYST >OIV89648 pep supercontig:LupAngTanjil_v1.0:KV862266:794893:796287:-1 gene:TanjilG_12734 transcript:OIV89648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METKITHIAVVSIPAFSHQASIVEFCKRLVHFHHNFHVTCIFTTIDSPPQATITFLQSLPPNIDYIFLPPINKQDLPKGVPLSLQISHAVSQSLPSFHHALQSLCSSNNPLVALIADPFANETLEIAKEFNLLSYIYFPPSAMTLSLFLHLPTLHEEVSCEYKDYKEAIHIPGCLPIHGNDLPEHLQDRSSLSYELILERCKRFSLAHGFLVNSFSEIEGVPMITWPLFAEQRMNAVLLTKGLKVALRPKFNDNDIAEKKEIAEVIKELMLGEEGHGISQRIEELKYVALSALKEDGSSTRALSQFITDIENFLRHKV >OIV89642 pep supercontig:LupAngTanjil_v1.0:KV862266:451759:474857:1 gene:TanjilG_12728 transcript:OIV89642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNYGSSSSPYRYPNPYQPYPPNPYQQYPPPLPNPGPDPYAPHHYPPHPYPYPYTSSHSFNYSYPSPPRSPFPSSHSGPLDYSSHHPPQPPRLTSSPTLPPGPLDYSAYSPPPPPHASSSPDFNRPSPHLDIPRPPSPSYPYPYYVPPGTHSPPPHPSFSHTASLPYGSSHYYYQQSEAYPPPEDSSQSHSRINSCPYQLQSASFIGGGVSVSQSSGIDNSKPSYPTLDDLMSNVRLSDNQPSAPVSFSQAPVAQPFMHSISVPKLQEKRDEFYGYSNNSFSGWGSSYSGRVDSSKLSDFSDSFNGSVHSQSTQIVPLQNKGSLRVLLLHGNLDIWIYGAKNLPNMDMFHKTIGDMFGRLPGSVSNKIEGTINITSDPYVSIAISNAVIGRTYVISNSENPIWMQHFYVPVAHHAAEVHFLVKDSDVVGSQLIGIVAIPVEKIFSGEKIEGAYPLLNNNGKPCKQGASLSLSIQYIPMERLSIYHQGVGAGPDYIGVPGTYFPLRKGGTVTLYQDAHVPDGSLPNVLLENGMYYVHGKCWLDIFDAIRQARRLIYITGWSVWHKVKLVRDTAGFAPDYTLGELLKTKSQEGVRVLLLIWDDPTSRSILGYQTDGVMATHDEETRRFFKHSSVQVLLCPRIAGKRLSWIKQKEVGTIYTHHQKTVIVDADAGNNRRKIVAFVGGLDLCDGRYDTPHHSIFRTLQTLHKDDYHNPTFTGNTGGCPREPWHDLHSKIDGPAAYDVLTNFEERWLKASKPHGIKKLKISYDDALLRLERIPDIIGINDAPSVGDDNLEAWHVQIFRSIDSNSVKRFPKDPKDATSKNLVCGKNVLIDMSIHTAYVKAIRAAQHFIYIENQYFIGSSFHWSQHKDLGANNLIPMEIALKIAEKIKANERFAVYIVIPMWPEGVPTGAATQRILFWQNKTMQMMYETIYKALVEVGLEAAFSPQDYLNFFCLGNREAVDLYANAISRNSRRFMIYVHSKGMIVDDEYVILGSANINQRSMEGTRDSEIAMGAYQPNHTWERKYSHPLGQIHGYRMSLWAEHTGTIEECFLQPESLECVRRVRAIGEMNWKQFAADEISEMRGHLLKYPVEVDRRGRVRSLPGHEEFPDVGGKIVGSFLAMKENLTI >OIV89635 pep supercontig:LupAngTanjil_v1.0:KV862267:6574:8001:-1 gene:TanjilG_12879 transcript:OIV89635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAPSSREEFVYLAKLAEQAERYEEMVDFMEKVSAAAADNEELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEESRGNEDHVVVIRDYRSKIEDELSNICDGILKLLDSRLIPSAASGDSKVFYLKMKGDYHRYLAEFKTGTERKDAAENTLNAYKAAQDIANGELPPTHPIRLGLALNFSVFYYEILNSPDRACNLAKQAFDEAIAELDTLGEESYKDSTLIMQLLRDNLTLWTSDMQDDGTDEIKEAAVKRDDEQ >OIV89637 pep supercontig:LupAngTanjil_v1.0:KV862267:26689:27496:-1 gene:TanjilG_12881 transcript:OIV89637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEAADFRDWKDLLPNLQGAIFTYLSLEERLSIVPSVCKSWASTLAGPYCWQEIDLEEWCNQTEPDKIDRMLVLLITRSSGSLRKLTVSCVQSEKTFTFIAEKGCWGVNIENISLEKDFPNVKVLGPHVVDYHENNGWDDFSEPSEYLGWDFLVDEYYDDDNKEESDSDDIWDDEEGLKEIQFTFYQGIENAGMFGPPSP >OIV89636 pep supercontig:LupAngTanjil_v1.0:KV862267:10300:13205:-1 gene:TanjilG_12880 transcript:OIV89636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISTANSLIPTKLNQSSSSSFLTRPGPKPYILAVHAAEPAKNNIVSEKPLNPSFPVANRNVVLGNWAVDSWKSKKALQLPEYPSQEDLESVLRTLDEFPPIVFAGEARTLEERIGEAALGNAFLLQGGDCAESFKEFNAINIRDTFRVILQMGVVLMFGGQVPVVKVGRMAGQFAKPRSDGFEEKNGVKLPSYRGDNINGDAFDEKSRTPDPQRMIRAYCQAAATLNLLRSFATGGYAAMQRVIDWNLDFTDHSEQGDRYKELAHRVDEALGFMDAAGLKVDHPIMKTTEFWTSHECLLLPYEQSLTRLDSTSGRYYDCSAHMIWVGERTRQLDGAHVEFLRGVANPLGIKVSDKMDPNELVKLIEILNPQNKAGRITIITRMGAEKMRVKLPNLIRAVRGAGQIVTWVSDPMHGNTIKAPCGLKTRPFDAIRAEVTAFFDVHEQEGSHPGGVHLEMTGQNVTECVGGSRTVTFDDLGSRYHTHCDPRLNASQALELAFIIAERLRRTRIRSQQTLGSTGL >OIV89634 pep supercontig:LupAngTanjil_v1.0:KV862267:2689:3450:1 gene:TanjilG_12878 transcript:OIV89634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNKSHQSPTLFTTNTTSSRGASTSRNASRNNNGPNYIRQLNKHSNNISKPITKNLSISISPKNHAYSYNEMLSQLKPPLPPPVYKISKSEFKGFVQKVTGCTPKITAPPPPIRKTKPPSIRLQNIRPPPLMLMPPPLNTTIADHRNYMINFNPMNPINTINHFNPTNTNPMNPINNIDNVVAPPQTLPPLPSFSSESLGVDSPITSYLRFLNDSVLSSPIPLLSPSILFSPDVNKVGFQNLVPSPRWKDVQI >OIV89788 pep supercontig:LupAngTanjil_v1.0:KV862242:2697:10116:-1 gene:TanjilG_32142 transcript:OIV89788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMEETKELVSLDPDMLQLQEVSPFALKSSPYVAEDLFSQWLSLPDTCLLVKSLLADVKEGAPLGAHENSTITNALASNSVPSLFPAGSTPPLSPRSSSGSPRTMKHRSSPSSLSSPLKLTRDPVREIIPQFYFKNGRPPPSEIKEHFLFQIDSRFYGHLDGLQLNDFKSVTKEVCKLPSFFSTALFRKIDVNFTGTITREQFINYWIRGNMLAMDLATQIYTILKQPHQTYLAQDDFKPVLRELLSTHPGLEFLQSTPEFQERYAETVVHRIFYYMNRAGNGRLTLRELKRGNLIDAMLHVDEEEDINKVLRYFSYEHFYVIYCKFWELDTDHDFFIDKENLIKYGNHSLTYRIVDRIFSQVPRKFISKVEGKMSYEDFVHFILAEEDKSSEPSLEYWFKCIDLDGNGLLTPNEMQFFYEEQLHRMECMAQEPVLFEDILCQMVDMIGPENEGYITLRDVKGSKLSGNVFNILFNLNKFISFETRDPFLIRQEREGPNLTEWDRFAHREYIRLSMEEDGEDMSNASGDVWEESLEAPF >OIV89789 pep supercontig:LupAngTanjil_v1.0:KV862242:19290:23552:-1 gene:TanjilG_32143 transcript:OIV89789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHVIGGKFKLGRKIGAGSFGELYLGVNVQSGEEVAVKLEPTKTKHPQLHYESKLYMLLQGGTGIPHLKWFGVEGDYNVMVIDLLGPSLEDLFNYCNRKLSLKAVLMLADQLINRVEYMHSRGFLHRDIKPDNFLMGLGRKANQVYVIDYGLAKKYRDLQTHKHIPYRENKNLTGTARYASVNTHLGVEQSRRDDLESLGYVLMYFLRGSLPWQGMKGGTKKQKYDKISEKKMLTPIEVLCKSYPSEFTSYFHYCRSLRFEDKPDYSYLKRLFRDLFIREGYQFDYVFDWTILKYPQIGSSSSARLSGKSAINLGLSGERVERPSGVREVRDRLPGAIEEFARRNKPNSSGLGVHGDKSRHRSSDDVPSSKDVQADSERPHSSSRNGSASKRPVASSSRPSTSGEPNESRSSRVVSSSSRLSTTHRIQPSLESKTSFTHASGSRGGRLSTTQRIQPGLESKTSFTRASGSRGGRDDTLRSFELLSIGTGKRK >OIV89790 pep supercontig:LupAngTanjil_v1.0:KV862242:28969:48425:-1 gene:TanjilG_32144 transcript:OIV89790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELPRDSTLLGRDGGTAKVILALPSSFIAPMEPEYAPFTFWTLVASIRMVVLIDGTPTFTMAFDSGSYSYGEYTAPEASEVDKMESTGIWFSKLMSKNKETRGIPKEGSKPQTIEEAPSNVTKQKVEAAKQYIENHYKKQMKDLQERKERRNMLEKTLADAEVSEEEQNNLLNYFAKKEREYMRLQRHKIGADDFEPLTMIGKGAFGEVRICREKSTGHIYAMKTLKKSEMLRRGQVEHVKAERNLLAEVNSNCILKLYCSFQDEEYLYLIMEYLPGGDMMTLLMRKDVLTEDEARFYVGETVLALESIHKHNYIHRDIKPDNLLLDKNGHMKLSDFGLCKPLDCTILQEKDFSIGVNISGALQSDGHTVAPKRTQQEQLQHWQKNRRMLAYSTVGTPDYIAPEVLLKKGYGMECDWWSLGAVMYEMLVGYPPFYADEPMLTCRKIVNWRTHLKFPEEAKLSAEAKDLISQLLCNVDQRLGTKGPDEIKAHPWFKGIEWDKLYQMEAAFIPEVNGELDTQNFEKFEEVDKETLTPSKSGPWRKMLSSKDVNFVGYTYKNFEIVNDDGLPGFAELKKSAKTKRPSIKTIFADDSETAANQPDQGSYLEPLPTQQEVPEKSE >OIV89786 pep supercontig:LupAngTanjil_v1.0:KV862243:56433:57953:1 gene:TanjilG_32476 transcript:OIV89786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEELATKTVVVFVREFNPNKDREKVEEVEKICEVGPSGKISLFTDLHGDPICRVRNSPTFLMLVAEIDEEIVGMIRGCIKTVTCGKKQLHRKNNNDSDQTKQVPIYTKVAYILGLRVSPSHRRMGIGLKLVQTMEQWFRDNEAEYSYIATESDNVASVNLFNEKCGYSKFRTPSILVNPVFAHKAKTFSNKFTIIKLTPNDAESLYRNYFSTTEFFPRDIDSVLKNKLSIGTFVCVPRGSYNNVSWPGSDRFLLNPPSSWAVVSVWNCKDVFTLEVRGVSRVKRMFAMMTRLLDRAMPWFKLPSVPNFFKPFGLHFLYGLGGDGPESVQMVRALCGYAHNLAKESGCSVVATEVSSGEPVRSGVPHWKMLSCEEDLWCMKRLADDYSDGSVGDWTKSAPGLSIFVDPREF >OIV89787 pep supercontig:LupAngTanjil_v1.0:KV862243:72274:74989:-1 gene:TanjilG_32477 transcript:OIV89787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPLLSKSARFQKLVTQTNEENSNEVHIHDIPGGPAAFEICVKFCYGMTVTLNAYNVVAARCAAEYLEMYETVEKGNLIYKIEVFLNSSIFRSWKDSIIALQTTKSLLPWSEELKIVSHFLDSIATKASMNTSKVEWSYTYNRKKLPSENGNDPHWNGMRKPQIVPKDWWVEDLCELQLDLYERVLTAIITKGNVSGAVIGEALNAYASRRMPGFNKGIIQGGDVVKNRLFLEKISRLLPTDASSISFSFLLKLLRAAILVECEELERSELMRRIGKCLDEANVADLLICAPVGEAIFDVDTVQRLVEEFVAGWQHAQTDSLLEEELQEISSPKMVSNPSKLKVAKLVDGYLAEIARDPSLPVSKFVNLAELVSSFPRPFHDGLYRAIDMYLKEHPGISKSERKRMCRLMDCRKLSAEACMHAVQNERLPMRVVVQVLFFEQLRATPSSGGTSTPDLPGSIRALLPGGSHGSSRSTTTNAEEEWDTMGTAEHINALKGELATLKLSAGGSEGSSRNSHGGGKGNNVEKVVAANKMKSLMSKRLFSKIWSSKERSSELTSSDTSESPDSTVAEETKSTPSRSRRVSVS >OIV89781 pep supercontig:LupAngTanjil_v1.0:KV862244:112469:115186:1 gene:TanjilG_01106 transcript:OIV89781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPNPFMKLFFGDGILMAKGEKWAAHRVIANNAFKMERVKSWIPDMIDSTKIMFKKWEEKNRGIDEFEIEVNGDLHYLSADIISRVAFGSSYKEGKEIFELQEQQLHLVSLATRSVYIPGFRFLPTKKNLERKRLAKKTSELIQVLIENTHRAEKDSDNLLSLLISSHKYSTNEKQRLKLKEIIDECRNFYLAGKDTAANSLSWVLLLLGLNQEWQSKARKEVLSVIGYDTSPTAETLSDLKLMNMILQETLRLYPITGFIIVLSCGEKMLLNSIL >OIV89777 pep supercontig:LupAngTanjil_v1.0:KV862244:45860:46171:-1 gene:TanjilG_01102 transcript:OIV89777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLYWRRRGILRSGFDFDKVLIASLSDMDFGFWRWIWMSRSPSSSRTEKLVSPSEGIVQITRDQLYDFDCIHTIL >OIV89779 pep supercontig:LupAngTanjil_v1.0:KV862244:100192:103889:1 gene:TanjilG_01104 transcript:OIV89779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAALKGKYDIDNNGAAAATVAFNAGDVKLRASITDATFINGPSLTGLSLSIDKPGSFIVDYNVPKKDVRFQIMNTIRVAEKPLNLTYIHSWRDNRTILDGTLVFDPANKISGNYALDSGNGKLKYTYVHKGLTTFEPTYDVSKNSWDFAASRRVYGDDVLKASYQTSSKVLGLEWSRNSKNTGNFKILASVNLAEEQKLPKLIAETTWNLEI >OIV89782 pep supercontig:LupAngTanjil_v1.0:KV862244:117093:123417:1 gene:TanjilG_01107 transcript:OIV89782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPGCIALASSLDLVGKGRNLTVVNDLSCVFSSIRVCGYRIDSAGREFQSKTMAAPLSRAVVGVCCLDKLGLKRSHHSGNIHLSASLGNGGKVSMSLKNHQQPNRLEVYGYFIYSAAKTWRNSNPYSESGSRDFNSSSSTCFSVGPAQDVPFDTPACEEQLANSADSSAQKSPSGKSLKLISGSCYLPHPDKEETGGEDAHFICSEEQVLGVADGVGGWADLGVNSGFYSRELMSKSVDAIQEEPKGSIDPARVLEKAHSSTKARGSSTACIIALTDQGLNAINLGDSGFMVVRGGQTVFRSPVQQHDFNFTYQLECGSNGDLPSSGQVFTIPVAPGDVIIAGTDGLFDNLYNNEITAVVVQATRAGFSPQVTAQKIAALARLRALDKNRQTPFSTAAQDAGFRYYGGKLDDTTVVVSFITGSGDDA >OIV89780 pep supercontig:LupAngTanjil_v1.0:KV862244:106229:108124:1 gene:TanjilG_01105 transcript:OIV89780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILFLYSISVSSTLLEAESSSVQPKLDHDLGLSEPKTTSDLSQQLLLNKLEELVRNLSDIVARLESKLLEGEGKRSLHQEKVTRGDVDDGKGKKSSKVVEEVEFEGKISGGGRAKGMSVTKYLPLWSERFQFVSAVKLDSDVTCINVLPFKDHEGLSKYVAVSDERGRVYVFLRNGDVLVEFDTLVESPITAMTSYTSAYKNESFVVTGHGNGEILIHRVWEGSGGEDWSSLFMEKVRKFVSQEDGLSVTILEVHYVGRMKYILSADTSGKIKVFKEDGTLYGSAMPTSRPLVFLKQRLMFLTETSAGSLDLRGMKIKESECEGLNHSVARNYVFDATERSKAYGITSEGDLIHVLLLGDVMNFKCRVRYKKKFDMSEPLALQAIKGYLLMVNPEKVFVYNVSSPHYVRVGVPRLVFSSNLDELRSSFLNYPATSLDAETRVIPLIASDREKLVIIGLGCGYVGIYHSNLPVFKGEFNTMLWTSPVLFFILFLFGAWHFFAKKKEALTSWGPDDPFSSTSATVSAPLASSSGERSSFVDSSSRSAADVMDIRGGALRAPTRRYGSPTRYPGGAANSYRLGSTDHNPRPASVDPDYRATSELKYRATTMEPPGFPKRREGMFVGNEVVNDRS >OIV89784 pep supercontig:LupAngTanjil_v1.0:KV862244:145947:146756:1 gene:TanjilG_01109 transcript:OIV89784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASESSAPPPPPPTTTVVKKTNTTKDRDFLQQLETYLAKRDGVDKLLKISRYTTKLILASSLLHSNPTLSHRLKSFDTNVGVSRKAFRLGKFVQDLNALRNSLHNYSSHSHSNSPSRDFLLPLIAYGGEGVYYFVEQFVWLAKSGLIDSKHNRVLQKISAWAEFVGYFGSVAMKLRDLRGIVEEEACLNSSIEIAVTRGVGWKEEEGRLKKIREKKLMKVLSVVQDFADGVMCVDDILEGNGPFSKPIFMASSGLLSALISTHKNWLSC >OIV89785 pep supercontig:LupAngTanjil_v1.0:KV862244:150711:152618:-1 gene:TanjilG_01110 transcript:OIV89785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDGVTSAATSRRKPSWRERENNRRRERRRRAIAAKIFAGLRAQGNFNLPKHCDNNEVLKALCEEAGWKVEEDGTTYRKGCKPPLANAASTSTRNTPFSSQNPSSMSSSFPSPIPSYQVSPSSSSFPSPFRFGGDNTPSFFPYMRNDIPASLTPLRISNSAPVTPPISSPTSTNPKPIPTWESVAKQSMASFNYPYFAASAPASPTHRHLYTPSTIPECDESDTSTTESGQWLKFQAFAPSVSAMPTSPTFNLIKPVTQHSVPGNSIQEIRSSEEKFGVQVKPWVGERIHEVGLDDLELTLGSGKARG >OIV89778 pep supercontig:LupAngTanjil_v1.0:KV862244:49038:85286:1 gene:TanjilG_01103 transcript:OIV89778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRDLELLIPVSNISENGGSKTSSTSSSPIAISTNHHSGQEAFSKVIRSWASKKFMSGCVILLPIAITFYVTWGFVRFVDGFFSPIYNHLGINIFGLGFITSITFIFLVGIFMSSWLGTSVLTLGELFIKKMPLVSYIYAASKQISTAISPDQSSNAFKEVAIIKHPRVGEYALGFITSSVVLQRNRDEEELYCVYVPTNHLYLGDILLISPNDVLRPNLSVREGIDLPGSDNPIPLSPQWLLPKPGESKPGTGTVENHVNPNSPFGNRSDIVKTSGNGEDVHDVQKKKDVFRPSMLDSESGRHDRWRDEERDTKSSIRKRDDERDTKSSIRKDRWRDGGKDLGDARRVDRRTENSSTKNFGEARRGPSDRWNDSGNKDSNFDQRRESKWNTRWGPDEKEPEGLHEKWNDSGKDSDLLHDKGLPYISNHGKDEEGDHYRPWRPSFSQSRGRVEPNHPPNSTPNKQASTFSYGRGRGCGENTPPAFTIGHGRAGSGGSSMNNSTYSGTVLDKVESGHGEPCAFRYNRTKLLDVYRLTDIGTNRKLVEDFVQVPNLTQEKPLEPLALSVPNSEELSVLKGIEKAEILSSGASQVPKDGRGSTDSTHTRRMKPQDRGEDGGSYSVADDVPINRDSSFEGNTIVHPGATWRATPLGEHSSSLLHDSRDVPSDVRPRKVDKSSHQPNDPHNQQETNLAYLSDSKDVSKWQANDDPNVKRKLSGIFDSELETRRVPQTAPEELSLFYKDPKGQIQGPFKGIDIIGWFEAGYFGIDLTVRLENSAADSPWLSLGDVMPHLRAKARPPPGFSGPKLNDSVDVPGRPNSSTLGNNLHSLSEADMLRSGSRHMQSSATDSENRFLESLMSGNKGSPPLDGLTLPEGLQGFVGNNSGSVGPAGVDSGNNLLLLAKRMALERQRSLPNPYPFWPGRDAAILPPKSDIVPDASLHSKLLSSVSDNSRLPQSQTSEMLSIMQGLSDRTSAGLNNGIAGWANYPLQGGLDSLQNKVELHHDQNLPQVPFEIQQQRLHAQNQLASGLLQDPQVLNLLQQQYSLQFHSQAAAPTSQMPLLDKLLLLKQQQKQEEQQQLLRQQQQLLSQLLQDQQSNQRFGDSTYGQLQGSGIPMGNLHVDPSQLRPPPQEIFPMSSKTPIPAVHDEHSSNYLNLPPKVSQDTNFNVRSEGSVHLPHQLFENTSKNNWGSSLPKQIDVEHSQLHDKNRSEEEPHIVQKPLSVSDFTAMSVVEVPAVELPGNTFRYDGTVVAATSQPDVSYLGKDVEIKSDIVLEEHQAGRDDFIVEPSVVDARNVGASEPKKSTEKKSKKQKSSKTQSSDQANGLLKIVTLQQSEAKMPNFSDVKLGEANKDVTNLQQRSKGNQIRVPVKETADHQEPASLCANVSESIPEKVIASESTKAIGLVPKLNTDSPAGRAWKPAPGVKAKSLIEIQLEEQKKAVTEMLVSEIATSINSMNLTTPWTGVVANPEPGKISSENHRGSNIEYLIKPESSENLKSKKSPLHDLLAEEVLKKFNERESDIADDTSSQNIGVLSESLDDSNFIEAKESKRSRKKSGKSKGSGTKASVPVASNEMPIASSPVEKGKMSRSLQQEKEVLPAIPAGPSLGDFVLWKGEQESPSPSPSPAWSTVSGRVPKPTSLRDILKEQEKKASSLVPASPIPTPQKLQPAQANWSIDSSRSTSASSPSKAASPIQSNNSHASQSKYKGDDDLFWGPIEQSKPETKLSDFPQLAGQGSRRSKNVPLKGNSSGSLSRQKSVSGKPTEPSLSSSPASSQSILKSKKDVMTKHSEAMGFRDWCEDECVRLIGTKDTSFLEFCLKQSKSEAETLLTENLGSYDRDHKFIDKFLNYMEMLPSDVLEMAFKNRSDQKVSGPGVGPMLSTNAAPAAAADMQDVDNTEGSSKGGGGKKKGKKGKKVSPSVLGFNVVSNRIMMGEIQTIED >OIV89783 pep supercontig:LupAngTanjil_v1.0:KV862244:131350:137230:-1 gene:TanjilG_01108 transcript:OIV89783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESELNNLHHIPLPPKQLPNPTTRDDRPLLNSLSISTTTAAASTDELEKKFAAFVRRDAYGTMGCGELPTKEKVLLGLALVTLVPVRVVLAMTVLLFYYVICRVCTMLSVPNREEEMQEDYAHIVGWRRIVIVQCGRALARVMLFILGFYWISESYRIPNSNEKSSTQKEDKHEPEEMGRPGVIISNHVSYLDIFYHMSTSFPSFVAKKSVAKLPFIGLISKCLGCVYVQRESKSSDFKGVSAIVTERIREAHQNSSAPIMMLFPEGTTTNGEYILPFKTGGFLASAPVLPIILSFHYQRFSPAWDSISGARHAIFLLCQFVNYMEVTKLPAYYPSQQEKDDPRLYANNVRRLIASEGNLILSDVGLAEKRIYHSALNGNNSLHGVLHQKDN >OIV89776 pep supercontig:LupAngTanjil_v1.0:KV862244:44610:44768:-1 gene:TanjilG_01101 transcript:OIV89776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYSKTSKWLKLKEIVLPYMKENSLVLVIFYIPWNMLSSWSLLDSSRKARLH >OIV89775 pep supercontig:LupAngTanjil_v1.0:KV862245:14492:38444:-1 gene:TanjilG_01769 transcript:OIV89775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRGRKPSTTNIEKRVNKEFVDWFRRRKNLQWMRRNNNRTGKRELDDEDLHKGLALGLDIKFNPSPAQAEVTNNPSFQSSFDNEERKEEEPTEMWPPSKVLKILKTEDKSETFQHSQLKKTRVSVRVRCDTLTMNDGCQWRKYGQKIAKGNRCPRAYYRCTVSPT >OIV89767 pep supercontig:LupAngTanjil_v1.0:KV862246:953078:963211:-1 gene:TanjilG_02899 transcript:OIV89767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPALPIVALSLLLGAIIAFLFFKSYLGKRQSEVRSITDPDPGSISDPKKKLSSHTHSKKPHSKPHSSDKDQNKRHHPLDLNTLKGHGDAVTGICFSPDGRNLATACADGIVRVFKLEDASSKSFKFFRINLPAGGHPTAVAYSDDTSSVVVASHTLSGSTLYMYGEEKPKTSENKPQTKLPLPEIKWEKHKVHDRKAIITMFAAKASYGSADGSTIIASCSEGTDIILWHGKTGKSVGHVDTNQLKNNMAAISPNGRFIAAAAFTADVKIWEIVYAKDGSAKEVSSVMQLKGHKSAVTWLCFTPNSEQIITASKDGTLRIWNINVRYHLDEDPKTLKVFPIPLHDSAGTTLHYDRLSISPDAKMLAATHGSTLQWLCVETGKVLDTAEKAHDDDIACISWAPKAIPSGNEQVLVLASASADKKVKLWASPSIPSS >OIV89760 pep supercontig:LupAngTanjil_v1.0:KV862246:325202:326496:-1 gene:TanjilG_02892 transcript:OIV89760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHLMHLINSRSDRAEKESKMLMNFVRFSMVHKYIPCAKLFRIPTRYDQPGSPLDWEHHPRKHRRYSFEEGVFTTQERAFIESRVMFPWATCPECKCLIGRKLGGYFVMLPSILVLQGYYPTPVPEDLPAGIIPSPAFDVPLPLIIMDSPSPPLM >OIV89762 pep supercontig:LupAngTanjil_v1.0:KV862246:648275:649753:1 gene:TanjilG_02894 transcript:OIV89762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGTSNKQPQISEMFQKFALAFKTKTFEFFAEEETTAATDDDGFSLLDSAEEIIPDQKVVVIKPDPNPSHNSSPSTPSPQSPVQSNPPVQLTQIINPIQAPEPPKTTPLTASQIREITHTLISSLFATVSSFEASYFQLQSAHVPFVEEHVTSADKVLVSHLQRLSELKNFYRDFRLSPGSRPDFQIGSWLEAEVEENQSKLRTLGTISNRLQLELELKHDDVSALRKKLDEINKGNMKLSKKLCVNLDPNCDVLLTVRVFESLLQDASRATHKFTKILIGLMRKAGWDLGLAASVVHPNVEYAKKGHNQYALLSYVCLGMFQGFDSEGFGVSGGDEVKCGSLKQLLEHVSSNLMELLTLHPGCDFSKFCDRKYEQLIHPSMESSIFVDLDGKEAVLKSWRSLSMFYEAFVGMASSIWTLHKLSYAFDPPVEIFQVERGVEFSMIYMEDVTKRLTWPNKGRAKVGFTVVPGFKIRGIIIQSQVYVSDFRCTE >OIV89770 pep supercontig:LupAngTanjil_v1.0:KV862246:1190393:1190722:-1 gene:TanjilG_02902 transcript:OIV89770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYVLTWCIFITQWGGIGFLNFLILAHELKEEGNKRFQNKDYVGALQQYENALKLTPKTHLERAVFHSNRAACLMQMKPIDYETVIAESTMALQVQPQFLRALLQRARA >OIV89754 pep supercontig:LupAngTanjil_v1.0:KV862246:126494:126832:-1 gene:TanjilG_02886 transcript:OIV89754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLILFEALEVDYVLCEDSPVDTDIRATPFHDDTPIVTPLCDGTKKANEEAKKKYEKDNKTIWGYLLTHMANNLFDFFINQKSTKVIWETLVKHYNDDGVGKKKYVVGELVAF >OIV89769 pep supercontig:LupAngTanjil_v1.0:KV862246:1161308:1165515:-1 gene:TanjilG_02901 transcript:OIV89769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSNRSSQFADQETTCLLLLKELQIIWDEIGESDSQRDAMLLEIEQKCLELYKKKVDESKQYRSQISQEIADFETEIAAICMAMGEKPFPFELKSCGNLKKGRETTASQLEDMRRLKIEREKQFSEVLHQLQNISNELHGCMVVNANLDEKILSLKRLEELRSHLVKLQNEKACRQKKVSDHLNTLSSLCSILGLDVKDKICEICPTMANSSVTKDVSDHTMNNLTSEVQSLREIKIQRMQKLQSLAAELLKMWNMMETPVEEQQKFYNITCKIAAFESEFTEPKMLSIDSIIYVEREVERFQQLKSTKIEEVLLRKKLELEEICRSTHLTTQVVFSGEHPIEFIYSETVNCESPLEQIEHQITKTKEEALSRKEILEKVEKWLAVSKEESWLEEYNRDDNRYNAGRGAHLALKRAEKARVLLSKIPGMIDALILKVTAWEKERGLEFLYEGSRLLMMLEDYSMLMQEKENEKQRQRDQRRLQGQLMTEHETLFGTKSSPSKSGKKASRCSIGFPSIRKSSIGGAMLQDPRHALLQKSNKKGSIANHEGSILRNKNSCHATQLSGRKDTSKISGHSMKTSMCSAEKEIQIQTPFTRKPLSPVFPSVVSKANINSQDDHKKIQKMQQKSQMLTRIPPSKPVIVGDEENRTPKNMGLPIPTTPLTSFPMFTATTPETPTSYSSSIVAAKNAQPLEYSFEEARAGFILPKTYAN >OIV89771 pep supercontig:LupAngTanjil_v1.0:KV862246:1299956:1302449:-1 gene:TanjilG_02903 transcript:OIV89771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRIEKMRRMRRMRKRRMRMRKTMRMGMREEDEDKEEEDEDDGRMRRRMGIRMRDEDEDGEEGEEDEDEDEDEDVDEEHDRMRMKRRMTMTIG >OIV89761 pep supercontig:LupAngTanjil_v1.0:KV862246:486644:488022:-1 gene:TanjilG_02893 transcript:OIV89761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGVFNYVLQFNCSSQVPRWLEALFSEKFYNACVIHEEAKKNEKNVYCLDCCISLCPQCLSPHSSHRLLQIRRYVYNDVVRLDDAAKLIDCTSVQIDHLIRTMGSLSGYLFECNYMPLSDSGFDDGLMTPDSVLEPDGSARTSSGSGGYSGVDCRAALACTATTEIVRKKRSGGSSSRPPCFPACSPVSEISAGIMNRRKGVPQRAPLY >OIV89752 pep supercontig:LupAngTanjil_v1.0:KV862246:59064:68431:-1 gene:TanjilG_02884 transcript:OIV89752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRKEDENASKNTITDSRKMRTPDVKYVSAYFNTVGGNNVVVIPKRKSNEIEMIDTHNDMKSLNSLPSELRSDAYRRKADNNTWKPPKSLFRFEPLLQEYYVYDPWRVLVVSVLLNRTTGVQHEQELRGLEAQVCDVRQLGWPRYKHEQELRGLEAQVCDVGSKYCKMDGKLDIIQRYSTTMLGSFVEERLQAAKSCMLSRLAGCHPTRFYPDAGKLCQREALSCQELHAAEASTAHTEEEGGWLKDVLATLDAHDAMVEDKLNEGQTHDLVL >OIV89753 pep supercontig:LupAngTanjil_v1.0:KV862246:119609:119851:-1 gene:TanjilG_02885 transcript:OIV89753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQRRSGTTRTCDNKNKCENASRVLSRLEKIKIIARSRSDTAGTRDNKSKCENSGRILLELVTINVNRRTHVRYYRNSRQ >OIV89755 pep supercontig:LupAngTanjil_v1.0:KV862246:128204:128461:-1 gene:TanjilG_02887 transcript:OIV89755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWLNLALYVHTHPTTTSIHQILFSSHKQALNNKINSIESPNNNIDVVPNQPKHIRLHNLANLQEFMVSCRLRSLGCFYGFLASKI >OIV89758 pep supercontig:LupAngTanjil_v1.0:KV862246:203497:209358:-1 gene:TanjilG_02890 transcript:OIV89758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFQDFQSGSNPSPRRKQSPSQAIASGIFQTNTAVATFRRLVDSVGTVKDTTEHRQKLHNTRLRILQLVKDTSASLKSFTESDRYAHANANQKIEDAKLARDFQTTLQEFQKVQQLASERESTYTPAAPPLPSSSGAGEESVEIPRSDAPGLATLMRYVPRSSCPRLLGHDTKYLAWQEIVLLDNEVSFNEAVIDEREEGIREIEEQIGQANEIFRDLAVLVHEQGVVTDGFQSNIDASAGATTQARVQLSKASKSVKSRTSWVSHKDVEVLVGASNFSGGAGHITSCTPYLVCNSAGQTFYSFIIIPMPRLI >OIV89763 pep supercontig:LupAngTanjil_v1.0:KV862246:747799:748356:-1 gene:TanjilG_02895 transcript:OIV89763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILIDSSCRGTLYQDLCFRCLRKFSNFTIDGPQHLAHVALSVSLYKALDTRGYLLKVAKEFEAIKDNTFVYQTVLDCVKQITDSVDELSQAIKELRRLNKRNDSGISDDFLWHISNVETWVSTALTDASYCVESFPGHRMSKRTAAIKVKAKNVAEVTSNALALFHRYASRYRAAAEARNANKHN >OIV89768 pep supercontig:LupAngTanjil_v1.0:KV862246:999274:1006628:1 gene:TanjilG_02900 transcript:OIV89768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIETTIPPENFIPRIVERTEDYIRVEYESPILGLVDDVEFWFRPDRDSVVEYRSASRFGDFTSHCFRINFITML >OIV89765 pep supercontig:LupAngTanjil_v1.0:KV862246:851738:860925:-1 gene:TanjilG_02897 transcript:OIV89765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSSKLPHQFNLIRTFVNAKVKWVRDPYLDTAVLKEKDLKQVIALKNQIISSPSRSLSMYTASPLKDPLNLPNTTTKFVNNYRCVFTQFQPTSAFLPHVKLTPQALSVHNEEMSVHNSSDNRKDTVQRLTRFLMLAGMRQLPLYVIDKLKWDLGLPHDYVTTLLADYPDYFDVCGVEDPSSGKELLALELISWRKELSVSELEKSAMGLDYSGDKRRHDIVFPMFFPKGFDLQKRVSTWVENWQTLPYISPYEDAFHLDTNSDQAEKWTVAILHELLSLLVSKKTERRNLLSYGQCLGLGSRFEKALVHHPGIFYISSKLTTQTVVLREAYRKDFLVKKHPLMGMRYSPMFGKIHPSPAGTLAPEKLSSLKSNNYAGKESSGLANDIKSETIAATRIQTAFRAYKNVDTDISVTSVVKRWTYRGTKALNRTHASNSGLGLDESIAFVTVFTLYNSSLNNVNDKSSSTVVGNASYNKVDRSMAVLNVFINFIQVAMPQSKVFIVTDPVSDLSVHRNRVSLYPIQGEYSRDKLMLQRIRSYIIFLESKLQKLHQKPRNVTHYIFTDSDMAVVDDLGHIFSDHPNFDLALTFRNNKAQPLNSGFIAVRGTPDSILRAKLFLQEVLKIYSSKYLNASRMLGDQLALAWVVKSKPHFDASRFAKPQAFSEDIGNTSVLFLPCALYNWTPPEGAGQFHGMPLDVKVVHFKGSRKRLMLESWNFYSSSTDIEDMLCLILGSGRTKYDF >OIV89774 pep supercontig:LupAngTanjil_v1.0:KV862246:1443912:1445357:1 gene:TanjilG_02906 transcript:OIV89774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWSEDHFTTSKDGQIWYLSFDNDTGCGFQTKQRYRFGWFSMKLKLVGGDSAGVVTAYYMCSEKGAGPERDELDFEFLGNRTGEPYLIQTYIYTNGTGNHEMRHQLWTKLAHGLLSGKYSTLVSQNDENANVASSTVTDF >OIV89757 pep supercontig:LupAngTanjil_v1.0:KV862246:196971:197915:1 gene:TanjilG_02889 transcript:OIV89757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATILYHVVSSSALLSLGLYHLISTIRNFLKFPHTYSAKLFHPFPTSSSTTTTTHDRFRHLHLYFIIVSLLIAIIHQLIISADSDPLLKGHTPVHHLTSLQSAALLFLFLLLSISLLISDSHPSLLPLPPDLLFALTSALFFLHSSLSSSKAALQTSDLEAKCDSTAATISALTSFLCLLLACLPRLFPADVSLGAAVCLRGLWELQTGLSLYADAFIPEGCHRLLDVVNGVEGSTKCDLEESKFRAIAILDLAFLVHVMFVMIIVLVTYAFVAKSAGGVRKFGSYDALPTTSSPTDTNHHSHIQMKALTGTQA >OIV89766 pep supercontig:LupAngTanjil_v1.0:KV862246:946643:950439:1 gene:TanjilG_02898 transcript:OIV89766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTELDTLMAIKDSLDPKNHVLISWTPHVDPCSGSFEGVACNEKGLVANISLQGKGLSGRIPEAIAGLKSLTGLYLHFNALNGMLPKEISSLTELSDLYLNVNNLSGDIPREIGNMSNLQVLSVLQLCYNKFSGSVPTELGKLRKLSVLALQYNRLSSAIPASLGELGTLTRLDLSFNNLFGPIPVRLANIPKLESLDVRNNSLSGSVPQALKRLKDGFQYMNNAALCGTGFAELDSCVAATSSDPTKPEPYEPHNLPTEGFPASVEPKSRNCSEANCKKSSESSKIGMIFGVIGVVVGSTVFGFSVFLWYRRQKQKIESTAEISDGAVSIFQIKERKSACPLINLEYSNGWDPLAKGRSGYSQEVLESFMFNLEEVERATQCFSEVNLLAKGSFSAIYRGILRDGSIVVVKCIAKTRCKPDETEFLKGLKIMISLKHENLVRLRGFCCSKGRGECFLIYDFASNGSLSQYLDLKRGDYKVLDWSTRVSIINGIAKGIGYLHGNKGSKKALIHQNISAEKVLLDTRYNSILADSGLHKLLADDVVFSTLKASAAMGYLAPEYATTGRLNEKSDVYAFGVIVFQLLTGKHDISQLNLQQVETDSFKEILDENLEGKFSESEAEKLWSIALLCTHESLNLRPSMENVMLELSKE >OIV89773 pep supercontig:LupAngTanjil_v1.0:KV862246:1395475:1407162:1 gene:TanjilG_02905 transcript:OIV89773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPEADSRLSQLLVPTLEKIIKLATRQKLAKLVQECKSVVETLTSQKPQSNHEAADVSEPEASVPGPLHDGGPVERSFAESESIISLLINAAGSGFVKIAEPVVDVVQKLIAHGYLQGEVDPAGGGPEAKLLANLIESVCKCHELGDDALELLVLKTLLSAVISISLRIHGDCLLLIVRTCYDIYLGSKNSVNQTTAKASLIQMLVIVFRRMEADSSTVPIQPIVVAELMEPVEKSDVDKSMQFVQGFITKNMQDNGVLNPVTSSSKSGHDGAFQNAVVQTINPADLLDSTDKDMLDAKHWEISMYKTALEGRKGELVDGEEMVERDDDLQVQIGNNLRRDAFLVFRALCKLSMKTLPKDMSSDLQSLKGKILALELLKILLENAGAVFRNSERILENVAQPNFQQKMLVLRFVEKLCVDSQILLYIFINYDCDVNSSNIFERLVNGLLKTAQGVPPGVTTTVLPPQEATLKLEAMKCLTAVLKSIGDYMNKQLRIPDIHSARKVEVVDNGHNVGGLPMVNGKEEKLVEGSDPHSEISNEASDVSTIEQHQAYKLELQEGISLFNRKPKKGIEFLINANKVGNSPEDIAAFLKDASGLNTTLIGDYLGEGEELSLKVMHAYVDSFDFQGIEFDEAIRAFLEGFRLPGEAQKIDRIMEKFAERYSKCNPKIFSSADTAYVLAYSVILLNNDAHNPMVKKKMSTDDFIKSNRGIDDGKDLSEEYLRSLFERISRNEIKMKEVDLAPQQRQAVNSNQLLGLDNILNIVIHKCGEDSHMESSDDLVRSMQEQFKENASKSESVYYEETDTVVVRFMMEVCWAPTLAAFSVPLDQSDDKVVIALCLEGFRRAIHVTSIMSMKTQRDAFVTSLAKFTSLHFPANIKQKNIDAIKAIITIADEDGNYLQEAWEHILTCVSRFEHLHLLGEGASPRQSKLNQNDSDKAKQAKSTILPVLKKKGPGGMQHADSTVMRGSYNSAGIGSNTSGAVTSKQVKNLVSNLNMFEQVGSSEISRIFTRSHHLKSEAVIDFVKALCKVSMEELKSPSDPRVFSLTKIVEIADYNMNRIRLVWSRIWHVLSDSFVTIGCSENLSIAIFAMDSLRQLSMKFLEREELANYNFQNEFMKPFIIVMRQSSAVEIRELIVRCVSQMVLARVNNVKSGWKSMFMVLSTAASDDHKYIVLLAFEIIVKIIRDYFLYITETETTTFTDCVNCLIAFTNSRFNKGISLNAIAILRLCATKLAEGDLGSSLKTKDKETLGKNSLPSPHTGKEGKLDNGELTDKDTHIYFWFPLLAGLSKLSFDPRSEIRQNALQVLFETLRKHGHLFSLPLWERVFESVLFPIFDYVWHAIDPSGSSSQVNEVGTDAELDQDAWLYETCTLTLQLVVDIFVKFYNTVNPLLRKVLMLLVSFIKRPHQGLAGIGIAEFVRLMSNAGEQFSDEKWLEVVLSLKEAVHDTLPNFSFLDSVESVIRIHEHVSTAEDDRDTAESGLPHNRTHHLYAYLSDAKCRAAVQLLLIQGVMEVYNMYRSHLSVKTLLVLFDLLHDVAFHAHKINTNINLRSKLLEFGPMAQMQDPPLSRLENECYQICVTFLDNLVVDKPPSYDEAEVETHLVRLCHEVLEFYIEVAGSGQVSESSCGIQQHWLVPLGSGKLKELAARAPLVVATLHAICNLGDISFEKNLAHLFPLLSSMISCEHGSTEVQVALSDVLSLSVGPVLLRTC >OIV89756 pep supercontig:LupAngTanjil_v1.0:KV862246:148756:163007:1 gene:TanjilG_02888 transcript:OIV89756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALYNSAPTDSPSLALEEDHLPHNDDDYDLNDDGASLGIVSMDDEGFPSQLHCDIEDVTKYRNEERLETVSGKSGFAADFYSCGTDFSSLMLIPEDQRDKDLARDLVSSGKKLKQANLFEIWGFGRNVGVESVSTAFVESKPSQNGGCERKIVRTENRGLILRDTGKEFEKSKPSQKRKDSNCNGKTRVKRTSFTVDAFQYGYICPLEFNTEHLIDGVKVTLLEANHCPGAALIHFDLPNGQCYLHTGDFRACKQMQAHPLLVNQRVNVLYLDTTYCNPKYKFPSKEDVLNYVVKITKNHLKINPRTLVVVGAYSIGKECVYLAISKALESLKDYLKTYKEQFTAILAFRPTGWTFSEKMCTDLELIKPVSKGNITIYGVPYSEHSSFTELQDFVQFLRPEKIIPTVSVGSAANREKMQSYFRDWLKG >OIV89759 pep supercontig:LupAngTanjil_v1.0:KV862246:318338:318826:1 gene:TanjilG_02891 transcript:OIV89759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANIKNISIFLFFLSLISQGYCKPCYLSNLSVKQTKTEVQIQGKPEWLVTITNNCDCPQSQVILNCYGYKTVEPVDPNILTYSGTDYCLINVGQPILKQTVTFKYAWDNAFSMTPNSSQITC >OIV89764 pep supercontig:LupAngTanjil_v1.0:KV862246:814960:815823:-1 gene:TanjilG_02896 transcript:OIV89764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALQGLHHHHQSPCSSFHYYCPSITPSPSSPLFLSQSLSLQPSPSIRASSSPSPPPPSSDPDSKSPQIINPIPKVSTFDQNLQHPESGSLQFFNEVRSFASITAASVFLFLGFCQNRFTNMSIPTASSIVSIRALDDENINIEEFQVRNSDDVRTIVHLKLKEKVPIVHSFMKTKTDDEAWQVLKAQVSSCSVELELVKVGFEEILEKKEPGYNQAYYDCVLEYLEMIDECKSLLKGIKLAMDRCERENRDVKYHLRFFNKVAARVRVLEGDMVGALKHFQEVEKE >OIV89772 pep supercontig:LupAngTanjil_v1.0:KV862246:1330241:1333449:1 gene:TanjilG_02904 transcript:OIV89772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEETVQEEVKENNNRRFRWIEVSRNMTEAQRHAVSKLPFRMANRCKALMRQIICFSDDKGNVSDLLEAWVKIMKPIRADWLSVLKELKTMGHPFYIKVAEHALLEESFETDVRDYTKIIHYYGDHNQLEDAENLLTAMKQRGFICDQVILTAMINIYSKAGHLNQAEEYFEEIKLLGETLDKRSYGSMIMAYIRAGVPEHGENLLQEMDEREIYAGSEVYKALLRAFSKNGNAEGAQRVFDAIQLAGISPDDKICGHVIDAYGISGQSEKAFIAFQNMRGAGIEPTDKCIAFVLAAFEKESKLNKALQFLIDLERDGIMVGVEASGILARWFRKLGVVEEVEHVLRDFAGR >OIV89742 pep supercontig:LupAngTanjil_v1.0:KV862247:26168:26734:1 gene:TanjilG_03444 transcript:OIV89742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKLANMVIADDNHKGDKMEIDNINKKGVLKSSWSYKNEPKHGQSFCCHQLSVTDKKAAETTTSATRRGSRARAGKKTSSSSYNSSEFYYYSGFGPQWGKRRGSERKGEWSKNNNAAAKDNTTMMMEWDNIIAETPNSDENTNQSMVLMDNIEEELYVEDNDEGEDDAHEDDNGKKRMRKPGKARSLK >OIV89747 pep supercontig:LupAngTanjil_v1.0:KV862247:222649:224504:-1 gene:TanjilG_03449 transcript:OIV89747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKHKVETFNDELKEFHVHFHGPKDSPYQGGVWKVRVELPDEYPHNSPSIEFINKIYHPNIDDITGSVCLDVINQAWSPMFDLVNVFEMFLPQLLLYPNELDPLHPEAAALMIHDLAAYELKVKEYCERYAKPSDIGIEPEKKPTDEEITGDENDENDTNDEQEAADKPDP >OIV89751 pep supercontig:LupAngTanjil_v1.0:KV862247:384379:384646:-1 gene:TanjilG_03453 transcript:OIV89751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSGSGGGGGRNPGMGPAGRAALSPAAASPSSSSSASQLGLDSLHQHQQQIGSRQ >OIV89748 pep supercontig:LupAngTanjil_v1.0:KV862247:304208:308783:1 gene:TanjilG_03450 transcript:OIV89748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGDSNDVIVESVGGVVQASYYNIRCRFEELLCRLWGEICVRSRSLPPMLGDGSNVDLYKLFMVVKGKGGYDVVCESKLWDLVAKESGLGSSVGSSVKLVYRKYLGALDAWLKKVADSKVAECGLVDDRDKFGKQLMELQAEVKGLLLFDYADNKCVGKLKGEFDGEGKDGRNLCVKKRVQNGGNCDVEMMDGVVNEHSDGMTVTGEVDGGKLYAKLGFGVHVSDGENSTVGLVCGGKSDVEDGGNGVSSGHKRKRESMPGLLSWVTSIAKNPGHPAVGSLPDKSKWKSNSNQESWKQVLSFRGAVFYKRRFDSSIEQQNWLHFLMASAFLPFLAAMAMMDMAVNSGTTVHYCSFSEQILGAVVMLLLVALQFCIACGATCDKDNSKPIQDSANLQVRNQNQRMHPFMYDDHSGGSYNLRERLRHDKRLLLAKAKATAQNSSGSSRGSRDFDRTPSPQTRDHAEKQLVDSGIDGCPEVRTIVGPSHQAEVPEWTCITSESDSKWLGTQIWPSQKGNLRLLIERDPIGKGRQHTCGCSVPGSVECVRFHIAEKRGKVKLELGGAFYLWNFDKIGEEVKHLWTKEEEKRFKDVVGPDPLPEGYYFWDHIFRAFPKKSRADLVSYYFNVFLLQRRAYQNRHTPEDVDSDDDEAEDGLRNVFGHQTQKSRCSILTPKKSATKRKK >OIV89744 pep supercontig:LupAngTanjil_v1.0:KV862247:80070:88044:-1 gene:TanjilG_03446 transcript:OIV89744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSPAPTTNRRNGSFGHRSTPEHLGYSPTSGHNSSKVSRRNPMAATVMSVIGIFTACFTPPDTNNSKSFVDSEEFKSSSVASEASRSGSHKARGSSRGVSITLHNSIQGEPAIVKFTMEEIFQVTRNFSPSFKIGQGGFGAVYKAKLLNGTVVAVKRAKKSVNEKHLGVEFQSEVQTLSRVEHLNLVKFYGYLEQEDERIIVVEYVPNGTLREHLDCNHGSILDLASRLDIAIDVAHAITYLHMYIDRPLIHRDIKSSNILITEKLRAKVTDFGFSRQAPDIDSGMTHVSTQVKGTAGYLDPEYLRTYQLTEKSDVYSFGVLLVELVTGRRPIEPKFELKERITAKWAMQRFIEGEAISVLDPKLDQTAANSLALEKILELALQCLAPQRQNRPNMKRCAEILWTIRKHYRELSASEFRSFSTSSQRSASTKE >OIV89750 pep supercontig:LupAngTanjil_v1.0:KV862247:367913:383014:-1 gene:TanjilG_03452 transcript:OIV89750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVHMQSFQQQLLRKPEGNESFLAYQAGVQGAYGSNSFPSPSGIHLPQQPRKFIDSAQHGSNQDAQLRGQGVEQQMRNPAHQAYLQYALQAQQKSAMGIQSQQQPMMGMLNPSSLKDQEMRLGNLKMQDLMSMQAMNQAQGSSSSRNSSEHVGRGEKQIEQGQHIAHDQKSDGKPSNQGPAIGYSMPENMIKPMQAPETQQGIQNVMNTQIAMSAQLQAVQAWARERNIDLSHPANASLMAQLIPLMQSRMVPQPKANESNVGAQSSSAPVSKQQVNSPAVASENSAHANSSSDVSGQSGSSKARQTVPPSHFGSTTNAGMSSNSSDMVVQQFSVHGRESQASLKQPAVFGNGIPSMHAQQSSSNVNLNADNLFNAKTSSGPDLQQMQHSRQLNQSAPQARGPPNEGGSGNFARSQGSPAQMAQQRNGFTKQQLHVLKAQILAFRRLKKGEGTLPQELLRAIAPPPLETQMQPPNHPSGGQIQDKSTGSIVAEQPSYTESNTKDSQSIPTVNGQSSLKQQSFGRDEKSIMPSVHMQAVVPPASKESAPMLSAGKEQQKSIASSAKSDQDSEHGNNRTPVRNELEIDRGKAIAPPPSGLSEEEVRAAATCAGEEMMIRNHFMEINTPRHSSSVNKYYSLAHAVNETVIRQPSMLRAGTLRDYQLVGLQWMLSLYNNKLNGILADEMGLGKTVQVMALIAYLMEFKGNYGPHLIIVPNAVLVNWKSELYNWLPSVSCIFYVGMKDQRAKLFSQEVSAMKFNVLVTTYEFIMYDRSKLSKIDWKYIIIDEAQRMKDRDSVLARDLDKYRCQRRLLLTGTPLQNDLKELWSLLNLLLPEVFDNKKAFNDWFSKPFQKECPTQNAEDDWLETEKKVIIIHRLHQILEPFMLRRRVEDVEGSLPPKVSIVLKCKMSAVQSAIYDWIKSTGTLRLDPEDEMCRVQKNPVYQMKQYKTLNNRCMELRKTCNHPLLNYPFFSDLSKEFIVKSCGKLWILDRILVKLQRTGHRVLLFSTMTKLLDILEEYLQWRRLVFRRIDGTTSLEDRESAIVDFNSPDSDCFIFLLSIRAAGRGLNLQSADTVVIYDPDPNPKNEEQAVARAHRIGQKREVKVIYMEAVVDKISSHQKEDELRSGGSVDMEDELAGKDRYIGSIESLIRNNIQQYKIDMADEVINAGRFDQRTTHEERRLTLETLLHDEERYQENVHDVPSLQEVNRMIARSEEEVELFDQMDEELDWIEEMTRFDQVPKWLRANTREVNAAIAASSKRPSKNILSGSTVGVESKEAGSERRRGRPKAKKHPSYKEVEDDNGEFSEASSEERNGYAHEEGEVGEFEDDGYSRADGAQPIYKDQLGDDLLCDAGYEFPRSSESAKNNQMVEEAGTSGSSSDSQRLAQTVSPSVSSQKFGSLSALDARPSSASKRMSGELEEGEIAVSGDSQMDHQQSGSWIHDRDEGEDEQVLQKPKIKRKRSLRSRPRYTTERSEEKSTNEMASHLAVQAHHKYQAQLRTGLESKSFFDSNPSKHDQNPSSVKNKRTLPLRRVANTSKLHGSPKSSRLNTVSAHSEDGGEHSRERLDGKPINSSGSSAHGTKTAEIIQRKCKNVIGKLQRRIDKEGHQIVPLLTDLWKKVENSGYSGGSGNSLLDLRKIDQRIDRLEYSGATELVFDVQFMLKSAMHFYGFSHEIRSEARKVHDLFFDILKIAFPDTDFQDARIALSFSSQVPAGTVTSPRPVAGGQSKRHRMINEAETDPPYPPHKSMPRGSASSSENTRIKVHAAQKESRPGNSTREQHQQADSPKLTHPGELVICKKRRNDRDKSIVKPRTGPVSPPLIGPSIRSPGSSSAPKDARIAQQNLHSQGWVGHLSQQASGSGGGSVGWANPVKRLRTDTGKRRPSHK >OIV89743 pep supercontig:LupAngTanjil_v1.0:KV862247:44270:78773:1 gene:TanjilG_03445 transcript:OIV89743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIRKNSKLSPLLFSFSSSSQGAASFPVQTHLCQLNQSPWDVIPFHSHSIQFEGDNSFNARARGNAGDYIRVVQSVVSMGKLADMVMRDNNHKIDKMDSDDINEKEVSKSLCCEGFNAKGWSCKNEPQHRQSFYRHHLSLVRSDKKAAEAAATTTTSTTRHGSRARAGKKTSSYSYNPYEFYYYSGFGPQWGKRRGSGRKGERNKNNNVVARDNPTMMMECNNIIAETPNSDANTTKSMVLMDNNEDELDYVEDNDEEDDGANEDDNEKKKNEETCEGMVIEITDVKRKIMSTISENDGVSTRNNFYTVSSFSYPHTTLRPFDWRYIRVDIPPGFSAVSVALNSDVDVDISRIEKVPKSALPIICFRDGSPPLPDVLNISLKGSPVSGINGLDVEQCFPMQKNITMKLTNKQIFPGAWYIGLFNGIGATRTQSKMIIRGQQYSFSANVSVVACINSMMMGEFCNNTVYPLSCTTYEVYKSSAPKDTVTQPLMENAITCKSNFDTFCVEEGVINTYSLDVTNVVEELTINAVNIRFNNTPPNNASSANDFKLMCFARHGAMPSASLHDYSSDLTKGPLIIRSPLIGQLYIIVSPFNLTKEFGGTQGRDVKVCYSLESQVLQCPLGKAGPNCTMGSYTLQTVLSRGSRPFESYYLPIGEGASSANFPLEPLLNNSSNEGQTDGIWTYFTLDIPRGAAGRNIHIRLSSDVKIRYEVYARFGGLPSLDNWDYYYANKTRKSDQSMFFMLYHSSDDNVDFYIIYAREGKWGFGLRHVNTSGYSSKGQTAMSLSLEGCPKGCSSHGECRYSFDASGLTSYSFCSCDRNHGGYDCSIEIVSHKGHIVQSIFLIASNAAAILPAYWALRQKAFAEWILFTSSGTSSAIYHACDVGTWCVLSYNVLQFMDFWLSFMAVISTLVYLTTISEVHKRTIHTAVFILTALLAATKATRSSNIVLVIVFGVVGLLIGWLIEMSSKYSSLSIGFSLNFLQSSQTIKQRLRDLVKKTLRRFHWGFALAGFVTLVMAASSWVLENSQTYWFWHSFWHATIYTSSFFFLCSKVDTVPPENQPPSTDNYALTRQDSFPRSG >OIV89745 pep supercontig:LupAngTanjil_v1.0:KV862247:133459:135171:-1 gene:TanjilG_03447 transcript:OIV89745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKHKVETFNDELKVFHVHFHGPKDSPYQGGVWKVRVELPDEYPCKSPSIEFINKIYHPNIDDITGSVCLDVIDQAWSPMFDLVNVFEMFLPQLLLYPNELDPLNQEAAALMIHDLAAYELKVKEYCERYAKPSDIGIEPEKKPTDEEITGDENDENDTNDEQEAADKPDP >OIV89746 pep supercontig:LupAngTanjil_v1.0:KV862247:207428:207685:1 gene:TanjilG_03448 transcript:OIV89746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMSQSSSPSLYFRWQQRVPIPAEATNSSRLKLSRYRWFSTETTAAPRMGNLLLCFSSAVVAKASDGDGGGLEPSHFLLFSIPAT >OIV89749 pep supercontig:LupAngTanjil_v1.0:KV862247:363021:364188:1 gene:TanjilG_03451 transcript:OIV89749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGPSKRRETDVMKLLMNDYNVEMINDGMKEFHVQFHGPKDSLYQGGVWKVRVELPDEYPYKSPSIGFINKIYHPNVDEATGSVCLDVINKTWSPMFDLVNVFEMFLPQLLLYPNELDPLNPEAAAVMINDRTTYELRVKEYCERYAKPSDIGIEPEKKSTDEEVTEDENDSTNDEDVVDKPDP >OIV89732 pep supercontig:LupAngTanjil_v1.0:KV862248:36553:38253:-1 gene:TanjilG_03521 transcript:OIV89732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISNIVIGLFLITFVVTTFSSNFYQDFDITWGDGRAKILNNGELVTLSMDKASGSGFCSKNEYLFGKFDMQLKLVPGNSAGTITTYYLSSVGDTHDEIDFEFLGNLSGDPYIVHTNVYTQGKGNREQQFYLWFDPTKDFHTYSLLWNPQSIIFYVDETPIREFKNLESKGVHFPKNQAMRVISTLWDGENWATRGGLVKTDWSQAPFTASFSNYYAQACVWSSSSGSSCSSNSSENQPWLTQIIDAEGEARIQWVQKNYMIYNYCTDIKRIQQGLPPECLQY >OIV89731 pep supercontig:LupAngTanjil_v1.0:KV862248:30696:32333:1 gene:TanjilG_03520 transcript:OIV89731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKHSQLGGSLVKQLACCNKSTREKSLSILLKSWLPSQSNTLPVEDVKKLWKGLFYCVWHADKSLVQSELIDRLSSLLLILHLPFSVQYFSTFLLTMRREWSGIDGLRLDKFYLLIRRFVSKFFSLMKKNSWDLELVNCLMGVLNDNTFSAKDKLLQGNGVNYHIASVFVEELKPFLPLSLNVLEVIFRPFIDVMGKVNDRVLVWKIKSGVFDVVVKTGKRLLEVKKSGVEVDSADEVVVLGTIAIVMGFSGKFFEMGSSPDCVQGNRKVLFELHEEFLKLEKDAAASGFEFTIPDIVVDQNEEKEPILVPTANGVEVEEVEGAEVVANCTRLKKCKKAKKDSVDIAKKSKKKNKKTPAVDDNETIAREDVENSNDEQVNDEGTIQLNEDAISNLQKQFEIVATEAGLEDAVASACDAPQATTVSQKRKRTKNLKGKASPGSGSKGGDAEDSAVAKSGDKSAKKVRFAMKNNLVWKPQNPLPPQSLRLPPSATPRGSALKKGVPAGPIREMLPATKKPKLKKARKAIIGLAPAVKRLRKLKSQSS >OIV89733 pep supercontig:LupAngTanjil_v1.0:KV862248:48035:48253:-1 gene:TanjilG_03522 transcript:OIV89733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCLLLLASNTVARKNVFVSNMFDGANEKNTSMEVDSHKCNLKSELSCSENNNTNSDDKRVVPTGPNPLHNR >OIV89736 pep supercontig:LupAngTanjil_v1.0:KV862248:70704:71294:1 gene:TanjilG_03525 transcript:OIV89736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPARKLSMLAPAHENKYHNTQVADEEMESIPAKEDSMTVEYNGNSYSYSAHDLVYHTDYHGVTTHPTPKHPKP >OIV89729 pep supercontig:LupAngTanjil_v1.0:KV862248:6820:10015:1 gene:TanjilG_03518 transcript:OIV89729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLTRKPDRGNMALVHQHDRGNMALVHQHDRGTVALTHEAAHDTDRGTMTLMHKPDRGPQGLAHGSDQRNLALARIGKIIPSSESSWDHSAAAENHDNIIGSKVTVWEEDRGENLQADAEDGSMKWMPSKMRIMRKMMASDQTKGSYVAGSSMKKFEHQKQPLSPLGTDNSSNNYPNHSTNNTVRVCSDCHTTKTPLWRSGPRGPKSLCNACGIRQRKARRAMAAAAAANGTIVMAAQKSVKGKEKKKSKTECAPPKMKKKRKLQSKSSPQSRNKFTFEDLTLRLSKNVAFKQVFPQDEKEAAILLMALSYGLVHG >OIV89735 pep supercontig:LupAngTanjil_v1.0:KV862248:65234:65684:1 gene:TanjilG_03524 transcript:OIV89735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAKKQALADQLNLKARQIEVWFQNRRARTKLKQTEVDCELLKKKCQNLSDENKRLKKELHELRALEVGSSPLYIQLSKAATLTMCSSCQELFKPNEGT >OIV89739 pep supercontig:LupAngTanjil_v1.0:KV862248:81959:82702:-1 gene:TanjilG_03528 transcript:OIV89739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVLLCSINTLKRLYDISAVEVGQHFYWQIGDFQVHAQVLITSWVVIAILLVSAILVVRNPQTIPTFGQNFFEYVLEFIRDVSKTQIGEEYGPWVPFIGTLFLFIFVSNWSGALLPWKIIQLPHGELAAPTNDINTTVALALLTSAAYFYAGLSKKGLAYFGKYIQPTPILLPINILEDFTKPLSLSFRLFGNILADELVVVVLVSLVPLVVPIPVMFLGLFTSGIQALIFATLAAAYIGESMEGHH >OIV89740 pep supercontig:LupAngTanjil_v1.0:KV862248:82958:83359:-1 gene:TanjilG_03529 transcript:OIV89740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTNWYTTETRLHKLRDLRTEQKTGRINCLPKRDAAMLKRQLSHLETYLGGIKYMTGLPDIVIIVDQQEEYTALRECITLGIPTICLIDTNCDPDLADISIPANDDAIASMRLILNKLVFAICEGRSSYRRNS >OIV89738 pep supercontig:LupAngTanjil_v1.0:KV862248:77615:80814:-1 gene:TanjilG_03527 transcript:OIV89738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPLISAASVIAAGLAVGLASIGPGVGQGTAAGQAVEGIARQPEAEGKIRDEISKIIRERIEQYNTEAKIVNTGTVLQVGDGIARIYGLDEVMAGELVEFEEGTIGIALNLESNNVGVVLMGDGLMIQEGSSVKATGRIAQIPVSEAYLGRVINALAKPIDGRGEISALESRLIESPAPGIISRRSVYEPLQTGLIAIDSMIPIGRGQRELIIGDRQTGKTAVATDTILNQQGQNVICVYVAIGQKASSVAQVVAALQERGAMEYTIVVAETADSPATLQYLAPYTGAALAEYFMYRERHTLIIYDDPSKQAQAYRQMSLLLRRPPGREAYPGDVFYLHSRLLERAAKLSSQLGEGSMTALPIVETQSGDVSAYIPTNVISITDGQIFLSADLFNAGIRPAINVGISVSRVGSAAQIKAMKQVAGKLKLELAQFAELEAFAQFASDLDKATQNQLARGQRLRELLKQSQSAPLTVEEQIITIYTGTNGYLDSLEIGQVRKFLVELRAYLKTNKPQVQQIISSTKTFTGEAEALLKQALQEQIELFLLQEQVEKN >OIV89734 pep supercontig:LupAngTanjil_v1.0:KV862248:52534:56788:1 gene:TanjilG_03523 transcript:OIV89734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFPFPSSQKKRYTVPALFFFSLLILCTFIFTRSTLLQPSLSFYTDLFLSPPPLSLSSPSNHSSSETVIHNHTTNLTQQPPIQEFNSLSLNETESTLQLSDEESPKESPKESSSSSSSSDNDDNEETVVDEAPLKQLDCDLYTGTWVRDEQNYPIYRPGSCPYVDEAYDCQINGRIDDNYTKWRWKPHGCDLPRFNATDFLVKLQGKRLMLVGDSMNRNQFESILCILREGLQNKSKMYEIHGYKITKGRGYYVFNFEDYNCSVIFVRSHFLVREGVRTNAQGSSNPTLSIDRIDKTSGRWKKADILVFNTGHWWTHGKTARGVNYYKEGDHVYPKFDAVEAYRRAIKTWGKWIDNNINPKKQLVYYRGYSSAHFRGGDWDSGGSCKGETEPVFNGSILDSYPLKMEIVNEVIQGMRVPVKLLNVTRLTNFRKDGHPSVFGKNTMGGKKISTRKQDCSHWCLPGVPDAWNELIYATLVLQQTNSRS >OIV89730 pep supercontig:LupAngTanjil_v1.0:KV862248:14321:21051:-1 gene:TanjilG_03519 transcript:OIV89730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLWRKIRRRWTAFYIRIRLSKSGGVARGRCCCRGRCGCGGIYDGGNRGTNGGFMKLLEDVQMCGYKDVEVMWNMLSMRLQPDQAEAAPSTTSAKFPNRTTEKKKKKKKKQVAMAYMSMGEAHRKITEYLNRFSDAVSSQNTTSFKSLFAISSNSHFLLSLADALNLFQDYNRLIKQSNNYSHFSDIVTPLFRSLQNYRQGNLVETYHAFEKTANAFIQEFRNWESAWALEALYVIVYEIRVLAEKADKELASNGKSPEKLKGAGSLLMKVFGSLAGKGSKRVGALYVTCQLFKIYFKLGTVHLCRSVIRSIETARIFDFEEFPKRDKVTYMYYTGRLEVFNENFPAADHKLSYALKHCNPQSEANIRLILKHLIPVKLSIGILPTDRLLEKYNLLEYSNIVQALRRGDLRLLRCALQDHEDRFLRSGVYLVLEKLELQVYQRLVKKIHIIQKQRDPSKAHQVKLEVIVKALKWLEIDMDVDEVECIMAILIYKNLVKGYFAHKSKVVVLSKQDPFPKLNGKPVG >OIV89741 pep supercontig:LupAngTanjil_v1.0:KV862248:90843:91535:1 gene:TanjilG_03530 transcript:OIV89741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSIGIAYGEKNVIKNHERPQEREQEERDPRQQPRPHLQEEQEREHRREEERDREPSRGRSESEESREEEREQRREPRREREQEQQPQHGRREEEEEWQPRRQRPQSRREEREQEQGSSSSSRRQSGYERREQREEREQEQEQGSRSDSRRQRNPYYFSSERFQTLYRNRNGQIRVLERFDQRTNRLENLQNYRIVEFQSKPNTLILPKHSDADYILVVLNGNYYQGFK >OIV89737 pep supercontig:LupAngTanjil_v1.0:KV862248:74440:75051:1 gene:TanjilG_03526 transcript:OIV89737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRYRGPRFKQIRRLGVLPGLTSKRPAAIVGSELRNQSRSSKKSQYRIRLEEKQKLRFHYGLTEQQLLKYVRIAGKAKGSTGEVLLQLLEMRLDNILFRLGMVSTIPQARQLVNHRHVLVNGRMVNIPSYRCKPQDIITTKDEPKSRALIQNDLDSAPRDELPAHLTLHPFQYKGLVNQIIDSKWVGLKINELLVVEYYSRQA >OIV89723 pep supercontig:LupAngTanjil_v1.0:KV862249:4584:20382:1 gene:TanjilG_03596 transcript:OIV89723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEQDVVSWNAMLSGYAQNGYVDEAREVFDSMMEKNSISWNRRLFDQIPIRDDISWNTMISGYAQDGDLSKARRLFEESPSRDVFTWTSMVSGYVHNGMLDEAIRVFDEMPEKMSYFQNCGIDQARKLFDMMPQRDSVSLQRLHGMQRIIQLPDDFEKPEFAIVNIQRDLFYGYDTLMENVSDPSHIDFAHHKVTRRRDRAKPLTFKMGARGPWGFAGANDGNPKISAKFIAPCYSTNN >OIV89728 pep supercontig:LupAngTanjil_v1.0:KV862249:243473:275517:-1 gene:TanjilG_03601 transcript:OIV89728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEKNSISWNGLLAAYVQNGRVEEGRQLFESKLDWDLISWNCLMGGFVNQKRLARRLFEESPSRDDFTWASMVSGYVQNGMLDEARRVFDEIPEKNENGGIDHARKLFDMMPQHDSVSWAAIIDGYAQNSHYEEALNMFVEMKGDGESLNKVTLSCALSTCANIAALELGEQVHGHAVKTGYEDGCFVGNALLGMYFKLGSIGKAYDVFEGNRSERYCLLEHNTGEHNIFYSMDKDYGVTPTSKHYTCMIDLLGRVGRFEEVLNLTKNMPFEPDGAACGALLGACRIHGNNEVGEKAAEMVFKMVPHNTGLYVLLSNLYATFGRWIGVGKIRSKMKDVGVLKVPGYSWVEIQNKIHKFTVGDCFHPDKARIYAFLEELDLKMKLEGDLSEARRLFEESPSRDVFTWTSMVSGYVHNGMLDEDRRVFDDMPEKNEVSYNSMIAGYCQKGGIDPARKLFDMMPQRDYVSWAAIIAVYAHNSHYEEALNMFVEMKRDAESLNRATFSCALSTCGDIVALDLGKQVHGQAMKTGYETGCFVGNALLGMYFKCGSISEAYDVFEGIEVKDVVSWNTMLAGYARHGFCKQALTVFESMKMAGLRPDEITMDYGVMPTSKHYTCMIDLLGRVVHFEEVLNFMKNMPFEPDGAAWGALLGACQIHGNTELGEKAAEMLFKMEPHNTGMYVLT >OIV89724 pep supercontig:LupAngTanjil_v1.0:KV862249:90859:92222:1 gene:TanjilG_03597 transcript:OIV89724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKRSYKFPAATSDKPMSHSLSSSSFVSRGHECLPNPNPDSKSTPQLEQNVEPKSTKLGLNDIGEFSSSFAGAITKVMKMSQSCSLKSFGEGEGVSSTLRLENGKKVRRPPAKGHDCLPKRNPDSKSTPQLEQNVEPKSTKLGLNDDGEFSSSVAGTTTEVIKTSQSCSLKVFGEGEGVSSTLRLENGKKVRRPPTKYQSFLSVDIISDDHEQKPRRPLKAHLKPIVVPSTARSNDGGVPPPADAHELSQLPSNSVPIGSPKP >OIV89727 pep supercontig:LupAngTanjil_v1.0:KV862249:238954:239559:-1 gene:TanjilG_03600 transcript:OIV89727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSYSSSILPSSPPHRLVNAYPNVNIFNSFQNHPTSPTTLAPPPPCNDDHPSYSKVFVVQLRRPSKAHLKPIVVPSATRSNDGGVPPPADAHELAQLPSNSVPIGSPKPRGRPKKNVVASPSIAGGSAAYGGGKKLVVARKPMKKSIGKPMGHPKGILSYFF >OIV89725 pep supercontig:LupAngTanjil_v1.0:KV862249:102628:103595:1 gene:TanjilG_03598 transcript:OIV89725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSYSSSILPSSPPHRPVDAYHNLNVINSFPNHPSFPAPATLAPPPPCNDDHPSYSKGGHDCLPKRNPDSKSTPQMEQNVEAKSTKLGLNDDGEFSSSVASTTTEVMKTSQSCSLKVFGEGEGVSSTLRLENGKKVRRPPTKYQSFLSVDIISDDHEQKPRRPLKAHLKPIVVPSTARSNDGGVPPPADAHELSQLPSNSVPIGSPKP >OIV89726 pep supercontig:LupAngTanjil_v1.0:KV862249:181270:225292:1 gene:TanjilG_03599 transcript:OIV89726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKRTSMPVTACWGAGGESHGIPSYTSQVISQILSRLFHARGRGPVGPVPNPSPEQHAATRSRRESSSSSPPTARESSSSSPPTADGFGTGTPVPSPQSQSFSRGYGSILPTSLAYIVPSTRGCSPWRPDAVMSTTGRGRHSVLRIFKGRRGRTGHHATCGALPAAGPYLRLSRFQGGQAICTDDRSARAHAPGFAATAAPSYSSGPGPCPDGRASAQLGTVTPLPVHPALQVLLTKNRPLGALDSMAWLNKASTSSYLFKVCSHSNTSQKIRVGRRCNPQGDPTNQLPCTLRADARSTQVPKKTKRRALPTTIAMMTSPRACQQPGLGPPSQFASVHAPSRLADRLSPFHIRPRHIAGPHPLPSRQFQALFDSLFKVLFIFPSRYLFAIGLSPVFSLGRNLPPDWGCIPKQPDSPTAPRGATGSGHDGALTLSGAPFQGTWARSAAEDASPDYNSDTEGDRFSWRPTDPHGSKSRKAGGGDTHDRSRALAQPPSITAPSTADSVFNQPRALGLMASGATCVQRLDGSRDSAIHTKYRISLRSSSMQEPRYPLPRVFRISVSQRRPHEHRLRADGGELNDFNFLGAFRAGVLLLGQEDTAEGSPTETLLRLLLPLNDKVQWTSHNVAGSEPPTSPQSEHFTGPFNRQIAPPTKNGHAPPPIESRKSSQSVNPYYVWTCNSAGGTTRPVKARSASPAEGTSRPVHTSGGPIDPTQAVSQAPSPESNPNSPSPVTTMRHIRPHLDFNRAKQPTTHLRMIGLAPLRVAAMPLKASPSTTSFRAGPITLEASERTPLPNLLPNPSPSHKTGTSTSAGYVQLDAHIFPNDQPAPGHGRPGFDMEDDSVKLPTTPWKNAPACLGQVAGALGGAPWHATHPDPPRNAWNIIPKHFPKLRPCNIEASTSKDFPPKPQKDGGPATLEASARPTDARNYPTRHRVTKPAPRQEGLTHQEIRVGPRGTVEALDASPTSPTCPDDTKPKHQPAPGRVRPGFGMEDGSVELPTTPWKNAPACLGQLAGALGGSPMACHPDPPRPTRPQKDGGPATLEASARPTDARNYPTRHRVTKPAPRQEGLTHQEIRVGPRGTVEALDASPTSPTCPDDTKPKHQPAPGRVRPGFGMEDGSVELPTTPWKNAPACLGQLAGALGGSPMACHPDPPSVLAERGLCTYSPHRPYEVRVGNPRMVYDLARKKRFKASREARPPRGGHQRHVPLGGRGPLLLVGKRATGTRIASSPDSDLEAFSHNPTHVLSRLFDARGRGPEGPVPNPSPDRHAATRSRRESSSSSPPTADGFGTGTPVPSPQSQSFSRGYGSILPTSLAYIVPSTRGCSPWRPDAVMSTTGRGRHSVLRIFKGRRGRTGHHATCGALPAAGPYLRLSRFQGGQAICTDDRSARAHAPGFAATAAPSYSSGPGPCPDGRVSAQLGTVTQLPVHPASPVLLTKNGPLGALDSVAWLNKAATPSYLFKSFAPIPKSDERFARQYRCGPPPEFPLASPRSGIVHHLSGPDRYALTRTLHRRSGSVGGATHKGIPPISFLAPYGFTCPLTRTHVRLLGPCFKTGRMGSPQADARSTQVPKHTKRRALPTTIAMMTSPRACQQPGLGPPSQFASVHAPSRLADRLSPFHIRPRHIAGPHPLPSRQFQALFDSLFKVLFIFPSRYLFAIGLSPVFSLGRNLPPDWGCIPKQPDSPTAPRGATGSGHDGALTLSGAPFQGTWARSAAEDASPDYNSDTEGDRFSWWAFPGSLAVTKGILHVAKARGNDVQHPGRRALGLMASGATCVQRLDGSRDSAIHTKYRISLRSSSMQEPRYPLLRVFRISVSERRPHEHRLRADGGELNDFNFLGAFRAGVLLLGQEDTAEVLSRLFDARGRGPEGPVPNPSPDRHAATRSRRESSSSSPPTADGFGTGTPVPSPQSQSFSRGYGSILPTSLAYIVPSTRGCSPWRPDAVMSTTGRGRHSVLRIFKGRRGRTGHHATCGALPAAGPYLRLSRFQGGQAICTDDRSARAHAPGFAATAAPSYSSGPGPCPDGRVSAQLGTVTQLPVHPASPVLLTKNGPLGALDSVAWLNKAATPSYLFKSFAPIPKSDERFARQYRCGPPPEFPLASPRSGIVHHLSGPDRYALTRTLHRRSGSVGGATHKGIPPISFLAPYGFTCPLTRTHVRLLGPCFKTGRMGSPQADARSTQVPKHTKRRALPTTIAMMTSPRACQQPGLGPPSQFASVHAPSRLADRLSPFHIRPRHIAGPHPLPSRQFQALFDSLFKVLFIFPSRYLFAIGLSPVFSLGRNLPPDWGCIPKQPDSPTAPRGATGSGHDGALTLSGAPFQGTWARSAAEDASPDYNSDTEGDRFSWRPTDPHGSKSRKAGGGDTHDRSRALAQPPSITAPSTADSVFNQPRALGLMASGATCVQRLDGSRDSAIHTKYRISLRSSSMQEPRYPLPRVFRISVSQRRPHEHRLRADGGELNDFNFLGAFRAGVLLLGQEDTAEGSPTETLLRLLLPLNDKVQWTSHNVAGSEPPTSPQSEHFTGPFNRQIAPPTKNGHAPPPIESRKSSQSVNPYYVWTCGVLKATSADPWSASFMVETRTLFVFHKSKNFTSDYEIRMPPTVPVNHYSDPEGQHNRIRILCAGGTTRPVKARSASPAEGTSRPVHTNGGPIDPTQAVSQAPSPESNPNSPSPVTTMCCHRKRLSKTDTTAKCYSREPINRRDSTGQTHQPAFAACTASKGTLDTCDNASHHNSQLTLHTHHFRILQRPQEGAWMERPTTHFRMIALEPLRTSPSTTSFRAGPVTVEASERPWDAATTDSAPWDQSSASTANTFPRDKPNRGTLLLASQRDAPRLQARTRAHDTLPDDWTCAPSCCGYAPEGFTKHHILPCRTGRTRSLGTSVGCRYYRLRTVGPIQRLNSQRISEGQAYRVTLLLASQTTAPRLQARERAHDTLPDDWTCAPSCCGYAPEGFTKHHILPCRTGQNQKPRNARHYPTRHRVTKPEPRQPAPGHVRPGFGMEDDSVELPTTPWKNAPACLGQVAGALGGSPMACHPDPPRPQKDGGPATLEASARPTDARNYPTRHRVTKPAPRQEGLTHQEIRVGPRGTVEALDASPTSPTCPDDTKPKHQPAPGRVRPGFGMEDGSVELPTTPWKNAPACLGQLAGALGGSPMACHPDPPRNAWNIIPKHFPKLRPRNIEASPSNDFPPKCGTRPVLSRLFDARGRGPEGPVPNPSPDRHAATRSRRESSSSSPPTADGFGTGTPVPSPQSQSFSRGYGSILPTSLAYIVPSTRGCSPWRPDAVMSTTGRGRHSVLRIFKGRRGRTGHHATCGALPAAGPYLRLSRFQGGQAICTDDRSARAHAPGFAATAAPSYSSGPGPCPDGRVSAQLGTVTQLPVHPASPVLLTKNGPLGALDSVAWLNKAATPSYLFKSFAPIPKSDERFARQYRCGPPPEFPLASPRSGIVHHLSGPDRYALTRTLHRRSGSVGGATHKGIPPISFLAPYGFTCPLTRTHVRLLGPCFKTGRMGSPQADARSTQVPKHTKRRALPTTIAMMTSPRACQQPGLGPPSQFASVHAPSRLADRLSPFHIRPRHIAGPHPLPSRQFQALFDSLFKVLFIFPSRYLFAIGLSPVFSLGRNLPPDWGCIPKQPDSPTAPRGATGSGHDGALTLSGAPFQGTWARSAAEDASPDYNSDTEGDRFSWRPTDPHGSKSRKAGGGDTHDRSRALAQPPSITAPSTADSVFNQPRALGLMASGATCVQRLDGSRDSAIHTKYRISLRSSSMQEPRYPLPRVFRISVSQRRPHEHRLRADGGELNDFNFLGAFRAGVLLLGQEDTAEGSPTETLLRLLLPLNDKVQWTSHNVAGSEPPTSPQSEHFTGPFNRQIAPPTKNGHAPPPIESRKSSQSVNPYYVWTCGVLKATSADPWSASFMVETRTLFVFHKSKNFTSDYEIRMPPTVPVNHYSDPEGQHNRIRILCAGGTTRPVKARSASPAEGTSRPVHTNGGPIDPTQAVSQAPSPESNPNSPSPVTTMCCHRKRLSKTDTTAKCYSREPINRRDSTGQTHQPAFAACTASKGTLDTCDNASHHNSQLTLHTHHFRILQRPQEGAWMERPTTHFRMIALEPLRTSPSTTSFRAGPVTVEASERPWDAATTDSAPWDQSSASTANTFPRDKPNRGTLLLASQRDAPRLQARTRAHDTLPDDWTCAPSCCGYAPEGFTKHHILPCRTGRTRSLGTSVGCRYYRLRTVGPIQRLNSQRISEGQAYRVTLLLASQTTAPRLQARERAHDTLPDDWTCAPSCCGYAPEGFTKHHILPCRTGQNQKPRNARHYPTRHRVTKPEPRQPAPGHVRPGFGMEDDSVELPTTPWKNAPACLGQVAGALGGSPMACHPDPPRPQKDGGPATLEASARPTDARNYPTRHRVTKPAPRQEGLTHQEIRVGPRGTVEALDASPTSPTCPDDTKPKHQPAPGRVRPGFGMEDGSVELPTTPWKNAPACLGQLAGALGGSPMACHPDPPRNAWNIIPKHFPKLRPRNIEASPSNDFPPKCGTRPVLSRLFDARGRGPEGPVPNPSPDRHAATRSRRESSSSSPPTADGFGTGTPVPSPQSQSFSRGYGSILPTSLAYIVPSTRGCSPWRPDAVMSTTGRGRHSVLRIFKGRRGRTGHHATCGALPAAGPYLRLSRFQGGQAICTDDRSARAHAPGFAATAAPSYSSGPGPCPDGRVSAQLGTVTQLPVHPASPVLLTKNGPLGALDSVAWLNKAATPSYLFKSFAPIPKSDERFARQYRCGPPPEFPLASPRSGIVHHLSGPDRYALTRTLHRRSGSVGGATHKGIPPISFLAPYGFTCPLTRTHVRLLGPCFKTGRMGSPQADARSTQVPKHTKRRALPTTIAMMTSPRACQQPGLGPPSQFASVHAPSRLADRLSPFHIRPRHIAGPHPLPSRQFQALFDSLFKVLFIFPSRYLFAIGLSPVFSLGRNLPPDWGCIPKQPDSPTAPRGATGSGHDGALTLSGAPFQGTWARSAAEDASPDYNSDTEGDRFSWRPTDPHGSKSRKAGGGDTHDRSRALAQPPSITAPSTADSVFNQPRALGLMASGATCVQRLDGSRDSAIHTKYRISLRSSSMQEPRYPLPRVFRISVSQRRPHEHRLRADGGELNDFNFLGAFRAGVLLLGQEDTAEGSPTETLLRLLLPLNDKVQWTSHNVAGSEPPTSPQSEHFTGPFNRQIAPPTKNGHAPPPIESRKSSQSVNPYYVWTCGVLKATSADPWSASFMVETRTLFVFHKSKNFTSDYEIRMPPTVPVNHYSDPEGQHNRIRILCAGGTTRPVKARSASPAEGTSRPVHTNGGPIDPTQAVSQAPSPESNPNSPSPVTTMPAPSHVRPGFGMEDDSVELPTTPWKNAPACLGQVAGALGGSPMACHPDPPRPQKDGGPATLEASARPTDARNYPTRHRVTKPAPRQEGLTHQEIRVGPRGTVEALDASPTSPTCPDDTKPKHQPAPGRVRPGFGMEDGSVELPTTPWKNAPACLGQVAGALGGSPMACHPDPPRPQKDGGPATLEASARPTDARNYPTRHCVTKPAPRQEGLMHKEIRVGPRGTIEALEASPWHAILTHQGAWAGRQLPPYSRLMCKNPLCTTGHPFLWGDILGFFRLEVEFCPDFLHTNAWNIIPKHFPKLRPRNIEASPSNDFPPKCGTRPGAMMGNIA >OIV89721 pep supercontig:LupAngTanjil_v1.0:KV862250:323780:324539:-1 gene:TanjilG_03819 transcript:OIV89721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPSVQDHQVKEDVVVGLWNKDEEFDMEKGVVESRMMLDVEDYPRTRPNPAHDPKSPGKP >OIV89720 pep supercontig:LupAngTanjil_v1.0:KV862250:267297:271506:-1 gene:TanjilG_03818 transcript:OIV89720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNGLCPSVKNILLLDSEGKRVAVRYYSDDWPTNNSKLAFEKFVFGKTAKTNARAEADITLLENNIIIYKFVQDLHFFVTGGDDENELILASVLQGFFDAVTLLLRNNVDKREALENLDLILLCLDEIVDGGMILETNGPLIAEKVTSHSLDADAPLSEQTLTQAWATAREHLTRTLLK >OIV89722 pep supercontig:LupAngTanjil_v1.0:KV862250:336026:337081:-1 gene:TanjilG_03820 transcript:OIV89722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKALIRLLVILIGLSSIVYAGAVPATRSSMIRKMVPSVSYHQVKEDVVVGLWNKDEEFDMEKGVVESRMMLDVEDYPRTRPNPAHDPKSPGKP >OIV89714 pep supercontig:LupAngTanjil_v1.0:KV862250:58341:64892:-1 gene:TanjilG_03812 transcript:OIV89714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDKEYFPFLFSVLVSASPVLVCTAVLLGTLLSFGQPNLPEFEKEEKVNHDISSFQTGFSVGDTVVVDRDQSYFVKGYSEYRSDVEESGVEEASLAGERDNRGEEDCGLLSDVPLDDENPEVVQPEKPLREEVEREFHSFELGEKSGEVNEENLRSEGVSSDEEAIEKQYVLVEKVNDYILDHDIENEKTPGGPVDFSPRSSWKHVENSDDDDDDSVESGSDQAESSSPDASMADIMPMLDELHPLLDLDAPRPAHISRDGSDAASEKSQKSNDDSVESDEEDAENHDEVEEDGVDERDDEEETEGGKEDESKSAVKWTEDDQRNLMDLGSLELERNQRLENLIARRRARRLMAEKNLIDFDSADIPINVAPITTRRNPFDFPDEFYANMGLPPIPGSAPSILQPRRNPFDIPYDPSEEKPDLKGDSFQQEFTMFTHKDKDTFFRRHESFSMGSSVLGLSKHERHDINWKPVFITERMASEGTSYGSFQRQSSEVSDSKMSSVPDTESVSSIDHDERKFSEQDLSQEAELMSNIDHASDGAEHGSQSSGENDSVEMIEMEESDVHPDEVEIVLGGVENPTEMEFYPETEVVGIHEQFNARETYLRREPSDEDNSSRSSRSSLSEVIDNIPDETTDKTYILKHEDDEVSGEVEESRISTQGSVEESIFQQVSGEVEGIQHVEPVYDSSPPASGKLQSFASVSADLAMEFSERSLPPVSVEMADDVADEESELHDERPEGNNSGREETQGASSQLHMEVKNELRSEKSEDINQHNVPGDESYAVDTNLVDQNGSIVSEGLSSDIELVEGVTNSGSVLKQDLTDHISADSEIILQQNVDSPSEKSILPGDETVEEGAVLNGLSRYHSANMSEFVQDADEILDSVVSDVHHRSTSHSSLPEASVVHSNLPSEKNEHEEIISPDKEDINQIEQDKIALSSSVEQGNPDIYQDLDRNTVSFTSDSQQEVDVDSSSNSENHQSDSDKLVVEPSSSDHDESQNSDIVRVDSAQDVVTSDDGAGELHDAIDKAPLSISSVTSETSDTPEFNPQEVDLVVDRHQETESVYEEVEHLDHSAGDYMSHVTEENKEFDDIKEIDEEFLSELDIVGDFSVRDASVSLHTDIVDEKTVDAQGSSLSNDVKIAEVEQDIPVLEARSLEDINLAFKQLQEGIDVKEVLLPSTVKDQLVSEESKDHPEVNSDLQVIEARSAEDTNIAFNQSTEGNHGELPKPLDLNGESDKIEENDVGSTKVIENIEAATSADELNRVPSDKPENAPLSKSGDEGKISM >OIV89718 pep supercontig:LupAngTanjil_v1.0:KV862250:150577:151545:1 gene:TanjilG_03816 transcript:OIV89718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPMQKSINTKANSKPPRRTRNKKKRDPGVDVQVAETPSDFSFTIAKTAVAQICQSVGYKRSEYNALESLTNVATKYLQSIARSAATYANDSNRTDTNLFDLINGIQDLCSIQGFSGGSVMHKSSLLSSGALKEIVDFVNTSNEVPFAKPIQHKNVSGNPNPEAAIDSGILTSASQEPKVQGFHIPRWLPDFPDKFLYKNCGKVLVKERKCGEKLWEHSLDVCSGNVEGNRDILQINEIDGKEEKDAKMELTKKRSIVKFKIGEKEEKQIKMGVNMLNGVFKGRKRVSWTNNIIDDSMSQVNKDAKSCLKRKTEDGFYEDFN >OIV89709 pep supercontig:LupAngTanjil_v1.0:KV862250:12794:15898:1 gene:TanjilG_03807 transcript:OIV89709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKILVTGASGYLGGRLCNSLLRQGYSVRVLIRPTSNLSDLPPPSSSASLEIVYGDVTDYASLLSAFSGCSVVFHVAALVEPWLPDPSRFFSVNVGGLKNVLAAVKETKTVEKLIYTSSFFALGPTDDGGVADENQVHHEKFFCTEYEKSKVAADKIALQAAANGFSIVLLYPGVIYGPGKVTAGNVVARIIIERFSGRLPGYIGSGNDRFSFSHVDDVVDGHIAAMKKGQIGNRYLLTGENASFKQVFDMAASITDTTKPIFSIPLWMIEAYGWLSVLFARITGKLPLISPPTVNTIRHRWEYSCEKAKQELDYSPRNLKDGLAEVLLWLKNLGLIRY >OIV89716 pep supercontig:LupAngTanjil_v1.0:KV862250:135969:138716:1 gene:TanjilG_03814 transcript:OIV89716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTTEAETPIIPLLTPYKLGKFNLSHRIVLAPLTRQRSYNNVPQPHAILYYTQRTSKGGLVITEATGVSDTAQGMVHTPGIWTKEQVEAWKPIVDAVHAKGGIFFCQLWHVGRVSKSEFQPNGQAPISSTNKSLKPQFRSNGIDISQYTPPRRLRTDEIPHIVNDFRLAAKNAIEAGFDGIEIHGAHGYLHEQFMKDAVNDRTDEYGGSIENRCRFTLEVVEAIANEIGPEKVGIRLSPFAEFMESGDSNPKALGLYMANALNKYGILYCHMVEPRMKNVLQIDDQCPHSLVPMRKAFNGTFIAAGGYDREDGNKVVEENRADLVAYGRLFLANPDLPKRYEIDAPLNKYIRETFYTPDPVVGYTDYPFLE >OIV89715 pep supercontig:LupAngTanjil_v1.0:KV862250:87995:95818:1 gene:TanjilG_03813 transcript:OIV89715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHTPGIWTKEQVEAWKPIVDAVHAKGEFQPNGQAPISSTNKSLTPQYQSNGIDESQYTPPRRLRIDEIPHIVNDFRLAAKNAIEAGFDGIEIHGAYGYLHEQFMKDAVNDRADEYGGSIENRCRFTLEVVEAIANEIGPERVGIRLSPYAEFMESGDTNPKALGLYMANALNKYGILYCHMVEPRMKNVLQIDDQCPHSLVPMRKAFNGTFITNGGFDQEEGNKVVDENRADLVAYGRLFLANPDLPKRYAIEAPLIKYNRETFYTPDPVVGYIDYPFLE >OIV89712 pep supercontig:LupAngTanjil_v1.0:KV862250:24112:27861:1 gene:TanjilG_03810 transcript:OIV89712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSLCPKTFIYPTSSFSHHAPLLFSFHFFTNPFTFHPRISALKASASDGDNVLGKPLIHQGKEFTTILDEQGDNDIIAMKKTKAYAYEEVEEDDDDDDSVEEEKWVDWEDQILEDTVPLVGFVRMILHSGKYESGERLTPEHEKVILEKLLPFHPEHAKKIGCGIDYITIGYHPNFDRSRCLFIVRKDGELVDFSFWKCIKGLIRMNYPLYADSFILRHFRKRSPSL >OIV89711 pep supercontig:LupAngTanjil_v1.0:KV862250:21726:22643:1 gene:TanjilG_03809 transcript:OIV89711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAHHHHQKKKENNVDKEISERAQLKQEKEEQALPKSPSSSSPSHEFSFTNSLHSSSTTIHANSKASLPSLALDLSPADDIFFHGHLLPLHLLSHLPSSPRFSTNSFHSLLEDENHNKDNGCSSSNRNNITIDNIDNNSSNSNSNNNNIGKCNIIRTKEESKSKPTFSLFGLVRGHKGCQVRDKEDKEKQKKKLGFEVIHALKRYLQIVQPLVLFRGRREKVGLQKQSYSSHSGNLIRRNKPELIGRRGGYSAPASMRTSQTNSSLLLATTTLPSDNDSTMEELQSAIQAAIAHCKNSVAREHKC >OIV89710 pep supercontig:LupAngTanjil_v1.0:KV862250:17194:19030:1 gene:TanjilG_03808 transcript:OIV89710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAAYEESRRKRMEENKKRMEALNLHHLSKSLHKSTSPKPSPSKPRTPNKDKELVLVRRSGRVANLPSPLYKQVLLDRVTIPRRSYNRHRDYSNRIYASDEDREEAMEKAQKIESDLDSQYPTFIKSMLQSHVSGGFWLGLPVDFSRRNLPKRDETIDLIDEDGNEYPTVYLSQKRGLSGGWRGFAISHDLADGDALVFQLINRTAFKVYIVRVNGLAEEGEQHE >OIV89708 pep supercontig:LupAngTanjil_v1.0:KV862250:1221:2742:-1 gene:TanjilG_03806 transcript:OIV89708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSTNPEISIEVFPYLKVYKDGTIERIAGTQVVPPGLDSETNVISKDILIIPQTGVTARLYHPNFTTKTHQNHNLPLLVYFHGGAFCISSAFDPLYHTSLNNLVAESNVVAVSVNYRLAPEYPLPVAYHDSWDALKWVFSHVVEDCEDHESWLKDNVDFGRVFLAGDSAGANIVHYMAIKFHAMDTSPSPIKDFKVTGLMMVNPYFWGKEPIGVEISDELRKNMVDNWWGFVCPSDKGNDDPLINPFVEEAPGIEGVGCNKVLVTVTENDILRERGKLYHKKLVNSGWKGIAEFYETEGEDHDFHIFNPTCDKAKSLIKRLATFINEH >OIV89713 pep supercontig:LupAngTanjil_v1.0:KV862250:31918:33051:-1 gene:TanjilG_03811 transcript:OIV89713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMMMMEFPEFSEVYGQNDTVAVFAPPSELRGGAANSIRNNMSLADLINNPPMPWSSFTNSPSTAISFTNNPTQVLQQQEQTPPAMLYMSETANPYEKRKSMAAMREMIFRIASMQPIHIDPESVKPRKRKNVKISKDPQSVAARHRRERISEKIRILQRLVPGGTKMDTASMLDEAIHYVKFLKSQVQSLQRVSVTSNTRPVHATMNPLPKPYQSGNVEYYGDYA >OIV89717 pep supercontig:LupAngTanjil_v1.0:KV862250:144262:144504:1 gene:TanjilG_03815 transcript:OIV89717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMRKAFNGTFIAAGGYDREDGNKVVEENRADLVAYGRLFLANPDLPKRYEIDAPLNKYIRETFYTPDPVVGYTDYPFLE >OIV89719 pep supercontig:LupAngTanjil_v1.0:KV862250:202777:206209:-1 gene:TanjilG_03817 transcript:OIV89719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLSGAPADVSGLPNVAVSRHVPVSATLGTVNQLPVDPASPVLLTKNGPLGVLDSVAWLNKAATPSYLFKNSPAGSSYPEGNFGGNQLLDGSISLSPLYPSQANDLHVCSHSNPSQKIRVDRRCNPQGDPTNPLPCALRVYLPADSHTCETPWSVFQDGPNGEPAGRRQEHAGAEAHQTVRASNHNRDDDVSTSMSIDRVWATISIRVGLSTSFSLGRNLPPDWGCIPKQPDSPTAPRGATGSGHDEALTLSRTAFQGTWARSTAEDASLDYNSDTEGDQFSWWAFPGSIAVTKGILEANIPHGSKSRKARHARSVSSFSSATIHHGALHRRLSFQPTVIHCSQEANIRPAWFLARGQGTGQRCVTPRQACPQPNGFGATCVQRLDGSRDSAIHTKYRISLRSSSMQEPRYPLPRVFRISVSQRRPHEHRLRADGGELNDFNFLGAFRAGVLLLGQEDTTEGSPTETLLRLLLPLNDKVQWTSHNVAGSEPPTSPQSEHFTGPFNRQIAPPTKNGHVPPPIESRKSSQSVNPYYVWTCGVLKATFVDPWSTLFMVETWTFFVFHKSKNFTSDYEIRMPPTVPVNHYSDPEGQHNMITVTTPEARPNQLRPGVHTHRRQKGRADRCTPMADRSTQPKVQLRAF >OIV89692 pep supercontig:LupAngTanjil_v1.0:KV862254:136538:158487:1 gene:TanjilG_07168 transcript:OIV89692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LPNCVVSDVFFTCTTDSTAKFDIPRIVFNWVSFFSMCVAGCVRLYKSYENVSSNSESFVIPNLPGEIKMTRTQVADYLKIGNWLERLTKFREAEEKNYEVVFTNFYELEKDYADYFRKEYKKYRGKEASIDEHQWQNWLDTKEANLVVYVFFGSAVNFPDSHLREIALGLEASGKPFIWVVKKSKKDGEEWLAEGFKRRIEGN >OIV89691 pep supercontig:LupAngTanjil_v1.0:KV862254:94254:94550:1 gene:TanjilG_07167 transcript:OIV89691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVSKQFSSFPHVTLDTTPKICNGFLGKCLHEDEISMESTISRRNVEERRYISYDALKKDKPPCNRRGQSYYGCGRSGQANPYRRGCSVITHCARDTS >OIV89690 pep supercontig:LupAngTanjil_v1.0:KV862254:67137:71845:-1 gene:TanjilG_07166 transcript:OIV89690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSQKRSFPFSLDDGDTFSHLSNHHLQQQQQQPMQHKDEDEENEEVDEELEEAEEQGDAEEEEQQQQQGDEEKEEEQQQDDAKEEEGDAEEEEQDDEDEEEGQHQNNNNDEKPHDSDETPSSESDDKSEFIYIELQEVRKDVQCPICLGIIKKTRTVMECLHRFCRECIDKSMRLGNNECPACRTHCASRRSLRDDLNYDALIASLYPDIEKYEEEELEFREEDKSRNKQIQASIAKVVQRQSESAGKRRKDTPGASVPRSQCNQRNVHSRRQNQVIDIQGSDDNAEENDNNEKNSSSDDEQCTEARQRKRKRRTRVRPSQPSSSRASPDGGCIESDMDISREYQGTSRQVTIPHKLTWGRGGLRSHTRYGSGGGSNSRSSRCGRLSKLVDHLRNLDENTDEFEIHLMLVSLDKQSTPILKQPHLCCQPTLSVKHLCEYVACQTPLAVEEVEIFAVKGLGSTKCEKSADDTSALICDELTAIRIDPSNDELEILQEHESLAGITSKCISKMEHLILAYRRKEAL >OIV89693 pep supercontig:LupAngTanjil_v1.0:KV862254:183260:183412:-1 gene:TanjilG_07169 transcript:OIV89693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHYMNYLWEYGVTPNKKRMDVSKNNNNKETKLDLTESRACNGHLTVQGG >OIV89694 pep supercontig:LupAngTanjil_v1.0:KV862254:247812:249249:1 gene:TanjilG_07170 transcript:OIV89694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAETTAHSPIHILFFPFMGHGHMIPMVDMAKLFASKGVKTTILTTPLNAPFIFKTIEKSKTYSNINMQTIKFHSIEAGLPNGCEIFDSIPSPEFIPLFFKGTELLQEGFEQQLSLQRPNSIVSDTFFTRTNDSSAKFNIPRIVFYGASGQPFIWVVKKSKKDGEEWLPGGFEKRIEGKGLIIRGWAPQVSILEHEAIGAFMTHCGWNSTLEGVVAGVPFITWPVSAEQFYNERLVVDVLKTGVPVGVKRWCLFGDMDDSIKWDTIEKTVRNILGKDEAAEEMRKKAKELSRLARKTVEEGGSSDLDLDAFIVEFDSLRG >OIV89689 pep supercontig:LupAngTanjil_v1.0:KV862254:21809:34362:1 gene:TanjilG_07165 transcript:OIV89689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGDEIGKGAYARVYKALDLENGDFVAIKQVSLENIAQEDLSVIMSDALECGFSLFLSVSGTSLFNSVSLMAYNVFYTSIPVLVSVLDKDLSEETVMQHPQILFYCQAGRLLNPSTFAGWFGRSLFHAIVVFVISIHAYAYDKSEMEEISMVALSGCIWLQAFVVTMETNSFTILQLVAIWGNLAFFYVINWIFSALPSSGMYTIMFRLCRQPSYWIAVFLMVAAGMGPVLAIKYFRYTYRPSKINTLQQAERLGGPILSFGTIEPQPRSIEKEVSTLSITQPEDRNPVSEPLLSDSPNSTRSFGAGTPFDFFQSQSRLSLSRHTRKDN >OIV89631 pep supercontig:LupAngTanjil_v1.0:KV862268:176425:176667:1 gene:TanjilG_14157 transcript:OIV89631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTIQPPNKVVEVRDGLWWLGGLLVVTEILREFTVSSLSFEVAVIRFFSRMAGGGSVGGGRITIGVISSSLPPFSVSKDS >OIV89633 pep supercontig:LupAngTanjil_v1.0:KV862268:642579:645777:1 gene:TanjilG_14159 transcript:OIV89633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSITKNLESSLQVPSVQELALQRLDKVPPRYIRYSDSDDIISTVSSDPSLRVPLIDMAMLVNAATQDEELQKLHLACKDWGVFQVLNHGVSDTSLKNMQNQVQGFFDLPFEKKKQWAQRPGNLEGYGQAFVTSEEQKLDWNDMIFLKSFPIQNRKLDLWPKNPPRFRETLERYSEDMREATISIVKFITMALGLQDTQISESFREGLYDIRMNCYPPCPEPERVVGIVPHADNSGITLLFDCADFPGLQFLKDGKWVNVEPIDGAIVVNIGHIIEVMTNGIYKAPEHRAVVNKWKERLSIVTFCYPGSSADIRPAKELIGEGNPPIYKNMTSGEYFSNFFNRKLDESFIDSLRV >OIV89632 pep supercontig:LupAngTanjil_v1.0:KV862268:529982:535333:1 gene:TanjilG_14158 transcript:OIV89632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYSHTFLARKGPLSTVWIAAHLQHRLKKSHYTSTDIPSTVQHIMDPGVPIALRMSGHLLLGVVRIYSKKVDYLFHDCNAVLTGLSKAFASIQLTLPEDARKAPVQSITLPNTFDLDALNLDDGIDHNGAEDIHTRSLEDITLTDQIPVAMDHYVAISFDEDMIDDSSHTEVLPDSGVRTMEEDTIPQSPTTNVDFQDRSQSIRRDSPTAQPTGGDHPHNIHSPQATIVLGSTDDSFQATVALDPTDDSSPQATMPVEIIRDANTDRNLENPLVNPDLGDGTEPNRDLDQIMNEKDHIEMIDDRASEGMPALSQQRSGPQTPVNSQGPTLDAEVGVGHSAPNLMLAESPLVQQQQRRRRKRKQFFDEPIVLTNKFMRGALNNTRDILRKRRDMPSSSLGTWKLNNSRRKEHIFDQPLLTGFCKDLLDISNKEYISSKPHLAISEEDHADARIERTPPTNQTLEEPTATTLLDTEPTLDMEIEHLRNITATPPFTIPAHDVGTELNIEGDSRSPIRGDDLSSVSAQRLRSVSVSTVGTNIVTGRTVKTPDLTASLGVQGLEMETPMMTHLDDRFPNFDLSETHQSTNSVETENFGLSDTHQLINSAETEDLCFLETDTNTPSSRAVAQYLKNHSPITPIMEEPAEDLSLNKILQGKTRKLSARMFFEVLVLKNHGLVDVQQDEPYGDINLKLTPSLSKDHI >OIV89630 pep supercontig:LupAngTanjil_v1.0:KV862268:48137:50557:-1 gene:TanjilG_14156 transcript:OIV89630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDQKQSSPIETDAEDKDSINNKTPQQVEVNYAQLIPPHVAEKLARKKSERFTYLVAAIMSSLGITSLAVLSVYYRFSWQMEGGVVPWSEMFGTFALAVGAAVGMEYWARWAHRALWHDSLWHMHEISKLLQGHVVVIKRARLEVPCDYA >OIV89688 pep supercontig:LupAngTanjil_v1.0:KV862255:19829:53344:1 gene:TanjilG_07188 transcript:OIV89688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKSHHLFLFTLFSLFSLFSLTTYAKLNLHPLDQNALTILYKNLRVKGQLQTHTTHPCNIEGVFCERRLSNKESYVLRITRLVFKSKKLNGVLSSAIGNLTELKELSLSNNQIIDFIPPQIVNCRKLEIIDLRNNHFSGEVPSELSSLVRLRILDVSSNSLSGNLNFLKFFPNLETLNIADNLFTGRVPPSIRSFRNLRHFNFSGNLFLEGSVPSDTVPKRYKFAERSNGRINSSAPGPKPSKRSFNTAPSPAPSGAKTHHHKSTKKKVAACILGFLGGAFAGTLLGLIFSLMFKVTIALAKRGGKSSVGPSIYSPLIIKKKEDLAFLEKEDGLASFELIGRGGCGEVYKAELPGSDGKIIAIKKIVQPSKEAAELAEEDSKLLHKKMRQIRSEINTVGQIRHRNLLPLVAHVSRPDCHYLVYEYMKNGSLQDILNKVEAGERELDWLTRHKISVGIASGLEYLHQNHSPRIIHRDLKPANVLLDDDMEARIADFGLAKAMPDAQTHITASNVAGTIGYIAPEYYQILKFTDKCDVYSFGVLLGVLVMGKLPSDEYFQHTDEMSLVKWMRNVMTSENPKEAIDAKLMGNGYEEQMLLVLKIACFCTLDDPKQRPNNLNAFTTLHKDLRVNGELQTHTSHPCNIEGVFCERRLSNKESNVLRITRVVLTSKELNGVLSSAIGNLTELKELSLSNNQMIDFIPPQIVNCRKLEIIDLRNNHFSGEVPSELSSLVRLRVLDVSSNSLSGNLNFLKFFPNLETLNIADNLFTGRVPPCIRSFRNLRHFNFSGNLFLEGSVPSDTVPKRYKFAESSNVRLNRSAPGPKPRKISFNSAPSPAPSGAKTHHHKSTKKKLAECIVGFLAGAFTGTLSGLIFYLMFKVALALVKRGRKSSGGPSIYSPLIIKKKEDLAFLQKEDGVASFELIGRGGCGEVYKAELPGSNGKIIAIKKIVQPSKEAAELAEEDSRHLQKKMRQIRSEINTVGQIRHRNLLPLVAHVSRPDCHYLVYEYMKNGSLQDVLNRVKAGKGELNWLTRHKIAVGIAAGLEYLHLSHSPCIIHRDLKPANVLLDDGMEARIADFGLVKAMPDAQTHITASNVAGTVGYIAPEYHQMLKFTDKCDIYSFGVLLGVLVIGKLPSDAFFQHTDEMSLVHWMRNVMSSENPKEAIDPKLLGNGYEEQMLLVLKIACFCTFDNPKQRPNSKDVRCMLSQIKH >OIV89684 pep supercontig:LupAngTanjil_v1.0:KV862256:221747:222658:-1 gene:TanjilG_07563 transcript:OIV89684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISTSNSSMIRFTGIVQKAFVLRNVTICASQSISAADRIIIESPSSPVLPSCHSLSDAPQLSDEWFALRKDKLTTSTFSIALGFWKGSRRFELWHEKVFSTEVQNKEASRKKAMEWGVIKEATAIDQYKKITGNEVSSMAFAVHSNELFDWLGASPDGLLGSFPGGGILEVKCPYNKGKPETGSPWSTMPFYYMPQVQGQMEIVDCEWVDLYCWTPSGSTIFRVLRDRDYWNLIHGILREFWWENVIPAREALRLGCEEQAKSYKPASRHKQTGLAIGQSLKLASQAKLLCREITGHVEVYS >OIV89686 pep supercontig:LupAngTanjil_v1.0:KV862256:265963:270438:-1 gene:TanjilG_07565 transcript:OIV89686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSQDNQKANVADHKNDIELNDDAAFIPLVVLSSDGDDEEVNPDRNFVAPKADVLNGVVSITSSQQPEPVTENDCVLDEMKKTESGDQSVIIVPEEQETVKTIEATENVQLGNNIVLRKLLRGPRYFDPPDSGRGSCFNCGVEGHVALNCTEERRKKPCYEIRCYICKRFGHLCCANTDETRPREISCYKCGRLGHTGLACSRFRVEATGAATAGSCYKCGGEGHFSRECTSSMKARKRVHGLSNTKTKRSHTEDDYMGYMSAPHQMGKTRKKKPTLMDERGFTTPKKSKNRGGWISDHYAEESEFTAQKKSKNKRGWMTDHYTEEGFSTPKKRKSRGGWMTEHPADFSPSKSGKSSWKSTGTPSIRSNNIYSHGSVSHTPRSKSSNRWNSHGGTSKSHGSKAPHHRFSASRW >OIV89687 pep supercontig:LupAngTanjil_v1.0:KV862256:285144:288732:-1 gene:TanjilG_07566 transcript:OIV89687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLVRNIWTNPEVRVNKANRIAGVLDNHAEGEAYAENSLRKFVRNKSPQVMPSINKFFSNPE >OIV89683 pep supercontig:LupAngTanjil_v1.0:KV862256:206203:208385:1 gene:TanjilG_07562 transcript:OIV89683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNFSHRPIFAGEENLSGGGGSGDGFRWRDVENRFDYGCDRGAATQDCVLNDILDRLPSDPFGMDMSTTFTAALIEGLEYDYGGYRRYEVAASDDNYPLFAMNFISNNLNNAMRFRRFPTEGNMCVEENRPREMSIGECSSRESCDSVFQSACDVDDMLGFGCDYKDDMNDGDGENFSGGDDHSPHPALSFALSYLGLADLLAVERVCKSLHSTVRGDPLLWRSVHIDQPLNERIMDDVLYQLTNRSQGKLQCLSLVECTRITDDGLKRVLEANPTLIKLSVPGCTRLSIEGIVGILKAFRSTGTRGVKHLHIGGLYGVTQQHYEELMLLLDTDSRMLQHSHKPHFFRRGNLYLSHDDDRAIDIEVCPRCQNLRLVYDCPAEGCQGVDNVTQTCRACTLCIPRCSQCGRCINDSEYEETFCLELLCSFCSKQLVKCSQRMERNAGLTKSFVLPDGS >OIV89685 pep supercontig:LupAngTanjil_v1.0:KV862256:248722:255021:1 gene:TanjilG_07564 transcript:OIV89685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLSEKHNPIDFYKEQVDPTTQHMIIDVGVSNNNFPPPPPNYHHHIIPAESSGDEPEPEKHETKAPKKRAETWVQDETRTLIALRRERDILFNTSKSNKHLWEQISSKMRVKGFDRSPTMCTDKWRNLLKEFKKVKHQDRRRNGSGAKMRYYKEIEDILKERGKTVQYKSPCNHKNDSFMHFVDKDRQLSDWLYGCTVMEDTCISFGPVEATGRPTLNLERRLDHDGYPLSLTTADAVGANGVPPWNWRETPGSGEEGQSSCGRVISVKWGDYTRRIGIDGAAEAIKEAIRAAFRLRTKRAFWLEDEDRVIRSIDRDMPLGSYTLHLDEGIAIKICLYDESDHIPVHTEDKIFYTEEDYRDFLSRRGWTCLREFDSFRHIDTLDDLRPGAIYRGVSLSPGAL >OIV89682 pep supercontig:LupAngTanjil_v1.0:KV862256:136552:136701:1 gene:TanjilG_07561 transcript:OIV89682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTENRYAALRMPISMTLVDGPNNHLLAVHVKAENELWELDQTCRPKIR >OIV89681 pep supercontig:LupAngTanjil_v1.0:KV862257:2507:8976:1 gene:TanjilG_07568 transcript:OIV89681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVSSGILRMPEIAHHREDVELVKLATKKGNTIVAVYDYSGYGQSSGKNIDKIPLVKCPVLVIHGTDDEVVNISHGKTLWELCNEKYEPLWLNGGNHCNLELFPQYLGHLRKFISATTKKQPQPPMQNRATLKTKSLNISSSSIDQKEKSRSNIDLIEKPRSSTGSREKSRTSTDKRERSRRSLDHTRKPRNTTDQMEKARISFERWFIFLSFSFSLCFLPFFYLKMVCTILLGTLHIRVSCHI >OIV89678 pep supercontig:LupAngTanjil_v1.0:KV862258:212088:218761:-1 gene:TanjilG_07754 transcript:OIV89678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTNVKDKIPSSPSPTPSSSLSSLTPFVHFSKGINGLDKVILRDSRGSSAEVYLYGGHVTSWKNDNGEELLFLSSKAVFKPPKAIRGGIPICFPQFANHGTLDSHGFARNRFWSVEDDPPPFPTNTLNKSFVDLILKPSEEDMKVWPHSFEFRVRVALGPGGGLMLTSRIRNTNSDGKPFSFTFAYHTYFSVSDISEVRVEGLETLDYLDNLQNKERFTEQGDALTFESGVDKIYLHTPTKIAIIDHEKKRTFVLRKDGLPDAVVWNPWDKKAKAMADFGDDEYKHMLCVEAAAIEKAITLKPGEEWKGRLEFSAVPSSYCSGQLDPQRVLQGT >OIV89672 pep supercontig:LupAngTanjil_v1.0:KV862258:22728:22904:1 gene:TanjilG_07748 transcript:OIV89672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLDGCMEDDDDSVDALEGLLRDTFRDVAEDNELNKGFNDNAKKFYNLIEEAQQELYP >OIV89680 pep supercontig:LupAngTanjil_v1.0:KV862258:278265:300653:-1 gene:TanjilG_07756 transcript:OIV89680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERREYYSLFETTRARGWLIYRVFAIFMFVAICFIWFYRLSNITINGEDGKPWASLGLFGAELWFGLSWLFSQALRWNLVFRKPFKSRLSQRYEEMNLPGVDIYVCTADPDIEPPIMVINTVLSVMAYDYPVEKLSVYLSDDAASDITFYALLEASTFAKHWLPFCKRFEVEPRSPLAYFNTLASKTYPHHHNHAKDLEAIKKLYENMKIRIEDAAKLGGVPKETRSKHSGFSLWDSYTSRRDHNTIIQILLHEKDPQNSKDVDGFNLPTLVYLAREKRPQHHHNFKAGAMNALIRVSSKISNAKVILNVDCDMYSNSSQSVKDALCFFMDEEKGQEIAFVQFPQSFENVTKNDLYGSSLIPVTEVELHGADGYGGPLYIGTCCFHRRDALCGKKYNGRSTNDWMSENSHVIETNLQELEEQSKALACSTYEENTLWGKEMGLRYGCLVEDVITGLSIQSQGWKSVYYNPTRKAFLGLAPTTLLQTLVQHKRWAEGELQILFSNYSPAWCSLRSISLGLRMGYLHYNLWATTCFPTLCYSIIPSLCLLVGIPLFPKIYSPWFIPFAFVILGESIHNLSEFLIFGGTIQDSPFIITAKFMDEDVSQRYEKEVMEFGASSPLFTVLATLAVFNLFCLLATLKELVLSEGGVRAYEEMLLQVLLCGFLVFINVPIYQGLFLRKDKGSLPTSVAIKSIALALSACVLSKIFI >OIV89674 pep supercontig:LupAngTanjil_v1.0:KV862258:29439:30134:-1 gene:TanjilG_07750 transcript:OIV89674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMAGGILLNLVNSNNGYACCRIINDSTSKDHDQHGSSMNQKEIGLVGIIAMCHEPDKARNMSDQDRVHQFALSRSGSMHQIRIRQPRSEWMHQVHICQSRSLHQMRIHMRQPRPKWLHQVHMYQSRSLHQMRMGNEHQLALSRSGQALAHQLALPRSGQALAHQLMSQSGKGMEVNNFL >OIV89675 pep supercontig:LupAngTanjil_v1.0:KV862258:41767:44666:-1 gene:TanjilG_07751 transcript:OIV89675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTATATSAATSYFFGTRIGNQASNSGKFQAFFNLGTKKAPPSPKKKEVKVKPSSDRLVWLPGAQAPEWLDGSLVGDRGFDPLGFGKPAEYLQFDLDSLDQNLAKNLAGEIIGTRLETTEVKPTPFQPYTEVFGLQRFRECEVIHGRWAMLGALGALAVEAFTGVAWQDAGKVELVEGSSYFGLPLPFSITSLIWIEVLVIGYIEFQRNAELDPEKRLYPGSKFFDPLGLANDSEEKARLQLAEIKHSRLAMVVFLIFAIQAAVTGKGPISFIATFNK >OIV89677 pep supercontig:LupAngTanjil_v1.0:KV862258:161676:164030:-1 gene:TanjilG_07753 transcript:OIV89677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAYAIKPTKPGLEEPQEQIHKIRITLSSKNVKNLEKVCSDLVRGGKDKHLKVKGPVRMPTKVLNITTRKTPCGEGTNTWDRFELRVHKRVIDLYSSPEVVKQITSITIEPGVEVEVTIADV >OIV89673 pep supercontig:LupAngTanjil_v1.0:KV862258:27978:28208:-1 gene:TanjilG_07749 transcript:OIV89673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIVLYSHNFRRCNLRQGFRHRFGFSSSISPSESFASLNPLIFLRTLLRILRIILLSPPPAFPLNPTSRICRSNHR >OIV89676 pep supercontig:LupAngTanjil_v1.0:KV862258:95878:100596:1 gene:TanjilG_07752 transcript:OIV89676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCSQSRLDDEEAVQLCRDRKRFIKQAVEQRTGFATGHIAYIRSLKRVSAALRDYIEGDEPREFSIEPVVAPPFTPVKKTNHAFIPISSSKPFKPTTIEFGVGANSTLKVNYFRPGGNPPISVEERPQSPEMVQVETYPPMQHQFGYDGVFAMQSSPMMNSSFFAMQSPPMNPSIFSYSPNNRPNIPPPPSPQNSQWDFFWNPFSSVDYYGYPTTSSLDEYQGLRQVREEEGIPELEEYETELEDYVGRRNVAEERIRADRNSSKEEVVVEEVDEDEDEDDDDDDDDDDDDDDDDDDDDDDDDDDKEEEGTDAEIDTETLHVAKDIQAHGAANSEVLKDQKTGHVEPSHQEMSTNNQEAKEETPGFTVIVNRRPTSMAEVLDDLEAQFTIVCNAANDVSALLEAKKSQYLLTSNELSASKYLNPVALFRSASSRSSSSRFLMNCSSTRDEGNEGTKDLSEAHCMLSGSHQSTLDKLNVWEKKLYEEVKSGERVRIAYEKKCKQLGNQDVKFEDPSYADKTRAAIRDLHTQIKVSIHSVEAISKRIETLRDEELHPQLLELVQGLARMWKVMAECHQTQKRTLDEAKNLLAGTPKLHARKQSSMSMVDPYRLSRSAANLEVELRNWRNSFESWITSQRSYVHALTGWLLRCVRSSEPDASKLACSPSRASGTHPLFGLCVQWSRRLDAIHEKAVFDGLEFFAAGMGSLYAHQLREDLQQNSFATNQGNDGSMEMVEVGHIEEAMIPEKVAEVGIKVLCAGMSVAVSSLAEYAFDSAEGYSEVLKQWENVKSQQHTSTETKT >OIV89679 pep supercontig:LupAngTanjil_v1.0:KV862258:263559:274101:-1 gene:TanjilG_07755 transcript:OIV89679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSMRDLDSAFQGAGQKAGLEIWRIENFNPVPIPKSSYGKFFTGDSYVILKTTALKSGALRHDIHYWLGKDTSQDEAGAAAIKTVELDAALGGRAVQYREVQGHETEKFLSYFKPCIIPQEGGVASGFKHADAEEYKARLFVCRGKHVVHVKEVPFARSSLNHDDIFVLDTESKIFQFNGSNSSIQERAKALEVVQYIKDSYHEGKCAVAAIEDGKLMADPETGEFWGFFGGFAPLPRKTANDDKPADPHSPKLLCVEKEQAEPVETDSLKRELLDTNKCYILDCGLEVFVWMGRNTSLDERKSASRSADELVSSTAQLKPQIIRVIEGFETVMFRSKFAAWPRTIDVTVSEDGRGKVAALLKRQGVNVTGLLKSDPTKEEIQPYIDCTGHLQVWRVNGQKKILLTASDQSKFYSGDCYIFQYTYPGEDKEDYLIGTWIGKNSVEEERASANSLASKLVESIKFQASQARIYEGKEPIQFYSIFQTIIVLKGGLSDAYKTCIAEKEIPDETYSEDGVALFRIQGSGPDNMQALQVEHVASSLNSCYCYILHNGPAVFTWSGSSTTADDQELVERMVDLIKPNLQSKPQREGTESEQFWDLLGGKLEYPSQKIVREPESDPHLFSCNFSNGNLNVSTPINLLLSVIKRVTEIYNFSQDDLMTEDIFIFDCHSEIFVWVGQQVDLKSRTQALTIGEKFLELDFLLENLSRVAPIYVVNEGSEPPFFTRFFKWESAKSEMLGNSFERKLTILKNGRTPLLDKPKRRNPVLYGGRSSSVPDKSQRSSRKMSLSPDRVRVRGRSPAFNALAATFENPNARNLSTPPPVIRKLYPKSVTPDSAILAPKSTSIAALSSSFEQPPPAGGTMMPRSLKATSVTPKSNPETNDKETSVSSKMESLTIQEDVKEDEAEDEEGLPIYPYECLKITSTGPVTDIDVTRRETFLSSVEFKEKFGMTKDSFYKLPRWKQNKLKMAIQLF >OIV89671 pep supercontig:LupAngTanjil_v1.0:KV862259:45524:46297:1 gene:TanjilG_07821 transcript:OIV89671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAAIKTLSILLFLGLISEGYGSGSRFCRNSDVNLNQSQSGAQVKGKPEWVVTITNKCPCVIQQVTLNCTGFQTVEPIDSSTLKVSGDKCLLIDGKPINNDASVTFKYASDKSFPFNAINVAYNNC >OIV89670 pep supercontig:LupAngTanjil_v1.0:KV862259:6749:19227:1 gene:TanjilG_07820 transcript:OIV89670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSFCSAISSSSSCISLPETFLQHHNNKIFHSPFSFFHRSHFLRKGLTLSRGRELPSIRICASLTDISTKASVEEKQLPKGETWSIHKFGGTCVGSSQRIKNVADIIINDDSERKLVVVSAMSKVTDMMYDLIYKAQSRNDGYISALDAVLEKHSLTASDLLDGDSLASFLSLLRQDINNLKAMLRAIYIAGHATESFTDFVVGHGELWSAQMLSLVIRKNGTDSKWMDTREVLIVNPSGSNQVDPDYLESEKRLKKWYSLYPSKVIIATGFIASTPQNIPTTLKRDGSDFSAAIMGALFRARQVTIWTDVDGVYSADPRKVSEAVILKTLSYQEAWEMSYFGANVLHPRTISPVMQYGIPIVIRNIFNLSAPGTKICDSLINDDEDKNLKNHVKGFATIDNLALVNVEGTGMAGVPGTASAIFSAVKDVGANVIMISQASSEHSVCFAVPEKEVKAVAEALQSRFRQALDAGRLSQVAVIPNCSILAAVGQKMASTPGVSATLFNALAKANINVRAIAQGCSEYNITVVVKREDCIKALRAVHSRFYISRTTIAMGIIGPGLIGSTLLDQLRDQASILKEEFNIDLRVMGILSSNSMLLSDVGIDLARWRELREKNGEVANLENFAQHVHGNHFIPNTVLVDCTADSVIAGYYYDWLRRGIHVVTPNKKANSGPLDQSYTHYFYEATVGAGLPIISTLRGLLETGDRILKIEGIFSGTLSYIFNSFKDGRAFSGVVAEAKEAGYTEPDPRDDLSGTDVARKVIILARESGLKLELSDIPVESLVPEPLRACASAQEFMQQLPKFDPEFGKKQQEAENAGEVLRYVGVVDVTNKKGVVELRKYKKDHPFAQLSGSDNIIAFTTRRYNEQPLIVRGPGAGAQVTAGGIFSDILRLASYLGAPS >OIV89629 pep supercontig:LupAngTanjil_v1.0:KV862269:37677:44378:1 gene:TanjilG_14601 transcript:OIV89629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWNHLRFAAANLARSRRFSTVNPGPCIVHKRGADILHDPWFNKDTGFPLTERDRLGLRGLLPPRVISFEQQYDRFLNSYRSLEKNTQCQPDNIVSLAKWRILNRLHDRNETLYYRVLIDNIEEFAPIIYTPTVGLVCQNYSGLFRRPRGMYFSAKDKGEMMSMIYNWPAEKVDMIVLTDGSRILGLGDLGVQGIGIPIGKLDMYVAAAGINPRNILPVMLDVGTNNEKLLQDRLYLGLRQPRLEGEEYLEIVDEFMDAVYARWPKAIVQFEDFQMKWAFKTLERYHKRFCMFNDDIQGTAGVALAGLLGTVRAQGRPLSDFVKQKIVVVGAGSAGLGVLKMAIQAVSKMTGCSELAAKSQFFLIDKDGLVTTERNNLDPAAAPFAKNPRDIEGLTEGASILEVVKKVKPHVLLGLSGVGGIFNEEVLKAMKESVSTKPAVFAMSNPTMNAECTASDAFKHAGENIVFASGSPFENVNLGNGKVGHVNQANNMYLFPGIGLGTLLSGAHLITDRMLQAASECLASYMMEEDILNGILYPSISGIRDVTAEVGAAVLRAAVEEELAEGQGDVGPKELAQMSEEETVEYVRRNMWFPVYSPLVHEK >OIV89626 pep supercontig:LupAngTanjil_v1.0:KV862269:4338:4631:1 gene:TanjilG_14598 transcript:OIV89626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNEEWVLEASPEDIVDCRQNKQGELEVLTTWQHLPQHDNTWESATVLQDTFPHFQLEDKLKSLGEGSDRDKAKGRGRPEITQVYKRGKRKVWLLNN >OIV89627 pep supercontig:LupAngTanjil_v1.0:KV862269:11750:12244:1 gene:TanjilG_14599 transcript:OIV89627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPFVAVHSLHGSLLRSQFLRQDNLNNHLHHYNKPSAIHSKPNYKVVQPCAKFDMLQILGGRGLCNGEEGLQKELHKQLGEVEKSSSAEESEKDKEKENSGGSTLSTESVAEDGFDKELMGLTGGFPGGEKGLKKFIEENPPPKKSEGNKSLNLSLTKKPKAPE >OIV89628 pep supercontig:LupAngTanjil_v1.0:KV862269:14723:23198:1 gene:TanjilG_14600 transcript:OIV89628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEEATTATFSERRHRKSKRKFSKVRDSEQLDRLESLPWNSSISQDEHDDPFSMIVGSNEVEGGGFLSLEEIDEAEYGLDIPEPEYIQRNKKLKEDTDLNNKKSDGGDVKVETVTDEGNKSNESKKKKKKKTKKKKEVSENLKVEQTDAGLDTNVENDIGEGEIDETEFYAWNELRLHPLLLKAVCKLGFKEPTPIQKACIPAAAHQGKDVIGAAETGSGKTLAFGLPILQRLLEERDKAANLFEEMGEETERYAPTGLLRALIIAPTRELALQVTDHLKAVAKHINVRVTPIVGGILAEKQERLLKAKPEIVVGTPGRLWELMSSGEKHLAELHSLSFFVLDEADRMVQNGHFKELQSIIDMLPMSNISPEDNSQSAQNCVTVSSYQRKKRQTLVFSATVALSADFRKKLKRGSVKQKQSLADGLNSIETLSERAGMRPNAAIIDLTNPSILAAKLEESFIECTEDDKDAYLYYILTVHGQGRTIVFCTSIAALRHISSILRILGVNVWTLHAQMQQRARLKAMDRFREKENGILIATDVAARGLDIAGLKTVVHYQLPHSAEATRASAEGCSIALISPKDTAKFASLCKSFSKENFRRFPLENSYMPEVLKRLSLARQIDKITRKDSQEKAEKTWFDRNADSVELVTETYDSEEEQVNKHKQMKASSGKLKKLQQELNTLISRPLQSKSFSHRYLAGAGVTPLLQQQLQQLARQKVSDQKGSVLGKKGLVVIGQDCVEALQALRSSGEEVECFTFYHILAIAY >OIV89622 pep supercontig:LupAngTanjil_v1.0:KV862270:121124:121496:1 gene:TanjilG_14793 transcript:OIV89622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLTAPSHYSPVEDAEALQKSFKGWGADDKTIIAILGHRNVDQRQKIRKAYEELFQEDLIKRLESEISGDFEVP >OIV89623 pep supercontig:LupAngTanjil_v1.0:KV862270:122937:125262:1 gene:TanjilG_14794 transcript:OIV89623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLTAPSHYSPVEDAEALQKSFKGWGADDKTIIAILGHRNVDQRQKIRKAYEELFQEDLIKRLESEISGDFERAVYRWMLEPADRDAVLANVAIKNGSKDYHVVVEIASVLSAEELLAVRRAYHNRYKRSLEEDLLVGLVSSFRYEGDEINAKLAKTEANILHESVKEKKENYEEVIRILTTRSKTQLVATFNYYKDEHAISISKKLLDQTPDDFHKALHTAIRCLNDHKKYYEKVLRNAIKKFGTDEDGLSRVVVTRAEKDLKDIKELYYKRNSIHLEDAVAKDISGDYKKFILTLLGKQD >OIV89624 pep supercontig:LupAngTanjil_v1.0:KV862270:147455:151372:1 gene:TanjilG_14795 transcript:OIV89624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGMRRLQGESISMFNEGIGLILYRWSALRTAVENEWGGRESRLKAEQLAADLLSWFTQSKAMLSINVEVDDGSIEEVAENLMVMHEEFLDGNFTSIANLREANLKQSAHPHVTQIVNDDEDDEEEDGDNEESIIRDDNSSNMNVDIPKYESNVNSMNGQVSNPLPTVSGEANDGWIAVSNRRNKVRKN >OIV89625 pep supercontig:LupAngTanjil_v1.0:KV862270:158872:163842:-1 gene:TanjilG_14796 transcript:OIV89625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFTCLSKGGGFHLPPCHMLNFCGIRILMDCPLDLSALIAFSPVPTAFDALPFEESNNNEANDLLNSNVGSEKRQKIEKHLDAKSLIFEEPWCKTVKNLHLWNISSIDVVLISSPMGILGLPFITRMKGFSAKVYVTEASARLGQLMMEDLVSMHAELRQFYGSEKSDFPPWLRQEELEMLPSVLREIICGREGVELGGWMPLYSAADVKDCILKVHTLKYAEEACYNGTVVIKAFSSGVEIGSCNWVLNGPKGDIAYLSSSSFISAHAMSFDYRGLHGTNALIYSDFSSLCDTQDVEEGDNYSVPTADKLLPMSFQNFDEFNLNSDENLEEKEKLIFISSCAIECVKGGGSVLIPVNRLGTILQLLEEIATSLDASALKIPVYIFSSVAEELLAFLNIIPEWLCKQRQERLFAGELLFAYVKLLKEKRIHVVPAIHAHKLLMNWQEPCIVFCGHWSLRIGPAVHLLRCWCGDPKSLLILEDVLNPELALLPFQPIAMKVLQCVFSSGIGLRKVQPLLQTLQPKTVLFPEDLRLQINFPSEKPFLVLHYTEAETFEVSCQKNSSELKIAKDLASHLYRITFKNQQIEICRLKGELFMENARYHLLPDNDPKHSISRSLVHCSLPDSEKLLAALSKVGINASLEPASDTESQTLCILHTQDPCKALIEIETTSAVITTADENVASLIYKVVDNIFGGV >OIV89620 pep supercontig:LupAngTanjil_v1.0:KV862271:540036:545983:-1 gene:TanjilG_15815 transcript:OIV89620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSSLASTPSPIPTKATTNLRISRYSNSNLSFRLSPKPNLIRFFTQGSVPNQVFCPRVKAQLNEAGLDGSSNAAAPSITKSESKVETLGTKDPKPSTELSSGVLATEESISQFISQVASLVKLVDSRDIVELHLKQLDIEVTIRKKEAIPHLQSPPQPAIVYSPPSVVSPPVAPSSTSTHTPAPPARAPSSSSPPAVKSSKSSHPPLKSPMAGTFYKCPAPGEPAFVKVGDKVKKGQVLCIIEAMKLMNEIEADQSGTIVDILAEDGKPVSVDTPLFVIEP >OIV89608 pep supercontig:LupAngTanjil_v1.0:KV862271:105318:108128:-1 gene:TanjilG_15803 transcript:OIV89608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSHVDLEQQGSSYHQHHQQQHSMTESNISLCFSDDDDDGCSCYSHFYSTNGGSYDDYTSASVSDLEAECVVDDSRRVSSVTDCCVEMEIVENGVHEIKVNLDKVEKDCRICQLGLERESDECGVPIELGCSCKGDLAVAHKNCAEAWFKIKGNRTCEICHSVVHNIYGENSETRESVSDTNNVTTAASTITTPSTPSSETTRFWHAHRFLNFLLACMVFAFVISWLFHFNLPQ >OIV89612 pep supercontig:LupAngTanjil_v1.0:KV862271:215347:218919:1 gene:TanjilG_15807 transcript:OIV89612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIHFLQPFSSIHLRKFHPSNPKFTIFQPKNPHPVNATVNSSAAAVESPKQRTRKKKTPVSEEQSKLQNETKNDNFEPQNAEDEQEEEEKLEDYDDGITFPYDEPPLICCFGAVQKEFVPTVRVQQYPMHPDKYSEWKMLQWKPPEFARAVGGPPSNVAVSHVRLGGRAAFMGKVGKDSFGKDLVLMMNNEKVQTRGVKFDTDYKTGCAYMKVKFVDGKMKMEIVKEAAEDSLHSSELNLAVLKEARIFHFNSSAFSRRSLESTLFKAIRWSKKFGSLVFFDLNLPLPLWRSRDETRKIIKKAWNQADIIEVSRSELEFLLDEEYYERKRNYEPQYYAETYEQTKKSLKEYYHYTPEEIAPLWHDGLKFLFVTDGTLNIHYYTPNFDGMVIGTEDVLITPFTCDRTGSGDALVAGIMRKLTTCPEMFENQDVLERQLRFAIAAGIIAQWTIGAVRGFPTESATQNLKEQVYVPSMW >OIV89610 pep supercontig:LupAngTanjil_v1.0:KV862271:127788:131913:1 gene:TanjilG_15805 transcript:OIV89610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGVVLPWNPIYHTLSLPSLSFTLHHTPRHFNFSISSSSLESTHTQQQHLTARERRRLKNERRERKTTTNWREEVEEKLIKKPKKEKKSWTEELNLDNLAKLGTQWWVVRVSRVMGQYTAELLARSLSRNFPAIEFKVYAPAINVKRKLKNGSYSIKPKPLFPGCIFLRCVLNKELHDFIRDFDGIGGFIGSKVGNTKKMINKPRPVSVDDMKAIFRQAKEEQEKIDQAFEEEEKKAVLNSVAPNTELEPDDIANSTVDSKPKRRSVKTSDKPPARKNYKLFVPGSTVRVLSGNFSGFAGTLKKLNRKTKLATVLFTIFGKENIVDIDVGEIAPETN >OIV89618 pep supercontig:LupAngTanjil_v1.0:KV862271:507626:514677:1 gene:TanjilG_15813 transcript:OIV89618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAIRKQLDVLMGANRNGDVREVNRKYYDRDVCRLYLVGLCPHELFQLTKMDMGSCPKVHSLQLRKEYEEAKSKGVDNYDRELEDVIDKLIGECDRKIGRALKRLEDDDAKAAIAISVTEVTQTPEVLELSREIKEKLKEADQYDLEGKMDLKIRAMEIVDELRTKRADKQSTLLLDAFNKDRASLPQPLPNPPSLAAIPLVAPDPQTQEMINEKLKKAEELGEQGMVDEAQKALEEAEALKKLPPRHEPLLDSSKYTAADVRITDQKLRVCDICGAFLSVYDSDRRLADHFGGKLHLGYMQIREKLAELQLVVHKMTP >OIV89605 pep supercontig:LupAngTanjil_v1.0:KV862271:35500:57489:-1 gene:TanjilG_15800 transcript:OIV89605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLNASPVPEEEEDIFEGQTVEEYSATEERVESAVDIARREREERKRRLKRERPDDRPVHVSQSPGYDQLFRTKTLKSYERSRLPPGWLECPSFGQEICCMVPSKVPLGESFNDCIFPGKRYSFKQVIHQQRVLGRKLGLVIDLTNTSRYYPVSDLKKEGIKHVKIQCKGRDSVPDNLAVNQFVYEVTQFLVRQKHSKKYILVHCTHGHNRTGYMIIHYLMRSMSMSVTQVTQFLVRQKHSKKYILVHCTHGHNRTGYMIIHYLMRSMSMSVTQAIKRFSDARPPGIYKPDYIDGLYTFYHEKKPEMVVCPPTPEWKRSSELDLNGEAIPDDDDDGIPDPQLHEIHETDTRMTNDDVLGDEIPNDQQEAFRQFCYQSLKLGIGRPFYERWKMLEKEVIEPRNHERHNGYKSKNPYYRYDLEPFRVRRKDFWLLSTVNKLLKEFIKRLSHEADGLIFQVDGDRQLLYINERGKKKLMEGNTVAFGDGSDPALYSGKIIECSRNPDTQEWVFMRVRTDKSTPNEFNTYKKVMRSIRDNITQEDLLDEINEIIRLPMYADRIQIDSKANQHAAMARRR >OIV89615 pep supercontig:LupAngTanjil_v1.0:KV862271:308986:314651:-1 gene:TanjilG_15810 transcript:OIV89615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTFTTRASAQPLKNADELIDSVETFIFDCDGVIWKGDSLMEGVPETLDLLRSKRMSFSATVMLLALCPKGDGFKSQKQPFRLYGTLCIRENPGCLFIATNRDAVAHLTDAQEWAVNNSYNCIQIVKAAIVGGSMVGALVGSTEREPLVVGKPSTFMMDYLADKCDITGNTSES >OIV89609 pep supercontig:LupAngTanjil_v1.0:KV862271:120727:124662:-1 gene:TanjilG_15804 transcript:OIV89609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESEEPATPVDLDGGDTASGDEGMVALGAGSRDRVKGPWSPEEDAILSRLVSKFGARNWSLIARGISGRSGKSCRLRWCNQLDPVVKRKPFTNEEDQIIVAAHAVHGNKWAAIARLLPGRTDNAIKNHWNSTLRRRGVEYDKIKLECSNMVEDVKASSEDTLSCDVNSLKSSEGRDISSMEIMDDKYEDRTRSEGLLCHDVKDPPTLIRPIARVSAFSVYHSFDGLQPSTSVQRPIPMHGPILQSSKPENEICKMIEGIYGDRSVPHQCGHGCCAAPNGNNSKSSLLGPEFIEFSEPPSYPSFELAAIATDISNLAWLKGGLENSNVKMMGDTSSRRMCNGSEVHIGH >OIV89611 pep supercontig:LupAngTanjil_v1.0:KV862271:140238:142166:-1 gene:TanjilG_15806 transcript:OIV89611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREILHIQGGQCGNQIGAKFWEVVCAEHGIDTTGRYGGDSELQLERINVYYNEASCGRYVPRAVLMDLEPGTMDSIRSGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYSALSVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSTVCDIPPTGLKMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATAEDDEYEEEEEDDYQQHDI >OIV89607 pep supercontig:LupAngTanjil_v1.0:KV862271:69815:73573:1 gene:TanjilG_15802 transcript:OIV89607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFYIGREASKIWKRVCAEVSIEINLLAENWKYLLAGLVFQYIHGLAARGVHYLHRPGPILQDVGFFFLPELGKDKAYISETLFTIIFLSFVFWTFHPFILKSRKIYTVLIWCRVLAFLVASQFLRIISFYSTQLPGPNYHCREGSKLATLPRPDNALEVLLLNFPRGVVYGCGDLIFSSHMIFTLVFVCTYHKYGSRRWIKQLGWLLAVIQSFLIVASRKHYTVDIAVAWYTVNLVVFFIDKKLPELPDRSSAATSLLPVSTKDKDGRTKEENHKLLNGNSGDPADWRQRTQVNGKIMEDSSNTRHADSTTNGA >OIV89616 pep supercontig:LupAngTanjil_v1.0:KV862271:424846:437681:-1 gene:TanjilG_15811 transcript:OIV89616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFIVLISIFLFLFLFKFVTGYGDFTLMSKKKPKLEQIEDKVFWITGASRGIGEVLAKQLASLGAKLIISARNEAELERVKSQLKGKHAPNDVQILPLDLASGEDSLRKAVEKAESLFPGSGVDYMIHNAAFERPKVSALDVTEDDLKATININVLGTITLTRLLAPFMLSRGHGHFVVMSSAAGKTPAPGQAVYSASKYALNGYFHSLRSELCQKGIKVTVVCPGPIDTSNNSGAKVSEKRVSSEKCAELTIIAATHGLKEAWISNQPVLGVMYLVQYVPTIGYWLMDKVGNNRVEAAKHNGNTYSLGLLFGKKKAV >OIV89606 pep supercontig:LupAngTanjil_v1.0:KV862271:61649:67085:1 gene:TanjilG_15801 transcript:OIV89606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQKHHNGNLNFPHIYLLIITQFRTHFHLFWFLEALILDQLRNGTAKLELLSSPVSAPNHFFGVRNCSTFFFARIGSSLGRQSPAMKKLERFSVQKVTGDGRCLFRALVKGMAYNKGTALNQREERENADELRMAVKEAICDNDRERTLYEEAVIAITVDEPLRRYCQRIGRPDFWGGESELLVLSKLCKQPVIVYIPEHEHRGSGWGSGFIPIAEYGSEFGKVSRNMKPRKPVRLLYSGRNHYDLLV >OIV89619 pep supercontig:LupAngTanjil_v1.0:KV862271:532872:533360:-1 gene:TanjilG_15814 transcript:OIV89619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKNHSSSSPPATHRLISIAAYCLPFFNSLQYSRFLLSQYPNLSLLFEPIIPFLSLYRSIPYASFVAFFALYLGLVRNPNVNRYVRFNAMQAVTLDVLLVLPVLMQRIFSPGRVGLGFKVMVWSHNFIFLFAVLCFVYSAGSCVLGRTPYLPFVADAASRQI >OIV89617 pep supercontig:LupAngTanjil_v1.0:KV862271:461140:462803:1 gene:TanjilG_15812 transcript:OIV89617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARKSGLPLLNYLRVHVNAIPNNRLPFTLSPINVFLRRFSEEVRCTFLDKSEVTDRVISCVKNFQKVDPSKVNVNAHFQNDLGLDSLDAVEIVMALEEEFGFEIPDNEADKINSIKLAVDFIASHPQAK >OIV89614 pep supercontig:LupAngTanjil_v1.0:KV862271:304968:305537:1 gene:TanjilG_15809 transcript:OIV89614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAASSCIFLTLSLRLFPSLCGALLILLHVFTILGAVSGCAAVSNGTNRWYSAHMVLNVLTAIFQGSVSVLIFTSTSSFLTRLDSYVREEDGGVILKLGGGLGGVIFLLEWVVLTLAFFLKYYEIVEGNNNNNGNGVGVRNGKVQQDEDLKDWSLAFKV >OIV89621 pep supercontig:LupAngTanjil_v1.0:KV862271:594692:595164:-1 gene:TanjilG_15816 transcript:OIV89621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNEPYSLSTTPSPHHDPLTVAAMLKKEPCSKINSTWVYIKASARSHSLCDESIALEVGGVTITLVSELDNGIKHALEMNQTVPLMIEVREMAKNVDQGTARTRDIKALRT >OIV89613 pep supercontig:LupAngTanjil_v1.0:KV862271:220253:225915:-1 gene:TanjilG_15808 transcript:OIV89613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPRTSIGDGFRVSVSSLPSNASGGSEPDDIESLGDVYIWGEVLAEGVASDGNGIQAPSKIDVLIPKSLESNVVLDANQIACGVRHIAVVTRQGEVFTWGEESGGRLGHGTDRDFCSPQLVEFPAATNLDFVACGEYHTCAISKSEDIFTWGDGAHNVGLLGHGTEASHWIPKRVDGPLVGLQVVSVACGTWHSALATSNGKLFTFGDGTFGVLGHGDQESVMYPKEVQLLSGLRTIKVACGVWHTAAIIEVSFQSGSNVTSWKLFTWGDGDKYRLGHGNKETYLQPTRVSSLMEYNFHQVSCGHTMTVALTTSGHVFTMGGTENGQLGNPLSTGKVPTLVQDKLLGEFVEEISCGEQHVAVLTSKSELYTWGKGTNGRLGHGDIEDKKSPTLVSALKDRHVKHISCGSNFTSCICIHKWVVGNDQSGCSGCRQGFGLTRKRHNCYNCGLVYCHACSSRKVLNASLAPTPDKPHRVCDACYAKLKAIEAGTASMFQRKANPSPGSIGGKEILSTLKATPSHSSIDGVAKSSRILLSPAIEPIKYLEIRNSKGGSKYDSTSFVRASQVPSLLQLKDIAFPSSLSAIQNALKTALPQQNLHENSTLVAPCPRKPSPPRSSSPRVSNRIDNLRKTSELLKEEVSKLQNQVRSLNKKSDMQDMELLKLQRNATEATAFSAVEFSNLMMTKEFIESTIDKLKEMTDKLPPEIPESTILRTIHTQAEDFLKEILESETCSKPSKLESEQQNEPDTHVSPIVPSKLQQQAQEENVDAAIVDPSQAEEEHVLQESNASMVELQEQKTHDIVASDNDSSKQKNHIVEENVDSARVDPSQAEEEHVLQESNASMVELQEQKTHDIVASDNDSSKQKNHIVEENVDAARVDPSQAEEHVLQESNASTMELEEQKQHDIVASDNVSSKQQNHIVEENVDTARVDPSQAEEEHVLQESNASMMELEEQKQHDIVASDNDSSKQKNNIVEENVDTARVDSSQAEENVLKESNGSFVSSIEGEAIPQTSENVDTARVDSSQAEENVLQENNGSFVPSIEGEVIPQTSENGTRLLDSTTGRQGETQVVETSGNGSRSLESSRPMRQGETQVIEQFERGVFVTLILRPDGIKVFKRVRFSKRRFTESEAEEWWNQNKGRVLRKYYTSLQKLTSTGSSNIPPHAEEHNEASPT >OIV89594 pep supercontig:LupAngTanjil_v1.0:KV862272:14924:16565:-1 gene:TanjilG_15867 transcript:OIV89594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNDPIFKREHFLKRTVCPILRTFEPKFWPTDLHLIEALCTDDLVSHQELHSRISPPHVPFTYVPTELDVPKFGIVNSCNGLLCVCTTPFNNPIYVCNPITGEYIMLPKPKLNGLFCYHIDEEGKSELYKSNFVISGFGFNPKTNQYKVMRMVELETTKETRYVTVQVLTLGSTSWETIGSIQSWDSIGIRLAKIRAPTIQDASYKKSLYAYLNGAVHWICHSTYNTMFIASFNFENENIVEILPPSQLRYKGKKGVGNMRLGVLNDCLYLTDVDSYVNFKIWLLKDYNDRKMSWTLELVIDNVSLNIWPREQPNEWKEYHDVELKEEAEEAISIAYSKVVLENRSFNDAFTSRHWP >OIV89602 pep supercontig:LupAngTanjil_v1.0:KV862272:505042:508736:-1 gene:TanjilG_15875 transcript:OIV89602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQQQGQIPINPLIPQQNSISQQIPMQYQYPMHMQMQYPMQMHMPYHIPFQIPYSVQETAVTSAAGSTGYPISSSKRRREDEAPGIEVAAELSAAKRVKGQDVIFRIIVPSRQIGKVIGKEGCRIQRIRESTRATIKIADAIARHEERVIIISSKDNDEKITDAEKALEQIANLILKEDDSSLDASKVTAGHVAANTIRLLIAGTQAGGLIGMSGQNIEKLRNSSGASVTVLAPNQLPLCASAHESDRVVQLSGDLPAVMKALEEIGYQLRENPPRQVISISPTYNYAAIRPSQPYLDPSSVDYVTFEMLISETMVGGLIGRCGANISRIRNESGAMIKVSLLITSCIVAQQWLRYVIN >OIV89599 pep supercontig:LupAngTanjil_v1.0:KV862272:373782:388859:-1 gene:TanjilG_15872 transcript:OIV89599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRVRRKVARESKVIVVSGSCPEIQDEISDLKCQGIVDWRSLPNDTVIQLLSCLSYRDRACLSSTCKTWRFLGNSPCLWTSLDIRSNKFDANMASSLAPRCVHLQKLRFRGAESADAIIHIQARNLRELSGDYCRKITDATLAVIAARHELLESLQLGPDFCERISSDAIKAVAHCCPRLSKLRLSGIMDVNADAINALAKYCPKLTDIGFIDCLNVDEVALGNVSSLRFLSVAGTSGMKWGVVSNLWHELPNLIGLDVSRTDIGPSTVARLLASSQNLRIMCGLNCPILEEDTSFSASKYKNKLLISLSTDIFKGLGSLLFGDTNKGKNVFLDWRNSKSNNKELNEIIPWLEWILSHTLLRSAESPQQGLDKFWIEQGASLLLSLTHSSQEDVQERAATGLATFVVIDDENARIDCGRAEAVMRDGGIRLLLGLANSWREGLQSEAAKAIANLSVNANVAKAVAHEGGIDILAGLARSTNKLVAEEAAGGLWNLSVGEEHKGAIAEAGGIKALVDLIFKWSSSGEGVLERAAGALANLAADDKCSTEVAGAGGVHALVMLARHCKFEGVQEQAARALANLAAHGDSNTNNAAVGQESGALEALVQLTRSPNEGVRQEAAGALWNLSFDDRNREAIAAGGGVQALVALAQSCSNASPGLQERAAGALWGLSVSEANSIAIGREGGVAPLISLAKSDAEDVHETAAGALWNLAFNPGNALRIVEEGGVSALVYLCSSSVSKMARFMAALALTYMFDGRMDEFALVGTSSESASKSVSLDGARRMALKNIEAFVLIFSDPQAFAAAAASSAPAALAQVTESARIQEAGHLRCSGSEIGRFVTMLRNPSSVLKACAGFALLQFTIPGGRHAKHHASLMQNAGAARVLRGAAAAASAPLEAKIFARIVLRNLEYHQIEHTI >OIV89600 pep supercontig:LupAngTanjil_v1.0:KV862272:399434:402646:-1 gene:TanjilG_15873 transcript:OIV89600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKETERENQEEIENNEKNLSHILTKEEEEEQLQLNSVVDPTHQFSMLHLHCPAACHFHHHPSCCATAIPSTSLKRRSPFPSSGEPSPKKPSSSSDQEDDIDLRGYTIVALPDNGGCGGATHPRVLRRCVSDPCKSPAASFPVNSPECGNPVNSPVRGCGLPPLHPLLKRCTSELTPSPARVVSNSFSSDESPDSKRLRRMKGNLRVMRQLWDEVVKDEEEEQDNECADADDKVFISPQDEIKGHSEEAVRVEWAKKCLRIIFGCACGKSYEVLISGNKIYYKLV >OIV89596 pep supercontig:LupAngTanjil_v1.0:KV862272:254106:257090:-1 gene:TanjilG_15869 transcript:OIV89596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLNELILSGLVLSYSPKSEQAWNHRRWVIKSISAISSNLKDILEKESELVEKIAERSKMNYRAWNHRCWLISYMTREQVIDELKKSRSWAELHVADNCCFHYRSQLMLKIMKDSSCLVETVSFSHMVDIDKAWKDELDWNGTLIKRYVGREALWLYRRFISSCWINHFLTDSGDASHHFKESITNYHDFGTFLKKELCLIDYCSTVVDNEFGDFEAQAMHSASYLLWLKVKVPKPLENELLEKMRVIELKTLVEKSYPERSSLFNYFMS >OIV89603 pep supercontig:LupAngTanjil_v1.0:KV862272:525618:532322:-1 gene:TanjilG_15876 transcript:OIV89603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRLVRLCSFPVRYNNFSILQESYKVGRVRAFQTGQRIRNTIGFPIHRVFVSPFLRCIQTASEIVAALSAVGESSGGDTGDPVVMDPAKVKVSVEYGLCEMMNRQAIRLDVVPNDGNWNLDASEREAMLPAEAVDTYVERVYKELPQWEEPVLQTRARFQRIFKDLANKYPSENLLLITHGEGVEVALSSFKKNAKVYELQYCAYVELKRPVFNKQRSFTTGEFHVVTNSGETGISYFLPKCIGS >OIV89598 pep supercontig:LupAngTanjil_v1.0:KV862272:292558:292871:-1 gene:TanjilG_15871 transcript:OIV89598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPGINKGNAKDLEECAIEQAPLSVGNEDVEVNLTGIYRRDWLNIQRYEVESQMCAGNASSLICDGWSEPPRIRYVSI >OIV89595 pep supercontig:LupAngTanjil_v1.0:KV862272:250480:252933:1 gene:TanjilG_15868 transcript:OIV89595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRWSGILRVPLHPNSRTQHRVGASLCLSPGTRTLTVPSANAIFFCGDRVEGTGNPVIERLSDLQKLSEIIVSKFGSFINAWVIEASTYNGPFAIFKDFISSVNQYGEPTLYDPTEFPASTSSVSLLSNCLEEVNKVISGKPVDTHYDCSLSSRFSHPKTYILGFSKGGTVLNQLVIELAFADIGSNVSSYPPHLGKPIDSKFRECEEMHIVPKTKESLFNSIIEIHYVDVGLNTTGAYLTSHDVFGRISRRLIQGASQIRFMLHGTPRQWNDKRRYWISNEKDTMLHLLESEAHKSGGKLQVCQKYYFADKTPDMQMHFEIIESLDVN >OIV89597 pep supercontig:LupAngTanjil_v1.0:KV862272:270977:271249:-1 gene:TanjilG_15870 transcript:OIV89597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSNTRLSNYLAFPYAQTEDEVLIPNQAFKEELHDIENVGNQLVEEPVECRKFLELMPEIIWLS >OIV89604 pep supercontig:LupAngTanjil_v1.0:KV862272:561169:562733:1 gene:TanjilG_15877 transcript:OIV89604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGCVPLLGQDLPSATQNRSSDAYKNFLERSNGITIADGILLNSFLQMEPDTIRALEENLNGKMSLHPLGPITQKGSTIEENGSECLRWLDNQPPSSVLYVSFGSGGTLTQDQVNELALGLELSDKKFLWVLRAPSNSSSAAYLGAQNDDPLKYLPNGFLERTKDKGLVVPSWAPQIQVLGHNSVGGFLSHCGWNSILESMQEGVPLITWPLFAEQRMNAVMLTNGLKVALRPKVNEDGIVEKEEIAKVIKCLIEGVEGKEIRKRMNGLKDAAANAIKEDGSSTHTMSELATKWENFGGI >OIV89601 pep supercontig:LupAngTanjil_v1.0:KV862272:439515:442760:-1 gene:TanjilG_15874 transcript:OIV89601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLIPYTTKTHSPFSTIKTKPINKGNSFSPFIFKVLFFALFLIAVPLFPSQAPHFVNQTLLTKFWDLIHLLFIGIAVAYGLFSRRNVEHEIIEIETESSVVCGTDSYVSKMFPVSTIFDENENPCGFDEKRVMHCWNPQYFDGGAVDVFDEQFETQLPISEDNFNCSVGFDGTTVVQAWNSENYESEPVVVVAQPCYTIGECGEAVGYKPLGLPVRSLRLVSEGVDGVIYSNESDSSSGSKGSCKSSVKSRDREFRDIGPSFLERKFNNDAAAGGASASPVPWCSRSRKMGREKGYGNVTHPMHLRPYSVDETKFGALGSGPLPSITPFSSHVAMYSSLNSTSSNNMNFYEVEVGKEEASYVPASEKMNFQEEDLRQENTSFVPGSENMNFEEEDFRESKTSYVPGSENLIFQEVDLGKKKLQGSSSRNGRMATKRKHAAASYPSHFKPTSVVETQFASLTSRSFQSVESLSLHPSMYSSFDSSISDNVNFQEEDIEQKKTFPMHSSENMHFRGEYMGQKNTFYAHASENMNFQEENLRQRKTRNVPTSENMNFLEEGMVLKKTSYVHASENVNFQEENLRQRKRHYVPASENMNFQEEEMDLKKTSYVHASENANFREEILGQGKTSYVPASEKMCFDEEKMGQKKTFYVHASENMIFQEENMGQQKISSVPASENMNFQEVDLRKISRKPSSRNGRRETKGKSAAVSNPSHFRPISGDETQFEEGNVNFRDDNLGQKKTCYVTGSENMNFEDGDLGKNIPQGPSSSRNGRMETNRKSAAASHPSHIRSTSVDEPQFESLSSRSFQYMGSFSSRMSLSSSSSSENMNLQKEEFGENKSSHGSSSTSSSPPNRKNNDPIYSNESLLQGDIQSNLGDNSGDFNETRGEEDRRGNKKSGMHAMLSDSEKLASLPKIPSRGKSVRTRRASGLSLGLNRTGEVSSKQTDEKVEKKPNTVEAASARKDKMESEEPDFLLKAASKKTLDSYCPPKPEVIFSNRRIGDKLEPSKNVSDEDSNIELENIQLSSDEDVVSEHVNDSGLDSEVDKKASEFIAKFKAQIRLQKMGSIDGSKGQKTIRNFVR >OIV89590 pep supercontig:LupAngTanjil_v1.0:KV862273:150633:151249:-1 gene:TanjilG_17588 transcript:OIV89590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGANISDLVERSPKSSQILLTFHSTAKWKAYFDCYKETNKLMVIGFMGTWCVPCKYMEPVIRQFAAKYTDVEFIKLDVDELMEVAQAFQVQAIPTFILMKKGKVVEKVMGPKREEWQKLIEKHRN >OIV89592 pep supercontig:LupAngTanjil_v1.0:KV862273:325397:326001:-1 gene:TanjilG_17590 transcript:OIV89592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGANISDLVERSPKSSQILLTFHSTAKWKAYFDCYKETNKLMVIGFMATWCVPCKYMEPVIRQFAAKYTDVEFIKLDVDELMEVAQAFQVQALPTFIVLKKGKVVEKVMGPKREDLQKLIEKHRI >OIV89591 pep supercontig:LupAngTanjil_v1.0:KV862273:245406:247315:-1 gene:TanjilG_17589 transcript:OIV89591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPRRLDDGTKRYIYNLGKKIASSTLRVSPRLVVPNDSLRIVPVSDDDTFVYLVSRWTSPCRIFTRVVKKGICRRSDLVVVEADDLIFEVWARREARARTSGRMIEEISDSKNGDEDEEDMAIPNVLWYSADVVVLLPEHVVTLLLEEHPASEEPMPYPPVIIIGSDIEMKEDLEEDPDKRESSDS >OIV89588 pep supercontig:LupAngTanjil_v1.0:KV862273:5113:5816:-1 gene:TanjilG_17586 transcript:OIV89588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGANISDLVERSPKSSQILLTFHSTAKWKAYFDCYKETNKLEVAQAFQVQAIPTFILMKKGKVVEKVMGPKREEWQKLIEKHRN >OIV89593 pep supercontig:LupAngTanjil_v1.0:KV862273:549454:550854:-1 gene:TanjilG_17591 transcript:OIV89593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKNSIKFYVVDNFTNLPGHKIITDPERLGFVGNLIITVLDPQGKILNKNALDLIFKWGIDAFPFREKDGIDITLKWKWLWDVLKKVIPGLKIKEDRYIFIYGGTNNKWIQDFTIEWDSFKRDYDINREEIIIDHYQLGKDGPNKVPSFWIGVEWNKQNKLRQQEIVDCEIQEIINSLFCLKRDPQGWVILSKGSNIKLLGHGEAVYQTVRQFQYWKSNVTLEKGLFDIAFKEYYDTKIKEISTLEPCSVNFDNFSSSVIATVTCPNPTCARLMEVTPVNCKCYLQDDPNNCGCRFKRRRNI >OIV89589 pep supercontig:LupAngTanjil_v1.0:KV862273:119629:120245:-1 gene:TanjilG_17587 transcript:OIV89589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGANISDLVERSPKSSQILLTFHSTAKWKAYFDCYKETNKLMVIGFMGTWCVPCKYMEPVIRQFAAKYTDVEFIKLDVDELMEVAQAFQVQAIPTFILMKKGKVVEKVMGPKREEWQKLIEKHRN >OIV89586 pep supercontig:LupAngTanjil_v1.0:KV862274:67817:68026:-1 gene:TanjilG_18168 transcript:OIV89586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADWGPVFVSIVLFVLLTPGLLFQLPGRSRCVEFGNFQTSGAAIVIHSLLHFALICVFLLAVKIHLYLG >OIV89585 pep supercontig:LupAngTanjil_v1.0:KV862274:63243:63599:1 gene:TanjilG_18167 transcript:OIV89585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRMGQEDEQSRILCELSALVYNMLRTPMDGGSSHRSLPEITPAGFASLLLGMSLALMVCGSVTFVIGFMLMPWVIGLVMVLYVAGVLSSLSSFLSWVAGPRKDVPLPGKQTKSTIAS >OIV89587 pep supercontig:LupAngTanjil_v1.0:KV862274:72377:72873:-1 gene:TanjilG_18169 transcript:OIV89587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATNEILTILLFLCLISQGYGFCSIKDLTVDVAKSGAEIKGKPEWVVTITNKCACVQKDVKLNCKGFNTVEQIDPSILSVSDNVCLVVNNGNPIYRDPITFKYAWDTQFPLNPIESQIACS >OIV89583 pep supercontig:LupAngTanjil_v1.0:KV862274:5337:6212:1 gene:TanjilG_18165 transcript:OIV89583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEVALFVSNPVTRSLLHIPIMEQVRKNVNADLKIVFECDSNGFIVFIFHTLDWSPFFDCQVLSSEEGVWKSKETRFFTGPRDLRFDMPVCFNGAIHLISTCSPYEDMSMPYIVSYNYENGMSRMLSVPKEARRGFHHVSCDMAIFKWGKVTNSTESICLVRLLKSAFTVWVLTDYESNSWRRIMKAKVIAMVGSREQNPVVTGYIVLNDECLVFTTENKVYSYNWTQMEIMEIGEHKCESSKISIISYSNTLRPCGILSSPSYNVNAISLDEESTPSNSEVLPSSLQVVP >OIV89584 pep supercontig:LupAngTanjil_v1.0:KV862274:12981:61275:1 gene:TanjilG_18166 transcript:OIV89584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVFPSNTHRNSSSSNDAVSLPTIPLYRSAPPLEVRLEEFESFAIDRLRVLKGISDGLSRGKKPEEMATLVKDLWKANMRHQHASEVLNKDIISHFVLRLVYCRTYEPSVFYVFLFYFSFMPFKHFTMQEELRKWFLSMESALFRYRFREQVPEAQRVIMEEFDLPYKATSNVEYESVKEKLVQVARSLGQNSSTVDAIYYKVPFEEVPELVAGRKVFLSQGWAYVAMNQVVSLLATLFRSQLSKALILTNRKWTSSIREKEKHRLAPIVEALSSSYLGPDYSQPREYGEISLKDIDQVVKSSFPLCMRHLFEKLREDHHLKHGGRMQLGLFLKGVGLNLDDALAFWRAEFSKKVGLEKFEKEYAYNVRHSYGKEGKRTDYTPFSCQKIISSTPGAGDQHGCPYRHFSEENLRAALSRMGVNSRAMEDVMNKVRNRHYQLACTLTFEAIHGTQCDAGINHPNQYFSDSQKIFQQKELSSQQEYLKLKARYESLQRSQRNLMGEDLGPLSSKELESLERQLDCSLKQIRSTRTQFMLDQLSDLQRKVHDIGSRVPYPRFQ >OIV89669 pep supercontig:LupAngTanjil_v1.0:KV862260:25236:63420:-1 gene:TanjilG_08333 transcript:OIV89669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYSNVDTEREIVKSLSDLGRNLLKSISLQVEDKNLLQLLNELALVLSTMDQAPTEPVQKSLLPSMKALISDELLRHTNEDVKISVTCCITEIARITAPEAPFHDELMKEIFKLIVSAFEKLSHASGHCYEKVLSIIDNFANLRLCLVMLDLECDDLVLEMFQHFLTNIRSNHLRHVIDCMETIMTMVLTESEEISSDLLRTLLNSVRNENQTISPISWTLGEKVITNCAVKLKPYLMKAVESSGRTLDEYARIVSTICQNGFESLQHDHSNGSKKTVVTKVHEPVITCVIDIQTTDDTKSNMRSAGASASTKDSEVTKKSRSKRKMHSDPTKHSKSSNIKTDSENGNLVSEQEPKSETRLNTVPRKRDHKQNSSLNAKEGYDGSCISLGTKTGKSALSRKARDSSSSFSPSENPASRKGKLQSKPKTESGALVSKPLNVNIVKPAQSRKTHNIGSIVSSDESPASNKVNAQSQPKYIRKGHEASNSKPKADENTYVASALTNDNIPHGSRSKRRQQRKRNRTDNQDDDYKSVSKLKEGNLNPLLEETASKSNGSRMEKEPESGKDAEAKPKTSIRIKFPAKKHDEKTVVAPKLAVAEVETKDSCENREDARSSVRRKRNQTTVSETRDLGDSLVGSRIKVWWPMDKMFYEGVVDSYDPVKGKHKILYADGEVEILNMKRQRWEVIAANVPPDEEQGLALHKLAEASYIAQKIKEEPDLESDKSKNISFRSRCVLHMSHDVLMCDPKNEHLKELEGAKERLTLHKIDLIDLHSLKAVFQGCDGVFHTASPVTNNPEEMLVPAVNGSKNVIIAAAEAKVGRVVFTSSIGAIYMDPNRSRDLVLDESCWSDLEYCKNTNVKLQNWYCYGKVVAEQKAWDVAKEKRVDLVVINPVLVIGPLLQSTINASINHIFKYLTGSAKTYVNATNSYVHVKDVALAHILVYETPSSSGRYICSESSLHRGELVEILAKFFPQYPIPTKCSDEKNPRVKPYIFSNKKLKDLGMEFTPVKQDFRSKLYVKETDEHQKESIRLKREAKLRGGFYVDPEVKLFFTIRTRGINAMDPKSRKILQLMRLGQIFGVVFLNVNKATSNMIRIW >OIV89668 pep supercontig:LupAngTanjil_v1.0:KV862261:1196:2142:-1 gene:TanjilG_08425 transcript:OIV89668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREEDEDEEEEEEEDEDEEEEEEEDEDEEEEEEEDEDEEEEEEEDEDEEEEEEEDEDEEEEEEEDEDEEEEEEEDEDEEEEEEEDEDEEEEEEEDEDEEEEEEEDEDEEEEEEEDEDEEEEEEEDEDEEEEEEEDEDEDEDGMRMRKRRRRIG >OIV89706 pep supercontig:LupAngTanjil_v1.0:KV862251:99427:99942:1 gene:TanjilG_05161 transcript:OIV89706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTTTQIVVIEEQPPKQEHEQEPIKKILSLFNNFKIELQQLPFFNPKPKQNNKTSPLVVADDQHKHKKEQDQEVEGTQVPKIVRFPKNQVVVTSHLETKVDPQDSSYKTSNPVILWQCGY >OIV89707 pep supercontig:LupAngTanjil_v1.0:KV862251:104998:127452:-1 gene:TanjilG_05162 transcript:OIV89707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLPKHRNARATSLRDATESSKMEGTGSLDSLEWTKIEPISRYVSNANLDFLLEAEQVVAESEGTRKIIALGTIPLATIEKFNKIVVKVQSNARQLDKIPPQRLLQVLAQALHLDFGTQMGYNAVISLQTRRHDKLLSLLRRVIFDALQRCIKPARFWDLYAFASGPSRFKNTSPQVRLLDEYFRLIGKGSYRASINMIESGSFTLSNDLWRISSVNFNYKMCQSYPFALLVPNIVSDDELLQASNFRARGRLPVVSWCHPGTGAVLARSSQPLVGLMMNMRSNMDEKLVAALCSKLDDGLQRKLYIADARPRKNALANGAMGGGSESSSNYFQSEIVFLGIDNIHAMRESYARLREYVDTHGRASSDGMSSFLRHGASTWGGGNLSSMSTSVSTLGDSGWLLHVQNVLAGSAWIAARIAMEMASVLVHCSDGWDRTSQLVSLANLLLDPYYRTFAGFQALIEKDWLAFGHPFSDRVGMPSLPGSGNMPSELSRQSSIGSFSTSSMRQSSGAFTSQPPASSQTHNSNNYSPIFLQWIDCVSQLLRMYPSAFEFSAAFLVDFMDCMLSCGFGNFFCNCEKERLQCNVFEACGCLWEYLADLRKSGGSHVHYNPFYEPLKHNGPLLPPAAALAPTLWPQFHLRWACPEEAKAGEIEEQCRKIIMKNSEMQKAKEVAERKAKEIINAMESLNAELRMEKQQNNSAMNIAKRTSKENMAIKRAIQSIGYKIHFSSNGDCNVDIESNTLEAAPNCLYSSTGDNDGINAIGRVCETLCPFRSADGGCRWPNGDCAQFGSQFVGLKANFDAFDQLSINDSYFKSE >OIV89701 pep supercontig:LupAngTanjil_v1.0:KV862251:23650:25203:-1 gene:TanjilG_05156 transcript:OIV89701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLSSSPSLPSLSHYYHLIFTALAFLISALIFLLAKKGKSKKLNLPPGPPGWPIVGNLFQFACSGKPFFDYVNDLRSKYGSIFTLKMGSTTMIIITDPKLVHEAMIQKGVTYATRPSENPTRNIFSANKCTVNASVYGPVWKSLRRNMVQNMLSSSRIKEFRGVRNKAMEKFINRLRSEAEKNSDGVVLVIKEARFAVFCILVAMCFGLEMDEEAVERMDQVMKNVLITLNPRLDDYLPILSPFFSKQRKRALEVRKEQVEFIVPIMEKRKSAIQNPGSDHTATTFSYLDTLFDLKIEGRKSAPSNPELVSLCSEFLNGGTDTTATALEWGIAQLISNPKVQTKLFQEIKETVGDKKVDEQDVEKMPYLHAVVKELLRKHPPTHFVLTHAVTEATTLAGYDIPTHANVEVYTPAIAEDPRLWTNPEKFDPERFISGGEDADITGVTGVKMMPFGVGRRICPGLAMGTVHIHLMLARMVQEFEWSAYPQGKKLDFTGKLEFTVVMKESLRATIKPRT >OIV89703 pep supercontig:LupAngTanjil_v1.0:KV862251:40446:43424:-1 gene:TanjilG_05158 transcript:OIV89703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGFSSATTFEGVHYGIASQMNFIWLQVRSPFIVPVLKLCVVLCLVMSVMLFLERVYMGVVIAFVKLFGYKPEKHYIWEPLRDDLELGNTAYPMVLVQIPMYNEKEVYQLSIGAACGLSWPSDRIIIQVLDDSTDPIIKNMVAVECQRWASKGINIKYEIRENRNGYKAGALKEGMKHSYVKLCDYVAIFDADFQPEPNFLWRTIPFLVHNPKVALVQARWKFVNADECLMTRMQEMSLDYHFLVEQEVGSSTYAFFGFNGTAGVWRISAINEAGGWKERTTVEDMDLAVRASLKGWKFVYLSDLKVKNELPSTFKAYRYQQHRWSCGPANLIRKMAMEIIRNKRVSMWKKLYVIYSFFFVRKIIAHVVTFVFYCVILPATVLVPEVEVPKWGAVYIPCIITLLNAVGTPRSLHLLVFWILFENVMSMHRTKATLIGLLETGRVNEWVVTEKLGDALKTKSGGKTPKKPRIRIGER >OIV89704 pep supercontig:LupAngTanjil_v1.0:KV862251:56068:60997:-1 gene:TanjilG_05159 transcript:OIV89704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVVIAFVKLFGYKPEKRYKWEPIRDDLELGNTAYPMVLVQIPMYNEKEVYKLSIGAACGLSWPSDRIIIQVLDDSTDPVIKNMVKEECQRWASKGINIKYEIREKRNGYKAGALKEGLKHSYVKACDYVAIFDADFQPEPNYLWRAIPFLVHNPQVALVQARWTFVNADECLMTRMQEMSLDYHFQVEQEVGSSTYAFFGFNGTAGVWRISAINEAGGWKERTTVEDMDLAVRASLKGWKFVYLGDLHVNNELPSTFKAFRYQQHRWSCGPANLFRKMAMEIIRNKRVSAWKKFYVIYSFFLVRKIIGHVVTFIFYCIVLPASVLVPEVEVPKWGAVYIPCIITLLNAVGTPRSLHLLFFWILFENVMSMHRTKATLIGLLEAGRVNEWVVTEKLGDALKTKSGGKTPKKSRIRIGERFNFLELGMAAYLFFCGWYDLAYGKNRFFLYLFVQSVAFFVVGVGYVGTFVPSS >OIV89700 pep supercontig:LupAngTanjil_v1.0:KV862251:12011:15337:1 gene:TanjilG_05155 transcript:OIV89700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISSGVNLVMTVIGFVVSTLFIVFVCTRLICARVHLNASRRSFPVASRSNLSMMERGCHGLERAAVAKFPKKKYSDKFFSDAENTQCSVCLSEYQGEDVLHILPYCGHSFHVTCIGIWLQQNSTCPVCRISLREFPERKQLMQPLSSSVLRPHYSMESFDSDHYYCMMTNNGLSSTIPENNAANTTTEDHFPPEGGLEPARDTITCLSPVDLIKDERKKHVESPSNL >OIV89705 pep supercontig:LupAngTanjil_v1.0:KV862251:92062:94365:1 gene:TanjilG_05160 transcript:OIV89705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTNAHNSGEVYTSDSEKGFAINHSHSTPPQEDAGLKFVLKSQGSWFHCGYHLTTSIVGPVLLTLPFSFTLLGWGGGVLWLTLAGLVTFYSYNLLSMVLEHHAQLGRRQLRFRDMARDILGHGWDKYYVGPLQFLICFGTVIGGPLVGGKSLKFIYALYNPDGSMKLYQFIIICGVITLLLAQLPSFHSLRHINLLSLVLSVTYSALVMIGSIYIGHSKDAPPRHYSLQGSHVDKLFGVFNGISIIATAYASGIIPEIQATLAEPVKGKMLKGLCLCYSVIAATFYCVGISGYWAFGNEASGTILANFIGKTETLLPKWFFLMTNIFILVQMMAMTAVRTTT >OIV89702 pep supercontig:LupAngTanjil_v1.0:KV862251:30328:37121:-1 gene:TanjilG_05157 transcript:OIV89702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVSSPSTAASPPPPHSDDHRISNPTHGYRDAESLFRSKPIAEIRKTESETRKQIDDKKEELRQLVGNRYRDLIDSADSIVRMKSSSTSISANIAVIHDRIRSLSHSQSNSNSNSQTKLHSQPRAWTYGIACRVKYLVDTPENIWGCLDEAMFLEAASRYVRAKHVHHRLFEDNGDEQKNKILLNFPLIQHQWQIVESFKVQISQRSRDRLLDRGLPIAAYADALAAVAVIDELEPKQVLGLFLESRKSWILHVLGNAGVDDASTVVVSVLCDVLGIIQVSVGQVGELFLQVLNDMPLFYKVILGSPPASQLFGGIPNPDEEVRLWKSFRDKLESIIVMLDKHYIADTCFTWLRECVNKISGRNLIDAIGSGQDLASAEKSIRGTMESKQVLEGSLEWLKSVFGSEIELPWSRIRELVLEDDSDLWDEIFEDAFVRRMKAIIDLKFRDLTRAVDVVNSISTVGESFAKQNDVQGYLNRLSRAGGVWFFESNSRKTGGASGFKVQPEENEFQTCLNAYFGPEVSRIRDAVDDSCQSILEDLLSFLESPKASRRLKDLAPYLQSVCYESLTVTLTALKKELDNLYASMENGDMEVPTTVTVEKSLFIGRLLFAFQNHSKHIPLILGSPRFWVSGNTSAAGKLPSLVKQSRFGSDSSVYDSPGRQTSLGSRRQNSFASDALLGAREGASNELEELNRTIGDLCIRAYNLWILWLSDELSAIVSRDLKQDDALYLSTPWRGWEDTIVKQDMSDENQSEMKISLPSMPSLYIISFLFRACEEVHQVGGHVIDKKILQKLASKLLERVIGIFEDFLSTEGGSQQVSEKGVLQLLLDVKFVTDVLSGGDSNASGELSSNLKPKFPVRRKQEHSLPTSAVRESLERLLNSLSQRLDPIDWLTYEPYLWENERQSYLRHAVLFGFFVQLNRMYTDTIQKLPTNSESNILRCSTVPRFKYLPISAPALSSRGTKKTFTPSSNEISSRSSWNTLTNGELSPKINLDDSSSLGVAAPFLKSFMQVGSRFGESTFKLGSILTDGQVGIFKDRSAMSTFGDILPAQAAGLLSSFTATRSDSL >OIV89698 pep supercontig:LupAngTanjil_v1.0:KV862252:6371:7611:-1 gene:TanjilG_05181 transcript:OIV89698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKKGKHFSLKVKIADSVPSSGWNIFPHFKVGLTNQADTKNSIVKARQTKCSEETMSFTTRTDLELQSQDDFGSSITPSEETPSPKHVEFDIIKPPLEFTQSPKQAYYKPTAPPLYPPIYDDGPKVKPLIHLSEVIDINSLGPEATTFYPLLEEVCLRHPSLIQSQMRKGHKYVIWSFLALGDVLYFLKTMKVRNMNDEACKHLECIWEEAQLFGFNLTWLEPHVQSALNIKTYLEKAEKVKNLRENMVDLEIEVRKLKRKLAVIEVDLEIVRRNLEEFENGFEEKDINAEMGYEI >OIV89699 pep supercontig:LupAngTanjil_v1.0:KV862252:10204:13403:-1 gene:TanjilG_05182 transcript:OIV89699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEMAKIERERENNNNNINELNEEAQMEKLPNPLPYRRNKALVKELSVPPPGAKDLYFPSQYSQPTMGQFKSCLWKQYLTYWRSPDYNLVRYMFTLLVALVVGTVFWKVGTKRSNSGNLTTIIGAMYGSLFFVGVNNCQTVQPVVAIERTVFYRERAAGMYSALPYAIAQVIIEIPYCFVQTMLFSFIVYAMVSFEWQVAKVFWFLFVSFFTFLYFTYYGMMTVSITPNHQVASIFGAAFYGLFNLFSGFFIARPVSGVGDHNEDKSKTLEDTKKKVRQLQESWTSLLDDMIDSIYVAMETKAGNDQGDVVVIKRARLEVPCDYA >OIV89662 pep supercontig:LupAngTanjil_v1.0:KV862262:23022:24115:-1 gene:TanjilG_08767 transcript:OIV89662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMLRPLLTIFLITFIISLISVLKVEAAASIIFYNKCPHPVWPGIQPGAGKPILARGGFKLAPNKAQSLQLPPLWSGRFWGRHGCAFDTTGRGKCATGDCGGAIFCNGIGGTPPATLAEFTFGNDQDFYDVSLVDGYNLPIAITPIKGSGKCSYAGCVSDLNLMCPVGLQVRSRDMKRVVACKSACSAFNSPRFCCTGSYGSPQACKPTAYSRIFKTACPKAYSYAYDDPTSISTCTRANYLITFCPHHP >OIV89664 pep supercontig:LupAngTanjil_v1.0:KV862262:126911:133975:1 gene:TanjilG_08769 transcript:OIV89664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQSTNLWVLLGLGLAGVLLISRKLKQTIKEDFGAFIKKLQLLPPPQPAPPKAPHPLTSLTFAISDLFNIEGHVSTFGHPEWERTHEPASSTAPAVSALVEGGATCVGTTVVDGLAYGISGINKHYGTPTNPAVPARVPGGSSSGAAVAVAANLVDFSLGIDTVGGVRVPAGFCGILGFRPSYGAVSHLGIIPVSTSLDTVGWFAKDPNILRRVGHILIQAPFVIQRNPRQILIADDCFQYLNVPLDRSSQLVINATEKLFGRQVLKHINLEDYLTSKVPSLKESPSQKTNGQLKVSSLKSLANIMQFLQRHEFRLSHDEWMNIVKPDLHAAVSAQLHEKLEISDVEIEKTKNVRSEMRAAINTLLKDEGILVIPTVADPPPKLGGKEIVSEDYQSRALSLLSIASISGCCQVTIPLGFYDKYHVSVSLIAWHGGDRFLLDTLQAMYTTLQEQVDFAAKSKSSKKVVSKEESAEIAKEKGNQAYKDKQWQKAIGFYTEAIKLSGNNATYYSNRAQAFLELGSYVPAEVDCTKAISLDKKNVKAYFRRGTAREMLGYYKEAIDGKISIFSHIDEHHSYHSFNKLMRLFEKANM >OIV89665 pep supercontig:LupAngTanjil_v1.0:KV862262:140028:141419:-1 gene:TanjilG_08770 transcript:OIV89665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVTLKDPTTTIGATIHRKVFTEEQFRKDITVGSALVLHKVAVFSPTPSSCYLNITLRNIVKVFSKETGPPSEQVYPTQSVRRPTSSIERNEKSWKELTPLLGNTLSPPQKRTEGIMTSLRLDLRCTEVASSDKQNEENLVQTSFHSDHGNEGNQENVLDREKLSPRQDNAHIPVEVTCGGVLESEIGDQPNPPKLGEGDNLACSAQGNSSSTTSACTSNGQESGISDHLYKQKEIVNPENSIPQWTDEQLDELLAFD >OIV89667 pep supercontig:LupAngTanjil_v1.0:KV862262:227217:242819:1 gene:TanjilG_08772 transcript:OIV89667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIVIFSKTYASSKWCLNELVKILQCRSSESHRQVVLPVFYRVDPSHVRNQSGCYREALSKFERNYQKLYIQKKMPQWKKALREAGNISGWDSSNFKDNPQLIEKIVKDIEHKKSDRYPSELEVDRGIVGIDKSCEEIQALLSKDTNQSRKNVKVIGIWGMGGTGKTTIARAMFSQLSPMFDSVYFMDHIRELSKNSQPSILAALRHKLLSELLKDENLKFNHVVSTSTRTRLNEKKVFIVLDDVDSVDQLDVLCTVCDYVAPQSKLIITTRNKRLIEGRVDEIYKVKIWDFDASLELFCLHAFEQRFPQKAYKALSKAAVHDAGGVPLALRVLGNNLHSKSVKFWQGELKKIKRYSDDKIEGVLRVSYDGLDIPQKKLFLDIAFFFLDENKDFTERILNACDFFATSGLEVLEDKALITISSNNRIQMHDLLQEMGLNIVRHSFEDPGRRSRLRDVQDVYDVLQNKKGSDAVEGITLDLSQLDGLLSLSADVFNLMTKLRFLKLYIPLEKRSDLLSLSIGGFNIMKKFNFFALEKRSVMMDYPRVLNKISDKLRYLEWHGCRLNSLPTTFSAKMLVEIHMQYSHVTELWYGVKDVVNLERIDLSESKQLKSLPDLSKASKLKWVNLTGCESLLVVHPSVLSLNTLETLIVDSCKKLKNLKREDPLRSLKNISVNGCTSLKEYGVSSNLIENLDLSKTGIQILNSSIKNLTRLHSLNLESLSLKNLPNELSYLKSLSDLKICNCALVLDKQKLHDIFQGLEHLKILYLKDCPKMSELPNNISGLSYLYELRLDGSNVESLPESIKNLDNLEILTLNNCRKLHCLPMLPLHVRVLSAANCRSLTTLDTLKTFARRMRGKEKFISFQDCLKLHSNSLNCIMEGTQLTMNRALLLNKYDDNFGLKADSYNYNLVNVCLPGKRVLRQFKHQTTNSSLTIALRGAKELLGIILCAVLSPSEELMTQDARIWCQCYLEDGITKLGNPSSWYHKATTKLDSGHVYIWCDSFHFDSISKGCGQKVSFKFYVTNDMEKPELNICIKECGVHLVFDEKECNGIKNEAWVSRTKRSPSFDYSFTTIMLVCFIILGILVQLGIVVQLGIMVEHLY >OIV89663 pep supercontig:LupAngTanjil_v1.0:KV862262:85976:88919:-1 gene:TanjilG_08768 transcript:OIV89663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWMVGYNEGGEFNMADYAFNGRKLRPLMPRPGTSPNNTSSAANTPCLTRIHHGNDFFQQYHNLASAAEQGKKEFMNAPPVVVSSRWNPTPEQLRALEELYMRGTRTPSAEQIQQITAQLRRFGKIEGKNVFYWFQNHKARERQKRRRQMESAAEGGHHPRDFDTALEKKDLGTSRTVFEVEQTKNWVPSSNCSNLAEESVSIQRASKATAVSEFTTDGWVQFDEGELQQRRNFMERNATWQMMQLPPHSSTVVAPYLINTPLNTSSVTARLMDPTRLIKNHDLSFFISPHRELSSINNNAKDVIDNCAVESQTLQLFPIRNGDGSSDNINQQKETDISISAMNAPPPSQFFEFLPLKN >OIV89661 pep supercontig:LupAngTanjil_v1.0:KV862262:3399:12544:-1 gene:TanjilG_08766 transcript:OIV89661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDAYDEECDYLFKAVLIGDSGVGKSNLLSRFAKDEFRLDSKPTIGVEFAYRNIKVRDKLIKAQIWDTAGQERFRAITSSYYRGAMGALLVYDITRRSSYENLRKWLLELRDFGGQDMVVILVGNKSDLDQSREVEKEEGKGFAEKEGFCFMETSALKNMNVEEVFLQMITKVYDITRQRSLEAKMNEKEINILSGKEIHVGVDEVTTTKQAICCST >OIV89666 pep supercontig:LupAngTanjil_v1.0:KV862262:189073:199836:1 gene:TanjilG_08771 transcript:OIV89666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFSELRTVVEEVELVDGHAHNIVPLDSTFPFIRAFTGASGDALTFSPHSLSFKRNLKDIAELYGCESTLQGVEEYRRVNGIQSICSTCFKSARISTILIDDGFELDKKHYIEWHKNFSPLVGRILRVERVAEQILDEGLPDGSSWTLDSFIEVFVSKLKLLNINVTAAGEIYGFKSIAAYYSGLEINTNVTKNDAEEGLTQVLASGKPVCVANKNLVDYIFLQSLEIAQFYDLPVQIHTGFGDKGLDMRLSNPLHLRAVLEDKRYSKCRFVLLHASYPFSREASYLASNKTVFLQVYLDFGLAIPKLSVHGMISSVKELLELAPLNKVMFSTDGYAFPETFYLGAKKSREVIFSVMRDACIDGDLSIPEAVEVVHDMFARNALHFYKLSSANNDVSLSNNLPQKLNINSLVTNEL >OIV89660 pep supercontig:LupAngTanjil_v1.0:KV862263:3341:29039:-1 gene:TanjilG_09384 transcript:OIV89660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMSSPSESDVMSPTPGAFRLRWDVFLSFRGTDTRESFIKSLYDSLHAHGVRAFRDDDGLDRGDEIAPSLLEAIDDSAASIVIISSDYASSHWCLEELAKICECKRLVLPVFYRVDPSHVRKQLGPFEVGFRSHESRFKNQIEKVEKWREAMKKIGGVAGFVFNNNSDKDNLIQRLVQRVLKELSNTPMSVGEFTVGLDDRVEKALKLLKVESNGVKVLGLYGMGGVAEKNEEVILHTEAFEPMVSLRLLQINNLRMKGKFLPAELKCLQWRGCPLEYISLETWPRELAVLDLSNSKKLENFWGWKGYKVPENLMVLNLSYCIQLASIPDLSGCGHLEKIVLENCISLTRIHESFGSLITLRSLNLTRCSNLIELPSDVSGLKHLESLYLSGCLKLKALPENIGSLKSLKTLLADNTAIKEMPESIFGLTKLEQLILDGCQHLTRLPNCIGHLSSLEELSLNDSGLEELSNTIGSLKNLDRLSLMCKSLTVIPDSIGNLISLTELWVNRSAIRELPSSIGSLSYLRELSVGNCEFLSKLPDSIEALASLVELQLNGTAITYLPDQIGEMKLLRKLEMMNCSNLESLPESIGNLASLVTLNIFNGKIRELPESFGSLENLVNLRLNKCKMLRMLPASIGNLKSLYHFFMEETALSDLPESFGMLSSLRTLIMAKRPALVTYNNSILAEPEVLVSIHNLNYFVLPSSFCNLTMLIELDARAWNICGKIPDDFEKLSSLETLKLGQNNFHTLPSSLKGLSVLKNLQLPNCNELIFLPPLPSSLIELNVENCFALESIHDISNLESLQELKLTNCVKVMDIPGLESLKSLRRLYLSGCSACSSHVSKRLSKVGIFSSPSLIILE >OIV89653 pep supercontig:LupAngTanjil_v1.0:KV862264:42605:62165:1 gene:TanjilG_10659 transcript:OIV89653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNSISENSIYIESDEEDEEKDLNKGGDDGHDSDSSNYSNENPPQRKPSSYNISWPQSYRQSIDLYSSVPSPNIGFLGTPSLSRLSSSFLSTSLTRRHTPEALPSVTKPLIQPIEDEQHRRSSHTLLPPLPRRSSSLKKGSKVSHEGPISSHCSFGQAVLNGINCLCGVGILSTPYAAQQGGWVGLSVLFIFALISFYTGMLLRSCLDSEPGIETYPDIGQAAFGTTGRIAISACCIEYIILEGDNLSSLFPNAYLNLGGIELNSHTLFALIATLAVLPTVWLRDLSVLSYISAGGVIASILVVVCLFWVGVEDVGFHSKGTTINLTTFPVAVGLYGYCYSGHAVFPNIYTSMANPNQFPAVLLACFGICTLLYAGSAVMGYTMFGEAILSQFTLNMPQDLVASKIAVWTTVTYSFQMARASKVDMQISDNGLEHLPNGNVEDSMQNELERMLREQQSQQFINQERDLNMYRSGSAPPTVEGSLRAVGSLRNSDFGVNNSRSSSNNNNGLLTEDEIRSHPAYLSYYYSHENINPRLPPPLLSKEDWRVAQRFQAGGCSLEGFGDWRKVATNGDSSSLFSMQPGFSVQQAENDLMELRKASGQNLSRESSYQLLDGHMGGLERMSGPGLGAKRASFASILQEGLDQPVSLSNNLSRPTSRNACGDIMDSTGIVDRESFEGLRSSASTPGLVGLQNHGVNVSNSFASAVGTSLSRVPTPEPQVIGRSFGSSVPLVGSQVFSVEKSGIGLGIPNGHSSSMSDIAGMASSLSGLNLSGVRHAGQDSLLKSKLPMGLGNHADTLLSTQSNFNLPGHNGIATNLNTFSSNDQVNLLKKTASSASLRSKVNSSVNAASPNIDFAGHVPGAYLGNSKMNAVYNSHLETALRGRRDGQIFDELGNQVGSNLHSPALDPRFIQSLQRNSNYLMHGMSGSGDPSQMRNFSDTSHGDLEGFREAYIEMLRAQQKHQYELPLLSKSGLLNNGYLGNQPYGLGMPYSGNQIANSSHPSLGSGNPMFENQHISHFNSMMRSSMGGSGGSWHADTGNNLEGKFAASLLDEFKNNKARLFELSDIVDHVVQFSTDQYGSRFIQQKLEIASVEEKAKIFPEIVPHAHALMTDVFGNYVIQKFFEHGTESQRKELACQLTGHILPLSLQMYGCRVIQKVTYSFQMARASKVDMQISDNGLEHLPNGNVEDSMQNELERMLREQQSQQFINQERDLNMYRSGSAPPTVEGSLRAVGSLRNSDFGVNNSRSSSNNNNGLLTEDEIRSHPAYLSYYYSHENINPRLPPPLLSKEDWRVAQRFQAGGCSLEGFGDWRKVATNGDSSSLFSMQPGFSVQQAENDLMELRKASGQNLSRESSYQLLDGHMGGLERMSGPGLGAKRASFASILQEGLDQPVSLSNNLSRPTSRNACGDIMDSTGIVDRESFEGLRSSASTPGLVGLQNHGVNVSNSFASAVGTSLSRVPTPEPQVIGRSFGSSVPLVGSQVFSVEKSGIGLGIPNGHSSSMSDIAGMASSLSGLNLSGVRHAGQDSLLKSKLPMGLGNHADTLLSTQSNFNLPGHNGIATNLNTFSSNDQVNLLKKTASSASLRSKMNSSVNAASPNIDFAGHVPGAYLGNSKMNAVYNSHLETALRGRRDGQIFDELGNQVGSNLHSPALDPRFIQSLQRNSNYLMHGMSGSGDPSQMRNFSDTSHGDLEGFREAYIEMLRAQQKHQYELPLLSKSGLLNNGYLGNQPYGLGMPYSGNQIANSSHPSLGSGNPMFENQHISHFNSMMRSSMGGSGGSWHADTGNNLEGKFAASLLDEFKNNKARLFELSDIVDHVVQFSTDQYGSRFIQQKLEIASVEEKAKIFPEIVPHAHALMTDVFGNYVIQKFFEHGTESQRKELACQLTGHILPLSLQMYGCRVIQKALEVVNVDQQTQMVSELDGAVMRCVRDQNGNHVIQKCIECIPQDRIQFIISSFYGQVVALSTHPYGCRVIQRVLEHCDDPSTQQIIMNEIMHSVCNLAQDQYGNYVIQHIIEHGKSHERTAVISKLAGQIVKMSQQKFASNVIEKCLAFGSPEERQILVNEMLGTSDENEPLQAMMKDPFGNYVVQKVLETCDDRSLELILSRIKVHLSALKRYTYAKHIVSRVEKLITTGGKGLIEDFLPYINLHCLLINHHHPGFSFAERRIGLLA >OIV89656 pep supercontig:LupAngTanjil_v1.0:KV862264:83573:85149:-1 gene:TanjilG_10662 transcript:OIV89656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQRRFISFIFSRNHTPYPTTQGKYGIHKGVSTNTNPIEHVSGDLENGQADNTKSSLSPENVTTSVSINEESAVKFTAKSSLKISPRHDFVMVFTCKVCETRSVKAACRESYEKGVVVARCEGCNNLHLIADRLGWFGEPGSIEDYLAANGEEVKKGAIDTLNLTLEDIAGKKP >OIV89654 pep supercontig:LupAngTanjil_v1.0:KV862264:64033:67939:-1 gene:TanjilG_10660 transcript:OIV89654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNGMDPDVVRWGLHLLDGCTHTNSGPPSIITRYDQDFSQTQYVREGFCQPTYVENDEALARAYQEELAQLESMKTSEKSNFENEHLRKSVLAQDWISSNGSYNYGNDSCQNTVDEPNNMKEMENYVPSERDNNVHEIGVSGSLSEAGEIPVTCDDFWRLLEISDESALDGEVGKRLNQMIPIPHIPKTNEKIPSDDEEISDHQRLLDRLQLYDVIERKVEGDGNCQFRSLSDQLYRSQGHHKFVREQIIQQLKYFPELYSGYVPMAYGEYLKKMSKSGEWGDHVTLQAAADWYGVKIFVITSFKDTCYIEILPQMQKSERVIFLSFWAEVHYNPIYPEGEWKHA >OIV89655 pep supercontig:LupAngTanjil_v1.0:KV862264:73097:80216:1 gene:TanjilG_10661 transcript:OIV89655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCRCTSSKHLRVLQWLPILVMSMLFIGIIHCQEMNDYDQINNPAVLPFITEIVYTRLSNLTSVLSQEISSQSTFCVKDPDADWNQAFNFSSDLGFLTSCITKTRGMKINYTHHSADALDSAGSHGSDDLTGDITRRLCTAAEVKFYLDSLLERSTSASYLEPNKNCNLTSSVPGCEPGWACSVHSDQNVDLRNSKDIPARTSNCQPCCEGFFCPHGITCMMPCPLGSYCPIATLNKTTGICEPYLYQLPPMQPNHTCGGANVWSDVSNSGEIFCAAGSYCPTTTKRITCSSGHYCRTGSTSEKRCFKLSSCNSNSAYQNIHAYGIMLIAALSTLLLIIYNCSDQVLTTRERRVTKSREAAARSARKTANAHQRWKAAKDAAKKGASGLQAQLSRKFSIKKDAENLEKFKVLNQATPERDVELLPHSYPITTSSMAASSSAVPVSKEKGKGPSGLMQIIQEIENDPEINDTFTAESETRDTKVTGNVTKGKQMNTRSQMFKYAYAQLEKEKAQQQENRNLTFSGVIKMATNTEKRKRPLIEISFKDLTLTLKAQNKHILRCVTGKIKPGRITAVMGPSGAGKTTFLSSLAGKAFGCLVTGSILINDRNESIHSFKKIIGFVPQDDIVHGNLTVEENLWFSAQCRLSADLSKPDRVLVVERVIEFLGLQSVRNSLVGTVENRGVSGGQRKRVNVGLEMVMEPSLLILDEPTSGLDSASSQLLLRALRREALEGVNICMVVHQPSYALFQMFDDLILLGKGGLTVYHGSAMKVEEYFSGLGINIPERINPPDYFIDILEGIIVPGGSSGLSYKELPVRWMLHNGYPIPHDMRQHAEQFDTSLSVNSANETGPGHDEKTFAGELWQDVRINAEVRREKIRLNFFTSKDVSNRKTPGLFKQYKYFLIRVGKQRLREARIQAIDYLILLLAGACLGSLTKSSDQTFGAAGYTYTVIGVSLLCKIAALRSFSLDKLLYWRESDSGMSSLAYFLSKDTIDHFNTVIKPVVYLSMFYFFTNPRSSFADNYIVLLCLVYCVTGIAYALSILFEPGAAQLWAVLLPVVLTLIATQQIDNKIMKAITNLCYSKWALQGFVVANAERFKDTEHAVNYFYTADIKEYG >OIV89658 pep supercontig:LupAngTanjil_v1.0:KV862264:94089:100022:-1 gene:TanjilG_10664 transcript:OIV89658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMGTNLQQLLRSLCLNTEWKYAIFWKLKHRARMMLTWEDAYYDNPDDDDCPENKCYDKTLEQIDSGNFSHDPLGLALAKMSYQVYSLGEGVVGQVAVTGKHGWICADNQARGSGPSFEFADAWQSQFSAGIRTIVVVAVVPLGVVQLGSLNKKLLGSDSYHGMLYDFDGLFNVSLIYVESFMQQVVEDMGVVTHTRSLFLSTRDYTIGYVPSQVQSRAKNSSSPLDVSTKALSSEVMPAYSHDTDKTMKSETLDMLLPFQCPGKNYSPPGVYQKMDVDVAKHEGLEFKSDGCSILLQSLSNMMNTEPNNLVEMKPETERMSGGNNTGCEDTCSEAENNFSSFLHNLVMDNNSFNDLIRPPEKVGVHSASFSSEFLDTVYCESDKLCYVDTNQNGVLNVPTSSDANSQEVTEKLQTQPCYKDTTYTLNFPAGCELHEALGPGFLKGNKYFDWAAQINHDVKTVEMPDEISCSQLTSESHREHLLEAIVTNVCHSNNDINSKLSFSKSMQSAMASGKNPEASIHTKSTINSEGFSIDESSLVREGKHHSLSSSGICGVLSPKGYCSAYPSSCSEQFERSSEPAKSGKKRARPGESSRPRPRDRQLIQDRIKELRELVPNGAKCSIDSLLEYTIKHMHFLQSVTKYADKLNNFAESKPKLHHMETDIDGSSSYQQGSSWAMEVGGHLKIRSILVENLSTDGQMLVEMLCEECSHFLEIAKVIRSLGLTILKGATEALGEKTCICFVVEGQNNRNLHRLDILWPLVQLLQSKSTMHS >OIV89657 pep supercontig:LupAngTanjil_v1.0:KV862264:88499:89743:-1 gene:TanjilG_10663 transcript:OIV89657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCKSKKSTSVVQSKNRNRNHRSPSSSYSAPPSTATATASEVPITESRSYIFNWSKSSSVSSRASLSSLKESLPENPLIYHYSEIATATANFSGHRLSSNSWRCSLRDRDVVVFQRKFRRHMDLPELRDRLAVICRSHHSSVVKLLGASVSGNYIYLVYEFVPGVSLTECLRNSRNPSFTDLSTWISRMQIAADIAHGLDYVHNFSSSGSGFVHNHIKSSSIVVAEENLRAKICHFGTSDLSGESIAGTGIASGSDSGRKLKRSGSRTVKFEGTRGYMAPEFQLSGVATEKTDVYAFGVVVLELLSGEEALRFELDSDESGGGYRRVSVVETARIAAEEHGGVRKWVDKRLKDSYPVEVAERMIRVGLECLEEDPNERPDMGRVAVEVSKLYLESQKWTEKMGNNIDLSISLAPR >OIV89659 pep supercontig:LupAngTanjil_v1.0:KV862264:117632:120783:-1 gene:TanjilG_10665 transcript:OIV89659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTSNDCSASPSLRSKKNHSHNLRSSKPKSSDPISLSSKTPEKTVELTPRLRNRGVALSVSEIRKVAKGLHDQSHRKKEADLALEKGKSVKRKISMPSPSKSKFASVQPKIPEKYEILCEFFHGLDSSIRLTQMKGRMPSFTNIVPKIECLSDRRFTLSHLAQLKFILPEGIMIKKVQVFDERTSSMKPDLHVTINRDAILSDAKLLLKCESMSESMQMRLFFRTQLGEFFKSHPEGDEIPEAVLPEPFNRPKQDCFSDILKTPSSVRPPTVLSSRMLDNDIVDFTESNREKNISAVTSIELLKQQPAVASHLSQSFRRRFWQKSMENRADTVQQNLGSDLFQTPANPAVESNPLQKNPPLVGTGSTFPVKLVSEAASSEICPTICASSGYFESSSAPIAATPSKTIEYTSTPVKLVDTPSRPMTVTPSIHPSKKHYITPDDNYSSSMNKLARRPPRSTRSLKFDSPMKNKETEDKNVVCGLSINDDIFDILPESLLESIWEKEKVTMEERDPAISQAKRRQKMIASLPKLFNMIHLMFHSMNRSLITKAELVNKIISSHRDIVDRREIEEQLNLLLELVPEWIHEKLTSNGDILLGINKTLNPGTIRASLEEAK >OIV89652 pep supercontig:LupAngTanjil_v1.0:KV862264:2551:7009:1 gene:TanjilG_10658 transcript:OIV89652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPMMVKATRFLSLPVLSVFLLMFFVYYTTIFIFIDHWVGLQSSPGTFNASIFTVFASISIFSFFVCVLSDPGHVPLSYLPDVEATTNESAKDNAERKKCDKCFAYKPPRTHHCRVCRRCVLKMDHHCLWINNCVGYWNYKAFFVFALYATTASIHSMVLFICCVFQKDWDSINGSSFKIFYVMYGTMVMVLTITLLTLSGWHVYLTLHNMTTIEYYEGKRAKWLAAKSGQSYRHPFNVGAYKNITLILGPNMLKWLCPTSISHLKDGVSFPTIRDNS >OIV89697 pep supercontig:LupAngTanjil_v1.0:KV862253:52480:53436:1 gene:TanjilG_06167 transcript:OIV89697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADIEDILVLDNGTGMVKLSQNGFSSYIVITGYGVYHYDIGTGFGHFGDVVEDVARTVDLIKMKRGKITKEPGPVKGEACV >OIV89695 pep supercontig:LupAngTanjil_v1.0:KV862253:13739:18199:1 gene:TanjilG_06165 transcript:OIV89695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSLFSGGAVGAVMQEVLRTAIEAIDKCRDFKPTLENNIDTLEALTPLVEDIKQYSKVLDRSTSHVEKLENEIEAGKELVKKCSKFGWWRFLSFPYYHDKLHARDSKLVRRLSVDMQGQLVRDSMEILVKVREILEILCRECVGVGKYEKLLRGLSGVPERPVFIVGLDESLKKLKIELIKGGDVSVLLLTGLGGSGKSTLAKMLCWDEQVKGKFGENIFFITVSKNPILMTIAQTLFEHCGRRVPEFQSDEDAINRLGLLLRQVGVGGSPTLLVLDDVWPGSEALVEKFKFQMKDYKILVTSRVAFPRFGNVFHLKPLYHDDAASLFHHFAQLKNVNNSYMPDENLVQEIVKGCKGSPLALEVIGGSLCQQPFEVWQMMKDRLKSQSILESNVDLLSRLQNSLDILEGEFSVKEKECFMDLGLFPEDQRIHVPALIDMWTELHKLNEDGTKAMNIFHNLINKNLVNLIVTRKVAMDTDMYYNNHFLMQHDLLRELAIVQSSQEPFEQRERLMIDLTGDNPPEWCARQNQQGIISRMLSFLPIRWTKQKRKQVAARILSISTDENFTSDWCNLLQPDEAEVLLLNIRSSKFTLPEFTAKMSKLKVLIATNYSFHPSELNKFELFEYLPDLKRIRLEKVSLPHLCEFKSLQKLSLHMCNTRQAFESSRIEISDALPSLMELNIDYSNDLVKLPPGLCKITTLKKLSITNCHNLSALPQEIGKLKNLEVLRLNSCSDLVAMPESVGSLHNLRRLDISDCISLIQLPKDIGNLNSLEKLYMFGCTRLSGLPYSVINFEGLDHLIYVICDEEVAALWENYTELQNLKIETSKTDISLKWLGSM >OIV89696 pep supercontig:LupAngTanjil_v1.0:KV862253:19627:25218:-1 gene:TanjilG_06166 transcript:OIV89696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRASVTVGPGMDMPIMHDSDRYDLVRDIGSGNFGVARLMQDKHTKELVAVKYIERGDKIDENVKREIINHRSLRHPNIVRFKEVILTPTHLAIVMEYASGGELFERISAAGRFTEDEARFFFQQLISGVSYCHAMQVCHRDLKLENTLLDGSPAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLLRQEYDGKLADVWSCGVTLYVMLVGSYPFEDPNEPKDFRKTIQRVLSVQYSIPDFVQISPGCRHLISRIFVFEPAERITMAEIWNDEWFLKNLPADLMDEKMTGNQFEEPDQPMQSTDTIMQIISEATIPAAGTYSLDKFMADNIDMDEDIDELESESELDIDSSGEIVYAI >OIV89582 pep supercontig:LupAngTanjil_v1.0:KV862275:19459:23466:1 gene:TanjilG_18171 transcript:OIV89582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMMRRLKSIASGRTSISSDPGGDSNTKRAKFDQETEGKVNEETNTVERGSIDQVENVDTSKETTADTSNVSLVARSGKSGYNQLPKELHEMKIKDDKGNKYNEKDIEATTVNGHGTETGQIITTAIGGRDGQPKQTISYMAERVVGTGSFGVVFQAKCLETGEAVAIKKVLQDKRYKNRELQVIRMLEHPNVVQLKHCFFSTTDKDELYLNLVLEYVPDTVYKVSKNYIRTHHHMPIIYVQLYTYQICRALNYLHQVIGVCHRDIKPQNLLVNPQTHQLKICDFGSAKMLVPGEPNISYICSRYYRAPELIFGASEYTTAIDMWSVGCVLAEFLLGHPLFPGETGVDQLVEIIKILGTPTREEIKCMNPNYNEYKFPQIKAHPWHKIFDKRMPPEAVDLVSRLLQYSPNLRCTALAACAHPFFDSLRDPNFSLPNGRPVPPLYNFTDQELADAPDELRQRLVPPHARG >OIV89030 pep supercontig:LupAngTanjil_v1.0:KV862789:214:486:-1 gene:TanjilG_03739 transcript:OIV89030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAQGAVMFLELTGNLYPTLIREFYANFYYKDSRYWSMISGNLFDMDRDIFMNVGGLSITGVRLGDCERLKDFDAAEIYKSCLRGPHLYV >OIV89573 pep supercontig:LupAngTanjil_v1.0:KV862276:215196:218184:1 gene:TanjilG_18741 transcript:OIV89573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANTPTHIKAWIYSEYGKTQDVLKFDTNVEIPEIKEDQVLIKVVAAALNPIDYKRNLGYLRSFGTPLPAVPGYDVAGVVVRIGSQVTKFKVGDEVYGDINEIAIQNVKIIGSLAEYTTAEEKLLAHKPNNLSFSEAASLPAAIITAYQGLETVQFSAGKSILVLGGAGGVGTLIIQLAKHVFGASKIAATASTAKLELLRELGADLPIDYTKEKFEELPEKFDVVYDTVGESERALKAIKEGGKVITIVRSGVASAIQFGVTSDGSVLEKLKPYLESGKVKAVLDPKGPFPFSQTVEAFSHLETNRAVGKVVIYPIP >OIV89574 pep supercontig:LupAngTanjil_v1.0:KV862276:248469:249943:1 gene:TanjilG_18742 transcript:OIV89574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNIITTIPSHIKAWIYPEYGKTQDILKFDPNVPIPLIKDDQALIKVVAAALNPIDYKRASGNFKSFDIPLPAVPGYDVAGVVVKVGSQVKKFKVGDEVYGDINENAIRNVKTIGSLAEYTTAEEKLLAHKPNNLSFIEAASLPLAIITAYQGLERVEFSAEKSILVLGGAGGVGSLVIQIAKNVFGSSKVAATASTAKLELLRELGADLPIDYTKEKFEELPEKFDVVYDAVGESERALKAIKEGGKVVTIVDHGNVSAIRFALTSDGAVLEKLQPYLESGKVKPILDPKSPFPFSQTVEAFSYLETNRAIGKVVIHPIP >OIV89576 pep supercontig:LupAngTanjil_v1.0:KV862276:259851:262296:1 gene:TanjilG_18744 transcript:OIV89576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGLAMEESNVVNGAVEECSGSYTPNKENITTQQASPRSTLSPRSIQSSDSIDLAIDGIVDTSIEQLYHNVCEMRSSDQSPSRTSFYSYGEESSRIDSELCHLVGDIVDFEITKEVVTENKEGSNPEKDVVSCGNDPTKKGYIPKKVIEGSAKSTPKGRNSSAYKRNNEKAMRKANGVYNTRKQNSKLGLKGIEESDAYLGPYLLKQTRDMISSGENPHKALVLGLRALQSFEMLSENNKPSLEMVMCLHVLAAIYFNLGQYNEAIRVLERSIDIPVLEDGQDHALAKFAGCMQLGDTYAMIGQIENSILFYTAGLEIQGQALGETDPRFGETCRYVAEAHVQALQFDEAEKLCQRALDIHRGNGSPASLEEAADRRLMGLICDSKGDYEAALEHYVLASMAMAANGQEIDVAAVDCSIGDTYLSLARYDEAVFSYQKALTVFKSTKGEKHPTVASVYVRLADLYNKIGKLKESKSYCENSLRILSKIHPGIPLEEVASGLIDVAAIYQSMNDIDRGLKLLKKALKIYGNAPGQQRTIAGIEAQMGVMYYMLGNYSDSYNIFKSAITKFCASGEKKSALFGIALNQMGLTCVQRYAINEAAELFEEARTILEKEYGPYHSDTLGVYSNLAGTYDAMGRVDDAIEILEHVVGMREEKLGTANPDVDDEKRRLEELFKEAGRTRNRKTRRSLENLLDANSQLIEEHLIKVV >OIV89572 pep supercontig:LupAngTanjil_v1.0:KV862276:206958:212671:1 gene:TanjilG_18740 transcript:OIV89572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSSASSTIPTVTQRDQWMVESQVFQIFQLFSSIPQTAQSLMLELQRDNHITYLTKSLSHLPPSFSVLDANRPWLCYWIIHSIALLGESLDYQIQHNAIDFLNRCQDPNGGYAGGPGQMPHLATTYAAVNALITLGGQKALASINRDKLYGFLRRMKQPNGGFRMHDEGEIDARACYTAISVASVLNILDDELIKNVGDYILSCQTYEGGIAGEPGAEAHGGYTFCGLAAMVLIGEVNRLDLPRLVDWVVFRQGKECGFQGRTNKLVDGCYSFWQGGAVALLQRLYSVVEEQLAEASQISPKSDVAEEEQESLYGTSRNATCHFTHGGTGEPGFSDFKNIGYNFINEWRARDPLFHSIALQQYILLCSQEQEGGLRDKPGKRRDHYHTCYCLSGLSLCQYSWSKNPDSPPLPKVVLGPYSNLLEPIHPLYNIVLERYREAHEFFFVES >OIV89579 pep supercontig:LupAngTanjil_v1.0:KV862276:337898:340228:1 gene:TanjilG_18747 transcript:OIV89579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPFSPPSRHFPELQALVSSITRTVANPQNPVLAEQLLGKALDQHPDIKTLKNVHSKIYYLSFHENTSLGIKLMRAYAACGKPGLARKVFDEIPERNVVVYNVMIRSYVNNHLYNNALCVFKDVVSGGFTGDNYTYPCVLKACSCSNNLRFGLQLHGAVLKVRLDSNLFVGNGLIALYGKCGCLLEARRILDEMSSKDVVSWNSMVAGYAQNLRFDDALEICREMEALQQKSDAGTMASLMPAITNTSYDNVLYVREMFLNLEKKSLVSWNVMISVYMKNSMPSEAVDLYLQMENGGVERDAITCASVLPACGDLSALLLGKRIHEYVERKKLCPNLLLENALIDMYARCGCLDDARKVFDKMKFRDVASWTSLISAYGTTGQGCNAVELFTEMQKELLFEAG >OIV89577 pep supercontig:LupAngTanjil_v1.0:KV862276:323248:327843:1 gene:TanjilG_18745 transcript:OIV89577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSSASSTIPTVTQRDQWMVESQVFQIFQLFSSIPQTAQSLMLELQRDNHITYLTKSLSHLPPSFSVLDANRPWLCYWIIHSIALLGESLDYQIQHNAIDFLNRCQDPNGGYAGGPGQASNCYMPHLATTYAAVNALITLGGQKALASINRMHDEGEIDARACYTAISVASVLNILDDELIKNVGDYILSCQTYEGGIAGEPGAEAHGGYTFCGLAAMVLIGEVNRLDLPRLVDWVVFRQGKECGFQGRTNKLVDGCYSFWQGGAVALLQRLYSVVEEQLAEASQISPKSDVAEEEQESLYGTSRNATCHFTHGGTGEPGFSDFKNIGYNFINEWRARDPLFHSIALQQYILLCSQVYILSIG >OIV89578 pep supercontig:LupAngTanjil_v1.0:KV862276:333233:334375:1 gene:TanjilG_18746 transcript:OIV89578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEARISSSLGLPSPKPNHQSPSLTDFTGLLNHFTTTLTHTHHHNRHHTLPFASTSTSNPTRFRPTLTPKIQTLVKNLTLLERALIGAAGGGIAGAFTYVCLLPLDAIKTKMQTKGASQIYKNALDAVVKTFNSEGILGFYTGVSAVIVGSTASSAVYFGTCEFGKSFLSKLPNYPSVLIPPTAGAMGNIVSSAIMVPKELITQRMQAGAKGRSWEVLLRILEKDGVLGLYAGYSATLLRNLPAGVLSYSSFEYLKSAVLSKTEKEHLEPVQSVLCGALAGAISASITTPLDVVKTRLMTQVHGEAVNKVAAVMYDGVSATVKAILKEEGWVGLTRGMGPRVLHSACFSALGYFAFETARLSILHEYLRQKELSEVSVSTT >OIV89580 pep supercontig:LupAngTanjil_v1.0:KV862276:342135:348269:-1 gene:TanjilG_18748 transcript:OIV89580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQVVATRSIQSTLLSPVSGSAHERAQKFLKPATFSSMVFPPEGNKWSQIGFRSFSISARKSAPVEVLPVSPEDDSKIEERLQHLRAVQQFGDSSSGMWSKPTVRRKTKIVCTIGPSTDTKEMIWQLAEAGMNVARLNMSHGDHASHQKVIDLVKEYNAQSKDNVIAIMLDTKGPEVRSGDLPQPVTLNSGQEFTFTIQRGVGTPDCVSVNYDDFVNDVEAGDMLLVDGGMMSFLVKSKTRDSVKCEVVDGGELKSRRHLNVRGKSATLPSITEKDWDDIKFGVDNQVDFYAVSFVKDAEVVHELKNYLKSCGADIHVIVKIESADSIPNLHSIITASDGAMVARGDLGAELPIEEVPLLQEEIINLCRSMGKAVIVATNMLESMIVHPTPTRAEVSDIAIAVREGSDGIMLSGETAHGKFPLKAVKVMHTVALRTEATIPGGQMPPNIGQVFKNHMSEMFAYHATMMSNTLGTSTVVFTRTGSMAILLSHYRPSSTIFAFTNEKRVQQKLALYQGVCPIYMEFCNDAEATFIRALDMLQKQGMVKKGEEVALVQSGRQPIWRFQSTHNIQIRKV >OIV89581 pep supercontig:LupAngTanjil_v1.0:KV862276:356213:358908:-1 gene:TanjilG_18749 transcript:OIV89581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENNIQREVAEQGSPIVPSLIKYISSNEVAGFDTSDSQCPSPSPMESGATSPGGRSDEPLVIHHQRKQSVSMPLTSDEVIQPQNTKRVFFSGETVLIDGISSSSKAAVKPPHPSKCYSQPMAKDFVFPKAPNGGSYSNHPGIKAFRDKRFDSFKTWSGGLERQLSILRGKSPKHPAHDQCSSFRNTEKNVPVDRYFDALEGPELETLRASEETVLPQDRKWPFLLRFPVSSFGICLGVSSQAILWKTLATSPSTDFLHISLKVNLILWFISIALVATVFTIYILKFILYFEAVRREYYHPIRVNFFFAPWIALLFLALGVPPSVAKNLHHSLWYCLMVPVFCLELKIYGQWMSGGQRRLSKVANPSNHLSVVGNFVGALLGASMGLKEGPIFFFAIGLAHYIVLFVTLYQRLPTNETLPKELHPVFFLFVAAPSVASMAWAKIQGSFDYGSRIAYFIAMFLYFSLAVRINFFRGFKFSLAWWAYTFPMTGAAIATIRYSNQVPTIETKALCVILGLISTLTVTALFVSTILHAFLFQDLFPNDLAIAISDIKTKQHKKWFHLRHGSYDAKEIDNYLKFVNSDQVDIEASTTTQPSNFLDNSLPV >OIV89575 pep supercontig:LupAngTanjil_v1.0:KV862276:252973:254738:1 gene:TanjilG_18743 transcript:OIV89575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANTPSHIKAWVYSEYGKTQDILKFDSNVPIPDIKEDQVLIKVVAAALNPIDYKRALGYFNNTDSPLPTVPGYDVAGVIVKVGSEIKKLKVGDEVYGDINENAINHPKTIGSLAEYTVAEEKLLSHKPNNLSFVEAASLPLAIITAYQGLERAELSAGKSILILGGAGGVGSLAIQIAKHVFSASKVAATASTAKQDLLRKLGADLAIDYTKENFEELPEKFDIVFDAVGESEKALKAIKEGGKVVTIVRPATPPAIQFILTSDGAVLEKLQPYLESGKVKPVLDPKSPFPFSQTVEAFSYLETNRAIGKVVIHPIP >OIV89560 pep supercontig:LupAngTanjil_v1.0:KV862277:236010:243872:-1 gene:TanjilG_19237 transcript:OIV89560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDYDQIDNPAVLPLITQLVYTRLSNLTSLLSQQISSQSTFCVKDPDADWNQAFNFSSDLGFLASCVKKTRGDITRRLCTAAEVKFYLNSLLDRSTSASYLKPNKNCNLTSWVPGCEPGWACSVPSSQKVDLRNSKEIPARTSNCEACCEGFFCPHGITCMIHVSDFAIFFWQSGICNVVLEACPLGSYCPLATLNKTTGICEPYLYQLPPLQPNHTCGGANVWADVSSSGEIFCSAGSYCPTTTKRVPCSSGHYCRTGSTSEKRCFKLSSCNSNTATQNMHAYGIMLIAALSTLLLIIYNCSDQVLTTRERRVAKSREAAARSAKKTANAHQRWKVAKDAAMKGATGLQAQLSRKFSRKKDAEDMEKVKILNQLTSETDVELFPHSHPVTTSSMAASSSAMPVPKEKEKEPSGLMQIIHEIENDPEIDDNFTVETETRDKKVTGNVTKTKQMHTRSQIFKYAYAQLEKEKTEQQENKNLTFSGVIKMATNTEKRKRPLIEISFRDITLTLKAQNKHILRCVTGKIKPGRITAVMGPSGAGKTTFLSALAGKAFGCLVTGSILINDRNESIHSFKKIIGFVPQDDIVHGNLTVEENLWFSAQCRLSADLSKPEKVLVVERVIEFLGLQSVRNSLVGTVEKRGVSGGQRKRVNVGLEMVMEPSLLILDEPTSGLDSASSQLLLRALRREALEGVNICMVVHQPSYALFQMFDDLILLGKGGLTVYHGSSKKVEEYFAGLGINIPDRINPPDYFIDILEGIIVPGGSSRLSYKELPVRWMLHNGYPIPLDMRQHAEQFDTSLSVNSANETDSISLGHEEKTFAGELWQDVRNNVEVQREKIRLNFLTSKDVSNRKTPGVFKQYKYFLIRVGKQRLREARIQAIDYLILLLAGACLGSLTKSSDQTFGASGYTYTVIGVSLLCKIAALRSFSLDKLHYWRESDSGMSSLAYFLSKDTIDHFNTVIKPVVYLSMFYFFTNPRSTFADNYVVLLCLVYCVTGIAYALSIFLEPGAAQLWSVLLPVVLTLIATQPIDNKILKGIANLCYSKWALQAFVVANAERDAYCTRLPDSHPVESLEGRYTQSYHLK >OIV89559 pep supercontig:LupAngTanjil_v1.0:KV862277:227877:231675:1 gene:TanjilG_19236 transcript:OIV89559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAINGVLPFTPISPHLLHFPKAFTFLSFSASTKHPTKSLQVRSVAAPMEAVSGFDEMVSGTERKYYMLGGKGGVGKTSCAASLAVKFANNGHPTLVVSTDPAHSLSDSFAQDLTGGTLVPVEGPDYPLFALEINPDKAREEFQTASKSDGGSGVKDFMDGMGLGMLVDQLGELKLGELLDTPPPGLDEAIAISKVIQFLESQEYSMFTRIVFDTAPTGHTLRLLSLPDFLDASIGKILKLRQKIASATSAIKSVFGQEGNPKNAADKLEKLRERMIKVRDLFRDTDSTEFVIVTIPTVMAVSESSRLSASLKKENVPVKRLVVNQLLPPSASDCKFCAMKRKDQKRALDMIQNDPELSSLLMMEAPLVDVEIRGVPALKFLGDIVWK >OIV89570 pep supercontig:LupAngTanjil_v1.0:KV862277:522936:523321:-1 gene:TanjilG_19247 transcript:OIV89570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHKIEKPVEKASTGSQPSGQEKKEVAAKPSKRASKGAASKPAAPKKTEKVLLRKKASSSTK >OIV89558 pep supercontig:LupAngTanjil_v1.0:KV862277:200284:205566:-1 gene:TanjilG_19235 transcript:OIV89558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSRPRDSSTFSIFKWKHQNESSVTTGLLQDVPPEIELSDYRRTLSPGSESPSGLLNGESLNAEPIADLDLFFERLYSYYCEKGLWCIIIKWIVELSSVGFTICFSGFFLLYVDWNGLRNAKCGMGAVEYGIKPCDLAKEALHQHPLTPLTLKKAIIVGYLGIFSIYWIFCFLRFFAQLKCTLEIRQFYYNSLHVTDNEIQTMPWATVIEKVVQVQSSQQLCVVKDLSAHDMVLRLMRKENYLIGMINKGVLAFPISQWVPGVGPTVKSGPNGTQYHLILTKTLEWTLNWCILQSMFDRNFCVRMDFVSNPRTLKKRLMVVGLAMLLLSPFIVIFMLVYLFLRHAEQFYNHPSTASSRRWSNLSRWIFREFNEVDHLFKHRINSSGLHASDYLKQFPSPIISITAKFISFVSGGFAAVLIIIACLEESLLEGHIFGRNLLWYAAVFGTITAISRAAITDELLVLDPERAMSMVVQHTHYMPKRWRGKESTINVRMEFETLFQYTGMMLLEEMASIFLTPYLLLFVVPKRVDDILQFIADFTVNVEGVGHVCSFSSFDFQEHGNSNYGSPHNAPHSRRSSWGKFEKSFLSFQSSYPSWESNAQGKQFLQNLRTFREQKLPGHVNRYAYSPPRMWKGSPNMGSNGDRKRFISREMSHSTFETGNHLGSLWLIEANQNNHPYLLDWYYTSRSHDVTSREVPIPNDPFDASDHHSRVWMRSNLAQNEPGYDEYLNEYHDDHRAASHLGASISAPIFRESLIQDQSSNDPPHTTRSHWWARSHHQDEQGQTSFFEPPDFNPQTTAYNNYYDKFSDRGSDRGGSDGHHDQEQLYRRDQHNLSRTAFTDDLEAGEYDLHFDDIYSRRPPQNPTVNSSTVSF >OIV89567 pep supercontig:LupAngTanjil_v1.0:KV862277:460704:465758:1 gene:TanjilG_19244 transcript:OIV89567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARIKPQALLQQSKRKKGPSRISATTILFYALILVLVAFFLFATYRHWSNRSRFRSVNQMTDAEGESTFVDSRKSDLPGYAVLNTSKGSIIVELHKESAPEVVDEFIDLCQKRHFNGMLFHRVIKHFVIQAGDNEGAGATEDWKLTGKKHTSMKHEAFMLGTSKGKHSNKRFDLFITTAPIPDLNEKLIVFGQVIKGEDVVQEIEEVDTDEHYKPKISIGILDVSLTQKI >OIV89555 pep supercontig:LupAngTanjil_v1.0:KV862277:110499:112298:-1 gene:TanjilG_19232 transcript:OIV89555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANMRVKFPTLVLLLGIDFYPSSTKDQQSYFNGFSRNTLEATFNTRYEEIQRIILGNEDGQEDEEQSRGQEQSHQDEGVIVRVSKEQIQELRKHAQSSSGKGKPSESGPFNLRSDEPIYSNKFGNFYEITPDRNPQAQDLDISLTFIEINEGGLLLPHYNSKAIFVVVVDEGEGNYELVGIRDQERQQDEQEQEEVRRYNAKLSEGDIFVIPAGSEDNVIRQLDKEVKQLTFPGSVEDVERLIKNQQQSYFANAQPQQQQQREKEGRRGRRGLIFSI >OIV89568 pep supercontig:LupAngTanjil_v1.0:KV862277:473214:483603:1 gene:TanjilG_19245 transcript:OIV89568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGNGNTIKDVGKRITRVLFCGPHFPASNEYTTEYLQKHSCIKDVPKAIGNYHVCIVKSMRLDSDIISRAVQMQLIMQYGVGLDGVDIDAATKHRIKVARIPSDGTGNSASCAEMAIYLMLGLLRKQNELQISIQQKKLGDPITDTLFGKTIFILGYENNLHNLRIVTLGALLVNIARGGLIDYKAVFNHLESGHLGGLGTDVAWTEPFDPNDQILKFKNVIMTPHVAGVTEYSYRTMAKAVGDVVLQLHNRLPLTGIELVN >OIV89554 pep supercontig:LupAngTanjil_v1.0:KV862277:93808:96256:-1 gene:TanjilG_19231 transcript:OIV89554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSIGIAYGEKNAIKNHERPQEREQEERDPRQQPRPRHQEEQEREHGREEERNREPSRGRSESEESREEEREQRREPSRGREQEQQPQHGRREEEEEWQPRRQRPQSRREEREQEQGSSSSSRRQSGYERREQREEREQEQEQGSRSDSRRQRNPYYFSSERFQTLYRNRNGQIRVLERFDQRTNRLENLQNYRIVEFQSKPNTLILPKHSDADYILVVLNGRATITIVNPDKRQAYNLEHGDALRLPAGTTSYILNPDDNQNLRVVKLAIPINNPGNFYDFYPSSTKDQQSYFNGFSRNTLEATFNTRYEEIQRIILGNEDGQEDEEQSRGQEQSHQDEGVIVRVSKEQIQELRKHAQSSSGKGKPSESGPFNLRSDEPIYSNKFGNFYEITPDRNPQAQDLDISLTFIEINEGGLLLPHYNSKAIFVVVVDEGEGNYELVGIRDQERQQDEQEQEEVRRYNAKLSEGDIFVIPAGHPISINASSNLRLLGFGINADENQRNFLAGSEDNVIRQLDKEVKQLTFPGSVEDVERLIKNQQQSYFANAQPQQQQQREKEGRRGRRGLIFSI >OIV89563 pep supercontig:LupAngTanjil_v1.0:KV862277:302161:305345:1 gene:TanjilG_19240 transcript:OIV89563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGENRKALVVAGLVETIKDISVLPECHNVCKRMYGNLVRRVKLLSPLFEELRDSDESLCDEQLEVFESLRVALDSAKILLQSVNHGSKLYQALRRNDTVDKFQQITQKIEEALSEISYDKLEISEEVQEQIELVHAQFKRAKARTEFADLQLDLDIAVTQNEKDPDPSILKRLTEELHLRTMNDLKKESIEIHELIITSNGEPEDFVNAMASLLRKLKDCVVTENPEADTSEGRKVSVKHRSPVIPDDFRCPISLELMKDPVIVSTGQTYERSCIQKWLDAGHRTCPKTQQTLLHTALTPNYVLKSLIALWCESNGVELPKKQGSCRTKKSGSSLSDCDRTAISALLEKLAYGDTEQQRAAAGELRLLAKRNADNRVCIAEAGAIPLLVELLSSSDPRTQEHAVTALLNLSINESNKGTIVNAGAIPDIVDVLKSGSMEARENAAATLFSLSVLDENKVAIGAAGAIPALIELLCEGTPRGKKDAATAIFNLSIHQGNKSRAVKAGIVAPLMRFLKDAGGGMVDEALAILAILASHREGRIAIGQAEPIPVVIEVIRTGSPRNRENAAAVLWSLCTGDLLQLKLAKGLGAEEALHELSENGTDRAKRKAGSILELLQRMVEVDEKLQNS >OIV89569 pep supercontig:LupAngTanjil_v1.0:KV862277:504479:506705:-1 gene:TanjilG_19246 transcript:OIV89569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNNTISNPSTTPLLPPPTLPSQPHQPPFIQGGAIEPSSFTTPLIREYRKGNWTIQETLILITAKKLDDERRLKTTTTSSNLSTPSSSTPACGSRNTGELRWKWVENYCWSHGCLRSQNQCNDKWDNLLRDYKKVRDYETKSSLESSSDSSYWILNKQQRKELNLPSNLVFEMYQAISEVLQRKQTQRITTTQPQPLVTKTSSPPPLQTIQIPLPPPLPLPPPPPLPIHQPPLPQAPVSSTTPTVSERSQSSKGTEDSDDEDDGSEFKRRKIRNLGSSIMKSATVLAQALKTCEEKKEKRHIELMKLEQRRIQVEEARNEVHRQGIATLIAAVTNLSGAIQSLINNDQRHGQR >OIV89561 pep supercontig:LupAngTanjil_v1.0:KV862277:248819:254141:-1 gene:TanjilG_19238 transcript:OIV89561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASASKVDMQTSDALLQSFPNGNVDDTLQNELKRMLQEQQSQQFINQERDLNIYRSGSAPPTVEGSLRAVGSLRNSDFGASSSSNNNGLLTEDEIRSHPAYLSYYYSHESINPRLPPPLLSKEDWRVAQRFQAGGSSLEGFGDWRKKVGTNGDSSSLFSMQPGFSVQQAENDLMELRKASGRNFSRESSSQLLDGHMDELERMSGPGLGARRTSFASILQEGLDQPASLSRNVSRPASRNAFGDIVDSTSIVDRESFEGLRSSASTPGLVGLQNHGINGSHSFSSAVGTSLSRVTAPEPQGIGRSFGSSVPPMGSNVFPVEKSSIGLGNPNGHSSSMSDLDNMASSLSGLNLSGIRHAGQDSLLKSKLQMELGNHADVLLSTPSNFNLSGHNGVATNLNPFSSNDQVNLLKKTASSANLRSKVNSSVNAASPSIDLAGHVPSAHLGNSKMNAMYNNHPETALRGHIDGQFLNALDPRFIQSFQRNSNYSMHGMSGSGDPSQMRNFSDASHGGLEGLREAYTEMLFAQQKQQYELPLLSKSGILNNGFWGSQPYGVGMPYTGKQIVNSSLPSLGSGNPLFENQYISHINSMMRSSMGGSGGSWHADIGNNLEGKFAATLLDEFKNNKARPFELSDIVDHVVQFSTDQYGSRFIQQKLETASVEEKVKIFPEIVPHAHALMTDVFGNYVIQKFFEHGTESQRKELASQLTGHVLPLSLQMYGCRVIQKALEVVSVDQQTQMVSELDGAVMRCVRDQNGNHVIQKCIECIAQDRIQFIISSFYGQVVTLSTHPYGCRVIQRVLEHCDDPSTQQIIMDEIMQSVCNLAQDQYGNYVIQHIIQHGKSRERTAVISKLAGQIVKMSQQKFASNVIEKCLAFGSPEERQILVNEMLGTSDENEPLQAMMKDPFGNYVVQKVLETCDDRSLELILSRIKVHLNALKREAHRVVGLTYYILRRHPSLSLEDTT >OIV89556 pep supercontig:LupAngTanjil_v1.0:KV862277:138034:140088:-1 gene:TanjilG_19233 transcript:OIV89556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGGKKGKRESKSKVLAQTHTDRGTLITSALKDSRLFTEIGTAKSVCLRGLTREPIDLRISKTTALWSSNQNLTLSFSLNTLMLTTSSLYSMDFYPSSTKDQQSYFSGFSRNTLEATFNSRYKEIQRILLGNKDEHEDEEQSHGQEQSHQEEGVIVRVSKEQIQELRKHAQSLSGKGKPSKSGPFNLRSNEPIYSNKFGNFYEITPDRNPQAQYLDISLTFIDINEAIFVVVVDEGEGNYELVGIRDQQQQQDEQEVRRYNGKLTEGDIFVIPTSHPISISTSSNIRLLGFGINAYENQRNFLVGSKENVIRQLDREVKELTFPGSAEDIERLIKNQQQSYFANAQPQQQQQKEKEGSHGRRGLISSI >OIV89562 pep supercontig:LupAngTanjil_v1.0:KV862277:281310:285954:1 gene:TanjilG_19239 transcript:OIV89562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCASSIAARDSRQLGFPNSENDGGHDAKNLRVKLVLLGDSGVGKSCIVLRFVRGQFDPTSKVTVGASFLSQTIALQDSTTVKFEIWDTAGQERYAALAPLYYRGAAVAVVVYDITSPDSFSKAQYWVKELQKHGSPDIVMALVGNKADLNEKREVAVQDGIDYAEKNSMFFIETSAKTADNINELFEDFVDYATSRLPPDILAA >OIV89565 pep supercontig:LupAngTanjil_v1.0:KV862277:363547:368963:-1 gene:TanjilG_19242 transcript:OIV89565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEECAGNSVATSTSTPLNWWYLQANSVPSWNNTNNTWNNQTNPNSSSSCEEDISVSTSFTNASHHSTNTVESSRRFVEPPAPSSNEFMGEHGSDNQLWSHVLLGVGSNNSQEIGENFLDALSSKSMGSTMFEPACDYLKKLDTNWDHHYNGSTSFNTFEKHLNGYSNAMIENNNERLTKLSNLVSTWSIAPPDPEVNNRHFVPQRTNMSLNSTMDHYAQSDPNCHIKQPSSGVFPNFYGHNMMRVKQQYHASEVPGDVFGKSSNTNGYQNGFNSLSPISCQRNFSDLISFNNKIRNNGGKGEGTAVREVKKKRCEESSETMLKKPKQDTSTASSTKVQAPKDKLADKITALQQIVSPFGKTDQASVLFEAIGYIKILQEEVQILCNPYLKNNNSYKDPWGRLDRKDKEDTKHDLRSKGLCLVPTSCTPLAYREITGPDYWTPAYRGCLYR >OIV89571 pep supercontig:LupAngTanjil_v1.0:KV862277:528638:533511:-1 gene:TanjilG_19248 transcript:OIV89571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPPEQETTIAPNNQAIMNRPELVHNEAHGSNTSKVKPSLDINVIPEQQHHHQPTATPARFSILHQSLRPVTLKFEDVSYSITLQSQKNKGCISWQDPKPTKKVLSGVTGIACPGELTAMLGPSGSGKTTLLTALAGRLTGKVTGTIKYNGNPNSNSLKRKIGFVSQEDVLYPHLTVLETLIYAALLRLPKTLTREEKMQHVDMVITELGLTRCRNSPVGGGMALFRGISGGERKRVSIGLEMLVNPSLLFLDEPTSGLDSTTAQRIVSVLRELARGSRTVVTTIHQPSSRLYRMFDKVVVLSDGYPIYSGQGCQVMEYLKSIGYVPAFNFMNPADFLLDLANGIVADAKHHDQIDHHEDQASIKQSLISSYKKNLYPFLKEEIQRNNNDSVAFTSGGTPRSSNNQWATSWWEQFKVLLKRGLQERRHESFSGLKIFQVLSVSILSGLLWWHSDPSHIQDQVGLLFFFSIFWGFFPLFNAIFVFPLERPMLKKEKSSGMYHLSSYYVARTVGDLPMELVLPTIFVTITYWMGGLKPSLVTFVITLLIMLLNVLVSQGIGLALGAILMDVKQATTLASVTMLVFLLAGGYYIHHMPSFIAWLKYISFSHYCYKLMVGVQYSVNEFYECGPGLHCRVRDFPSIKCLDLDNMGGDVAALIVMLVGYRIVAYLALRMGKLH >OIV89557 pep supercontig:LupAngTanjil_v1.0:KV862277:197600:199167:1 gene:TanjilG_19234 transcript:OIV89557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QPNASSGMGVADHSQNTFMDLKLKKVYRYVIFKIDENKREVVVEKTGNPAESYDDFTASLPENDCRYAVFDFDFVTSENCQKSKIFFIAWAPSTSRIRAKMIYATSKDRFRRELDGVHYEIQATDPTEMDLEVLRDRAN >OIV89553 pep supercontig:LupAngTanjil_v1.0:KV862277:74945:76998:-1 gene:TanjilG_19230 transcript:OIV89553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNPDKRQAYNLEHGDALRLPAGTTSYILNPDDNQNLRVVKLAIPINNPGNFYDFYPSSTKDQQSYFNGFSRNTLEATFNTRYEEIQRIILGNEDGQEDEEQSRGQEQSHQDEGVIVRVSKEQIQELRKHAQSSSGKGKPSESGPFNLRSDEPIYSNKFGNFYEITPDRNPQAQDLDISLTFIEINEGGLLLPHYNSKAIFVVVVDEGEGNYELVGIRDQERQQDEQEQEEVRRYNAKLSEGDIFVIPAGHPISINASSNLRLLGFGINADENQRNFLAGSEDNVIRQLDKEVKQLTFPGSVEDVERLIKNQQQSYFANAQPQQQQQREKEGRRGRRGLIFSI >OIV89566 pep supercontig:LupAngTanjil_v1.0:KV862277:405741:409415:-1 gene:TanjilG_19243 transcript:OIV89566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGNGNTIKDVGKRITRVLFCGPHFPASNEYTAEYLQKHSCIKVDVLPLEDVPKAIGNYHVCIVKSMRLDSDIISRAVQMQLIMQYGVGLDGVDIDAATKHRIKVARIPSDGTGNSASCAEMAIYLMLGLLRKQNELQISIQQKKLGDPITDTLFGKTIFILGYENNLHNLRIVTLENNIPKTPLPNYKKTKLHCYKLSFDSSTNPKT >OIV89564 pep supercontig:LupAngTanjil_v1.0:KV862277:321051:352364:1 gene:TanjilG_19241 transcript:OIV89564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIFDELPLPSDKAYLREDLSRIDETWTAARFDSLPYVVHILTSKDRDNAAQTLKEQSDVVEDVVDEVVQSYHSGFNRAIQNYSQILRLFSESTENISVLKVDLTEAKKRLSARNKQLHQLWYRSVTLRHIISLLDQIEGIAQVPTRIEKLIADKQYYAAVQLHVQSMLMLEREGLQTVGALQDVRSELTKLRGVLFYKVLEDLHAHLYNKGEYSAAGSNMLENDDEVPTTTAIALTAHNSQPLSRRTKSVKGDNQSGLQIGGSYRAGSVDGSSFDGHDDEGALELTDEATLDGNVATMKINGDTKIALRQMPTWLSNSTPDEFLETIRKTDAPLHVKYLQTMVECLCLLGKVAAAGAMICQRLRPTIHEIITSKIKAHADLLNSSRSSIGKGSRAGTGDLHFIKGQLESYQLPKQKRKNGISIAGTLLAVSPVSPLMAPGGKGQVAAKELLDSILDVVVRIFENHVVVGELLEAKSSQHVDMNTPKSMPLDINWNPDSEASQVTGGYSIGFSLTVLQSECQQLICELLRATPEAASADAAVQTARLASKAPSKEKRDQSEDGLTFAFRFTDATVSIPNQGVDLVRQGWSRKGPNVVQEGYGSAAVLPEEGIYLAASVYRPVLQFTDKVASMLPAKYSQLGNDGLLAFVENFVKDHFLPTMFVDYRKGVQQAISSPAAFRPRAHVVTSYTPSIENGRPVLQGLLAIDYLTKEVLGWAQAMPKFANDLVKYVQTFLERTYERCRTSYMEAVLEKQSYMLIGRHDIEKLMRLDPSSAFLPNLPGQFNMENNSSDVETIEAELELSELLLNLRPIKQEYLIHDDNKLILLASLSDSLEYVADSIERLGQTTQKASNHVEGKYHHSHSDSAPARSLASFAQDYRKLAVDCLKVLRIEMQLETIFHMQEMSNTEYLDDQDAEEPDDFIISLTAQITRRDEEMAPFISNAKRNYIFGGICGVAAHTSIKALEDMKSINLFGVQQICRNTIALEQALASIPSINSEAVQQRLDRVRTYYELLNMPFEALLAFITEHMHLFSPAEYANLLNVQVPGREIPLDAQDRVSEILSL >OIV89546 pep supercontig:LupAngTanjil_v1.0:KV862278:9073:13535:-1 gene:TanjilG_19362 transcript:OIV89546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSKVLFIFLINIYLFLTFSYAADPFVSYDFEVSYITASPLGIPQQVIAINGKFPGPIINVTTNNNVAVNVRNKLDESLLIHWSGIQQRRGSWQDGVLGTNCPIPSKWNWTYNFQVKDQIGSFFYFPSLHLQRAAGGFGGFIINNRAIIPIPFDTPYGDIVIFIGDWYTRNHTDLRKDLDDGKDLGMPDGVLINGKGPYRYNDTLVPDGIDFEKIEVHPGKTYRIRVHNVGVSTSLNFRIQSHNLLLAETEGSYTVQQNYTSLDIHVGQSYSFLLTTDQNASTDYYIVASARFVNESRWQRVTGVGILHYTNSKGNAHGSLPPAPDDQYDKTYSMNQARSIRWNVSASGARPNPQGSFRYGSINVTEIYVLKNKPSEKIGGKRRATLSGISFVNPSTPIRLADQFKVKGVYKLDFPTKPLTGPPRAETSVINGTYRGFMEIILQNNDTKMHTYHLSGYAFFVVGMDYGDWSDNSRGTYNKWDGIARSTTQVYPGAWTAILVSLDNVGIWNIRTENLDSWYLGQETYIRVVNPEATNKTELPMPDNALFCGALDKMQKPQHITSSAQSVKGKKFTWLMFVCALILIFH >OIV89551 pep supercontig:LupAngTanjil_v1.0:KV862278:316296:316991:1 gene:TanjilG_19367 transcript:OIV89551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGHGGLNILPQKRWNVYRFDNREKVRRDEEEAAKEEQIKRDQARKRDAEFRLERLRVSKGLAPLIEAEVQSNEPVVQSNETEEVSLSSPKSGHINLFEGIKIFDPIREPQKDALGEKERVSKKMKKMKKEEVVVTPEDEKYRFGYSYLGKQVKLPWYLEKRNDDDVNDDGGESSGKGEKNEKNKRGKKTLEELREERLKREKVEKERERALIHGKQKSVREPFGSSRFYR >OIV89552 pep supercontig:LupAngTanjil_v1.0:KV862278:367845:373259:-1 gene:TanjilG_19368 transcript:OIV89552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTRTNFYKNPSISYNKHFSLSSVLQNLQAYNVATGNVLPDDQPQPDLTTASDNKPPSLKRRRPPQSHDGDDDGNGAPFSMSHRDYIEKRRQINFLSTYFLNRKEVASSRSHDRVELTEDVLGNPNSAVALVDYASESLTNEFDGIKSRNEQRFPVSGEPVCLICGRYGEYICNETDDDVCSMECKHELLEILKLNEGSIHNQVRNFSSGISDASPVAVFGDDTWDYNRHRWSKKISSLSTYEWMAFKLTPESCGGMGINSWKCHRPGHIAEDCIVNSCSEIIVPSNRSSSIPKDLLGLYRRCHEFGKDLLAANCNTCRSSSNLATCLDCSIVFCDSAGHLNGHIRAYPSHQKYYSHKLKRLVKCCKSTCKVTDIKDLLVCHYCFDKAFEKFYDMYTATW >OIV89547 pep supercontig:LupAngTanjil_v1.0:KV862278:37119:43516:-1 gene:TanjilG_19363 transcript:OIV89547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLSSSDNPNDSNPYIPLPNGSHPRKHMKGLIFILCGFLLLVSLIAYSGFKASNVHEHVSQSLNNEERPSNTKVIPSSSISRGVSEGVSEKSNRLFSFRNNGESENYPWSNTMLSWQRTAYHFQPEKNWMNGPMYYKGWYHFFYQYNPNAAVWGDIVWGHAVSRDMIHWEHLPLAMVNDQWYDINGVWTGSATILPNGQVVMLYTGSTNESVQVQNLAYPADPFDPLLVDWIKYPGNPVLFPPPGIGYKDFRDPTTAWLTSEGKWRITIGSKLNKTGIAFIYDTEDFKTYELKEGFLRAVPGTGMWECVDFYPVSKLAENGLDTSFNGAEVKHVVKVSLDDDRHDYYSIGSYDEKKVNFIPDDVKNDVGIGLRYDYGIFYASKTFYDQSKGRRVLWGWIGESDSEYADITKGWASVQSIPRTVKLDKKTRSNLLQWPIAEVESLRLRSDQFKSLNAKPGSVVSLDIESATQLDIVAEFEINKEAIEKTTKSNVEYQCSTNGGAGKRGALGPFGLLVLADEGLSEYTPVYFYVTKGTDGLLKTSFCSDQSRSSLATDVNKQIFGSSVPVLEGEKLSLRILVDHSIVESFAQGGRTCIASRVYPTKAIYGATRLFLFNNATEATVKASLKIWQMNSAFIHPFNPDQKN >OIV89549 pep supercontig:LupAngTanjil_v1.0:KV862278:256782:257672:-1 gene:TanjilG_19365 transcript:OIV89549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVMTRFLDKAAIKDSNTNSEVTKEKKWYLSTLTDVEEVKLVIRMLPIWATTIMFWTVYAQMTTFSVSQATTMNRHIGKSFQIPAASLTVFFVGSILLTVPIYDRVIVPITRKVLKNPQGLTPLQRIGLGLVFSIFAMVAAALTEIKRLNVARSHGLTHDPNAKIPMSVFWLVPQFFFVGSGEAFTYIGQLDFFLRECPKGMKTMSTGLFLSTLSLGFFFSSLLVTIVNKVNGHNKPWLADNLNQGRLYNFYWLLALLSAINVVIYLFFAKGYVYKEKRLAEEGIELEEQDGANHA >OIV89550 pep supercontig:LupAngTanjil_v1.0:KV862278:262012:263507:-1 gene:TanjilG_19366 transcript:OIV89550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVQTIPDAWDYKGHPAERSKTGGWIAAAMILGGEACERLTTLGIAVNLVTYLTATMHLGNASSANIVTNFMGTSFMLCLLGGFLADTFIGRYLTIAIFATVQATGVSILTISTIIPSLHPPKCTENSGKTCVPANNLQLMILYLALYTTALGTGGVKSSVSGFGSDQFDDSDKGEKQHMTKFFNWFFFFISIGSLAAVTVLVYIQDHIGRDWGYGICGCAILLALVVFLLGTRKYRFKKLVGSPLTQIAVVFVAALRKRKMELPYDSGLLFDVDEMMDDTMMKRKQRLPRSKQFR >OIV89548 pep supercontig:LupAngTanjil_v1.0:KV862278:214883:224733:1 gene:TanjilG_19364 transcript:OIV89548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVKEMHPLCCISLEGSGIGSHSPEPDTAALSRTRSLPASFAAGGSEVTVAGVLYKWTNYGKGWRSRWFLLRNGVLSYAKIRKPENLNLFSPLDDVRLIGEVSANLLARLDSSAATNRRKNHKPPLSSGIVHLKISSFRESKSDDRKFYIFTATKTLHLRTDSRKDRVEWIQALVSTRGLYPLRPLSDHISLAPNHISVSTERLKKHLLEEGTNENLVKECEQIMLTEFSDLQGQLKILCQERTNLLDTIRQLEAANIEAEASAIHDSEYQLTKNVLSRLGRGKFSECSTTESSDDIDKHELEEVSDEDEHSYYDTREDFTDSGFGCSSIGALDQVNKSGEANTQCTNMENIHVEKAIDNYQYPLITRRRKLPDPVEKEKGVSLWSMIKDNVGKDLTRVCLPVYFNEPISSLQKCFEDLEYSYLLDRAYEYGKSGNSLLRALNVAAFAVSGYASSEGRHCKPFNPLLGETYEADYPDKGLRFFSEKVSHHPTVVACHCEGRGWKFWADSNIRSKFWGRSIQLEPVGVLTLEFDDGEIFQWSKVTTTIYNLILGKIYCDHHGNMDIRGNRQHSCRLKFKEQSILDRNPHQVQGFVEDNMGQKVATLFGKWDDSLYYVNGDVNVKPKDFTLSDAPLLWKRTKPPTNLTRYNLTSFAITLNELTPGLKEKLPPTDSRLRPDQRHLENGEYEKANMEKQRLEKRQRMSRKMQENGWKPRWFHQGDENETFRYIGGYWEARAQGRWNECPNIFGEYDEGNVDILDAS >OIV89541 pep supercontig:LupAngTanjil_v1.0:KV862279:276140:278215:1 gene:TanjilG_19908 transcript:OIV89541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPPRGGGRGGGFRGGRDGGFRGGRDGGFRGRGGGRFGGGGRGGGFRDEGPPSEVVEVSTFLHACEGDAVTKLTNEKIPFFNAPIYLQNMTQIGKVDEIFGPINESYFSVKMLEGIVANSYSSGDKFYIDPRKLLPLARFLPQPKGQAQGGGGRGGRGGGRGGFRGGGGGFRGRGGPRGGRGGPPRGGGRGGGFRGRGRF >OIV89545 pep supercontig:LupAngTanjil_v1.0:KV862279:457185:459067:-1 gene:TanjilG_19912 transcript:OIV89545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHNFEFLVDVFILPPAPNGLPSKRARFILMVDPTDLSEDETHVVSKISLIFEAPTLPSKAHALVIGDPRSGESIHLVKVQLNQTEEEMFRSKEVLQLVEVRATKAEEELFFSQWQLSIMANDLERETQTQVQTLMCENASQAAWANAQKQVLLAFLGIDLSLIVIKAYIEDELLL >OIV89543 pep supercontig:LupAngTanjil_v1.0:KV862279:421290:423708:-1 gene:TanjilG_19910 transcript:OIV89543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVHLLVGPISVSPPSAAVLLSLHDSKFFLSNAPPFSAHRRRRFSRWSPLVVASAAAINGGQNHYAVLGIAQTATSAEIKKAYRLLARKYHPDVSKDSQAPELFKSIRHAYEVLSNEAMRTQYDKELQFGRRPYRKKWSYSTEFEDQVRMYRWANLRKKVQSERYWEHYYDDNAGYDGDEANEAEEGEGNLDEERGSFIEVLRSAFMSLFLFKTLGSRLSLTYSSVMALVDEKLDIGYKIGYVIALILGGRGGILLTLCLSFASWVCGKTSSSVVVLVMVAMWIGSHLARYVPLPQGALLTLIYMSIKLQSDQL >OIV89540 pep supercontig:LupAngTanjil_v1.0:KV862279:252754:257683:1 gene:TanjilG_19907 transcript:OIV89540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPELRSGARRSKRLGDLQPGPLPVDQGENCVQPPSQNRTRRRVGGGRGRGGNATAVGKGPSPAVPTRRTAAGRGRRTRVIDLDPEPCDVLPEPVALGALEPIYNQVEVVANNNIVMEGGSGDKVVAAEEETSTTPVPERVQVGGSPVYKIERKLGKGGFGQVYVGRRISGGSDQTGPDAIEVALKFEHRNSKGCNYGPPYEWQVYTTLNGCYGVPRVHYKGRQGDFYILVMDILGPSLWDVWNSLGQSMSPNMVACIAVEAISILEKLHLKGFVHGDVKPENFLLGQPGTADDKKLYLIDLGLASRWKDVSSGLHVDYDQRPDIFRGTIRYASVHAHLGRTGSRRDDLESLAYTLIFLIKGRLPWQGYQGDNKSFLVCKKKMGTTPELMCCFCPAPFKQFLEAVTNMRFDEEPNYHKLISLFDSLIEPCTPLRPIRIDGALKVGQKRGRMLINLEEDEQPKKKVRLGSPATQWISVYNARRPMKQRYHYNVSETRLRQHVDKGIEDGLYISCVASSANLWALIMDAGTGFSSQVYELSATFLHKEWIMEQWEKNYYISSIAGAANGSSLVVMSKGTPYTQQSYKVSESFPFKWINKKWKEGFHVTSMTTAGIRWGVVMSRNAGYSDQVVELDFLYPSEGIHRRWESGYRITSMAATTDQAAFILSIPKRKLLDETQETLRTSAFPSTHVKVFPYLVLCPITC >OIV89542 pep supercontig:LupAngTanjil_v1.0:KV862279:280816:289675:-1 gene:TanjilG_19909 transcript:OIV89542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNALAATNRNFKLATRLLGLDSKLEKSLLIPFREIKASSIVLYVYHVECTIPKDDGSLVSYVGFRVQHDNARGPMKGGIRYHPEVDPDEVNALAQLMTWKTAVANIPYGGAKGGIGCDPAELSISELERLTRVFTQKIHDLIGTHIDVPAPDMGTGPQTMAWILDEYSKFHGYSPAVVTGKPIDLGGSLGRDAATGRGVLIGTEALLNEYGKSVSGQRFVIQGFGNVGSWAAQLISEKGGKVVAVSDVTGAIKNSKGIDIPSLLKHSREHKGLKGFDGADPVDPNSILVEDCDVLVPAALGGVINRENANEIKAKFIIEAANHPTDPEADEILKKKGVVILPDIYANSGGVTVSYFEWVQNIQGFMWDEEKVNNELKTYMTKAFKDVKDMCKTHECDLRMGAFTLGVNRVARATILRGWEA >OIV89544 pep supercontig:LupAngTanjil_v1.0:KV862279:440682:440888:-1 gene:TanjilG_19911 transcript:OIV89544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKEDGPRPGIVIEADTPSPSIVAEGDRPRPRLLTEELSQNDRDKMVHDRDKLVEELSRNDREKITRD >OIV89539 pep supercontig:LupAngTanjil_v1.0:KV862279:3449:5111:-1 gene:TanjilG_19906 transcript:OIV89539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEPIVVNKREEPLDLPPGFRFHPTDEEIITFYLTEKVMNSNFSATAIGEADLNKCEPWDLPSKSLSLSLSLSLSLSLLTFSPSFPKKAKMGEKEWYFFCQKDRKYPTGMRTNRATEFGYWKATGKDKEIYKGKGNLVGMKKTLVFYRGRAPKGEKTNWVMHEFRLEGKFACFNLPKVAKDEWVVSKVFHKNTDVKKSPSNPSILRMNSIGDDILDCSSLPPLIDPPYRSNTNKPLGYDDNGPKGITNPQFSLAKSSSEGYYLPSLSINNHHQLLIKPEDHKSYDINPINNNNYPSNQANFNNTFLQPQIRIQNPSSSFQQNMFSDYYVHQNKINTSMPIIGFDGNNTNCDEDFLRAFAAKNDYLSGLDKQCKMDLSVVSVSQDTGLSNDRNTDTSSAVSKQDIGGMNRSLYEDLEGPLSSVAPLSDLDSIWDEY >OIV89538 pep supercontig:LupAngTanjil_v1.0:KV862280:20922:21731:1 gene:TanjilG_19917 transcript:OIV89538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDTPQNTPKYQKLYKMLSSEWVTFWLFKFFYFTFLLIFSLLSTSAVVYTIASIYTARDVTFNKVMSVVPKVWKRLMVTFLCTFFAFFAYNIMSVLVIVIFLLTIGVHIEATTGMVITGFIVITYLIGIVYLSVTWQLASVVSVLEDSYGVEAMMKSKNLIKGKMGLSILIFLKLSVSFISIQFLFNKFVVLGWSLGSLDRTAYGVLCFLLLSLFFLFGLVLQTVFYFVCKSYHHENIDKSDLSDHLEVYLGEYEPLKTKDVQLEHYHV >OIV89537 pep supercontig:LupAngTanjil_v1.0:KV862280:14165:15033:1 gene:TanjilG_19916 transcript:OIV89537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQGRASASLPMAMVTLITIVCMLVLVEHANAATYNVGGPGGWTFNTASWPKGKSFRAGDLLIFNYDSTSHNVVAVDRSGYSSCKTSGGAKVFASGNDQIRLAKGVNYFICNYPGHCESGMKVAITAV >OIV89535 pep supercontig:LupAngTanjil_v1.0:KV862281:402615:404443:-1 gene:TanjilG_19948 transcript:OIV89535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAESSATMQQPVTACRSSSSLFADQPWSIVPPPSILAAITPRRRHEKPPPVVQAAQQGLVTYKELSGSVEHSTIRKSKQSLNSYIMMKYIAIACRNVEVFIKFARASYKEKIWDHAAAVIIIQEVGGLVTYARGYPLDFSCNICSFCSHVVWNDIKI >OIV89534 pep supercontig:LupAngTanjil_v1.0:KV862281:349763:350005:1 gene:TanjilG_19947 transcript:OIV89534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPIASPFLTQEDVVGTFGYLAPEYFIYGKFRTLQRRGELGVVDYVQEKEHVVNVIENANEDCPDSLSKKELNMHHLFSP >OIV89533 pep supercontig:LupAngTanjil_v1.0:KV862281:234079:234309:1 gene:TanjilG_19946 transcript:OIV89533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGGITEPSPSHIHRTTTVNHLGRDCCSSPLADKGQPPSLPRRALLCHHHESHPLGPSHERCSLPPLPLKEEKFGN >OIV89532 pep supercontig:LupAngTanjil_v1.0:KV862281:143280:144548:1 gene:TanjilG_19945 transcript:OIV89532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKGRQGERVRLYVRGTILGYKRSKSNQYPNTSLLQIEGVNTKEEVAWYAGKRMAYIYKAKVKTNGSHYRCIWGKVTRPHGNSGIVRAKFKSNLPPKSMGSRVRVFLYPSNI >OIV89536 pep supercontig:LupAngTanjil_v1.0:KV862281:424807:457166:1 gene:TanjilG_19949 transcript:OIV89536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNFWPCTDHLMDHGLNGHCLKGLCPVDRRPPPTRYISRLWAAITIQEDTQNWKDKQTHLVGCRATSPTTQERDSLKSQGRGWPRGPTWRLGISAGNAKPKKYPDERRLHFLVLRERRSSPSVTGEATTDRISDDMGALMVLKARPGRFNLFPPVFRHSRSSFPLDDFASLLLEGKSKDEKRTSRRRSVEEALVSAIDLAASQKKHHQKKVFTAAKAALLENILSRNLEIYAEIDTETLRGWVEEIKENPNPLKSLIREHLIENEQRSGGTCGATYWVTLVRHEAADTESAHMPLIMPCLVPRHCGGPIAHDEHRAKGRLSNSRGVCWDSRRAAPYDVHDQSDPDVPVEGFSRPAPSAYTAVEAPKGEFGVFLVSNGRNCPYCRKIRAPGSTYSQGLDSMSKHHMSADVVTILGI >OIV89531 pep supercontig:LupAngTanjil_v1.0:KV862281:69215:76220:-1 gene:TanjilG_19944 transcript:OIV89531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARNLIVRSSSMFRNAIENRVRPFCNYLRLQSHSFSPSTTLTPHSSLPSLFAAAAAASFSPPSHRSLSSSPPSSDIVLVNSEEEFQNILNKVQDNSLNAVFYFTAAWCGPCRFISPIVGDISKKYPHVTTYKIDIDQEAIQGTLGKLQITSVPTLHFFQKGKKVDEVIGADITRLNYVTEKLFQKD >OIV89525 pep supercontig:LupAngTanjil_v1.0:KV862282:265051:265326:-1 gene:TanjilG_20298 transcript:OIV89525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYHEKLDKTNKHTNMENTVKGAQCTLWPRKELFSMQKFQSHYARHCKSTNCSTIMRKHQNIRTRLIFCAICSCKTRNVIRNLAFASQIVP >OIV89530 pep supercontig:LupAngTanjil_v1.0:KV862282:449207:451047:-1 gene:TanjilG_20303 transcript:OIV89530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATMLIIRNALFRFSKSFSLSYTPSLSLRFSQKPSRVVFSSVSNHSNWGNAAEGSRDSRADSWGYDSTSTRREAEARENEMVDRAKEGAHEMKERTKDYAYDAKEKTKNVAGSMADKAKEGTNRAKEYAHETKEEAKDAAGTMAEKTKEGANNATEKAKEYGYEAKERTKEGANKVADTAGYMKDKAKDGAYGAKEMTKDAAGSATETVKNVGEKAKETVKGAWEATKDTTNKIKEKVVGKDDHDDDDNNGKDGVVVGLDEDVVELKRGGKGYGDSKDY >OIV89527 pep supercontig:LupAngTanjil_v1.0:KV862282:289810:291622:1 gene:TanjilG_20300 transcript:OIV89527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFKDRRSCPHLCRLASEYIRKCEGCEDDIYAFFENEPDADSLYVKLVEEFERCILSYFGFHWRHGDLMMSQVLTSETEPRRKLKQIVMAATRSGKVKKRKCNLPTPISNAVFEIPEPNKNGGAETPKPGFETGFERWKVEVGLSD >OIV89528 pep supercontig:LupAngTanjil_v1.0:KV862282:363531:364457:1 gene:TanjilG_20301 transcript:OIV89528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKQSDQKQQQVALTCPRCDSSNTKFCYYNNYSLSQPRHFCKACKRYWTRGETIRNVPVGGGFRKNKRVKRPTTISSSIDSTSSPSAPSPNSNPSSQQPQIHIGSNSTSNHINPLFDGLPSNSSDLNLQFSRFNSSRISGSVYDLHPHLNALGLGHISSEEDSDNGYYTNWFTSNNTLPYGYSNNSMIFSPTSTSTMLQQKIMNGTDGSVTKGAGPGTNTTFKGLAAPPIGHIQMQSRVGNETYMVALKDVKVEGLKNRLDERNGVCQNQMEHVELSDPTLYWNTATDMAEAWNDQPNDIGPSITSLI >OIV89522 pep supercontig:LupAngTanjil_v1.0:KV862282:51545:61042:1 gene:TanjilG_20295 transcript:OIV89522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAIPYLTALTTYFSYGLLFAFGQFRDFFRKIIDWFTSTTLHGYAPICLGLEDFYIRRLYLRIQDCFGRPISSAPDAWFDVVDRYSNDNNKTLKRTTKISRCLNLGSYNYLGFAAADEYCTPRVVETLDKYSPSTCSSRVDGGTTLLHNELEECVANFVQKPAAIVFGMGYVTNSAILPVLMGKGSLIISDSLNHNSIVNGARGSGATIRVFQHNTPSHLEEVLREQIADGQPRTHRPWKKIVVIVEGIYSMEGELCKLPEIIAVCKKYKAYTYLDEAHSIGAVGKTGRGVCELLGVDTADVDIMMGTFTKSFGSCGGYIAGSKELIKYLKYTCPAHLYATSISPPAAQQIISSIQVILGEDGSNRGAQKLSQIRENSNFFRSELQKMGFEVLGDNDSPVMPIMLYNPAKIPAFSRECLKQNVAVVTVAFPATPLLLARARICISASHSREDLIKALKVISSVGDLVGIKYFPAEPQKQQQEGKAAKFD >OIV89523 pep supercontig:LupAngTanjil_v1.0:KV862282:125876:129008:-1 gene:TanjilG_20296 transcript:OIV89523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMNQQQGEKKQLQKTEAYGLRASVGAELGLVNVSGDIKISTTTHGSGQIHNDHNHNLGLVHFGNVSRKKRMARQRRSSTNTTTLMRIKMDQLNPFSSKHTPLTQPPPPPPPPPPPPSLSAAPPSHVPMPPFPTNIVSTTLPPAPEIDHGRLRFLFQKELKNSDVSSLRRMVLPKKAAETFLPSLESKEGILISMDDLDGIHVWSFKYRYWPNNNSRMYVLENTGDFVNTHGLRLGDSIMVYQDNQNNNYVIQAKKASDHHEFMEEISDTTTDIFLNDYEVNKPDCFNETYPAVNDMAGMSFIYDTTFSNDSPLDFLGGSMTNFSRIGPVETFGSVEHLSLDDFY >OIV89526 pep supercontig:LupAngTanjil_v1.0:KV862282:287695:288042:-1 gene:TanjilG_20299 transcript:OIV89526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRNWAEGKRTLEYEDEEEEEEVVSEIMSYGEEGMNKKRVVSKDLSSSKRGSKAGGSVPPSCQVHSCKTDLSEAKHYHRRHKVCEFHAKAPSVLIADQQQRFCQQCSRLRNPNLF >OIV89524 pep supercontig:LupAngTanjil_v1.0:KV862282:238050:238325:-1 gene:TanjilG_20297 transcript:OIV89524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYHEKLDKTNKHTNMENTVKGAQCTLWPRKELFSMQKFQSHYARHCKSTNCSTIMRKHQNILTRLIFCAICSCKTRNVIRNLAFPSQIVP >OIV89529 pep supercontig:LupAngTanjil_v1.0:KV862282:404644:405531:-1 gene:TanjilG_20302 transcript:OIV89529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQHQLSLDQKLNETHQCCRGQQSKVQPERPQQPLQCPRCNSMNTKFCYYNNYNVAQPRHYCKTCRRYWTEGGTLRNIPIGGRCHKKKYAENSSSSRSQKPHSSQQNVVVQTHQPNLTTMMKARDPCLLMDPSTRPFYQGGCGYLSSLPTIHSMNQSHSTRYDQSFNVGGDLSGSTTNIGMESGFNVGSLSSQRHIYQMGGREREEHMPQQGLTIPSSIATIHNSVPSHTDWPWSFINNDDNRNYDASLWSNIGNNIITSINGNIDTNANVVGSSPLMQNQWSNFPGYGLPPSSY >OIV89521 pep supercontig:LupAngTanjil_v1.0:KV862283:338096:338254:-1 gene:TanjilG_20306 transcript:OIV89521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITTSSSIHGRDIGYPCSKLTIVIFTTPNRLISESCLHQDILTSLFSATGDS >OIV89520 pep supercontig:LupAngTanjil_v1.0:KV862283:69316:70026:1 gene:TanjilG_20305 transcript:OIV89520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKRYWNITLEEMMEAGVHFGHGTRKWNPRMAPYISAKRKGIHITNLTRTARFLSEACDLVFDAASRGKQLLIVGTKNKAADSVERAAIRARCHYVSKKWLGGMLTNWYTTETRLHKLRDLRTEQKTGRINCLPKRDAAMLKRQLSHLETYLGGIKYMTGLPDIVIIVDQQEEYTALRECITLGIPTICLIDTNCDPDLADISIPANDDAIASMRLILNKLVFAICEGRSSYRRNS >OIV89519 pep supercontig:LupAngTanjil_v1.0:KV862283:14469:17921:-1 gene:TanjilG_20304 transcript:OIV89519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCQAGNKYCADCGSSEPKWVSSSFGVFICIKCSGIHRSLGVHISKVLSLKLDEWTDEQVDALVKLGGNTVINKKYEACLPNNIKKPNPYSSNEERIDFIRRKYELLQFLDSEENLSCPFVPSHQRTSSSIQNCLSSRNYFPDKKNYDKQPTKNRIGLSFRNSRGRKDYGHKSTKKSTSLNKNNHSPQPFTSCMVPQSHFHCHFRPLDRSVTPNLIIRVTSVFGFQTQVDPLYTNLILRGDVIVYTSLAGMVEFVGLIMVNVVKGTNLAVRDVMTSDPYVILSLGHQSVKTRVIKNNLNPVWNESLMLSIPENIPPLKVLVYDKDTFSTDDFMGEAEIDIQPLLSAAKAYEKSSINESMQLGKWVASGDNTLVKDGIIFLEEGKVRQEISMRLQKVERGVLEIELECVPLTQ >OIV89517 pep supercontig:LupAngTanjil_v1.0:KV862284:344751:347578:1 gene:TanjilG_20328 transcript:OIV89517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIVSINLYILLCITLFVSSHATPTSKYNVTAFGAKPDGKFDSTGPFIKAWQSACTSPNPATIYVPKGRFLLNAINFRGPCKSQITVLIAGTLVAPQDYRALGNSGFWILFNHVDNLVVSGGYLDAKGAGFWDCRRYGKSCPVGARSITFNSVNNLVVSGITSINSQHSHVVINTCNNVLLKNVRAIAPAKSPNTDGIHVERSNGVTIIGCTLQTGDDCISIADATFNLFISHIKCGPGHGVSIGSLGKEMEEKGVENVTVTNAIFTGSDNGVRIKSWARPSKGFVRNILFQNIIMNNVENPIIIDQNYCPHKLGCPGQTSGIKISGVTYNNIQGTSATPEAITFDCSPSYPCEGIKLQDINLTYNNETATSTCKNIGGTINGTLVPEICL >OIV89513 pep supercontig:LupAngTanjil_v1.0:KV862284:40243:40440:-1 gene:TanjilG_20324 transcript:OIV89513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNLKIGVAFGVGTMFGILLSRVSSNRFHCHKSSRLCQHPCRSHTQAHKKDDVIGAIQNEDNTRE >OIV89518 pep supercontig:LupAngTanjil_v1.0:KV862284:349280:350687:-1 gene:TanjilG_20329 transcript:OIV89518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAWIKHAWPMACVYINDSKTKYLDRSYGRVSRKKLKEKLLEGFSNGVKFYKAKVLHIEHQDFESKVVCDDGVKLKGSLVVDASGLASDFIEYDKVRKRNHGYQISHGILAEVDDHPFDLDKMVLMDWRDSHLGNEPYLRVNNSRCTTFLYAVPFDSNLICLEETSLVSRPVLSYKEVKKRMVARLRHLGIRVKRILEDEKCLIPMGGPLRQVPQNVIGTGGTYGIVHPSTGYIVSRTMALKPVVAVAITEYRSRYDNVTKCPVPLAIMMRNIALESIG >OIV89516 pep supercontig:LupAngTanjil_v1.0:KV862284:296933:300714:1 gene:TanjilG_20327 transcript:OIV89516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAFDEYGRPFIILKEQEQKSRLRGLDAQKSNISAGKAVARILRTSLGPKGMDKMLSSPDGDVTISNDGATILEQMDVDNQIAKLMVELSRSQDYEIGDGTTGVVVLAGALLEQAEKLLERGIHPIRVAEGYETASRIAVEHLEHVANKFEFGVTDLEPLIQTCMTTLSSKIVNRCKRSLAEIAVKAVLAVADLERKDVNLDLIKVEGKVGGKLEDTELIYGIVVDKDMSHPQMPKQIEDAQIAILTCPFEPPKPKTKHKVDIDTVEKFQTLRKQEQQYFDDMVQKCKDVGATLVICQWGFDDEANHLLMNRNLPAVRWVGGVELELIAIATGGRIVPRFQELTPEKLGKAGLVREKSFGTTKDRMLYIEHCANSRAVTIFIRGGNKMIIEETKRSIHDALCVARNLIRNNSIVYGGGSAEISCSIAVEAAADKHPGVEQYAIRAFRDALEYIPMALAENSGLQPIETLSAVKSQQIKDNYPHFGIDCNDVGTNDMREQNVFETLIGKQQQILLATQVVKMILKIDDVISPSEY >OIV89515 pep supercontig:LupAngTanjil_v1.0:KV862284:148924:149612:-1 gene:TanjilG_20326 transcript:OIV89515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLTMSITYLLFFLCISLHTCNSRYLSTQDKKHEKKSHFPIKNEENNGVDFSQKQLRVMSEGNKMETGLVAQKPKKVRRTKHKVHKAMRKDPGALKSESLVSVSWRMPHKKPSDKNPGFNLDYAPPKTHPPSHN >OIV89514 pep supercontig:LupAngTanjil_v1.0:KV862284:128452:128838:1 gene:TanjilG_20325 transcript:OIV89514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPKVACTFIMLTFLFFNCVAIENLPFPPKSTASPPSIPKKLAKCPKDTLKFGVCGSWLGLVTEVIGTKPSEKCCTLVKGLADLEAAVCLCTAIKSNVLGIVNLKVHVAVSLTVNACGKKVPDGFLCA >OIV89512 pep supercontig:LupAngTanjil_v1.0:KV862284:13888:15678:1 gene:TanjilG_20323 transcript:OIV89512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEQIPNLFLFTQVSAIASQPNSHHSLGMKHSRPLHITASVRDSTQNFHNNVLSKESIELWRNVNAVCFDVDSTVCIDEDIDELALFCGAGNAVAQLTARAYEHIVLVHYKETSVNILCKGSAGKANSGCLF >OIV89506 pep supercontig:LupAngTanjil_v1.0:KV862285:66072:70604:1 gene:TanjilG_20425 transcript:OIV89506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEQLELNPNSVNGPSESTAPLVDDEPVLSSHALAALKEFLAEQQSHSATVSDAGDSEEVALVSEDWRLSQFWYSSETATTVAEEVLTLCDSVDSRVACIACPTLYAYLKKMDPNVSVQLLEYDKRFEQYGSDYTFYDYNHPEELPLELKHSYKVVVADPPYLSEECLKKVAEAISFLIKPRESFLLLLTGEVQKERAAEILGLHPCGFRPQHTSKLGNEFRLFSNYDPGMRLGGWEK >OIV89508 pep supercontig:LupAngTanjil_v1.0:KV862285:135588:143628:-1 gene:TanjilG_20427 transcript:OIV89508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGFNTQPYGIQSMLKEGHKHLSGLDEAVLKNIDACKQLSTITRTSLGPNGMNKMVINHLDKLFVTNDAATIVNELEVQHPAAKILVLAGKAQQEEIGDGANLTISFAGELLQGAEELIRMGLHPSEIISGYSKAINKTIEILAELVENGSENMDVRDKEQVISRMKAAVASKQFGLEDTISSLVADACIQVCPKNPANFNVDNVRVAKLLGGGLHNSTVVRGLVLRGDASGTIKRMEKAKVAVFVGGVDTSATETKGTVLIHSAEQLENYSKTEEAKVEELIKAVADSGAKVIVSGAAVGEMALHFCERYKLMVLKISSKFELRRFCRTTGAVAMLKLSQPNPDDLGYVDSVSVEEIGGARVTIVKNEEGGNSVSTVVLRGSTDSILDDLERAVDDGVNTYKAMCKDSRIVPGAAATEIELAKRVKEFSFKETGLDQYAIAKFAETFELVPRTLAENAGLNAMEIISSLYAEHASGNTKVGIHLDEGVCKDVSTLSIWDLHVTKSFALKYAADAACTVLRVDQIIMSKPAGGPRRQDQPAGGMDED >OIV89510 pep supercontig:LupAngTanjil_v1.0:KV862285:249572:256891:-1 gene:TanjilG_20429 transcript:OIV89510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTSWADSVDNSGNASVRPTRSAYVPPHLRNQTLSSEPSAAAASYSSSVAASDHVGYGGQAAGSHGGISSKPDFGRQGYGSNVQIGGGSGGGWNGRGIARDRGRREVNPFEKDEPVDQALDEQENTGINFDAYDDIPVETSGDNVPPPVNTFADIDLGEALNQNLQRCKYVKPTPVQRYAIPISLAGRDLVACAQTGSGKTAAFCFPIISGIMREQYVQRPRVARTAFPMALILAPTRELSCQIHDEAKKFSYQTGVKVVVAYGGAPINQQLRELERGVDILVATPGRLVDLLERARVSLQMIRYLALDEADRMLDMGFEPQIRKIVEQMDMPPPGMRQTLLFSATFPKEIQGLASDFLSNYVFLAVGRVGSSTDLIVQRVEYVLESDKRSHLMDLLHAQRENVIHGKQSLTLVFVETKKGADALEHCLCVNGFPATSIHGDRTQQERELALRSFKSGNTPILVATDVAARGLDIPRVAHVVNFDLPNDIDDYVHRIGRTGRAGKMGLATAFFNESNLSMAKPLSDLMQEANQEVPAWLTRYAARASYGGGNRNRRSGGARFGGRDFRKEGSFNKGGDYYGGTTGGYGVPGNYGGGHAQGVSSAWD >OIV89505 pep supercontig:LupAngTanjil_v1.0:KV862285:12205:15105:1 gene:TanjilG_20424 transcript:OIV89505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADVIDEPAATISNPNQLDVKLFNRWSFDEINVSSDISLTDYIGVSASKHATYVPHTAGRYSAKRFRKAQCPIIERLTNSLMMHGRNNGKKLLAVRIIKHAMEIIHLLTDLNPIQVIVDAVVNSGPREDATRIGSAGVVRRQAVDISPLRRVNQAIYLLTTGAREAAFRNIKTIAECLADELINAAKGSSNSYAIKKKDEIERVAKANR >OIV89507 pep supercontig:LupAngTanjil_v1.0:KV862285:116036:119527:-1 gene:TanjilG_20426 transcript:OIV89507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADVIDEPSATISNPNQLDVKLFNRWSFDEINVSSDISLTDYIGVSASKHATYVPHTAGRYSAKRFRKAQCPIIERLTNSLMMHGRNNGKKLLAVRIIKHAMEIIHLLTDLNPIQVIVDAVVNSGPREDATRIGSAGVVRRQAVDISPLRRVNQAIYLLTTGAREAAFRNIKTIAECLADELINAAKGSSNSYAIKKKDEIERVAKANR >OIV89509 pep supercontig:LupAngTanjil_v1.0:KV862285:185298:189299:-1 gene:TanjilG_20428 transcript:OIV89509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLQGSVNLNGRELETMVSFKTPTAEMENELFGIPSVRTKNRESYEHLSRSHSNLSDNLYSSPLSEPLSQRDQAALRLQKVYKSFRIRRQLADCAVLAEQRWWRALDFAELKRSSISFFDIEKPETAISRWSRARKRAAKVGKGLSKDRKARKLALRHWLEAIDPRHRYGHNLQFYYVKWLHCDSNQPFFYWLDIGEGREVSSERCPRPQLQQQCIKYLGPIERKAYEVVIENERLFYKHSGIQVHTTEDAKWIFVLSTSKTFYVGKKNKGTFQHSSFLAGGATLSAGRLVVEDGYLKAVWPHSGHYLPTEENFQELMSFLKENNVDLSEVKKSPRVDDEEDIMKTNKDPLFRGNPSVAKLPPNVEIESSSTLAHDQLDMTNEASNNVVSNYDTPLPMLSRELVAKLSRLEIPKRDNVLDIFGQAHPPSCQFYSPDFMSDSGYETAEGSPIDEEEFMVSKSNLFLENHEEENENPIPKEKLMKRIDSHNRMKSYQLAYHLTTKWTTGAGPRIGCMRDYPPELQFIILEQQNLSPRTRTTAPPLIPLLSRFSPHVAFPIPSSNAQTLHKEVPPEATSL >OIV89511 pep supercontig:LupAngTanjil_v1.0:KV862285:296604:323426:1 gene:TanjilG_20430 transcript:OIV89511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPHSKTSHNNDKNSENKTKIDKSSKKISKPKRDKKDAAASTAKSSALALQLQDEVPDFPRGGPVSGKRRNVREDYDDFDGDDYSKKKQNKKKGSNLSRKNDEPEDDWGSLSTDTITGKLPRRVNKITLKNITPGMKLWGVVVEVNAKDLVVSLPGGFRGLVHASDAVDPIFDDKIEVGESFLSTVFCVGQLVSCVVLKLDDDKKEKGTRKIWLSLRLSLLHKNFNLDVVQAGMVLAAYVKSIEDHGYILHFGLPSFTGFLPKNSSTEGLGGELKVGQLLQGLVRKIDKVRKVVYLSSDPDTMSKSVTKDLKGLSIDLLVPGMMVNARVKSILENGVMLSFLTYFTGTVDIFHLQNIFSASNWKDKYSESLKVIARILFIDPSSRAVGLTLNPHLVQNKAPPSHVKIGDIYDDSKVVRVDKGSGLLLEVPSIPESTPAFVSISEIAEKEIKKLEKTYKEGNHIRVRILGLRHLEGLATGVLKTSALEEAVFTHSDVKPGMVVKAKILSVDSFGSIVQIPGGVKALCPVAHMSELDIAKPGKKFKVGAELVFRVLGCKSKRVTVTHKKSLVKSKLAIISSYGDATDGLISHGWIANIEMHGCFVRFYNGVQGSELGLEPGVDPGTVYNVGQVVKCRVISSIPASRRINLSFTIKPTRVAEGDMVRVGSLVSGVVDRVTANAVVVHVNASGISWGTISTEHLADHHGQANSMKSKLKPKYNFDQLLVLDVKGNNLILSAKASLIKYAPHIPADISQMQPNSVVHGYICNLIETGCFVRFLGQLTGFASRKMAADDQKTGILDAYYVGQSVRSNVSNISSETGRVTLSLKQTSCSSTDASFIQDYFLMDEKIAKLEYLGSGASDLKWDEGFNIGTVVKGKVADVKDVGVVVSFEKYNDVIGFITNYQLAGTTVEKGSVVEALVLDIVKAEPLVDLTLKPDFFKRSKERSSTSQTSKKKRRRDASKDLVLHQTVNAVVLSIPENNYTIGYASISDYNTQMFHRKQFQNGQSVTATVMALPSPESLERLLLLLNEVNETSSSKRSKKKSTHQVGSLVEAEIIEIKSLELKLKFGFGLHGRVHITEVHDANGLENPFSSYRIGQTVTARIIAKPNEKDGKRMRSQWELSVRPKLIAGSGDIVDFVSGDLDFKIGQSVAGYVYKVENDWVWLTLSLNVRAQLYILDSASEPSELEDFQNRFHVGKPVSGRVLSINLEKKLLRLVLRPFSALPRGISEEPQVDGKNKELTTYIHKGDVLGGRISKILPGVGGLLVQVGPHTYGKVHFTELTDTLVPDPLSGYHEGKFVKGVVLEISHTVTGTVHIDLSLRPSNGMLSQDSEDAHSIVDVNSKCVEKIEDLHPDLIVKGYVKSVTPKGCFIMLSRKIDAKILLSNLSDEYVDDLAKEFPVGKLVTGRVISVEPLSSRVEVTLKTSSGSSKSKSVNIDFSKFQIGDVISGRIKRVESFGLFVAIDNTDMVGLCHISEVSDDRIDDIESKFSAGEKVNARILKVDEERHRISLGMKSSYMGDDSDLEMTSEQGSEDLVPDSSVLETYNKDIEGEAGQFPILSQAKERASIPPLEVVLDDLDEFDVNNNNTQSEVQANEKATIDEKQKKREKIKAKQEREKQIRVAEERLLEEDIPRTADEFEKVVRSSPNSSFIWIKYMDFMISMADVEKARSIAERALRTINIREENEKLNIWKAYFNLENKFGNPKEEAIMKVFQRALQYNDPKKVHLALLGMYERTEQHDLADELCNKMTKKFKHSCKVWLRCIQGLLKLKPDGIQAIINRALLSLPRHKHIKFISQAAILEFKVGVPDRGRSLFEGILREYPKRTDLWSVYLDQEIQLKDPDVIRALFERAISLSLPPKKMKFLFKKYLEYEKSQGDEERIESVKGKAMEYVERTVS >OIV89499 pep supercontig:LupAngTanjil_v1.0:KV862286:147891:148394:1 gene:TanjilG_20433 transcript:OIV89499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADALKPKITISLKPCDSDVVEVSPAIAKQMQTIQSFIEDDSFFATTTVIPLPNVTAFQLTKIIDYLNYHRNGKAVADDGKESAKKLDEEFLKELDQDQLKELLLAANYLNVKDVLDFLCQAVADLIKDKSVKFVRNFFGVVNDYTTAEEKEIRRTRAWAFEGVDEE >OIV89498 pep supercontig:LupAngTanjil_v1.0:KV862286:83474:89721:1 gene:TanjilG_20432 transcript:OIV89498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTITHHQNHNNNNNHQSYNIIPLDDIHLSPDHPSLRFPEVRAASSALRSIGDLRLPSQWNPHNTNNPDLLDWLAFFFGFQCDSVRNQREHLILHLANAQMRLSPPPDSIDTLDPSVIRSFRNKLLKNYTSWCSFLRLKLSIRVSDNLSSNDHRRDILYVSLYLLIWGEAANLRFVPECICYIFHHMAKDLNRILEGGYVDEENPLMPSVSGEMENGFLECVVKPIYETIRMEVESGKDGTAPHCEWRNYDDLNEYFWSRRYFEKLKWPIDVGSIFFFRSNTRAKHVGKTGYVEQRSFWNLFRNFDRLWVMLILFFQTSVIIAWDNRDYPLQALMDDRDIQVRVYTIFFTWSGLRFLQSLLDIMMQRMLVSRETKSLGMRMVLKSVVAAAWIFVFAVFYWRIWSQKNHDKNWSSEANKRMMTFLEVAFVFAIPELLALALYILPWVRNFIENSDWRIFNMLSWWFQSRTFVGRGLMEGLVDSIKYTLFWVVVLATKFSFSYFLQIKPMIAPSKAVLELDVNYQWHEFFQSGNGFAVGLLWLPVILIYLMDIQIWYSIYSSLVGATVGLFAHLGEIRSMQQLKLRFQFFASAALFNILPEEQMLNARRTLSSKFMDVIHRMKLRYGLGQPYRKLENNQTEANKFALIWNEVIMSFREEDIISDQEVQLLELPKNTWNVRVIRWPCFLLCNELLLALSQAKELVDAPDRRLWRKICKHEYRRCAVIEAYDCIKHLLLVVITKPDSEEHSIVMALFQEIDHSIEIGKFTKTFKTTALPQLHNKLIKLVELLNKKTIDPIQLVNNLQALYEIVIRDFFKEKRNVEQLREDGLAPQNLAPSGVLLFENAIQLPDTVNENFHRQVQRLHTILTSRDSMQNIPFNLEARRRLAFFSNSLFMNMPHAPQVEKMMAFSVLTPYYSEEVVYSKEQLRTENDDGISILYYLQTIYVDEWDNFMERMWREGMAKDSDIWTDKVRDLRSWASYRGQTLARTVRGMMYYYKALKLLAFLDSASEVDIREDSSELLSVKQESLDGPNSERSPIDDSLNRESSSAGLLFKGHDYGTALMKFTYVIACQIYGAQKARKDPHADEILYLMKNNEALRVAYVDEVSTGRDEKKYYSVLVKYDQQLEREVEIYRVKLPGPLKLGEGKPENQNHAIIFTRGDAVQTIDMNQDNYFEEALKMRNLLEEYKYHYGIRKPTILGVREHIFTGSVSSLAWFMSAQETSFVTLGQRVLANPLKIRLHYGHPDVFDRFWFLTRGGLSKASRVINISEDIFAGFNCTLRGGNVTHHEYIQVGKGRDVGLNQVSMFEAKVSSGNGEQVLSRDVYRLGHRLDFFRMLSFFYTTVGFFFNTMMVVLTVYAFLWGRLFLALSGVENAMESNSNNNKALGTILNQQFIVQLGIFSALPMIVENSLERGFLQAIWDFITMQFQLSSVFYTFSMGTRSHYFGRTILHGGAKYRATGRGFVVEHKSFAENYRLYARSHFVKAIELGLILIIYATHSPVATNTFVYIAMTISSWFLVASWILAPFVFNPYAFDWLKTVYDFDDFMNWIWYRGRVFAKAEQSWEKWWYEEQDHLMATGFWGKVFEIILDLRFFIFQYGIVYKLGIAAGSTSIAVYLLSWVYVFVVFGIYVVVAYTRDIYAAKEHIYYRSVQSLVVVLAILVIVALMEFTEFEFMDIFTSLVAFIPTGWGMILIAQVLRAILQHTIIWHGVVSLARMYDILFGIIVMAPVALLSWLPGFQDMQTRILFNEAFSRGLRISQIVTGKKSRV >OIV89504 pep supercontig:LupAngTanjil_v1.0:KV862286:333241:336579:-1 gene:TanjilG_20438 transcript:OIV89504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSFCRKSSQGRKDDLYHVIHKVPYGDSPYVKAKHAQLVDKDPEAAIVLFWKAINVGDKVDSALKDMAVVMKQLDRAEEAIEAIKSFRSVCSKHSQESLDNVLLDLYKKCGRVDEQIELLKRKLRLIYQGEAFNGKTTRTARSHGKKFQVSIKQETARLLGNLGWAYMQKANYMMAEVVFKKAQMIDADANKACNLCLCLMRQSRYEEANTILKDILQGKFPGCDEFKSRRRAEELLKELNSNLPQSQFMNILGFDDEFVKGIDELLYTWGSNRSRRLPIFEEISSFRDQLAC >OIV89501 pep supercontig:LupAngTanjil_v1.0:KV862286:299601:315501:-1 gene:TanjilG_20435 transcript:OIV89501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRFSKSSAPRLLYYTIRSSSTATTASPSSSLLAATFHLRHFSAGSNLARNSDSKEPLWKESVARMRNIGISAHIDSGKTTLTERVLYYTGRIHEIHEVRGRDGVGAKMDSMDLEREKGITIQSAATFCTWKDYKINIIDTPGHVDFTIEVERALRVLDGAVLVLCSVGGVQSQSITVDRQMKRYEVPRLAFINKLDRMGADPWKVLNQARSKLRHHSAAMQVPIGLEDDFKGLVDLVHLKAYYFHGSMGENIVAEEVPADMEALVSEKRRELIETVSEIDDKLAEAFLNDEPISATDLEEAVRRATISRKFIPVFMGSAFKNKGVQPLLDGVLSYLPCPIEVNNFALDQTKNEEKVELPGSPEGPLVALAFKLEEGRFGQLTYLRIYEGVIRKGDFIINVNTGKKIKYNELVAETFLLLIQVPRLVRMHSDEMEDIQDAHAGQIVAVFGVDCASGDTFTDGSVKYTMTSMNVPEPVMSLAVQPVSKDSGGQFSKALNRFQKEDPTFRVGLDPESGQTIISGMGELHLDIYVERIRREYKVDASVGKPRVNFRETVTQRADFDYLHKKQSGGQGQYGRVIGYIEPLPAGSTTKFEFENLLVGQAIPSNFIPAIEKGFKEAANSGSLIGHPVENLRVVLIDGAAHTVDSSELAFKMASIYAFRQCYTASRPVILEPVMLVELKVPTEFQGAVAGDINKRKGIIVGNDQEGDDSVITAHGKGEFTMEYKEHSPVSNDVQTQLVNAYKGNKAAE >OIV89502 pep supercontig:LupAngTanjil_v1.0:KV862286:323792:327763:-1 gene:TanjilG_20436 transcript:OIV89502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKRYVKLTKEQAALQDITPGELHQPIEVSQYNVRRCIECGQVLPETYQPPADEDWATGICGCAEDTDSCWTGLFCPCVLFGHNVEAMREDIPWKNACVCHAMCVEGGMALAAATALFNGIDPQTSFLIVEGLFFTWWMCGIYTGLFRQALQKKYHLKDSPCDPCMVHCCLHWCAICQEHREMRNHLSEDASTESTIVSPPPVQEMNSHDDNKDSTPTDEHNNLQIQPV >OIV89497 pep supercontig:LupAngTanjil_v1.0:KV862286:77118:80331:1 gene:TanjilG_20431 transcript:OIV89497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGRGSKGFDCGSDDILSTYEDYSNNNHDGSSNATHNNDPIKDFNKPRVARTSIFPATASPEDSLSEEVIATVEKSMKKYTDNLMRFLEGISSRLSQLELYCYNLDKSIVEMRSDLNSDHGEADSKLIALDKHVQEVHRSVQILREKQELAEAQKELAKLQLVQKGSSSSSHSQSTEERSSPSAADPKRADNRASDTHNQQLVLALPNQVAPQPQPVAPCAHAPTPNVTQATEQSPYYMPYTSLPIPPAATQLPQNQDLTSDPQYRTPQSTPSQVTQSPPEQQFSQYAQMQPQQWLQQVQPPHQPSVQTQMRPTSTNVYTSYLPNQATNPSPTETLPASMSMQMLPYSGIPPPGSSRGEAVPYGYSGTSRTVPQQPPPHQIKGSFSAQSGDVYRTGRTHATLPPACAYMMYDGEGVRTHNPTQPPHFAQGGYPPTSASLQNPATHNPMVRDPNQSHFIHGHPYNELIEKFVSIGFRGDHAASVIQRLEETGQPIDFNSVLDRLNMRSSAGPQRGWSG >OIV89503 pep supercontig:LupAngTanjil_v1.0:KV862286:329271:330864:1 gene:TanjilG_20437 transcript:OIV89503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAPDRYERFVVPEGTKKVSYERDTKIINAASFTIEREDHTVGNILRMYNLNPLSLFLFSESIHTTSQSSPMQAYNQSINDLDRELDQLKNAFEVYSYLFLSFCNFCVQVKFFDIIDTCLLSYKILDRL >OIV89500 pep supercontig:LupAngTanjil_v1.0:KV862286:171659:172090:1 gene:TanjilG_20434 transcript:OIV89500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAPKPKITISLKPCDGDVFEVSWAIRKQMQTIQSFVEDDSFVATTTVIPLPNVISFQLTKIIDYLNYHRNGKAVADDGKESLKKFDQGFLKELDQDQLKELLLAANHVKVKDVLDFVCQTVADLIQDKSVKFVRNFFGGRG >OIV89487 pep supercontig:LupAngTanjil_v1.0:KV862287:70841:71017:1 gene:TanjilG_20552 transcript:OIV89487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKGEFSKIQFLPIEKIMDVCLIDELFIRGITHDEYVKNWFNNSKKWSLIKEVMLPQI >OIV89495 pep supercontig:LupAngTanjil_v1.0:KV862287:352995:355067:1 gene:TanjilG_20560 transcript:OIV89495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADYEDIQPLVCDNGTGMVKAGFGGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDSLMKILTERGYMFTTSAEREIVRDMKEKLAYIALDYEQELETAKSSSSIDKNYELPDGQVITVGAERFRCPEVLFQPSMIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKSEYDESGPSIVHRKCF >OIV89493 pep supercontig:LupAngTanjil_v1.0:KV862287:290051:293923:-1 gene:TanjilG_20558 transcript:OIV89493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFSLSKLYGIPPENQTSEESSEEGTGLPEPPDPDVVEIDPTCRYVRYKEVLGKGAFKTVYKAFDEVNGLEVAWSQIRIDEVLQSPCDLERLYSEVHLLKSLKHNNIIRFFNSWIDDKHRTVNMITELFTSGSLRQYRKKHKKVDMKAVKGWARQILMGLNYLHSHKPPIIHRDLKCDNIFINGHQGEVKIGDLGLATLLEQNNAKSVIGTPEFMAPELYDEEYNELADIYSFGMCMLELVTFEYPYRECRNSAQIYKKVSTGVKPVSLSKVKDPEIKLFIEKCLVPASQRLPARELLMDPFLQVNGLAKNRSLQLPDIVLPRLGAFENRCLMSEGPASARSRSISIDLSDTSELPMITVFYNSVEDAPPSPCVEIRRVKGGNLFFLQGEENDENSVSLVLRIADQNGRARNIHFMFYLNTDTAISVSSEMVEQLELAEQNVKFIAESLDLLLMTLIPDWKPCIAIEHLVVSPNDKRTNLIQQKDSKLSQKDLELSKYKESLEDASRIVVEDVGPSTLPERSEVNETNYDVILDEVLSHAKIGLQRETKPDDLCSEMSYTSGASDFDDENSSAVSFTSAKSEFSDFNSPTMNEEIQTSFASEIEASIDFMNKLPEVSSHGILNYSTNNPITASELDSSIELEMIDQQYQEAIKELSKRRYQAIIETRRRLSSNMTS >OIV89494 pep supercontig:LupAngTanjil_v1.0:KV862287:341120:341794:1 gene:TanjilG_20559 transcript:OIV89494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYASTSFTSPILHDLLLEDFQSRRLLHSSFKSLNSANPPASTNIQKSTESGNFDANIVMVLSVLLCALIFSLGLNTIIRCALRCSNVVPPNTPYPPTRVSNKGIKKKALKTFTTVSYSAELNLPSLDSECVICLSEFTNGDKVRILPKCNHGFHVRCIDKWLSSHSSCPKCRQCLIETCEKIIGCTSRQGSSTQPPMLLVPETIVTIVPLEPEGLVRNYREVIS >OIV89490 pep supercontig:LupAngTanjil_v1.0:KV862287:216676:221157:-1 gene:TanjilG_20555 transcript:OIV89490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSARKRSSKWDMSDGPEFAPDSKQLHSYLEGNDKSKYGTGFSSKESFSRGRGSNKDDIMNKDYRDWDETMEWDADESYSKKFSPGFEELKYNRRSQSPKNGRSMSVRSSRSRSPPHGFRRDSGFNDVSRTTAGGSLQPCREFAAGKCRRGSHCHFLHHDNQNYEDSWESKHREDGAPKYYAPHDSRDHSHRSGRSNEACVNFAKGRCRNGSSCKFVHNNSDGYSKGSVDESNRERENDRRRRDNSFDQGGGHGPNRSGPPPCKFFANGNCRNAKSCRFSHDRQAYGSSPNRRSREDKWGSNPGGDHQTLDRRELIDSVSSTGRLRDDRWGSDGNMVDMDNPKRNDTVAVSDTSKLVEDKCGNIDASDPGFTSWPRKDGWGHALYKNREHSEPTFSSGKETGHWMAENAGANKHVPQPTGTGNWSGDEMSPDWNYRVRSSSHIQEEHGQNKHGITQGGTYLATEHEGTQVIPGQALNQNAQNINPLHSSSGHGVGQSQVDVPILPSREVVESMHNQQVTAGLPEQGFNQNSQNPNLNPFHSSSSHAVGQSQVAVPGPPSRGVDKSMGNQQVSTDVPTGQGFNQNPQNINPFYSSVGLAVGQSQVAVPILHSKGVIESIHKQQVSNDVLPGQVFNHNAQNINPLHSLSGHAVGQSQVAVPILPSRGIIESMHNQEVSAEKKYTVEPNIKDEGLSQVSSRNPPTKNMVSNEQLTQLSNLSASLAHILGTGQQLPQLYAALNSNDAKDTSSLAKTEVPAIPVSNTFIKPDPVLGYRKQYDPMCDSIETKKVVASGATPAFSPSKKNAKDKAEIPSLASNSGRRNCGNSFKAACSEEHLIQLQPGRNLEVNKEKNEVVVEDRQNSPGDHKNTKENGPLENMDQKGGPDDDKKSKDTKGVRTFKFALVDLVKELLKPAWKEGQINKEDYKAIVKKVVDKVTGTMQGTNIPQTQEKIDHYLSFSKPKLSKLVQAYVERVQKA >OIV89492 pep supercontig:LupAngTanjil_v1.0:KV862287:241141:244110:-1 gene:TanjilG_20557 transcript:OIV89492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLISNGGHGDVFFDSVDSLSPPQECVLTKQELGYEVWVNEPLSVKERRERFLQGMGLVEDSSKVCLQEKTASFDESSASLGMERVRDCIGAVSNACIMLDDKVPEKLVPSIGESSSEAQVLLDELKGCQDDEDKADTCFQGKLYEPSSSGQEHRDREAEAVEEFREFEICKKNKKRWWERFVNSGKGGEGKDRSKVNRRRNKSNRIHVKQNKKKWMELSALYIGQEIRAHKGLVWTMKFSPNGKYLASGGEDGVVRIWRLVSLDTSSVCFGADDSIIWEVKHGISCSQRKNSSQSLNVLPKNILQIEESPMQEFYGHSGDVLDLAWSNSDILLSSSTDKTVRLWQIGCNECLSVFHHRDYVTCIQFNPVDENYFISGSIDGKVRIWGVHEERVVDWANIRDVVSAISYQPDGKGFVVGTLTGTCRFFIASGKHFQLEAQIRVNGKKRTSGNKITGIQFSPKNHQRIMITSEDSKVRILEGIELVQTYKGLPKSGSQMSGSFTSTGKHLISVGDDSRIYIWNSNELGNASSKHTKPQYSCESFISEGVTVAIPWSGMTASSRHNSSEVQPKIEAVPGVRDSERFSFRSWFSLDGTCRGTMTWPEEKLLSCDLSFAEEEFDYEPLCVKDPCHNNYVSETWGLSIVAAGCDGTIKTFHNFGLPVRL >OIV89488 pep supercontig:LupAngTanjil_v1.0:KV862287:73602:73829:-1 gene:TanjilG_20553 transcript:OIV89488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKHHHLPRSTQYNHFPFYIPLISRLFHTDSIVSFYTITFFLLLNYPTYNTNIAPPISPHIQTLLTRFDKIFHNP >OIV89491 pep supercontig:LupAngTanjil_v1.0:KV862287:237287:239220:-1 gene:TanjilG_20556 transcript:OIV89491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNMRKLNRTSAHRISMLRTMVSQLVKHERIETTVAKAKEVRRQVDRMVTLGKEGSLCAARRAAGFVRGDDVIHKLFTELAYRYKDRAGGYTRMLRTRIRVGDAAPMAYIEFIDRENELREPKPPAPQPPQRAPLDPWTKSRLCQRFASPKKEKSEIDL >OIV89489 pep supercontig:LupAngTanjil_v1.0:KV862287:170085:182872:-1 gene:TanjilG_20554 transcript:OIV89489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPQSSGKFRPRLNTGEGMISNKYREGNMKRTLRRVSKSSSLAKLWYGDDLDRWSALRSDSKFLMRLSHLSRIRFGDSVPGWVVAGGGRAEDSSVTLSTQKDVMLGEAFRGIAAARRGTSTIIRKCVLVVTASHGRSEASSVTSPAWKDVVLGEALRGTTSARWGANQIIRK >OIV89486 pep supercontig:LupAngTanjil_v1.0:KV862287:20262:20792:1 gene:TanjilG_20551 transcript:OIV89486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKRLVDVEAILSDEMYQFVVSEFSKDEGYQTPRLIMGIRKKVGVDISIPSQKGLKLPIDKNYIQKFCNGKKVENVGLSQMLSTHQSYSIA >OIV89496 pep supercontig:LupAngTanjil_v1.0:KV862287:393305:393715:1 gene:TanjilG_20561 transcript:OIV89496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVSALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >OIV89480 pep supercontig:LupAngTanjil_v1.0:KV862288:172493:177094:-1 gene:TanjilG_20901 transcript:OIV89480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHNILATMRSLKIIDGCKGTQLYSLNTAATAGCGGVGDKLLQHIHDHIKSQTLRTKSVHSIQLSNLPDTVVTAEGTFLPYGLPVKEILEPKIEPSFIPIDFVERLADVYRRIEDCPQFEKSGMFLEQCAVFRGLGDHKLFRQSLRLMRQHAVDVHMKIVVASWLRYERREDELLGLSSMDCCGRNLECVKASLVPGYDPESVYDSCMCSRNLMVVDDDDEVEECSTSLEEENGDMSFCIGENEIRCRRFNMASLSRPFKTMLYGGFVESWREKINFSRTGFSAEVMRAADIFSRTKKLDQFEPNLVLELLSLANRFCCEEMKSACDAYLASLICDLENAVLLVEYGLEERAYLLVAACLQVVLRELPSSMHCSGVTKLFCSPEGRDRLALAGHASFVLYYFLSQIAMEEEMRSNTTVMLLERLVECSTDGWEKQLAYHLLGVVMLERKEYKDAQHWFEAAVEAGHIYSSLGIARAKYKRGHTYSAYKMMNSLISDYKPVGWMYQERSLYCAGKEKMMDLISATELDPTLSYPYKYRAVSLLDDNKIGPAIAEISKIICFKVSPDCLELRAWFLIAMEDYEGALRDVRAILTLDPNYMMFYGNMHSDHLVELLYPAVQQWSQADCWMQLYERWSSVDDIGSLAVVHQMLANDPGKSLLRFRQSLLLLRLNSQKAAMRSLRLARNHSTSDHERLVYEGWILYDTGHREEALVKAEESISIQRSFEAYFLKAYALADSVLDSESSKYVIHLLEQALGCPSDGLRKGQALNNLGSVYVDCDKLDLAADCYMNALNIKHTRAHQGLARVYHLKNHRKAAYDEMTKLIKKARSNASAYEKRSEYCDRDMAKSDLSMATWLDPLRTYPYRYRAAVLMDDHKEAEAISELTRAIGFKPDLQLLHLRAAFHDSMGDYISTVRDCEAALCLDPNHAETLDLCKKAQERIKEQE >OIV89479 pep supercontig:LupAngTanjil_v1.0:KV862288:167446:170872:1 gene:TanjilG_20900 transcript:OIV89479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSTSKVSIFSSLSPIVGEVPKNMISFITFVPSSLPTLSKGPGRGNMKIYASNVPASLTGVIFEPFVEVKKDALAVPISPNVSLARQNYTDETEAAINEQINVEYNVSYVYHSLFAYFDRDNIAFKGLAKFFKESSEEERDHAEKFIKYQNIRGGRVILHPITSPPSEFAHAEKGDALYAMELALSLEKLVNEKLLNLHSVADRNNDPQLADFIESEFLKEQVEAIKKIAEYVTQLRLVGKGHGMLIS >OIV89485 pep supercontig:LupAngTanjil_v1.0:KV862288:395813:395962:-1 gene:TanjilG_20906 transcript:OIV89485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSRVSLFYRRSRRVDFMLPLIVRFGLEHAWPVYVGGSHDVDVDDPRK >OIV89476 pep supercontig:LupAngTanjil_v1.0:KV862288:17791:18249:1 gene:TanjilG_20897 transcript:OIV89476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATDGISSRGEAKSSAVIKAEEVRSSLEQEFPSFVKSLVRSHVASCFWMGLPVTFCKSHLPDKDTTITLEDESGKEYKMKYIAYKTGLSAGWRQFSAVHKLQEGDVVVFQLVEPTKFKVI >OIV89477 pep supercontig:LupAngTanjil_v1.0:KV862288:25861:26802:1 gene:TanjilG_20898 transcript:OIV89477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLVSNIASSMNHGGFVQSSYNKDNDADAVAYNSSKRKNGKFVTLDFQKKKKTSVPRLTLKVRQSAVRSENDSEEALSEVLEDFKMLEFKDVKGFEDFSITIDGMPIDAELPNGVRNKYYRLCCSQNAFLHENLIRGMNHKLIAGVISETVNIADAIKVSVLATPRVEFANWDKTLLAFEHLGMNVEFLRVRLRRIVSIAYETDGASETRRYLKYRTEHSEADDEIKNMETKLEELKEACNGFGAYLESLKSKAENYQVMLQKEVAAPW >OIV89482 pep supercontig:LupAngTanjil_v1.0:KV862288:287886:290266:1 gene:TanjilG_20903 transcript:OIV89482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSEKHFSSSYNDLSQAFDPSYMSFTEYLQEDMECTSLERSFGLYPSSTEVFSSIQDNQKPSESEDIVDSGIDGSETLATLNSSITSSSTESGTVEVSGKRKKDGDVKGEGRESSKKRIKGKKKGEKKQNEPKFVFMTKSEIDHLEDGYRWRKYGQKAVKNSPYPRSYYRCTTQKCIVKKRVERSFQDPTTVLTTYEGQHNHHVPSSLKGNVAARMFTTTPTPFSTTTNGSNFPQNLNLRMHNHNHHSLMFRNNNMQQSINTTASVSEGSIYSHNNNINNYLLQQLNNHQNIPQEYGLL >OIV89483 pep supercontig:LupAngTanjil_v1.0:KV862288:293714:296094:-1 gene:TanjilG_20904 transcript:OIV89483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSEKHFSSSYNDLSQAFDPSYMSFTEYLQEDMECTSLERSFGLYPSSTEVFSSIQDNQKPSESEDIVDSGIDGSETLATLNSSITSSSTESGTVEVSGKRKKDGDVKGEGRESSKKRIKGKKKGEKKQNEPKFVFMTKSEIDHLEDGYRWRKYGQKAVKNSPYPRSYYRCTTQKCIVKKRVERSFQDPTTVLTTYEGQHNHHVPSSLKGNVAARMFTTTPTPFSTTTNGSNFPQNLNLRMHNHNHHSLMFRNNNMQQSINTTASVSEGSIYSHNNNINNYLLQQLNNHQNIPQEYGLL >OIV89478 pep supercontig:LupAngTanjil_v1.0:KV862288:153697:156922:1 gene:TanjilG_20899 transcript:OIV89478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWEFSVGLYMINIWPDSLLYAAIYGAVESASTAVFGPIVGRWVDKLSYLKVLQLWLVIKNLSFVIAGASVVVLLVYSSLKFTNFPAFIFLMVMINICGGLGVISTLAGTILIEREWLLVISEGQPPESLTRMNSVTRRIDLSCKLLAPVITGFIISFVSLKASAITLALWNIISVWVEYWLFTSVYSGIPALGQSNQRRMAKLLRTNLKRNEPTIEGDILPFVTVDSSELADRKGKKSFAEWISEIPYVAAWRVYLRQEVVLPGAALSLLFFTVLSFGTLMTATLEWEGIPAYVIGIARGVSAVVGIAATVVYPVVQSHISTIRTGLWSIWSQWTCLLPCVAAIWVQNGFVSSYILMGSVAISRLGLWMFDLSVLQQMQARNNPSCLKWFLEEFG >OIV89481 pep supercontig:LupAngTanjil_v1.0:KV862288:243789:260118:-1 gene:TanjilG_20902 transcript:OIV89481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMALKLKTCLVCWNLNLTSPFNCNNHHHHHNNAVLSFPTSTSHRLLHASCKMRHRPPRSQQKKQQIKKASKEQPSSPNQDDNSKVSLDTTPNLNKEILGSTHTENSNSGQHTNEEILIRKPLTDVIGNPHLNQNEDSKVSLDTIPHTKNSDSGQHINEENLIRKPLTDVIGNPHLNQNEDPKVTLDTIPHTENSDSVQHTDVKILNGPDVQAQVTPLGIYLNGAEQAEQLSGGQLENLLSLIRKAEKNILLLNQARVRAVEDLEKILAEKEALQGEINVLEMRLAESDSQIEVATQEKINMELLEDQLEKLRAELASRASTEGRDAELYDHQIGVLGDKYPPSHNDSIHSLTEELNSLKEENTSLKNAIDSFKTQLNDVKNTDKHLVKLEEERASFESALKEMESKLLMSQEDVSKLSTLRVECKDLSDKVENLQLLLDKATKHADQATTMLQQNQDLRRKVDQLEASLDEANMYKLSSDKLQKYNEQMQEKIKILESHLQKSDEEIYSYVQMYQESVEEFQDTLNTLKEESKRRELDEPVDDMPWDFWSGLLLLIDGWSLEKKISIDDAKLLREKVWKRDKRISDIYMACKKQSEHEAISAFLGLVASATSPGLYVIHIAAEMAPVAKVGGLGDVVSGLCKALQKKGHLAEIILPKYDCIQYDRIRDFRALDVVIESYFDGQSFKNKIYVGTIEGLPVYFIEPHHPDKFFWRGKFYGEHDDFRRFSYFSRAALEFLLQAGKKPDIIHCHDWQTAFVAPLYWDVYAPKGLNSARICFTCHNFEYQGTAPASHLESCGLDAHHLNRPDRMQDNSAHDRVNSVKGGVVFSNIVTTVSPTYAQEVRTAEGGHGLHSTLSTHSKKFLGILNGIDTDAWNPATDTFLEVQYNANDLQGKAQNKEALRRDLGLSSADVRRPLLGCITRLVPQKGVHLIRHAIYRTLELGGQFVLLGSSPVPHIQREFEGIANNFQNHDHARLILKYDESLSHVIYAASDMFIIPSIFEPCGLTQMISMRYGAIPIVRKTGGLNDSVFDVDDDTVPSQFQNGFTFLNADEPGINGALDRAFNLYMNNPEIWQQLVRKDMNMDFSWDTSAAQYEDIYSMSVARARATKK >OIV89484 pep supercontig:LupAngTanjil_v1.0:KV862288:340294:346948:-1 gene:TanjilG_20905 transcript:OIV89484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRQGVGNQQNSEQQTAQEDAKREADERRQMMLSQILSAEARERLARIALVKPEKARGVEDVILRAAQMGQIAEKVSEERLISLLEQINNQTSRQTKVTIQRRRNVLEDDD >OIV89474 pep supercontig:LupAngTanjil_v1.0:KV862289:182357:183868:-1 gene:TanjilG_20936 transcript:OIV89474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIFTRILPKASQQTSHAFHFHILPPPKPRFPLPRQSHTSTVPELPSPPQDAAIAKLVLESDPESLSEVLSNPTLQWTPHLVDQVLKRLWNHGPKALQFFKHLDCNPFYTHSASSFDHAIDIAARLRDYRTAWTLLHRMRSLRCGPTPRTFAIIAERYVSAGKAHRAVKVFLSMHEHGCRQDLNSFNTILDVLCKSRRVEMAYSLFKMLRSRFKCDSVTYNVIANGWCLIKRTPMAMRVLKEMVERGIEPTLVTYNILLKGYFRTGQFQEAWNFFLEMKKRECEIDVVTYTTMVHGFGVGGEVKKSKRVFDMMVTEGVVPSVATYNALIQVLCKKDNVQNAALVFAEMVRKGCVPNLTTYNVLIRGLCHSGDMERALELMARMEKDDCRPNVQTYNVVIRYFCDAGDIEKGLNMFEKMGDGSCLPNLDTYNILIGAMFVRKKSDDLVVAGKLLLEMIDRGFLPRKFTFNRVLNGLALTGNQDFAKEIMRMQSSCGRVPRHLKL >OIV89475 pep supercontig:LupAngTanjil_v1.0:KV862289:259724:262357:1 gene:TanjilG_20937 transcript:OIV89475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYYFSHSLVKAFLTAWRDACKARGRASFVIPKGTYLLNPIVFTGPCRALITFELKGTLLAPINNPSSDTTWINFRYLDRLTVNGGGTLDGHGASAWGRKSGNPLLMGMGFAFVNNTNVNNINSINSQNAHISMFQCENITLSRLTISAPSHSPNTDGIKMAKSKGINIKNVHIATGDDCIAMITGTKNVNISNVYCGPGHGISIGSFGSNTDEFDIQDISVKSCTFNGTSHGLRIKTWPSALNHPLKASNIVYEDITIIDVDHPINIDQEYCPDNKCGNKVSSGVKISNVSYRNVRGTCKGDVAINFKCSASNPCQNISLENIDLERRGHHHRKKPLKNLCSNVHGASHGKQQPAACF >OIV89473 pep supercontig:LupAngTanjil_v1.0:KV862289:109300:110855:1 gene:TanjilG_20935 transcript:OIV89473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRWLRPEVYPLFAAVGLAVGICGFQLVRNICINPEVRVNKVNRAAGVLDNFAEGEKYAENFLRKFARNREPEIMPSLNRFFTDPSRN >OIV89472 pep supercontig:LupAngTanjil_v1.0:KV862289:58491:64454:-1 gene:TanjilG_20934 transcript:OIV89472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHFALLLWWICLLPGRAYEVLKQYHSLGGKATIEVPSQTVAGYATVATTGGVDRRKEGNTGPKTKREQLLKATALVPLLLLYPNAYSLLAANLFVFWHIRAGIEEILADYVHQEMTREFVMISFKLFLIIAMKDIFLKFVFV >OIV89471 pep supercontig:LupAngTanjil_v1.0:KV862289:22415:22899:1 gene:TanjilG_20933 transcript:OIV89471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLVTMEFVVEGSFAKEQSCVGIVTVVETTPVAMEIDGEEKLYEKKSSGKAILEKMNSDELVTDLTIAKGNRTELNSVEVHARNSGAETISNVESAPELIRIQDCSGSKIPTVDDPESI >OIV89466 pep supercontig:LupAngTanjil_v1.0:KV862290:289448:289693:1 gene:TanjilG_20950 transcript:OIV89466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGGAAPARGSAAATAGMRRRRTTGGGASGGAAGTMLQFYTDDAPGLKISPNVVLVMSIGFIAFVAVLHVMGKLYLHREA >OIV89469 pep supercontig:LupAngTanjil_v1.0:KV862290:372778:373674:-1 gene:TanjilG_20953 transcript:OIV89469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIFRVVKCTGNDGCSGNPVKIVITDECPGCSATDFHFDLSGTAFGAMAVSAFHVDSGSNPYYFATNIEYVNGDGDLAKVELKEANSGSWHSMQQSWGVVWKLDNGSPLQAPFSIRLTTLKSGKTIVADDVIPAGWNPGETYKSTVNFHT >OIV89467 pep supercontig:LupAngTanjil_v1.0:KV862290:290971:291219:1 gene:TanjilG_20951 transcript:OIV89467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCRLKARGGKGGRLATVADLLGQLGWQLANQGRTRSLVVCRGGNITGPRDAHCPLICPSRRRHRHTDMDCVNDTIFQHNVVP >OIV89465 pep supercontig:LupAngTanjil_v1.0:KV862290:260744:264859:-1 gene:TanjilG_20949 transcript:OIV89465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVTESSESQITSARDNNLTGNSNARVLDETATFIDDAVRQAQFYQKALNDAVESALDASITRFSQIRSTSYAHFNQTLDSLDELKSQYNAYEDILFGKIKDGALVAASQPAITCGATAALGLVVLKRPRRMLYYNTLRLFVSEESLISRASAEVNELRISIDLLKAEGEKLQILSMVLNCLSLFPLSSFIFSTMIMVASSNLLENRWMVGDGVISSWLRRWVVRRSQVTFIGCTRDGVVTVTLHLLSCCCWLLETHNSSVDKRHDGLGGVLKTDWRSDDVSIELVSSHIVWMGAVWCSLVIIPSLI >OIV89468 pep supercontig:LupAngTanjil_v1.0:KV862290:312238:314550:1 gene:TanjilG_20952 transcript:OIV89468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTLRHAFSHILILLAFLSIFLVIPSSCFNLGKFLNVSYSQSGSDWSPAIATWYGPAHGDGSEGGACGYGRAVGQPPFSRMITAGSPTLYKSGKGCGSCYEVRCTANSACSGNPVIVVISDECPGCSDAEFHFDLSGSSFSAMAVSGEDQTLLNAGKIEIQYKRAECNFPGTSIAFHIDSGSNQEYFATLIEYEDGDGDLGIVELKESHDSGSWYSMQQSWGAVWKLDHGSPLQAPFSIRLTTLESKKTVVANNVIPKGWNPGQTYRSIVNFAT >OIV89470 pep supercontig:LupAngTanjil_v1.0:KV862290:375715:378153:1 gene:TanjilG_20954 transcript:OIV89470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEMAKIERERENNNNNINELNEEAQMEKLPNPLPYRRNKALVKELSVPPPGAKDLYFPSQYSQPTMGQFKSCLWKQYLTYWRSPDYNLVRYMFTLLVALVVGTVFWKVGTKRSNSGNLTTIIGAMYGSLFFVGVNNCQTVQPVVAIERTVFYRERAAGMYSALPYAIAQVIIEIPYCFVQTMLFSFIVYAMVSFEWQVAKVFWFLFVSFFTFLYFTYYGMMTVSITPNHQVASIFGAAFYGLFNLFSGFFIARPKIPKWWVWYYWICPIAWTVLLDDMIDSIYVAMETKAGNDQGDVVVIKRARLEVPCDYA >OIV89461 pep supercontig:LupAngTanjil_v1.0:KV862291:188568:193546:-1 gene:TanjilG_21660 transcript:OIV89461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKASGGAAEKKRVRRSSAPDDVPPKKQAAKKDVFQVFAEKVRDNKDLVSRWSVLQETRVEYFRGKDFVNFVKNHPEVKDVLDLDSDLETEEIANTLLEKSLLVRCDRVVKTVRPGKKKLSTWPAHLEIFPEQVFSENDAFFAWTFEKHQPLWQTLLSFFWPVLTLAICLFPVYPHRCKLLILYSCVGILFLILLLLSIRGTIFGVLYILLGKRVWFFPNILAEEATLGELFRFWPKKDEEERPTWTKRLFYTLVAVLAIVLLRQHAPDEAARARYQKRVSNIIDDVIEWSPTLSLSGMMDKQQNVSNATGSADAAPQASKTDPEHEPPPYGDDAKSFTEDTEEVSDNVEDVAEEDKKHD >OIV89463 pep supercontig:LupAngTanjil_v1.0:KV862291:239977:241102:-1 gene:TanjilG_21662 transcript:OIV89463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAGDVLRQILPEDGAAGELHVLAVDNSHVDRTVVESGSRALQFLGLDGENCSIDFDESSVFREIPMVVMSSENILTRIASPL >OIV89462 pep supercontig:LupAngTanjil_v1.0:KV862291:233000:235177:-1 gene:TanjilG_21661 transcript:OIV89462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECNKDDALKAKEIAEEKLLKKDYGGARIFALKARKLDPNLVGISQLLAAIAVNISAERRVNGQVDWYGVLGVQPLADDATIRICYRKLALMLHPDKNKSVGAIEAFKLITQAWSLLSDKDKKVIYDQNRNSQGRYEEIQVRKPSVPARQNGFCNNNILNIANSKDKDHKNGPHPIPIPVAPATLKQTFWTRCTSCKTQFEYYTRYIKCELLCPSCHQQFMAHEVPPPSVYRNGSSTSRISQVKQHNFNSTRTERNCNASGRTPMYAVNSSLASGHFSTSAFVGDSSRKIRRTNENQVHGDGRERETKIAYQNGGIRLPNEFGSQKDNLDNRGRANAAGNYKRNGAREASQLQMKTMLMDMARKDIRKKLDEWINSSVSKNLEKPKNTNSEVRKKNRERAISGVKHAAPEIVDSEIIGNKCFSADSEVTESLTMSVPDPDFHDFDGDRIENAFRENEVWATYDDDDGMPRFYALINCVVSKKPFNMRIGWLSSKTNDELAPIKWVSAGFAKAVGDLRIGKREISTTLNSFSHRVKWTKGPRGLIHIYPKKGDVWALYRNWSVEWNEFTTDKIIHKYDMVEVLDDYSDERGVNVAPLVKVGGFKTVFHQNADPGKVRNIPKAEMFRFSHQVPSYLLTGQEGRNAPSGCLELDPAATPMELLQITTEKAPEQEMTTEKSSEDEPKPNVTSSEDAIEEVPRKVVAESIKRKEGRPEVFSVYKRRRSKK >OIV89460 pep supercontig:LupAngTanjil_v1.0:KV862291:163412:167158:1 gene:TanjilG_21659 transcript:OIV89460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GSEDMQPKSETNGMRSDPRSFQPSIGYAEHWWRGIAYNPIAQTMSEANTTNSSSLDCPNGDSESNEEGRSLSNSGLNEEDDGATKDSQHGAPNQTENYGQEQQGMQHTSASATSVREEGPTQTPQLELVGHSIACATTPYQDPYYGGVMAPYGHLPLGYAPFMGMPHARMPLPLEMAQEPVYVNAKQYQGILRRRQARAKAELERKLIKARKPYLHESRHQHAMRRARGSGGRFAKKSDGEASVKDIGSGPVLSSQSISSSGSEPLPLPSDSAETWNSPNMLQQDARGSKAHSRFEAPNYENDSDSYHNHNGLQSSTYRKHSGERAEEGDCSGQQRGSISSEHASQRRLTIQ >OIV89464 pep supercontig:LupAngTanjil_v1.0:KV862291:310793:313279:-1 gene:TanjilG_21663 transcript:OIV89464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENITTSSSPYALEIGHEQIPAPSSASSRKESGGWRAIKYIIGNESFEKVASMSLIANMTVYLLSNYHLSGIFVVNVVQIWNGSSNIASIAGAFISDAYLGRFQTLLYGCMASLLGILTMTLTAGIHQLRPPACKEELPHCQRPQGWQLAVLFAGLGLLSIGAGGIRPCNIAFGADQFDTKTEKGRTQLESFFNWWYFTFTIAVIIALTGVVYIQTNISWTLGFAIPTVCLAFSIAIFLIGHHAYICKKPEGSTFSDMAKVIAAACRKRKLQTSGRTFYDPALALGISDLDNTRLAHTDRFKFFDKAAIVGAPSELDEQGKPRNVWRLCTLQQVEQLKCLLGILPVWVTGICCFISMDQQNTYGLLQVSQTNRSLGPHFKVPPGWMNLFPMLALSIWIYIYEGIYITLTRKISKRDRRLTLGIRIRVGILLSIICMLVAAVVEKKRRDSALRLDSYISPTSFALLLPQFALSGLIEAFAAVAIMEFFTMQMPESMRTVAGAVFFMSLSIANYIGSLIVNIVHKATSQRGRIPWLGGHDLNKNRLDYYYYVIAALGGLNFVYFNFFASSYLRCIKVTSTTVVQPENSIDHQIGVSHDVETDERVMDRNGSR >OIV89450 pep supercontig:LupAngTanjil_v1.0:KV862292:61407:63149:1 gene:TanjilG_21665 transcript:OIV89450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHEDFTGESKPVQNSPERPTGISNLRRTIDFISSLISLSHSVRVFTGKWQLIRNKLEELYSGLIAAENFDSGENPSLSGVLPSMMATISECYDLATRCVDLSYSGKLLMQSDLDMVFAKFDNHVKKLAEIYKKGIWSNGYALVVSKPSLGASKEDMRFYIRDLLSRMKIGDLSMKKQALMNLYDVVVEDEKYVKVIIDVGDVIHVLVGFLCSNQVTLQEESAQVISVIAGFDSYKGVLVAAGVISPLVKVLDFGSEAGKVGAARCLMKLTENSDNAWCVSAHGGVTALLKICGDENCKCNLVGLACRVLRNLVSVEEIKRFMVEEGAVSTFIRLLRSKEEVILVSSIGFIQSIATGDELVREIVIREGGIRALLCVLDPKWSYSSKTREIAMRAIENLCFSSSTCVSILLSYGFVDQLLYYVRNGEVSIQELMLKVAFRLCGTSEEAKKAMGDAGFMAELVKFLSAKSFEVREMAAEALSSMVMVPKNRKRFVQDDNNIALLLQLLDPEEGNSGNKKFLISILMSLTSCTSGRKKIVSSGYAKNIEKLAEAEVSSDAKRLIKKLSANRFRSMLSGIWHS >OIV89449 pep supercontig:LupAngTanjil_v1.0:KV862292:38100:41354:1 gene:TanjilG_21664 transcript:OIV89449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECNKRIKKESPEENHLNRDSAFPYEVLECVIGMLKSRKDRSSVSLVCKEWYKAESCSRKNVFIGNCYSVSPEILTRRFQHIRSVTLKGKPRFSDFNLVPANWGADIHPWLLVFSKDYPFLEELRLKRMIVTDESLEFLALKFTNFKALSLLSCDGFSTDGLAAIATHCKNLTELDIQENGIDDKSGNWLNCFPENFTHLEVLNFSHLQSDVNFDALEKLVSRCKSLKTLKVNKCVTLEQLQRLLVHAPRLGELGSGSFSQELATQQYLELESAFKICKNLHTISGLWVDSAQYLPVLYSACTNLTFLNFSYAAIDSDDLTKLLVHCPKLQRLWVVDTVEDRGLEAVGSYCPLLEELRVFPADPFGDGIAHGVTESGFVAVSEGCRRLHYVLYFCRQMTNAAVATVVQNCPDFTHFRLCIMNPGQPDYLTHEPMDEAFGSVVQTCTKLQRLAVSGYLTDLAFQYIGKYAKNLETLSVAFAGSSDWGMQCVLEGCPKLRKLEVRDCPFGNAALLSGLEKYESMRSLWMSDCKVTMNGCRFLAKEMPRLNVEVIKEEGSDDRHAERVYVYRSVAGPRRDAPPFVLTL >OIV89456 pep supercontig:LupAngTanjil_v1.0:KV862292:187969:191267:1 gene:TanjilG_21671 transcript:OIV89456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVRCGGKKPCPCSGVGISNPGFWGMNIEEGKKYQIVYYVKASAMADLQISFAGDYDTKLASVNVIVGNTSNWTRMVNILEANASYHNASLQITTTTKGVYWLDQVSAMPMDTYKGNGFRNDLFQMVAELKPKFLRFPGGCFVEGNTLSNAFRWKDTVGPWEERPGHLNDVWNYWTDDGFGYFEGLQLAEDLNALPVWVFNAGISHTEQVNGSDLSPYVQDALDSIEFARGCASSKWGSVRAAMGHPKPFDLRYVAIGNEDCWKNMFAQSSKFDKTSRSGPKAFVSEYAVWKKDAAMGSLLAAVAEAAFLIGLEKNSDIVEMVCYAPLFLNINDKRWIPDAIVFDSYQHYGTPSYWVQKFFTESSGAIFLPTTLKTNSSNSLIASAINLKGSRDNQNYIRIKAVNFGITPQNLQICVNGLNSTIRQLGSTKTVLTSSNLMDENSFLEPMKVVPHQSPLESAAKEMNVILPPYSVTSFDLLV >OIV89459 pep supercontig:LupAngTanjil_v1.0:KV862292:323913:328042:-1 gene:TanjilG_21674 transcript:OIV89459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGPPVNDMCSVCHGNFHTPCQANCSHWFCGTCIMEVWHHGSSVQPCKCPLCRRPITLLVPTQDSLRQNHDPEVAQVLRKIETYNRLFGGRETNLLQRLQDLPFLLRRLVGEFSDPQRSLPLVIRARVYIAMILSAIYVISPIDIIPEDQYSSAATAVPELSLCDITVRFMFMGNLLVVDDDCGGGLDERAWYCEMVCPGDEHMAAAGNQFVEISFVIAKMVVIYIIKSEFR >OIV89451 pep supercontig:LupAngTanjil_v1.0:KV862292:84995:89520:1 gene:TanjilG_21666 transcript:OIV89451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGVGGIGVGSGSKEYPGNLTPFVTITCIVAAMGGLIFGYDIGISGGVTSMDPFLKKFFPSVYRKKKGEETTNKYCQYDSETLTIFTSSLYLAALLSSVVASTVTRKFGRKLSMLFGGLLFLVGALINGFAQRIWMLIVGRILLGFGIGFANQSVPLYLSEMAPYKYRGALNIGFQMSITSGILVANILNYFFAKIKGGWGWRLSLGGAMVPALIITIGSLVLPDTPNSMIERGDRDAAKAQLQRVRGVDDVDEEFNDLVAASEASMLVENPWRNLLQRKYRPHLTMAILIPFFQQFTGINVIMFYAPVLFSSIGFKDNASLMSAVITGVVNVVATTVSVYGVDKWGRRALFLEGGAQMLICQAVVAAAIGAKFGIDGNPGDLPKWYAVVVVLFICIYVAGFAWSWGPLGWLVPSEIFPLEIRSAAQSVNVSVNMLFTFLVAQVFLIMLCHMKFGLFIFFGFFVFVMTLFIYFFLPETKGIPIEEMGRVWKEHPYWSRFVEHEEYGNGVEMGKGATKNV >OIV89455 pep supercontig:LupAngTanjil_v1.0:KV862292:158423:161624:-1 gene:TanjilG_21670 transcript:OIV89455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTFASTCEIVEEFNVDEKPESSCAHKSGKKYSIEDDINRLFQAIDIKSLSRGHSTSRSQKSAQKRPIRVSSSQASGIGISEPASLKQALRGLCISQASEMAALKRLSKPCSSSRVSEAGTIKKLYTAVVDEGKGSLVEITLVPEDPAPSDKFPIVVISKETTTRSVSRDQIVPLPLEVEDETPIIGIGKPILANSSPVADASKEVPEVKMGTTSSSKASLNSSMPDKERESSLHCASPSSSSTCVGVDKPTIISKSLAKPIFNGKNFFKKKVKQDVCSASSCSSSSIRKVDNDSGASTSTLDRETGNSDLKNEMKESEKLSPRSSNNNPEVNSINVGTDTSKPGANLNCKKRTKFLVTKIDEKSRSKEKGEFSQSSKSSIGEYSSSTSISEESNLSGSSCSHRPHMSKHLRWEAVRAVQKRHGNLSLKHFKLLRRIGDGDIGNVYLAELIGTSCLFALKVMDNELLVSRKKMFRAQTEREILQMLDHPFLPTLYSHISTDKLSCLVMEYCPGGDLHVLRQRQSYRSFSEQAARFYVAEVLLALEYLHMLGVVYRDLKPENILVREDGHIMLTDFDLSLRCSVNPTLVKSSSPDMDATKKTSSPCSEASCIHPFCLQPDCQVSCFTPILLSAGAKSRKMKADATAQVGPLPQLVVEPTSARSNSFVGTYEYLAPEIIKGEGHGSSVDWWTFGIFLFELLYGKTPFKGPANEDTLANVVSQSLKFPGTPIVSFRARDLIRGLLIKDPENRLGSVKGAAEIKQHPFFEGLNWALIRCAAPPELPKFRDFGSSAPFLATHKENANGLEDMEDCEEFELF >OIV89453 pep supercontig:LupAngTanjil_v1.0:KV862292:121248:121937:-1 gene:TanjilG_21668 transcript:OIV89453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPLLPFGPFVPCEFEKEGDQWRKPLKWLDDQPRGSVVFANFGSTTEFGWDQIREIADGLVRSGIRFLLVVKDKKYFNEDDKKEEAGLEEVLGYELVDRVRDKGLVMKEWVYQSGILSHEAIGGFLSHCGWNSIVEAAWNGVPIFGWPQRGDQKMNVEVVERSGWGTWNKNWGWIAERLVKGEEIGDAIKVFMNNESFKIKASKIKVAARKARSVGGDCEVTLHKLFQK >OIV89454 pep supercontig:LupAngTanjil_v1.0:KV862292:143904:144660:1 gene:TanjilG_21669 transcript:OIV89454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDDIRTSAAKALSPLLSSLSPPLSFFIADGLVRSGIRFLLVVKDKKYFNEDNKKEEAGLEEVLGYELVDMVRDKGLVMKEWVYQSGILSHEAIGGFLSHCGWNSIVEAAWNGVPIFGWPQRGDQKMNAEVVERSGWGTWNKNWGWIGERLVTGEEIGDAIKVFMNNESFKIKASKIKVAARKARSVGGDCEVTLHKLFQK >OIV89457 pep supercontig:LupAngTanjil_v1.0:KV862292:249860:255697:-1 gene:TanjilG_21672 transcript:OIV89457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESQLVDGNKGMKVSSDDGLNTDEVQIQQEAYELDPDEGCRVPESSKGELVIYEDNAIQEPYEGMEFESEDAAKIFYDEYSRRLGFVMRVMSCRRSERDGRILARRLGCNKEGHCVSIRGNFGSVRKPRSSSREGCKAMIHVKYDKSGKWVITKFVKDHNHPLVVSPREARQTMDEKDKKIQELTAELRNRKRLCATYQEQLTSFMKIVEEHSNKLSAKISHVVNNLKEFESIEELLHQP >OIV89452 pep supercontig:LupAngTanjil_v1.0:KV862292:92098:96911:-1 gene:TanjilG_21667 transcript:OIV89452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVADPKPHSEPKVFNFFKLPFRNSSLPSSNSGATSTNTTSFHNLHHHNPNNPPLEGSTSHSSNSVSSVARSLFPTRRRLKLDPSNKLFFPYEPGKQVRSAIRIKNTSKSHVAFKFQTTAPKSCFMRPPGAVLAPGESIIATVFKFVEQPENNEKPDKTGLKFKIMSLKVNGPVDYVPELFEEQKDQVTVEQILRVVFLDPERPSPALEKLKCQLAYADAALEARKKPAEDAGPKIIGEGLVIDEWKERRERYLAKQQGEVVVDSV >OIV89458 pep supercontig:LupAngTanjil_v1.0:KV862292:267554:300870:1 gene:TanjilG_21673 transcript:OIV89458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDENSDFPEWEFLQNSDDSLDFSPHLDSPLIKPNHFSLHHHHPDSTSNSETDPSEVDRNFDDSYVANQLFPDGEKPFLVKESSSSSLPSSSSSLSNPENIESGDDSDCDTKMMMVVDEIKTTEILLDDVTPAAAGGGFDDSKEGDKNYDENDNENEYKRRVVAWWKVPFEVLKYWVVRVSPVPVWSLSVAATAAFLGLVILGRRLYKMKRKTQTLKLNLALDDKMAAYLSALHSQVDCIEIDVSRSSDGVLFALHDRDLQRLSGNTTSKVGHMSSKEIRELGATRHSVEKFNDESIPTIQDALLLTSNSVQQIILDVKVGPPFFEKGLAEDILNIVEKTECRNCVIWAKSDNLAREVIKISSEITVGYIVMREPKTGVRTNLLRMKGAEVVGVYHPLIDEKLMKILHRRNKKVYAWTVDDEESMQKMLFENVDAIVTGDPTLLQQLMQNTRTQCLEEGYSLPN >OIV89448 pep supercontig:LupAngTanjil_v1.0:KV862293:220762:225084:1 gene:TanjilG_21711 transcript:OIV89448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYHLTRLSCHNSPTVITLLTRHFSTATATTTGSTLHDRYTFKPPSSLSPSPVNPNDSATKPNKKKPKPKYRPPSSLDPTDRKPVRSNLPFDFRFSYTESSPVVRPIGLREPKYSPFGPGRVDRPWTGVCAPAVNPRVETLEGDEDPLLEEKRKQNREWIQGDPLNSAERKALVLQCGRAKTKRHINIGRDGLTHNMLNDIHNHWKFAEAVRIKCMGVPTVDMKNVCTQLEDKTFGKVISKHGGTIILYRGRNYNPKKRPVIPLMLWKPHEPVYPRLIKSTIDGLNVQETKEMRKRGLAVPALTKLAKNGYYAYLVPMVRDAFLSCELVRIDCQGLERSDYKKIGCKLRDLVPCIPVTFEKEQIVVWRGKDYKPSEDSGCFTEDRRQSFDDFNSDFSAGEDQEHAELDSSSLSD >OIV89446 pep supercontig:LupAngTanjil_v1.0:KV862294:207500:208669:1 gene:TanjilG_21713 transcript:OIV89446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKHKCKLCLRRFSNGRALGGHMRSHMMNFPLPRKAHDSPSPNVQLSFEAESTSSSSSEEEADNNINYKHNVCYGLREKPKRSVRLEDPEFSSVILQDSESETESSKNTTRYTRLMSEPCNYRSRRTRKFTAFDNWNNGKQVHEREAMMKRMKLSKDSKAESWVIDHEPLCSSSDVTTEEDVAFCLMMLSRDQEWKRQKYQDQEQEQSINDQYYEEEYGDEDEDEVERSIEEDSDDESEEEHIYSKNRVRGRRYKCETCNKVFRSYQALGGHRASHKKIKVKISTKINDELELENNNGGTSSPQIVVEKKIHECPVCFRVFASGQALGGHKRTHVIGLAPTTTTEKQVSSSTKHGNNFIDLNLPAPVDDDEICQIKSSAVLDAEFLKTH >OIV89445 pep supercontig:LupAngTanjil_v1.0:KV862294:101004:101186:-1 gene:TanjilG_21712 transcript:OIV89445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFKLHVDLSVYDSYCSHLEREVSIGEGYHHIERALRCAEEIKARDREDRSYTQITRVML >OIV89447 pep supercontig:LupAngTanjil_v1.0:KV862294:277843:282936:1 gene:TanjilG_21714 transcript:OIV89447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGELLNIQPQELQFAFELRKQISCSLQLFNNTDNYVAFKVKTTNPKKYCVRPNTGVVLPKSTCDVIVTMQAQKEAPPDLQCKDKFLLQSVVASPGTTTKDITPEMFNREAGHNVEECKLRVVYVAPPRPPSPVREGSDEESSPRVSVSENGHSDASEFIGASRAFSERVAPQETTPEIRALISKVTEERNFAVDQKKRLQHELELLRRQVSRSHGGIPSVYVIIVALIGFILGYLLKRT >OIV89444 pep supercontig:LupAngTanjil_v1.0:KV862295:790:1041:1 gene:TanjilG_21831 transcript:OIV89444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSTGERSFADIITSIRYWIIHSITIPSLFIAGWLFVSTGLAYDVFGSPRPNEYFTESRQGIPLITGRFDPLEQLDEFSRSF >OIV89440 pep supercontig:LupAngTanjil_v1.0:KV862296:273760:277859:-1 gene:TanjilG_21883 transcript:OIV89440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGCTGVAMMGSLQPRWTTKGTKIHAKGCAGNGFMPRVKFCNVTPCKASPVEASLVTGGPSSLPIAVQEIGGDGSSFLDHGLGEADPEVHAIIGKEKDRQYKSIELIASENFTSRAVMEAVGSCLTNKYSEGLPGKRYYGGNEYIDELEILCQERALAAFHVDGKNWGVNVQPLSGSPANFAVYTAILKPHDRIMGLDLPHGGHLSHGFMTPKRRVSGTSIYFESMPYRLDESTGLIDYDMLEKTANLFRPKLIIAGASAYPRDIDYPRFRKIADEVGAFLMMDMAHISGLVAASVLNNPFEYCDIVTTTTHKSLRGPRGGMIFYKKDPVHGVDLETAINNAVFPGLQGGPHNHTIGGLAVCLKHAQSPEFKIYQNQVVSNCRALAKQLTEHGYKLVSGGSDNHLVLVDLRPAGIDGARAEKILDLASMTLNKNSVPGDKSALVPGGIRIGSPAMTTRGLGEKEFALIADFIHEGVQISLEAKSLAPGTKLQDFLKFISSPEFPLGDKITELRRKVEALTSQYPIPGV >OIV89442 pep supercontig:LupAngTanjil_v1.0:KV862296:288407:291154:1 gene:TanjilG_21885 transcript:OIV89442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTCYVPFDNKNLDISFFVFKPTLVIVDDLVHGLKEFSLSTESLGCVQSSIFRSIHGNMIIWYGAWQKQSRKEKMQLTSTLKSMLTNISGMAVLTEHSFLEAYAGESIDGSPSAKFSTGDIISMNSSVITSSDPNDLNDLCYAVLAIFRCRFAKMEGISAGLCLKGQNIPRVVCIHVWKSLHSCYSWILNSDHRKWMMPYLERFSIDMKYDIFRAVYVSGDSVVNLNYVPPHQMLESERVLRAEDRL >OIV89437 pep supercontig:LupAngTanjil_v1.0:KV862296:145748:146002:-1 gene:TanjilG_21880 transcript:OIV89437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSFAILGGSSPEPPRRRGHVKYYIPSPYDLHEGRIGSLEMVSLPLWWTEWVQALEGCKKKSDAGIDNGSRITPSDQCKVSEG >OIV89443 pep supercontig:LupAngTanjil_v1.0:KV862296:293632:294756:-1 gene:TanjilG_21886 transcript:OIV89443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIFSPILRSLFNPTTPFPSKFLLPYLPLTNTFLIRSFSTEIPSNSTKSITPLQKQFDSWVHHLKPGFTPSDVNRALKAQTDPDLALDIFRWTAQQRSYSHTDLTYLTAIKILISGRRYRQAETLVDEVIAGACDVSVPLYNSIIRFCCGRKFLFNRSFDVYKKMLRSENCKPTLETYALLFNSLLRRFNKLNVCYVYLHSVRSLTKQMKASGVIPDLFVLNMIIKAFSKCLEVDEAIRVFRELGLYGCEPNAYSYGYIVKGLCEKGRVNQGFRFYKEMRDKNLVPSSSTYMIMVCTLALDRRMKDAIEVMFDMLDNNRSPDHLTYKTVLEGLCREGKADEAFELLDECRKKDVVLNDRTYKTLLNELHYICQE >OIV89441 pep supercontig:LupAngTanjil_v1.0:KV862296:280919:286442:1 gene:TanjilG_21884 transcript:OIV89441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSNSTSDDGNHRITTVSSESALIFMGTGCSSTVPNLKCMLRPSDPPCPVCVQSLSIPPERNPNYRCNTSLLIDYCESNGNHSYILIDAGKSFKEAVLRWFVPHQIPRIDSIILTHEHADAVLGLDDVRSVQHFSPTNDINSTPIYLSQHSMNSIKQKFPYLVEKKRKEGQEIRWVAQMAWNIIADNFNQPFFASGLKFTPLPVMHGEDYICLGFLFGEKSRVAYISDVSRIPVSTEYVISKSGAGQLDLLILDSLCRTGSHNFHLCLPQALETVKRLCPKRTLLIGMTHEFDHHRDNELLTEWSRREGIPVQLAHDGLRVPINL >OIV89439 pep supercontig:LupAngTanjil_v1.0:KV862296:269955:272860:1 gene:TanjilG_21882 transcript:OIV89439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISTETILPGRKLKIKFSTKRVEVDPGIKCELRQQVSHNGFCNSKKSSMPDSNKRGSPGSTEGQQEKRQKINRKGYFQCATILKSLISHPYSWVFSKPVDPVALNIPDYFTIISHPMDLGTIKSNLEKNIYSGIEEFASDVRLTFSNAMMYNPPSNDVHLMAKELNKIFDRKWKDFDKHWKCEDEDGKSMTGTIKETVEVPKLSQTPCKLIKKDSHKGNHDGECHSGSVKAVPSLCPVKCKCNLCGNVTCNCAIPSNCTRASSDICYEGPEGGNAIAHGSDASKLDCQTKGTSPSQKKSDPDGVVSSLDSEDMCSSSQLTIMATDSSSAEDQLLLWSAPDFSVQLSPKKALRAAMLKSRFADTIIKAQQKTLLDNSDKCDSLKMRLEKERLERIQRKAAARMRAEEELMHRREKEREAARLAIQKMKRTVEIEHNMEIIEELEVLSGCTLSYKAMGSRNGYKAAMETWELPQRENPLERLGLLIKDEYAADEDDEVCWKEGGIS >OIV89438 pep supercontig:LupAngTanjil_v1.0:KV862296:207928:208308:1 gene:TanjilG_21881 transcript:OIV89438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEILESDVIFSDHHAHHISEPNRNDCELVTLPQNHNSNPKKTDGGKKTAANSVPVNIPDSMMRCSVEAEDDDGETMVPPHVMVEQRISGGKMAYSVCTGNGRTLKGRDLSEVRNSVLRMTGFLEV >OIV89435 pep supercontig:LupAngTanjil_v1.0:KV862297:219381:222914:1 gene:TanjilG_21893 transcript:OIV89435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNESESETVSTTTLDGSSVFHTIIDVLGFLLYMHHQIPSTVQDMSIEFDSLHSQYKNLEMEMGSEAKASFRRKHLSQMRDIKRGIKRMDKLMSAFSNIQTAIKLIPNVEEVIFALGATPLRPHHLYILQFSHGTSLPIVADDFARTKAADALSRKAIRTLISKGVGSVTYPGPMKLFVLVKAPSSFNQPLHFLPKRDFRCNRKVVPLRLLFKCRNQDQETAAPTSEDLIWFQCRHAIKGLAMNITPEE >OIV89434 pep supercontig:LupAngTanjil_v1.0:KV862297:210397:216484:1 gene:TanjilG_21892 transcript:OIV89434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEATRFSSVLHHHFYRHTLISAKRINFHRFNYHGPSPPLHAINIHFPSLKRNKRVFVSNQEPIIVESHGGVGKLKPNREYKNMFLNLVRLSSVINGAAESFFKSEIRRRLFVTAVLIVISRVDELGDFTSELKLSIFQLGISPQIIASIIMQVLCHVVPSLVKLRKEGLDGHEKIKSYMWWMSLGFAIVEGVIVSCYSIPYSIHAANYCVRHVMLTTALLVCGAMTITWICDTITESGFGQGSSLIICVGILTGYMETLYKMLTQLSVSSVSWWPYVLAVLGIFTTVTMWAVVVTEGCRKVKLQYYGFKLASAAREESPITEVEPYIPFNINPSGMQPVLTTTYLLAFPAILASLLKSPFWERVKEMLNPETSIGAEPWVYYSIYAFFVFLFNIFDIANLPKEIADYLNKMGARIPNIKPGKATIEYLTKVQASTRFWGLLLVKDWGLLLSVLATTSSILDHYLRRINAGFAIGFTSVLIIVGSIIELRRSYQAYNVMPSLSNALRRYGV >OIV89430 pep supercontig:LupAngTanjil_v1.0:KV862297:75756:82206:-1 gene:TanjilG_21888 transcript:OIV89430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMKSSCLGRRIHHLLMVPNGVGSALGTVQLILYFIYRNNKGGPKKPTTPTQEESIEMGTAKTEPIQSNSNNNNNGTQGEHV >OIV89436 pep supercontig:LupAngTanjil_v1.0:KV862297:252146:261406:1 gene:TanjilG_21894 transcript:OIV89436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVGTKKYAWVIVAICLYQWLCMANARKSVHHNAQNDEKLRCNIYEGKWVLDNSYPLYDSLACPHTRREFDCLKYGRLDHQYLKYRWQPHNCDLPRFDGKTFLRKFRGKQIMFIGDSISLNQWQSLVCMLYSAVPHIKILQQGSGSYNNYTFQDYGVSVLMFNSQYLVDIIEEPIGRVLNLNSLKNGDIWENMNVLVFNTWLWWYRGGPKQPWDYIQIGNKKLKDMDRMHAFKLGLTTWANWVNTKVSPTKTKVFFQGISPSHYNNGEDSNPYPLKQIHTLLKHDKNDANDRGKGTRDRGMMDRDRRKVSHNLGKLKSARDNVDRDRGRMKYD >OIV89433 pep supercontig:LupAngTanjil_v1.0:KV862297:145261:152026:-1 gene:TanjilG_21891 transcript:OIV89433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYCDIGTLESPSPSFSAATVASDDSRLNNDLKIFYRTYGRGPTKVLLIIGLAATHDSWGPQVIGLTGTDVPNDDNGDDDNDNECGGGIQACAFDNRGVGRSSSPVNKSDYSTQIMAKDAIALLDHLGWKKAHVFGHSMGAMIACKVAAMVPDRVLSLALLNVTGGGFECFPKLDRQTVSVAYRFLKAKTPEQRAAVDLDTHYSQEYLEEYVGTDKRRTILYQQYVKGISASGMQSNYGFEGQLNACWTHKMTQTEIEVIQSAGFLISVIHGRHDIIAQIYYAKKFAERLQPMARMVNLHGGHLVSHERPEEVNQALFELIKASEVKMSPHEWTNLPTKQSYGKNDMKGMEKKQVQIRVSAVHNPTEIQNNVKVVNKGDWG >OIV89431 pep supercontig:LupAngTanjil_v1.0:KV862297:98612:104257:1 gene:TanjilG_21889 transcript:OIV89431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCSSCVRSLVVQAGIFAKRVEINSIGGRSLQQVSRISYWSGREFKKIIGMMVDTDSMSSRDGPLLDNMMTKKGDDGQFASGGWKSEDRKLGCGYSSFRGKRVTMEDFYDIKTLKIGGRSICLFGIFDGHGGSRAAEYLKDHLFDNLMKHPMFLTDAKLALSETYQQTDADFLNSEKDTFRDDGSTASTAILVDNHLYVANVGDSRTIISKAGKAIALSEDHKPNRSDERKRIENAGGVVMWAGTWRVGGVLAMSRAFGNRMLKPFVVAEPEIQDQVIDEQIELLILASDGLWDVVQNDDAVSLACTEEEPEAAARKLTEAAFARGSADNITCIVVRFHHEKADLTNPEKADMANPDKADLANPDKAESASVEHE >OIV89429 pep supercontig:LupAngTanjil_v1.0:KV862297:2429:9781:-1 gene:TanjilG_21887 transcript:OIV89429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPPVPSSTIGGAAQSMPPSVLVSDSGMLRGQGVAPLNQINNLSMLGDLSNVNFPNSGPGSSQRGGVDNEAEFDPLSGVGNGFSYVDPSPSSSSFLQSNMMNPGSSNQGQGQQFLNRSGNQLLSDQQHSQQLEYQGFQHSQQSMQQSPAPANDQQQQQQQQHFQSMRGGIGSIGPVKFESQVNNDQFGQHQHMPLLRNLAPPKLEPQHTDQPLLTHQQQQQLLDLSRQSPQAAAAVVAHMKFLIHQRHSQSQQQQPQQLVKTVPQQWSQQSQQQDMPIRSPEKSIYGPGTCSNRLTHYMHQQQHRPEDNNIEFWRKFVAEYFAPNAKKKWCVSMYESGKQTAGVFPQDVRHCAICNCKPGRGFEAPAEVLPRLFKIKYESGTLQELLYVDMPREHHNSSGHIVVDYAKAIQESVFEKLRVVREGQLRIVFSPDLKICSWEFCARRHEDLIPKDSLIPQISQLGTAAQKFQSCTQSATSNSSVLELQNNCNMFVASAHGFAKALEVPLVNELGYTKRYVRCLQIAEVVNSMKDLIDYCKDSGTGPMDSLVNFPRRTSSSSGLHSHPQQSEDQSQKSQPQQQQHMVAANTSNGDQKSSQNASSNGFTSVNNALNSASASTTTSTIVGLHHQNMNSRQQSSMNYVSSPYGGSSLQIPRPSSSGTVLQPQPSTSTFQPPAPSSSNNPPQPSANHTSRAKSPADISSQQQQPLLSGEADANELMSSQVNGTGGMTSAGSLGNDVNNVNNVNEIQPVCNAVVNGNSGVRVGGYGTMGTGPSGTANGNRPKIGGNSGMNGRIGMTSIARDQSVNNHQQDLSSQLVTGLGSVNDFDNLQFD >OIV89432 pep supercontig:LupAngTanjil_v1.0:KV862297:122525:122905:1 gene:TanjilG_21890 transcript:OIV89432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKKTEKSTCSSAPNHCETRVLCQKSCRRVNWRRRRRDGGSSSHHPHPAVGTTEAPPPWPSHIQAETHNLAVGLTRDQKAVTTTIRDAALSAIFVVPSSSGIPITKGGRLNGEGSPFYPNLPKIPT >OIV89428 pep supercontig:LupAngTanjil_v1.0:KV862298:228301:229089:1 gene:TanjilG_21903 transcript:OIV89428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRFSFFNRSNTFHLTPLESSPQKPFNLERTSSLDKFYDSMESVKSTKSSIRGRMVKKFCNLFETKSKDSSKSSKFAASSPDESHPSSRAKSNLSNSKGSDSSCTMFMLPDTEDRIVVYFTSLRGIRRTFEDCNAVRMILKGFRVWVDERDVSMDIEYRKELQCVMKEEKVSLPQVFIRGKYIGGADVIKHLFECGELGKLLEGFPKTKPGGFVCEGCGDMRFVPCFDCSGSRKVFDEDEGLIKRCLECNENGLIRCLDCCY >OIV89423 pep supercontig:LupAngTanjil_v1.0:KV862298:128142:129348:-1 gene:TanjilG_21898 transcript:OIV89423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSISGDTLVEEAILEKHQGAITVIKYSPDISMIASRDSNGEVVVWDRTSGEYEVGAICLLQLLNVGPFTFSEVQYLVVPEFYGKGSKVD >OIV89426 pep supercontig:LupAngTanjil_v1.0:KV862298:189121:189585:1 gene:TanjilG_21901 transcript:OIV89426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSWPIPRDVKSLRGFMGLTGYYRRFVQGYGRIGKPLTDLLKKDNFVWTEETTQAFQTLKGAMVSLPMLAVPDFSKTFVLETDASSKGIGAMLMQEGRPLTFWSKGLSARSQQKSVYERELMALVQAAQKWKHYLLGRHFVIRTDQRSLKFLIE >OIV89421 pep supercontig:LupAngTanjil_v1.0:KV862298:89853:92141:-1 gene:TanjilG_21896 transcript:OIV89421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKETKDAVNREDQQKFLGSVDFLSQHGLPTLISDMEAATKEIIKGKQLSGLFNHTALHETAMHILNKFMSMGSPHSWLKYMLPEDVISHSTSSSSGDPVLSGVTESEQLMVEARAVLISAEFGSIVEISLKAVVDTLVELVAARFKGGSLTTGMPLARVLPQVAQMCPLLPEEPSKNQFIKIIRSIPEVELFFTLLYANMPSA >OIV89420 pep supercontig:LupAngTanjil_v1.0:KV862298:79419:83058:-1 gene:TanjilG_21895 transcript:OIV89420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARNNAEVMLLEYTSPSHYQQPNADIIDALPYIDDDYGDPRVKYDVDRLIEEEMRQSTKKPADFLKDLPPLPNFNFQDYPMIAREHERVRAGRPPVSLDRSRYELEMPPVNKRNDETAWKQAVQRAQRLLQYQIMRMENLDLSLKYGPDAWKQHNQRLEVYLSRMQKLAQEQNEKIEKVNRERKYHQQNTAYELNALSMQWTELCQKNIDIQAACASLEAQLTGLKVEAAERGWNLEAITENGQ >OIV89422 pep supercontig:LupAngTanjil_v1.0:KV862298:117227:119364:-1 gene:TanjilG_21897 transcript:OIV89422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETLVLRGTLRAHTDIVTAIATPIDNSDTIVTASRDKSLIVWHLTKDDKTYGVPRRRLTGHSHFVQDVVLSSDGQFALSGSWDSELRLWDLAAGTSRRFVGHTKDVLSVAFSVDNRQIVSASRDRTIKLWNTLGECKYTIQDSEGHSDWVSCVRFSPNTLQPTIVSASWDRTVKVWNLANCKLRNTLAGHGGYVNTVAVSPDGSLCASGGKDGVILLWDLAEGKKLYSLDSGSIIHGLCFSPNRYWLCAATEQSIKIWDLESKSIVEDLKVDVKTEADTTAGVGISNQKKVIYSTSLAWSVDGSTLFSGYTDGVVRVWGIGRY >OIV89425 pep supercontig:LupAngTanjil_v1.0:KV862298:187848:188246:1 gene:TanjilG_21900 transcript:OIV89425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLILEEEEEDEERREEDSVDIAEVHDLRLSLCSMSGFTTTPSWKVEGMLKGRKVVVLIDCGASHNFIAHEVVQELRLSVQNTPSYMVEVGDGRKVKCRGKCTHLSFQVQNMGVTQDFYLFSLKGVELVLGLE >OIV89427 pep supercontig:LupAngTanjil_v1.0:KV862298:190774:191331:1 gene:TanjilG_21902 transcript:OIV89427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAQADKHRREVEFEIGDWVFLKLQPYRMRTLASRPSAKLAAKFYGPYRIVERIGSVAYRLALPEHTRIHPVFHVSLLKKALKPTQQSQPIPSMLSEEWELKVQPATILHHRVGQNGDVEVLVQWEGLPECENSWESVAQMQEVFPQFALEDKLSLLEGGIDRIRGRPPITRVYHRRPRGSHVAG >OIV89424 pep supercontig:LupAngTanjil_v1.0:KV862298:144423:144611:-1 gene:TanjilG_21899 transcript:OIV89424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTYELVEAYACTPTTEHGRGILISGDSSSNSMLYTKSRSVVIMNLQNPLNVSVYGDHPYPVT >OIV89419 pep supercontig:LupAngTanjil_v1.0:KV862300:246679:250481:-1 gene:TanjilG_21904 transcript:OIV89419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITLIDFYHVMTAMVPLYVAMILAYGSVKWWKIFSPDQCSGINRFVALFAVPLLSFHFIASNNPYKMNLRFIAADTLQKVIVLVVLFIWSNLSKRGCLEWTITLFSISTLPNTLVMGIPLLKGMYGEFSGSLMVQIVVLQCIIWYTLMLFMFEYRGARMLISEQFPDTAGTIVSIHVDSDVMSLDGRQVLETEAEIKEDGKLHVTVRKSNASRSDIFSRRSQGLSSTTPRPSNLTNAEIYSLQSSRNPTPRGSSFNHTDCYSMMAGARNSNFGANDVYGLSASRGPTPRTSNFDEEGGAGNNNNNNKTRYHYPSGTGHYPAPNPGMFSPTTASKNVPPNANAKKPNGQSQQNKPEEGNKDLHMFVWSSSASPVSDVFGAHEYAVHDQKDVKLNVSPGKVEGHRDSTQEEYLEKDDFSFGNRGIDHESEKVGNNKPKTMPPASVMTRLILIMVWRKLIRNPNTYSSLIGLIWSLVSFRWNVEMPAIIAKSISILSDAGLGMAMFSLGLFMALQPKIIACGNSKAAFSMAVRFLTGPAVMAAASITVGLRGTLLHVAIVQAALPQGIVPFVFAKEYNVHPDILSTGVIFGMLIALPITLVYYILLGL >OIV89417 pep supercontig:LupAngTanjil_v1.0:KV862301:238729:250909:-1 gene:TanjilG_21911 transcript:OIV89417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRYHAGSCTSAVNNGTIGGPSSRDTGRSDSSSLPANFPVNSRRQQPQLAPYKLKCDKEPLNSRLGVPDFHPQTPNCPEETLTKEYLQSGYRDTVEGLEEAREISLTQAPNFNKTVVLNCKEAIRKRLRAINESRAQKRKAGQVYGAALSGSQLAKPGVFPELRQCSEDFRKKWIEGLSQSHKRLRSLADQVPHGYKRISLLEVLIRNNVPLLRATWFIKVIYLNQVRPGSVGISSGTADKIQLSRSEVWTKDVIIYLQTLMDEFFSKSTSHSTLPTRERSPQMPYTGSMQHKSDPLLSPSDAEEASLHFRWWYIVRLLQWHHAEGLLLPSLVIDWVLNQLQEKDLLVVWQLLLPIVYGLLETVVLSQTYVRTLAGIALRVIRDPAPGGSDLVDNSRRAYTTYALIEMLRYLILAVPDTFVGLDCFPLPPSVVSHTMNDANFVLKSTEAAVKIKNSTDDFGYDDFGYVVSSIHKNAEYLAKAASPGYPGRCLAKVAKALDKSLVLGDLRGAYKFLFEDLCDGTVSEAWVAKVSPCLRLSLKWFETASSSLVYEVFFLCEWATCDFRDFRTTPPSDVKFTGRKDLSQVHIAVRLLKMKMRDMKISLRQTNGRNPRVSDLAKCSNQQQHNQNLVGNASTLKSSSNCVGQSIYESPGPLHDIIVCWIDQHVVHKGEGFKRLHLFMVELIRAGIFYPVAYVRQLIVSGIMDMNVNVVDLEQRKIHYHILKQLPGCFMHEALQESGIVEGPQLDEALKIYLNERRLILRGVLSKHRDDASISSRKRKQYPAYTKDRACTVSIDQRKTALTNTISSESAKHVVNVEELKAAIMLILQLPNSLSNLSTTGSDESEGSIRRPIWSHHSKIDPVEAAPGCEESRRAKRQKITEERSSFVQGHSTAVPDDDDSWWVKKGLKSSEPLKVEQQLKSTKQVTKTRQKNVRKTQSLAQLQASRIEGSQGASTSHVCDNKISCPHHRTGLDGDKLKSVDSRTCQCEDIVSIGKAVKRLRFVEKRAMAVWLMTVVRQVVEENEKNVGKVGQFGRPFSIVDDSSIWWKLGEDELSSILYLMDISDDLVSAAKFLIWLLPKVFNSPNSTIQSGRNVLMPPRNVENQVCCVGEAFLISSLRRYENILVAADLIPEALSSAMYRAAAIVASNGRVSGSGALAFARYLLKKYSSVASVSEWEKTFKATSDARLSSELESGRSVDGELGLLLGVPLGVEDPDDFFRQKISGGRLPSRVGSGMRDIVQRNVEEALHYLLGKDRKPFAAGGTPKGPALEKWDNGYQIAQQIVMGLVECIRQTGGAAQEGDPSLICSAVSAIVGSVGPTLAKMPDFSSGNNYSNTVPATSSLNYARCILRMHITCLCLLKEALGERQSRVFEIALATEVSSAIAGVFAPSKASRSQFQMSPETHDSSTTISNDVGSNSSKIVVARTTKIAAAVSALVVGAVIYGVTSLERMVTVLRLKEGLDVVQFARSTRSNSNGNARSIGAFNKVDTSIEVHLHWFRLLVGNCRTMCEGLVVELLGEPSVIALSRMQCMIPLSLIFPPAYSIFAFVKWWPIILNPNVAAREDRNHLYESLTTAITDAIKHLPFRDVCFRDSQGLYDLMAVDPCDSEFATLLEVNGSDMHLKSMAFVPLRSRLFLNAMIDCKMPQTIYTKDDGNRLSGPGKSKLKDMVVHVLDTLQPAKFHWQWVELRLLLNEQALIEKLETHDMSLAEAIQLSSPNLEKSAAASENENNFIEILLTRLLVRPDAAPLFSELVHLIGRSLEDSMLLQVKWFLGGLDVLFGRKTIRQRLHNIAESKELSVKTQFWEPWGWCSPCTDPVTIKGSRKKFDTASLEEGEVVEEGIDPKRCLKGSSQVLDSESSSRNQLQVTERALIELLLPCIDQSSDESRNSFANDLIKQLNFIEQQITAATRGANKPVGSTPSGVEGQTNKVSTRKVIKGGGPGLARRATVSTDSSPPSSAALRASVSLRLQLLLRFLPILWTDREPSVRNMRHTLSSVILRLLGSRVVHGDADISMHCSLMGKEVDSSSEAASAALVDSSDEGLFDRLLLVLHGLLSSYSPSWLRQKPFSKMTNGHARGFSGFDREVLETMQNELESMQLPDTIRWRIQAAMPILLPSTQCSFSCQPPHVPVSAVSCLQPITANPGFNSSTSTAPQRNLVPLSRIAANASGKAKQQDNDFEVDPWMLLEDSAGSCLSGSNTASIGSGDNANIRAASWLKGAVREEKVLHSQRAIPWLRAWLTTNLSITSPAIWTCALHGIQFRGLL >OIV89413 pep supercontig:LupAngTanjil_v1.0:KV862301:190284:195040:-1 gene:TanjilG_21907 transcript:OIV89413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKNLSTQLAVAVRSIQWSYGIFWASSTSQQGLLEWKDGYYNGDIKTRKTVRSMIENKGDQIGLQRSEQLRELYKFLLVGESDPQTKRPSDALSPEDLSDSEWYYLVCMSFVFNPNRSLPGRALEIGETIWLCNAQHADNKVFSRSLLAKTVVCFPYLEGVIEIGTTELEPEDPNLIHHVKACFLEISKPICSDKLSSALNKPEDDDEYPTYTKHDHEILDTVVVKEVQEEKNEYSPDGFSNGCENHFRLQKSIIEGINDGSSQVQLIDYDLSNDSLDSLSSYDCMQEASENQNVSQIQLRELESSDEELYYTRTLCAVLGNSATLRHNPSFSNCKSSFVKWKKGVNSERKGPRLDQSMLKKSLFVVPFMDRSCFSLKSQKENVFSYKNRETTNCQVLKSVVPSSISKVDKISILGEIIKYLKELEARVKELESYMDISDSAARSRKKCQDVLEQISDNYGARKIYKGMKPLKNKRKACDIGQKDTEIEIMFNEEAKPLDVKVDKKEEEVMIEMKCIYREYILHDIMDAINNLYLDAHTVESSTVDGVLKLALKAKFRGAATAPSRMIKEALWKVSGNI >OIV89414 pep supercontig:LupAngTanjil_v1.0:KV862301:210386:211503:1 gene:TanjilG_21908 transcript:OIV89414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTGILEVLLVNAEGIQHRNLVGKQKKPRWNEKFIFDFSSLDKNSTHLKCRIMTTKKHLTNGGFVGEAKVYIGGIISEGSDEGYIEIRPAAYNVVLEDDTYKGQIKIGFKFIANVRLDLLFIISV >OIV89416 pep supercontig:LupAngTanjil_v1.0:KV862301:234232:235000:-1 gene:TanjilG_21910 transcript:OIV89416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGLRGSLGIVSDIALCLRQNLLLRGVRVQNINIGGGLGGEIPDNKRLEYALLNIHGIGRNKAHHIVSELGVENKYVRDLSKRELFSLRDLLSKYLIGNDLKKCVDRDVARLVSVQCYRGIRHVDSLPCRGQRTHTNARTRKSRPTVSGSRYAAR >OIV89415 pep supercontig:LupAngTanjil_v1.0:KV862301:219586:231140:1 gene:TanjilG_21909 transcript:OIV89415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDSSNFDENSDLGYQPSHSSVDQNDHSLTETASYSPISGDSLAYFRSNSEASNSSEPIDDNGYASGESSPFRWNTAKHGGSQASLSRLGMKQHRDSSDDKPDVLDLLELELDMMKERFSKILLGEDMSGGGKGVCTAVTISNSITNLYATVFGQNLKLEPLKPEKKAMWKREMNCLLSVCDYIVEFAPTAQYLDDGTIVEMMTSRPRSDIYINLPAIQKLEAMLIEILESFDDTEFWYAEQGSMSGNSTRSRGGSFRKVVQRKDEKWWLPVPCVHPGGLSIDSRKHLIEKRDCANQIHKASMAINSSILAEMDIPETYMTNLPKSGRACLGDTIYRCMCATEKFSPDNLLESLKISSEHEALELADRVESSMYTWRRKTCLSHSKSSWNKVKDIMADTDRNDKNYILAEKAETFLVCLKQRYPELSQTSLDTCKIQYNRDVGKAILESYSRVLESLAFNIVSWIEDVLYADKSMRNQDVACEDNAPYIYLIWIPLGIRYCVVYCDVEETHCRKWNEERREKGEANYDDSIFEDLVRRFEKPDRRNRWDSPLFELWPYRDQDAMEKSSAAILDAVSYLTKMVDSKTRDVKILQPTIATQTSRFSNANSLYELDKATQEVANAIAEAQSHALGGPLNGISVGKDLPKINISRSVGLPELRRMRRTFIKLTGQTSLSGPAPTSDADSAKRMFIDYLNRELGSC >OIV89418 pep supercontig:LupAngTanjil_v1.0:KV862301:267546:271395:-1 gene:TanjilG_21912 transcript:OIV89418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKQSQRRTLRYEKDKSGCMRGIINMFDFRHGHTTQKLISGKLAVGSIHSKNKFEGLSNLDEVTEGDLDNGESKRVTVKTYANKPSVKKLIEEEMFIDQNPVKDIDNAKLESKKRSKRSRDNIISDTDTGDLNLDASLKSESSHSQHSRQQSKDNIYLDKVIEEFFNLKDVSSVTHGNDGEIDVQSKQKHTISKNIARDAIDEFVNQMILNSKDLAEARKFLCSDELREALELISSEKEFSLPVRQDPNSLLLKYVQDFVSSQRKTDKDCSSVNGSNFSEQELGNLEQTKEIVNHKKYNFFRRKVKSPSKSSTNENGNTDFSNRIVILKPGSMDLKNSATENNIASPLLSHDAVHTNGPYAIGSSHFFLTEIKKKLKHAMGRGKHGNSKDISRKHPAESQNKGPSGKAIGKDSVGMRSPNKDHFFIEKIARPTNNVMKGDKTGTLKDSELNTNTEQENGSYPKPKLSNLYIEAKKHLSEIVSNGDENIELSSRKYPSTLGRILSLPEYNFSPLGSPVRDLEHNFVTAETRYSARNKNWEDNENNLSPEQATRVDHLERETDNQEKQSCICGKSSNDKVQEIESDSNFSDDHNQIVKEENSCLVRDEIVTEGDLESAREIDILESFSEPVGLSTGNENNNISEIPDSANCSECMKQDVIEENQPSSPLSLPSHSSITNKIEELESGSDICLRPCPVSVLDTFLEDDVSLGFSIFKPVEVRVRLQLEEQDSSPVNRLHGRKHYLEDNELIYDYIKKVLQASGLTRDQLIMKCLSSDKILEPSSFNQVELLSNRLCQDQKLLYDCTNEVLVEVCWHYFGVSPFISFVNPSTRLTPNMQKVIIKVWEGVCWYFLPFPPPRTLDKIVRKDMDKNGSWMDLRFEAEKVGFEMSEAILAELMEDVILSWEDNKVITNV >OIV89411 pep supercontig:LupAngTanjil_v1.0:KV862301:121866:123063:-1 gene:TanjilG_21905 transcript:OIV89411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFTNITLTAPGDSPNTDGIKMAMSQGININDVHIGTGDDCIAILSGTKNVNISKVYCGPGHGISVGSLGKHDDEVDVQDIHVKNCTFNGTSNGLRIKTWASPLNHTLKASNFVYEDIIIIDVEHPINIDQEYCPSGNCGNEVSSKVEISNVHYKNIEGSCKGDVAVNFKCSESNPCQNITGVNVNLWSSLGSIGTLTNYCSHVNGAFYGKQLPPSCI >OIV89412 pep supercontig:LupAngTanjil_v1.0:KV862301:168841:184133:-1 gene:TanjilG_21906 transcript:OIV89412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKEKVIEFFGCVPLLQRLPSASLRKVSELVVFKHYEQGEYVVREGEPGDGMYFIWEGQKNHVHPEFWFYPNDEELLLHYLDNMIPYEEVLKGLSNTVHPAHVVAMCKLTCLVLPHEHLAMLQSESIWMCSPPVDDILHLEPMPHLEDIFQGVTLPGSPHFGKVFGGQLIGQALVAASKSVDHLKVVHSFHAYFILAGDLNVPIIYKVHRLRDGKSFATRTVDAIQKGKIIFTLMASFQKEEPGLDHQEVAMPFVPAPDTLLPMEELRERRLTDARFPIAYRNKVATTEFIPWPIEIRFCEAKISTNMTKSPPSFRYWFRAKGKLSDDQALHRCVVAYASDLIFLQVTLNPHRRKGFRTRSVSLDHSMWFHRPVRADDWVLFWIFTPTSYNARGYVTGYMFNQKGELLVSLVQEGLARTRTVNSENSAIKPKL >OIV89408 pep supercontig:LupAngTanjil_v1.0:KV862302:268625:276311:-1 gene:TanjilG_22223 transcript:OIV89408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELADFLREHLHLCCFSTALFVTAAICPYTLPKHLIKPFQNSLIFVAFPFVGVSASLDALIEISSGKVNIHVLMAMAAFASVFMGNALEGGLLLAMFNLAHIAEEYFTGRSMIDVKELKENNPDFALVLDTIDGKLANTVDLAYKRVPVHDVTVGSYILVGAGESVPVDCEVFQGGATITIEHLTGEVKPLEAKVGDRIPGGARNLDGRIIVKVTKTWKESTLNRIVQLTEEAQMNKPKLERWLDEFGERYSKVVVVLSIAIAVIGPLVFKWPFISTPACRGSVYRALGLMVAASPCALAVAPLAYATAISSCARKGILLKGGHVLDALASCYTIAFDKTGTLTTGGLVFKAIEPIYGHQIRNISNVSSCCIPTCEKEALAVASAMEKGTTHPIGRAVVDHSEGKDLPSVSVESFEYFPGRGLTATVNGIQSGTGGDKLLKATLGSVDFITSFCQSEDESKKIKEAVNTSSYGSDFVHAALSVNQKVVSLIHLEDRPRPGVVDVIQELQEAKFRVMMLTGDHEPSARRVASAVGINEFYCNLKPEDKLSHVKDIARDMGGGLIMVGEGINDAPALAAATVGIVLAHRASATAIAVADVLLLRENISAVPFCIAKSRQTTSLIKQNVALALSCIIMASLPSVLGYLPLWLTV >OIV89410 pep supercontig:LupAngTanjil_v1.0:KV862302:298352:298630:-1 gene:TanjilG_22225 transcript:OIV89410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALESRPLSIIDARNSATKEEVVEIFDWLSVGAMKQSGPSPGEGHKFTNTNTLGLGGIKNSGPSSGGEGHKFTNSETLGGIKDSGPSPGQGN >OIV89409 pep supercontig:LupAngTanjil_v1.0:KV862302:292203:292481:-1 gene:TanjilG_22224 transcript:OIV89409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLESRPLSIIDARNSATKEEVVEFFDWLSVGAMKQSGPSPGEGHKFTNTNTLGLGGIKNSGPSSGGKSHKFTNSETLGGIKDSGPSPGQGH >OIV89406 pep supercontig:LupAngTanjil_v1.0:KV862302:132624:134469:-1 gene:TanjilG_22221 transcript:OIV89406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENPKRVFVCGGGVIGVCTAYFLAKKGAAVTLIEKSDVACAASGKAGGFLAHDWCDGGPMESLARTSFNLHRSLSQQLNGPESYGYRPLTTLSLTITEPNTTTTLPSSSATLPSWVDAPARDIKTIGTFETTAQVHPQLFTKTLINNAVAHHGVKVVIGKLERLEVVGDRVKSVVLEGGRVMESDAVVLAMGPWCNKLELLSSIFRVYGIKAHSIVLEPREANAITPHALFLSYYPSKQGNPLDPEVYPRPTGEVYICGISAEEEVPDDPEGIIGDPESIGMLKRVAKTVSSHLGEGQARVKAEQACFLPCTDDGVPVIGEIPGVKGCYVATGHNCWGILNGPATGAAMAELVIDGHSSIVDLEKFSPARFARKRKA >OIV89407 pep supercontig:LupAngTanjil_v1.0:KV862302:219798:220136:1 gene:TanjilG_22222 transcript:OIV89407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVDGRFDNDPLTRVWTSFILRNIYRISHISDLPITKAFLAYYIQDKMEVDVATILSNELFQFMINEPYKTRGATKPLVYLGLIIGHCEKVGVDISTPPLKQQKAPLYLAYI >OIV89405 pep supercontig:LupAngTanjil_v1.0:KV862302:35302:37132:1 gene:TanjilG_22220 transcript:OIV89405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTEQQSQDSHQQTPSILDTLLCEEQHTFEEEEEDDFVSKGVDFETKNDDGSSLSVIKFQSLPLLLLENDLFWENEELVYLISKERETHLNHLISDGFLDEHRVEAVNWISKVCAHFGFSALTTVLAVNYFDRFIRNLRFQDDKPWMSQLIAIACLSIAAKMEEIHVPLLLELQVEESSFAFEAKTIQRIELIVLSALNWRMSPVTPISFFEHIVRRLGLKSCLHWEFLSRCERVLLNVIADSRVMSYLPSTLAAATMVHVIKEIEPFNATEYVDQFLGLLKIREEQVNQCHKLILKLLVCDEGIHNLHPKRKCLSEPSSPGGVIDASFSCDSSNDSWVSASSVSHSPEPPFKRSKAQDQQMQSPSVNHVSIDVLNFPHQQ >OIV89401 pep supercontig:LupAngTanjil_v1.0:KV862303:190418:190978:-1 gene:TanjilG_22233 transcript:OIV89401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESNSTSYSSSNKSISLAQNTEKTKVQKHASYFQSHNSLLHSLRKTKSRKKAPVAPIPQAPTKVYKVDPFNFKELVHQLTGAPEFKSRQLFRHNQNVQSVKGDDVTTLDVASHNPIVEISSRNITATSPPMHKSWYMDLQSELCGVETKESGTEGATNPGFLDMNLSSPSSYSNWCNFFPLLSPRT >OIV89397 pep supercontig:LupAngTanjil_v1.0:KV862303:121442:125620:-1 gene:TanjilG_22229 transcript:OIV89397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEAKPTNEYCSSSSEEDGDAAWKAAINSIAQTTTFVSSFKSVTKHRDSNDNNNNDDGQDHKPKTQLIKHYQIKAQKLLNDILEKTIDVVEEPAFILNEDTKTEEGGIRLFRHSQPGIVFDPEDEPHSPRKRPRILPGADIDEKSKKFKKRIRSVTVDGKDLMATANDACKKSLARLEAKDAAAKAKARREEERIENLKKIRGERWLPSMTNEMPVKYRNEMPVKYRNEMPVKYHR >OIV89404 pep supercontig:LupAngTanjil_v1.0:KV862303:295698:296636:1 gene:TanjilG_22236 transcript:OIV89404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAGGGDGGATAPPPQFFCYQCNRTVSITPSSDLACPNCNSGFVEEVENPTPQLQPPFSFTYELPPLFGGRSPSDDGDAFNPLVFLQNYIQTLRAGGANVQFVLDNSPSGESVNLPTNLNLGDYFFGPGLEQLIQHLAENDPNSYGTPPASKTAVEGLPDIAVNNELLDSDLSQCAVCKDTFELNEIAKQLPCKHIYHSDCILPWLELHNSCPVCRYELPTDDPDYEQRAQGGGGPQVNENQNENAGDSLQSQSPRELRFRVLPWSFRQFVGDTSNVGTENDHDNNTGEESNIGSWGNPNFESETRQEDLD >OIV89403 pep supercontig:LupAngTanjil_v1.0:KV862303:273490:293129:1 gene:TanjilG_22235 transcript:OIV89403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARQTITKLMLSIASRTKTSQIRHFTASPAPPPAVFVDKNTRVICQGITGKNGTFHTEQAIEYGTKMVGGVTPKKGGTEHLGLPVFNTVADAKAETRANASVIYVPPPFAAAAILEALEAELDLVVCITEGIPQHDMVRVKAAINSQSKTRLIGPNCPGIIKPGECKIGIMPGYIHKPGRIGIVSRSGTLTYEAVFQTTAVGLGQSTCVGIGGDPFNGTNFVDCLQKFLTDPQTEGIVLIGEIGGTAEEDAAALIKESGTEKPVVAFIAGLTAPPGRRMGHAGAIVSGGKGTAQDKIKTLREAGVTVVESPAKIGAAMLDVFKQRGLVQ >OIV89395 pep supercontig:LupAngTanjil_v1.0:KV862303:96913:99533:1 gene:TanjilG_22227 transcript:OIV89395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKGPGLFSDIGKIAKDVLNKDYTSDQKITFSSYSASGVALTSTAVKKSGFSTGDVAALYKHKNTLVNVKLDTESNISTTLTFTDILPSTKTIASFKLPDYNSGKLEVQYFHDHATLQTILALSQSPIIDVSATVGTPSIAFGAEAGYDTKSGNFTKYTAGISITKPDSSAAIIIGDKGDSIKASYVHNLDLLRKSAAVAEFTKKFSTNENIFTVGGSYAVDHLTQVKARLNNNGKLGALIQHEIIPKSLLTISGEIDTKALDKNPRFGLAIALKP >OIV89394 pep supercontig:LupAngTanjil_v1.0:KV862303:11936:14260:-1 gene:TanjilG_22226 transcript:OIV89394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRDVKPHNVMIDHEQRKLRLIDWGLAEFYHPGKEYNVRVASRYFKGPELLVDLQDYDYSLDLWSLGCMFAGMIFRKEPFFYGHDNYDQLVKIAKVLGTDELSAYLNKYRIELDPNLAALIGRHSRKPWTKFINVENQHLAAPEAVDFVDKLLRYDHQERPTAKEAMAHPYFNPVRNAESSRTRTH >OIV89399 pep supercontig:LupAngTanjil_v1.0:KV862303:147173:155508:-1 gene:TanjilG_22231 transcript:OIV89399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAPKQKWTAEEEAALKAGVVKHGAGKWRTILMDPDFSGILHLRSNVDLKDKWRNINVTAIWGSRQKAKLALKRLPAPKIDNNHLVTTTVQHDEDVLDTQPLAVLGAALQSANSKEQKSRLSNRILEAIVHLKEPNGSDKVAIASYIEDNYQSQSKLRDILPTKLKHMVATGKIIKEKHKYRLAPSSRTYEKRRISSASNLDGRAKDFPEAEKCDDNICSKPKDFLGAGKCDDKIIRSKSQNDGEPSKAKGMRVQEVAAKAAKAVAEAEAATAEAERAARFADELEAEAEASEVIHQAIMKAFQSKTFRLW >OIV89398 pep supercontig:LupAngTanjil_v1.0:KV862303:135843:140954:1 gene:TanjilG_22230 transcript:OIV89398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALQLHPVSQFQPNTDNIKRNLIKKGIFPTPKIVHTIRKKQIQKHNRKLNKLTKNQPPPLTESQQQTLLEEQHFQTLKHEYKEFTKAIESISNSKTQSLFMKGKPWEGLRKIEFLERVRVKEECGGENVKRESLKELKEMFGGRKIDELKWVFDDDIEMEEDWFNDESETWGVEKKKRKRSESEVIRFLVDRLSDREITTKDWKFSRIMKLSELPFTEGQLLMILELLGAKGCWKQALSVVQWVYNHKDQRKYRSRTAFKQSAGKVGNVHVYPDNAAYHSIAVTLGQAGLMKDLMNIVELMRQKPKAVKFSHHKNWDPVIEPDVVIYNAVLNACVPSKQWKGVSWVFKQLKKSGLKPNGATYGLAMEVMLESGKYDLVHELFEKMMRSGEVPEALTYKVLVRTFWRQGKVDEALEAVSEMEKRGVTGTASVYHELACCVCNYGRWQEAVMMVEKIRSFSHARPLEYTFTSMIMSSMDGGHVNNCIHIFEYMKERYAPNIGTINTMLKVYGRNDMFSKAKELFEDVKLANSDPFATPENGSGSSVIPDVYTYSEMLEACVSAHHWEYFEHVYKEMTLSDCRLDQDKHLSLLIKASRAGKCHLLEHAFDTILEAGEIPHHLLFFELVIQAIIQNNYERAVILVNTMAYAPFQVSEKQWTYLFKENEDRISLENLKSLLDALTNCDVVSEPTVSNLSRSLHVLCGLGTSRNISSIILPGSENTVNDQNEGVDGRNGNMPNISRRMVIEGAKSGNEIIVSSKHAERDIFTFNHDQVYIGDDNDFMVSRPRNCDIIDRVSLHDDKQEHADHQVKDMSCNS >OIV89396 pep supercontig:LupAngTanjil_v1.0:KV862303:104370:107824:1 gene:TanjilG_22228 transcript:OIV89396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFECAPSLASPSPSPSAAAESLGFLKLREFNVAGFYRTSRKNLVLRNASFIDSCIRNSRKCFKPIKANEDSHSSSTHNCAAFNGNNFGQISNVGKSTNILWHDCPIQKHDRQQLLQQKGCVIWLTGLSGSGKSTIACALSRSLHSRGKLTYILDGDNIRHGLNRDLSFRAEDRSENMRRIGEVAKLFADAGVICIVSLISPYQKDRDACRALLPEGDFVEVFIDVPLQVCEARDPKGLYKLARAGTIKGFTGVDDPYEPPCNCEIILQHKGSDCMSPSDMAEIVISYLEEKGHLRA >OIV89402 pep supercontig:LupAngTanjil_v1.0:KV862303:265925:270139:-1 gene:TanjilG_22234 transcript:OIV89402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILILSKPTISSHIIRSLPGFHGDLPFKLETGYIGVGENEVVQLFYYFVESEGNPTEDPLLLWLSGGPGCSGLCGLFFEIGPLKFNMVKYNGSLPTFSRNPHSWTKVSSIIFIDAPVGSGFAYSRTMSGYKTSDKIHAQHCHSFMRKWLVYHPKFIGNQFYIGGESYGGIPVPILTKYISDGNEAGEKPKIDIKGYMAGNPGTDPKFDWNAKIPFVHRMALISDELYKSAKMTCRGEYVDVKRDNLACQKDLQAITECIHNINEEHVLEPNCPTDGFLFNYSTADQKAREEFQRMVLHAPLKILQFGCRNYENLLVHEWANNVHVQKALHVRMGHVAVWIRCNRSIIHTYDVPSVLSYHQHLRTKHIWALIYSGDHDMQMSYLGTLRWINSLNSTILEEWKPWFVRDQIAGYVQEYSNYITFATVKGAGHTAPEYKRKECFEMFKRWISHKPLSYLNPKFPFSKGHNGNVTSKVKLSGDCASLSLSRTF >OIV89400 pep supercontig:LupAngTanjil_v1.0:KV862303:162295:162946:-1 gene:TanjilG_22232 transcript:OIV89400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCQAAEAATVVIQHPGKKIERIYWAVSAHVIMNSNPGHYVALVLSSPTSSSSNSENGNHLKQLKLLRPDDTLLLGQVYRLISFEDVLKEFASKKCGKLGKLLKENGGQGIRMKHKDSRILSQSSSSNSDCNPIKGDSVSK >OIV89393 pep supercontig:LupAngTanjil_v1.0:KV862304:280882:286485:1 gene:TanjilG_22315 transcript:OIV89393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVADKSKKLKVSEKEETEIDGELVLSIEKLQEIQDELEKVNEEASDKVLEIEQKYNEIRKPVYDKRNEIIKSIPDFWLTAFLSHPALGDLLNDEDQKIFKYLSYLEVEDSKDVKSGYSITFNFNPNPYFEDTKLIKTFTFLEEGTTKVTATPIKWKEGKGIPNEVNHEKKGNKRAPTDISFFSWFAEQKDDLDDIHDEVAELIKDDLWPNPMTYFNNEEPDEEDGDDEADDEDVDEDVSEDDDQEDDDGGEEEDGN >OIV89381 pep supercontig:LupAngTanjil_v1.0:KV862305:141894:144702:-1 gene:TanjilG_22344 transcript:OIV89381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFQDDISRFISDAPPAHYIVKIQLFSLLTKNSIEKYESGEFEAGGYKWKLVLYPSGNMSKNVKDHISLHLALVGASSLHPDREIHVKFRLFLLDQNNDNYLVVQDALGKEKRFHKMKSECGFDQFIPLKDFNDDSKGYLVEDMCVFGAEVFVCKEINKGKGESLVMEKDAITYKHIWGIENVLSKLDSECHVSKPFNAGKYEWVIKLYPKGKGSGVGSGYFSLYLALADPKTLPPTSKIYTQIILRIVDQKQSSHVVGKFNCWYSASNYENGYLRFMLLSTFTGQLVGYVLKDKCLLEAEVTVHGVVDALS >OIV89371 pep supercontig:LupAngTanjil_v1.0:KV862305:12083:16274:1 gene:TanjilG_22334 transcript:OIV89371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRDFNIAKTEADISSYAGYVGSAFMLGRGLTSVLWGIISDRYGRKPVLVIGIITIVIFNTLFGLSTSLWMAIVTRFLLGSLCGVFGPVKAYASELFREEHQAIGLSTVSAAWGIGLIIGPALGGYLAQPTEKYPHLFLKGSFWDKFPYFLPCLIISGFAFVVAILCIWIPETLHNHNCNKKSIDDAEALENGSSGGDKDSIIPKNENLLRNWPLMSAILAYSVFALHDVAYQEVFSLWAVSPRRLGGLTFTTDDVGNVLSISGFALIIYQVSLYPYVEKAFGPISLARISGFLSIPFLQSYPFIAMLSGLTLFILISIASILKSLLSITITTGLIIIQNRVVEQHQRGEANGIAMTSMSLFKAIGPATGGAM >OIV89372 pep supercontig:LupAngTanjil_v1.0:KV862305:21483:30356:1 gene:TanjilG_22335 transcript:OIV89372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDENIREPLLKKKYYENCSGCKVEQAKELNKGLSILNLSIIWMVVLCAALPISSLFPFLYFMVRDFNIAKTETDIGSYAGYVGSAYMLGRSLTSILWGMIADRYGRKPVIIIGVISVVIFNTLFGLSTSFWMAVIMRFFLGSLCGVFGPVKAYATELFREEHQAIGLSTFSAAWGIGLIIGPALGGYLAQPVEKYPHIFPKDSFWDKFPYALPCFIMSGFAFAGAIACIWIPSGRRRNDKVVLCDLEVTGSNSKNNLSTYEGKTAYIYLPQTPLEALGKGSNGANKDNMTKKNLNLFLNWPLMSSIIVYGVFSLHEIAYQEVFSLWAVSPTRLGGLNFTSDNVGDVLAISGVALIIYQLTLFPYFENACGPVSIARISGVLSIPLLQSYPFIAMLSGITLYIVISIASILKYILQVTIVTGLFILQNRLVEQHQRGAANGIAMTAMSLFKTIGPATGGAM >OIV89385 pep supercontig:LupAngTanjil_v1.0:KV862305:196092:203466:1 gene:TanjilG_22348 transcript:OIV89385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDEKPVIGSLDNSDKSESRFAEFCKNGLSLDEKNCKEAMNLFGETKHLLLSNVSSVGIGTSEEAERYWFAFILYSIKRLTRKNEEIGKEESDYTGLTLCRIMRAAKLNIADFFRELPQFVVKAGPILSNVYGTDWENRLEAKEMHANTIHLKILSKYYKRVFEEFFVAIDANVENNTSVTVHASEYLRFGWLLFLAIRVHAFSRFKDLVSSTTGLISILAILIIHVPARFRNFSIHDSARFVKKSNKGVDLLASLCNIYNTSEDELRKTMVKANNLIADILKKKPFLASECETENLENFDKDGLTYFKDLMEESSLLSSLNILEKDYDDMIRKKGELDERLFINEEDSLLASGSLSGGSVSSSGVKRKCDLMSSPVKTISSPLTPHRSPVSYANSILGSANSKMAATPVSTAMTTAKWLRTVISPLASRPSQELERFLTLCDKDVTGDVVRRTQIILQAIFPSSPLGERCVTGTLQSANLMDNIWAEQRRLEALKLYYRVLEAMCRAEAQILHTTNLTSLLTNERFHRCMLACSAELVLATHKTVTMLFPAVLERTGITAFDLSKVIESFIRHEESLPRELRRHLNSLEERLLESMVWDKGSSMYNSLAVAKPALSGEISGLGLLAEPMPSLDEIALHINFSCGGLPPLPSLPKLETSPTQNGDIRSPKRLCTEYRNVLVDRNSFTSPVKDRLLLFSNLKSKLPPPPLQSAFASPTKPNPGGGGETCAETGINIFFSKIIKLGAVRISGMVERLQLSQEIRENVYCLFQKILNQCPSLFFNRHIDQIILCCIYGAAKISQLNLTFREIINNYRKQPQCKLQVFRNVFVDWSLTHRKGRTGQEHVDIITFYNEIFIPSVKPLLVELGPGETNMKSNRIPEVDNKNDGTVHLAQCPGSPKISPFPSLPDMSPKKVSSTRNIYVSPLRSSKMDALISHGSRSYYACVGESTHAYQSPSKDLTAINNRLKGNRKVRGPLNFDEVDVGLVSDSMVANSLYLQSGSCASSSSSGAPLKSEQRDC >OIV89387 pep supercontig:LupAngTanjil_v1.0:KV862305:237746:250999:1 gene:TanjilG_22350 transcript:OIV89387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEENALELLQRFRRDRRVLLDFILSGSLIKKVVLPPGAVTLEDVDLDQVSVDYVLNCVKKSSMLELSEAIRDYHDHTGLPQMSDTGSVGEFYLVTDPESSGSPPKRAPPPVPISIVPLVAVSIPPPIAEPSSVVSNVSRSESFGSTQEKELTVDDIEDFEDDDDASILESFRAKRTLNDASDLAVKLPSFSTGVTDDDLRETAYEVLLACAGATGGLIVPLKEKKREKKSSFIKKLGRSRSGSVVSQSQSAPGLVGLLETMRVQMEIPESMDVRTRQGLLNALVGKVGKRMDTLLIPLELLCCVSRTEFSDKKAFIRWQKRQLKVLEEGLVHHPAVGFGESGRKTNELRILLAKIEESEFLPSSTGELQRTECLRSLREIANPLAERPARGDLTGEICHWADGYHFNVRLYEKLLVSVFDMLDEGNLTEEVEEILELLKSTWRVLGITETIHYTCYAWVLFRQYVITREQGILLHAIEQLKKIPLMEQRGQQERLHLKSLRSKVEGELDISFLQSFLTPIQRWTDKQLGDYHLHFSESSATMEKIVAVAMITRRLLLEEPETTMQSFPVSDRDQIELYISSSIKSAFARTLQVVERVDMLHEHPLALLAEELKKLLKKDSVTFMPVLSQRHSQAAVVSASLVHKLYGNRLKPFVDGAEHLSEDVISVFPAAESLEQFVMALITSACQEENAEILFKKLSLYQIETKSGTLVLRWLNSQLGRILGWVERAIQQEHWDPVSPQQRHAASIVEVYRIVEETVDQFFALKVPMRFTELNSLFRGIDNALQVYANSVVNDLASKEDLIPPVPILTRYGKEAGIKAFVKKELFDTHTRVPDETTPNEISVLATPTLCVQLNTLYYAISHLNKLEDSIWERWTSKRSQEKLIRKSIDEKSKSFSQKDTLDGSRKAINSAMDRICEYTGTKIIFCDLRIPFMDNLYKPTVSGYRVDVLIELVDMELSQLCDIVVEPLRDRIVTSLLQASLDGLLRVILDGGSSRVFFPGDAKLLEEDLEVLKEFFISGGDGLPRGVVENQVARVRHVIKLHGYETRELIEDLKSASGLEMQGGKSKLGADSKTLLRILCHRSDSEASQFLKKQFKMPKSSA >OIV89377 pep supercontig:LupAngTanjil_v1.0:KV862305:111723:113864:1 gene:TanjilG_22340 transcript:OIV89377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQADEDVGKIAQAVPVLVSKALELFLQDLCDRTYEITLQRGAKTMNSLHLKYCVQSYNVFDFLRDVVSRVPDYSHGSHSEAGGADDRAILKRRKAAAEDCNDSDEEAKRGKMRELSHSGSTGRGRGRGRGRGRGRGARTVEREAHHQEADSEPCATVQHGSQHDTDINMAMHDGSESKELLKESLAVPVENTESPGNIDLNANTNENEDKNASAAANASLSEPATEIKHEDIPGWSLSDLDKMAIDTMQLAQLGRGLEEDEEDYDGEG >OIV89378 pep supercontig:LupAngTanjil_v1.0:KV862305:115112:117946:-1 gene:TanjilG_22341 transcript:OIV89378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRASSSSSIPSLTFFLFFLLFFIVLISVRESVGYCSEYDRSSMSMPGGITDSEGFQNTLEIQDLARFAVDEHNNKQNALLEFARLVKAQEQVVSGTLHHLTIEAIDAGEKKTYHAKVWVKPWLNFKELTEFKHVADAPSFTSADLGLKKDVHKSGWRSVPTQDPQVQDAADHALKTIQQRSNSLVPYELHEVVDAKAEVIDDFAKFNLLLKVKRGDKEEKFKVEVHKNNEGGFHLNQMEHDHS >OIV89379 pep supercontig:LupAngTanjil_v1.0:KV862305:127275:133409:1 gene:TanjilG_22342 transcript:OIV89379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLQLEQECLDIYHRKVDETRKHKADLYRSLADDEAEVTNLISSLGECASFSRGKGTLKEQLATIRPVLEDLRSKKDDRIKEFSKIKSHISQICAEIAGCGQSESVTVPEADQFDLTVKKLEEHKSHLKELQNEKILRQQKVKSHITTIAELSLVMSIDSQKTLHEIHQSLGDPSEGTLQMQSISNDTLARLTGAIHSLKQEKQKRLQKVQELAKILVELWDLMEIPIGEQKAFSHVTRLISVSVDEVSIQGCLSSDIIEQAEVEVQHLNLLKASKMKDLVLKRQTELEEIYRGVHMDVDSEAARQTLCSLLESGIMDLSDLLQSMDDQIKKAKEQALSRRDILDRVEKWKFAVEEEKWLDEYERDENRYSAVRGAHKNLKRAEKARTLVKQIPSIVENLTAKVKAWETEKGIPFLYEKAPLLHSLDEYNVHRQLRGEEKRKSREQKRLQEQHAMEQEALFGSRSATKKPLGPSTSANSIAGTPTGRRLFTSSGRYGTSGEKERRESSRVNHIIPVNYVALPKDDSVPRGS >OIV89389 pep supercontig:LupAngTanjil_v1.0:KV862305:255735:261177:-1 gene:TanjilG_22352 transcript:OIV89389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFSYTEETAINTFHVSDFGAFDQSYRLENVVDLSGNSIYNSLKVSRQTISPTPVHIGTLDKLPPSLDKNPLTNQTEPHRLQFQQEKVQSSNPVTILVGNTENQEESAMADGSPRTDISTDADTDDKNQRFDRSQALVGAASDSSDRTREKTDQKSLRRLAQNREAARKSRLRKKAYVQQLESSRLKLTQLEQELQRARQQGVFISSSGDQAHSMSGNGAMQFDAEYARWLEEQNRQINELRAAVNSHASDTELRMVIDSILAHYDEIFRLKGIAAKADVFHLLSGMWKTPAERCFLWLGGFRSSEVLKLLVNQLEPLTEQQVVGITNLQQSSQQAEDALSQGMDALQQSLAETLSTGSQNSSGSSGNVANYMGQMAMAMGKLGTLEGFIRQADNLRQQTLQQMHRILTTRQSARALLAIHDYFSRLRALSSLWLARPRD >OIV89382 pep supercontig:LupAngTanjil_v1.0:KV862305:179403:180158:-1 gene:TanjilG_22345 transcript:OIV89382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPPPPPSPIFEEDSPETATTTTKELRSPTPRVPISLQPANSKSKHDSRSTKVFRKFRSVFRSFPIIVPSCKMPTVNGNRANEAFIHGGTRITGTLFGHRKARINLAFQENSKCLPFLLLELAIPTGKLLQDMGAGLNRIALECEKHQNNDKIKVVDEPIWTMFCNGKKTGYGVKREATDDDLNVMQLLHAVSMAVGVLPDDMSDPNDGELSYMRAHFERVVGSKDSETYYMMMPDGSNGPELSVFFVRV >OIV89390 pep supercontig:LupAngTanjil_v1.0:KV862305:267075:267437:-1 gene:TanjilG_22353 transcript:OIV89390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNKRQSRRDKKEIKVTYISSPMKVKTSASNFRALVQELTGQDSNVADTFMPIEENWANDERVNKDTNQRWSVDVTDQGCLSEETMLKYGNIEFLSSSLMELEPLNQHLQYHELLSFDAS >OIV89373 pep supercontig:LupAngTanjil_v1.0:KV862305:49042:51105:-1 gene:TanjilG_22336 transcript:OIV89373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEGLCPSSFFSSGSVDKRRIIEVKPLRSLAPLIPKSAQGSASGFSPFIPIGEPREAQAPPVPNAAPIRSFKKPTVEDDETPHRAANGHIPSPPPPIPNPTPLRSYRSPLVDEETPYGGNSDTSSSVDGLNGHSNGHDQKRAAPQSQSRKSSHKRNRNIQEQDNDGGCESVNSVLMTFDSIRRRLCQLEDANQLDTQNAIKRADLRANNAMTFKGIRTNSRKRVGAAPGVEIGDIFFFRIELCLVGLHGQSMSGIDYVNFKCEDKELPVALSIVSSGVYDDDAEDNDILIYSGQGEHFNKKDKHIVDQKLQRGNLALDRSSQQHNEVRVIRGLRDVGNKSVKIYVYDGLYRIEDSWTEKGQSGGGIFKYKFVRLPGQPSAFANWKMVQKWKAGLLSRSGLILADLSTGVESIPVSLINDVDNEKGPAFFTYLCSLRHTKPFCLVQPSHGCNCSKACVPGDPNCSCIQRNGGDFPYIANGILVSRKQMIYECGPMCQCLPNCKNRVSQSGLKLHMEVFKTKDRGWGLRSLDPIRAGTFICEYAGEVIDKANVSQNGNEEDIDEYVFDTSRIFEPFKWNYEPSILEEVSSKCSSEDYAIPSPLIISARNVGNVARFMNHSCSPNVFWQPVLYEENNQSFLHIAFFALRHISPLTELTYDYGIAHSEGSSAPKGSKKCLCGSSKCRGSFC >OIV89380 pep supercontig:LupAngTanjil_v1.0:KV862305:136925:137596:1 gene:TanjilG_22343 transcript:OIV89380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSNSPCAACKFLRRKCQPECAFAPYFPPDQPQKFANVHRIFGASNVTKLLNDLHPHQREDAVNSLAYEAEMRLRDPVYGCVGVISLLQHQLRQLQMDLYCAKSELSRYQNLNIATNHGGICAESAPTATTYHNPYNNNNTGSVNNGGGRYNHHHHHHQFLSRDQYQHRQQQIVRSIDSGNNYDASLLAMNISASLGNLNQFQQHAAAANGGGNDRGAVNPS >OIV89391 pep supercontig:LupAngTanjil_v1.0:KV862305:275718:278246:1 gene:TanjilG_22354 transcript:OIV89391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAYIRRAFSCRNILLLRSSTLHGYSSSHITRTPINMSMFDSDTVFNVPASNFIMESRRTFAKGRKSKDEAGVSTIGISPDVVPNIKANAISLMEAAIGALSAELSKLRTGRASPGMLDHIIVEISGMKMPLNRVAVVSVIDSKTLSVNPYDPQTLKQIENAIVSSPLGLNPKADGDRLIAVIPPLTKEHMQAMAKVVTKSCEDSRQSIRRARQKAMDAIKKLYSNLPKDDIKRFEKEVDDLTKKFIKNAEDVCKAKEKEINQG >OIV89383 pep supercontig:LupAngTanjil_v1.0:KV862305:182312:184747:-1 gene:TanjilG_22346 transcript:OIV89383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCYYGYDINDFHVPKDQDLLDWHPLPESWSNWGINAPEGFDSPKQYFTMDTNATDLEFDFMEESFGNEVELEHSLNDKDQSTSSSFQQTLSSDQCNYELQGLLCLEQTGGIFLDSVIDDLSCVEDQHNSFYFCPENPCSNRSRGLQRDTEASKFVPNNLNSKDCLNIECNRDEAMHEQYSNEESILQNLEIAIAQFTGKTRICFRDAFYRLARDTKHVVENLDDDLNMQTTVLGEVTNETMRCEDNETMESDTNSVDRAVANLMFNKMDTNI >OIV89392 pep supercontig:LupAngTanjil_v1.0:KV862305:288297:289176:-1 gene:TanjilG_22355 transcript:OIV89392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRFAPHLEKCMGKGRKARLKVTRSSNMAAQNRYSRSGHASTYSPYSNYSSNSTNSLAANGTSNFAGEGHSNGNSEP >OIV89374 pep supercontig:LupAngTanjil_v1.0:KV862305:61548:68726:-1 gene:TanjilG_22337 transcript:OIV89374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWFTQFLHLFFIASILIRSKAIPNQIPKHHVVYMGNSASNNIHINGQMPDSTHLELLSSIIPRRESERIALIHHYSHAFSGFSAMLTESEASALSGHDGVVSVFPDPILHLHTTRSWDFLASDLGMKERDTPKYQQHLSSDIIIGVIDTGIWPESPSFRDEGIGHIPSKWKGVCMEGHDFKKSNCNRKLIGARYYNNQFASSGKKTLTTEVRGSPRDSVGHGTHTASTAAGARVENASYYGLAQGTARGGSPYARIAAYKACSEEGCSGATILKAIDDAIRDGVDIISISIGLSSLFQSDFLDDPIAIGAFHAEQMGVMVVCSAGNDGPDPYTVVNTAPWIFTVAASNIDRNFQSTIVLGNGKTFQGSGINFASLTHSKMYPLVFGEKVAAKFVPASEARNCYPGSLDYNKTAGNIVVCVNEYPTVSRKIKKLVVQDARAIGIILIDEDSKDVSFDADVFPFSQVGNLEGHQILEYINSTKNSTATILPTSEVSRYRPSPIVASFSSRGPSGRTENILKPDVMAPGTGILAAMIPPSNEPGSVPIGKKPSMFAIKSGTSMACPHVTGAAAFIKSIHRKWSPSMIKSALMTTATTYNNMRKPVTNTSNYISNPHEMGVGEINPLRALNPGLVFETDMKDYIRFLCYYGYSPKNIRSMSKTNIKCPRNSSEDLISNINYPSISIKTLKREQKAKVITRTVTNVGLLNATYNAKVLSPEGLVVNVTPNKLVFSEGVGRISYKVSFYGKEAHAGYNFGTITWLGGRHYVHTVFAVNVK >OIV89386 pep supercontig:LupAngTanjil_v1.0:KV862305:206122:208326:-1 gene:TanjilG_22349 transcript:OIV89386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQITCEASLKCLQGKGFPCNFHCNGSSLEGFTKLKSELGTHPAADVAERNRRFGREFLEPSNEFHTIPTYHHDYSTWAACHFNPHKLQQCPMNAFESQLYPYPVGNQLQYVPINMVAQGYPHEQCQEFQYFVVIDFEATCDKDKNPHPQEIIEFPSVIVNSVTGQLEACFQTYVRPTCNQLLTDFCKDLTGIQQMQVDRGVTLSEALLRHDKWLEKKGIKNANFAVVTWSNWDCRVMLESECRFKKIRKPPYFNRWINLRIPFREVFGAVKCNLKEAVEIAGLAWQGRAHCGLDDAKNTARLLALLMHRGFKFSITNSIMWQTAADGSLMWKQSPEHPSFFPQSPYKAKAVSTSLVQQYHPFCFCGVKSSRGMVRKPGPKQGSLFYGCGNWTATRGARCHYFEWASTLN >OIV89376 pep supercontig:LupAngTanjil_v1.0:KV862305:101625:108551:-1 gene:TanjilG_22339 transcript:OIV89376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTGENKPVKQEGNIESSSRWKSQEFRKSIVKKMMNIMIQKGCPSWAQNLSGLSKCVERFEEKVYTSAKDEVESSQKPNAHDLENCRHIKKIIENIFAILGVSKSQINTDFKEKLDNAEKTSQEENFEKNQSPQLLRTQNYHLTKQISQPKKLSFQEQPVATQYSNSQQKANQMSITKGPENAVQQQPHGALKATEEYGICINIPGISASPLLEDCSNLNETSHKPTVIADEPSAAMQCLVKVLTSMSAKVLSTSIDEIREIVYLNDVIPASKLHRSSKRAQKHTQPRLITQGRKITLDVYNICDSTSDSLNQLNDAQEADLNSVAPQGKRPRITENHALVEEIKETNKLLIDTEVVIGEKDSIQSAAGGAAVVDDGLVVKFLFRAVTINLNLTSHYAADKKSIIKPLWLLVPTSYPFSSPVILDKMPLEASEDLEDLWMIAKAKLRFSLQSMNQPWSLGDIAISWEHCARKAILEYAQHNGGGTFSSKYGGWEMC >OIV89375 pep supercontig:LupAngTanjil_v1.0:KV862305:96439:99726:1 gene:TanjilG_22338 transcript:OIV89375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRMKNEIEDEMLPNNMTESQLNDEGNGGSDSKVVLKKGPWTSAEDAILVGYVKKHGEGNWNAVQKHAGLLRCGKSCRLRWANHLRPNLKKGAFTAQEERLITELHAKMGNKWARMSAHLPGRTDNEIKNYWNTRIKRRQRAGLPLYPPEVCLQAFQESQQSQSTCGVNGGNKVHHDMLNSYEIHDAIIDSMKDNQGISPYVPEPPDISDYNNMLKGLDSSQYCNFTSSTSPNHKRLRGSTMPFLGSSGMSRNVFYPFDHIQVNACDKIAQSFGMQSPLDHGPSLHSSMCYSHSLSNGNSSTSKPTSEAVKLELPSLQYPEIDLGSWGTSPPPPLLDSVDDFIKYPTPISAMESDCSSPQNSGLLDALVYQAKTMSSSKKHNSDEISNSSTAILDHRADSSTLNMHETEWEDYADPVSPFGATSILNECPAIANANSLDEVPPDQTFNGNIVKLESADQVWTPNSENQTMSVLNITWPDILLDEDWLQQDSDNGTNQTIRTDAMSTLFGDDLATDYKHMTDGTSKSSQVWGFGSCARNHSAFCLSRV >OIV89384 pep supercontig:LupAngTanjil_v1.0:KV862305:189953:192938:1 gene:TanjilG_22347 transcript:OIV89384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKNTFQSGFLSILYSLGSKPLQIWDKEVVDGHVKRPHDEDIQSDVLEIIGSNIQSTYITCPADPAATLGIKLPFLVMIVKNLKKYFTFEIQVLDDKNVRRRFRASNFQAVTRVKPYICTMPLRLDEGWNQIQFNLADFTKRAYGTNYVETLRVQVHANCRLRRIYFSDRLYSEEELPPEFKLYLPMQKS >OIV89388 pep supercontig:LupAngTanjil_v1.0:KV862305:252316:254560:1 gene:TanjilG_22351 transcript:OIV89388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSALRNVKVPPNSASLDEAKHRVFDFFREACRSLPSVMEIYNLYDVVKVSELRSFISYQIRKNTHVTDPKVIDMLIFKGMEELRNVVEHSKQRHHIIGQYVVGRRAFEQEELGIKNQGTTTFLRNFYETNYF >OIV89369 pep supercontig:LupAngTanjil_v1.0:KV862306:32413:32637:-1 gene:TanjilG_22672 transcript:OIV89369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNKWSRVRYRPEATSIWPRFTYGSDPLSSSRTSVTTESHHEPPSPPRVITSRRQWRTYAIMNQGRHEPAALRS >OIV89370 pep supercontig:LupAngTanjil_v1.0:KV862306:195364:197367:1 gene:TanjilG_22673 transcript:OIV89370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAEMRINGWIRVAILGVICLSPWLYLAIAKENPHKLSCKRYEGSWVRDSSYPLYDSSKCPHIDKEFDCLKYGRPDHEYLKYRWQPQSCDLPRFDGKSFLTKFKGKKIMFIGDSVSRNQWQSLICMIHSSVPKLHILEQDMAPITNYTFQGYDVSVIVFHSTHLVDIVNGTNGRILKLDSIQNGEIWKEMDVLVFNTWLWWYRTGPKQPWDYIKIGNKIIKDMDRMEAFKIGLTTWAKWVDTKVDTGKTKVFFQGISPQHYHGADWKEPKVTNCAKETVPINGSTYSAGLSRPSYVLQDVLNTLTKPVQLLNITTLSQLRKDAHPSSYNAFRAMDCTHWCVAGLIDTWNELLYTELM >OIV89368 pep supercontig:LupAngTanjil_v1.0:KV862307:194182:206287:1 gene:TanjilG_22684 transcript:OIV89368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQDYNQGIFNFSNRFERSTSITHQEQHQQHEQQPPQPPLVVGIEEVSGGLPVYENPGMLSEMFNFPSHAGATVTATELLEQQQQQMTAMFRSTTKPPPPVASDWYGNRQGMITGLGPLLGDANNHPNRDRNNDSTIVQHHHHHNNQEHRHQQQQMLNTNADSAAAMQLFLMNPPQTRSPSPAPTTTHSSTLHMLLPSTSLQGFPAGNSGEGSFGQFTWVPDGGALDGGTTSTHLNNNEIGGGAVEGQGLSLSLSSSLQHLEAAKSEELRMGQSGFMYYNQGGGGPSSAAHYHYQNLGIPHLQQELHIQGGLGQSHQGHVLFGSSSYSSLGAVNVLRNSNYANAAQELLEEFCSVGRGQFKKNKYQRQLPNPNSNPGGGSGGAGVGVASSSLSKDFPPLSAADRIEHQRRKVDRRYSYYCEQMLTIVNSFDMVMGLGAAVQYTELAKKAMSRHFRCLKDAITVELKNSCELLGEKDGAGTSGVTKGETPRLKLLEQSLRQQRAFQQMGMMEQEAWRPQRGLPERSVNILRAWLFEHFLHPYPSDADKHLLARQTGLSRNQVSNWFINARVRLWKPMVEDMYQQELKEAEGSAEERENNNQSSSNISGHQAQTPTTAPPTTTSTATAPQPTTSTATGKRSNINVTENDPSLTAINIHGISENQATWHSISTTTAEVAPPLLESDLPPHNRSVPTDDTCPHNSLVTAEYGTANSGGSDIRSTLIRFGTTTGDVSLTLGLRHAGNMPEKSPFIRDFGGI >OIV89367 pep supercontig:LupAngTanjil_v1.0:KV862307:71075:71260:-1 gene:TanjilG_22683 transcript:OIV89367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTGHDFRSCTRDSFYRAFGKKGTIPLTTYHKTYHIVDIMVNGAVHKGMPHKFYHGRTCCL >OIV89364 pep supercontig:LupAngTanjil_v1.0:KV862308:32732:33268:1 gene:TanjilG_22687 transcript:OIV89364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHKNIEMKLMENKSSRLVTFSKRKSGLFKKAMELSILCGVEVVVLLFSVGGKAYSFGHPSIEAVTKKFLHQGEGSHVSHGESSNDDGNIGKLSHQLQELNDQIQVEKDKKKELDKALSKYKFINGKLPIDNLSFEELVEFKASLVEAQDNMPASNDELEVASSLLLLRENGMYETGI >OIV89363 pep supercontig:LupAngTanjil_v1.0:KV862308:10917:11215:1 gene:TanjilG_22686 transcript:OIV89363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQVEKDKKKELDKALSKYKFINGKLPIDNLSFEELVEFKASLVEAQDNMPASNDELEVASSLLLLRENGMYETGI >OIV89365 pep supercontig:LupAngTanjil_v1.0:KV862308:49962:50719:-1 gene:TanjilG_22688 transcript:OIV89365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELGHQLHAFIVHSGYREVVSVLNGLVDFYGKCWDIVSSEMVINRIRQKNVVSCYFLIYALMQNHKEEQACMVFLQARNEEVELIDFIESSVLSACAELGGSDWVFSENPKRNLVTWNARISGYAHQGNVDMALCLFEETTLECCGKTLSYVILVSGLSVCSRVWAVERRMQIFESMRVKYGIEPSGEHYACVVDLLWRARLVEDAYEFIKIIC >OIV89366 pep supercontig:LupAngTanjil_v1.0:KV862308:211583:211828:-1 gene:TanjilG_22689 transcript:OIV89366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEEFSDSDDEDEEEMVIPNVVLYSADVVILVSGHVVGPLVEEHRAREESMSYPLVIIMDSDTEMEEDPEEDPDELESSNS >OIV89362 pep supercontig:LupAngTanjil_v1.0:KV862308:3312:3752:1 gene:TanjilG_22685 transcript:OIV89362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSILCGVEVVVLLFSVGGKAYSFGHPSIEAVTKKFLHQGEGSHVSHGESSNDDDNIGKLSQQLQELKDQIQVDKDKKKELDKALSKYKFIKGKLPIDNLSFEELVEFKASWVVVQDNMSESNNELEAASSLLLLRENRMYETGI >OIV89355 pep supercontig:LupAngTanjil_v1.0:KV862309:55412:77159:1 gene:TanjilG_22690 transcript:OIV89355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASSDSESIEFSTELQSQTQTILSSNDNSPMETLFSHFFNTNNNYSSQQHSHSLTFFQCCKKHHPDLLFIKLFFLLRCSPTATTRANAARVILFLKPSHLWPKLKPMAQAHLKAHLIQYLKEETTLHVIRLASLVTAETVSAIYNSNNRHQQQWPEVFSFILSSLASKDDRFLEVALLILSALPKRCRLMLSDHNRSLHGYLLTTLCSTNPDVKTASFSTVVSLVCLFYDPSMFHELLRAMMVGVFALLHGYECSYFRKAFKELINLVSQEPSMLKPYMSDMVLDVLQIAESSGLSKGTHCLAFELVMEMAGMKECESVLVKLDYNVTVRVFMVLMNMLMCVEEDKKRDSEEVKGEESEVDVYKFGMKCLNQLCVTLGGSKVVPVAHHLLQICMDSPEWKMRHAGITMLAVIAKQFSDEMVFSENFLSEVVTKVLKSFEDSHVQVRLAAFNFMETPSNFVQVAHILYHHRLVHAFAIAIDNGHDDKVKEQAASAMLFFLKNTLPESLTLYKNTDTLMTKLLSLLQGKESAKQRSLALSAFNIVSQQCHEIGHRQYANYLPILLEACNDKNSEIKEEAIRGIRICAQFGTPQFKPFVNRILSELSILIQAPNARNAKEYDIAVSALGRICEFHRDSIDGSRVTFSLLDKDLLGAGNQNLLKIIEVFLEHIGRSCSMPSVRQFSSHASKEQKSQKMLLYLTGLVFAMVGSTYAAVPLYRRFCQATGYGGTVQRRETVEEKIARHDKDKTLTSREIVVQFNADIADGMPWKFIPTQREVKVKPGESALAFYTAENKSSTPITGVSTYNVTPMKAAVYFNKIQCFCFEEQRLLPGEKIDMPVRENGKSEVADTIHNFRKEKK >OIV89357 pep supercontig:LupAngTanjil_v1.0:KV862309:199194:205857:1 gene:TanjilG_22692 transcript:OIV89357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTASDKISNLKSAVAGLNQISENEKNGFVNLVSRYLSGEAQHVEWSKIQTPTDEVVVPYDSLAPTPDGSSEVKDLLDKLVVLKLNGGLGTTMGCTGPKSVIEVRDGLTFLDLIVIQIENLNNKYGSNVPLLLMNSFNTHDDTQKIVEKYTNSNVEIHTFNQSQYPRLVAEDFLPLPSKGQTGKDGWYPPGHGDVFPSLLNSGKLDALLSKGKEYVFVANSDNLGAIVDFKILNHLIQNKNEYCMEVTPKTLADVKGGTLISYEGRLLEIAQVQDEHVSEFKSIEKFKIFNTNNLWVNLKAVKRLVEADALKMEIIPNPKEVDGVKVLQLETAAGAAIRFFDKAIGINVPRSRFLPVKATSDLLLVQSDLYTLQDGFVARNSARANPENPSIELGPEFKKVSNFLSRFKSIPSIIELDSLKVAGDVWFGAGVTIKGKVSIIAKSGEKLEIPDGAVIANKEINGPEDL >OIV89361 pep supercontig:LupAngTanjil_v1.0:KV862309:210806:212829:1 gene:TanjilG_22693 transcript:OIV89361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NEQIVKEGMVFNVSLGFQNLQSEKSKSKNKNFSLLLADTVVINKDKTEVVTATSSKALKDVAYSFNEDEEDEKPRKKTDANGAEPTISKTTLRSDNHEISKEELRRQHQAELARQKNEETARRLAGGGNEAGENRSSSRSSADLVAYKNINDLPLPREMMIQIDQKNEAVLLPINGSMVPFHVAFIRTVTSQQDTNRTCYIRIIFNVPGTPFSSQDPSSIKFQGSIYLKEASFRSKDPRHSSEVVQSIKALRRQVVARESERAERATLVTQEKLQLANNRFKPIRLPDLWIRPPFGGRGRKIPGTLEAHVNGFRYSTTRQDERVDIMFGNIKHAFFQPAENEMITLLHFHLHNHIMVGNKKTKDVQFYVEVMDMVQNVGGGKRSAYDPDELEEEQRERDRKNKINVEFQSFVNRANDLWGQPQFNGLDLEFDQPLRELGFPGVPHKSSVFIVPTSACLVELIETPFLVVTLGEIEIVNLERVGLGQKNFDMTIVFKDFKRDVLRIDSIPSTSLDGIKEWLDTTDIKYYESRLNLNWRQILKTITDDPQSFIEGGGWEFLNLEATDSESENSEESDKGYEPSDVEPESDSEDEASDSESLVESEDDEEEEDSDEDSEDEKGKTWEELEREASNADREKGNEYDSDEDRQRRKAKAFGKSRAGASSSMPKRSKLR >OIV89360 pep supercontig:LupAngTanjil_v1.0:KV862309:209071:210705:1 gene:TanjilG_22693 transcript:OIV89360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADHRNGGNQPANGKASAAGTAYSIDLNAFQTRLRAFYSHWDEHKTDMWGSSDAIAIPCPPPSEDLRYLKSTALNLWLLGFEFPETIMVFMKKQIHILCSQKKASILESVKKPARDAVGVDVVLHVKSRNDDGTALMDVILRAIRVQSKADGPDAATIGYISREAPEGKVLEAWSEKLKNTDFNLSDVSNGLSTLFAVKSNEELTSIKRAAYLTASVMKNFVVTKLETVIDEEKKTSHSTLMEETEKVILEPSKVNCKLKAENVDICYPPIFQSGGEFDLRPSAVSNDELLHYDPASIIVCAVGARYKSYCSNIARTFLIDAEPLQSKAYEVLLKAHEAVVGSLKPGKKLNAAYQAAVSVVEKDAPELISYMTKSAGTGIGIEFRESGLNLNAKNEQVVKEGMVFNVSLGFQNLQSEKSKSKNKHFSLLLADTVVINKDKTEVATAMSSKALKDVAYSFNEDEEDEKPRKKTDANGAEPTISKTTLRSDNHEISKEELRRQHQAELARQKNEETARRLAGGGNEAGENRSSSRSSADLVAYKNIN >OIV89359 pep supercontig:LupAngTanjil_v1.0:KV862309:274007:278054:-1 gene:TanjilG_22695 transcript:OIV89359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQLKLMALTLTWWSSNNHHGAVADSSGVTFLLNFNCNPVNITINVGTFFDSINGSISELRAKVSNESMHFATAQEARGDTLVYSMFQCRNYLSINDCLACFETAETQIRKCYGAKGARVIYNGCFLRYEDEKFYDQITEPGNGVSCGKRIAENVIPFNAAAKQVLIDLPIATPRIKGFYAATKTQVGGSAIYTVAQCVETAKVSDCLACMIVGYSNIHTCLPYADGMAYDAGCFMRYSLTPFFADNQTIDITPYLKEDDPKIPRGTLEGTLKNGKIVAVKKLILSQSSNIDHDFESEAKLISNVHHRNLVRLLGCCNEGQERILVYEYISNRSLDRFLFGNKKGSLNWKQRYDIILGTARGLAYLHEEFYVPIIHRDIKTTNIFLDDDLQPKIGDFGLGRLLPGDGTHLNTRFAGTLGYTAPEYAIHGQVSEKADIYSYGVVVLEIISGQKSTEVKLDENVHEYLLQRTWKLYERGMHLELVDKDLESNEFDAEEVKKIIEIALLCTHASAAMRPTMSGVVVLLKR >OIV89358 pep supercontig:LupAngTanjil_v1.0:KV862309:214620:220309:-1 gene:TanjilG_22694 transcript:OIV89358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATISHNNLNAKLVLLGDMGAGKSSLVLRFVKGQFLEFQESTIGAAFFSQTLAVNDATVKFEIWDTAGQERYHSLAPMYYRGAAAAIIVYDITSPDSFTRAKKWVQELQKQGNPNMVMALAGNKADLEHKRKVIAEESRVYAEENGLFFMETSAKTAANINDIFYEIARRLPRAQPAQNPAGMVLIDRPAQGSGAASCCS >OIV89356 pep supercontig:LupAngTanjil_v1.0:KV862309:87409:92588:-1 gene:TanjilG_22691 transcript:OIV89356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPVSLPPGFRFHPTDEELVAYYLKRKINGRKIDLEVIPEVDLYKCEPWDLPGKSLLPGKDLEWYFFSPRDRKYPNGSRTNRATKAGYWKATGKDRKVNSQTRAVGMKKTLVYYRGRAPHGSRTGWVMHEYRLDERECEIANGLQDAYALCRIFKKSLVITPKVGEQYVNVTSHANHLTSDQSSSTKLYSQEREVLESSNYMIPWDTCSTQNMGGTSLNINGGTRDNGKWSPFLSENPLLNVPTSSFPNYGALPYPPSKVNIALECARMQHRFSMPPLEVEDFPQVGISELKMTQASGSMCGNTNRNEIDILQEILSVAQAQELVNQSTNNSQALMSDNENYYAPHDQSDDFTFMVNDNHTNDMNYLRYADQTWEDQNTRPVENGDFDEEFKSERMVENLRWVGMSSNNLQKNFMEEQKVVPIQHISTIQINREESDLQAESEQGNCIKELNDSDINDFSLGFINDNDPNENFMDDSNIDYSNSTGFEVLEETKMFVSSGPVAETFFHQIVPSQTVKVQLNHVMANNHSIEIAETKMTLKNKWVFYRKFKAMANTIVLIFALLMMHCVYLKEQVEHWKLDHKDVTKPAEEIKWNEQENIWSVGIKSGKGFSVFLKKIGIFLTISFALCTMWVNHIIVNH >OIV89354 pep supercontig:LupAngTanjil_v1.0:KV862311:48087:48401:-1 gene:TanjilG_23028 transcript:OIV89354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHRKIEMKLMENKSSRLVTFSKRKSGLLIQVEKDKKKELDKALSKYKFINGKLPIDNLSFEELVEFKASLVEAQDNMPASNDELEVASSLLLLRENGMYETGI >OIV89353 pep supercontig:LupAngTanjil_v1.0:KV862312:244420:244584:1 gene:TanjilG_23055 transcript:OIV89353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPNDAIKPEMKKKVVSGLHHCCLRMANAALAIDDALRRCRWRKIEEKDIKIRD >OIV89352 pep supercontig:LupAngTanjil_v1.0:KV862312:157207:157833:1 gene:TanjilG_23054 transcript:OIV89352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRTFFLFAFLLSTTSHVSPIDFCIADLKVARTPAGYPCKPPSTVTVDDFVFSNYLPGKNNIFNFSFTLASVDNFPSLNGLGFSMARFDIEEGGVVPMHTHVDADEVIIMLQGNLTAGFISSDSNTAFVKTISEGNIIVLPKGLLHFALNVGKGKASANVIFSSVKPSVQLFDVALFGNNLDSAIVEKTTFLDHAQVQKLKGQFKGSD >OIV89350 pep supercontig:LupAngTanjil_v1.0:KV862312:54467:56739:1 gene:TanjilG_23052 transcript:OIV89350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALRRLATMLSAITAAITLTVLVAALPVLAGDNNEAFSPCMDTTVQRSDGFTLGFAFAARDNFFFNHNNSLQLSPCDSRLALSNSNSQISVFRPKVDEISLLTVNSSSFVADSYGYMVAFAGRRYAARSIPVFVANGTYTVTSFTLVLDFAKGRLQNLYWKRDGCAKCSGNSKAVCLNNLDCALQTSSCKSHSGAVDCSLGIQLTFSGTDKHLSALNSWYEVKNLRQYSLYGLYSNLKDSLTSQYGKLF >OIV89351 pep supercontig:LupAngTanjil_v1.0:KV862312:98906:99535:1 gene:TanjilG_23053 transcript:OIV89351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMFRNFFLFAFLLSTTSHVSAIDFCIADLKVARTPAGYPCKSPATVTVDDFVFSNYLPGKNNIFNFSFTLAYVDIFPSLNGLGFSMARFDIEEGGVVPMHTHADADEVIIMLQGNLTAGFISSDSNTAFVKTISEGNIIVLPKGLLHFALNVGKGKASANVIYSSVKPSVQLFDVALFGNNLDSAIVEKTTFLDHAQVQKLKGQFKGSG >OIV89347 pep supercontig:LupAngTanjil_v1.0:KV862313:44051:44471:1 gene:TanjilG_23061 transcript:OIV89347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVERVVPLMEMALKSCIWGTSTREGDDLYMDGIRGRWRRLHGSDSERRNTSLFGRGLEKERSVLCDSGGKDERDMAVHWIRPHDYVASAGQWFSLSQSEALTGKGMAN >OIV89348 pep supercontig:LupAngTanjil_v1.0:KV862313:142704:145979:-1 gene:TanjilG_23062 transcript:OIV89348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIIVFLCLYLATNNYYIVDGRITSISQDQEDLDVETQLKLINKPPLKTIHADGYIVDCIDINKQPAFDNPLLKNHKIQLKPSFEVTSTKSTRLSSIGIEEDLCPEGTVPIRRTTKDDLIRTKYLSDMNARILNKDIRGRHYAGVKMKKDATRYLEIGGIIDTYNLPVQNPDQITSAYIYLSNGGSENDKNIIMTGWEVHPRVFGDGQTYFFTRWADKTRNKGCTNLICPGFVQIDKSFPLGTPVGPASTYNGKQREMSVAISHDPKTKNWWVRLQNKSLGYYPEILFSNLAFANLGGWTGMTSTPPGIPSPPMGSGHFPDKSLLRSCYIRQMYFRDNAFFNRVPEDMDAKPFTDSSCYDVKYNGWDAKVKGYLMLFGGPGGKCGE >OIV89349 pep supercontig:LupAngTanjil_v1.0:KV862313:256556:257083:-1 gene:TanjilG_23063 transcript:OIV89349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVHGDPVAVVVVRGDDGSWTRRLFAIGIPTSSLAPMKVNSSPSSLFVPYSIGFGKVCCLVFSVGESREDEAGMVKWFTAASDLLSRGSPKLIKIEERIECGFHRWNPNLHGGSRLWLLPVLRLASDNKMTSRMEIAFFYFGIPL >OIV89343 pep supercontig:LupAngTanjil_v1.0:KV862314:492:3205:-1 gene:TanjilG_23064 transcript:OIV89343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEEEDEDRMRRRIG >OIV89346 pep supercontig:LupAngTanjil_v1.0:KV862314:207230:208690:1 gene:TanjilG_23067 transcript:OIV89346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEKKFACKYCSKRFPCGKSLGGHIRTHMNEHSGQTKEEKSNAAMLKFDAMRKKRDSLGYDDGSGSGSGAAGNPTYGLRENPKKTMKFVHSNATNNVQQQFDKFCKECGKGFSSLKALCGHMACHSEKEKRKLVVDNQSDVETNSAARRSKRMRFNNISSSSSNNNNHLFSSLANGSSSVSEVEQEQEEVAKCLIMLSQDSTYNRSSRFAFFAEPSDNNSVVLEAKSPSLDTKFTAKNVQNSASNAYRFEEKKLQLHDKKFKSVEMGYQSHDSDSGYVRYGPKKPKKVDSADSNDGFFRSEVKSSKVGDMAAFDDYDVEFGKLASGDFQDGKEATRRFASKRRLIYDSLGSKKVANGCNNDETYKSKGWKYESLNTEKENGYDDDSAYESDENSTDSDSYPAAKPNSSKVLNGKKSSKGKKLKSKKNKEHECPICNRIFRSGQALGGHKRSHFIVGSEKNTIMIRPASPPPAPCLIDLNLPAPVDE >OIV89344 pep supercontig:LupAngTanjil_v1.0:KV862314:82484:82693:-1 gene:TanjilG_23065 transcript:OIV89344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRLNVRGFFVMIDSENKVDVLKKAVIDGEVYTLRMAEFFGDPFLCCAEGVGLSSKSFLKIATGVGCPW >OIV89345 pep supercontig:LupAngTanjil_v1.0:KV862314:121958:123406:1 gene:TanjilG_23066 transcript:OIV89345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKICCIGAGYVGGPTMAVIAFKCPEIEVVVVDIAAPRINAWNSDHLPIYEPGLDDVVKQCRGKNLFFSTDVEKHVAEADIVFVSVNTPTKTQGLGAGKAADLTYWESAARMIADVSKSDKIVVEKSTVPVKTAEAIERILTHNRKGINFNILSNPEFLAEGTAIADLFNPDRVLIGGRETPEGQKAIKALKDVYAHWVPDDRILCTNLWSAELSKLAANAFLAQRISSVNAMSALCEATGADVSQVSHSIGTDSRIGPKFLNASVGFGGSCFQKDILNLVYICECNGLPEVANYWKQVIKVNDYQKTRFVNRVVSSMFNTVSGKKIAILGFAFKKDTGDTRETPAIDVCKGLLGDKAKLAIYDPQVTEEQILRDLSMKKFDWDHPAHLQPSSPTTSTNKQVSVVWDAYEAIKDAHGICILTEWDEFKKLDYQKVFENMQKPAFVFDGRNVVDANKLREIGFIVYSIGKPLDAWLKDMPAVA >OIV89341 pep supercontig:LupAngTanjil_v1.0:KV862315:33654:37217:1 gene:TanjilG_23234 transcript:OIV89341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGIARGRLTEERKSWRKNHPHGFVAKPETLPDGTVNLMVWHCTIPGKAGTDWEGGFFPLTLHFSEDYPSKPPKCKFPQGFFHPNVYPSGTVCLSILNEDSGWRPAITVKQILVGIQDLLDQPNPADPAQTEGYHLFIQDAVEYKRRVRQQAKQYPAIL >OIV89342 pep supercontig:LupAngTanjil_v1.0:KV862315:190364:193395:1 gene:TanjilG_23235 transcript:OIV89342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWDLNDSPDQRIKLGEEESEEGCYSIKTSMDFDDDNNNNNNKGKGVGSVSNSSSSEVVIENGSEEEQEDEKMIKKSSKIFGFSVTHEGDDSMDNENNNMPQPVITTRQFFPVDETDVAAASDGGGGSGISSFPRAHWVGVKFCQSEPVGAGKSVEVSQPMKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVEADINFNIEDYEEDLKQMSNLTKEEFVHVLRRQSTGFPRGSSKYRGVTLHKCGRWEARMGQFLGKKYVYLGLFDTEVEAARAYDKAAIKCNGKEAVTNFDPSIYDNELNSESAGTTITADHNLDLSLGNSSSKLGNHIPNSSTHDQHISSESNWRNAGSIIKPKLVNILPKPYSNISNMETHSGESEALRMLSQTRLISSLPSNEIQRYGPYRPHGESQMLNNFAHLHPPNFHFLSSCNGRGHIGSDLSLSMSDQQQQQWQPGPPHYLATAAASSGFPPQIIRPSSQGWLQNNGFHNLMRPSS >OIV89340 pep supercontig:LupAngTanjil_v1.0:KV862316:60520:60870:1 gene:TanjilG_23236 transcript:OIV89340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRRWQRQGAEYFPTTRLLSRVPSLPFFGSVSCGGDCDSSVMSLQGGGSRWFGLLFSSTLMGCGSGDDGSWTRRLSAVGIPTSSLAPMKVNSSPSSLFVSYSIGFGTVGLLFGFFR >OIV89337 pep supercontig:LupAngTanjil_v1.0:KV862317:45468:45797:1 gene:TanjilG_23237 transcript:OIV89337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVTLFIVSPEIQKCVLGSDEVVSVLEGRVTREGVLLFWSWLGSMVLQLRWGRWTIDPILSFSFSGHRTDAVSSTTRLSRGGQGCWNKLTPLFLLLVHDLPNGMVRDKG >OIV89338 pep supercontig:LupAngTanjil_v1.0:KV862317:69938:70765:-1 gene:TanjilG_23238 transcript:OIV89338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKTERETHDFMNVESFSQLPFIRPAPAIKEKGIRLFGIEFGSGGGSYNNTIADESDSAETTTNNASEQDNNKDNNNNNSNSNNTENGESSSRRFECHYCCRNFPTSQALGGHQNAHKRERQHAKRAHLQSAMVHSSFSDPQLYGLMNYRFSSAPTSPMAYPTWNTNSLSAITATTGGGGGRFYNGTSSYSHHHHHQHQPINGSPLGLWRIPSGTTVQSSNPSFNHERSLLQHPLPLFSGEEINIRASQVGVSGSQNRYVYDSSKGEHVSLDLHL >OIV89339 pep supercontig:LupAngTanjil_v1.0:KV862317:121705:124088:-1 gene:TanjilG_23239 transcript:OIV89339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTREVYEEKLRNGNLHHDPTINPGLGSARCPRCLSLLNPNSEIREWTITPVLHDATAVAGSGLGGLLSAVHFLNKGLPYIQNRLKGPKWLPFVVGLPPLLIVSGAGAAFGVCNDEKFELNQGSRLGILLAITAGCMSHVCAVSCSNSSTVALLAFTHSGYGLDTPTKLTHFSPQKKTGSFLYLLCFLVILFHPTFFNSHKRPQTV >OIV89325 pep supercontig:LupAngTanjil_v1.0:KV862319:37353:40291:1 gene:TanjilG_23288 transcript:OIV89325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATVPFSFLRFDKIINKEIPSSIVYEDEKVLAFRDISPQAPVHVLVIPKFRDGLTELGKAEARHGEILGELLYAAKIVAEKEGILDGFRVVINSGPTACQSVYHLHLHVLGGRQMNWPPG >OIV89326 pep supercontig:LupAngTanjil_v1.0:KV862319:41910:48254:-1 gene:TanjilG_23289 transcript:OIV89326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDEGGAKAKARKPKPTTLSNSHALFNSTIRKPFLKQILFTFLLVFSLYALFNAFFNPTLDPASSVFLTAGVTSVKYPAVKVYLYDLPTRFTYGVINHHSLARGGSRAAGDKSSLKYPGHQHMSEWYLFSDLNRPDSERVGSPVVRVSDPEDAELFFVPFFSSLSLIVNPVRPAGSVPEKPPVYSDEENQEALVEWLERQEYWKRNNGRDHVIVASDPNAMYRVIDRVKNSVLLVSDFGRVRPDQGSLVKDIVVPYSHRIRTYPGGVSIDKRKTLLFFMGNRYRKEGGKIRDTLFQILENEKDVVVKHGAQSRESRRAASQGMHTSKFCLHPAGDTPSACRLFDAIVSLCIPVIVSDSIELPFEDTIDYRKIAVFVETASAIKPGYLVSKLRAFTSDRILEYQKELKELHKVAMPPLHLKPTMDEGGGAKKAKARKPKPTFLTNSHALFHATIRKPFLKQFLPILFLIIALYALFNAFLNPTLHATTTATAKNHAVKVYLYDLPTRFTYGIIHHHSLARGASRDAGDLSSLKYPGHQHMSEWYLFSDLSRPESERVGSPIVRVSDPDEADLFFVPFFSSLSLIVNPVRAPGSVQEKAPVYSDEKNQEALVEWLKGQEYWKRNNGRDHVIVASDPNAMYRVIDHVKNSVLLVSDFGRVRPDQGSLVKDVVVPYSHRIRSYQGDVSVDKRGTLLFFMGNRYRKEGGKVRDTLFQILENEKDVVVKHGAQSRESRRAASQGMHTSKFCLHPAGDTPSACRLFDAIVSLCIPVIVSDSIELPFEDTIDYRKIAVFVETASAIKPGYLVSKLRAFTSDRILEYQKELKEVKRYFEYDELDGAVNEIWRQVSRKLPLIKLMINRDKRLVKKEPDCSCICVNQTVLR >OIV89329 pep supercontig:LupAngTanjil_v1.0:KV862319:96132:97394:-1 gene:TanjilG_23292 transcript:OIV89329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSYFFLTIFLSSGIGFFGHVASFGINYGQIANNLPPPEKVLELFSYFKITKTRIYDTSPQILNAFANSNIEVIVTIENGILSQLNDPQQALQWVSDHIKPYLPDTKITGIQVGNEIFSDGDISLIHSLVPALVNIHNTLAHLGIGSNIHVSTPCSLSVLQQSYPPSAGSFKSEITEIMHQFLDFLSRTKAPFWINAYPYFAYKDAPSEISLNYVLFNPSEGMVDPNTKLHYDNMLYAQVDAVIFAIAKMGFNGIEVRVSETGWPSKGDPNEAGATVQNAATYNKNLLRRQMANEGTPLRPRMRLEAYLFALFNEDMKTGPTSERNYGLFEPDESMTYNVGLSAFATKSASTTSIFLTSSATNTKVKP >OIV89333 pep supercontig:LupAngTanjil_v1.0:KV862319:175554:178587:1 gene:TanjilG_23296 transcript:OIV89333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDSFSTFHSTTPNSTLNSVAAPRMTTISSMVQPCCICSDCNHILTFNPHAAHLSDQGTSNTGFNVKGSQQSSRWSPTPVQLLVLEELYRQGTKTPSSEQIHQIASQLRQFGKIEGKNVFYWFQNHKARERQKRRRLEMEETACTQGLKGTGCGVKETKKWASTSNCSEHAEEPATLDIAEKGSNWWTQFEERGIHILRTNTAENPAKCPNMEMPCLTPITTYVSAHTTCNNTQPLTPQNYNLPVELNKESFNYYDDEENAYPRTLELFPLKRDDQDERKSRFYDNAVSMDTEINSNHFFEFLPLRN >OIV89321 pep supercontig:LupAngTanjil_v1.0:KV862319:2106:4268:1 gene:TanjilG_23284 transcript:OIV89321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRQLWASRAASYLRISVFNRPFSTVLKDLKYADSHEWVKVDGNSATVGITDHAQDHLGDVVYVELPEVGATVTQGEGFGAVESVKATSDVNSPVSGKVVDVNEELSSSPALVNSSPYTKGWIIKVEVSDNGELNNLMDSDQYSKFCEEEDSNH >OIV89328 pep supercontig:LupAngTanjil_v1.0:KV862319:89879:92422:1 gene:TanjilG_23291 transcript:OIV89328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENIPGSSFLEGYKQFWSQRFSFLSNYSQFVKHDQPIRSWSSSDVEEFIASDPVHGPVLRTAREAVQFGLTGSALGAVYTAGFAWKYSKSLHGAALSFVAGGVFGWTFGHEVANHAFQLYRVDTLAAEAKFLEWWKTKTQGY >OIV89330 pep supercontig:LupAngTanjil_v1.0:KV862319:102968:104174:1 gene:TanjilG_23293 transcript:OIV89330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGVLGLLKLRIKRGINLVARDTRSSDPYVIVTMAEQKLKTDVVKDNCNPEWNEELTLCIRDVNIPIQLKVCDKDTFTVDDEMGNADIDIKPYLHCVKMGLTDLPDGHIVKKIQPNRTNCLAEESSCIWKNGKLIQEMSLRLRNVKSGEVIVETEWVNLPDSKGLSEVEF >OIV89336 pep supercontig:LupAngTanjil_v1.0:KV862319:210917:211210:-1 gene:TanjilG_23299 transcript:OIV89336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEPGQDEASMQLDRAKVKIDRVKLKIHRTPPPPHDREKINLDRGIMARDRRNKEPMQNSASPSTHQPGALHNTLTVAHRPWRIDSNRGAKGLMHQA >OIV89334 pep supercontig:LupAngTanjil_v1.0:KV862319:195355:199466:-1 gene:TanjilG_23297 transcript:OIV89334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIVGNLSPDQLQFFNSQEKAFGDDGNLKQPKQLSINKVGHALHEIEPAFKKFSFSEKFSSLMYSLGYKRPAILQSMYIFKQPGIGGEVVPHQDSSFLYTEPETCTGLWLALEDANIINGCLWAIPGSHKNGLVRRFVRDEDGVKFDRPSPSYDRKDFVPIDVKAGSLVVIHGDLIHQSFENQSPKSRHAYSLHVVDTDGCKWAPENWIRRKVEPEPLYIPGKFETLIDVKCVDTL >OIV89324 pep supercontig:LupAngTanjil_v1.0:KV862319:34066:37099:-1 gene:TanjilG_23287 transcript:OIV89324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANFVLHVPVNLRCFTVSASSSSNGAPPGGSGSGGGGGPVIVELPLDKIRRPLLRTRTNDPNKVQQLMDSISEIGLQVPIDVLEVDGAYYGFSGCHRYEAHQRLGLPTIRCKIRRGTKETLRHHMR >OIV89323 pep supercontig:LupAngTanjil_v1.0:KV862319:17561:32620:1 gene:TanjilG_23286 transcript:OIV89323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKSRQKSSNQDSSLSPTAVRSREGNGLSRWTDYLGTETTSPMSSTSSRNMYNEGQSQSTTPAQSHKGLNVQWVVQLTEVAEGLMAKMYRLNQLLDYPDPINHVFSEGFWKAGVFPNYPRICLLLSKKFPEHFSKLQLERIDKVAWDSLQDHAELHLQGLEPWVELLLDLMAFREQALRLILDLSSTVITLLMPRKMLLQTYNLLHAMSRNERDCDFYHRLVQFIDSYDPPLKGLQEDLNFVSPRIGEVLEAVGPIIFLSTDTRKLRNEGFLSPYHPRYPDILTNSAHPMRAQDLANVTAYREWVLFGYLVCPDELLRVTSIDIALVVLKENLVLTLFRDEYILLHEDYQLYVMPRILESKKMAKSGRTKQKEADMEYNVAKQVEKMLSEVNEQAIFSCDPIHHERRILLKQEIGRMVLFFTDQPSLLAPNIQMVFSALALAQCEVIWYFQHVGIASSKSKTSRVVPVEIDPNDPTIGFLLDGMDHLCCLVRKYIAAIRGYSLSYLSSCAGRIRFLLGTPGMVALDIDANLKGLLQKIVHHLENLPKPQGENISAITCDLSDFRKDWLSILLIVTSSRSSINIRHLEKATVSTGKEGILSEGNAAYNWSRCVDELESLLSKHGSLRKLYFYHQHLTAVFRNTMFGPEGRPQHCCAWLGIASSFPDCASPVVPEEVTKIGRDAVLYVESLIESIMGGLEGLINILDSEGGFGALENQLLPEQAASYLNYASRVSNLSFKSPKGVAGFPLPGHESFPENNSSIKMLEAAMQRLTNLCSVLNDMEPICVLNHVFVLREYMRECVLGNFRRRLLGVLKTDNDLQRPSVLESLIQRHVSIVHLAEQHISMDITQGIREVLLSEAFSGPVSSLHLFEKPTDQHTGSATESVCNWYIENIIKDVSGAGILFVPIHKCFKSTRPVGGYFADSVTDLRELQAFVRIFGGYGVDRLDRMLKEHTAALLNCIDTSLRSNRDVLEAVATSLHAGDRIEREASIKQILDLETVVDFCVQAGLALAFDRLLAENSGAILEEGAPLIHSLLAGVVKHLPDGVPEKEEIKRMRMVANTVGLVSDHDSIWVRSILEEVGGASDGSWSLLPYLFATFMTSNIWSTTAFNVDTEGFSNNIHCLARCISAVIAGSEFVRLEREYEHRQSLTNGHGSEGMDPEIIGHMSAEASIKSTLQLFVKLSADIILDSWSETHRSHLVAQLIFLDQLCEISPYLPRSSLEKHVPYTILRSVYSQYYADTQTTPLSMLNASPSQSPAVLLGHASPASKHSRGDSTPHHYSNDSGHFKGSSSSHSQEHLYDADSGNLRGMDNKQRNTRRSGPLDYSASRNKVKSVEGSASGSTGPSPLPRFAVSRSGPLAYK >OIV89335 pep supercontig:LupAngTanjil_v1.0:KV862319:200854:208040:-1 gene:TanjilG_23298 transcript:OIV89335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQYNRLPNSGHSTPSPPQSPLRSPRLRHQRSSKTARFSPVQPPGRTAAQRFAWAFLSVLLRRQALFLFAPLIYISGMLLYMGTASFDVVPIIKHRHAPGSVYRSPQIYAKLRSDMNSDNSSVDAISTIWKSPYKGGRWKPCVNRSSKGLPESNGYIYIEANGGLNQQRTSICNAVAVAGFLNATLVIPNFHYHSIWKDPSKFRDIYDEEYFIETLENDVRVVDKVPEYLMERFGSNMTVVHNFRIRAWSSITYYRDVVLPKLLEEKVIRISPFANRLSFDAPPAVQRLRCLANYEALRFSSPILTIGESLVERMKKRSAINGGKYVSIHLRFEEDMVAFSCCVFDGGKQERDDMIAARERGWKGKFTKPGRVIRPGAIRINGKCPLTPLEVGLMLRGMGFTKNTSIFLASGKIYNAEKTMAPLLEMFPNLQTKETLASEEELAPFKNFSSRMAAIDYTVCLHSEVFVTTQGGNFPHFLLGHRRYLYGGHSKTIKPDKRKLALLFDNPNIGWRGLRRQLLNMRSHSDSKGVELKRPNDSIYSFPCPGCMCPANRIEGSRSPANRTEGSRSSAIRTEGSRSSANRTEGSRSSANRTEGSRSSANRTEGSRSSANRTEGSRSSSTT >OIV89332 pep supercontig:LupAngTanjil_v1.0:KV862319:161683:162090:1 gene:TanjilG_23295 transcript:OIV89332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRVRTKTVKKSSRQVIERYYSRMTLDFHTNKKVLEEVALIPSKRLRNKIAGFSTHLMKRIQKGPVRGISLKLQEEERERRMDFVPDVSAIHTEHIEVDKETLEMLHALGLNDVPGIVQVDPTPVQPNFGFGRRY >OIV89327 pep supercontig:LupAngTanjil_v1.0:KV862319:51773:52500:-1 gene:TanjilG_23290 transcript:OIV89327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSAAFNERLHHMDRTRIQRLSQLQAEKELQSNKSLILASMLAKIRAMEQRCLMLDHKIASQSFKILSLKSQIENLEVKYESVSQELRSLQDEVEELEELHKKKDGFYESKRIEMKEFKETAEKFVAKCQMEVASLRNRVNEVSGDHNLMEYFFIVVATCYNN >OIV89331 pep supercontig:LupAngTanjil_v1.0:KV862319:105404:109294:1 gene:TanjilG_23294 transcript:OIV89331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSTSPNFSTNLQNSTWKTNFPSFPGKKSLHTKLGFSFSVSFNKSINAKSYGTEKYGEVVVDEEMDKIRRLQNGSDVRGVALEGEKGRAVDLTPPAVEAIAESFGEWVINGLEKQQGYPVENVRVSLGRDPRISGAKLSVAVFAGLARAGCMVFNMGLATTPACFMSTLLPPFIYDASIMMTASHLPYTRNGLKFFTKRGGLTSAEVEEICEKAARKYANRLAKVSTLLNVLPTKVDFMSTYAKHLREIIKEKVNHPLNYDTPLQGFQIILNAGNGSGGFFTWDVLDKLGADTFGSLHLKPDGMFPNHIPNPEDKVAMAVTRAAVLENSADLGIVLDTDVDRSGVVDNKGNPINGDKLIALMSAIVLRENPGSTIVTDARTSMALTRFITDRGGQHCLYRVGYRNVIDKGVQLNKDGIETHLMMETSGHGALRENHFLDDGAYMVLKVIIEMVRMKLEGSDEGIGSLIQDLDEPYESTELRMNIISEPRYAKAKGSEAIETFRNYIEEGRLKGWELDSCGDCWVSEGCLVDTNDTPAAIDAHMYRVKVFDDEHGEHGWFHIRQSLHNPNIALNLQSSIQGGCWSMARAFRDEFLKASGVDAFLDINQVEKFAENGPIA >OIV89322 pep supercontig:LupAngTanjil_v1.0:KV862319:8474:12934:1 gene:TanjilG_23285 transcript:OIV89322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHYGRNVILSESGKLKVINDGVTIARSIELSDAIENAGAMLIQEVASKMNDLAGDGTSTAIILARAMIKSGLSAVAFGANPISLKKGMEKTVKELVKFLKNRSVPVKGRDHIKAVASISAGNDEYIGNLIAEAIEKIGSDGVISIESSSSSETSVIIEEGMKFDKGYMSPHFITNQEKSIVEFDCAKVLVTDQKISSVKEIIPLLEKAMQLSAPLLIIAEDVTGPVLETLAVNKAQGLLRVVVVKCPGFGDAKKAWLQDIALMTGADFLCGDLGLTLESATSDQLGTALKVTVTSNATTIIADPGTKAEIHARISQIKKDLIGTDNANLSRKLSERIAKLSGGVAIIKVGAHTEVELEDRKLRIEDAKNATFAAINEGIVPGGGATYIHMLDLIPTIKNSLEDLDERIGADIVAKALLEPAKSIATNAGVDGDVVVEKTRTLDWRTGYNAMTDTYEDLLNAGIADPSRVARCALQNAVSVAGVVLTTQAILVEKTKKPKPAVPMIPGISP >OIV89320 pep supercontig:LupAngTanjil_v1.0:KV862320:199683:199958:1 gene:TanjilG_23313 transcript:OIV89320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSSLKLLFLVVLLIVAVYEGVARTCKTDADCGSLPDICDGNPPYFLICTRSGRCGCKR >OIV89319 pep supercontig:LupAngTanjil_v1.0:KV862320:42027:43977:-1 gene:TanjilG_23312 transcript:OIV89319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTKKVCKKVKIRKEMMRYMKLQKYIIPDVGKKKDVFAILNDAWRRHKCAIKEEHFSKYKTTYERLKNHLKDIPECATKANKEMPNQAEMFCETRQSKKGESLDQETTNAVAQLKDLIENSSQQPGETFQSVFGKEKPRRVRCNGRVTTPTLLKRTEEIAKIEKIHVDELKLLNDKVEEIDAKYKQEMSSMEQNFSNFAKECHKSKQLRSRCGGISNNVINTGYFYVDTCSKY >OIV89312 pep supercontig:LupAngTanjil_v1.0:KV862321:20712:23446:1 gene:TanjilG_23709 transcript:OIV89312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNLLWRRRLFRFLQQSLPSCPHLRSSTIHGPPILSVKASEILCHSLHNSHLPALKSSRSFCSRSSNLVDESQGPTAIDYHSLLQEGEFHRLADSTIHSLQEKLEDYGDSVEDDGFDIDYGNDVLTIKLGDLGTYVLNKQTPNRQLWLSSPGIHSNPFIVHLGAQCNINVACSMTWMEVMVLK >OIV89314 pep supercontig:LupAngTanjil_v1.0:KV862321:39697:43491:1 gene:TanjilG_23711 transcript:OIV89314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLAVASPKWLNNSLQVLTSITCFLVFLIFDLLDAVFCVIFRYLDEHIEGEAFPCCCGRKKEKILRDDEDDGVSDSLYKRKSIFREMGFLQFERKRQIFNRKCGEVSSERAMNLWSDCGCESCLSWVVNEGDYKLHFVVKEPLTATGENCREKASENVIFLHGFLCSSSFWTQTVFPHFSEQVNHDYRLIAIDLLGFGKSPKPRDCLYTLKDHVEMIEKSVVQPLQLTTFHIVAHSMGCIIALALAAKYPECVKSITLTAPPYSPSEGNEACLNALAKLAGKKLWPPLSFGSSFMSWHEHLGRCVCLVFCRNHRIWEKVLKVITRNRDLHFLTIDLTRHTHQSAWNSMHNVICGGAKYMDSYLKILSKSGVRINVIQGDNDKVVQMECCNNLKLMAPNAEINIIPNADHGTVIFTREKEFAHRLELTWGVFQTALYHDF >OIV89315 pep supercontig:LupAngTanjil_v1.0:KV862321:96688:101607:1 gene:TanjilG_23712 transcript:OIV89315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFLHTPEYHVVVGVAVAIVAVGVGAFYLYSSKKPKGCLDPENFKEFKLVKRDQLSHNVAKFRFELPTSTSVLGLPIGQHISCRGKDGQGEDVIKPYTPTTLDSDVGYFELVIKMYPQGRMSHHFREMRVGDYLAVRGPKGRFKYQPGEVRAFGMLAGGSGITPMFQVARAILENPKDETKVHLIYANVTYEDILLKEELDGLATNYPDQFKIYYVLNQPPEVWDGGVGFVSKEMIETHFPAPAHDVKTLRCGPPPMNKAMAAHLDAIGYAPEMQFQF >OIV89317 pep supercontig:LupAngTanjil_v1.0:KV862321:211825:212064:-1 gene:TanjilG_23714 transcript:OIV89317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKTELEEEVVDSDSMESEDGETWLEMNSGLGEDGGSEDIDDDDVATILTRVHEFQNIGKEKEDGDISPKTLRSDTVKE >OIV89318 pep supercontig:LupAngTanjil_v1.0:KV862321:218070:219627:-1 gene:TanjilG_23715 transcript:OIV89318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRPPCCDKVGIKKGPWTPEEDIILVSYIQEHGPGNWRSVPTNTGLSRCSKSCRLRWTNYLRPGIKRGNFTAHEEGMIIHLQALLGNKWAAIASYLPQRTDNDIKNYWNTHLKKKLKKLQVALDPHLASDSTTTGHYPSKSFNDTKNHDICSNNHASSLKPQIHESQSSTYASSTENISRLLEGWMRTSPKQPLKETQEEEEEEEEEEGFQSNDMSENSKMVKSASVPAALNLQLHPKDEHEGGGDMVSHEEFDSILSFENLNNAPWDKSTCDSMPEKGYVVGAEFGEKNRLTSENSNAPPLSFLEKWLLDENVGHVDEMMELSPMF >OIV89316 pep supercontig:LupAngTanjil_v1.0:KV862321:140949:144018:1 gene:TanjilG_23713 transcript:OIV89316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITWLFVLHSTSSEDTPLSIDHNLLPNSKHFSTFDDSSSVAKDQISESSIANRAILLVDNENVEANYKTKQIPETNDNVKCSSMHAKVVLKVFMYDLPSEFHFGLLDWKPEGKSVWPDIKTKLPHYPGGLNLQHSIEYWLTLDILVSELPESASNSRTAIRVHNSSEADIIFVPFFSSLSYNRYSKMNKVLQDKLVKYLTAQEEWKKSGGRDHLILAHHPNSMLDARKKLWPATFILSDFGRYPPNIANVEKDVIAPYKHVIKSYVNDSSSFDSRSTLLYFQGAINRKDGGHARQQLYSLLKDEKGVHFSFGSAQRDGIRKATEGMQSSKFCLNIAGDTPSSNRLFDAIVSHCVPVIISDDIELPYEDVLDYTKFCIFVRTRDALKKKFLINFITSIGRDEWTRMWNKLKEIENFFEFQFPSKEGDAVQMIWQAVARKVPFIRLKMNRSRRFFRSLHGKGMGLKSIL >OIV89313 pep supercontig:LupAngTanjil_v1.0:KV862321:30040:36905:1 gene:TanjilG_23710 transcript:OIV89313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAAPRLLFHLTTPFTLLRHHKFILLSPSFSHLHRLSSSLSSPSPLKLKPSQHHQHFQTHASSLTSEPFSSDSSPFLHPWSEWSTFLRHITSTASANQTAAEDAFAAHVQLSRKFLSEATACLAFARQRPNLLRLLSMRDIAVVVEHGTPFLFHDSDESARKMKYFVSKSDATVLDTDRANLVDLMKFMLSYASNPLASSERSNLYNRDLVESSVRNLFGELFKLSYSAPGPNSSDAVQNQMPSRFGQTVPTGPKIEMKRGDWICTRCNFMNFSRNVKCLECEEARPKRQLAGGEWECPQCDFYNYGRNVTCLRCDCKRPGQISFGATATNSMSHMGYGNGDSNNTSDVDSRLAANEEKAQRWFSKVSQLDSSADINNVIADEDFPEIMPLRKGVNRFVVSTRKTSLDRRLANAQYRRNLGNDGTPGVDDFKAGESTKSNDTLDDILGRSAGITHNTSIEDARTVPPFTLSADMLAQKSENMITEESKGVVLATGVGYTGSSGSSQLSNNSTSQNVTEDKEKEQAEKSERWFRKVAELHDVSDLTSAISDEEFPEIMPMRKGENRFVVSKKKDRSLTSPAYKRRLAMEQAGNTNFVPFVPFPPDYFAKKETHQADRTDSANRSNVESSSKSEVAEKPSDDARAGPGFPTADYAQGMTNTETLSGVPCNSPKMLDDAKPGLGRREEIGNQDISLKSSNLKPSEQSSNNNNVGSIYGAATSGNSNSRQIFNQEDFSNKYSRNENNDHKPKLTGNSFPSYENQSVRAQWTGKSLEGSAVKEPDPLDMSEEAKAERWFRRVAQIKDISELSQIPDEDFPSIMPMRKGVNRFVVSKRKTPLERRLTSQQYRRNLPVVSSEPVKNENNEGS >OIV89311 pep supercontig:LupAngTanjil_v1.0:KV862321:4582:5931:-1 gene:TanjilG_23708 transcript:OIV89311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLMGYLLPSDLVNTLRRLEVDFILLAGYLILIPVELIRAYQRSIFNIHPSLLPAFGGKGFYGMKVHKAVIASGASVLFPCLLMIPLRSWLQGFSEEQRLYVEVVKALCEEHVVWREDGVPLIRSKENPNDFS >OIV89302 pep supercontig:LupAngTanjil_v1.0:KV862322:16765:17165:1 gene:TanjilG_23716 transcript:OIV89302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASACSRIAQRSTISSIKSAIKSNIRTSSFSKPATTSTPLRRSFLSRIAPELGCLQSMLPLHSAVAVARMTSNLSTTSRSCRALSQGILCCTSPGL >OIV89305 pep supercontig:LupAngTanjil_v1.0:KV862322:89315:92005:1 gene:TanjilG_23719 transcript:OIV89305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLPDLSVKKKGKQKVVSKDTHVSEALDKLREQTTEAVKGLEFLSGPKPGGDDLGNDAMMEDWVKQFEELAGSQDMESIVETMMQQLLSKEILHEPMKEIGERYPQWLEEHKATLSKEDYERYSQQHELIKNLNEVYEKEPGNFKKIVELMHKMQECGQPPNDIVKELAPDFDLASLGQL >OIV89306 pep supercontig:LupAngTanjil_v1.0:KV862322:96113:99549:-1 gene:TanjilG_23720 transcript:OIV89306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTAARPTWAPAKGGNEQGGARIFGPSQKYSSRDIASHTTLKPRKEGQDTNEELKRRNLRDELEDRERRHFSSKNKSYSDDRDYGKGSHLLMEGPRRDFEDRIVPRNVDADDSDIEVKSDAESDDDDDDEDDTEALLAELEQIKKERAEEKLRKDRQEQEEELKVKEAELLKGNPLLNNPTSFNVKRRWDDDVVFKNQARGENKVAKRFINDTIRNDFHRKFLQRYMKTWINVLVNARIWLSYLTSLCLVVENL >OIV89309 pep supercontig:LupAngTanjil_v1.0:KV862322:164661:169725:1 gene:TanjilG_23723 transcript:OIV89309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGKAYVSRELDAKNTKILEGLLKMPENKECADCRNKAPRWASVNLGIFICMQCSGIHRSLGVHISKVRSTTLDTWLPDQVSFMQFMGNEKSNKHWESELPPNFDRSRLGIEKFIHAKYVEKKWASKVGIQAFSKSAETSCNFNESPACGARSGILKNSRKLSLEESVLASHMAQILPPVTRSRGGSLDMLKKNSAPLRRPSASVDFDKSMGKSNGTADLFSLLCIDDDKQNLSTLPPSSWAKFD >OIV89310 pep supercontig:LupAngTanjil_v1.0:KV862322:184801:188773:-1 gene:TanjilG_23724 transcript:OIV89310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYARGGRGSHSPGDHHQHDHDEGSDSQRRNKRYHRHTSNQIQRLESMFKECPHPDEKQRMQLSRELGLAPRQIKFWFQNRRTQLKAQHERQDNSTLRAENDKIRCENIAFREALKNCICPNCGPAPLNEDSYFDDQKMRLENLHLKEELDRVSSIAAKYIGRPISQLPSILPIHMSPLELSMGTSPFGIQQGLFGIGGDINSSLDLDLLQGTCSNAMPNFPYQPGSLSEMDKSHMSTIATNALEEFLRLLHTNDPLWIKSATDGKDVLNLEIYERMFPKANTHLKNPNFRVEASRDSIVVMMNSSNLAEMFTDANKWRELFSTIVSAARTIEVISSGIMGGNSGSLQLMYEELQVLSPHVSTREFYFLRYSQQIEQGTWAIVDVSYDFPQDNQFAPQFRSHRFPSGCLIQDFSNGQSKVTWIEHVEVEDKTLPHRFFRNLIYSGLAFGSERWLATLQRTCERIACQMVTPNPTRDLGGAITSSEGKKSTMKLAQRMVTNFCANISTSNGQRWTILSGLDEVGVRVTVHKSTDPGQPNGVVLSAATTIWLPISPHIVFNFFKDDRKRPQWDVLSNGNPVQEVAHIANGSHPGNRISILRAFNTTQNNMLILQESCIDSSGALVVYCPVDIPSINIAMNGEDPSYIPLLPSGFSISHDGQHDQGGVDGASTSSNTSTNIGRSGGSLITVAFQILVSNLPSAKLNMDSITTVNNLISTTIHQIKASLNCPSS >OIV89304 pep supercontig:LupAngTanjil_v1.0:KV862322:47162:48046:1 gene:TanjilG_23718 transcript:OIV89304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIHKCKLCSRSFSNGRALGGHMKAHLATLPIPPKPQTFQHPFESASFSYQSQPSQEEEQHVVESHEKAMSYGLRGNPKRSLRYSYPEFSFNNNGFVAQDRESESESKKPTGQRSKRNRKSRLYKGLEQEPKMFKPSFLESPPLSSVSDFSPEEDVAMCLMMLSRDKWESNLVFEGEGNQVTEAEEEIKLKKRIGVKNECENCGKKFRSFRALGSHRSICCSDKRSDKIFECPFCFKVFGSGQALGGHKRSHLMQNSSSTSIANSKKESFLDLNLPAPIEGEDDLSIVSDVSNA >OIV89308 pep supercontig:LupAngTanjil_v1.0:KV862322:149487:152293:1 gene:TanjilG_23722 transcript:OIV89308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNGELLSIEPLELKFPFELKKQISCSLQLSNKTDSYVAFKVKTTNPKKYCVRPNNGIVLPRSVCDVMVTMQAQKEAPADMQCKDKFLLQSVKTYDGASPKDISADMFNKEAGHVVEETKLRVLYVSPPRPPSPVAEGSEEGSSPRGSVSENGTVNVSDFTQPLQVAKGFTEQPEALEKSAEARALISRLTEEKNNAIQQNSKLRQELYLVLGELVNIDFLMWESAVITKIEFLSGIYQFDGLRNSHIL >OIV89307 pep supercontig:LupAngTanjil_v1.0:KV862322:121376:127460:-1 gene:TanjilG_23721 transcript:OIV89307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNVVFQLKLHPLTGNSEWVVIQEDQNDDVQQPLLATTSYLDMLNDSARNRAYRQAIEKTITKPSHVLDIGAGTGLLSMMAARAMGSKGRVTACESYLPMVKLMKKVMRVNGMGGRIKVINKRSDELQVGGDIPSRADVLVSEILDSELLGEGLIPTLQHAHDNLLSENPLTVPYRATTYGQLVESSFLRQLHDLHNNEASVPDDICLTPPGLEGVLSVKRQQYAMHCDPIRKEIKLLSEPFKIFEFDFWKRPESYGESELCVKATNDGRVHAVVSWWVLQLDREGTIYYSTAPRWISSATTTSPIGWCDHWKQCVWFVPGNGISISKGEEICLHATHTDTTISYNFDTRVSTSEVSHHGMTAENFQLVLSPERAAIYGDKAWRLSMLKAVESVLQGRVRSLCLVADDSVFLPLLVAHLSEASHVISLLPGLKERGLQYLLGVAHDNHLSPNHIEVLGKRAKQVTLDDTDQKKVDLLIAEPFYFGNDGMLPWQNLRFWKDRTSVDSILSEDALIIPSKGILKACAISLPDLWKSRCCLSKIEGFDHSVVLSEAFDVMEFDFSKQIHQCEGKSKVNFTKSGVCHGFVLWIDWVMDLQNSVVISTGPDKRYWKQGVKLLATPKTVGPEISKNAQACYSAVLEAIFNPSNGDLKIVHEFL >OIV89303 pep supercontig:LupAngTanjil_v1.0:KV862322:23070:26985:1 gene:TanjilG_23717 transcript:OIV89303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGMVEDASIIGGLIGVQFVYAGNAVLLSYLLSLGLNSLTIVIFSSFATFLVLIPVAFYYERSIWPKKFSFKLFIQILLLSFGGVTLFQSLFLKGINLTSPSMGTAMPNLAPGLIFVIALTFRMEKVDLSCTYSKVKIIGTLLCVLGALTMSIMSSISISAPNKEEATIQLLSSPPLPPDILFDRQKIFGCLYLLAAVFILSSNIILQAFTLGDFPAPMSLCAITAFFGACMTAIAQLLQDHEFKTSWPLVSLRDLIAYSLLTGTVNGICLSFHAWALKKRGPVLVSMFSPISTVCSVIFSYVTLGDTINIGSFAGMILMFTSLYFVLWAKGKEGYASGDALQSDFDAEKLLLS >OIV89301 pep supercontig:LupAngTanjil_v1.0:KV862323:181226:219670:1 gene:TanjilG_23727 transcript:OIV89301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVESGACACVLMMMVGENGGDGGGACTFVLEIVVVGCDACACAPKIVVGENGGGGGGASAPVLEIVVVGSGAYACVLEMVVGENGSCDSGACTDVLEIVVVGSDAYACVLEMVVVTSGAYACVHEMVVESGVCVFVHEMVVVESGACACFHEMVVVESAACRESSEMGVESGACACVLKIMVRENGGDGGGSCTFVLEIMMVGSGACVCALKIVAGENGCGCGGACASVLEIVVVGSGAYACVLEMVVGENGGCDGGACTYVLEIVVVGSGACACVLEMVVVTSGAYACVHKMVVESGGCACVHEMVVVESGACACVHEMVVVESGACACFHEMVVVESGACRDSFEVLLMEEIMVVGIGACTCALKIVVGENGGGGGGACASALEIVVVGSGAYACVLEMVAGENGGCDGGACTYVLEIVAVGSGACVLETVVVTSGANACVHEMVVESGGCACVHEIVVVEGGACACVHEMVVVESGACACFHEMVVVESGACRDYCEVLLMEGVESGACACVLKLMVGENGGDGGGACTFVLQIMVVGSGACAFALKIVVGENGSGGGGACASVLEIVVVGSGA >OIV89299 pep supercontig:LupAngTanjil_v1.0:KV862323:78594:79117:-1 gene:TanjilG_23725 transcript:OIV89299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFTFHQRQEQEPQSPPQPSEANEVYLPSNSSGKKPYKPPLIPIPVIVSPLSSPRQEEEPQFPPQPSEANDIYLPSKSSGKKPYKPPLIPIPMIVSPPSSPGQEHEPQYPQQPSNENGVYLPSKSSCKKPYIQPLIPIWMIVTPPSYPV >OIV89300 pep supercontig:LupAngTanjil_v1.0:KV862323:107738:108208:-1 gene:TanjilG_23726 transcript:OIV89300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVSPPSSPLQDFEPHSPSQPSEANDIYLQSKSSRKKPYKPPLIPIPVIVTPPSSPVQDLESHSPPPSSEVNDIYLPSKSSRKKPYKPPLIPIPVIVTPPSSPGQEQVPQYPPQPSDANEVYNPSKSSRKKPYKLPLIPILVIVSPPSSPGQEQEL >OIV89298 pep supercontig:LupAngTanjil_v1.0:KV862324:98722:107927:-1 gene:TanjilG_23728 transcript:OIV89298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVMAVKNLGETDPVAVSPENDGPARELPSAAGVYAVYDKDNELQFIGLSRNIAASVLAHRQSVRELCGSVKVGVVNEPDREALTQAWKSWMEEHIKVSGKVPPGNESGNATWVRQQPKKKPDLRLTPGRHMQLTVPLEDLIDKLVKENKVVAFIKGSRNAPLCGFSQKVIGILENEGVDYESVDVLDEDYNYGLRETLKKYSNWPTFPQIFVNGELVGGCDILISMHEKGELASLFKR >OIV89297 pep supercontig:LupAngTanjil_v1.0:KV862325:117880:122227:1 gene:TanjilG_23731 transcript:OIV89297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVDMLGCGYFENDEIDLLDLVLGTEIGRPAIENQQNVRDDLVNEGSMASDFVNSEPMREEQVQNAVKFLSHPKVRGSPVIHRRSFLEKKGLTKEEIDEAFQRVPDSPSSVQTAGVNQDGQLAPSSNIQQQTQPQALQPGVSANTGVTTLGTLSRFSFRWSHALIAVGLLVASGAGTVMLIKNSILRRLKSWIRVVVLEDDQSTRKDLKPTSAEEAAQAAKAAAVAAADMAKASQEILASKSEDRRYFVEVVSLLDKQVQEMKLMTNGIRRLEASDGVSVSKREDRQVTQTSSKIQQLIVNGKEDNELHSVRSSSQPASAPHPKSYMEIMAMIQRGEKPSNIRDIDDSPPNPNQQPSKPRLAPRTKPWEVGQQVQVNGKDLNSSVQDTGYNPVPWWQTKNVRISEIDNETEDEEAPNGAHSSEQPVQHVWVPPQPPPILMPDAVEAIGHPKLVVPTEQMSDDQSVAHSSDIYDEAETLKTIQI >OIV89295 pep supercontig:LupAngTanjil_v1.0:KV862325:72086:74250:1 gene:TanjilG_23729 transcript:OIV89295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKSLSKSSETSTQYDSPIHWDPTDSPECYRSPENSPGKHVDNFKAIVSIDKLRQAIPDKSFAKQQQNPPPAENAHQATVVINRPNRDDLAPRPVRKSGPAIGGGECRSSSAGWKKEEDMRKVALGFRLSEVVVCAISFSVMAADKTQGWSGDSYDRYKEYRYCLIANIIAFAYSGLQACDLASQLVTGKLLINHHLRYHFDFFMDQVLTYLLISASSCAATRVDDWQSNWGKDDFTVMASASVGMAFVAFTAFAISSLISGYILCKRNSM >OIV89296 pep supercontig:LupAngTanjil_v1.0:KV862325:106238:109265:1 gene:TanjilG_23730 transcript:OIV89296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGMITNGVRDEEGDNELNGNHSPSKENSTPIKSPRSSLSPRRRESAVPNSVNDGVVEPSIEQLYENVCDMQSSDQSPSRRSFGSDGDESRIDSELHHLVGGRMREVEIMEEEVEVEKGLGGNSGSETSSRTGSLSNDKKLDRVDEIPSATASSDSSEKQVKASNLQLGTKTSRKSRPIGKGLLAKPHVERKNDKPLVKQISGVTGVKKSKNSPLRKTVSHIQVENSAESALDKPDRAPILLKQARDMISSGDNPQKALELALQAKELFEKFGNGKPGLELVMCLHVTAAIYCNLVRYTEAIPILERSIEIPVTEEGQQHALAKFAGHMQLGDTYAMLGQLENSIMCYTTGFEVQRQVLGETDPRVGETCRYVAEANVQALQFDEAEKLCQMALDIHKANSSAPSLEEAADRRLMGLICETKGNHEAALEHLVLASMAMVDNGQEVEVASVDCSIGDTYLSLSRYDEAIFAYQKALTFFKTSKGENHPAVGSVFVRMADLYNRTGKIKESKSYCENALKIYENPMPGVPPEEIASGLSNVSTIYESMDELEQALKLLHKALEIYNNAPGQQSTIAGIEAQIGVMHYMLGNYTESYNTLKNSITKLRAIGEKKSAFFGVALNQLGLACVQRYALSEATELFEEAKSILELEYGPYHPETLGVYSNLAGTYDAMGRLDDAIQILEFVVVTREEKLGTANPDVDDEKRRLGELLKEAGRVRSRKARSLENLLDATARSVNNLVINA >OIV89281 pep supercontig:LupAngTanjil_v1.0:KV862326:117559:120225:-1 gene:TanjilG_23741 transcript:OIV89281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METGVAATGGGGGGGGGGGGGGFHGYRKLPNNTTTSGLKLSVGAGENINNQSAAAATEDNECMVREQDRFMPIANVIRIMRKILPPHAKISDDAKETIQECVSEYISFITGEANERCQREQRKTITAEDVLWAMSKLGFDDYIEPLTIYLHRYRELEGDRSSMRGEALGKRSTSTGTGTGTGTGTGSVEYGTLGVATAFVPQFHHHHHHHSNGYYDHASALVMGNYMRDAASNNHTNNNNNNAGSAPSKPASITNADQYK >OIV89291 pep supercontig:LupAngTanjil_v1.0:KV862326:171342:171536:1 gene:TanjilG_23751 transcript:OIV89291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEAPSWAEQWGAGGIGAMEDDDTKNKNSGAKSGLTKAKASASNCLKLCVHFCFSTMVDTSFN >OIV89275 pep supercontig:LupAngTanjil_v1.0:KV862326:62104:65186:1 gene:TanjilG_23735 transcript:OIV89275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMDHTELQNPIFIENKSQDDKLEFQTNEKGEGSGVDFSSETPFIRRKESTPYCCNANKLKSGVAAIDCELGGNDDAIRLEKKLCRQDRIELGRLFQGALSSYDWDLAESLILVADPQTLNDVLCITLDSIWFLTTELELNGITGFMAKIIANGAYDFTRAALRTSFLASCVSACQSRTMSLADSVNLMAHRLHERLQECNGDEVLKAEAGSKVRKFTEWALKCIGIHSRPQDDRDNVIHRSAVEIQLQLSAFKTFLDLAGNCLTGKDFTEAFDAACFPLTLFASSFDPGWAFGVSATVIRGLLGMLVEGGADNVNQCFLEASRFGSTELVRILLQIARRNSLHVDVDLALGFASHYCKIGTMECLVEEGNALAFLGPLMRAAERGCMQVVEWFVQRGCRDMELCLALTAATSSCQVDIAAYLLPHVPKQVLAALSVEILKAAGERSGGSLDGVAFLLQSDFLGDPAATYAVADIIAKSEGEGVAPELKTFLQEHWSEAAYMEGLKVGEEHYMNLVRIIRWGESPLCLRDLPDPVIVGIAYLPLYRVCVNAGGCLFSQRLRGQLVEATRRLGDKIFFDEVTQCRQLMVVLERHLPHFLLN >OIV89288 pep supercontig:LupAngTanjil_v1.0:KV862326:157534:161790:1 gene:TanjilG_23748 transcript:OIV89288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLFNAAIMSLCAAIALAIIAPSFSLDVENGIASYESEETASSLRGMKRNLMGYNMTCDKFARVCLLKNSPGPDCCNKKCVNVKSDHLNCGMCGYKCKYTEICCMGKCVNASYDKTNCGGCNNKCNKGEIALKRVKLDPNNRVASRMDETDIVGLKNYLDAQYYGEIGIGNPPQKFTVIFDTGSSNLWLPSSKCTFSVSCYFHPKYKSTKSNSYRKNGTRAAIQYGTGAISGFFSYDSVRVGDIVVKNQEFIEATREPGVIFLVAKFDGILGLGFQEISVGKAVPVWYNMLEQGLIKEPVFSFWLNRNPEEEEGGEIVFGGVDPAHYRGKHTYVPVTRKGYWQFDMGDVLIDGKPSGYCANGCSAIADSGTSLLAGPTTVITMINHAIGASGVVSKECRAVVSQYGQTIMDLLLAEAKPKKICSDIGLCAFDGTRGVNVGIESVVDENERKTSGGLHGASCSACEMAVVWIQRQLSENQTQDRILSYVNQLCDRMPSPMGESAVDCGSISSLPTVSLTIGGRIFDLGPQEYILKVGEGPAAECISGFTALDIPPPRGPLWILGDVFMGRYHTVFDFGEKRVGFAEAA >OIV89279 pep supercontig:LupAngTanjil_v1.0:KV862326:104862:111411:-1 gene:TanjilG_23739 transcript:OIV89279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLTKVGSPRGEVGEIDTRAPFQSVKAAVSLFGEVAVSRDRFSVKRRSSENVFEKETQLILAQRELNNIKKHLDSAETTKAKALSDLEAATRTLSNLTAKLTNVRESKQSAMEAAQAVKNHSKRLEKSVSFKAVGFEAWKREVEHARKEYMTTIAQLDASKQELNKIRQDYDAALEAKLAAFHTAGEAESASKLNSERITELSNKIASMKASIEQMKLESEETQEEITGQRDKSQLSFYKTAKEEALEKLESLKNENNPELIRSLDAKLNEATSEIEGLQEQLKRLHASEMDSVKLITSELKEATKTLQDIAAEEISLKNLVFSLRIELRQVKKEQDEVKEKEQAAEALADKLASELQESMEEARSEAGSVEEQEANIFYEQSLKIKKVSSETENARREAEEMRRKAQELKQEAEKSQAAAEEALKKLELVLEEAKEAKAGEQRAIEEMKNLSEGKGKVSNSKFSGKIKIPNEEYESLSGKVKEYEELVEKKEAAMMVEVQEILRRKNEVERKIEANLKAIEEMKAATDMAMWDAEISDYAKVGIESQLRRFHEQQQKVCLDGLKHLCAVVVNCCDADSSKQPRGLENPQVLATETVFSVSEIEALYELFKKISSAVIDDGLINKEEFQLALFKTNKKESLFADRVFDLFDTKHNGILDFEEFARALSVFHPNAPIDDKIQFSFQLYDLKQQGFIERQEVKQMVVATLAESGMNLSDDVIESIIDKTFEEADTKHDGKIDKEEWRNLVLRHPSLLKNMTLQYLK >OIV89292 pep supercontig:LupAngTanjil_v1.0:KV862326:172882:174096:1 gene:TanjilG_23752 transcript:OIV89292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTPPVKLDVVIDIRERFLWFECGNNYNSTTYKPLHCGTKQCKIAKGTDCINCTNHPLKTGCTNNTCGVEPYNPFGEFFVSGDVGEDILLSSSSTYTTNNGSSVVVSNAYIPSFISSCVYPDKFGIDGFLGGLAKGKKGVLGLARTSISLPTQLANKYKLHRKFSLCLPSTSEEKGHNNGVLFVGEDPYFSPHLKASKILNYTPLVFNRHSTGPIYDNDPSTEYFIRLKSIKVDNQVLNFNTSLLSINKEGHGGTKLSTVIPYTKLHTSIYQPLVNYFVNKASVRKIKRVKAVAPFGACFDARTIRNSVTGPDVPSIDLLLKGGVKWRIYGANSMVKVDKKNVLCLAFVDGAGLEPTTPLATSIVIGGHQLEDNFVEFDLVSLKFGFTSSLLLRNSSCSHSTTF >OIV89278 pep supercontig:LupAngTanjil_v1.0:KV862326:99563:103386:1 gene:TanjilG_23738 transcript:OIV89278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEQFINFIIRPPRVSSLKFLQLVIIGFKPIELQLQFQFIYFVTHTGRTIIQISTFGIGNSSLQLKNGRGYTLQCSHYLPLPFPEDTSLPCVIYCHGNSGCRADANEAAVILLPSNITVFTLDFSGSGLSDGDYVSLGWHEKDDLKIVVSYLRSNKQITQIGLWGRSMGAVTSLLYGAEDPSIAGMILDSAFSNLYGLMMELVDVYKIRLPKFTNDRFISVKWVKKDPVIALCLPIPSNPQLLLTWIVQLFMKSNILLHFHNQVKMAVQYMRRVIEKKAKFDIRELNCLLVAPKTFIPVLFGHASDDKFIQPHHSDLISESYAGDKNVIKFDGDHNSSRPQFFYDSVSIFFNNVLHPPHVSRADKLDKYYDLGDLKLGSGVDENLLYEILSSMRSATTDDASSSSGLPTISATKSVSEPLSEDAPVTDAKAMFREVTMHVNNDAGHDEPTNMQEKVDGEIEECWSYTSSNRGSWGRCSSLGGSDEEELRAEDSLSQKTVNVYATPMRRKEEEKNKNKKKNKKKGERDAKKGKSEKFEKLEALSRRLRQCLLKGSSTHTTHNMSFSD >OIV89286 pep supercontig:LupAngTanjil_v1.0:KV862326:144940:147656:-1 gene:TanjilG_23746 transcript:OIV89286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIELSKNMRELKSILYGDSETEPVPEACSQLTQEFFKENTMQLLIISLPKFNLETRKDATQVVANLQRQQVQYKLIASDYLEANIGLIDILISGYQDTTMTLHYGAMLRECIRHQIVAKYVLESPHMKNFFDYIQLPNFDIAADAAATFKELLTRHKSTVAEFLTKNYEWFFDEYNSKLLESSNYVTRRQAVKLLGDMLLDRSNSHVMTQYVSSRDNLRIFMNLLRESSKSIQIEAFHVFKLFAANQNKPSDITGILITNRNKLLRLLGDLKVDKEDEQFEADKAQVMKEIAALEPSDGHSAEDSLKE >OIV89272 pep supercontig:LupAngTanjil_v1.0:KV862326:13557:14459:1 gene:TanjilG_23732 transcript:OIV89272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIYRKMINGTDANENLGGAQGTQGVLGTGEIVTEENTVQDKSHEDSENLCGTQGVRGTGEIVREKNIVQDQSREDSENLGGTQGVGGAQGTRENERDEKTVQDQYHEDSENLGGPKTLSTLMRKLICIAILVGYMILGVLVYYLNSITSMLINGIYVVVVTLTSVGYGDIVPQITFAKLTTSIYILVGFGMWAIILNYLIDDELQKLRTRFMV >OIV89284 pep supercontig:LupAngTanjil_v1.0:KV862326:135170:137236:1 gene:TanjilG_23744 transcript:OIV89284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYPLTSSPSSLFSISSSSFSYFNLCYSPFSFQPSYPKIILHSNHVSLHEPIPHITNHDKDSNFDDPDGKSSKNYIWVNPNSPRAKQLRKKSYDARYNSLLKLAHSLDSCNPTQNDVSEILNGLRDNVLEQDAVIVLNNMFNSHIAPLVLRYFQRIIKPTREVILYNVTFKVFRNCRDFVGVENLFDEMLERGVDPDNVTFSTIISCARICSLPNKAMEWFEKMPSFGCEPDDVTYSAMIDAYGRSGHIDMALNLYDRARTEKWRIDTVTFSTLIRMYGKAGNYDGSLNVYEEMKVLGVKPNMVIYNTLLDAMGRAKRPWQAKIIYKEMTNNGFSPNWATYASLIRAYGRSRFSEDALIVYKEMKEKGMDMNTHLYNTLLAMCADLGYADEAFEIFEDMRSSGTCQPDSWTFSSLITIYSCSGNVSEAERMLNEMIESGFDPTIFVLTSLVQCYGKAKRTDDVVKTFNQLMDLGINPDDRFCACFLNVMTQTPKEELGKLTGCLETANPKLGSVVRYLVEEQEGDGDFRKEASELFNSISDEVKKAFCNSLIDLCVNLNLLDRACELLELGLTLEIYRGIQSKSPTQWSLHLRSLSLGAALTALHIWINDLSKALESGEDFPPMLGINTGHGKHKYSDKGLASVFQSHLKELNSPFHEAPDKAGWFSTTNVAAKSWLVARSSPELVAA >OIV89280 pep supercontig:LupAngTanjil_v1.0:KV862326:112783:116486:-1 gene:TanjilG_23740 transcript:OIV89280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSSSSSSSMSSSSVSNKPPLPDVCIVGVARTPIGALLGTLSSLSATQLASIAIKSALKRANVDPSLVQELFFGNVLSANLGQAPARQAALGAGIPTSVICTTVNKVCAAGMKATMLAAQTIQLGVNDIVVAGGMESMSNAPKYIAQARKGSRLGHDTIIDGMLKDGLWDVYNDFGMGICAELCADQHAITRYEQDSYAIQSFERGISTQNAGHFSWEIVPVEIFNGKGKPHTLVDRDEGLEKFDAAKLRKLRPNFKEDGGTVTAGNASSISDGAAALVLVSEQKAHELGLRVIAKIKGYADAAQAPELFPTAPTLAIPKAITNAGLEASQIDYYEINEAFSVVALANQKLLGLNPEKVNVHGGAVSLGHPLGCSGARILVTLLGVLREKNGRYGVAAICNGGGGASAIVCELMPFASLRRSSL >OIV89282 pep supercontig:LupAngTanjil_v1.0:KV862326:124551:125860:1 gene:TanjilG_23742 transcript:OIV89282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMGYFRVFVILLLLLLFVHGSIVASAREEEKEQDTSLLELLSRDEAVQMAGYGEQKLSTVLITGSLHCYTPFHAPCPIPGALVGVKCDSYGSEKKEKTSVARGVTDEFGDFIVDIPSHLHAIPNLEKVCSVKVDSIPKGSMCKPFQVMRHNKGLRLSSFGNGIRTYTAGNIRIHHSPTTL >OIV89285 pep supercontig:LupAngTanjil_v1.0:KV862326:138058:143777:1 gene:TanjilG_23745 transcript:OIV89285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRAYLNSQLLHQSLALYFQMLHHSLSVDTFTFSLVLQACGKLLDWDNGRRIHTHVFKLGFPNDLFVQTALIEMYAKCGCLEYAFKVLDHMEHPDLVSYNVFLAECVRIGDIQMAHQLFDNMPHRDLVSWNTMIHGYASFANVGGERRQECGEALRLFHDMQLANVVPDRITIISVLSACGNVGALGMGKMIHEYIERNGIEMDMKLGTCLLDMYAKCGDIDNALMVFDLLDKKDTFTWSVMIMGLANHGFGEQALAYFSRMIAEGIKPNDVTFIGVLSACSHIGFVDKGRVCFKSMSSVYGVTPKIEHYGCMVDILGRAGYLEEARELIRTMPFTPDAIVWRAFLGACRIHKNVDLAEEATVNLLQLEPDVDGNYVLLSNIYSQAKKWDRVVNLRRRMKHCDIQKIPGSSSIEVDNTVYEFISGDKSHPKSFEIYEMLDEIVEREKEVMEGGHKAASKNEFTECWKRANGSPYIMRLALSAGLGGLLFGYDTGVISGALLYIREDFEQVDNKTWLQETIVSMAVAGAIFGAGIGGWMNDKVGRKKSILLADVLFFIGAIVMAIAPAPWVIIIGRVLVGLGVGMASMTSPLYISEASPTRIRGALVCINGLLITGGQFLSYLINLAFTKAPGTWRWMLGVAGVPALLQFALMLTLPESPRWLFNQGMEEQARDILSRIHNPGEVEEEMRAMQESVEIEKKENELAGHSLGEKIKGAFANKVVRRGLYAGITVQVAQQFVGINTVMYYSPTIVQYAGIASNSTALALSLVTSGLNAVGSIISMICIDRYGRRKLMLISMIGIIVCLIVLSGTFLYAAQHAPAISNQDTLAFGANSTCKAYTTTPNLSSWSCTQCLQAECAFCANGQKQFQPGACLVADKSIRGECRGKNRVWFSSGCPSRIGIIAVIILGLYILAYAPGMGTVPWVLNSEIYPLRYRGVGGGIAAVSNWCANLIVSESFLTLTKALGSAGTFLLFAAFSFIGLIFIYTFVPETKGLQFEEVEKMLEKGFRPFPFNKNKNKNKNDDVNNKLEGDQHASH >OIV89293 pep supercontig:LupAngTanjil_v1.0:KV862326:174825:177013:-1 gene:TanjilG_23753 transcript:OIV89293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCFTCSHPDTLSFRTSPTQNSKDTGKRTLKSFVRDMSLKFKSDRSRQRQIIAAEILKYGTAKNHVEVFTYQELAGATDNFNPECLIGEGGFGSVYKGYIKSIKQSVAVKQQNRNGLQGPREFLAEVLMLSLVKHPNLVKLIGYCAEGDQRILVYEFMPNGSLEDHLLDIGGDEEGLDWERRMKIAEGAARGLEYLHETADPPVIYRDFKASNILLDEDFNPKLSDFGLARMGPTGGKDHVSTRVMGTYGYCAPEYASTGQLTTKSDVYSFGVVLLETITGRRVIDYQRPTEEQNLIDWAHPLFKDRSKFILMADPLLKDKFHVKSLFQALAVAAMCLQEEADTRPHMSDVVTALHHLANQKIRQKEIPKEPFIKSAGHVESLGGLNSYIHP >OIV89274 pep supercontig:LupAngTanjil_v1.0:KV862326:58222:60575:-1 gene:TanjilG_23734 transcript:OIV89274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESAIENPNLKISMEKRKRNIPGVRVTSEFDSDSNILFHKISCKLLDSLAKLKFSFHNNTQGQISEPQVSFVSKHLSLHYDLEQHNALLNTLFNVGPSLHFTSTHDLNSQQGDLSLLTNLSHLPGYSLHLSTSLPSPLRLPKTTFTFPIGEVSLEEEDQVVKDNDDINNGLSLNGIVKAQFLNGLCTAQYQDQHFKLTYSYKDDQMSFIPSFSFPSNALSFAFKRRLTPSDKFSYWYNCDSNYWSAVYKRTYGKDFKFKAGYDSEVRLGWASLWVGDEGGKAKTAPMKMKVQFMLQVPQDDIKSSALMFRVKKRWDI >OIV89277 pep supercontig:LupAngTanjil_v1.0:KV862326:83283:88334:-1 gene:TanjilG_23737 transcript:OIV89277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSNSHSQDEGVTINNDVENNTRNQQQQQDNNNDGDDDDHEHDMVMPGFRFHPTEEELVEFYLRRKVEGKRFNVELITFLDLYRYDPWELPAMAAIGEKEWYFYVPRDRKYRNGDRPNRVTTSGYWKATGADRMIRTDNFRSIGLKKTLVFYSGKAPKGIRTSWIMNEYRLPHHDTQRYQKAEISLCRVYKRAGVEDHHSLPRGLPTRPSSSSSRSDHHNNTNNMKHDNINAEMIHHQNMGSPIFVGQPTKPIIETDGIGSRSITTSTGLDHHHHHHHDVSTALGLSKHNSYHHQADQEEQGLMMNMMMQQQQQQQQQHSKPLSSSLVPTFFPSSSSSSNNNNAVATIDDLNRLLTYQQQQQYYNNNHFSTLLMQPPPNSLPTTFSDHRSSVWEWNPFPDQPNRDYNNLFFKFHPTEEELVEFYLRRKVEGKRFNVELITFLDLYRYDPWELPAMAAIGEKEWYFYVPRDRKYRNGDRPNRVTTSGYWKATGADRMIRTDNFRSIGLKKTLVFYSGKAPKGIRTSWIMNEYRLPHHDTQRYQKAEISLCRVYKRAGVEDHHSLPRGLPTRPSSSSSRSDHHNNTNNMKHDNINAEMIHHQNMGSPIFVGQPTKPIIETDGIGSRSITTSTGLDHHHHHHHDVSTALGLSKHNSYHHQADQEEQGLMMNMMMQQQQQQQQQHSKPLSSSLVPTFFPSSSSSSNNNNAVATIDDLNRLLTYQQQQQYYNNNHFSTLLMQPPPNSLPTTFSDHRSSVWEWNPFPDQPNRDYNNLFFK >OIV89276 pep supercontig:LupAngTanjil_v1.0:KV862326:67460:77386:1 gene:TanjilG_23736 transcript:OIV89276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVENGFVEEDNRTNKVDPGKPAGLTWQRKLNNEGNTLSEISLKLKEIIHLAPIGYRLWRHGREEAAKGRHAMIDPFVKHHVTSCHGVPLGGIGAGSIGRSYRGEFQRWQLIPLKCEEKPVLANQFSVFVSRPNGEKYSSVLHPGKPDILKENPASGIESWDWNMSGNSSTYHALYPRAWTVYEEPDPTLRIVCRQLSPIIPHNYRESSFPVSVFTFTLNNLGKTTADVTLLFTWANSVGGLSEFTGHHFNSKISMNDGVQGVLLNIKTENGQPPITFAIAAEETEHVHISKCPVFVISGASKGISAKDMWHEVKKHGSFDHLDFAEMPVPSEPGSSIGAAIAATVTIPADAQRVVTFSLAWDCPEVKFPGGRTYCRRYTKFYGANGDAAAQIAHDAIIGSILCSTKLHFVLGIANGRRRLKIGKDQSLRIRDFLNDGSPPVHSLVNMEERKFSLDGFISDLENTNNSSRDNDTAINILERFSKVVENIHTLPASKSAYGVNLLQEGEENIGQFLYLEGIEYQMWNTYDVHFYSSFALLMLFPKLELSIQRDFAAAVMMHDPGKMKTLNDGQWVPRKVLGAVPHDIGLNDPWFEVNAYNLYNTDRWKDLNPKFVLQIYRDVVVTGDKKFARAVWPSVYVAMAYMEQFDKDGDGMIENEGFPDQTYDTWSVSGVSAYSGGLWVAALQAASALAHEVGDKGSQHYFWLKYEKAKAVYDKLWNGSYFNYDSTSGISSSSIQADQLAGQWYARASGLMAIVEEKKCRSAMEKIYNYNVMKVKEGKRGAVNGMLPDGKVDMSSMQSREIWSGVTYALAATMIQEDMIDMAFQTASGVYEAAWSKDGLGYAFQTPEAWSTKDEYRSLCYMRPLAIWAMQWELSRAKQNGNTASLCAFLAPSAAGSGIPEVKAYLNGVDAQAILAPSTLFVKIFGSIFGVAAGFVVGKEGPMVHTGACIANFLGQGGSKKYRLTWKWLRHFKNDRDRRDLVTSGAAAGVAAAFRAPVGGVLFALEEAASWWRSALLWRTFFTTAVVAVVLRGFIQLCHGGKCGLFGEGGLIMFEVNSAQPAYSTPDLLVVIFLGVIGGLFGSLYNYLVDKVLRTYSIINERGPIFKVLLVMFVSFLTSCCSFGLPWLSKCIPCPPHLGDECPTIERSGQYKNFQCPPNHYNDLASLFFTTNDDAIRNLFIAGSNKRFQFGSLVIFFVAIYFLGIVTYGIAIPSGLFIPVILAGASYGRLVGSIVDSFTVLDVGLFALLGAASFLGGTMRMTVSLCVILLELTNNLLMLPLVMLVLLISKSVADCFNKGVYDQIVEMKGLPYMEAHAEPYMRQLIASDVVSGPLFTFSGIEKVGNIVHTLKVTRHHGFPVIDEPPFSDVPELCGLVLRSHLLVLLKHRTFTKQRGMTGSSIMRKFKARDFAKPGSGKGINLEDLDISEDEMEMYVDLHPITNGSPYTVLETMSLAKAAVLFRELGLRHLLVVPKTPARPPIVGILTRHDFMAAHVLGLYPHLDPHK >OIV89290 pep supercontig:LupAngTanjil_v1.0:KV862326:167161:169648:1 gene:TanjilG_23750 transcript:OIV89290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPTTPPTTSFSVIKPEHYAHSPVHYAVVLGDHTKLSRLISTLPRLPDPSKTLTDSDSLAQERVADQISALLDRRDVPNRETPLHIAVRLNDVFAARILANAGADVSLQNSAGWNALQEALCRRASDISHVLLRLHHLNAWSKWRRRLPRVISVLRRMKDFYMEISFHFESSVIPFVGKIAPSDTYKIWKLGGNLRADTSLAGFDGLKIQRADQSFLFLGDGDLTHNISSGSLLVLNRDDRKIFDAFENAGGPMSESDIEGFCSQTSVYRPGMDVTNAELVRRTNWRKQEKTESVGEWKAKVYEMNNVVFSFRSRKVATNESDVAGSEQVLPLELDEDEDGFLVAENPFPLHDKRRHSSFVSQEREWVPVGRNSVDLPSTATAPPRMSSVTASVTMTATPQTKEKEYVKSLRPSVWLTEQFPLKTEELLPLLDILANKVKAVRRLRELLTTKFPPGTFPVKVAIPVVPTVKVVITFTKFVELQPVEQFFTPFSSPRHLVTSSEDGDEKQKPEEIRYSTSSAPSTWLRRNNSQSTKLQQQKCSSMSLDSDPFAIPNGYTWSSTGVDDKSKKMKKSKSVRKSK >OIV89287 pep supercontig:LupAngTanjil_v1.0:KV862326:153813:156703:1 gene:TanjilG_23747 transcript:OIV89287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGVKMVEKDRGAKMMTTYTPELLSLRKGIWAQEGGEINAGEGVVIGFVDSGINPLHPSFAYDPMHTFTSNLSHFVPTCETGPHFPLSSCNGKIVSARYFSAGAEATATLNASLDFLSPFDADGHGSHVASIAAGNAGVPVVVNDYYYGRASGMAPRARIAVYKAIYPSVGTLADVIAAIDHAILDGVDILTLSIGPDDPPEGTLTFLSIFDICLLYAQKAGVLVVQAAGNKGPASSTVVSYSPWTIGVAACTTGRRYPASLLLGNGTIVDGVGLSGPSFGNGTILQRLVLAKDALKINGTFPRTPEYIEECQHPEAFDPNIVFASVIICTFSEGFYDGTSTLGAIVHTSKALGFAAYILVPNPSYGDYIAEPIPFDFPGIMIPRVADAKVILQHYEDETKRDEKGTATEFCARAAVGEGRVASFTGRSSVVSRFSSRGPDIIDMKGNLADILKPDIIAPGHQIWGAWTPISALQPMLTGHNFALLSGTSMAAPHVAGIAALIKQYNPLWTPSMIASAISTTGTKHDNLGEVLMAEGFQPNSLYPSTPLEHGAGMVNPNDANDPGLVLSSGYTDYISFLCSLPNINPNKVTAATGEPCNTHLFADPHNLNLPSVTISALKGSVSVRRTVMNIGNNTETYVGAVIPPNGTRVNLYPTLFTVSPQETQDLEIQISATQSMEKFSFGEIVLTGSLNHIVRITLSVIPVSI >OIV89289 pep supercontig:LupAngTanjil_v1.0:KV862326:163814:165397:1 gene:TanjilG_23749 transcript:OIV89289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLLDWPNKDNRRFLHAVYRVGDLERTIKFYTESLGFKLLRQRDVPEEKYANAFLGFGPEESYFAVELTYNYGVTSYDIGDGFVHFGIATQDVYKLVENIRAKGGKITREPGPVEGGTTIIAFVKDPDGYPFALIQRPSITDPFAQIALRVGDLDRAIKFYEKALGLKVVRKDDRPESKYTVAALGYADENETTVLELTYNYGVTEYSKGDAYAQIAVGTDDVYKTAELVKKVIEEVGGKIIREPGPLPGLKTKITSFLDPEGWKTAFVDNEDFLKELQQ >OIV89294 pep supercontig:LupAngTanjil_v1.0:KV862326:177594:179782:1 gene:TanjilG_23754 transcript:OIV89294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCFTCSHPDTLSFRTSPTQNSKDTGKRTLKSFVRDMSLKFKSDRSRQRQIIAAEILKYGTAKNHVEVFTYQELAGATDNFNPECLIGEGGFGSVYKGYIKSIKQSVAVKQQNRNGLQGPREFLAEVLMLSLVKHPNLVKLIGYCAEGDQRILVYEFMPNGSLEDHLLDIGGDEEGLDWERRMKIAEGAARGLEYLHETADPPVIYRDFKASNILLDEDFNPKLSDFGLARMGPTGGKDHVSTRVMGTYGYCAPEYASTGQLTTKSDVYSFGVVLLETITGRRVIDYQRPTEEQNLIDWAHPLFKDRSKFILMADPLLKDKFHVKSLFQALAVAAMCLQEEADTRPHMSDVVTALHHLANQKIRQKEIPKEPFIKSAGHVESLGGLNSYIHP >OIV89283 pep supercontig:LupAngTanjil_v1.0:KV862326:126728:131114:-1 gene:TanjilG_23743 transcript:OIV89283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSKNHHDTEKAPPPDSSTGVVPDDRCPIEEVALVVPETDDPSLPVMTFRAWFLGIASCIILIFLNTFFTFRTQPLTISAILMQIVVLPIGRFMASTLPTKEYSVSGYRFTLNPGPFNMKEHVIITIFANCGVSYGGGDAYSIGAITVMKAYYKQTLSFLCALFIVLTTQIMGYGWAGILRRYLVDPVDMWWPSNLAQVSLFRALHEREHKKKGLTRMQFFLIAMGISFLYYALPGYLFPILTFFSWVCWAWPHNITAQQVGSGYHGLGVGAFTLDWAGISAYHGSPLVTPWSSIVNVGIGFIMFIYIILPVCYWKFNTFDARKFPIFSNQLFTASGQKYDTTKILTSDYDLDVAAYNKYGKLYLSPLFALSIGSGFARFTATLTHVALFYGRDILKQSRSAMSNTKLDVHGKLMKAYKQVPEWWFLILLFGSMALSLVMCFVWKADVQLPWWGMLFAFGLAFIVTLPIGVIQATTNQQPGYDVIAQFMIGYVIPGKPIANLLFKIYGRISTVHALSFLSDLKLGHYMKIPPRSMYTAQLVGTLVAGTVNLAVAWWMLDSIKDICMDDKAHHDSPWTCPKYRVTFDASVIWGLIGPRRLFGPGGLYRNLVWLFLIGAALPVPVWVLSKIYPDKKWIPLINIPVITYGFAGMPPATPTNIASWLVTGMIFNYFVFRFHKRWWQKYNYVLSAALDAGTAFMGVLIFFALQNAGHNLKWWGSELDHCPLATCPTQPGIVVDGCPVF >OIV89273 pep supercontig:LupAngTanjil_v1.0:KV862326:18276:53454:1 gene:TanjilG_23733 transcript:OIV89273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKKKKNQNQKAKPPQSSSSSGPKLQISAENENRLRRLLLNSATTTPASDEESTNLTLTKAQKAKKLKSVYEKLSCEGFSNHHIELALSALNLKATFESALDWLCLNIPGNELPLIFSTGFHSSTQGGSVGVISNQPTHNSPTTTTTTNKEELDDEEEKSVGFLVLIKKQHCNVDDTFDSSQADWIRQYVEQNEEEEEEDEYKTWEDDDTIVTNRACEPRSYDVIAKEYLAARLGATKAKEKGDKKGQEQAGSIIRKLKQELSAIGLSDAHLALQHGHEIGSSSASEGASTSHEPFDCSKEESPCDAEGYLANVLPSDGTTIDRSDIEHHSIEDNFAKSNLPVLDVEKDSAEGEAVDIELGDFFLEGVPSNETLPPDILQVQMQEKTKRLYEKNLDKLEGIWKKGDPQKIPKAVLHQLCQKSGWEAPKYHKILDRGKSFSYSVSILRKASGRGKNRKAGGLVTLNLPDQNETFESAEDAQNRVAAYALFQLFPDIPVHFPISEPYALLVKKWMEGESLTKLDDSEEDHRSGFVDSLLNGDGSGVTASVEFSDYRLPHNFGGHSEKKHSTLANHQPFPSRETYSKEMESTCLRQVQNNKMRTQRYQDMLKIRARLPIAALKGNILQLLKEYDALVVCGETGSGKTTQDAQNRVAAYALFQLFPDIPVHFPISEPYALLVKKWMEGESLTKLDDSEEDHRSGFVDSLLNGDGSGVTASVEFSDYRLPHNFGGHSEKKHSTLANHQPFPSRETYSKEMESTCLRQVQNNKMRTQRYQDMLKIRARLPIAALKGNILQLLKEYDALVVCGETGSGKTTQAISVAERVADERCEPSPGSDGSVIGYQVRLDSARNEKTRLLFCTTGILLRKLMGNQSLTGITHIIVDEVHERSLLGDFLLIVLKNLIEKQSFESCTKLKVIVIFMMFRSATVDSTLFSRYFGHCPVVTAEGRTHPVTTHFLEDIYDKINYRLASDSPASLSNDTFPKEQNLQRGTVTNSRGKKSLALSAWGDEFMLSEENINPHFVTSYYQSYSEQAQKNLKRLNEDVIDYDLLEDLICFIDDTCGEGAILVFLPVVIATNIAETSITIDDVIYVIDCGKHKESRYNPQKKLSSMVEDWISQANARQRRGRAGRVKPGICFCLYTRHRFEKLMRPYQVPEMLRMPLVELCLQIKLLSLGYIKPFLSGAIDPPKVEAMSSAISLLYEVGALEGDEMLTPLGHHLAKLPVDVLIGKMMLYGAIFGCLSPILSVSAFLSYKSPFVYPKDERQNVERAKLTLLNDKLDGPGDANDIDRQSDHLLMMTAYKRWERILTEKGAKAAQQFCNSFFLSSSVMFMIREMRVQFGTLLADIGLITLSKDYQMDRKQIGNLDSWLSDASQPFNKYAHHSSILKAILCAGLYPNVAAGEQGIVAAALSGLHRSSNSGNTGRTVWFDGRREVHIHPSSINSNSKAFQHPFLVFLEKVETNKVFLRDTSVISPYSILLFGGSINVQHQSGLITIDGWLKLTAPAQIAVLFKELRLTLHSILKELIRKPENAMVMNNEIIKSIIALLLEEGHLPK >OIV89270 pep supercontig:LupAngTanjil_v1.0:KV862327:38635:43406:1 gene:TanjilG_23763 transcript:OIV89270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSLTCLHPTHPLFLYSGPLLCEQPPLTIPSRFYNLPSSPNSVLSSANRPRPLLAPPRAAAKFGSSPARDELASPGDLEFEAPLKIVEYPDPKLRAKNKRIATFDDNLKKLVHEMFDIMYKTDGIGLSAPQVGINVQLMVFNPVGERGEGEEIVLVNPRVSKYSKKLSFFYEGCLSFPGIYADVKRPESVKIDARDINGTRFSVNLSGLPARVFQHEFDHLQGILFFDRMTEEVLDSIREQLQ >OIV89271 pep supercontig:LupAngTanjil_v1.0:KV862327:164147:167505:1 gene:TanjilG_23764 transcript:OIV89271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTNSKHSSNAIKNTSSLVFFTIVSLLLFSFVPHTVSDPRISEAGLYCGTSKSITNANYIPSFIKEMETLSQLVTNHNWGTHSVNVSDIPIYGFAQCFNDLSHTDCLLCYAASRTKLPRCLPSVSARIYLDGCFLRYDNYSFYSEDIDPLRDTVNCTSQRGAVVDEGNRLRLEKSVAEVVDRVAMIAVSGSGFAVGEVEGVYALAQCWNSVGSEGCRDCLRKGGKEVRGCLPKKEGRALNAGCYLRYSTEKFYHEKGASEGGKGFLRRGTIIAAVLAAAAVLMITLSALYAAFSKLSKIKETNKLSQISFSISKSSLNFKYETLEKATDYFNSSRKIGQGGAGSVYKGILPNGKVVAVKRLIFNNRQWVDEFFNEVNLISGIEHKNLVKLLGCSIEGPESLLVYEYIPNKSLDQFIFEKNKTQILNWKQRFHIILGTAEGLAYLHGGSKIRIIHRDIKSSNVLLDENLIPKIADFGLARCFGADKTYLSTGIAGTLGYMAPEYLIRGQLTDKADVYSFGVLVLEIVCGRRNNVFREDSGSLLQTVWKLYGSNTLAEAIDSCLGDDFPAAEASRVFHIGLLCAQASASLRPSMVEVVHMLSNSNGHVPTPNQPPFLNTGVLDSDSSITSYRTHSFVSNALNKVGVSYSSSESSSSCSSDRPSRSEKPESKLHVQSI >OIV89269 pep supercontig:LupAngTanjil_v1.0:KV862328:195770:197212:-1 gene:TanjilG_23881 transcript:OIV89269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAETTAHSPIHILFFPFMGHGHMIPMVDMAKLFASKGVKTTILTTPLNAPFIFKTIEKSKIHSNINIQTIKFPSVEAGLPDGCENFDSIPSPEFIPLFFKGTELLQEGFEQQLSLQRPNCVVSDTFFTWTNDSSAKFNIPRIVFYGVSFFSMCATECVRLYKPYENVSSDSESFVIPSLPGEIKMTRTQVADYLKIGDWLERLTKFREVEEKSYGVVFNSFYELEKDYADYYRKVIGRRAWHIGPLSLCNIDKEQKKYRGKEASIDEHEWQNWLDTKEANSVVYVSFGSIVNFPDSHLREIALGLEASGQPFIWAVKKSKKDGEEWLPGGFEKRIEGKGLIIRGWAPQVSILEHEAIGAFMTHCGWNSTLEGVVAGVPFITWPVSAEQFYNERLVVDVLKTGVPVGVKRWCLFGDMDDSIKWDTIEKTVRNILGKDEAAEEMRKKAKELSRLARKTVEEGGSSDLDLDAFIAEFDSLRG >OIV89268 pep supercontig:LupAngTanjil_v1.0:KV862328:92515:93957:-1 gene:TanjilG_23880 transcript:OIV89268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDKTTKHSPIHIMFFPFMGHGHMIPMVDMAKLFASKGVKTTILTTPLNAPFIFKTIETSKTNSNINIQTIKFPSVEAGLPDGCENFDSIPSPELVPVFFKSIELLQEGFEQQLSLQHPDCVVSDMFFTWTTVSSAKFDIPRIVFYGFSFFAMCAYECVRLYKPYENVSSDSESFVIPSLPGEIKMTRTQVADYLKVSVWLDSLTKFREVEEKSYGVVFNSFYELEKDYADYYRKVIGRRAWHIGPLSLCNIDKEQKKYRGKEASIDEHEWQNWLDRKEANSVVYVSFGTVVNFPDSHLKEIALGLEASGQPFIWVVKKSKNDGEEWLPEGFEKRIEGKGLIIRGWAPQVLILEHEAIGAFMTHCGWNSTLEGVAAGVPFITWPVSADQFYNERLVVDVLKTGVPVGVKRWCLFGDMDDSIKWGTVEKNVRKILAKDEEAEERRNNAKELSRLARKAVEEGGSSDLDLDAFIVEFGSLRG >OIV89263 pep supercontig:LupAngTanjil_v1.0:KV862329:5764:8529:1 gene:TanjilG_23887 transcript:OIV89263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKEREQKKPFVWLIGNYAAELKFLLTTLLLLCSFVTFFQFIPSRFTISASDLRVCISRVSQVIIPTPPSSISHLTTTTLSPPPPSPSPPSPPQEKLLPNGILKRVFNPYGSAAYNFVSMGAYRGGLKTFAIIGISSKPLHVYSKPTYECHWEQNINPNDTKTNMSKPMSTVGYKILPDWGYGRVYTVVIVNCTFSEPINNDNKGGKLILYASTSGGGDTNFNTTDKFEVLTEQPGTLDVSVFTSKPKYDYFYCGSSLFGNLSPQRIREWIAYHVKFFGPRSHFVIHDAGGVHEKVLEVLKPWMDLGYVTLQDIRDQERFDGYYHNQFMVVNDCLHRYKFMGKWMFFFDVDEYIYVPPKSTIKTVLDSLEEYNQFTIEQMPMSNKLCHTDDYGKSYRKWGFEKLVYKDVKQGIWRDRKYAVQPRSLYATGIHMSENLQGKTTHKTEGKIKYFHYHGSIAERKETCKVFKNSTKVTYDETPYELDTTLRGIAGVIKKFELKMIGSRLQKTRQ >OIV89266 pep supercontig:LupAngTanjil_v1.0:KV862329:71564:72733:1 gene:TanjilG_23890 transcript:OIV89266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTIKNRTSDIETIDEEGGEEALQHQPNSTMDNEMQLHADQKSNTNKRYMPLLVINYLMLFVGSLSSSLLAKYYFRHKGSSKWVSTWIQSAGFPLLIIPTFLPYALSLTKRKPFTDFNQKILILSIFVGFMLGVNNLLISWGVSYLPVSTSSLVLSSQLAFTLILSAIIVKQKVTFLNLNCVILITLSSIILALNSSSETTSGMTQKTYLIGFFCTVGAGLLFASYLPVMEKIYKKVYCYEMVIEMQLIMQIASTVLATIGMIWDGGFSQMKEEGQKVFDKGPKIYWIYIVSNIVTWQLCFMGTAGMVFLTTSLTGGICATALLSMNVLGGVVVFREAFGGLKAVSTVLCLWAFCSYVYGMYTKQKAEMKKRNESSSEMIPITNHALTH >OIV89264 pep supercontig:LupAngTanjil_v1.0:KV862329:30622:30990:-1 gene:TanjilG_23888 transcript:OIV89264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVSSSVEQGEHEHDNKHQGIFSKTEVIIKYGPLHVLMMMDSNARPFACVCQVLVIKQIKARKVENKVMDHHVDPMTMSSTFSFENFKHNSGIANEKNEDESISSYFELPISHMFKYSGDGH >OIV89265 pep supercontig:LupAngTanjil_v1.0:KV862329:49488:50471:-1 gene:TanjilG_23889 transcript:OIV89265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSFQKEKQIVVDPLSLRESSTKQRFFDTTMLQPVITTSAATNLHPPTLQPPRKKFLSLSLPNSEITSPRLDSALSKKKAEGESLESQCKGGNLALEDKNMVQEVHLRKSKSFGERRTCAPSNELDHWLTMLNELVEHEKKYEGKISKTEAMKDSAKNMKHRRQITPDDVFRCNALCLFLPGFGNKQKSNKPRKEEPQRETVVITRTVSVEKFECGSWASSAMHYESEGESTNPNFVLPLELKKCNSMNEVYSTNAASFVFDKDIKGILKNGSAKANTRKSESPSRHVQFSPSSPLSCPSSPSFCISPRLRKAREDFNAFLAAAQTA >OIV89267 pep supercontig:LupAngTanjil_v1.0:KV862329:109932:111101:-1 gene:TanjilG_23891 transcript:OIV89267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTIKNRTSDIETIDEEGGEEALQHQPNSTMDNEMQLHADQKSNTNKRYMPLLVINYLMLFVGSLSSSLLAKYYFRHKGSSKWVSTWIQSAGFPLLIIPTFLPYALSLTKRKPFTDFNQKILILSIFVGFMLGVNNLLISWGVSYLPVSTSSLVLSSQLAFTLILSAIIVKQKVTFLNLNCVILITLSSIILALNSSSETTSGMTQKTYLIGFFCTVGAGLLFASYLPVMEKIYKKVYCYEMVIEMQLIMQIASTVLATIGMIWDGGFSQMKEEGQKVFDKGPKIYWIYIVSNIVTWQLCFMGTAGMVFLTTSLTGGICATALLSMNVLGGVVVFREAFGGLKAVSTVLCLWAFCSYVYGMYTKQKAEMKKRNESSSEMIPITNHALTH >OIV89260 pep supercontig:LupAngTanjil_v1.0:KV862330:37821:39899:1 gene:TanjilG_24114 transcript:OIV89260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTSPSPPVSAATYDRAEAVKQFDNTKLGVKGLIDSGIKTIPSFFVHPPETLSDLNSRSQSNIPTVDLSAISSSRATVVDNIRRAASTVGFFQVINHGIPPELLHRTLAAMKSFHEQPPEQRSQVYRREMGRGVSYISNVDLFQSKAASWRDTLQVRLGPVAADREEVPEVCREEVLEWDKEVVRVGEVLLGLLSEGLGLGAERFRELVEGRVMVGHYYPFCPQPDLTVGLNSHADPGALTVLLQDHVGGLQVRTKEGWVDVKPVPGALVINIGDLLQIISNEEYKSADHRVLANSSGEPRVSVAVFLNPSNREKIFGPLSELTSAEKPALYRNFTFNEFMTRFFKKELDGKSLTNFFRI >OIV89259 pep supercontig:LupAngTanjil_v1.0:KV862330:24133:32700:1 gene:TanjilG_24113 transcript:OIV89259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTIVTSDQSSSSSLNPARIICHVCQKQFSQYTCPRCNSRYCSLQCYKSHSLRCTESFMQENVVQELQQMQPDEQTKHKMLDILKRFHSEEEMDSMDEDSSADSTLSEETLEKVLSGQEISFNDLSLEEKKRFHRAIAYGELSKMIKPWDPWWSKPSARKIRLSREGTQLVQPLSEQELPEDESSDIPRGPETRLPPLSRLSLKEPSPLLTVHLVDILYSYCFTLRLYNGDWKSDALGSVMVVLSVSSVLGQGGQPETVLESLSHCLEQICSPAYRHMGGLQFGLSVVDDVISLLALGSSALVCALCDMHRLIQEGGKEAKSENPRKMRRNEIRSTIKLAERKIYFIMCWVHEQPEEAWSSLAAIVIAEKTSMMESQRSNKAEKLNNKTATKGKCIIEEIQ >OIV89262 pep supercontig:LupAngTanjil_v1.0:KV862330:119154:119741:-1 gene:TanjilG_24116 transcript:OIV89262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFKSLFNRKKKLLKKSTSSPTNLATPIISRTTSVSVHSRTEFVAELEQVFKKFDVNGDGKISSSELGSIMGSLGQPSTEEELENMIREVDADGDGYISLEEFIELNTKGVDSDEVLENLKDAFSVFDVDGNGSITAEELHMVMAGLGEECSAAECQKMISGVDSDGDGMINFEEFKTMMTGSRFQLKEIAKVEL >OIV89261 pep supercontig:LupAngTanjil_v1.0:KV862330:55882:58660:-1 gene:TanjilG_24115 transcript:OIV89261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPTETPNRSRALEGVRGVHVAPHSPFSLRETNQSGEFHPSTCGTSATVENHLLSMPRVWEQIPACLRPIHCSTSCHAGDMHLAETVANVLTSIPFIVLGMHTPRKNLNSKLYANSLIGVGVASSVYHSSRGKLRKYFRWIDYTMIATATVCLSRALRNENPKILMAATAAVLPVQPLMVSLIHTGMMEVAFAKRALKDPDLRMAHTVHKMSSLLGGMLFIADDLFPRTPYLHAGWHLAAAVCVGTCNKLLE >OIV89257 pep supercontig:LupAngTanjil_v1.0:KV862330:5537:5686:-1 gene:TanjilG_24111 transcript:OIV89257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLVFGAGTTIEVAPPPMRPFRFGVYHRWLLGSYPGENEKMELGIIISF >OIV89258 pep supercontig:LupAngTanjil_v1.0:KV862330:14214:15939:-1 gene:TanjilG_24112 transcript:OIV89258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADVEADVAAIGQIPKKRTFKKFSFRGIDLDALLDLSTEELAKLFSARARRRFKRGLTRKPLALIKKLRKAKREAPQGEKPEPVRTHLRNMIIVPEMIGSIIGVYNGKTFNQVEIKPEMIGHYLAEFSISYKPVKHGRPGIGATHSSRFIPLK >OIV89256 pep supercontig:LupAngTanjil_v1.0:KV862331:186622:189971:1 gene:TanjilG_24191 transcript:OIV89256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPIEGVIQVQGDITNARTAEVVIRHFDGCKADLVVCDGAPDVTFAKPKSSRNSSIEAFAVCEIYSPPEGFSIKDLYRLLEKVGSPSGVEDTDCCSGWLEGPNKVYIPFLACGDLNGYDSDRSYPLPKVARGTYQSLDPVQPPIAPPYKRALELKKASSQGIREPENISLDS >OIV89255 pep supercontig:LupAngTanjil_v1.0:KV862331:158876:161714:1 gene:TanjilG_24190 transcript:OIV89255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMKPFPCFEPIARCSTEQRSNQTVASDLDGTLLVSRSAFPYYLLIALEAGSIIRALVLLASVPFVYFTYIFVSETVAIKTLIFITFTGLKIRDVELVARSVLPRFYAEDVHPETWKVFNSFGKRYIVTASPRLMVEPFVKTFLGTDKVLGTELETTKSGRATGFVKEPGVLVGEHKKTAIVKEFGPDLPDLGLGDSVTDYDFMSICKEGYIVPRIKFEPLPRNKLLSPIIFHEGRLVQRPTPIVALLTFLWMPIGIILSILRVYLNIPLPEKLAWYNYKLLGIRVIRKGNPPPAPKKGKSGVLFVCNHRTVLDPVVTAVALGRKISCVTYSISKFSEIISPIKAVALTRERDKDAANIKRLLEEGDLVICPEGTTCREPFLLRFSALFAELTDRIVPVAINTKQSVFYGTSARGFKLLDPYFVFMNPMPTYEITFLNQLPSELTCKGGKSAIEVANYIQRVLSGTLGFECTNLTRKDKYAMIAGTDGIVPSKKEKA >OIV89254 pep supercontig:LupAngTanjil_v1.0:KV862331:48961:54423:1 gene:TanjilG_24189 transcript:OIV89254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGRDEDVLVGANKYREEQPIGTAVQTQDTNKDYKEPPSAPFFEPGELSSWSFYRAGIAEFVATFLFLYITVLTVMGVAKSSNKCSTVGVQGIAWAFGGMIFALVYCTAGISGGHINPAVTFGLFLARKLSLTRAVFYIIMQCLGAICGAGVVKGFQPHQYERLGGGANALSKGYSKGDGLGAEIVGTFVLVYTVFSATDAKRNARDSHIPILAPLPIGFAVFLVHLATIPITGTGINPARSLGAALIYNKDQAWDDHWIFWVGPFIGAALAALYHQIVIRAIPFKSK >OIV89250 pep supercontig:LupAngTanjil_v1.0:KV862333:20542:20712:-1 gene:TanjilG_24221 transcript:OIV89250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRNKLRQALPQWQRQILCNKGKADKFKRSSSNLAEDGVSSAIMLLACIACAPSYL >OIV89251 pep supercontig:LupAngTanjil_v1.0:KV862333:114900:117556:1 gene:TanjilG_24222 transcript:OIV89251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKIKIGINGFGRIGRLVARVALQRDDVELVAVNDPFITTDYMTYMFKYDSVHGQWKNHELKVKDSKTLLFGEKSVAVYGHRNPEEIPWAESGAEIIVESTGVFTDKDKAAAHLKGGAKKVIISAPSKDAPMFVVGVNEHEYKPELDIISNASCTTNCLAPLAKVINDRFGIVEGLMTTVHSITATQKTVDGPSSKDWRGGRAASFNIIPSSTGAAKAVGKVLPVLNGKLTGMAFRVPTVDVSVVDLTVRLEKAATYDEIKKAIKEESEGKLKGILGYTEDDVVSTDFIGDNRSSIFDAKAGIALNEKYVKLVSWYDNEWGYSTRVVDLIAHVAKTL >OIV89253 pep supercontig:LupAngTanjil_v1.0:KV862333:156916:157710:-1 gene:TanjilG_24224 transcript:OIV89253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAKIVDPVTGEALSPGQKVEVRLRGPTIMKDRLKELIKCKAYQVPPVELEHLLHTNPEIDDIAVVLFQWPLFVVRKLGSNIIVAQVMEFVTK >OIV89249 pep supercontig:LupAngTanjil_v1.0:KV862333:12382:16148:1 gene:TanjilG_24220 transcript:OIV89249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGEEGSQQPHLVLACKLFLLTLNDVPDIEKLRLKDEVFTFVKQDDMLPLYETLIGNSVLDADPALLDSMRAKLDDELKKLDEKIADAEENLGESEVREAHLAKSLFFIRIGDKEKALEHLKVTESKTVAVGQKMDLVFYTLLLGFFDMDFDLIAKSIEKATSLFDQGGDWERKNRLKVYEGLYCMSTRNFNKAADLFLDSISTFTTYELFPYDTFIFYTVLTSIISLDRVSLKQKVADAPEILTVIGKIPYLSEFLNSLYDCQYKSFFTAFAGLTEQIKLDHYLHPHFRYYMREIRTVVYSQFLESYKSVTIEAMAKAFGVTVDFIDVELSRFIAAGKLHCKIDKVAGVLETNRPDAKNALYQATIKQGDFLLNRIQKLSRVIDL >OIV89252 pep supercontig:LupAngTanjil_v1.0:KV862333:121745:129223:1 gene:TanjilG_24223 transcript:OIV89252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAAFKSTTKRTPVGPSSPSEDSTASSSSHRQRRSRSLSQFSRPVNPPDNKGNFVNTIRGSKFPEISLDDLAIDFFDSANRGRSGSRSFETKASPASGTASQRRGRSVMRKSSGSADDRRSSIGGGGGGRAVSDANSRRRRSVSVVRYQISDSESDLDHSQNSRSHVNLKNTDTGNQLMQKPVASNQRPMLRKSLSQRDFRSYDGYSSHSSILTDDEGAGAHFNKNGTEKPRPVYALNKVALQDMDNGLHKATRRESRHMGIEQVVVKPRTSTSSTSDRLLSNNSDVIKAVSSIRRNYETELEQSEKRKQDLLAEVVFEEQRGRELSKIVNDLIPATKNDPIQKPSRSRKRSNDRSRMSMRLIEEAEKYIEDFISNVEDTDISSIDGERSDTSSSIGGLIKPEAFSSPPMLRVLPVITDGSEKRKQDLLAEVVFEEQRGRELSKIVNDLIPATKNDPIQKPSRSRKRSNDRSRMSMRLIEEAEKYIEDFISNVEDTDISSIDGERSDTSSSIGGLIKPEAFSSPPMLRVLPVITDGVALPWLQWETSNDATPSAGPNKAQLTLTPNTASSTQEITKAHDQGNNSVSSHGSWSPDLLQEYIGKDVYSKFEGSNQSFSAKSKGLRYDMDEYLKVKSTEDLLIQRWKQQQRINSGSLLLCNLRLF >OIV89247 pep supercontig:LupAngTanjil_v1.0:KV862334:45482:46975:1 gene:TanjilG_24345 transcript:OIV89247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPPISAISTTPPPLKSCKIHSMPPEKIEIFKSLESWASQSVLPLLKPVEQCWQPQEFVPDSSLPFGDFTDQVKALRDRTAELPEEYFVVLVGDMITEDALPTYQSMINNLDGVSDETGSSPSPWAKWTRAWTAEEKRHGDLLRTYLYLSGRVDMKKIEKTVQYLIGAGMDPGTENSPYLGFVYTSFQERATFVSHGNTARLAKEGGDPVLARICGTIAADEKRHENAYSKIVEKLLEVDPTGAMIAIGDMMQKKITMPAHLMYDGEDPKLFDHFSAVAQQMGVYTANDYADILEFLIERWRLEKLEDLKGEGKKAQDFVCGLAPRIRRLQERADERARKMKPRGVKFSWIFNKEVLL >OIV89246 pep supercontig:LupAngTanjil_v1.0:KV862334:4922:5140:1 gene:TanjilG_24344 transcript:OIV89246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHMKRVRLEYEYYIEYDCRKGKRIERGKKTFPLSLPKKTRLREKENWEIYDNQQLKMKMKMEMKKRWGVEE >OIV89248 pep supercontig:LupAngTanjil_v1.0:KV862334:138735:139904:-1 gene:TanjilG_24346 transcript:OIV89248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDLSNSLRGSNLQIFTYQELKEITQNFSKSNFLGEGGFVKVYKGFIDDKLRPRLEAQVVAVKALNLDGKQGHREWSTEVIILGQLKHSHLVNLIGYCCEYMERGNLEEKLFKGYLAALPWLSRIKIAIGAAKGLAFLHEEEKPVIYRDVKASNILLDAVNSQLAFVNSF >OIV89245 pep supercontig:LupAngTanjil_v1.0:KV862334:976:4460:-1 gene:TanjilG_24343 transcript:OIV89245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHISNEARVDHFPIGPSGILGRTIAFRVLFCKSISHLRHQIFLVLLDILHKFKRVWAPVISWLNPRNPQGILAMITIVFFLLKRSKGVKVKAEMAYRRKFWRNLMRSALTYEEWAHAAKMLDKERPKMNEADLYDVELVRNKLQELRHRREEGSIRDIIFCMRADLVRNLGNMCNPELHKDRLHAPRLIKEYIDEVSTQLRMVCDSDSEDLALEEKHAFMHETRHAFGRTALLLSGGASLGAFHVGVVKTLVEHKLLPRIIAGSSVGSLMCAIVATRSWPELQSFFEDSLQSLQFFDQMGGIYTVVKRVTTFGAVHEIRQLQMLLRHLTSNLTFQEAYDMTGRILGITVCSPRKHEPPRCLNYLTSPHVVIWSAVTASCAFPGLFEAQELMAKNRSGEIVPYHPPFNLGPEESSTPVRRWRDGSLEMDLPMIQLKELFNVNHFIVSQANPHIAPLLRLKEIIRAYGGNFAAKLAHLVEMEVKHRCNQVLELGFPLGGLAKLFAQDWEGDVTVVMPATLAQYSRIIQNPSYVELQKATNQGRRCTWEKLSAIKANCGIEIVLDECVAILNHRRRLKRSSERAVAATSHGLPSAVKFSAIRRIPSWNVIARENSSGSLDDFIADASSTLHQSVNGYGAASKNWKSHRSVHDVSDSESESAELNNWTRSGGPLMRTASADTFIDFVHNLQLDTELNTGTVDDANPHDFQYRNPRLTTPDRRSESAESVNKENGNSVVTNGSSIMLTEGDLLQPEMIHNGIVFNVVKKEELTSSYRSNDYDSYNNEVAESVQIDCPGKEFDAASSASETGDDDSTTAKSLTETSDYNATDHHSE >OIV89239 pep supercontig:LupAngTanjil_v1.0:KV862335:2015:7294:1 gene:TanjilG_24359 transcript:OIV89239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPLLPKHLTTLSQARVHVFQLFHFSASPNYQSSSQPSSSSSISRNVRVSVWWDFENCTLPRGINVCKIAPAIMEAVRANRIKGPLHITGFGNVLQFSKDNQEALAYTGIYLTHIPNGGKNSADRSLLVDLMHWVSQNPPPAHLFLISGDKDFAGLLHRLRMNNYNILLATSGKASDLLCSAATIAWQWPSLIKGEDLTGKHLNHPPDGPFGSWYGNYKMPLENPFSAVEQSTSSPAVEIYKPTLESKPGVIPKSIVKKVRYILNSHPKGISIFDLRAELAKCDMHLDKSFYGHKTFSRFLLSIPNIQLQSLGNGNFCVRLIHQRSSEPAKSIVLPSTTSAMKDKGKGYGATPKSDGEVKNMVRDADETLSIASLHERSIVDGSKSFQQVPSLDKSSVEYVDGKPSFSPSTERRMGELLKEFQISSLDSEKIVGVADAQLSEIKPPLKDNEISKTKKSLYKSSKQSLDNDFVRSKDVTHKNPEKYTIPENRANGSSYTTVENNCIMNDKSENFKTGGKYEKPTRKDADKICRSSYFLPVNDSVADKSSNNNAETYRKCSTFFSWIRSWWPFSKSHPRSDGLTSYQDKMDSHSEELKLSEPDKTVSCSEELKFSEPDHTVNHSEEHKLSEHDKIVNHYKESKLFQLHKTVNHSEELKLFNLDQTVGHSEEAMLSELDQNDSHSGKPELFSSESFWSDMESFVFSTKGSLLFSQSRSREDMAHKLQKGGPLFLRSLTEEDILKLNQSNPHTGVSEPATETKCTEKSVNDILAYCQKLVKEVLRERPEGYNIRSFQNLFVNRHGYHLDIQKLGYKKLTSLLQIMPGAKLESPFIFPSDPDVLASDGEASILNTAVINASHAVCNSDSEMTNSATEDDNIDSPWEELGPIIHNSNHSDLESKLSPKVKDLDIPRHPDYEPIVLDDDSSESEGDIPCLTDPEEHAMLKCNEKDSSLLHFVDLYQSKEAEKLKCVDHWGNYVADLLDKSTEFTQSTVSKIPSGSCKEKHRSQKSYSFVADPVVPNKDKLIDLILDACKKTEESNMQN >OIV89242 pep supercontig:LupAngTanjil_v1.0:KV862335:61572:64929:-1 gene:TanjilG_24362 transcript:OIV89242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRYQRVQKPKIESPINQNEIRITTQGRITNYITYATTLLQEKGCDEIVLKAMGRAINKTVMIGELIKRRIIGLHQNTQIGSTDITDTWEPLEEGLLPLETTRHVSIITITFSKKELDTSSTGYQPPLPPDQVKPSNGYEEDGEGSPYMRGRGHGRGRGRGRGFYNGSMEYGDGWDDGRGYGGRGRRRGRGRGFQGRGRGRGYGTQPVGYYDYGEYDAPPAPRDRGRGIGRGRGRGRYFRTDRAEGGAAA >OIV89244 pep supercontig:LupAngTanjil_v1.0:KV862335:131975:135538:1 gene:TanjilG_24364 transcript:OIV89244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPKHTKCSSLFLFFSFFTFIPLSSPSPLLNFKATLPNPSILPSWLPNKNPCSFNGVTCNKQTNQITTLDLTSIPLTVNFTVISTYLLTLDHLQILTLQSINLTGPITPLKKCSTTLTTVDLSHNSLSGTVSDVTSFSHCPNLQSLNLSSNLLEYSTRKQPPWTLNVKLLDLSHNKISGPDFLTWILTRGCHELNQLHLQGNKLTGLTDFSGCTTLEKLDLSGNNFSVSIPSFGECISLKFLDLSSNKYSGDISQTLSNCNQLIHLNVSSNQFFGPVPVFPYGSSLKFLYLDNNHFFGEIPVQIATNLCFTLVEVNLSLNNISGTVPDAFTACSSLQLLDISRNKLTGELPISVFVKMSSLKQLVLAFNEFNGSLPESFSEMVGLESLDLSANSLFGSIPKGLCQDPKNSLKELYLQNNHFSGSIPSTLSNCSNLVTLDLSFNYLSGTIPASLGFLSKLCDLILWLNQLDGEIPEELKYITTLENLILDFNFLTGNIPSGLSNCTNLNWISLSNNRLSGEIPAWIGKLSNLAILKLSNNSFTGRIPPELGDCKSLIWLDLNTNALTGPIPLELFKQSGKITLHFIIGKTYAYIKNDGSKECHGAGNLLESAGINQPQLSRISVLKRNSCNFTRVYGGKVQPIFNRNGSMIFLDISYNMLSGSIPKEIGAMSYLTILNLGHNYISGSIPEELGKVKTLNILDLSHNRLGGKIPPTLTRLSLLTEIDFSNNNLTGLIPESGQFDTFPADKFMNNSGLCGVPLNNECGKDPGPSAGGRHHSSERRRASLVGSVAMGLLFSLFCIFGLILVAIETKKRRKKKEAALDVYIDGNSHSGNANSLWKFTSAREALSISLATFEKPLRKLTFADLLEATNGFHNDSLIGSGGFGDVYKAQLKDGSIVAIKKLIHISGQGDREFTAEMETIGKIKHRNLVPLLGYCKVGEERLLVYEYMKYGSLDDVLHDPKKVGIKLNWAARRKIAIGSARGLAFLHHNCNPHIIHRDMKSSNVLLDENLEARVSDFGMARHMSAMDTHLSVSTLAGTPGYVPPEYYQSFRCSTKGDVYSYGVVLLELLTGRKPTDSADFGDNNLVGWVKQHAKLRISDVFDPELMKEDPNLEIELLQHLKVASACLDDRPWRRPTMIQVMAMFKEIQAGSGIDSQSTVATEDGGLNAIELVEMSIKEDPELSKQ >OIV89243 pep supercontig:LupAngTanjil_v1.0:KV862335:76628:79716:1 gene:TanjilG_24363 transcript:OIV89243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSETKGDRKATLDAASWFFNVVTSVGIILVNKALMATYGFSFATTLTGMHFATTTLLTTILKSLGYIQSSHIPLADIIKFVLFANFSIVGMNVSLMWNSVGFYQIAKLSMIPVSCFLEVVLDNVRYSRDTKLSIILVLLGVAVCTVTDVSVNTKGFIAAAIAVWSTALQQYYVHFLQRKYSVGSFNLLGHTAPAQAASLLLVGPFMDYWLTNKRVDAYNYGLTSVLFIILSCSIAVGTNLSQFICIGRFTAVTFQVLGHMKTILVLILGFIFFGKEGLNLQVVLGMLIAIMGMVWYGNASSKPGGKERRSFSIPTTKTPDYSALPVSAEPDEKV >OIV89240 pep supercontig:LupAngTanjil_v1.0:KV862335:11988:14166:1 gene:TanjilG_24360 transcript:OIV89240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDNLRSILECSGVDVWSFIDTAITVAATDSGEELKRRRDAIVERLYSAVNAPLSCRNCEVGGNRSVVTANQVKKHISPSRSPKRQPQQRRFVSSPETPQSLENDNENDNDLDPYGGLFEDEQKKILEIKEQLEEPEQSEDSLVDLLQNLEDMDITFQALTETDIGRHVNVLRKHSSNDVRKLVKLLVKKWKEIVDEWVKSNPHGETSTLMGDGDSPPLHKTTQSGHHQIPDFAYSPNPHNGSSGSDRNEGELKSKVIPRRREAPTPSPSLHTPAPSALQIRQREQRESNFDAERLSSATKRLQANYKEAENAKKQRTIQVMDIHELPKSKSKNTFFGKNKGSAGSQGRHW >OIV89241 pep supercontig:LupAngTanjil_v1.0:KV862335:24602:24964:1 gene:TanjilG_24361 transcript:OIV89241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGESRGRKTQMKKLITESDIEAAQQLIQLSEEDNNSHNNNNKKLIMGKRNRRRFEDEEVDQSVYGDIELEKKIQEVFGENQIFQPKKKKRYRSLKNVYKETKPVKGTKKVSASENEERKP >OIV89234 pep supercontig:LupAngTanjil_v1.0:KV862336:21244:23734:-1 gene:TanjilG_24384 transcript:OIV89234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKVMAVLISLIGLCLFTMNTYAFSPSGWINGHATFYGGSDASGTMGGACGYGDLYSAGYGTRTAALSTALFNDGASCGECYKIICDYKTDPRWCIKGRSITITATNFCPPNFDLPSNNGGWCNPPLKHFDMAQPAWEKIGIYRGGIVPVLFQRVPCKKHGGVRFSINGRDYFELVLISNIGGAGSVESASIKGSKTGWLTMSRNWGANWQSNAYLNGQSLSFRVTTTDGETRVFPNVVPANWAFGQTFSSPVRFS >OIV89235 pep supercontig:LupAngTanjil_v1.0:KV862336:25746:31740:-1 gene:TanjilG_24385 transcript:OIV89235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQDTEMKDNPTMPSQSLPPPPPSTLHHLKEIASVIENGSKSNEVRRIGRAVRLTIALRKRLTASVLSSFIDYALIPGSDPHPVLSSYLHKEDDQAMETDTGISAAQTQGKPLSPELEIYCYFVVLLFLIDNKRYNEAKDCSSASIIRLKSLNSRTVDVIASRLYFYYSYSYELTGDLSEIRGNLLALLRITTLRRDELGQETLLNLLLRNYLHYNLYDQAEKLRSKAPRFEAHSNQQFCRYLFYLGQIRTIQLEYTDAKESLLQAARKAPVAARGFQIQCNKWAVIVRLLLGEIPERTVFMQRGMEKALRPYFELTNAVRIGDLELFRSVAEKYATTFNADRTHNLIVRLRHNVIRTGLRNISISYSRISLIDVAQKLRLNSANPVADAESIVAKAISDGAIDATLDHANGWMVSKETGDIYSTNEPQLAFNSRIAFCLNMHNEAVRALRFPPNTLKEKESAEKRRERQQQEQELAKHIEEDDDDDF >OIV89236 pep supercontig:LupAngTanjil_v1.0:KV862336:80086:83343:-1 gene:TanjilG_24386 transcript:OIV89236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRYQKVEKPRAEAPIDENEIRITSQGRMRNYITYALTLLQEKGSNEIVLKAMGRAINKTVTIVELIKRRIVGLHQNTAIGSTDITDTWEPLEEGLLPLETTRHVSMITITLSKNELNTSSVGYQPPLPADQVKPSTDFDYEGEVSPNGRGRGHGGRSRGRARGNGFISADYEDGGWDRNRGRGRGRGRGFRGRGRGGYNGPQNDIQHDGGYNQDVPHGRGRGRGRGGYRGRGRGFRPNGPIQAEASNQWA >OIV89237 pep supercontig:LupAngTanjil_v1.0:KV862336:112190:112960:1 gene:TanjilG_24387 transcript:OIV89237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENKQPKLKNNILLKIIPEAAAAMSATFHNSPFTPDRDHKLRSVHNKTKCYKGTKGFSGAMIPQEARRRRNNDDDVETHEPISPKISCIGQIKQKKTHTEKVKTKTTSLSTSHINIEMCEVDSDDCEIDTEVIKKKHHLKNKFQTMFCHAAKPKTGSRKKLSSNLPVVGKGFNYSNDDTVSNIAPSTGDMKRFGSGRETFTNFDWRSQIVPEEMDQRDCLTDVKEENDDDDVINPEPVLVRGGSGRYNDLSLQPRD >OIV89238 pep supercontig:LupAngTanjil_v1.0:KV862336:141299:141799:-1 gene:TanjilG_24388 transcript:OIV89238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVTSTAITIPSFTALKANPTTKAVISTVKVSSPSKLFSVKASLKDFGVAVVATAATAILASNALAVEVLLGSDDGGLAFVPNDFSVSAGEKIVFKNNAGFPHNVVFDEDEIPGGVDVSKISMAEEDLLNGPGESYSVTLSEKGSYSFYCSPHQGAGMKGKVTVN >OIV89232 pep supercontig:LupAngTanjil_v1.0:KV862337:65145:66670:1 gene:TanjilG_24389 transcript:OIV89232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVTSSHSGSGDELLMAETEPLSVVHDRDHRGSENGVWIDILVDIKMHGMAQLLTIPEQDSWL >OIV89233 pep supercontig:LupAngTanjil_v1.0:KV862337:129900:133410:-1 gene:TanjilG_24390 transcript:OIV89233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKEGPNWDGLLKWSIAHSDGTHPTRNLSEEDRKWFMEAMQAQTIDVVKRMKEITLVMQTPEQELEAQGVTPEDIEDVLDELQEHVESIDMANDLHSIGGLVPLLGYLKSTHANIRAKAADVVTTIVQNNPRSQQLVMEANGFEPLISNFCSDPDVNVRTKALGAVSSLIRHNKPGIAAFRLANGYAALKDALTSENVRFQRKALNLIHYLLHENSSDCSIVNELGFPHIMMHLTSSEDSDVREAALRGLLKLARNKKDESLEDVDKLKQLLQERINGISSMSAEDLGAVKEERHLVDSLWSICFNEPSSLREKGLLVLPGDDAAAPDVASKHFEPPLRSAAGNPSSKKGSNNEIKKETPLLLGIGPSSART >OIV89225 pep supercontig:LupAngTanjil_v1.0:KV862338:40493:41917:1 gene:TanjilG_24393 transcript:OIV89225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFLHNNLLSSLFLLTFHTLSVLSHLFSPIDNYLINCGSTAPTTTLHDNRHFSGDLASKQPSPPLFSSPSTSAVSLQNDNNLLHDLPSLYHTARVFTTTAKYSFPITATGTHIVRLHFHAFNSSNYDLGRSKFHVLVNGYVVLSNFSHSENGNPRVIEYLIWVGAEKLVIVFVPIKGSNFAFVNAIEVISAPQDLVPDTAQYLNVSSGNVEKFDGLSRQGIEVVHRVNVGGPKVTPFNDSLWRTWIPDDEFFGSSAVVGSEKLYFGGRIKYQAGGASREVAPDNVYNSARLIRSKNGSVPNVSMTWVFPVVEGYKYLVRLHFCDIASISLGLLYFNVYVNGYLAYEDLDLSYITNSLASPFYADFVVDRNSSSPLSVSVGPSKNSIPRAIDGILNGVEVMKLSNSHGSLDGEVCADFVLKSFSRGNTGVFLTSVAAICIVLSLFIVIRRRVIGCRESVTWSRLPVNLSDDNVKT >OIV89223 pep supercontig:LupAngTanjil_v1.0:KV862338:14158:23195:1 gene:TanjilG_24391 transcript:OIV89223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNRWMRLKQVLSKSKRFSLQKLCSQHCSFFIRDRNSSPAYAWVKCKRRQDKNCYKILEAAGINGRQGSLYSAGDRYVRLSLMRSQDDFEILINKLRNLVAKK >OIV89230 pep supercontig:LupAngTanjil_v1.0:KV862338:145177:145620:-1 gene:TanjilG_24398 transcript:OIV89230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTASGESLYEGVCKETKNPDCLPLLKDDPRITTAKNYLDLSRFILDFAENKAREGQKVMLQIAKEHPTVRINLCANHFYEGTITSFISAKGELIEDPMTATYDAKVAGDGPEYCAEAFTAANLENPPINKLVALVSIIAFYATDHLD >OIV89228 pep supercontig:LupAngTanjil_v1.0:KV862338:84875:85429:-1 gene:TanjilG_24396 transcript:OIV89228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSTKVSYFLLTLSMILISQSPMLALGDSLFEIICKDDLKETIVCLQLLKTDPQISSATNYFDLSIFIMDFALKKGIEGQNFFINLGKKNPSQAINQCATIYYNSIITAFKGGKRELKEHADIARYETTVAGDGSKNCSSAIEAEKISNPAIDDINDKMSILSDAAFIAVVHYENEKRNNGIGL >OIV89231 pep supercontig:LupAngTanjil_v1.0:KV862338:150429:150875:-1 gene:TanjilG_24399 transcript:OIV89231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASGKSLYEGVCRETQNPAGCLQLLRHDPQITSAKNYFDLSRFILEFGEKKATEGKEYILQIAKEHPTPQITLCAKNTYGSLPTSFIIARDEMINDPKSATYDALVIGDGPAYCAEAFRKANVENPPINKMMTLLSHIAYYAIEHLT >OIV89227 pep supercontig:LupAngTanjil_v1.0:KV862338:52226:55115:1 gene:TanjilG_24395 transcript:OIV89227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMASSIPCIKIPTSPSSPSTSSYSFRFSSFKIHSVTIRNSKAEGPIRRPVAPPVREPSLKPIPPTTVGPTLQNSASVVVGDDKNVITLEFQRQKAKELQEYFKQKKLDEAASQSPLFGFIGKNEISNGRWAMFGFAVGLLTEYATGSDFVDQPIKLNRAKGISDRDRQGPNVPDDRDRPGCDAGPDVLDDRDKPRRDAGPDAPDDRDRRGKVHQMTETNKPWRMGLDA >OIV89229 pep supercontig:LupAngTanjil_v1.0:KV862338:114465:114887:-1 gene:TanjilG_24397 transcript:OIV89229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASGNSLYEGVCRETEKPGCLSLLKYDPRITSGKNYLDLSRFILEFAEKKARVGKQYMLQIAKKHPTRLITLCTNSYESTITAFKSAKGELNDDPRTATYDAKIAGDAPKHCAEAFAEANIENPPINKIVALVLLHFMP >OIV89224 pep supercontig:LupAngTanjil_v1.0:KV862338:37290:39002:1 gene:TanjilG_24392 transcript:OIV89224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLVANEDFQHILRVLNTNVDGKQKIMFALTSIKGIGRRLANIACKKADVDMNKRAGELTAAELDNIMTVVANPRQFKIPDWFLNRKKDYKDGKYSQVVSNALDMKLRDDLERLKKIRNHRGLRHYWGLRVRGQHTKTTGRRGKTVGVSKKR >OIV89226 pep supercontig:LupAngTanjil_v1.0:KV862338:43985:46560:-1 gene:TanjilG_24394 transcript:OIV89226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSSKAEKKAAVDAAAWMFNVVTSVGIIIVNKALMATYGFSFATTLTGLHFATTTLMTTILRMLGYVQSSHLPLPDLLKFVLFANFSIVGMNVSLMWNSVGFYQIAKLTMIPVSCLLEVVLDKIHYSRGTKLSIGVVLLGVGVCTVTDVSVNTKGFIAAFIAVWSTSLQQYYVHLLQRKYSLSSFSLLGHTAPVQAASLLLLGPFLDYWLTEKRVDRYDYNTTALMFIIMSCTIAVGTNLSQFICIGRFTAVSFQVLGHMKTILVLILGFFFFGKEGLNLHVVFGMIIAVAGMVWYGNASSKPGGKERRTHSLPMNRTETR >OIV89218 pep supercontig:LupAngTanjil_v1.0:KV862339:32109:34428:1 gene:TanjilG_24400 transcript:OIV89218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RNIVSTVNLDCKLDLKTIALQARNAEYNPKRFAAVIMRIREPKTTALIFASGKMVCTGAKSEAQSKLAARKYARIIQKLGFPAKFKDFKIQNIVGSCDVKFPIRLEGLAYSHGAFSSYEPELFPGLIYRMKQPKIVLLIFVSGKIVLTGAKVRDETYTAFENIYPVLTEFRKNQQWYGHDSFCLSFPVEFIGYTIALQFSASLLLFRFLPLAITIITA >OIV89221 pep supercontig:LupAngTanjil_v1.0:KV862339:108163:109344:1 gene:TanjilG_24403 transcript:OIV89221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEKENSTQGEDNTMFSPYRMGNFNLSHRVVMAPLTRCRALEGIPQPAMREHYSQRATRGGLLISEGATISPTSAGVPHAPGIYTEEHVEAWRNIVDAVHAKGSIFFCQLWHVGRASHSVYQPGGAEPISSTSKRLSDRSKVLLPNGTYDFYPQPRQLSTSEIPEIVEHYRQCAINAIRAGFDGIEIHSAHGYLIDQFLKDGINDRTDEYGGSYENRCRFLLQVVQAVASAIGPERVAVRISPAIDHLDATDSDPLCLALEVVERLNNLQKQHGSKLSYLHVTHPRYSHNTNIQLGQHSTEVEQVKLLKTIRKAYDGTFMSSGGFTRDSGMKAIAEGETDLVSYGRYFIANPDLVLRFKRNADLNDYNRMTFYTHDPVIGYTDYPFLDEPSET >OIV89220 pep supercontig:LupAngTanjil_v1.0:KV862339:100148:101326:1 gene:TanjilG_24402 transcript:OIV89220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEKENSSQEDNTMFSPYKMGNFNLSHRVVMAPVTRCRALEGIPQPAMREYYSQRATQGGFLISEGTAISSTSAGFPHAPGIYTEEHVEAWRNIVDAVHAKGSIFFCQLWHVGRASHSVYQPGGAAPISSTSKPLSDKSKVLLPDGTYDFYPQPRQLNTSEIPEIVEHYRQCAINAIRAGFDGIEIHSAHGYLIDQFLKDGINDRTDEYGGSYENRCRFLLQVVHAVASAIGAKRVAVRISPAIDHLDATDSDPLGLALAVAERLNNLQKEHGSKLTYLHVTHPRYSHRTKIQLGQHSTEDEQVKLLKTLRKTYDGTFMSSGGFTRDSGMKAIAEGDTDLVSYGRYFIANPDLVLRLKLNADLNDYNRMTFYTHDPVIGYTDYPFLDEPSET >OIV89222 pep supercontig:LupAngTanjil_v1.0:KV862339:137721:138902:1 gene:TanjilG_24404 transcript:OIV89222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKVNSRQGEDNTMFSPYKMGNFNLSHRVVLAPMTRCRALEGIPQPTMGEYYSQRATQSGFLISEGTTISPTAAGYPRVPGIYSEEHVGAWKNIVDAVHAKGSIFFCQLWHVGRASHSVYQPGGAAPISSTNKSLSARCKVLLPDGSIDSHPDPRGLNTYEIPEIVEHYRQSAINAIRAGFDGIEIHSAHGYLIDQFLKDGINDRTDEYGGSYENRCRFLLQVVKAVASAIGPERVAVRISPAIDFLDAIDSDPLGLGLEVVKRLNNLQKELGSKLCYLHVTHPRFWLKPTSQLGIPNTEEEQGKLLRTLRKAYDGTFMSAGGFTRDAGMKAIAEGDTDLVSYGRHFISNPDLVLRFKINAPLNDYNRKLFYTADHVIGYTDYPFLSKPSET >OIV89219 pep supercontig:LupAngTanjil_v1.0:KV862339:42521:45280:-1 gene:TanjilG_24401 transcript:OIV89219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPWLIIPLIGLWALSQLLPPAFRFEITSPRLACVFVLLVTLFWYEIFMPQLSAWRVRRNARLRERKRYEAMELQKLRKTATRRCRNCLNPYRDQNPGGGRFMCSYCGHVSKRPVLDLPGIGVSSSGIVKELMGKGGKILDGKVWNENGWMCGGQDWLENRNWVGGSVSSKASSWRMSGSGGGVFGGNDNCLTEKSYGGVLFYACRVLTSFFLSIRWLWRKIFCFGSREECSSDAKHIVKQGDNGGGLHESRVEKSRRKAEEKRQARMEKELLEEEERKQRQEVARLVEERRKLRDEKIEAEKGYCKPSYPARDKDSKKEAERKRQEKRKEKDKGSSKSNSDVEDLEKRTDKESERKRECDRKTVTDYREQRKYVPESGKGLNTDNIHGKNAINNYNQGSAGARYLDRMRGTILSSKAFGFGRSTNFSTNAVKDNKSNSSVYHVHTAPVRRDIGHPEHLTAKSNTKGDDRNINHSVLPEQQPWTAPKKSWQQLFTRSSSVPRSSTSNVICRPNSKIQAEDRSPQLSGQSSITQSFDNPIHFGLPSPFNLSTFPNGSGSSSLGFSPPIEPLFSPVGEASHDFRHEEQELFEDPCYVPDPLSLLGPVSESLDDFQLDLGSGFMTGKEVDKPHTSKNSTAGSEVNKPSPIESPLSREKHSCSNQFPSAPQVPDLHVFPLDDATANAKGTWQMWNTSLLGQEGLGLVGGSGSWLLPSQMNIPKKDHFVLPSSQKTMASPFNKDDSIISSTHSPQNVFLHGQSSGTYSHVTGSSYDPWSQNGLFPQLTGGLKAQAGAGNNVLDSYTLNNWSK >OIV89216 pep supercontig:LupAngTanjil_v1.0:KV862340:131593:133707:-1 gene:TanjilG_25004 transcript:OIV89216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGEEEGKDLTGCHLRPHSRSISWTDRAPVNRKPPNRPRSLLPPLQPLSINKSCDGEWPSAGSDDLGIWPLPQTPRGSIRLTEPGSMKEFQFKREKLAFYDKECSRIADHVYLGSDTVAKNHELLRQNGITHVLNCVGFVCPEYFKGDFVYKTLWLQDSPSEDITSILYDVFDYFEDVREQGGRVLVHCCQGVSRSTSLVIAYLMWREGQSFEDAFQYVKNARGVTNPNMGFACQLLQCQKRVHAMPASPNSIRRIYRMAPHSPYDPLHLVPKMENQPCAQVLDSRGAFIILVPSAIYVWTGKNCSCVMSSNAKSAADQVIHYEKVNGPVLMIHEDEEPPDFWIALSNEQLLSDACDKTEVKKDAALPSDGRIKINNVVVKQIPPRKVDEYDLDFEIFHKALVGGVVPPFSAYSAESETRLPARENSWARLRRKLASGLIKGLLTSCKNTNSSKDETCVIKEKEEKQHPVVYPASPSSNHPSGSPDSLECFPDNSSDRAKDTLKVMDQLVPSVDSSLPPSPGGKSDSVACIKKVISSPSEGFSDNNTLGGAKFYSPSKGSSPLSIAERGRSNLPPRSINHPVPTSGKWLNIDTDIISTGVESENDGRGSL >OIV89214 pep supercontig:LupAngTanjil_v1.0:KV862340:36495:37673:-1 gene:TanjilG_25002 transcript:OIV89214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKPWGSIGAWAADSERAEAEEREAEAVAQTAVSQNFPSLKEAVNVKQPKKKKMTLSEFSKIASGGGSGGGSSSEYRGLTPDEMLRLPTGPKERSAEEMQFSRGGFSSYGRSGGPTRDRDDNHDGSWGGGRRSYGGFDEEPRRGNNSRVSELDQPSRADGVDNWASVKKSLPSFDSGRQNRYDSLGGGGGGDRDGGFGGGSRADGVDNWAVGKKPVPARSSNFGPSNYGSGFRDSGVEPDRWTRGSPLPQREERERPRLVLDPRKSGDDSVNEAPAKTNKTNPFGAARPREDVLAGKGLDWKKLDSELEAKKPTSRPTSSHSSRPSSAQSGRSEGPGLQGAETVVKPRPKVNPFGDAKPREILLVERGMDWRKIDLELEHRRVERFVLCFDL >OIV89215 pep supercontig:LupAngTanjil_v1.0:KV862340:75518:76894:-1 gene:TanjilG_25003 transcript:OIV89215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVFTFVLRNSGGEWKGKQHSGDIEASAASTFQLQRLLVQAALAVDSSGGVQSSFSTVSPTSAVFQVIVGGAAFVGGGVAAAAPAGAAPAAEAAAPAKKEEKVEEEEDEEEFGLSLFD >OIV89217 pep supercontig:LupAngTanjil_v1.0:KV862340:135997:136416:-1 gene:TanjilG_25005 transcript:OIV89217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGEEEGKDLTGCHLRPHSRSISWTDRAPVNRKPPNRPRSLLPPLQPLSINKSCDGEWPSAGSDDLGIWPLPQTPRGSIRLTEPGSMKEFQFKREKLAFYDKECSRIADHVYLGSDTVAKNHEYWIRDQSPLYYNFSSK >OIV89213 pep supercontig:LupAngTanjil_v1.0:KV862340:31869:32502:-1 gene:TanjilG_25001 transcript:OIV89213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQQKERELELLVHDLDDKVRFGQKAVERPGSSAGRSTGFSDRPDSRSGSFEDSRSVEFNDRPRSRGTGDRLTHPSDERRPYQGSRGRGGFLGSRDLNRSGSRERW >OIV89212 pep supercontig:LupAngTanjil_v1.0:KV862341:42034:45010:-1 gene:TanjilG_25019 transcript:OIV89212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVSSKPIPLLKDELDIVIPTIRNLEFLEMWRPFLQPYHLIIVQDGDPSKVIKVPEGFDYELYNRNDINRILGPKASCISFKDSACRCFGYLVSKKKYIYTIDDDCFVAKDPSGRDINALEQHIKNLLTPSTPHFFNTLYDPYREGADFVRGYPFSLREGVPTAVSHGLWLNIPDYDAPTQLVKPRERNTRYVDAVLTIPKGTLFPMCGMNLAFDRELIGPAMYFGLMGDGQPIGRYDDMWAGWCVKVISDHLDLGVKTGLPYIWHSKASNPFVNLKKEYKGIFWQEEIIPFFQKATLSKDATTVQKSYVELSLQVKEKLGPIDPYFVKLADAMVTWIEAWDELNTASEAKHANGVAA >OIV89209 pep supercontig:LupAngTanjil_v1.0:KV862342:45737:45937:-1 gene:TanjilG_25021 transcript:OIV89209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSESKKMMEEYGRDWRSEEDDGRDDEMRLEENGKVNREKGKVNREKGKVYTLLASLMCNSATGPI >OIV89211 pep supercontig:LupAngTanjil_v1.0:KV862342:159544:162985:1 gene:TanjilG_25023 transcript:OIV89211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPLNFHYEDVSRQDPLLKLNHANVMEVPGSCQIRVVPKAPYDFIIKNGKLAMEIPRGQKFIQTKRGSTGKSFRSNPFLGSKKDKGYVSDLARQSTLRGHGMSNFSVRISTVMSLLDSPVEIRENSIQFSMETEFCEFSPELEDHFEIFEHIRGFNVTIVTSANTQDETLPPWSGFLQKDEGETHKMISPRVLERRESGKNPSDSVTEQSDDSSVTQDDPLLASFAVYPLHPSEIVTGVFLAMHYTPHVDLAFNSVEHVMRDVEGGWLLRYMHANGASMFLIVVHLHIFRGLYHASYSSPREFVRCLGVLIFLLMIVTAFTGYVPPWGQMSFWGATVITSLASAIPVVGDTIVTWLWGGFSVDNATLNRFFSLHHLLPFILVGASLLHLAALHQYGSNNPLGVHSEMDHISFYPYFYVKDLVGWVAFAIFFSIWIFYAPNVLGHPDNYIPANPMPTPPHIVPEWYFLPIHAILRSIPDKSGGVAAIAPVFICLLALPFFKSMYVRSSSFRPIHQGIFWLLLADRLLLGWIGCQPVEAPFVTIGQIPPFVFFLFFAITPIPGRVGRGIPNSYTDETDHT >OIV89208 pep supercontig:LupAngTanjil_v1.0:KV862342:4324:8783:-1 gene:TanjilG_25020 transcript:OIV89208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPWGSPQRPSKLSQACWCVFPWRCGQFDRVIFRAESQSHVPRCRLVLWLGFVRARRTYRGCIKSFDSSSRTNSDFLMCESLLSRCRLRHTVPSWVVMGTGRTCQGFKCCGASIFLRGTRNCFAMVACKVSSRNSTPRRCCPSWHQGVCRTLVENHLSNNMPRVGLSLGNVLAVEALDWSHGAESVVAASHGRSEASTVTCPARKDVVLGAALRGTSPIIRKCVVGWFARLKSRCGGVRHTQQCDYPDVVSGDALRAEPHHVEGSTQALPVALMIHDNSSDRTAFVPATHHSNFCPINFRWIGVMINKDSRGHSYFIVRERKLGARRRSDTVLVSTINDADQGSADVAFRTPLAPYEKSKSLGSGGSMVARLKLKGIDGRAPPGVEPAA >OIV89210 pep supercontig:LupAngTanjil_v1.0:KV862342:151016:158186:-1 gene:TanjilG_25022 transcript:OIV89210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKPGRLKTKYIQIKAKNKIGKDWVVEDDSKLSMLLECFIERSHEKTYVSRWNWPITKGDVRSSLLYVDVIEATTIKAGPFIALLLSPRVGQAVELENYQCFSPSIPSGGILESIGLPKGCSSALPLSKGGGTLILPLSAIAMSQSWKTPLLASALLLPQTDLGGDLYSNRRRELIDAMTALPCLKAAVFSGRVEGLSFYDSFRISDPYDMISGDPSQYSIKARASPLLDFTSN >OIV89204 pep supercontig:LupAngTanjil_v1.0:KV862344:98297:98641:-1 gene:TanjilG_25034 transcript:OIV89204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSKSTSTYKGVRLRKWGRFAAEIRDPIRKNRVWIGTFDTELEAADAYAKKNNEFMEALQSGNATHSKDTKVLCSKQKKKYKKVSILSLQSSWSPPPPSAIESSQGNVFKVGFK >OIV89206 pep supercontig:LupAngTanjil_v1.0:KV862344:117657:117875:-1 gene:TanjilG_25036 transcript:OIV89206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSKSTSIYKGVRLRKWGRFAAEIRDLIRKNKVWICTFDTELEAAEAYAKKKNEFKEALQSGNATQSKDTKV >OIV89203 pep supercontig:LupAngTanjil_v1.0:KV862344:20311:20668:-1 gene:TanjilG_25033 transcript:OIV89203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSMEKTARWFVIRAIMALQGIIHGWGHFFFSSPFSLDEGESKESEGYMVRWFAVFFRFKSLFSRGVVGVRYGFGCPFSITLNNDSVWG >OIV89207 pep supercontig:LupAngTanjil_v1.0:KV862344:132834:133283:-1 gene:TanjilG_25037 transcript:OIV89207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSKSTSTYKGVRLRKWGRFAAEIRDPIRKNRVWIGTFDTELEAADAYAKKNNEFMEALQSGNATHSKDTNVLCSKQKKKYKKVSIHSLQSSWSPPPPSAIEGANCDINGQ >OIV89205 pep supercontig:LupAngTanjil_v1.0:KV862344:106676:107125:-1 gene:TanjilG_25035 transcript:OIV89205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSKSTSIYKGVRLRKWGRFAAEIRDPIRKNRVWIGTFDTELEAAEAYAKKKNEFKEALQSGNATHSKDTTVLCSKKKKKYKKVSIHSLQSSWFPPPPFAIEGSQGANCDINGQ >OIV89199 pep supercontig:LupAngTanjil_v1.0:KV862345:44527:45432:1 gene:TanjilG_25112 transcript:OIV89199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKIPNHQVAGHKAIDGILGPLIDDSGNFYKPLQNDDRGSNEVSFYESFNSEPRVPKSILKYFPIFHGTKIVNASDGSGLHPHLVLEDVASCYPNPSVMDVKIGSRTWYPQASEEYIKKCLDKDRVTSSITLGFRVSGLKLVGSVANEDDLSWQPDRKFLQNLSAEDVKLLLSKFVSSNMPSEDAAACPDRAFVEKVFGGSNGVLEQLLELKKWFEVQTIFHFYSCSVLMLYDKESLLNGKSSGGAVVKLVDFAHVVDAEGAIDHNFLGGLCSLIKFISDIIASPDDENCISNNNGTKYEH >OIV89201 pep supercontig:LupAngTanjil_v1.0:KV862345:79207:85594:-1 gene:TanjilG_25114 transcript:OIV89201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHPQNGSSDRTPFLHHHHHHPPTVSNGHVPALPPPTLSSYGLNSTLLTSPQRDHNPDNYDDMRLEFNHLIFSSLEQYLPPHLLNRSRDEKANYMTSILHRYLPESERIRIQKHKEYRQKIISNFTVDHFERWVNQTKFRIMRPNTMNQYGAVLDDFGMETMLDRLMNDFILPISRVFFAEVGGSTLDSHHGFVVEYGTNRDVELGFHVDDSEITLNICLGKEFSGGELFFRGVRCDEHVNTETQSEEIFDYSHVPGRAVLHRGRHRHGARPTTSGHRLNLILWCRSSAFREMRRYQRNFSSWCGECRRKKKERERLSIAATKQELLRL >OIV89200 pep supercontig:LupAngTanjil_v1.0:KV862345:48429:50793:1 gene:TanjilG_25113 transcript:OIV89200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHNNNACSPSSNRGYGANTADADQMQSNSAAAFASFYSALLNENIPPSISASCSNLGGGGYSYSSDSGTQSHGYDSCFIQKHQDMVNRHSMCLTRLVETSKEVEALRQENAQLHAVNNELQKNLNLVIQASLENQFGGETQTTAFDVVHGFRGFHVGDGKENCADWNMNINNNNNNNNCKNEKVEVSEESPTSVIENNGVEVERFALPKSISVRSNGYLKTQSAAVVPTNTVATRIKGATRPRASSTPPPDVVQKVYVRGGQKEEEPLEMVVYNQGMFKTELCNKWQETGTCPYGDHCQFAHGIGELRPVIRHPRYKTEVCRMVLAGVVCPYGHRCHFRHALTEQEKALSHPKPRAMKQLDR >OIV89196 pep supercontig:LupAngTanjil_v1.0:KV862345:3634:4671:-1 gene:TanjilG_25109 transcript:OIV89196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYKTLELNVVSAKDIKDVNLLSKMDVYAVVLISGDPNNLHKIKTPVHKDGGTNPIWNFSLKFTVSDSLAQQNRLNLEIKLFSSRTVAGDTIIGTVHIPVKELIDNPGDGSFRHVTYQVRMQSGKAKGSLNLSYKFGENVAAPAKATSAPPVAYPPAGSGYPPPAACSKQEQQMGYHSPAPGSKQESYPSPAAGSKQEPVMAYPAAAAGSSTTPPYGGAAYAPPPQQYGYGYPPTQPGYGYPPPQQSGYGYPPQPGYGYPPGQQPGYGYPPQQPGYGYPPGQQPGYGYPPAQQQGKKKNKFGMGLGAGLLGGALGGLLIGDMVSDVGDYDAGYDAGFDDGGGFDF >OIV89202 pep supercontig:LupAngTanjil_v1.0:KV862345:95497:98175:1 gene:TanjilG_25115 transcript:OIV89202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCASFAKINVLSPQWIGNNSFSSRRGGSSPLTATRRVSLPIRASSYSDELVLTAKTIASPGRGILAIDESNATCGKRLASIGLDNTEVNRQAYRQLLLTTPGLGEYISGSILFEETLYQSTTDGKKFVDLLREANIIPGIKVDKGLVPLPGSNNESWCQGLDGLASRSAEYYKQGARFAKWRTVVSIPSGPSALAVKEAAWGLARYAAISQDNGLVPIVEPEILLDGDHPIERTLEVAEKVWSEVFFYLAQNNVLFEGILLKPSMVTPGAEHKEKASPETIAKHTLTVLRRRVPPAVPGIMFLSGGQSEVEATLNLNAMNQSPNPWHVSFSYARALQNSVLKTWQGRPENVEAAQKSLLIRAKANSLAQLGRYSAEGENEEARKGMFVKGYTY >OIV89198 pep supercontig:LupAngTanjil_v1.0:KV862345:29222:33246:1 gene:TanjilG_25111 transcript:OIV89198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKAIRVHELGGPQVLKWEDVEIGEPKEGEIRVKNKAIGINFIDVYFRKGVYKAPSLPFTPGMEAVGVVTAVGDGLTGRKVGDLVAYAGQPMGSYAEEQILPANKVVPVPSSIDPVIVASVMMKGMTAQFLLRRCFKVEPGHTILVHAAAGGVGSLLCQWGNALGATVIGTVSSKEKAAQAKEDGCHHIIISTQEDFVTRVNEITSGNGVEVVYDSVGKDTFEGSLVCLKLRGYMVSFGQSSGSPDPVPLSALAAKSLFLTRPTLMQYVVTRDELLETAGELFANIASGVLKVRVNHTYPLSDAAKAHEDLEGRKTSGSVVLIP >OIV89197 pep supercontig:LupAngTanjil_v1.0:KV862345:27836:28231:1 gene:TanjilG_25110 transcript:OIV89197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHLPDLTKPNYQNQYLENDLNAMDVKATSFHMVPEPSFAYSSGYNCHQLPEPQMDFTLFPGCHDLAPEPFDPNFIDIFEQKNCSESVSSQKFVTKLPTLGLEGSCQNTIPNGFFEEFPTEMLEYFEHVPN >OIV89195 pep supercontig:LupAngTanjil_v1.0:KV862346:141407:141877:-1 gene:TanjilG_25131 transcript:OIV89195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLTSLQRTRRLETVWDEDEAKFEDVSKCRSEVSRKLMLEFKTGRGLNSLIRVEYGGWFLYAVTSTVRSKNCQVFTLLLNYALLRKEYVGRSGAEFEERLDESATVFKRNMVNRAILAAARRGR >OIV89194 pep supercontig:LupAngTanjil_v1.0:KV862346:107473:107846:-1 gene:TanjilG_25130 transcript:OIV89194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLTSLRRIRRLETVWDEDEDKFEDVAKCRSKVARKLMLEPGDVDFVMLLLRRNPLLVFGEGEYGVTDILYAAARSKNCEVFKLLLNSALLRKECLGSS >OIV89193 pep supercontig:LupAngTanjil_v1.0:KV862347:113561:113905:1 gene:TanjilG_25134 transcript:OIV89193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNADGVKDQENILGFGGVFSGVGNNNGVPLEGLGNDVMGNGFGVGGAGGLGGSSRAGGLSGPGEIIGLGGGGLGGLGGGDAGAGGTLPLP >OIV89192 pep supercontig:LupAngTanjil_v1.0:KV862347:87785:89873:-1 gene:TanjilG_25133 transcript:OIV89192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVDDMMNNIVVGYGGYTSSRFSDAYLKSMGCNSCLTSLSMENHHPHPSTLLSMDSSASSHEDLAYRQIILSCPPDINLPLSAERSPQQQQPWISDPCDILDVGLGTEGYETESFLNLPKVGRKCAKRVDSIWGAWVFFSFYFKPALNDKSKTKIVRDSNGISGFGKSDLELNVFMVQHDMENMYMWVFKDRPENTLGKMQLRSYMNGHSRQGERPFPFSADKGFVRSHRMQRKHYRGLSNPQCVHGIEVVHLPNLMCLDDDDRKRWIELTGRDLNFIIPPEASDFSSWRNLPNTDFELERPLLPIKIAIPNTHPNKLLNGSGLNLSTHPSNHNNGNGLDLSPVSSKKRKDLFQHGNGGEYYLAVNPPSDRVTDIEMHPTEPHWMNDFTGVMKNVSGPVTAAKTIYEDEKGYLVIITLPFVDLPSVKVSWRNTMTHGIIKVSCMSTSRKPFIKRHDRTFKLTDPSSEHCPPGEFVREISLSTRIPEDANIEAYYDGPGSVLEIMVPKHRVGPEEHEVRVCLRPHLVGNDLMLT >OIV89191 pep supercontig:LupAngTanjil_v1.0:KV862347:62128:63782:1 gene:TanjilG_25132 transcript:OIV89191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLLNKLGFGSPRAAANMDSSIAQGPDNDIPAPGQQFAQFGAGCFWGVELAFQRVSGVTKTEVGYSQGFLHDPSYEDVCSGTTKHSEVVRVQYDPKECGYETLLDAFWARHDPTTPNRQGSDVGTQYRSGIYYYTPEQEKAAQESLEQQQKELNRKIVTEILPAKKFYRAEEYNQQYLEKGGRFGFKQSAAKECKNPIQCYG >OIV89190 pep supercontig:LupAngTanjil_v1.0:KV862348:141001:142734:-1 gene:TanjilG_25157 transcript:OIV89190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKQSTEAKSPQPSVLNCIDLSNPYTNQNVILLKQACTDSGFFYIINHGRSHDFMDEVFAQSKKLFTLPLDQKMKLLRNEKHRGYTPLLDELLDPQNQVVGDYKEGYYVGVEVTEDDPESQKPFYGPNVWPAPDVLPRWKETIEKFQQEALDVGKAVARLIALALDLDASFFDKPEILGEPIAITRLLHYEDKISDPSQGVYGAGAHTDYGLITLLATDDVPGLQICKDRDAKPQIWEDVAPLKGAFIVNLGDMLERWSNCVFK >OIV89188 pep supercontig:LupAngTanjil_v1.0:KV862348:78076:82649:1 gene:TanjilG_25155 transcript:OIV89188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEETKSRFKRICVYCGSSSGNKASYQEAAIELARELVERRIDLVYGGGSVGLMGLVSQAVHDGGRHVLGVIPRSLMTKEITGDPIGEVRAVSDMHQRKAEMARHADAFIALPGGYGTLEELLEIITWAQLGIHSKPVGLLNVDGFYNSLLSFIDKAVDEGFISPKARRIIVSASTAKHLVRELEEHVPEQDEVVSKLVWEDRLSYVPESEVAM >OIV89189 pep supercontig:LupAngTanjil_v1.0:KV862348:134025:138796:1 gene:TanjilG_25156 transcript:OIV89189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSINCFGTSKKISKDQNNIHSRVGGEEEGEEKKVIGSKKMESVLKEVRGGESVLDLSQRSTVVGCDGEEIYGEDCATEDQLVTPWTFSVASGYALLRDPKYNKGLAFTENERDAHYLRGLLPPTVSSQELQERNERLFYKLLINNVDELLPIVYTPTVGEACQKYGSIFQRPQGLYISLKEKGKILEVMKNWPEKNIQVIVVTDGERILGLGDLGCQGMGIPVGKLALYTALGGFRPSACLPVTIDVGTNNQKLLNDEFYIGLKQKRATGQEYYDLLHEFMSAVKQNYGEKVLIQFEDFANHNAFELLTKYSTNYLLFNDDIQGTASVVLAGVVAALKLIGGTLPAHTFLFLGAGEAGTGIAELIALEMSKQTKAPIEESRKKIFLLDSKGLIVSSRKNSLQHFKKPWAHEHEPVNTLLEAVKIIKPTVLIGSSGVGKTFTKEVIEAMTSNNEKPFILSLSNPTSQSECTAEEAYKWSQGRAIFASGSPFDPVEYNGEVYYSGQANNAYIFPGFGLGLVISGAIRVHDDMLLAASESLAKQVTDENYAKGLTYPPFTDIRKISANIAANVAAKAYELGLATHLPRPRNLVKYAESCMYSPLYRNYR >OIV89187 pep supercontig:LupAngTanjil_v1.0:KV862349:106995:109431:-1 gene:TanjilG_25173 transcript:OIV89187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLKIVVIREYDEERHKVALEKMEKLCEVGQRGKPSLVTDLMGDPICRIRHFPLHVMLVAEYGEEGEVVGVIRGCVKTVTRGNSVYVKLAYLLGLRVSPQHRRFGIGTKLVEHLEEWCKQKGAKYAYMATDCTNEPSINLFTKKCGYSKFRALTMLVQPVYAHYKPINSNVAILHIPPSLARLMYNHMFANSEFFPQDIELILSNKLNLGTFMAIPKKHLSKCDLRRGIYPPSYAILSVWNTKEVFKLQVKGVSPLAHACCVGTRVLDEWMPWLRVPSFPNIFRPFGVYFLYGLHMEGKYSTQLMKYLCGFVHNMARDEGGCGAIVAELGQRDPVREAVPHWSKFSWDEDMWCIKNLDEDSKHVISSHCFSSRSSSPVIFVDPRDF >OIV89180 pep supercontig:LupAngTanjil_v1.0:KV862350:19637:23463:-1 gene:TanjilG_25386 transcript:OIV89180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQGTPGGLNRKDLQGDRKPDGNDKKDKKFEPAAPPTRVGRKQRKQKGPEAAARLPTVTPLSKCKLRLLKLERIKDYLLMEEEFVANQERLKPQEEKAEEDRSKVDDLRGSPMSVGNLEELIDENHAIVSSSVGPEYYVGILSFVDKDQLEPGCSILMHNKVLSVVGLLQDEVDPMVSVMKVEKAPLESYADIGGLDAQIQEIKEAVELPLTHPELYEDIGIKPPKGVILYGEPGTGKTLLAKAVANSTSATFLRVVGSELIQKYLGDGPKLVRELFRVADDLSPSIVFIDEIDAVGTKRYDAHSGGEREIQRTMLELLNQLDGFDSRGDVKVILATNRIESLDPALLRPGRIDRKIEFPLPDIKTRRRIFQIHTSRMTLADDVNLEEFVMTKDEFSGADIKAICTEAGLLALRERRMKVTHADFKKAKDKVMFKKKEGVPEGLYM >OIV89185 pep supercontig:LupAngTanjil_v1.0:KV862350:87754:90844:-1 gene:TanjilG_25391 transcript:OIV89185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSSSSSMSNSSSSSTQPPISEDPETRNWLDLPRDVTLTILLKLGSIEILNNAQRVCTQWRTISYDPAMWHTINMRNSYDPYEMQFDYLEKMCRHAIDRSRGNLVDITLERYCSDDLLTYITDSTNHLQRMRLLSCNDISEEGMIKAAKKLPLLEELDITISNLSKDALEVIGHCCPLLKSLKFNMQVCGHPQFASDDEAFAIAQTMPNLRHLQLFGNKLTNDGVLAILDGCPHLESLDIRQCFNVCLDGSLRKRCAEEIKDFRNPNAPTDDYEFDPEFDYEFDTAFDYEFDPEFHYDDYSSGISDTVVCDVEDYLGLL >OIV89182 pep supercontig:LupAngTanjil_v1.0:KV862350:29787:30800:1 gene:TanjilG_25388 transcript:OIV89182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEEEVNRCQIQEWYPKFKSVSIKTLIHQLPESFVEYLLDDSGPFLLPTSVSNEDALPNRIHNPHEEEDFQVSEGSGDETEEPPLPPTFPELELQVKESIESLDRVVFSKLNWSASKDSAWISTTGTLKCTTFREIALLFKASDSLVHDLLHAYDSCNDKSLSRPKNFFLALRKWYLSLRPEMKFRCFVKGNKLVGISQREVTTLYPLLEKKNDLLLLIQEFFDNHVRDRFESENYTFDVYIIKDERVKVMDFNPWGAFTLPLLFTWDELEQVLVSEEEEVEFRIVEHQCAIRPDLKTAVPYDYLDCDPGSGWDQFMRNADEELQRQTKSPEVGASA >OIV89184 pep supercontig:LupAngTanjil_v1.0:KV862350:82295:84949:-1 gene:TanjilG_25390 transcript:OIV89184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLKSILVALFFLLFGYADADPNCTRVNPLVDSESEFKMVQHQLRGSLKIIDDCSFRVSRFDMLRGSDYVQWWGARAPDFQNLTTGFVVSHYQLNHTYENSSFVVHLMDNVTWKMIKVLAVWDRTTASDFGHAVLESSAVSDPKIGVAHMEPTMFENCKVLSNDFRLRWSLDVENDSIEIGLEAATGIMNYMAFGWANPNGDDSQLMIGADVAVAGFKENGLPFVDDFFITKYSECVTNSDGSAGGVCPDSVYEGSNSGKNNSMLIYGHRRDGVSFVRYRRPLARVDGKYDHPVNHSADMKVIWALGKIKPPDTISPYYLPQNHGPFPSQTYSHLVLNVSQHVNECIGPLDAEDKEDQGLITADANVTLVITSGPALHYPNPPNPAKVLYINKKEAPVLRVERGVPVKFSMQAGHDVALYITSDPIGGNATLRNVTETIYAGGSDASGVKSSPTELVWAPDRNTPDHIFYHSLYEQKMGWRIEVVDGGLSDMYNSSVFLDDQQVTFFWTLSKDSISMAARAEKKSGYLAIGFGSGMENSYAYVGWIDDTGIGRVNTYWIDGKNALSIHPTYENLTYVRCKTENGIITLEFIRPLNPSCSESNRPECNNIIDPTTPLKVVWAMGAKWTNEYLSDSNMHSITSSRPVRILLMRGSAEAEQDLLPVLTVHGFMMFLAWGILLPGGILAARYLKHVKNNGWYRIHVYLQYSGLTVVLIALLFAVAELRGFHVSSAHVKFGIAAIFLACMQPVNAFLSPQKPEIGEQAPLTRVIWEYFHVIVGRSAIVVSVVALFSGVKHLGDRYGAQNVQGPNWAMAIWFLIGVLVVIYLEYREKQRVRDHIFGRSNWVLGNLDEDDSLDLLSPVRTPADNESQPSVRMEVQLEPLNR >OIV89181 pep supercontig:LupAngTanjil_v1.0:KV862350:25648:26106:1 gene:TanjilG_25387 transcript:OIV89181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGNGVAVDGGINSGARRRGCTIQANDFFPEESFKSWDNYGKAFLETPLRLKDRIVARSKDHLEVLEMKAQSHHQMNKTLNWWDLIWFGIGTAIGSDIFVLTGIKARDSAGPEVELSYVVSGISTMLSVFCYTEFAIEIPVAGFALAIWFLN >OIV89183 pep supercontig:LupAngTanjil_v1.0:KV862350:33476:35895:-1 gene:TanjilG_25389 transcript:OIV89183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLKGERGRPAEPKNNEQQKEGTNNNIGETKKKQTNEVTEDSSYSASLSNQENQALSLTPKEAEKVGNSDVNMVEKSIAMVDTLDMYEVKPELIDILRKVVSVHGDIFQNSTISTIKYRSMYLEVIGDMIIELQETNFAETDDDRLQDMMVILDDMKHKKVDVEWLQQKLADILEARQGFKHSMMLKEERECNIKFIKNVEQELKEKEEEIEAMKAKLQSLHDEMSVCKKKLDRAREECSNITKSLEDDNAKMKSFHHFSLVNGLDLV >OIV89186 pep supercontig:LupAngTanjil_v1.0:KV862350:104219:107127:-1 gene:TanjilG_25392 transcript:OIV89186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSPSSSNPALNSEESETRNWLDLPRDVTATILLKLGAIEILNNAQRVCTQWRSISNDPIMWRTIDMSNSSYIHKMEFDLGIMCRHAIDRSRGNLVDISVEEFGTDDLLNYITDSTSHLHRLHLVSCWDISDEVWNVVSEKLPLLEELDITIGNLSKDALEAIGRCCPLLKSLKFNMQAYKDPHIECDEEAVAIAKTMPELRHLQLFGNKLTNDGLLAILDGCPHLESLDLRRCFNVNLGGSLGRRCAEQIKDLRIPNAPTDDYPYDAEFDTDESFDDDYSYGMSDIDILSDDEYEYYGFSDGSDYDAYDDFLHV >OIV89179 pep supercontig:LupAngTanjil_v1.0:KV862351:46456:47988:1 gene:TanjilG_25393 transcript:OIV89179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVKSDTPLLPPSSRNLPDLRKSVKLKYVKLGYHYLITHGMYLFLSPIVVLISAQLSTFSLKDLHDIWEHLQYNLISVILCSTFMVFLSTLYFVTRPRPVYLVNFSCYKSEEARKCTKKVFMDQSRMTGYFTEKNLEFQQKILERSGLGDNTYLPEAVLNIPPNPSMKEARKEAEIVMYGAIDELFAKTSVKPKDIGILIVNCSLFNPTPSLSAMIINHYKLRGNIKSYNLGGMGCSAGLISIDLAKDLLQVHPNSYALVISMENITLNWYEGNDRSKLVSNCLFRMGGAAILLSNKSSDKRRSKYRLVHTVRTNKAADDKCFSCVTQEEDDDGKIGVTLSKDLMAVAGDALKTNITTLGPLVLPTSEQLLFFGTLVGKKLFKMKIKPYIPDFKLAFEHFCIHAGGRAVLDEIEKNLQLSPWHMEPSRMTLYRFGNTSSSSLWYELAYSEAKGRVRKGDRTWQIAFGSGFKCNSAVWKALRTINPAKEKSAWMDEIHKFPVDVPRVSAI >OIV89177 pep supercontig:LupAngTanjil_v1.0:KV862352:21502:23394:-1 gene:TanjilG_25398 transcript:OIV89177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVRQGEEKKFLPILVCALLQFWTEKSRKELGIREIEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEDVLESCLFITLIYTLLSGSTFTFTFSEKIVFGILSNIKVGFG >OIV89178 pep supercontig:LupAngTanjil_v1.0:KV862352:110278:120411:1 gene:TanjilG_25399 transcript:OIV89178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCNVCIRSDVIEDNNKEPNRRKPNPSSNSKPVYSSGTIRVLKNVIPLPNGTRISDKYKLGREVGRGEFGITYLCIDRETKESLACKSISKRKLLTSVDIEDVRREVAILSTLPEHPNVVKLKATFEDNKNVHIVMELCEGGELFDRIVARGHYSERAAAGVVRSVAEVVRMCHANGVMHRDLKPENFLFANKNENSPLKAIDFGLSVFFKPGERFSEIVGSPYYMAPEVLKRNYGPEIDVWSAGVILYILLCGVPPFWEETEQGVALAILRGVIDFKREPWPQISDCAKSLVQQMLEQDPKKRLTAEQVLEHPWLQNEKKAPNVPLGDIVRSRLKQFAVMNRFKKKALRVIVEYLSVEEVEIIKDIFTLMDTDNDGRVTFEELKSGLRKVGTQLAEPEIKMLMEVADVDGNGVLDYGEFVAVTLHLQKIENDEHIHKAFNFFDKDGSGYIELGELQDALTDESGETDVDVLIDIMHDVDTDKDGRISYEEFVAMMKTGTDWRKASRQYSRERFKSLSLNLMKDDSLQLHDEISGQGVVV >OIV89176 pep supercontig:LupAngTanjil_v1.0:KV862352:14287:16917:-1 gene:TanjilG_25397 transcript:OIV89176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRSKQISALSSSARSFFLSGSRCNGADGNSCTCAEDETCVSRRQESKNEVLNVHKPASLVSKTTSGVEGNAVSGNLVNRPASTKGELVVRSVSGQQVRSTPSPSSTSGSVTYACVADGVQNHVDTSLSADQFYRAGIAAVSFLSDLVNYKLPLSDGMGILGNSKNCVVDPARTLPSIRSSTVKQIRKEDFIAVHPKPPISAQPGPSNHTSNYHGAKGKGDKPNSSRGYKHAAASSGSEKSGVTPNIPLNNNDRRALPLRTRTHSNRPVTNFGSYIQNSNPQVSGSFKESFNKHSRDFKMPAGIALSNRHFSNTGRAVDVVSDILRQLRWCPATEKALCNLNFSLDPYQANQILKQLQDHSVGLSFFYWLKRQAGFFHDGHTYTTMVGILGRAKQFGAIKILLEQMVNDGCKPNVVTYNRLIHSYGRANYLKEALNVFNQMQEVGCEPDRVTYCTLIDIHAKAGYLDVAMSMYERMQEAGLSPDTFTYSVIINCLGKSGNLAAAHRLFCEMVDQGCVPNLVTYNIMIALQAKARNYETALKLYRDMQNAGFAPDKVTYSIVMEVLGHCGYLEEAEAVFVEMKRKNWVPDEPVYGLLVDLWGKAGNVEKAREWYQAMLSAGLRPNVPTSNSLLSAFLRVHRLSDAYNLLLNMVSLGLTPTLQTYTLLLSCCTEAQSSYDMGFCCELMAITRHPAHTFLLSMPSAGPDGQNVRDHVSKFLDLMHSEDREGKRGLVDAVVDFLHKTGLKEEAGSVWEVAAQRNVYPDAVKQKSSCYWLINLHVMSDGTAVTALSRTLAWFRRQMLISGVGPSRIDIVTGWGRRSRVTGTSLVRQSVHELLQMFSFPFFTENGNSGCFVGCGEPLSQWLNHSYVERMHLL >OIV89174 pep supercontig:LupAngTanjil_v1.0:KV862353:37346:39425:1 gene:TanjilG_25411 transcript:OIV89174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSIRIMSHAIFVIFILSLGACCCGQVELDVSPMEKTEQEALYSTIQGFVGNSWNGSDLYPDPCGWTPIQGVSCDLFNGFWYVTVLNIGPIHDNSLVCARNVEFRPQLFELKHLKSLFFFNCFQSQKTFPITIPSENWKKLANTLESLEFRSNPGLIGTIPSSFGVLKNLQSLVILENSLTGEIPPQFGNLIKLKRLVLAENYFIGTIPDIFGGMSELLIFDLSRNLLSGTLPLTLGSLTSALKLDISHNLLQGNVLNEFANLKNLTLLDLRDNKFSGGLALSFQEMYSLEQMVLSNNPLGGDMRTLKWENLQNLVILELSNIGLVGEIPESISELKRLRFLGLNDNNLTGNLSPKLETLPCLNALYLSGNNLTGEVKFSNEFYGKMGRRFGAWNNPNLCYQVGVISTSHVPYGVKPCQKELCY >OIV89173 pep supercontig:LupAngTanjil_v1.0:KV862353:9692:14601:-1 gene:TanjilG_25410 transcript:OIV89173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSSSSAADSYIGCLISLTSKSEIRYEGVLYNINTDESSIGLRNVRSFGTEGRKKDGPQIPSGDKVYEYILFRGTDIKDIQVKSSPPVHPTPPVNTDPAIIQSQYSRPVTTTASLPAVSGPLTAPGSHATQLGLPGSNFQAPLPLYQPGGSWGASPPAPNANGGGLSMPMYWQGYYGAPNGLPQLQQQSLLRQPPGLSMPSSMQQQMQFPNFNPSLYNRPSNLPDIPSSLLPVSTSSPSVSTPVPPSNLPTTLPSAPPATLAPEALPVSVQNKAPTGSLSAVSLPSNLPSLTPFSNGGPDIGGVGPSVNKPNGISGSSLPYQTVSQLTPATVGSSNSIRKEPPVPSLVTPGQLLQSGPAIVSSSQPLQTPHKDVEVIQVSSTLPPETSVPVTAETQPPILPLPVNSRPSHRPGGAPSETHHGYGYRGRGRGRGAGGMRQVARFTEDFDFMAMNEKFNKDEVWGHLGKSKHSTDGEEIASDEYYSQNEEGNADVSKLEAKPVYNKDDFFDSLSSNALDRNSQNGRIRYPEQFKIDTETFGEISRHRGGWGGRGPWRGGRARGSYYGYGGRGRGRGGHGHG >OIV89175 pep supercontig:LupAngTanjil_v1.0:KV862353:75958:78515:1 gene:TanjilG_25412 transcript:OIV89175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQEDQGQCSSQSISNFHTYEDHLLFQHQMMQQQNFQPWSMPQVQPDPFFVPPQSSSSPYASFFNRSRVPSLQFSYEGCPSSEQLRILSETLNVQHGSAAPFGLQAELGKMSVEQIMEAKALAQSKSHSEAERRRRERINNHLAKLRSLLPSTTKTDKASLLAEVIQHVKELKRQTSLIAETSPVPTESDELTVDTEDDEDGKFVIKASLCCEDRTNLLPELIKALKALRLRTFKSEITMLGGRVKNVLFITGEEDSSNNEEHSYNNQQHYCISSIQDALKAVMEKSVEDHESASASVKRQRTNIISIV >OIV89172 pep supercontig:LupAngTanjil_v1.0:KV862354:57619:57783:-1 gene:TanjilG_25416 transcript:OIV89172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPNDAIKPEMKKKVVSGLHHCCLRMANAALAIDDALRRCRWRKIEEKDMKIRD >OIV89171 pep supercontig:LupAngTanjil_v1.0:KV862355:47058:49100:1 gene:TanjilG_25668 transcript:OIV89171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKAFIFLALFSFSAVSLLSLAFADEEKDPGLVMNYYKETCPQAEEIIREQVKLLYKRHKNTAFSWLRNIFHDCAVQRCDASLLLDSTRRSLSEKETDRSFGLRNFRYIETIKDAVERECPGVVSCADILVLSARDGIVSLGGPHIALKTGRRDGRRSKAEVVEQYLPDHNESISSVLDKFGAMGIDTPGVVALLGAHSVGRTHCVKLVHRLYPEVDPVLNADHVHHMLKKCPDSIPDPKAVQYVRNDRGTPMVLDNNYYRNILDNKGLLIVDHQLATDKRTKPYVKKMAKSQEYFFKEFSRAITLLSENNPLTGTKGEIRKQCNVANKMHHEEEP >OIV89170 pep supercontig:LupAngTanjil_v1.0:KV862357:69281:69979:1 gene:TanjilG_25691 transcript:OIV89170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTINLYAVTQGAKVTFTNRCSYTVWPGTLTGDQKPQLSTTGFELASGASNSVDLPAPWSGRFWARTGCSNNNGKFSCATADCASGLVECNGAGAIPPATLIEITVAENGGQDFYDVSNVDGFNVPMSVSPQGGSGECKTSSCPANINAVCPTELQLKGSDGSVIACKSACLAFNQPQYCCTEDHNTAATCPPTNYSQIFEQQCPDAYSYAYDDKSSTFTCNARPDYAITFCP >OIV89169 pep supercontig:LupAngTanjil_v1.0:KV862357:30629:36826:-1 gene:TanjilG_25690 transcript:OIV89169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKITTLFFTALFLCYALTNSTRHEPGFHKESSVVTPHQRNLKDIAELYGCEPSLEGVEEYRRVNGMQSICSTCFETAKISSILIDDGFEVDKKHYIEWQKSFAPVVGRILRIERVAEQILDEIYGFKSIAAYYSGLEINTNVTKKDAEEALTQVLAGNPVCVAKKNFVDYIFLQTLEIAQSYDLPVQIHTGLVLQVYLDFGLAIPKLSVHGMISSLKELLEQAPLNKMMFSTDRYAFPETFFLGAKKSGEVVFSVLPVHALMAISQFLKLWKPLKISLREMPSTSIKLLH >OIV89167 pep supercontig:LupAngTanjil_v1.0:KV862357:22513:23394:1 gene:TanjilG_25688 transcript:OIV89167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVEEEVLVPKDQMQIMKGKRTERQRLPSPLQVAAPSSTSCSGGIGRDQTTMSNTNLIDQFRHRTQEDDEDMANCLILLAQGKVSHSQPPPDHKNNNNSELYLYQCKTCNKCFPSFQALGGHRASHKKPNKANTIGAEKKQVVTTFMKEEDHDHYDSNKTNTTLSMPRPNRPLSLYRSITSGTTIAATTKSRVHDCSICGAEFSSGQALGGHMRRHRTSINTSITTVSVSDGNFIVGGGGSPEYQETKKPRTVLKLDLNLPAPDDDNIESMFTFQTKESVIVFSAPSLVNCHY >OIV89168 pep supercontig:LupAngTanjil_v1.0:KV862357:27261:29551:1 gene:TanjilG_25689 transcript:OIV89168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPGRRNGLNEEEEDDNALFEEEGIVDFVDSDTPPHLRDLSAAAQLGDVVALRLALDNLTGSIDEPVEDGDTALHLTCLYGHLECVQLLLERGANLEAKDDDGAIPLHDACAGGFTEIVQLLFNRANGAERIKRMLESVDSEGDTPLHHAARGEHVDVIRLLLSNGASPTKTNLYGKTPAELPDLGTDARRLLEALDTAIA >OIV89166 pep supercontig:LupAngTanjil_v1.0:KV862358:56063:57374:1 gene:TanjilG_25692 transcript:OIV89166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLKSRISFLSSLQRWQWRARDSHRRKRTMTTLLRHAIFIPSLFSVLLISAARQRERFMAKPSLPKRPIDGEASKPPSFFVYGSGQPRARRYWFVMVVIRGGSADEGGITMLKTNYYGDLKKATHGYSLLC >OIV89165 pep supercontig:LupAngTanjil_v1.0:KV862360:2935:5773:1 gene:TanjilG_25817 transcript:OIV89165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEATASSTAADEQSPTSAISRWKFEVSRHYQHLLDKTTPFVLYRWIGFMVIALIYVIRVYFMEGFYVVTYGLGIYILNLLIGFLSPQVHPEALELSEGPTLPITGSDEFRPFVRRLPEFQFWYSITKAFCIAFVMTFFSAFDIPVFWPILLVYWVVLFTLTMKKQISHMIKYKYVPFTFGKQRYARTNAAESSSLSEE >OIV89164 pep supercontig:LupAngTanjil_v1.0:KV862361:45217:45920:-1 gene:TanjilG_25818 transcript:OIV89164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQVDMESSEEELEVTQVRDTLESLEIDKPAPETELVMDNNETENEFSVLSLEDMENLNVVNDMRIVGKLWATEVEEAEEEVETEGVFTEVAKRALKYFSSLYRE >OIV89163 pep supercontig:LupAngTanjil_v1.0:KV862362:2440:2745:1 gene:TanjilG_25819 transcript:OIV89163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGKGLIQVNNSFYQRVGEASSSRQNELGINQSTNTDSIMEPVDQDMDQDAQDTNAEIMDILLAEKVTYEETRPPWWYTMYGSVNSEEWHYYNLSPSQNSR >OIV89162 pep supercontig:LupAngTanjil_v1.0:KV862363:49174:52317:-1 gene:TanjilG_25826 transcript:OIV89162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCLDSSAKVDAAQSYRSTSASGISKSTPSSLSIQSYSEKSNSLTSLPTPRSEGEILSSPNLKAFSFNELKNATRNFRPDSVLGEGGFGYVYKGWIDPNTFTAAKPGSGMVVAVKRLKPEGFQGHKEWLTEVNYLGQLHHRNLVKLIGYCLEGENRLLVYEFMPKGSLENHLFRRGPQPLPWSVRMKVAIGAAIGLSFLHNAKSQVIYRDFKASNILLDADFNAKLSDFGLAKAGPTGDRTHVSTQVMGTKGYAAPEYVATGRLTAKSDVYSFGVVLLELLSGRRAVDKTMAGVEHNLVDWAKPYLGDKRKLFRIMDTKLEGQYPQKGAFMAATLALQCLNSEAKARPPMTDVLATLEQIEAPKTAGRNSHSDHRRGVHTPGRRSPVPNRSPMHLTPTASPLPSHGQSARVH >OIV89161 pep supercontig:LupAngTanjil_v1.0:KV862364:80960:81479:1 gene:TanjilG_25827 transcript:OIV89161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEGGRRVTSKDALSYLQEIKTAFKEEKEKYEHFLQIMKDFKEKRIGTNGVISATNELFIGHDHLLLGLNNFLPKGYEIQLPLINEESNVGIEDPTDFKNKVKVKMLFNQRYLLNIFLYIC >OIV89160 pep supercontig:LupAngTanjil_v1.0:KV862366:54308:56126:-1 gene:TanjilG_25828 transcript:OIV89160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAKQRTPRATRNPDLIRGIGKYSRSQVYHKRGIWAIKAKNGGVLPRHEPKAKPEAKPEKLPKFYPADDVKKSLLNKHKPKPTKLRASITPGTVLILLAGHFKGKRVVFLKQLPSGLLLVTGPFKINGVPR >OIV89159 pep supercontig:LupAngTanjil_v1.0:KV862367:85034:87372:1 gene:TanjilG_26014 transcript:OIV89159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIKSDDVKLIGGWQSAFVWRARVALNLKAVNFEFLEETIGQKSQLLLESNPVYKKIPVLIHGGKPIPESLIIVQYIDETWTSGPSILPSDPYDRAIARFWAHYFDDKLSPTIRPLSVAQGDEKKKLIKELGEGLVLVEDALKKISNGKDFFGGDQIGYLDIAFSSVLPWVRVIETLHEVKLVDEATTPSLVNWGEKYSKHDAVKDVLPEHEKLVGFAKFFIAKFVAPAASTSPH >OIV89154 pep supercontig:LupAngTanjil_v1.0:KV862368:4393:4875:1 gene:TanjilG_26017 transcript:OIV89154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVANLQRLSSQIPRLSFFSKSLISRTTTTTTTAAATPSAKVSDRIVRLFAIDYEGKKHEIIGLTGQTLLKSLINSGLVDLDSHRLEEIDACSAHCEVNIAEEWFEKLPPRSYDEEYILKRNSRARVLNKHSRLGCQVILTHNLKGMVVAVPEPRPWDTS >OIV89155 pep supercontig:LupAngTanjil_v1.0:KV862368:14206:16137:-1 gene:TanjilG_26018 transcript:OIV89155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTNQQKEKLSQMEGVVSVFPNKNLQLHTTRSWDFIGFPELVKRSSAIESDVIVGVIDTGIWPESDSFSDQDFGPIPKYWKGTCAGGKNFTCNKKIIGARFYLDESARDIDGHGTHTASTAAGNYVPSASFYGLAQGIARGGVPSARIAAYKVCGELGCTYDAILAAFDDAIADGVSLLSVSLGGSSALPFDEDPIAIGSFHAMARGTLTVNAAGNSGPVLSTVSSVSPWMLTVAASTIDRRFIDKVILGNEKTLIGRSINSFSSNGTKFPIAQKNGDSEKCLKDNANICQCLDSHLVNGKIVLCGNNNNPNYYGGYPIGSIIEEDRNSGSNFVTPLPSLMLSSIEYEQLKSYMNSTNIPKAEILKSETIKDSNAPMVANFSSRGPNIIVSEILKPDISAPGVDILASFSPDASPSRKILDKRSTKYSILSGTSMSCPHVAGIAAYLKTFYLDWSPAAIKSALMTSAKPMKGSKDEVGEYAYGSGHVSPISAVHPGLIYDISLDDYIQMLCNLGYNSEKVKLISGKNNACSNVVHRSMVRNLNYPILAVDVDSMTSFTIEFKRTVTNVGLANSTYKATILPNSRIKISVVPETLSFKSLHEKQSFVVTITSSKILEQNVFTSSLVWSDGIHNVRSPIVIHVSN >OIV89156 pep supercontig:LupAngTanjil_v1.0:KV862368:36569:38509:-1 gene:TanjilG_26019 transcript:OIV89156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTNQQKEKLSQMECVVSVFPSRNLQLHTTRSWDFIGFPESVKRSRAIESDVVVGVIDTGIWPESDSFNHQGFGPIPKSWKGTYAGGKNFTCNKKIIGAIFYVDESVRDIDGHGTHTASIVAGNNVPSARFYGLAQGTARGGVPSARIAAYKVCGELGCTSHAIMAAFDNAIADVNAAGNNGPILSTISSVAPWILTVAASTIYQRFIDKVVLGNGKTLIGAYLKTFHPDWSPAAIISALMTSAKSMKGFKDDAGEYAYGSGHVNPASAIDPGLVYDISLEDYIQMLCNLGYKNKKVKLISGKNNACSNAAHKSLVRNLNYPTISIDVDSMTSFTIKFKRTVTNVGLENSTYKATILRNPRIKISVVPETLLFKSLHEKQSFVVTIHGGKLPEQNVLTSSLVWSDSIHNVRSPIVLHVSDQVL >OIV89157 pep supercontig:LupAngTanjil_v1.0:KV862368:53839:55866:-1 gene:TanjilG_26020 transcript:OIV89157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQQVFDGNDATHSLIHSFKRSFNGFAAMLTNEQKEKLSHMEGVVSVFPSRNLELHTTRSWDFLGLTRSSKRSHATESDIVVGVLDTGVWPESDSFKDEGFSPIPKHWKGACSGGKNFTCNKKIIGARYYTDTSARDIGGHGTHTASTAAGNHIPGASFYGLAEGTARGGAPSARIAAYKVCDKRGCSGAAVMSAFDDAIADGVNIISVSLGGSFQNSFDEDPIAIGSFHAMAKGILTVNSAGNNGPSPASVSSIAPWMITVAASTIDRKFIDKVVLGNGKTLIGISVNSFSLNGTKALIAKKNDRAGSREYPQQDSNECNYLDRSLVKGKIVICDEISYPNYEDAQPIGSIIQDYSSQGEVSPAFVTPLPSLLLQPIEYEQLKSYTYSNKIPKAEILKSETTRDINAPKVADFSSRGPNKIAPEIMKPDITGPGVDILASFSPVASPSGDTFDKRAAKYNIISGTSMSCPHVTGIAAYVKSFHLDWSPSAIKSALMTSSKPMKGSTYDVGEYAYGSGHVNPISATHPGLVYDISLDDYIQMLCNLGYDNKKVKAISGKDNACSKATHRSLVRDLNYPALAIGVNSTTSFTIKFKRTVTNVGLANSIYRATIFPNPKIKITVVPNSLSFKSVHEKKSFVVTIAGDKLPVQTITSSLIWSNSNYNVRSPIVIDICN >OIV89158 pep supercontig:LupAngTanjil_v1.0:KV862368:86225:88252:-1 gene:TanjilG_26021 transcript:OIV89158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQQVFDGNDATHSLIHSFKRSFNGFAAMLTNEQKEKLSHMEGVVSVFPSRNLELHTTRSWDFLGLTRSSKRSHATESDIVVGVLDTGVWPESDSFKDEGFSPIPKHWKGACSGGENFTCNKKIIGARYYTDTSARDIGGHGTHTASTAAGNHIPGASFYGLAKGTARGGAPSARIAAYKVCDETGCSGAAVMSAFDDAIADGVNIISVSLGGSFQNSFDEDPIAIGSFHAMAKGILTVNSAGNSGPSPASVSSIAPWMITVAASTIDRKFIDKVILGNGKTLIGISINSFSLNGTKALIAKKNDRAGSREYPQQDSNECNYLDRSLVKGKIVICDEISDPNYEDAQPIGSIIQDYSNEGKVSPAFVSALPSLFLQPNEYEQLKLYTYSTKNPKAEILKSETTRDINAPKVAEFSSRGPNKIAPEIMKPDITGPGVDILASFSPVAPPSGDTFDKRSVKYNIVSGTSMSCPHVTGIAAYVKSFHLDWSPSAIKSALMTSSKPMKGSKYHVGEYAYGSGHVNPIPATHPGLVYDISLDDYIQMLCNLGYDNKKVKAISGKDNACSKATHRSLVRDLNYPALAIGVNSTTSFTIKFKRTVTNVGLANSTYKATIFPNPKIKITVVPNSLSFKSVHEKKSFVVTVAGGKLPVQTITSSLIWSNSNYNVRSPIVIDISN >OIV89153 pep supercontig:LupAngTanjil_v1.0:KV862370:54777:55313:1 gene:TanjilG_26022 transcript:OIV89153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHRKIEMKWMENKSSRLITFSKHKSGLFKKAMELSILCGVEVVVLLFSVGGKAYSFGHPSIEAMTKKFLHQGEGSHVSHGESSNDDDNIGKLSQQLQELKDQIQVEKDKKKDLDKALSKYKFIRGKLPIDNLSFEELVEFKASWVVVQDNMSESNNELEAASSLLLLRENRMFETGI >OIV89144 pep supercontig:LupAngTanjil_v1.0:KV862371:394:2270:1 gene:TanjilG_26039 transcript:OIV89144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAYVDSSVIMGQTGLYQKDVEKFANIEYMRCTPENGFFPDLSSISRPDIIFFCSPNNPTGAAATREQLTQLVQFAKDNGSIIVYDSAYAMYITGDNPRSIFEIPGAKEVALETSSFSKYAGFTGVRLGWTVVPKQLLFSDGFPVAKDFNRIVSTCFNGASNISQAGGLACLSPDGLKAMRDVIGFYKENTDIIVETFDSLGFKVYGGENAPYVWVYFPGRSSWDVFGEILEKTHVVTTPGSGFGPGGEGFVRVSAFGHRENVLEACRRFRQLYK >OIV89146 pep supercontig:LupAngTanjil_v1.0:KV862371:8201:8646:-1 gene:TanjilG_26041 transcript:OIV89146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQHEHELHETKHDASSSSTSEPKPSVKPDMSNKTVIPSTSWFTPKRLLAMFCVISFINYLDLAMVLMEVQELAPKGGTCTPGTGIQ >OIV89151 pep supercontig:LupAngTanjil_v1.0:KV862371:71427:74129:-1 gene:TanjilG_26046 transcript:OIV89151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRVYVGNLDSRVTERELEDEFRVFGVIRSVWVARRPPGYAFIDFDDRRDAQDAIRELDGKNGWRVELSHNSRTGGGGGGGGRGGGGGGGGGRGRSGGSDLKCYECGEPGHFARECRSRGGSGRHHSRSPPRFRRSPSYGRRSFSPRGRSPRRRSLSPRGRSYSRSPPTRGREELPYSNGLEMVSGSDAGAEVKF >OIV89148 pep supercontig:LupAngTanjil_v1.0:KV862371:45204:45860:1 gene:TanjilG_26043 transcript:OIV89148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKGILSSEVGVHASASKWFNLFAKELHNVQNTTDRVHKTKLLEGDDWHSIGSVKQWTDIVDGKESHYKERLDAIDEENKTIVYTLFDGDFSKDYNVFKLLFQVIEKNNGAFIKWTIEYEKVNEKVEPPYGFMDHFTKSTKEIDVFLLKA >OIV89150 pep supercontig:LupAngTanjil_v1.0:KV862371:62610:68032:-1 gene:TanjilG_26045 transcript:OIV89150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWLQKNSWDKKDGVNGIVAVAIDKDKGSQNALKWAIDHLLTRNGTVILIHVNVKQASLSSSNSLSTPRAKGIGIGEQSSLVSKDPDEQTKEIFRPYRVFCARKDIQCKDIVLEDGDVSRALVEYTSHAAIEHLVIGSSNKTGFLRFRAADIPGTVSKGAPDFCTVYVVSKGKIQSMRSASRPAPFYSPLQNHPSQTSVKSELPDPPRVPLFRGERRSQEAAPPRRSIEAPPRRSHDGTTDSFRSPFTRKGMAERYGEISTPADSDITFVSSGRSSIDKLFPALTNSSDSGISNPRLSYSSDTDANYSFESINFGRRSIDISSPDHSFSSDGFSSTTSQAADDVEAEMRRLKLELKQTMEMYSTACKEALSAQQKAVELQRWKLEEERRLEEARIAEEAALAMAEKERAKSKAAIETAEAQKRIAELESQKRLNAEMKALKESEEKRRALDALANKDVRYRRYSIEEIEEATIFFAESLKIGEGGYGPVYKCLLDHTPVAVKVEVLSCIRHPNMVLLLGACPEYGCLVYEHMSRGSLDDLLFCRGKSPALPWQLRFKIAAEIGTGLLFLHQTKPEPLVHRDLKPANILLDRNYVAKISDVGLARLVPPSVADNVTQYRMTSAAGTFCYIDPEYQQTGMLGVKSDIYSLGIIFLQILTARPPMGLTHHVERAIEKGIFVDMLDPKVPDWPVEEALTLAKIAIRCAELRRKDRPDLGKEVLPELNRLRELAESNDQNSVLTGFISPSNQSQVSFQDGGSSSHPSSGES >OIV89145 pep supercontig:LupAngTanjil_v1.0:KV862371:3262:4230:-1 gene:TanjilG_26040 transcript:OIV89145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQVIFLSHGQKGIMDAVRMKFPNSSHAFCMRHLSESIGKEFKNSRLIHLLWKAAYASTTMVFKEKMAEIHEVSSEASKWLQQFHPSQWALVYFEGTRYGHLSSNIVEFSKWILEARELPIIQVIERIHSKLKVEFNDRRLKSCSWFSVLAPSAESRMVEAISQASTYQVLRSDEFEFEVLSDDRSEIVNIGSHSCSCHNWQLYGIPCSHAAAALISCRKDVYAYTEKCFTVASYKETYAEEIHPIPGKLDCRKTDESIMDDDILVVRPPKFRRPPGRPEKKRICVEDLNRDKHTVHCSRCNQTGHYKTTCKAEMIDSIEQF >OIV89147 pep supercontig:LupAngTanjil_v1.0:KV862371:26573:27112:-1 gene:TanjilG_26042 transcript:OIV89147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPKKSNKIREIVRLQQILKKWRKQANSSKTITTTNNNNNNTSISSSKSMKFLKRTLSLSEREGGGSSNNVVPKGYLAVCVGEELKRFIIPTEYLSHQAFQILLREAEEEFGFQQAGVLRIPCNVSVFESILKMVEGKKDKFCSNQECRLSVEEIMGFCSLENQPSSYSSHHPQSPLCR >OIV89149 pep supercontig:LupAngTanjil_v1.0:KV862371:51084:54587:-1 gene:TanjilG_26044 transcript:OIV89149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSSIEVANETSIIDIDFSNRRVSFDDEKHPHDEEETQYHQPNVPLLLQPSYARSKSMIFDELRNFRVSLKWCALDHSSCVGKLISYMVFIFLAIIVPLLTSLFVRVPASSHEDDPISLNKLVQLPESGLAIIAFFTFSRFFRRYGLRQLLFLDVLQYDTAYVRRGYTRELEKSFRYLSYIILPSFVVELGHKIIFFYAVKISAPHISPGFPLNSIVFIFVLVSWVYRTGLFLLVCVLFRLTCELQILRFEGLHKLLEGYGSDAGVIFKEHLRIRKQLSVTSHRYRIFIIGCLVTITISQFGALLLVLGSKSAKTFFNSGDLVICSVVQLSGFLLCLFGAARITHRAQKIVSIATRWHMLVTNAFAELEQCKTEVPEELATDTDSDSSDICISVIPQQFSSFQTRQSLGE >OIV89152 pep supercontig:LupAngTanjil_v1.0:KV862371:84453:87196:-1 gene:TanjilG_26047 transcript:OIV89152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTTPSPYEPKNILITGAAGFIASHVTTRLIKKYPSYKIVALDKLDYCSTFKNLQQCTSSKNFKFVKADIASADIVNHLLVEEKIDTIMHFAAQTHVDNSFGNSMEFTYNNIYGTHVLLEGCRVTDHVKRFIHVSTDEVYGETKLDTNVGNHEASQLLPTNPYSATKAGAEMLAMAYHRSYGLPIITSRGNNVYGPNQYPEKLVPKLILRAMKGDKFPIHGDGSNVRSYLYCGDVSEAFDVILHKGEIGQVYNIGTKKERSVLDVASDICKLFKLDPKEAIEFVKDRPFNDKRYFLDDQKLKQLGWEDRTCWEEGLKMTIEWYKNNPNWWGDVSTALNPHPHFSAINLSDEPQWSFQYGFSRLVRSFTEIGRTNKSEGEFKFLIYGRTGWIGGLLGKLCDEDGIDWEYGKGRLEDRKSLLEDIFRVMPSHVLNAAGVTGRPNVDWCESHKVETIRTNVVGTLNLADICKENGLYMMNFATGCIFEYDKEHPLGSGIGFKEEDKPNFIGSFYSKTKAMVEDLLKEYDNVCTLRVRMPISSDLNNPRNFITKISHYNKVVNIPNSMTVLDELLPIAIEMAKRNLKGIWNFTNPGVISHNEILELYKEYIDPKFKWENFNLEEQAKVIVAPRSNNEMDGFKLKKEFQDLLPIKDSIIKFVFEPNKKI >OIV89143 pep supercontig:LupAngTanjil_v1.0:KV862372:37513:38169:-1 gene:TanjilG_26460 transcript:OIV89143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLRRHEPGPSRTSGTSLLITKQPEAKKKTTSRCYHYRVGTANSIPAKNGSLCQSHGDEDERIRRRHHESPSMEDLRHHEPGPSRTSGTSLLITKQPEAKKKTTSRCYHYRVGTTNSIPPKNGSLCQSHGDEDERIRRR >OIV89142 pep supercontig:LupAngTanjil_v1.0:KV862374:77280:82183:-1 gene:TanjilG_26477 transcript:OIV89142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRGDQMALSGSASYYMQRGMPGSGTQPELHNSPNVHPISNPNLPFQSTIGGGTLASTLSMDSAGIPSQSVNVGAPSGTSSGDPVKRKRGRPRKYGTDGTVSLRLTPSSTPASHPGTLTQTQTQKRGRGRPLGSGKKQQLASLGELMSGSAGMGFSPHIITVGVGEDIATKIMAFSQQGPRAICILSATGAVSTVTLRQPSTSGGTVTYEGHFEILCLSGSYLLTDGGGSRNRTGGLSVSLANPDGRVIGGGIGGVLIASSQVQVIIGSFMWGGSKAKKIKKDAPEGAEVARESDHPAVHNPVAVNSMSLNQNFTPTSSPSPWPASRPLDMRNSHIDIDLMRG >OIV89141 pep supercontig:LupAngTanjil_v1.0:KV862378:35883:38078:-1 gene:TanjilG_26488 transcript:OIV89141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLLNKTTLASHFRSHAQKTEDSIYLSRRGFHVEPGTREKALLAEDSALKPFKSYKRSVKQLKRIGDVLTIVVVAGCCYEIYVKATTREEARKQ >OIV89140 pep supercontig:LupAngTanjil_v1.0:KV862381:68511:69224:-1 gene:TanjilG_26627 transcript:OIV89140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVILGPGYQPYLADFGPARIARENDDSTNSNPVQRHYLAGSYGYMAPEHASMQLITEKSDVYSYGMVLLEVLTGRHPLDPTLPGGAHLVQWVKNHLARKGEPSNILDPCNEGCCCNAKEIRPVQSTTEENDVLKGGLTAHSSPPPPMNVVSHGSSNCSYNFSDDSIS >OIV89139 pep supercontig:LupAngTanjil_v1.0:KV862382:57590:57994:1 gene:TanjilG_26628 transcript:OIV89139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GFDGIEIHGAYGYLHEQFMKDAVNDRADEYGGSIENRCRFTLEVVEAIANEIGPERVGIRLSPYAEFMESGDTNPKALGLYMANALNKYGILYCHMVEPRMKNVLQIDDQCPHSLVPMRKAFNGTFITNGGFDY >OIV89137 pep supercontig:LupAngTanjil_v1.0:KV862383:17824:20715:-1 gene:TanjilG_26630 transcript:OIV89137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLDSSSSSSSQARDVYSSSAVLPEEGENGKRASPQTFLSILEIPDQAKIPVCTLDAHLNCRNCIDFQMKSEEVYSQCIIDIPSVNGNSNSPESFEEGVESFKSGNSPTSVLWRESSLKLGGKLMQSSLNLNNSKDKPVTEKVHDIPINRWRRYKRSASFDSRKVALMFSVL >OIV89136 pep supercontig:LupAngTanjil_v1.0:KV862383:12469:14976:1 gene:TanjilG_26629 transcript:OIV89136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRNTSDLLTWSETPPENTATVSASGNRSHQPSDRISKVLHGVSLTEEESHSLTKSKPCSGYKMKEMTGSGIFSANAEDSPSEANSENSNSRTSIRICQQAMNGISQISFSTEESVSPKKPTSIPEVAKQRELSGTLQSEADNKSKKISNAKTKELSGNDIFGPAPEIVPRSVVAVRTFESKESKDMGEPLPRNVRTSVKVSNPAGGQSNILFGESPVKKTSKKIHDQKFAELTGNNIFQGDVPPGSAEKSLSKAKLREMTGSNIFADGKAETKDPVRGARKPPGGESSIALI >OIV89138 pep supercontig:LupAngTanjil_v1.0:KV862383:41215:44714:-1 gene:TanjilG_26631 transcript:OIV89138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWFLRWVMVFMGFVSVTGLGVNWGTQATHKLPPETVVQILKNNGIQKVKLFDADETTMSALAGTGIEVMVAIPNNGLDDMNSYDRAKEWVKKNITRYHFNGGVNIKYVAVGNEPFLKSYNNSFLNITLPALQNIQNALNEAGVGDSIKATVPLNADVYQSPADNNVPSAGTFRPDISALMTEMVQFLSKNGAPFTVNIYPFLSLYGNDDFPFNYAFFDGVNTPIIDKGISYTNVFDANFDTLVSALKAAGYGDLPILVGEVGWPTDGDKNANDGNALRFYKGLLPRLAANQGTPLRPGFIEVYLFALIDEDAKSIAPGNFERHWGIFRYDGQPKFLMDLSGKGQDKLLNGAQNVKYLPSKWCMFNPDAKDLSKLPDNINYACTFGDCTTLGDGCSCNNLDANGKASYAFNMYFQVQNQNPSACNFEGLAKLSEQNISTPTCNFPIQMVPSLSFSLMPSFVVFLVITLSMVMLF >OIV89134 pep supercontig:LupAngTanjil_v1.0:KV862385:19109:19579:1 gene:TanjilG_26636 transcript:OIV89134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVPSNKPQQQRQPVIIYTHSPKIIETHPKDFKALVQKLTGLSHDNSGEKDEEANPISNCNPPPQLPPPEVAVEEAIMEVKYPKKENETSSVITEENNCGYSCMGEVKSCFMDAPSMMMEPPLMPYMRSLPVYDPNSVELMNPNMPFLNYPESFFF >OIV89135 pep supercontig:LupAngTanjil_v1.0:KV862385:42318:42764:-1 gene:TanjilG_26637 transcript:OIV89135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKSTSIYKGVRLRKWGRFAAEIRDPIRKNRVWIGTFDTELEAADAYAKKNNEFMEALQSGNATHSKDTKVLCSKQKKKYKKVSIHSLQSSWSPPPPSAIEGSQGGNCDINGQ >OIV89133 pep supercontig:LupAngTanjil_v1.0:KV862386:63012:65216:1 gene:TanjilG_26639 transcript:OIV89133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREGLRSRTRLGKDSGAGGVVERGGLEKESFDLNVEGSSVPQEEALNSTTEKKDEVDCAVEKFDLNESPGGNADLTEDVNDKGCSSLGGRGSVREADVEAEVDKNEANEKGCCSGEGSFIKADVETEVNKKEGSNDSVLIGGRVLRSRSKRADDNKSCNRENSGALHGETNESSVLERIESTKEYDEADEYVSDGHVNEKDKLKRKGHKRNLKRKRGRPPKIKLEEEAQFVDQLPCKRGRPPIIRLNEQGQSVDQLTHKRGRPPKAGIQNQFLKVVHSRKGKVVFRKGKKGLTARYSATVNAISGTHSGRASERELEKKGFSPVKKNKFVKVLNTENNGVASPVTSNAVKAPVGGKSLRNKAKQLVREQIMERLSAAGWTVDYRQRSGRDYRDAVYVSLDGKTHWSITLAYNRLKNHYEAGDGEGKVYGSGFKFTPIPEKDYQILTKVVNKERNDKNKPRPKGGNGEETVDRVNKKLKKERLGSGAGKGKIKRKRSLHEEGSTAPSRMSIMARDHKRHKTQNKKRCAPLVRNAEEEIDSETDGYVPYNGKRTVLAWMIDLGTIVQNQKVHYMQNRRGFSPTQGRITGDGIHCGCCNEIVTISDFEAHAGSKLSDPLKNIYIEGGISLLQCMLDSWDKQDEFERKGFHFVDTAGEDPNDDTCGVCGDGGDLICCDGCPSTFHQSCLDINFQWPLSHYGYSIFHQTLC >OIV89132 pep supercontig:LupAngTanjil_v1.0:KV862386:30194:40671:-1 gene:TanjilG_26638 transcript:OIV89132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRDGGIKSTSINGVKMYTVASQQPSRASWLPNKKQNSHRNVKSYTQNLQLLEDLRFTTATTKIKATPDGEYVIASGIYPPQVKVYEVRELGLKFERHLDSEIVDFQVLTDDYSKLAFLCADRSVSLHAKYGKHYTLRIPRMGRDITYDCWSSDLLCAASSPDLYRINLEQGRFLSSLTTQSPALNVVSRSKLHGLVACGGEDGAVECFDMRARSSVGRIDAVGPSGDVGQVTALEFEGDGGFLMAVGSSAGKVLIYDLRSSNPVRIQDHMYGSPILDIKWHRTLNYEQPMLISSDNHVVRIWNPETGEGMTSIEPTEGIINDVCTFPGSGLILLALDSSQIPSYFIPSLGPAPKWCSSLENFTEELDMGGQTTIYDHYKFLTKEELERLNLTNLIGTNLLRAYMHGFFINYALYKKAKTLADPFEYETYIEQQKQEKMEAERASRITMKKRLPKVNQALAARFIESEEAEYEKRDDGGGDDETKKVSKKNKKVNMQDLEDERFTALFTNEEFEIKEASQEYLALHPNGSKKQTSLLNEHFEPVMSDDDQSHSDNDAPASSDDEFGKEKKTRVPRMYEIKNEQHAEAFWSQKSLSGEESLPMGDRVAALKDDQRSSRIPNGVKQGPGGSREITFRARSSAKYQEDKEDEEVQPHKRRGVQSLGLKPDRSVFGGPGRGRGGYGGGRGGRGGGRGGRGGGRGGHGSSRGRGGGRGGRGGGRGGRR >OIV89131 pep supercontig:LupAngTanjil_v1.0:KV862389:57081:59201:1 gene:TanjilG_26762 transcript:OIV89131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSHSAMAFPGFKGCDASVLLDDTPNFTGEKNSFPNANSLRGFEVIDDIKSQLEDMCPGVVSCADILAVAASEAVGILGGQRWNVPLGRRDSTTASLSEANSDIPAPFLDLNGLISTFSKKGFTVEEMVTLSGAHTIGLVRCRFFRDRIYNETNIDPSFAAAMKEECPFDDGDDNLSPFDSKTPMSFDNAYYRNLVESKGLVHSDQQLFVDGSRRTNPQVIAYSKNFGRFKHDFANAMFKMSQLSPLTGYDGQIRTNCHFINPTTDEYRE >OIV89130 pep supercontig:LupAngTanjil_v1.0:KV862389:53643:55454:1 gene:TanjilG_26761 transcript:OIV89130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASFVSVSFPSFKFKYLLIFTCLIGIVSAQLSTKFYDKTCPKAVKTITKAVDEAVSTEPRMGASLLRLHFHDCFVQASLISLIILCNCCMSLNIIQHGCDASVLLDDTANFTGEKNSFPNANSLRGFEVIDNIKSALEELCPATVSCADILAVAASESVGALGGQRWNVPLGRRDSITASLSEANSDLPAPFLDLSGLITAFAKKNFTTEEMVTLSGAHTIGQARCILFRNRIYNETNIDSSYAAALQAACPFEGGDDNLSPFDTKTSATFDNAYYQNLVNLKGLVHSDQQLFVNGSGITDGQVSRYSRNLGNFKKDFSDAMLKMSMITPLTGSDGQIRTNCHFINA >OIV89129 pep supercontig:LupAngTanjil_v1.0:KV862389:44843:48953:1 gene:TanjilG_26760 transcript:OIV89129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDGDNSRKRTRDPHSERNRDSKHRTSTHRDSDDHHRHHHHRSSDKKREGSRDMDRVYDREEREGSKSRVKSRNEEMEDSVERRHSSSHHLHKRKDREHNDEDRELEYKRIRVTEDKSEGRRERRKFGDKVKKEEDDHIQIQEEQNLKQSISNHHFDGAQASSSPNGKVSLQNGSAMGLPSVALKSVPEASLALNPPSPIKVSSITTTNENKGVNITRSHEVTGKSSTDGSSSTAGKSRSLSFDALAKAKKALQMQKELAEKLKKIPQLNRSSTSNLQGSTHLGSKDESTVPSVTAGVAPKTAFPAPSGSLAKMSVFPSAVPATLANPQASGATAPGIANLPNYEAVRRAQELAARMGFRQDPQFAPLINMFPGQMVTDISIPQKPTKAPVLRLDAQGREIDEHGNVVNVAKPSNLSTLKVNINKQKKEAFEILKPVLDVDPESNPHFDPRMGINKTKLLRPKKSSLEFVIEGKWSKDAEAIKLKSKFGAAQAREQKAKQAQLAKAKAAPDINPNLIEITTERVIKEKPKDQIPETEWWDLPLLHFGNYGDLANGTLAEDKVKLEKITFYVEHPRPIEPPAEPAPPPPQPLKLTKKEQKKLRTQRRLAKEKDRQEMIRQGVIEPPKPKVKISNLMKVLGSEATQDPTRVEKEIRSAAAEREQAHIDRNIARKLTPAELREKKEKKLFDDPSTLETLVSLYRINDLSHPKARFRVDVNAQENRLTGCAVICDGVSVVVVEGGSKSIKRYGKLMLKRINWSDFTKETEENEDSDDDKPANKCVLVWQGSVAKTSFHRFSVHDCITEAAARKVFADAGVPHYWDQAFNFVEDEAV >OIV89128 pep supercontig:LupAngTanjil_v1.0:KV862391:19480:21352:-1 gene:TanjilG_26764 transcript:OIV89128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGDGSSVIGKGPLPTTTPGQNTMLGSYDSFEQETNGVLNPIEGDVPNDPDAQRRARNRNYSKTYRLKKQDHMLKLEDQVKTLNETLSTISSELEYYKEVQSNLEVETNSLVNTLTEISLSFDGDEANPELLMSDLMEVKQLDENQQARKSEMVKNIGK >OIV89127 pep supercontig:LupAngTanjil_v1.0:KV862391:5714:13946:1 gene:TanjilG_26763 transcript:OIV89127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEERELEVAAVEKVGEVISAIKNANHVDQVICALHSIASLVFSLDPSLLSGSVDESYRDQVLGIEVLSEQERDDWWHAFYRGPAFPTLSRFLLLAQKHVYDVFFVCGLVTEVVQVLVPLLQQNGTDGLDLAIQFGGPSKLKGLRNEQIKLAVSRVAQIVASIPDKARMNSLTSLSSHLFFKQIVVQLLHLAEEREMILPENVDASDELDKNGALIFVGEMFSRICRRGYADLLSSELIPRVLRLVNSCLSSSSDSSTEKMLESKPDFMFWLRVMESIRDPYTTERISEQILHQLARHHVNDVQAYWVLWLLFNQNLRLQASFRSIFVEKFLLWKVFPFICLKWILQFAVHECPPGTSLSGHNRSGQLNIVQHLLAAWSKKEFVQTATIEQQACILFHYASILKYISAALGLSLETFFKEELDGMKNGMHLILQGVSCRLESPNHLVRKMASSIALVLSKIIDPKNPLYLDDSCSGETIDWEFGLTIPKKENLQKDVDEPKISSLLGPEKDSNSASNKETSIRVKGKKNLLEFNVLDPDEIVDLASLNLEPDVSDEDDDSASENSYSSGDSSLQPYDLSDDDSDLKKNISQLTDVVVALRKTDDADGVERAIDVAEKLIRASPDELKHAARDLTRTLVQVRCSEISLEGAEDSMEDKRQRALIALAVTCPFESLETLNKLLYSPNVDISQRIMILDVMTEAAQELAESKVMKPKHQNSSLISVVSDSRPWFLPSSTGIPGAGSWKEILGTGPFLNWSNSYERELPSKPNQVNKGKTRRWSLRSPTQQNLMECSHNKFPMYAAAFMLPAMEGYDKKRHGVDLLGRDFIVLGKLIYMLGVCMKSVTIHPEASVLAPSLLDMLRTREICHHKEAYVRRAVLSAATCILVALHPTYISSALLEGNVEISTGLEWIRTWALDVADSDTDRECYTMAMKCIQLHAEMALQTTRALESARSSYNASPVLPSNASKVTIKIPYLNGD >OIV89126 pep supercontig:LupAngTanjil_v1.0:KV862394:42771:43256:1 gene:TanjilG_26773 transcript:OIV89126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGATLMATTSILLCSGLAALISSTYSVKKPLNDTVYGAHGEFMVALKYVTLLTMFLFSFFCHSLSIRFINQVNILINTPQDPMSLVTPEYINEILEKGFILNTVGNRLFYTGLPILLWIFGPVLVFLCFLTMVPVLYNLDIVFTSGKGKMMEVKENSDFIV >OIV89125 pep supercontig:LupAngTanjil_v1.0:KV862394:15895:16431:-1 gene:TanjilG_26772 transcript:OIV89125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMNPKKPNKIMEIVRLQQILKKWRKQANSSKTTTTNNNNNNTSSNKSIKFLKRTLSLSEHEGIGSSNNVVVPKGYLAVCVGEELKRFIIPTQYLTHQAFQILLREAEEEFGFEQVGVLRIPCEVSVFERILKMVEGKKDKFSSTQECRLSVEEIMGFCSFQNHSSYSSHHPQSPLCT >OIV89123 pep supercontig:LupAngTanjil_v1.0:KV862395:27835:36575:1 gene:TanjilG_26951 transcript:OIV89123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKSRKERRRTKSYDSASESHSSRSDDSDSRDSSPERGSLRLKRRSHRSRSSDRSSRKRRGRQDSDSSYDDSDSDYSGDRGGKKKKPSRKITDEEVAQYVAKKAQRKALKAAKKLKTHTVSGYSNDSNPFGDSNLNERFVWRKKIERDVFHGVPLDEFSVKAEKKRQRERMAEIEKVKKRREERALEKAQREEEMALLARERARAEFQDWEKREDEFHFDQSKVRSEIRLREGRARPIDVLTKHLDGSNDWDIELNEPYMVFKGLTAKETEELRDDIKMHLDLDRATPTHVEYWEALLLVCDWELAEARKKDALDRARVRGEEPPAELLAEERGLHSSVEPDVKRLLQGKTHAELIALELHIESEMRTGTAKVVEYWEAVLKHLQIYKAKACLKEIHAKMLRKHLQWLEQPLEGADKLEDAHIIKSEDDTEEEYVKVRPANESFSPEPINEEDQEAEDEAGSFSPELFHGDESEEAIDPEEDRAILERNRMAVLEEQRRRIQEAIASTPAAQEDNFEMKAMKAMGAMEDGDAVFGSGAEVNLDSQVYWWHDKYRPRKPKYFNRVHTGYEWNKYNQTHYDHDNPPPKVVQGYKFNIFYPDLVDKTKAPTYTIEKDGSNGETCIISFHAGPPYEDIAFRIVNKEWEYSHKKGFKCTFERGILHVYFNFKRHRYRR >OIV89124 pep supercontig:LupAngTanjil_v1.0:KV862395:41941:52596:-1 gene:TanjilG_26952 transcript:OIV89124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSLFSHQHNHMLLHSPLTPILLTRTHKRLEQKSSYRLPCHCSYSSSNNTVQQPATLSLQIEGRRALLSSLLTTIAGVYACDVAEAVSTSRRALRGAKIPESDFKTLPNGLKYYDLTVGNGAEAVKGSRVAVHYVAKWRGITFMTSRQGMGVGGGTPYGFDVGESERGNVLKGLDFGVQGMRVGGQRLLIVPPELAYGSKGVQEIPPNATIELDVELLSIKQSPFGTPVKIVEG >OIV89122 pep supercontig:LupAngTanjil_v1.0:KV862396:48101:53615:-1 gene:TanjilG_26959 transcript:OIV89122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ITVSLIRSELLNLTEYNVYMAKLIDGGRNKAATEFSISLLQTLVIEEPKVIPELHNLVDALAKLATKPGSPETLPQLVEMVKKPAASSAALYAGNAGKDDKARQSTDNKDPGLLVANREELITVESVEPDPAGFREQVSMVFAEWYRICELPGANDTASAHFISQLHQNILLKGDDVTDRFFRLLMELSVAHCLSTEVINSGAMQSPQQLQPMSFLAIDVYAKLVFSILKGSSKLILLSKILAVTVRFILEDVEEKKMSFNPRPYFRLFINWLLDLGSLEPVIDGANLQILTAFANAFHALQPLKVPGFRVLLVLLHDFPEFLCDYHFTFCDVIPPSCIQMRNIILSAFPRSMRLPDPSTPNLKIDLLQEITQSPRVLSEVDAVLKAKLMKADVDEYLKSRQQNSSFLSDLKEKLLLSSIEAGSVGTRYNVPLINSLVLYVGMQAIQQLQGRSPHAQSSANAFPLAVFSVGAALDIFQTLIMDLDTEGRYLFLNAVANQLRYPNTHTHYFSFILLYLFAESNQEIIQEQITRVLLERLIVNRPHPWGLLITFIELIKNPRYNLWNRSFIRCAPEIEKLFESVSRSCGGPKPVEESMVPGWV >OIV89120 pep supercontig:LupAngTanjil_v1.0:KV862397:20425:22019:-1 gene:TanjilG_26961 transcript:OIV89120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDGGSRKRLKNMISEITVQDVAWLCTLSESEIDMLISLKLLIIQRAKTMGYGELANKFDLKMIRAIALVLMEQLKEEMKDSSLIPDIVKSCAFLDACNLLKCNTEVTQTVEELSKTVGADIQPLLRRFCTFLVICHG >OIV89119 pep supercontig:LupAngTanjil_v1.0:KV862397:11750:13344:1 gene:TanjilG_26960 transcript:OIV89119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDGGSRKRLKNMISEITVQDVAWLCTLSESEIDMLISLKLLIIQRAKTMGYGELANKFDLKMIRAIALVLMEQLKEEMKDSSLIPDIVKSCAFLDACNLLKCNTEVTQTVEELSKTVGADIQPLLRRFCTFLVICHG >OIV89121 pep supercontig:LupAngTanjil_v1.0:KV862397:33890:38997:-1 gene:TanjilG_26962 transcript:OIV89121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRGRGGRDPFSDFGDPFAGFGGFGSFGPPRSLISNFFGGRDPFDDPFFTRPFGGMLESSPIGGPSGFPSPFGGPAGFTSPFGGPSGFPSPFVGPAGFTSPFGGPAGFPFPPNMRPSGLLENQAPQPSRPRGPIIEELNSDDENADSKEENKGNPRKHGRSDNEQVVEHPDDGLEGKKSRHLPDRNEYNRLYATAPQPQAQSFCFQSSAVSYGGPNGTYYTSSQTKRTGSDGVTFEERKEADSSTMQASHAISRGLHGKGHSLSRKLNADGKVDTMQMLHNLNEDELAVFEEEWKGKGQKYLTGWTGNIGASHGGQAEQARQGVRALPSSEHRYPVGTMPDVRYKVGSSRSQERARTYSSDKNAYHP >OIV89117 pep supercontig:LupAngTanjil_v1.0:KV862398:11291:12055:1 gene:TanjilG_26963 transcript:OIV89117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNLVFDPTLINIDLDDDVYLESIPLPQKYPFMERVDQLLEIFTINQSVSFTIWFPMGKQFTSHIDKWVNRAIGKECETLDLEFKFARIDDEPYNFPFHILLSSKKSHLKCLSLCECQLKPTREVVHRLNLLESLTLVYVSMEASDLEIILSSCLNLELLELIDCEVLTSLRFFNPDMRLKRLFVNPLVFVANIELSISSLELFKFDGEIQNLTICQDMRLKPLFVNPLVFVANIELSIPSLELFKFDGEIENS >OIV89118 pep supercontig:LupAngTanjil_v1.0:KV862398:42251:42427:1 gene:TanjilG_26964 transcript:OIV89118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLYKLRFSFDVDEFRFGLMVLPTDSQKEGYQNFLIRPIWPNRTIQESSMVAQPPAVE >OIV89116 pep supercontig:LupAngTanjil_v1.0:KV862400:36380:38421:1 gene:TanjilG_26966 transcript:OIV89116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCSLDGLKTKRIEIRCKKMELVLRLCEDQLKDEESITEALERLNELNEACPHHGIPPSMLVQNFYSGLDFPSQQLLDSSFTLNHSLFEVFRTRVHTSSGCILRSKCRRTCPTS >OIV89115 pep supercontig:LupAngTanjil_v1.0:KV862400:28151:30991:-1 gene:TanjilG_26965 transcript:OIV89115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGQLVCSGCRSNLLYPRGAPNVCCALCNTITSVPPPGMEMSQLYCGGCRTLLMYPCGATSVRCSCCHTVNLAPASNHVAHIHCGNCRTTLMYPYGAPSVKCAICHYITNVSMINGGFPIPVHSPNGMTNSATVPSTSTSMPQSQSHTVVVENPMSVDSNGKLVSNVVVGVTTDKR >OIV89114 pep supercontig:LupAngTanjil_v1.0:KV862401:6309:10753:-1 gene:TanjilG_26968 transcript:OIV89114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSKNCAICLPQQVNPAMLSIVLDYCRFHQLPGRSNKERKSYDEKLIRMDTNRLCELTSAADSLKLRPLVDLTSRALARIIEGKTPEEIRDIFHIPDDLTEEEKLEPLKNITDDPRIRLLNRLYAKKRKELKEREKLKNVDAEERVDERSVDDLLSFINGKESDRQGNKTSKNKKKNRRKKGQQKNSSPKDASELNKEVGVNGPNTRPASSEAVIIGQTSNLDYTGNGTFIDNLEFDDDDIDDEIDPELKEKIDREVEDFARRLNSNWPERMQDFLSSGRERKTTLLTSDKTDFLSRHACSGLDSE >OIV89112 pep supercontig:LupAngTanjil_v1.0:KV862404:1217:3381:-1 gene:TanjilG_27428 transcript:OIV89112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEEDEDEEEEEDEDEEEEEDEDEEEEEDEDEEEEEDEDEEEEEDEDEEEEEDEDEEEEEDEDEEEEEDEDEEEEEDEDEEEEEDEDEEEEEDEDEEEEEDEDEEEEEDEDEEEEEDEDEEEEEDEDEEEEEDEDEEEEEDEDEEEEEDEDEEEEEDEDEEEEEDEDEEEEEDEDEEEEEDEDEEEEEDEDEEEEEDEDEEEEEDEDEEEEEDEDEEEEEDEDEEEEEDEDEEEEEDEDEEEEEDEDEEEEEDEDEEEEEDEDEEEEEDEDEEEEEDEDEEEEEDEDEEEEEDEDEEEEEDEDEEEEEDEDEEEEEDEDEEEEEDEDEEEEEDEDEEEEEDEDEEEEEDEDEEEEEDEDEEEEEDEDEEEEEDEDEEEEEDEDEEEEEDEDEEEEEDEDEEEEEDEDEEEEEDEDEEEEEDEDEEEEEDEDEEEEEDEDEEEEEDEDEEEEEDEDEEEEEDEDEEEEEDEDEEEEEDEDEEEEEDEDEEEEEDEDEEEEEDEDEEEEEDEDEEEEEDEDEEEEEDEDEEEEEDEDEEEEEDEDEEEEEDEDEEEEEDEDEEEEEDEDEEEEEDEDEEEEEDEDEEEEEDEDEEEEEDEDEEEEEDEDEEEEEDEDEEEEEDEDEEEEEDEDEEEEEDEDEEEEEDEDEEEEEDEDEEEEEDEDEEEEEDEDEEEEEDEDEEEEEDEDEEEDRMRRRIRIG >OIV89113 pep supercontig:LupAngTanjil_v1.0:KV862404:45780:46088:1 gene:TanjilG_27429 transcript:OIV89113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSCENKSTQNLVMFSDSEIEAAEQLIQLSSGDSSVEDHHSSNNSCSYSVNNNMVDSYDVESSIAATTTESVEDKGFVRKKEEEDQVPLYRRFIFRYNICA >OIV89111 pep supercontig:LupAngTanjil_v1.0:KV862405:36638:38315:1 gene:TanjilG_27430 transcript:OIV89111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFYNGKQQQSCTFRELKLVALIALCLILISPADSFDPLDPIGNVTIRWDIMSWTSDGYLATVTLFNFQLYRNIMNPGWSLGWTWAKKEIIWAMMGAQAKEQGNCAKFKLKIPHSCKRNPEVVDLLPGAPYNMQYTNCCKGGVLTSWGQDPTGAISAFQLGVGLSGTSNKTVKLPNNFKLMGPGPGYSCGPAKKVPATVMFTDDRRRKTQALSMHN >OIV89110 pep supercontig:LupAngTanjil_v1.0:KV862406:16439:16936:1 gene:TanjilG_27432 transcript:OIV89110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQQIAQHRNQAEIYQGDGICMQKSRLLLDEILLPRGLLPLDQIIEMGYNRITGFVWLKQKQKKEHKFKAIGKTVSYDAEVTAFVEERSMRRVTGVKSKELFLWVAISEIFVDDPCSGKISFAISTGIARSFPISAFDIQEYHEQEHKMNNKSIKDRTTQKKKM >OIV89109 pep supercontig:LupAngTanjil_v1.0:KV862406:4168:7552:1 gene:TanjilG_27431 transcript:OIV89109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNTNMLYQQHVLHVLPLFIFQGNADSFGGNLSTLVRFSYFDHQNDNKEVPCGFLKKFPISDSDQIWMEKCDNVVVVSAIFNDHDKIRQPKGLGSKTLENVCFFMFVDDVTLNGLEHHGLISLNSVEYKVGVWRLVKVTKVDLYENPAMNGIIPKYLVHRLFPNSKFSIWVDAKLQLVVDPLLLVHSLVISENVDMAISKHPYYVHTMEEAMATARWKKWWDVNALRVQMETYCEHGLLPWSPSKKPYVSDVPDSALILRRHGLRSNLFSCFMFNELDAFNPRDQLPFAFVRDHMKPNLKLNMFEVEVFEQLTVEYRHNLKRIDGTNSATKKVSPSRRTKRAHQDLLYVNSSCCGKCQKYLSTMWGKEESND >OIV89108 pep supercontig:LupAngTanjil_v1.0:KV862407:37830:38847:1 gene:TanjilG_27443 transcript:OIV89108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRFLMPWMLLILCVAFFTARAAQRGPFAMRISCGARQNVQTVPTTTLWFKDFGCLLLMHLDQALLPLLSKLFVFPLVWRPSKLL >OIV89106 pep supercontig:LupAngTanjil_v1.0:KV862412:14114:16837:1 gene:TanjilG_27608 transcript:OIV89106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMETAVSFVGDKLLPLLLKLVKAINEVPKDVAEITKELQNIQDFINEEDRRAGTEEHKTRDRRKEKVKQLKEQTFRIEDVTEDYMINEEQHSHNDPGCASLPCDTLEFIKAMILRLQISYEIQDIKLRLHEIDPIQTNPSSLNYIGIRSENAKLHNLRRNALYIEEDNVVGFEAPRDELIGWLEEARVEPTVISVVGMGGQGKTTLAKIVFDKVIGKFDCYAWITVSQVYDVEVLLKNVMNKLWEGTMEEPKDISKMDKDMLFKEVRKYLEQKRYVILFDDVWDKNFWNEIKFALVANKKRSRILITTRSNEVAKSCKVSFITHSKNLLHLPQKESWDLFCKKAFLNELHGLCPKGLENISSKIVEKCNGLPLAIIAAGDLLACKDKNSSEWKKLCETLISELEKNPNSTGITNILGLSYDDCPYYLKPCFLYFGIYPENCEVESATLIKQWVAEGFVRSERDKTLEEVAEQYLRELIYRNLVQVSSFSIDGKAKRCRVHDLLHKLILTKIEDLSFCHFVKEEQPMFSGMIRRLKIETNSKNFMVNIEGSHTRSLYIFGGKELSEDSVKIIPTQFKLLKVLEFVHSPLAHVPENLGNLIRLRYLSFRKTNVESLPNSIGNLQFLETLDLRQTRVRQMPKEINKLKKLRHLLANHTSWGFGNGIQMMEGVGDLVCLQTLRQVETDYRGEELVKELEKLRQLRLLGLINVKQEYGTSLCSSINEMQHLEKLYIDAIPFDEVIDLCSISPLPLLQKLRLRGKLIRMPKWIPRLENLVRLSLISSKLIRDPLESLADMQNLLYLSLDSAYEGESLVFPDGGFKTLKKLSLRHMDGLDSIKVGEGALPSLKELMLDYIPQLNDVPCGIENLGMLGIFHIVNMPEEFRESIREDQWIKNHVRQVYIIDSVRQN >OIV89107 pep supercontig:LupAngTanjil_v1.0:KV862412:19072:26256:-1 gene:TanjilG_27609 transcript:OIV89107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKSNNTESSFLGSISSSSIRNLLPKSFSSKLNSSKSVSENTPPVHSNILINHHYQPHPKTSISHSYDVTKSESLHDPPVVVRISSENSNIREGDCEIKKVSSDTLCVGDMQLTFDEVFDTNSSQEDIFQSVGVPLVRNALAGYNTSLLSYGQSGSGKTYTMWGPLNAMVVDPSHHSHPGIASRIFQMLFSELEREECISDRNYQCRCSFIEVYNEQIGDLLNPIKQNLEMKDDSKNALRIDNLIEEDVTSYDDMAKLLMKGLSSRKVGAMRSTSNSLRSHIIFTFTIESWCKGTTKNFNSSNSKTSRINLIDLAGLDKHNINDACSQYLGERRNVNNSSFQLGHLVDALTKEYKSGNEDTPHRNSCLTCLLQESLGGNAKLSVICSIFPDNKSNGETLHMLRIGQRVRSIRNGPVINEIKEAEVDFGDTIQLLKEELIRAKAGVHSSVGNKCFQHNVQERLNQYRVSLNHSLILPHMDNATYDEVNEDDIRQLREQIDELHSSSEGNLKDIPVREDRIQFCSVEENCDADMTNDDENAEVCYGQTLSKPCHEDIVASEDNKYRAKISMATKFPLRDSISVSSFSKSPILDGPQLSESPKFSNSQKKGTSISSSYLGSWNNVAESSNFSKELLGKSVKQDEHIKSSLQSSKAECLAVSLQRGLQMINYHQSNSALSKSSSSFSFEHLSLTQCSEIDKFECSDQTIQQKPSSDKITPTLLCASCQTKISDQDTTEVQDILKSWTEADGKAGNPDGLADKAPKHLESVLAKGIMREKELENVIKEQATRIEQLNQLVVEKFKGENELNSIVGYGQHDDYNSMKDKDKFLRSTSTYGHLPCIIEEKCEIEEVHEELALRDSSLDATEKESLLKEIHNLRSKLQLYSDAPVKKSTDRFGSLMSRSIQLQKSGVFSHNIGVEELENERHRWTEMESEWICLTDELRADLEFYRQRAERLEMELRSEKKCTAELDDALSRAVIGHSRMVEHYADLQEKYNDLVAKHAAMMEGIAEVKKAVTNASKKGCARFAKSLAAELSALRVERERESQLLKKENQILKSQLRDTAEAVQAAGELLVRLREAEHATSVAEENFANMQQDNEDLRMQVEKLKRKHKTEISTMNQYLTESKLPQSALQPQYREDSLVVDESATSYMYDDQAWRAEFGAIYQEHY >OIV89101 pep supercontig:LupAngTanjil_v1.0:KV862415:12214:13590:1 gene:TanjilG_27613 transcript:OIV89101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFVPLVIPLLMVSLIVLIYGSSSCNAKDNALNCSRLCGVHNISYPFRLNDSPKHCGDMRYNLSCENNQLVQYWTSKKYYYVQSINYNNYTIRLVDANIVHHYHHSFLPPSSLPPYSYPYELYKDYQYLNSHYKTKSLTKVVTYMRCPYPVMHGSATCMKKNENSSYIEEGSVFHVSAGEDTLWDLRVGDSCSIEFMHPTSWPEEYYNQSNISCTNIHDILLYGFELSWLQIFCPRFPYYVYLDDHDNPNCDITWLMGSQTGEILLINLGRTIEVIGKSISFL >OIV89103 pep supercontig:LupAngTanjil_v1.0:KV862415:23027:24019:1 gene:TanjilG_27615 transcript:OIV89103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIRYSYKDIKNITSKFKTKLGKGGYGSVFKGKLRSGRIVAVKVLDHTKGNGQDFINEVGTIGRIHHVNVVQLIGFCVEGSKRALIYDFMPNGSLEKYIFSHEDGSSLSCEILYSISLGIAHGIEYLHNGCNMKILHFDIKPHNILLDEKFNPKVSDFGLAKLYPTDNSIVSMTGARGTIGYMAPELYYRNVGAISNKADVYSFGMLLMEMATRRKNLNAYAEDSNQIYFPFWVYGQLQDGRTLTIENDTDEEMKLAVRMMLVALWCIQTRPDDRPSMQKVLEMLKQEQDIELPPKPYLYPQDTTSEEVRDNISSMSSSDTSISDSKEST >OIV89105 pep supercontig:LupAngTanjil_v1.0:KV862415:33284:35080:-1 gene:TanjilG_27617 transcript:OIV89105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAESWFRSLWKPPRKHDANSEKVLIRVLAFEVASLMSKLVNLWQSLTDKHIAKLKEEISSSEGIRKLVSDDEHFIERLICVEILENMAHVAESVARLGKKCSNPCLKGFENAFYEFITTGVDPYGWEYNYKKMERKIKKMEKFISSNASLYQEMEMLADLEQTFTRMRANGESDGVNLLEYHKKVAWKRQEVKNLQDISLWNRTFDHIVLLLARSLFTIFCKINQLFGIQEMVDVGGTNNSSALSSDFIYRSQSVSALLQLSVQSQNNNARYSSGPLNAVTARSGPIGRTNKTSISHSGPLGSSSTKSGPISGKHTIVDFHSGPLGRTSKQSVPVTGTNKKSKFWKFYGHSASPSGKGSHTRHSRPTKVGPFKGCNAMDSSSVIDCHSNTNGVHLGIQKLRDANLNLLSPGKLVRHHTQSVFKSLCKLLNPPPETLGAAALALHYANVIIVIEKLSTSPHLIGLDARDDLYNMLPRRVRATLREKLKPYTKTTVYDTSLADEWTEAMSSILEWLAPLAHNMIRWQSERSFEQHSFVSRTNVLLVQTLYFANQEKIEETITELLVGLNYVWRYSRELNEKALAECSSFRVDNENPNLN >OIV89104 pep supercontig:LupAngTanjil_v1.0:KV862415:25221:31536:1 gene:TanjilG_27616 transcript:OIV89104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIVASAPGKVLMTGGYLILERPNPGLVLSTNARFYAIIKPLHHQIQPHSWSWAWTDVKLTSSQLSRESIEARNPFVEYAVQYSVAAAYATFDDNRKDLLHKLLLQGLDITILGCNDFYSYRNEIERRGFPLTPESLAIIPPFAPITFNTDDANGGDSKPEVAKTGLGSSAAMTTAVVAALLHYLDVVKLSPSKDHQERKDIADLDTVHKIAQTAHCIAQGKVGSGFDVSSAVYGSHRYVRFSPEVISSTQVAANVLPLPEVITDILNGNWDHNRTEFSLPPLMTLLLGEPGTGGSSTPSMVGAVKKWQKSDPEKSVDTWRRLSEANSALEVQLNLLSKLAKEQWDAYKSVIEGCSILRSDKWIEQASELNKEAVIKALLGAKDAMLGIRYHMRLMGEAAGVPIEPESQTQLLDATMNLEGVLLAGVPGAGGFDAVFAVTLGDSSSNVTKIWSSFNVLALLVKEDPCGVSLESADPRTNEITSAVSSIHIE >OIV89102 pep supercontig:LupAngTanjil_v1.0:KV862415:14702:15718:1 gene:TanjilG_27614 transcript:OIV89102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIRYSYKDIKNITSKFKTKLGKGGYGSVFKGKLRSGRIVAVKVLDHTKGNGQDFINEVGTIGRIHHVNVVQLIGFCVEGSKRALIYDFMPNGSLEKYIFSHEDGSSLSCEILYSISLGIAHGIEYLHNGCNMKILHFDIKPHNILLDEKFNPKVSDFGLAKLYPTDNSIVSMTGARGTIGYMAPELYYRNVGAISNKADVYSFGMLLMEMATRRKNLNAYAEDSDQIYFPFWVYDQLQNGHTPTIENDTDEEMKLAVTMMLVALWCIQTRPDDRPSIQKVLEMLKQEQDIELPPKPYLYPQDTTSEEVRDNISSMPSSDTSISDSNDTLISDSKEST >OIV89097 pep supercontig:LupAngTanjil_v1.0:KV862419:7288:9740:1 gene:TanjilG_27883 transcript:OIV89097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIGYEFSIKGLLLEAMTHVSGKEVGIDCCYERLEFLGDSVLDLLITRHLYQSHTDIDPGELTDLRSASVSNENFAQVAIRRNLHLHLLHGSELLRSQIADYVKVISESEDNTRSFQGIRAPKPLGDLVESIAGAMLIDTKLNIDQVWKVFNPLLSPIVTPDKLELPPWRELNELCDSLRCFVKESCVKKGTMVHATLSVQLHNTLLVREGKGQNAKTAKGDAALHLLKDLEKRGISYSSSMSKRKRDASGLTDENSLKPVAQLEYKRHKVDETNLTANARTGLLPLKDTSMEASNLGASVPGFAQSLLF >OIV89098 pep supercontig:LupAngTanjil_v1.0:KV862419:11819:16084:-1 gene:TanjilG_27884 transcript:OIV89098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGDGRVEVVSGKGCSSLFSSSFRGLKTMEPFSPSSSSMASEQVHMRSRPPFAGLVICVTGLSKEARNQVMEATQRLGGRYSPDLNPQCTHLVLLVQFCHGIFNTKYSFGGRKFDHALQHGAKNGLFIVTLVWFVDSVKKNVRLSESHYSVKSYGDNSMRLDENSSLTAKIQETRHTNNVEEFQRFSGRESNRSSDLTLSGCSIYVDPVISCELRNKVVESASREGASLVEQWFVGCNVSHVVTEGTSIQRYLGYSSNLITPLWILKTAKEKSVQRLVHLSADLARQVGLMLEDNNDFSGKEVIKQKVCGDLHGGESEVSYEERQQIVNSAKIGVRNRRGRRMQTCQTPIHPIMPNNLLDSICWSISEPTSSASIYTDSSSVEDPSHNPTSTFFDAKEDGKDSEASFSNSTRPLTESEKSELIFKNHFLTVLFPIDRFAEMGPSSRTFFSNNGFTCLQVLDYIHAFYQENMSKEETEAAIHTDSRHADRLRSVYSSKETAESGKAIFKRIELLGSRISFEMLKRVSGDNNSNVYELLLRA >OIV89100 pep supercontig:LupAngTanjil_v1.0:KV862419:23326:29783:-1 gene:TanjilG_27886 transcript:OIV89100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSDGDRWCVVTGGRGFAARHLVEMLIQSNIYSVRIADLGSTIDLDPSEQLGILGEALTSGRAHYITLDLRNKEQVLKACEGAEVVFHMAAPNSSINNYQLHHSVNVQGTKNVIDACLELKVKRLIYTSSPSVVFDGVHGIHNGNETLPYPSLHNDHYSATKAEGEVLVIKANGKGGLLTCCIRPSSIFGPGDKLLVPSLVDAARTGKSKFIIGDGNNVYDFTYVENVAHAHICAERALASEGTVSEKAAGEAYFITNTEPIKFWEFMSLILEGLGYERPKIKIPAFVVLPIAHLVELIYKLLGPYGMKVPQLTPSRVRLLSCSRSFDCSKAKDRLGYAPIVTLQEGLQRTIESYPHLRAENQPKTKREGPSKASIYLGSGRVADTLLWKDRKQTFTTLLVLAAIYFNFIASGNTFVTAISKLLLFISIFLFIHGILPAKILGYTIEKTPTSWFRLSEDMSHQIALSVASSWNLSVDVLKSLAQGNNLELFSKVVFSLVILNFLGGFSLQNLYTIGLAFAFVAFYIYEKKEEDIDDIFIKIHSFGYKLKSDFTRKFLLSKKID >OIV89099 pep supercontig:LupAngTanjil_v1.0:KV862419:17746:21880:-1 gene:TanjilG_27885 transcript:OIV89099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVLLMKDIEATASRLGIDLSTIDLDFIHLPHGDNVGIDSDDEEILNEENLEFESGFGNIIVVDNLPVVPKEKFEKLESVVRKIYSQIGVIKDDGLWMPVDPDNEKTLGYCFIEYNTTQEAELAKERTHGYKLDRAHIFSVNMFDDFDRFMKVPDKWAPPETKPYAPGENLQHWLTDAKARDQFVIRAGSDTEVLWNDARHLKPDPVYKRTFWTESFVQWSPLGTYLATVHRQGAAVWGGATTFNRLMRYAHPQVKLIDFSPGEKYLVTYSSHEPSNPRDANRVVINIFDVRTGKLMRDFKGSADDFAIGGAGGVTGVSWPVFKWSNGGDDKYFARMGKNVLSVYETDTFSLVDKKSLKVENIIDFSWSPTDPIIALFVPEMGGGNQPARVSLVQIPSKEELRQKNLFSVSDCKMYWQSNGDYLAVHVDRFTKTKKSTYTGFELFYIKERDIPIEVLELENKNDKIISFAWEPKGHRFAVIHGDNPKPDVSFYSMRTAQHTGRVSKLTTSKGKQANALFWSPVGRFIVLAGLKGFNGQLEFYNVDELETMATTEHFMATDIEWDPTGRYVATAVTSVHEMENGFNIWSFNGKHLYRILKDHFFQFLWRPRPPSFLSTEKEEEISKNLKKYSKKYEAEDQDVSLLLSEQEREKRRLLKEEWERWVNEWKRLHEEEKLYRQSLRDGEASDEEEEYEAKDIEVEEVINVSEEVIHFEYGQE >OIV89096 pep supercontig:LupAngTanjil_v1.0:KV862420:28434:29540:1 gene:TanjilG_27887 transcript:OIV89096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFVLWNRECEQLIKQPAVDLKELLILEGEFNPLSIPQAVDDLVTKSLAFKVKLQPTYKRCSVIQVSEDSQLIDCLLERITPDQQSLSATSDYDPDCSAYLTPLKRLGSSALSEDAQDIGFAQMSSTKNAKHISKD >OIV89095 pep supercontig:LupAngTanjil_v1.0:KV862421:4630:8691:-1 gene:TanjilG_27888 transcript:OIV89095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALHLMRRTLGSRYFANPDLRAFSSSSTPIRATLFPGDGIGPEISESVKQIFQAAEVPIEWEEHYVGTEIDPRTQSFLTWESLESVRRNRVGLKGPMATPIGKGHRSLNLTLRKELSLYANVRPCYSLPGYKTRYDNVNLITIRENTEGEYSGLEHQVVRGVVESLKIITRHASLRVAEYAFHYAKVHGRERVSAIHKANIMQKTDGLFLKCCREVAEKYPEIKYEEVVIDNCCMMLVKNPALFDVLVMPNLYGDIISDLCAGLIGGLGLTPSCNIGEGGIALAEAVHGSAPDIAGKNLANPTALLLSGVTMLRHLDFHDKADRIQNAILNTIAEGKYRTADLGGSSKTTEFTAAIIDHL >OIV89094 pep supercontig:LupAngTanjil_v1.0:KV862422:25811:26971:-1 gene:TanjilG_27889 transcript:OIV89094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQFKSSAMSTHTDSSPIPSITTLPLNLHFNLHHVLPLLLLLFILIQFPPPATAQLPNTLTPPPPDNTISNVQFNKSMVMALVILVVVFVLLGFVSVYTRQCTERRMRGRHDLSIPIIGSNHRPRGLDREIIETFPTFIYSTVKSLKIGMATLECAVCLNEFQDDEKLRLIPVCNHVFHAECIDAWLVNHSTCPVCRANLVSTPSEVVPFMTIQLPDQTDPEPDPVHVDEFSGRQGTVMKESPKLSNNNSVNQNRPRRSRSTGFRFTNLLPRSHSLVQRGENLERFTLRLPEEVRNQLVTSTLSRTKSLGVAFTPESSERRGYRTRSVGSGCGRNNLERLDQSDRRMFRWMSRAGSNISKKVTEFNKDDVGERSSDRLFSGKENDM >OIV89093 pep supercontig:LupAngTanjil_v1.0:KV862424:5888:6160:-1 gene:TanjilG_27890 transcript:OIV89093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTGSYPVKAYSLSVPYGYGRRKHKLYPVKSSLDLVCFIIMVNSLSGWLHNNSGNSCSALK >OIV89091 pep supercontig:LupAngTanjil_v1.0:KV862428:14080:15108:-1 gene:TanjilG_28130 transcript:OIV89091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESECNADMSYISHLPDEIMQHILFFLPIHDAASTSILSKTWLRVWNSLPVFTFTFDQQNLGLTVPEFVNRVNQSLSPLQSNKAVIKEFLVVMNLSIQEHKDFVSDIDNWISWVVSNCVRKLTIRVSSLTQIYIIPELFFTSRSLVVVKLHGCKLPETTLEDLEEFKFVKELTLYDVVADDYQVQNLVDSCQSLEKLTLILDHTMDFLELDNFLMLHYVFVNVQMLTIDAINLLTLKFTALRELHLSDSTNNIRTLLCGFLPRFTTQHISLQEMLSTFTLLKEFHLHLGESVNRFRIQHCNVKILHLYGNDIPESPWQVEIDMPSLCQLHFTGEVFPYSSYY >OIV89092 pep supercontig:LupAngTanjil_v1.0:KV862428:17927:22401:-1 gene:TanjilG_28131 transcript:OIV89092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYALKNDAQFHGKFNAVKGSKNSSLLFSCICLGSRVSNHFQLRSSNGHPLNVVSSHDGLAGSSLAKEDTKHQSVEGSFPLAGSESTGSNLSITVVGASGDLAKKKIFPALFALYYEDWLPENFVVFGYARTKMTDEELRNMISRTLTCRIDKKENCEDKMDQFLKRCFYHSGLYNSEDHFSDLDCKLREKEDGKLSNRLFYLSIPPNIFVDVVRCASLKASSKNGWTRVIVEKPFGRDFESSSNLTRCLKQYLTEDQIFRIDHYLGKELVENLSVLRFSNLVFEPLWSRDYIRNVQLIFSEDFGTEGRGGYFDNYGIIRDIMQNHLLQILALFAMETPVSLDAEDIRNEKVKVLRSMRPLQLEDVVIGQYKGHSKGSKSYPAYTDDPTVPNGSLTPTFAAAALFINNARWDGVPFLMKAGKALHTKGAEIRVQFRHVPGNLYKRNFGTDLDKATNELVLRVQPDEAIYLKINNKVPGLGMRLDRSDLNLLYRARYPREIPDAYERLLLDSIEGERRLFIRSDELDAAWALFTPLLKEIENKKIAPELYPYGSRGPVGAHYLAAKHNVRWGDLNTDD >OIV89090 pep supercontig:LupAngTanjil_v1.0:KV862429:25974:26570:-1 gene:TanjilG_28132 transcript:OIV89090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSLMASTTLTLVLAIISQSLPSQISANNSIYSSPPPPIHSPPPPYYYHSPPPPVHSPPPYKYSPPPPPPKKSYKYSSPPPPVYKYKSPPPSVHSPPSYKYCSPPPPAHSPPPPYYYKSPPPPKRKYKYPSPPPPVYKYKSPPPALHSPPPPYKYSSPSPSVHSPPPPYYYKSPPPPKKEYKYLSPSSSLLTRMILTQ >OIV89089 pep supercontig:LupAngTanjil_v1.0:KV862431:1531:2342:-1 gene:TanjilG_28133 transcript:OIV89089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFSLNSSTQVHIIKLDKHLFDDEIIQVFKDWKKEHGRVYKDNEEMAKFAIFKANLNYIIEFNAIKNSPSSYTLGLNKFADWSEKEVKETYLSCLDTSTDSDMPTDNVIELDRLPQPAPPLSLDWRVKGAVTNVKNQESCYGCWAFSTIGGIEGINAIDTKKFISLSLQQLIDCDTTSKGCKGGSIMKGLDWVIKNGGVASEA >OIV89088 pep supercontig:LupAngTanjil_v1.0:KV862436:10913:11119:1 gene:TanjilG_28268 transcript:OIV89088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKSIINATYVSNHCKERGVASIKAELRRRKGEEGQSSDTQDQSMPSQFNDPNFAAYTVRIMQGTML >OIV89087 pep supercontig:LupAngTanjil_v1.0:KV862436:10122:10319:1 gene:TanjilG_28267 transcript:OIV89087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSQMRKVIMSKKNNSSREANTNQESPKLIKYEELTLSGTFVHEKMFDLTENDFSQFFYLVTTYG >OIV89086 pep supercontig:LupAngTanjil_v1.0:KV862438:15650:17161:-1 gene:TanjilG_28273 transcript:OIV89086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAATLMAATASSSTVLKQTTFLSQNKGTNANPLRDFVSMGNGKYTMGNELWYGPDRVKYLGPFSAQTPSYLNGEFPGDYGWDTAGLSADPEAFAKNRALEVIHGRWAMLGALGSITPEVLEKWVRVDFKEPVWFKAGAQIFSEGGLDYLGNPNLVHAQSILAVLGFQVVLMGLVEGFRINGLPGVGEGNNLYPGGQYFDPLGLADDPDTFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLLDHLDNPVANNAWVYATKFVPGS >OIV89085 pep supercontig:LupAngTanjil_v1.0:KV862438:1744:12569:1 gene:TanjilG_28272 transcript:OIV89085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLSASSSSLARSSLEVMLDSLRQKDEEDEKRRKDSPPALPPRPASKARLPPARRSLPNNFNDFKVSSDHSAGECLPSGLDAKEDSKMKEKELGLEQKRSSSSFGSKKVKMDLDSPYMATSEENITLLTSTASSEKIGELENDTISYFIKKKLRVWCKQPRWQWELGTIRSSSGEKASVLLSNGKVMKVARSELLPANPDILEGVDDLIKLSYLNEPSVIHNLKFRYSKEMIYSKAGPILIAFNPFKDLQIYGTGHISGYGQKFSDSHHVYALADAAYNDMIRDELNQSIIISGESGSGKTETAKIVIQYLVALGGGSCGIENEILQTNCILEAFGNAKTSRNENSSRFMCSFFIPISIEIDLFFGCVCLNPTHTRTVLLEKKALDTVRICEEDQELIFKMLAAILWLGNISFQVIDSENHIEVVDDEAVTSAALLMGCSSQELMTALSTHKTQSGDGAIVKGSTLQQAIDTRDATAKFIYSSLFEWLVEQLNNSLEVDKKCTSKSISILDIYGFESLKKNNFEQFCINYANERLQQHFIRHLFKLEQEDYKSDGIDWTKIDFEDNQECLDLFEKKPLGLLSLLDQESSLPKASDLTFANKLQQHLDANPCFKEQRGRAFSVRHYTGEVLYDTNGFLEKNRDTLPSDSLQLLSSCNCELLQFFSKMFSKSESQSNFLHTVALNSQKQGVGTKFKGQLFKLIHQLESTKPHFIRCIRPNNKQLPGIYDEDLVLQQLRCCGVLEAARISRVGYPTRMTHQEFSRRYGFLLSEANVSQDPLSISVSVLQQFHIPFEMYQVGYTKLYLRAQQIGVLEDKRKQVLQGILGIQKCYRGYQARSFFCEFTNGVTTLQSFVRGEITRRKYGVTVKSSITNYTKKLEEMHAIILLQSVIRGWLVRRGASGLNKLKRYPENAKPRRKSRVEMAEVKQDMSKEQLQSALEELQMRVGNAEAIAEQKEEENSELKERLKQSEERWAEYEAKMKSVEDAWQKQMASLQMSLVAARKSLASENGTVQPAIHGVTFPCYYDSEDATSMGSRTTSVSTPMKFMSGLCAPDGGRQCNGTLTTVSNLMKEFEQRRHNFDDEMKVLNEVKPGQQSANVNNIQQLLKLKHRFEGWKKQYKVRLQETKARLHKSDAGKSRRTWWEKVSSRA >OIV89084 pep supercontig:LupAngTanjil_v1.0:KV862439:4076:4333:1 gene:TanjilG_28277 transcript:OIV89084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDESLPYFGDHRKNRSPNLEPPHLTITTASSPHERTHHHRRYWTTVNHHKPPPSEPSVIIVAPSMPASGPSAPRKKTDSTSWATA >OIV89083 pep supercontig:LupAngTanjil_v1.0:KV862439:34:291:1 gene:TanjilG_28276 transcript:OIV89083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDESLPYFGDHRKNSSPNFEPPHLTITTASSPHERTHHHRRYWTTVNHHKPPPSEPSVIIVAPSMPSSGPSAPRKKTNSTSWATA >OIV89082 pep supercontig:LupAngTanjil_v1.0:KV862441:11360:13100:1 gene:TanjilG_28278 transcript:OIV89082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDFGSSYGWNGCGWQQIPPGPSNINEINGSNSNVIPIGEGGVSNPFMGDVANDPVQRRRAANRQYSETYRRKKQEQVQHLEHLEKSLNVSLSDNTPQLGYHRGMESHYDAEGSSLAQTYYTMNSNYQYVEADIDIYATQLKDQKLMHEVYEALKPEMKKYQDK >OIV89081 pep supercontig:LupAngTanjil_v1.0:KV862444:13246:13485:1 gene:TanjilG_28411 transcript:OIV89081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSIFLLFSPSFSSQRHRQRERFMAKPSSPKRSIDGEAPKPPSFFVHGSGQPRAIWHWFVTVVIHGGSILTLGSLWWFG >OIV89080 pep supercontig:LupAngTanjil_v1.0:KV862447:5765:8511:-1 gene:TanjilG_28412 transcript:OIV89080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIDRLPYSIEILLESAIHNCEEFQVEALFELIKGLIRILMGFLVMGLASLESSHLPIISSRTSDTFYNKMFSYVDEDDFKEEQNSVARLIQMLYNDDPEDFFKTIETLAGVPAPELTLLLYLQCAEAANDSDLEPVAYEFFTQAHILIPEQITALHLIIGTLQRMHIFVVENMDTLTHKPTGVLIFTSLLNPNLVPFHWTIFNKAFKKARSMQCCLCMLNLFWVDDHDNMKDGERYASIHMKSACFFVLQSMNDCQCLFVVDD >OIV89078 pep supercontig:LupAngTanjil_v1.0:KV862448:1553:3239:-1 gene:TanjilG_28413 transcript:OIV89078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKATKAEKKIAYDGKLCQLLDEFTQILVVNADNVGSTQLQNIRQGLRGDSVVLMGKNTMMKRSVRIHAEKTGNQAFLNLIPLLVGNVGLIFTKGDLKEVSEEVAKYKVGAPARVGLVAPIDVVVPPGNTGLDPSQTSFFQVLNIPTKINKGTVEIITPVELIKKGDKVGSSEAALLAKLGIRPFSYGLVVLSVYDNGSVFNPEVLDLTEDDLLEKFAIGVSQITSLSLAISYPTLAAAPHAFVNAYKNVLSVAVATEYSYPQADEVKEYLKVLTSYYHNLSPMY >OIV89079 pep supercontig:LupAngTanjil_v1.0:KV862448:4363:7362:1 gene:TanjilG_28414 transcript:OIV89079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNFRDRTSEFHSLSHTLNNIVASTQPFHQHPQNAPSTSLSQPSDFNRKASRIGLGIYEISHKITRLAHMAKKSSMFNDPIMEIQELSALITNDITALKSALLDLQTIQNMEIADGNFSEDRIVHSNAVCDDLKGKLIGATKQLQDVLTTRTENIKAHENRKQIFSKNAASRENPFQHQPKPATEPPPWSNSSNVSSESFQQTSLLPSNGVPASNQLRRRLAVDNTPSQQMEMSMVQQVVPRHENYAQSRATALHNVESTITELSGIFTNLATMVAHQGELAIRIDDNMDESLANVEGAHSSLLRHFNQISSNRWLLIKIFVVLIIFLIIFLFFLA >OIV89074 pep supercontig:LupAngTanjil_v1.0:KV862449:714:2562:1 gene:TanjilG_28415 transcript:OIV89074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRPRKVYLVDFACYKPPTSCICTKEKFMLRSKCVGVFTDESLDFQRKILGSFGLGENTYLPESLMNITPNNCMDGAREETQSVIVGAIDALLLQTKVKADEIKIVVTNCSIFNPIPSLSAIVVNHYRLKHNILSYNLSGMGCSAGLIAVDLAKQLLQVHPNSYALIVSTENMNCGSYLGNNRSMLVSNCIFRMGGAAILLSNFYSDSHRSKYLLSHTLRTHKGSQDDCFNSIMQKEDETNTTGISLSIDLIRYAGKEAIRSNISALGKSVLPLMEKLKLVATLVANKYLSIRVKPYTPDFKLAFEHFCFHTGAKEVQDVLKSVLQLNDWHIEPSKMTLHRFGNTSSSSLWYVLAYLEAKGRIRKGDRIWQLSIGAGFKCNSAVWQALQTIDPDKETNPWIDEIHKFPI >OIV89077 pep supercontig:LupAngTanjil_v1.0:KV862449:17668:18759:1 gene:TanjilG_28418 transcript:OIV89077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSGSKFLEGATLRGSHSLTTSHQVHVLTSGVNSSIAASLPEKILSSTDSTSMKKEVPFTTFMNNIPTKFHEDFNNMQEKNQPISGFNTTHSPIPFNLLETLPALTKAPNSNEKVASSSTPKFPNLTLFLHEPSKVMSATSDPTFHVPNIFQTQYQSGSDNDSLKMNKNIAKNWLSTTRTQPMKCGGVREKLFKGVRQRQWGKWVAEIRLPRNRKRVWLGTFDTAEEAAIAYDTAAYILRGEYAQLNFPDMKHVIQANSLNGTTASLVEAKLQAISSSSSQDSSYRKKVTDSLSTSDENGILKSRKGKESVSTLKEWQFGVETSERSKSVLHEMSDLEAVQLSRMPSLDMDIIWDALLVSDS >OIV89076 pep supercontig:LupAngTanjil_v1.0:KV862449:12861:13526:-1 gene:TanjilG_28417 transcript:OIV89076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCSTFYFPVLTNNATHTPVHTIASNAGVEGAVLVGKLLEQNDPDLGYDAAKGEYVDMVKSGIIDPLKVIRTALVDAASVSSLMTTTEAVVAELPKDDEDASAVGGGMGGMDY >OIV89075 pep supercontig:LupAngTanjil_v1.0:KV862449:9443:10108:-1 gene:TanjilG_28416 transcript:OIV89075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCSTFYFPVLTNNATHTPVHTIASNAGVEGAVLVGKLLEQNDPDLGYDAAKGEYVDMVKSGIIDPLKVIRTALVDAASVSSLMTTTEAVVAELPKDDEDASAVGGGMGGMDY >OIV89073 pep supercontig:LupAngTanjil_v1.0:KV862454:2669:3037:1 gene:TanjilG_28543 transcript:OIV89073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKKKSTVPSNWLDRLRAKKGFPVGDSPDLESFLTSIKKGDDPDPQSDNPKDTLSHEAINPTNSTTLRKFSPDGPIVQNSSYRRRPRKQSHPTKRILPSSSSNTNTAVVLDIDQKAKSTSFI >OIV89024 pep supercontig:LupAngTanjil_v1.0:KV862926:126309:131233:-1 gene:TanjilG_24094 transcript:OIV89024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAACTTDLARTAACRNGPAAGHLKLVSIFTIFITSMVGMSLPVILARWFQGKSLYDKAIVVIKCFAAGVILATSLVHVMPDAFAALSDCQLASRHPWKDFPFAGLVTLIGAIMALLVDVAASSHVEHTHYVSVEKEEGESEMRMEMGGGGEVERVEELMRLKQRLVSQVLEIGIIFHSVIIGVTMGMSQNVCTIRPLVAALAFHQIFEGMGLGGCVAQAGFSFGTMAYMCFMFSVTTPVGIILGMILFSVTGYDDSSVNALIMEGLLGSISSGILIYMALVDLIAVDFFHNKLMNSNMSLKKVSFLALTMGSAAMSVLALWA >OIV89029 pep supercontig:LupAngTanjil_v1.0:KV862926:403375:421468:-1 gene:TanjilG_24099 transcript:OIV89029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKFGLAGGIPERRVRPIWDAVDSRQFKNAFKHVTTLLSKHPNSPYALALKALVLERMGKPEESLSVSLKAKELLYANDSLLMDDLTLSTLQLVFQRLDHLDLATSCYEHACGKFPNNLELMMGLFNCYVREYSFVKQQQTAIKMYKLVGEERFLLWAVCSIQLQVLCGNGGNKLLLLAEGLLKKQVASHSLHEPEALMVYISVLERQAKFGDALELLSGKLGSLFMTEVDKLRIQGRLLAQADDYAAATDIFHKILESCPDDWECFLHYLGCLLEDGSIWSDEAVNDPVHPPKFINCKVSHLTDEQFDSRISIASDFIQKLQADSANNMIRCPYLATIEIERRKHLRGKGNDDNLMDNIVQYFCRFGHLACFTPDVEMFVEVFTADKKAELLGKLMKSSEALSTPPIKTLGLSISLFKLQQLLLGGMFKSSIDDLELSCAQMSEMYCKNLPLSKDLDPQEGMHGEELLSVTCNVLVQLFWRTKNVGYLVEAIMVLEFGLAIRRHVSQFKILLLHLYCHFGALSVSYEWYKSLDIKNILMESVLHHILPQMLVSPLWTELNSLLKDYLKFMDDHFRESADLTFLAYRHRNYSKVIEFVQFKERLQHSSQYLVARVELPILQLKHNADNIEQQEGILQSLKCGIHFLELSNEIGSKSLTFNEDLESRPWWTPTSEKNYLLGPFEGISYCPREVLTKERGTNLQRDIEKKSLLPRMIYLSIQCASSSIKEHGKVNGSVTPDVFSEMKLLLERYAQFLGYSLSDAIEVVMGLSSGGRSSVVSGSNLIDWLNFTVFLNAWKLSSHELVQPDRNGFRPHIWNILDSLLEKYILEKVRSIEFQLCSPWSDFQLLMQLVTEPLAWHGLVIQSCLRSCLPSGKKKKKSESVYQSSSNLAHAITDSVQHLSNVLEQVIEWLSKWNKRPEEESLENILFLLRKDGPNNGPGKVFHILETFISSMNDVELGDHISQSLKSWSPADVARKITTGKLKVLVEFSAICESKLKLLQSIKQQIAQF >OIV89026 pep supercontig:LupAngTanjil_v1.0:KV862926:206544:213292:-1 gene:TanjilG_24096 transcript:OIV89026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGFCYMFSSLLHYMLPRKRPCEGAVVEVETNNNNSSNYKKSRIAASDPTDTADSVNNRDQSISGGSSNSNSKSRGSRSALEEVSVMALGNSNQDIDEDLHSRQLAVYGRETMRRLFGSSVLVSGMKGLGVEIAKNLILAGVKSVTLHDEGTVELWDLSSNFVFSENDVGKNRAAASVSKLQELNNAVVVQSLTTELTTEQLSNFQAVVFTDISLEKAIEFNDYCHSHQPSIAFLKTEVRGLFGSVFCDFGPEFTVFDIDGEEPHTGIIASISNDNPALVSCVDDERLEFQDGDLVVFSEVCGMKELNDGKPRKIKNARAYSFTLEEDTTNYGMYEKGGIVTQAKQPKVLNFKPLKEALSDPGDFLLSDFSKFDRPPLLHVAFQALDKFVSELGRFPVAGSEDDAQKLISVASNINDSLGDGKLEDINPKLLRQFAFGARAVLNPMAAMFGGIVGQEVVKACSGKFHPLFQFFYFDSVESLPTEPLDPNDLRPVNSRYDAQISVFGRNLQKKLEDSQVFVVGSGALGCEFLKNLALMGVSCGSQGKLTITDDDVIEKSNLSRQFLFRDWNIGQAKSTVAASAAASINPGFNIEALQNRVGTETENVFNDTFWENLNIVINALDNVNARLYVDQRCLYFQKPLLESGTLGAKCNTQMVIPHLTENYGASRDPPEKQAPMCTVHSFPHNIDHCLTWARSEFEGLLEKTPAEVNAYLSNPSEYASAMRNAGDAQSRDNLERVLECLDRENCETFEDCITWARLKFEDYFAHRVKQLTYTFPEDASTSTGAPFWSAPKRFPHPLQFSSSDQGHLLFAMAASILRAETFGIPTPDWVKNPQKMADAVDRVIVPDFQPKKDAKIVTDEKATNLSTASIDDAAVINDLIIKLERCRSNLLPDFRMKPIQFEKDDDTNYHMDVIAGLANMRARNYSIPEVDKLKAKFIAGRIIPAIATATAMATGLVCLELYKALEGRHKVEDYRNTFANLALPLFSMAEPVPPKVIKHQDLNWTVWDRWILRDNPTLRELLDWLKAKGLNAYSISCGSCLLYNSMFPRHKERMDKKVADLAREVAKMDIPSYRSHLDIVVACEDDDDNDIDIPQVSIYFR >OIV89028 pep supercontig:LupAngTanjil_v1.0:KV862926:368718:371134:1 gene:TanjilG_24098 transcript:OIV89028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACHVVAVPYPGRGHINPMMNLCKSLLSSTSLHGHEILITFLVTQEWQTLIGTNHHMPHNIRICTIPNVLPSELTRGSDFAGFYEAVMTKMEAPFEAFLDQIETPVTVIIADTELLWVVPVANHRHIPVALLWTSSASVFSMFLHHDLFRRNGHLGTDLFEHGEERVEYIPGVSSTRKANLPTIFDRNHERVLQLTLQCIERVKEAHYLLFNSIYEIEQQVIDILRTKYPFSLYTVGPAIPFFEINNNDEPDYLQWLDSQRTGSVLYVSLGSYLSVSHEQMEEIVAGVCDSGVKFLWVAREGNKSWLNHDCLVNGFVVPWCDQLRVLCHSSIGGFLSHCGWNSVLEATFAGVPILTFPIFFDQAPNSKQIVEDWKVGLHGKAMVGPKNVTREEISGLVHRFMNSQSNEGKELRKRANEVKEACRRAIVEGGSSIHNLNAFVLDVLQKVNKCRD >OIV89023 pep supercontig:LupAngTanjil_v1.0:KV862926:69539:70250:-1 gene:TanjilG_24093 transcript:OIV89023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNARNHLTQETHDATLSAFSKSNYLNLHKHNYHIIEWHFNKVNGSDFHSYSKGLFLLLWFITILILLPALFLCVHICRRRRATSADTAVVISQLPAHQCVIVYYTNHTMIPCSTTTKSLSMVGEGFEKKECCICLSDFQDNEKLKWLTECQHVFHSECLDMWLGAHPSCPLCRSSLHVSEDPLKKSSMV >OIV89025 pep supercontig:LupAngTanjil_v1.0:KV862926:148878:151117:-1 gene:TanjilG_24095 transcript:OIV89025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIRIQKPRSRLMSVPDEENLSSHSELSSHFFWDFLDSIIVDVASECHRVARLGLDSNVEEEDEELKLSAQARIRVADPSNSNEPNGKYVVDIFGQTHPPVANEIFQCMNCGRSIMAGRFAPHLEKCMGKGRKARLKVTRSSTAAQTRYSRSSNASTHSPYSNYSTSNTMNRLPANGTSNLAGEEHSNGTSEP >OIV89027 pep supercontig:LupAngTanjil_v1.0:KV862926:317045:320823:-1 gene:TanjilG_24097 transcript:OIV89027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSTTTLSLLCYLFFFALFYPSQSHKVSVELYYESLCPDSADFIVKYLPKILSDDLLPIVDLTLVPWGNAKLKTNNNFTCQHGPYECLLNTVEACAIKIWPELNQNFPFIYCVETLVYEHKQKEWESCFDKLSLDPKPIEQCYNGEYGKELELQYAAVTNALQPPHTYVPWVVVDGKPIYEDYENLVSYVCEAYKGTDTPQSCTKEYLNSVPKGEAEPKHSVSHMERMIPTWELVWSTITSWMENMNF >OIV89017 pep supercontig:LupAngTanjil_v1.0:KV862927:605408:621558:-1 gene:TanjilG_07641 transcript:OIV89017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMDSDMDILPEELELLESNYQLLEQDEQDYYPYIDETEPQPQPQLPKLIDLTVPSEPQTNGYKRSRSNSPDDVSLPVPLDGKRVRVDDSSVAAEAEEDDWLRYSPPPVAAEEVRFVKEKMVSRYASEIDGECMPITAPGGDRVYAKMDRFAGEERLKKMDCSGYGAGLSSEPIGVLLERWEHEAFTKTLEASSECQSVSEVPETRVVHEQLWVDKYAPKSFTELLSDEQTNREVLLWLKQWDSNVFGSEIRSTSDDVLSALKRHSSISQNQKSFDSKFSRINRGSKWSSDKYRNARSVDDSGNSKSIQDTWNMKSRSTGPPEQKILLLCGPPGLGKTTLAHVAARHCGYHAVEVNASDDRSASTIESKILDVVQMNSVLSSSRPKCLVVDEIDGALGDGKGAVEVLLKMISAEKKPDGGKQSLGKEQGRKSSKKGRKTASLSRPVICICNDLYAPALRPLRQVAKVHIFVQPTVSRVVSRLKYICNKEGMNASAIALTALAEYTECDIRSCLNTLQFLSKKNETLNAFDVGSQVVGQKDMSKNVIDIWKEIFQRKRTKMERTSHRSKSFEFGSLYSLVSFRGDYDLIMDGIHENILQLNFHDPVMQKTVKCFNNLEVYDIMHQYIMHTHRMSLNVYLPPVAITVHNIVAQVQKPNIEWPKSYQRTAMVVAPHCRKGRNGTAKGLPWFWVALQLLSDKEKNDLHQLVSTMVSYAITYKAKKSDMLPNNLRHEVADDLVMSLVPPISDFVNFKDYTSNHYVLSLAMKQVLVHEVEKHKILQVNDKTMALANGAHEVTDAGTKKFQLANSNHAAAVDVKTVDNQNVLSKQMNANPTSVSRNFNSNEVVTDNSKPLNKGNRKNPFSFFTR >OIV88995 pep supercontig:LupAngTanjil_v1.0:KV862927:92469:96139:-1 gene:TanjilG_07619 transcript:OIV88995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSNEMTIEFLRARLLSERSVSKSARQRADELAKKVMALEEQLRVVTLQRKMAEKATVEVLSILESQGLSDASEEFDFSSDLETPCDSGLSNDSASAKGGERSNADSSPAPSRSLSWKGRLDSSRSLDKYKTSNMRRRSNFSLISSSSSHRLGKSCRRLKHRETRSLEEESRDPPVNLDCQGNEAVSSCEGFPTGSNDGLNIPRRESKIQEQDESALKLSNKSHDVDGERGNNMEMALEHQAQFIDQHEAMEKAQREWEDKFRENNSNTPESYDMGNHSDVTEERDEGKAQALRSTRDATSSGQENGQEPTEVYLSKEMVKAKPRDNMPKLSNDTNVNNLNGKTVGTSGFHGQEISPSLLKVNQENYHSQPPYQHHESPFRHGSPELKPTNTSPIDVYGALPLKDAAGNKNSLSELVSHEQSHKISDVLESLKQAKLSLQQKASKLPLVESGHSGKAIRSSSLVRKTEESGLPVGFPGLFRLPTDFSDETTASFNFCDSTSGFRSNNYPYPGRVISRISDGHFGTNPYPSSSDRPPPLIGYMESGSRFDTERSPFPFYFSPVKYLDPTTFPISPSYENATPPPMSFGEGPSRPHSSSTIGVPPAYHFPYHVDHTK >OIV89003 pep supercontig:LupAngTanjil_v1.0:KV862927:266402:273491:-1 gene:TanjilG_07627 transcript:OIV89003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRTLDSTTAQLTQTFRTLCLRSNSSYSSSLRTIVTPARRYNFASTFGFSITRFVSSSVTSAGSLDLNDAVSCSVVDHGFDAVGGDDHHHVKPSTIPVRAFFFSTSIDLKSLVEQNKPNFVTPSSRMTNYVVLRFGDLSDSRGLGSVFLNGSSGCYMVVFQYGSIVLFNVLEHEVDIYLNIIEKHASGLLPEMRKDEYEVREQQALNTWMQGGLDYIMLQYLNIDGIRTIGSVLGQSIALDYYGRQVDGMVAEFTDINREMEATGKFKMQRKKLFQLVGKANSNLADVILKLGLFERSDIAWKDAKYAQIWEYLRDEFELTQRFASLDFKLKFVEHNIRFLQEILQNRKSDFLEWLIIALIGAEILLSLYDIIHRSAMQL >OIV88997 pep supercontig:LupAngTanjil_v1.0:KV862927:110570:111540:-1 gene:TanjilG_07621 transcript:OIV88997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFGRWICSYVENNEIDMDLTLNNAMLDMYVKCGLNFPMTDTHAKLGNSDEAHLILDAMPHKETATWTALSSTYEQNGRTKKDLSQFHEMLHNKNAKPDEVTLVCTFCDCAPLGAIDFGCWIRFILNSENGNDYIHKVIIYLDSIMSTVQQISPSDGMYKVGSGAFDHISNSVVAAFSSHSVKRFNAKAVKTVDDHDLWILENFAEERFYSYGFGEIYNGGNSGSLVSKNAKQSTGKKSVDVLKKQLKEIK >OIV89020 pep supercontig:LupAngTanjil_v1.0:KV862927:727247:728668:-1 gene:TanjilG_07644 transcript:OIV89020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSGTQQKCKACDKVVHFVESVSADGISYHKNCFRCSHCNGNLAMSNYSSMDGTLYCKPHFEQLYKENGGMKLQSSAKPPKELTKTPSKLSAFFSGTQEKCSTCKKTVYPLEKLTVEGEFYHKSCFRCTHGGCVLTPSTYAALDGFLYCKPHFSQLFKEKGSYSYLSKTASMKKTEQQQQQQADASSDSESKETEKEEQEAVVTQE >OIV89009 pep supercontig:LupAngTanjil_v1.0:KV862927:457323:459260:1 gene:TanjilG_07633 transcript:OIV89009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNNGFRSTAKLIASSHSSLSKSVSRGFHSTGVKRMGGHGHDEPYYVHAKHMYNLDRMKHQGLKMSLAVFTAFSIGVAVPVYAVIFQQKKTASG >OIV89000 pep supercontig:LupAngTanjil_v1.0:KV862927:175293:182776:-1 gene:TanjilG_07624 transcript:OIV89000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNSASETSFYVESDEEDAEREIQNEGNDSDYSIDSNENHPQRKPSSYSMQWPQSYRQSIDLYGSVPSPSIGFLGTPSLSRLGSSFVSSTLIRRQHTAEKLPPVKKPLLQPEADEKQRRSSHGLLPPLVSRKSSIRKEKDASKVCHEIEFAGQCTFGQAVLNGINALCGVGILSIPYAVREGGWVGIGILLMFAVFSFYTGLLLRYCLDSEPGLETYPDIGQAAFGTTGRIAISACCIEYIILESDNLSSLFPDAHLSLGGIELNAHILFALFATLAILPTVWLRDLRILSYISAGGVIASIVVVLCLFWVGLLDNVAIPSQRSTLNLATLPVAMGLYGYCYSGHAVFPNLYTAMANRNQFPAVLLTCFVICTAMYVGVAVVGYLMFGDGTLSQYTLNMPQSLVATKIAMWTTNSAGIFYIACRPVDSIFRSGDVIDRIFTHNTLIIPCACFLSIWRGRVSRLQVAFCITIMTVGIAASVIGSYSAISEIIESLRG >OIV88996 pep supercontig:LupAngTanjil_v1.0:KV862927:107903:109070:1 gene:TanjilG_07620 transcript:OIV88996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDRQVVVEVPSSSPPPKDDDNNDYMISFVTSLESALLPCLPARELQAIDRSPHPSHQIDVDKHARDFMEAAKKLQLYFISLQREDQPTKAEMLRKEIAMMEEELDRKNELIKKQENLIWEWQKELKDQLDKHNTELNKV >OIV89018 pep supercontig:LupAngTanjil_v1.0:KV862927:643410:645899:1 gene:TanjilG_07642 transcript:OIV89018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQQSLIYSFVARGTMILAEYTEFSGNFQTIASQCLQKLPSSNNRFTYNCDGHTFNYLVENGFTYCVVAIESVGRQIPIAFLERVKEEFSKKYGGGKAATASAQSLNKDFGPKLKQQMQYCVDHPEEISKLAKVKAQVSEVLDRGEKIEMLVDKTDNLRSQAQDFRTQGTKVKRKMWIQNMKMKLIVFGIILALILIMFMSICRGFSCLK >OIV89001 pep supercontig:LupAngTanjil_v1.0:KV862927:207044:207546:1 gene:TanjilG_07625 transcript:OIV89001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNIVKCLFSIIFFTFIIKGSCVCTFNDIQIGTQRSGREIKGIPEWNVSVINNCVCPQSQIKLLCKGFQTEEPVDPNILSKDGDNCLLINGNTLQAFKSVEFSYAWDPPFLLWPQSSLVGSC >OIV89007 pep supercontig:LupAngTanjil_v1.0:KV862927:425361:428405:1 gene:TanjilG_07631 transcript:OIV89007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METAKFSPNKGSFSSSASHAEKEEVDSRSIYVGNVDYACTAQELRRHFERCGIVNRVTIPVDKYGHPKGFAYVEFVDIHDVPNALSMNESILRGRKLKVSAKRTNIPGMKQYYRRTAGFRSRRPFAPSPPYRSDGHG >OIV88994 pep supercontig:LupAngTanjil_v1.0:KV862927:79195:80733:1 gene:TanjilG_07618 transcript:OIV88994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSSYYHFIFTVIAFLISSLVFFFLTQKIKSKKLNLPPGPPGWPFVGNLFQFAKSGKAFYEYVNDNRQKYGPIFSLKFGSRTMIIISNEKLIHEALIEKGATFASRPRETPTRVIFSENKFTVNASTYGPVWRSLRKNMVQNMLSSTSLKEFRAVRRNAMNILINRLKNEAEENNGVVWVLKDARFAVFCILVFMCFGLEMDEETLEKMDKMMKLVLVTVDPRIDDYLPILSPFFSKQKKRALEVRKEQIEFIVPFIEQRRRAIQNPCSDHTATSFSYLDTLFDLKVEGRKSAPSNAELVTLCSEFLNGGTDTTATAVEWGIAQLITNPDIQTKLFDEIKETVGDRTVDEKDVEKMPYLHAVVKELLRKHPPTYFSLTHAVTEPTTLAGYNIPTDANVEVFLPPIGKDPEIWSNPEKFDPDRFITGGEDADMTGVTGVKMMPFGIGRRICPGLGMATLHVHLMLARMVQEFEWRAYPDGKRIDFTGKYEFTVVMKEPLRATIKPRSATKRV >OIV89019 pep supercontig:LupAngTanjil_v1.0:KV862927:714752:721108:-1 gene:TanjilG_07643 transcript:OIV89019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSLFLTTKPFFTIQTQFPSFSSSNRSRSRRRTLYLNNHQRPNKASFNVFASSQSHEEQPLKPQLNSYDLMELKFGRLLGEDPKLTLAKIMGRKANPDASYLDIEKSYNKNKGKFVEVEEVPFDVSVGGSQFKSDDSKVESEIKKPSRPEGKAENVKKTSVPNVILRKPTVYKDDVDEDMSSRLRMRPNLSLNMRDGQVKERFSDMTLLRKPEPSIIEDTDTKQEPATHLEDQRTSDNELKITKGEASGEVGSFTLLQKPHKVVSRKEEVEQIGDANVIIPNDVLEQHEKKDLQFRKDLTDLSQPSDLNSVESKVELSREAALQGKPKRLDQSVNETSNSVGDETAFMNPGGQTNHDELENLVDISDFQETEDADWTRAEELLNTGDRDDVDLVSCNTKGFFVSFGSLVGFLPYRNLASRWKFLAFESWLRQKGLDPSLYKQNLGTTTSYGVENKNVSSDSLPYLESGSKLEEKISPDMKLEDLLRIYDQEKIKFLSSFIGQKLKANVFLVDRKMRKLIFSLRPKEKEELVEKKRNLMARLQVGDIVKCRIQKITYFGIFAEVEGVSALIHQSELSWDATLNSSSFFKIGQVIEAKVQRLNFPLERIALSLKEVMPDPLMKSLESVVGDHGPLDGRLEVAQTDVEWPEVESLIKELQNIEGIQSVSKGRFFKSPGLAPTFQVYMASIFENQYKLLARSENRIQEVIVETSLDKEMMKSAIMTCTNRVE >OIV89011 pep supercontig:LupAngTanjil_v1.0:KV862927:507640:508410:1 gene:TanjilG_07635 transcript:OIV89011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGNSMLMQPSNSERKNSKTTLSSSEIITSNDYLQPKQQVPIRNSLEMPQISFSKPPRPSSNLSKRKPSLNITIPSITSTSFTTILQHKETQICNQTCSDNSDVTKEEKHYRGVRRRPWGKFAAEIRDPNKKGTRVWLGTFDTAIEAAKAYDRAAFNMRGSKAILNFPLEVEINLSSQQESVLVGNKRRRDEDGEVVNDKKVMKKEEKCLSPKAKGVTVCTPLTPSCWKGFWDSHDVMGTIFSVPPLSPLSPLMVL >OIV89014 pep supercontig:LupAngTanjil_v1.0:KV862927:571093:572181:1 gene:TanjilG_07638 transcript:OIV89014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPILVSFILFLSLPFLFLLSPQLLPTTHFPFFSSSSPDADDLSLFRRATTSTSTTRHLSTTTNQKPKIAFLFLTNSNLSFAPLWQKFFSSDPNRHLFNIYIHADPTSAVADPGGVFHGRFIPSKKTYRSSPTLISATRRLLANALLDDPLNQYFALFSQHCIPLFSLRFVYNYLFRNSLTNFSASQSYYRSFIEILSDEPNLYGRYLARGEDVMLPEVPYHHFRVGSQFFTLTRGHAKLVVRDQRLWRKFRLPSITNEPCYPEEHYFPTLLSMVDPNCCTGFTLTRVNWTGSWNGHPHLYTPEEVSPELVCELRDSNWSSYLHLFARKFSPECLVPLMDMADDVIFRDSEVGKYILHTKL >OIV89012 pep supercontig:LupAngTanjil_v1.0:KV862927:512388:517930:-1 gene:TanjilG_07636 transcript:OIV89012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFEKKQIRTSESVNGSCDVWNSGSSADHLVVMVNGIIGSVTDWQYAAEQFVKQIPDKVFVHCSERNVSKLTLDGVDVMGQRLAEEVLEVIQSKPNLRKISFVAHSVGGLVARYAIGRLYRPSVREALEDSCNKENKEDSMGTIGGLEAMNFITVATPHLGSRGNKQVPFLLGVAALEKVARFIIYLIFRRTGQHLFLTDDGEGKGPLLKRMVEDYDGYYFISALCTFKRRVLYSNVCCDHIVGWRTSCIRRDSELPKWEDTLNEKYPHVVYEEHCKACDAEHSYLVADTGSDKIEEELVTALSRMSWEKVDVSFRSIKQSFAAHSVIQVKDRSAHLEGADVIQHMIDHFLA >OIV89002 pep supercontig:LupAngTanjil_v1.0:KV862927:232093:234866:1 gene:TanjilG_07626 transcript:OIV89002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLLPNNDPGVNVVVTKEEFNLFHNIDRILFYRFVVGLGRDPSQTTHVMAFIIWLEKFTRNFRMVANLLQWPNSLLADLADEAILALTCIESHQFPYPGGTSDQHLGLLLPLIQKITRSTVNLMYFHEKRIDIIPGITRILNDVCIRAFNDIIQQVHYHRAVKEHQRPTPAQAQAPAPAPFYGPMPPPPNSFVQPLFYYSPVVPDNVAYMPQPPMMVSHPQWHEGSSSSSRVLAGVGGSGSGSLLGPYVINKDEFNQEFHEILASFKISDDAERRDVLAPDDRTIFMTFSKGYPISENEVREFFSRRYGDVIESIFMQEVSEHEQPLYARLVLRAGAIHMLDGLLDGTSRMKFIINGKHVWVRRYLRKGNKSPTPDSQFGAGPSH >OIV89004 pep supercontig:LupAngTanjil_v1.0:KV862927:324979:328100:-1 gene:TanjilG_07628 transcript:OIV89004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMFDLNYDIIHIDSNSTCSKELVQLQSFPSKISHSGASKSSIVINPMEEDSSNNSSPFIFDIMKKRTDGNERNKGVENIAQEQERVTMTLFPVTADRGGRVSDMNKRKTEWLNLSFAEHNGQNELKTLHQKQPQIKKGRRGPRSRSSQFRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDKAAIKFSGVDADINFDLSDYDEDMKQMNNLSKEEFVLLLRRQMYGISRATSAYRRLLALHKFGQGDAKMGTFVGTRFCQKQPIKCDDRLVSTNLKPIYNGEIIANYSKGGTCHNLDLSLGISPSYSKQQRVNDSAGQLSFGYIAPEITQQRETKEKNGLGNVPLQRFSNLGTRQLCSNINIASGRLLSNAASSGYFLNNSNVSSAIHPITTHDSSAYNFCTGSATKSSFNSPS >OIV89013 pep supercontig:LupAngTanjil_v1.0:KV862927:519932:525067:-1 gene:TanjilG_07637 transcript:OIV89013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNTCVGPSISKNGFLQSVSAAMWRSQSSEESVSNSNRESVNEETTKEPESPLPVQSKPPEQITMPKPEAKQETKSEVEPEPEQEKEKKKTKNPGPVKRVSNAGLRVDSVLQTETGNFKEFFTLGRKLGQGQFGTTFLCIEKATGHEYACKSIAKRKLVTDEDVEDVRREIQIMHHLAGYPNVISIKGAYEDAVAVHVVMELCAGGELFDRIIQRGHYTERQAADLIRTIVDVVEACHSLGVMHRDLKPENFLFVNKQEDSPLKTIDFGLSVFFKPGEIFMDVVGSPYYVAPEVLRKRYGPEADVWSAGVILYILLSGVPPFWAESEQGIFEQVLHGDLDFNSDPWPQISESAKDLVRKMLVRDPRKRMTAHQVLCHPWIQVDGVAPDKPLDSAVLSRLKQFSAMNKLKKMALIVIAESLSEEEIAGLKEMFKMIDTDNSGQITFEELKAGLKRVGANLKESEIYDLMQAADIDNSGTIDYGEFIAATLHLNKIEREDHLFAAFSYFDKDGSGYITPDELQQACDEFGIGDVRLEEIIKEIDEDNDGRIDYNEFVAMMQKGNVGMAGRKGLEHTFSIDFKGALKQ >OIV88998 pep supercontig:LupAngTanjil_v1.0:KV862927:158190:160370:1 gene:TanjilG_07622 transcript:OIV88998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERDMGNLQDRLSDLPDLVLLNIMKFMNAKHVVQTTVLSKRWKNLWKSSPNLTFDSSDFLWVPVFNNFVSWVLSCRDNSVSIHNLEFRRKGCIGPKILDEIMTYAASHDVQQLTIVVNLVLKQDFVLPSCICNCPSLKFLKLYFWFPYAFASHIKLPTSFNLPTLESLHIKHVTFSTKENGSVEPFSSCSMLNTLVMDHCYLLDATKVIHISNSRLTNLTIRSFRGDGIAYEISLSTPNLSVITIKGLPDHKFSSMRNLPDLEKVKIEVSWYENFPPNHLVLISWLQVFAKVKILTLSWSTIKTLNVLLSEFKNLCAISRGEQMQLQH >OIV89005 pep supercontig:LupAngTanjil_v1.0:KV862927:391816:395334:1 gene:TanjilG_07629 transcript:OIV89005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEPENNELHTVAHVGDETIPESIDHEGQVQIKGTFSEEVDGDCNGKDVMVEVLGSDLYIDGVCTSGSGAELNEELSCVGTIEGDDDLDKVMKPSGVGSEAQFEGLEAVGSEKDRSDNAGVELDGVSLERAESGQAVVSGFEFDVASMNTEPLLDDRARREGNTTSGEIEVPTAEDDSVEHVNALDAEVLDCNIANTECDTVEFERVNALDAGILDHKVADIRRDNALESSVTVSSVGRENVQSRLTVNDNQEDMNLADYGGAAEDGNNVSLETLDEQKSIATLNSDKTSKSEECICDKVEFEEKLNSIGEQPMEIDKVVDNSNNMLEEVVGGAEVAVDKALLNSEEKQCFRLEKCIEKEHMTNASQVSSDIGQERVIEKDGGGVSALNESCGTEELEVETDNNVSDAEQRSLHEGMEMEADDQPAAERSKIMNHTSEVKVYDACNSVDASTLDSKIQVGISKQDGKVRTRSSTKSVSSETVHQASYLLPTEKEGEFSVSDMVWGKVRSHPWWPGQIFDPSDSSEKAMKHCKKDCYLVAYFGDRTFAWNEASQLKPFRKHFSAIEKHSTSESFQNAVECALDEVTKQVEFGLACPCIPKGTYDTIKYQIIENTGIRQEIRSRHWVDESLNASTFSPGKLIQYLKTLSVLPTGGFDRLEHVVAKAQLLAFYRFKGYSTLPELQYGEGLDNDMDNIIHDGENSLSEVVEHLTPLSNNGDLAGPGNLKSQSSSHHKRKRNLKDSLPLAKKERSLSKLMGVTPDSPDGDYWSDEKVTDALVLPARSKKKRTIDHFADDIGMEEGRKTISLAKVSNTTKPSFKIGECIRRVASQLTGSPSMLKSSGDRSQKTDGSTDGVSGYGSDDPFQNFEEAQKSSLTVPTEYSSLDDLLSSLQRVAEDPLGDYVHPNSMVSFFSDFRNSILVADISGKEIFSTVKVGNKRKKPTIAGTPEAFEFEDMNDTYWTDRVVDNVAEEQPPVEKPRRKYKKKDNQLVPAESGKPVQVTRRPYSRKRYSDSNHAEVSEKPSGYIDENAPAELVMNFAELDSVPSETNLNKMFKRFGPLKESETEVDRVSSRARVVFKKCADAEVACGSAKKFNIFGPILVSYELNYTPSALFKASSVAPTQEQEMHLDLSYFEVNMV >OIV89010 pep supercontig:LupAngTanjil_v1.0:KV862927:475790:506274:1 gene:TanjilG_07634 transcript:OIV89010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWDSSPNFHLGQRAEIRSTVDLLPCILKSDFQNEETSIFVHVPCNSEIVSMPKRIQITISAEEFGAQEKSPFHTYAGSEVPSTSLSHIIRLREGNVMFNYRYYKNKLQRTEVTEDFSCPFCLVRCASFKGLRYHLPSSHDLFNFEFWVSEECQAVNVSVKTNIWRSEIVGEGIDPKLQTFFFCAKPRKRRRPKILTLKNAKDVLETDFPARDTELLEKDDGLSATIIPSHPDPDCVLSVSEYDIGTPAVLQFAKTRKLSIEHSDPRNCALLRKRQFFHSHRAQPMPIEQVLSDQDSENEIDDDVADLEDRKMLDNFVDVSKDEKQIMHMWNSFVRKQRVLADGHIPWACEAFSNLHATELDGSTSLAWCWRLFMIKLWNHGLLDAKTMNDCNVVLEQYKKLNTNPKT >OIV89006 pep supercontig:LupAngTanjil_v1.0:KV862927:413676:417206:-1 gene:TanjilG_07630 transcript:OIV89006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEPENKELNTVAHVGDETIPESIDCEGQVQIKGTTFSEELDGEGGDCNGKDVMVKVLGSDLYIDGVCTSGSGAELNEELGCGGTIKGDDGLDKVMKPSGVGSEAQFEGLEAVGSEKDRSDNAGVELDGVSLERAESGQAVVSGFEFDVASMNTEPLLDDRARREGNTTSGEIEVPTAEDDSVEHVNALDAEVLDCNIANTECDTVEFERVNALDAGILDHKVADIRRDNALESSVTVSSVGRENVQSRLTVNDNQEDMNLADYGGAAEDGNNVSLETLDEQKSIATLNSDKTSKSEECICDKVEFEEKLNSIGEQPMEIDKVVDNSNNMLEEVVGGAEVAVDKALLNSEEKQCFRLEKCIEKEHMTNASQVSSDIGQERVIEKDGGGVSALNESCGTEELEVETDNNVSDAEQRSLHEGMEMEADDQPAAERSKIMNHTSEVKVYDACNSVDASTLDSKIQVGISKQDGKVRTRSSTKSVSSETVHQASYLLPTEKEGEFSVSDMVWGKVRSHPWWPGQIFDPSDSSEKAMKHCKKDCYLVAYFGDRTFAWNEASQLKPFRKHFSAIEKHSTSESFQNAVECALDEVTKQVEFGLACPCIPKGTYDTIKYQIIENTGIRQEIRSRHWVDESLNASTFSPGKLIQYLKTLSVLPTGGFDRLEHVVAKAQLLAFYRFKGYSTLPELQYGEGLDNDMDNIIHDGENSLSEVVEHLTPLSNNGDLAGPGNLKSQSSSHHKRKRNLKDSLPLAKKERSLSKLMGVTPDSPDGDYWSDEKVTDALVLPARSKKKRTIDHFADDIGMEEGRKTISLAKVSNTTKPSFKIGECIRRVASQLTGSPSMLKSSGDRSQKTDGSTDGVSGYGSDDPFQNFEEAQKSSLTVPTEYSSLDDLLSSLQRVAEDPLGDYVHPNSMVSFFSDFRNSILVADISGKEIFSTVKVGNKRKKPTIAGTPEAFEFEDMNDTYWTDRVVDNVAEEQPPVEKPRRKYKKKDNQLVPAESGKPVQVTRRPYSRKRYSDSNHAEVSEKPSGYIDENAPAELVMNFAELDSVPSETNLNKMFKRFGPLKESETEVDRVSSRARVVFKKCADAEVACGSAKKFNIFGPILVSYELNYTPSALFKASSVAPTQEQEMHLDLSYFEVNMV >OIV89021 pep supercontig:LupAngTanjil_v1.0:KV862927:731903:732541:-1 gene:TanjilG_07645 transcript:OIV89021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVHDDCKLKFQELKSKRSYRFILFKIEQQQVVVDKLGEPMESYDDFMASFPADECRYAVYDFDFTTEENCQKSKIFFVAWSPDTSRVRMKMVYASSKDRFKRELDGIQVELQATDPSEMSLDIVKGRAL >OIV89022 pep supercontig:LupAngTanjil_v1.0:KV862927:733904:739799:-1 gene:TanjilG_07646 transcript:OIV89022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPPKSRNNRRSSSSSSYTSTLTTLLFVSICVLAIWMLSSNSAVSPNIHNNDSITDDLPQTTTTTTTTTSAATARSRDQKDPDDPIKSADRNKHHEVDNDLKTTIQDNSIQHIQSHQNPEQSIGGEQDVPSFDTQGYKNNELEVKQNEQKQNLVDDSVEIEKPKEEKKRRKPKKESKKPEGDESEGEKERQREESDGNEEMQHLEWHLCNVTAGADFIPCLDNEKYLKTSHRRHYEHRERHCPEDAPTCLVPLPQGYKTPVQWPNSRDKIWYHNVPHTKLAEVKGHQNWVKLTGEFLTFPGGGTQFIHGALHYIDFLQQAEPGIAWGKHTRVILDVGCGVGSFGGFLFERDVIAMSFAPKDEHEAQVQFALERGIPAISAVMGTQRLQFPSSVFDLVHCARCRVPWHEDGGLLLLELNRVLRPGGFFVWSATPVYQTLEEDVDIWKKMSALTKAMCWELVTIKRDKLNQVGAAFYRKPTTNECYEQREKNEPPMCKDDDDPNDAWYVPLQACMHRLPVDKAERGTRWPETWPLRLQKAPYWLNKSQKGVSGKLIPQDFAADNERWKNVVDELSTIGISWSNVRNIMDMHAVYGGFAAALKDLPVWVFNVVNTDSPNTLPIIYERGLIGIYHDWCESFSTYPRTYDLLHADHLFSKLKKRCNLVLVIAEVDRIVRPGGKLIVRDDSSALEEVENLLKSLHWEITSKNQEAINPFNKLPAIADGSFKLFESHAILIYIASAFPGVANHWYPADLTRRAKIHSVLDWHHLNLRQGAAPYVLHTVLAPVLGLPSNRQAQAEADKILTSSLSKIETIWLKGNGRYLLGSFQPSIADLSMVCEIMQLELLDEKDRNRILSPHKKVQQWIESTRNATKPHFDEVHNVLYKMKLKLSLQRSNQVDGMAESRIKTPLTSKM >OIV89015 pep supercontig:LupAngTanjil_v1.0:KV862927:578027:579121:-1 gene:TanjilG_07639 transcript:OIV89015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEDALSMFQEMKIQDLKPSLDTYNPIICSLSGEGKFDDALHFLNEMKEFGLEPDTETYDGLIRAYGKFKMYDEMGMCVKQMELNGCFPDHVTYNILIQEYSRGGLLQRMQKVYHRMCSKRMRLQCQPETLAAMLDAYATFGMVEKMETFYRKLVNSRTRMDDDLIRKVAKVYIKSYMFSRLEDFGLELRSAFGATDIVWCLRLLSYACLLSRKGMDIIVQEMRHARGRWNVNFANIIMLAYVKMKDFKHLMILLAQLPIHGVKPDIVTIGIVLDANKIGFDDMGTIEKWRKMGYLNRVVEMKTDSLVLTAFGKGHFLKSCEEVYSSLDPENRERKTWTYDDLIALILKPIGSMKLQETKIVNS >OIV89016 pep supercontig:LupAngTanjil_v1.0:KV862927:595076:599448:1 gene:TanjilG_07640 transcript:OIV89016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKKSKKSKSKRVPLKKKYKVIRKVKEHHKKKAKEAKKLNLKTKVEKDPGIPNDWPFKEQELKALEARRSKALEELEQKKAARKERARKRKLGLLEDDNSNSAEKASLEDIKKVTTVAKTTDNPDRAFYKDLVKVIEASDVILEVLDARDPLGTRCIDMEKMVTKAGPEKHLVLLLNKIDLVPREAVEKWLKYLREELPTVAFKCSTQQQKSNLGWKSAKKAAKSSNILQLSDCLGADTLLKLLKNYSRSHEIKKSITVGLIGLPNVGKSSLINSLKRCHAVSVGATPGLTRAMQEVQLDKNVKLLDCPGVVMLKSQENDASIALKNCKRIEQLSDPINPVKEIVKLCPARLLVTLYKIPSFDSVDDFLQKVATVRGKLKKGGIVDVEAAARIILHDWNEGKIPYYTMPPVRNQGEPSEAKIVSEFTKEFNIDEVYNGESSFLGSLKSSDDFNPVEIPSSSPLNLDETLLEDDKQVEPSHQGEGSGNKADNDADESMEDHDDNKNKESSAANRQNEKLYAADGILNTKTKKAEKKRRKKGNKASSDSVDGDYDFKVDYFQKGTATDAEESKSDDDDAVDGDKEQVSSDIPRTVNQVDE >OIV89008 pep supercontig:LupAngTanjil_v1.0:KV862927:444629:447115:-1 gene:TanjilG_07632 transcript:OIV89008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKTLQRRSLSNDGPIETAPKFELKPPPYPLNALEPIISQQTLEYHWGKHHRAYVDNLNKQIAGTDLEGKSLEEIIVISYNKGDILPAFNNAAQAWNHEFFWESIKPGGGGKPSGDLLKQIERDFGSFEKLIDELKIAATTQFGSGWAWLAYKENRLDVGNAENPLASEADKKLIVVKSPNAVNPLVWGYYPLLTIDVWEHAYYLDFQNRRPDYISHFLEKLVSWEAVSSRLEQAKVLIAEKEKEDERKKREEEEELLTGGSRAQVYPYSDAEGIVE >OIV88999 pep supercontig:LupAngTanjil_v1.0:KV862927:166370:172609:1 gene:TanjilG_07623 transcript:OIV88999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRIRIEGLLAAFPKLVGTGKQHTYVETENVRYVYQPIESLYLLLVTNKQSNILEDLDTLRLLSKLVPEYSYSLDEEGISTNAFELIFAFDEVITIGHKENVNVAQVKQYCEMESHEEKLHKLVMQSKINETKDVMKRKASEIDKSKIEKNRGEKGGFGPLQSLGSERIENSFSDLSISSSGSGFGSGPAFGLNTDIDSFSNKTKGRPTSSANAPPKGLGMKLGKSQKANQFLESLRAEGEVILEDVKPSLGQSRSAAPPLTDPITLNVEEKLNVTLKRDGGVNNFDVQGTLALQILNQEDGHIQVQVKTGENQAVAFKTHPNMNKELFSNESILGLKDPNRPFPTGQASDAAGVGLLKWRMQSTDETMVPLTINCWPSSSGNETYVSIEYEASSMFDLQNVVISVPLPALREAPSVSQIDGEWRYDSRNSILEWSILLVDNSNRSGSMEFVVPQADSSAFFPISVSFMATETFSDLKVVNIIPLKGGNAPKFSQRTQLITENYQVV >OIV89072 pep supercontig:LupAngTanjil_v1.0:KV862461:14240:14911:-1 gene:TanjilG_28626 transcript:OIV89072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLIQNLELIRQDQTKPVTQTPSHHWLDRLRSRKGFPTSQHLDLGSFLLTNTNTNTFSLHTRPDNSNPTRTRTHTHASFLAQLFNGASFIRTHPYKKCPRKQPHPTKVHPTSSPSPASSTTTAATDPGIFPLNITVAVPEEEREQEEAEAVGFDDDDEYNDLKGFSKSEVNVIDTSSSAWKAVKFVYRKQNVWKLRERKRKSRLLAKKENNNIRMANEIGFSK >OIV89071 pep supercontig:LupAngTanjil_v1.0:KV862461:9062:9739:1 gene:TanjilG_28625 transcript:OIV89071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSIRVLDELYESMKHDKKIIKVSPNWLDRLRAKKGIPTGSSPDLGTFLLSQANSNPQIPHFPENSQNLPLRRRPRKQARPTKRVLGFPHPSTSSTSTVVDPVAAVDHIPPIAPAALVAPVDLVAHAEGDHQEEGDPPVPVVENPPPRFRVNMIQAIFRPRQEEEEEEEEEEDFNDEEEESNITDLDGFNSARVEYHQNQLDEESDSSVGDDDNSDTSGASVIVG >OIV89070 pep supercontig:LupAngTanjil_v1.0:KV862465:5175:8030:-1 gene:TanjilG_28627 transcript:OIV89070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIEMGPLSVLVSIAASFMLVRYLLNNVNWWLYESKLGAKQYSLPPGDLGWPLIGNMWSFLRAFKSTNPDSFVDSYIARYGKTGIYKALMFGKPSVIVTTPEGCKKVLTDDDKFTPGWPVSTVELMGEKSFVSISYEDHRRLRRLTSASVNGYEALSIYITYIEENVKSSLEKWTNMGEIEFLTEMRKLTFKVIMHIFFGATSANVREGLEKEYTSLNHGIRAMRINIPGFAFHKALKARKNLVAIFQTVVDQRRIERKGRTPVTGKKHKDMMDALMDAVDENGKTLGDDDIIDIMLMYLNAGHESSGHITMWATYYLQKHPEYLQKAKEEQEEILKKRPSTQKGLVLEEVRKMDFLSKVVDETLRLVTFSPMVFREALSDVNINGYLIPKGWIAMTWFRNVHYDNDIYPNPMEFNPYRWNITRKAGEFLPFGAGTRLCLNLRIQIVLSDTYLIQGPRTIAWQGSRKYLLDFAASS >OIV89068 pep supercontig:LupAngTanjil_v1.0:KV862474:3007:8916:-1 gene:TanjilG_28670 transcript:OIV89068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGDCQVMSNMGGNVVVNSDSLFSSSIQNSNFNFMPTMPFQHFPPLKEEGGILLGKDEMESGSGSEQVEDKSGNEQEGEEPPKKKRYHRHTARQIQEMEALFKECPHPDDKQRLKLSHELGLKPRQVKFWFQNRRTQMKAQQDRADNVILRAENESLKNDNYRLQATLRNIICPNCGGPAIMGTDMAFDEQQLRLENARLREELERVCCLTSRYTGRQIQTMAPPLALMDPSLDLDMNIYPRHFTDSISPCTQMIPISSIMPPPPEASPFPEGGLLIEEEKSLAMDLAASSMDELVRMCHAHEPLWVRNFENEREVLNFEEHARMFPWPLNLKNKSELRIEASRDSAVIIMNSVTLVDAFLDAHKWMELFPTIVSRAKTIQIISSGASGHASGSLQLMYAEFQVLSPLVSTRETHFLRYCQQNAEQGTWAIVDFPIDSFHENFHPSYPRYCRRSSGCEIQDMPNGYSRVTWVEHAEVEEKPVHQIFSDYVYSGMAFGAQRWLGVLKRQCERVASLMARNIPDLGVIPSPEARKNLMSLAQRMTRTLSLNMSTSSGQSWTAISDSPEDTVRITTRKITDSGQPNGVILGAASTIWLPYPHTKVFDFLRDEHQRSQMDALSNGNSLNEVAHIANGSHPGNCISLLRINVASNSSQNVELMLQESCTDQSGSIVVYTTIDVDSIQLAMNGEDPSCIALLPQGFIIVPMDTPNVGTSSEAVKGKLPATTSVNSGCLLTVGMQVLASTNPSAKLNLSSVTAINNHICNTMHHIETALCNNTNSFHHENNGSFVGSCTEPTTSGAPPK >OIV89069 pep supercontig:LupAngTanjil_v1.0:KV862474:11986:13822:-1 gene:TanjilG_28671 transcript:OIV89069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLWEITLATAYFLGLKRTYRLAIKIQRKIVRPKYPKIRQFLHRRTRAIFDVAISVHRNIQERDVEVGRNVGNFILRWLDRIKPSAQIRGPLSGSPVGSSGEKITKNATATSNNSKPPGYSRFFKKDSDRHLFTASLPKPFPTISMIVKQPNSAWTTIHGRRLGSYAPEAFRSNYRGNWSEGVIRKDIMQFMLGN >OIV89067 pep supercontig:LupAngTanjil_v1.0:KV862476:6484:8068:-1 gene:TanjilG_28756 transcript:OIV89067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMAAAESQQYHVLAVDDSIIDRKLIERLLKTSSYQVTTVDSGSKALEFLGLQENDETKPITPCVSPKIHQDMEVNLVITDYCMPGMTGYDLLKKIKESSSLRNIPVVIMSSENVPSRITRCLEEGADEFFLKPVRKSDLNRLEPHIKRTKLKDQKQETLQKVENIEQQQILQPESETIIEQQHQQSLQQGNNNKRKTIEQGLSPDRTRPRYNSGIATVV >OIV89066 pep supercontig:LupAngTanjil_v1.0:KV862478:5401:9590:-1 gene:TanjilG_28758 transcript:OIV89066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSQLVCNGCRNILLYPRGATNVCCALCNTITSVPPPGMEMSQIYCGGCRTLLMYARGATSVRCSCCQTVNLVPASNQVSHIHCGNCRTTLMYPYGAPSVKCALCHYITNASTTNGRLPIPGQRPDGTTNPGTVSSATTSQSQTVVVENPMSVDSSGKLVSNVVVGVTTDKK >OIV89065 pep supercontig:LupAngTanjil_v1.0:KV862489:1761:3884:1 gene:TanjilG_29328 transcript:OIV89065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMMPYQLSRLPYQETLKLVEADIQHANAMAAAIPRAKGGTILKMKLVYNHMAPLFLLLLQWMDCSCACFLHSYLNLFHIHIYKIQNDGRSNISSHGRKATIRDFYAVILPSLQRLNGSLEKMEMYKEGKSGIEGSSFGKEMIEGDGKLINVDLEREDECGICLEPCTKVVLPNCCHAMCIKCYRKWNTRSESCPFCRGSLRRVNSEDLWVLTCNEDVVDAETVSKEDLLRFYLYINSLPKDHPDALFLMYYEYLI >OIV89064 pep supercontig:LupAngTanjil_v1.0:KV862489:105:527:1 gene:TanjilG_29327 transcript:OIV89064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLDQQGKHGMRDDLKYLKDDMKLGLDQIAYSEGKPSSSSIRLDQIDLGRLDHDSLDKEIGQTSQSRPNCPTSMNLIGIQAYDGSSLVQLGGHFSIKKMNVINNLIQGNHRLVYDAFANLVQKHQALCLCLLIFVVLFL >OIV89063 pep supercontig:LupAngTanjil_v1.0:KV862495:5584:6435:-1 gene:TanjilG_29331 transcript:OIV89063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSFFLQLNTSSPNERRGRRKQAEPGKFLGVRKRPWGRYAAEIRDPTTKERHWLGTFDTAEEAAIAYDRASISMKGSQARTNFIYSDNNNNNNNNTIFHNFITPMEEVQSLLPTPQFITNTQSQNAICDETVYGSKPILDDSFFFSNDSNNNSGYLECIVPDSCLRPVSMQSNVNALEAHSHFDNTAFSQGALNMQTTMQASNFGGFSYQSEPSQGFLDDKQSWNCNSSELSAIFNKPLRTEDGYCMAALCPISESSNYGTVSSCSPSLPPPFGDVDLGYSPF >OIV89062 pep supercontig:LupAngTanjil_v1.0:KV862498:460:855:-1 gene:TanjilG_29415 transcript:OIV89062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLGKLTKLKSAIKRWPSLTKLSRNNSCVSSACNDSSSHNNNGGAASNEEQQHGLSAVYVGKSRRRYLVNSNTIDHPVFQELVDKSCSCSDGVVVSCEVVLFEHFLWMLENNEIQMGSMDEIVEFYNCAC >OIV89060 pep supercontig:LupAngTanjil_v1.0:KV862508:3016:3336:1 gene:TanjilG_29725 transcript:OIV89060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQEQGVSKEVEKNFIDLLAEEVRQKESEAEEKQRIADIKLLEAKKIASQYQKEADKCNSGMETCEEARERAEATLENQMKETALWELRARQRGWKEGAKKSRAHF >OIV89061 pep supercontig:LupAngTanjil_v1.0:KV862508:4580:9067:-1 gene:TanjilG_29726 transcript:OIV89061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQAEKLLLEAALEDPANQRFVLLSDSCVPLYNFSYVYDYVMASPRSFVDSFLDVKEGRYNPKMSPKIPREKWRKGSQWITLIRSHAEVIVDDDIIFSVFKKYCKRRPPIDSRKGKLNLKLQKQHNCIPDEHYVQTLLAMHDLEGELERRTLTYTLWNQSAAKKENQWHPMTFSYANANPQRIKDMKGINHVYYETEYRTEWCHSNSTSVPCFLFARKFSQGAAMRLLSEEVIGHFEVSAMLDTPL >OIV89058 pep supercontig:LupAngTanjil_v1.0:KV862510:5043:5294:1 gene:TanjilG_29727 transcript:OIV89058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSTGERSFADIITSIRYWIIHSITIPSLFIAGWLFVSTGLAYDVFGSPRPNEYFTESRQGIPLITGRFDPLEQLDEFSRSF >OIV89059 pep supercontig:LupAngTanjil_v1.0:KV862510:8101:8352:-1 gene:TanjilG_29728 transcript:OIV89059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSTGERSFADIITSIRYWIIHSITIPSLFIAGWLFVSTGLAYDVFGSPRPNEYFTESRQGIPLITGRFDPLEQLDEFSRSF >OIV89057 pep supercontig:LupAngTanjil_v1.0:KV862514:404:1318:1 gene:TanjilG_29890 transcript:OIV89057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSTRGMARSAEDWVQEREEMRREREEQRIRMELNEARVIRLEEALAQLTTRPRVNQSHDEESGIGGDRELRENWGRDRWRKLEIPIFSGDDAHGWVNKLERYFMLKEVNEEEKLQATLMALEGKALSWYQWWSRCNLQPSWEGFKLAVIRRFQPSLVQNPFEQLLALKRQGSVVEYVEEFEKYMGALKEIDPNFVKGIFLNGLKEEVKAEVRLYEHPSLSEVIQKSIMIEKKNTILYKKSNTSYYSRTTSFSRNNGQNRYVTVESKPWEDKKTTQSSVGSVLGNSGICQQGTTTEPEQEGLSI >OIV89056 pep supercontig:LupAngTanjil_v1.0:KV862517:596:5373:1 gene:TanjilG_29891 transcript:OIV89056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSPPNSIFHYRSSFFKSRRSSFIFFLCLLFILSSFLFSLLRPVRPNPCTNRKPKSVRVVWDTNVGAVAKNDKSRYKVMGFVGIFTGFESVGRRQSLRNTWFPSDPNSLQRLEEATGLAFRFIIGRTSDRWKMSALQREIAEYDDFIQLDIQEEYSKLPYKTLAYFKAAYALFEADFYVKADDDIYLRPDRLSLLVAKERSHRQTYIGCMKKGPVFTDPKLKWYEPQSNMLGTEYFLHAYGPIYALSADVVSSLVALRNNRHVFLSPQLNCLAT >OIV89055 pep supercontig:LupAngTanjil_v1.0:KV862520:1036:1608:1 gene:TanjilG_29993 transcript:OIV89055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKSVIDASYINNRCKEKDLATVEEELRKKRKVDVHGGEGQSSQVPEQAPQPIPITQEQQPNQMDLILAQMQQIQVQMLQAQEQTQARLKAIETEQNFQNQQQIACYRGITGVYECLQHVYDGHPYFAGRSFADFITHTQWPEGRPYDRQGESSSHAARAGDGATAGAEPGDGATDDTDDFMRTDDPEV >OIV89053 pep supercontig:LupAngTanjil_v1.0:KV862521:3373:3543:1 gene:TanjilG_29995 transcript:OIV89053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEHSTAQSKAAIDSTTYWKGIGRTDRPLGQDRLLARWSAISDLGFLTTLKGKTLT >OIV89054 pep supercontig:LupAngTanjil_v1.0:KV862521:4199:4447:-1 gene:TanjilG_29996 transcript:OIV89054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGQFRKNGKIWVRVFADIPITGKPTEVRMGRGKGNPTGWIARVSTGQVLFEMDGVSLSNARQAATLAAHKPCSSTKFVQWS >OIV89052 pep supercontig:LupAngTanjil_v1.0:KV862521:1522:2486:1 gene:TanjilG_29994 transcript:OIV89052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPSWGADRIGVSLGSHRPTVILKLPCLVEKKRTKVRSLAVLFSAANWDRSPARGQYIPKGALVIFDGLNKELCVGIHLVVIRIVHLGRKSGWLFCALYFKQAASSLMMAYGGDEFVHPDNAVPVSLTRSGYPRIIPSHHRRMILKKDEKADMLVKFY >OIV89051 pep supercontig:LupAngTanjil_v1.0:KV862529:6252:7316:-1 gene:TanjilG_30302 transcript:OIV89051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSLQNDDVFIDALDDCLFLHCSADGHHDLSESSPSSSTISQPDPTSPPPATTLRRRSIRRRSPVRDSANSTNIDSDNTLINGSRTSSRNNRNPEVLKENENSPEKVRSFSSPSTVTEEKKEESTLTTAQNDDVSSDDSAESALQLRDSSSKLLDYITGLVISAILFQVKLFIFFMKLPMLSIFHFCMFFVDPYGTIEKGKSYFMEILGRVWDCVFGCIGPSLSRFFNEDKTFWNMAFRCGWGLLWSIYVCCILIAILVSSLMVSGILMNCLVEKPFQMKQVLNFDYTKQSPIAYVPTMSCAGVVQESETNVAVREWVSKGVIPARQKVQVIVWLTMPESGYNRNLGVFQVPM >OIV89050 pep supercontig:LupAngTanjil_v1.0:KV862530:1322:1792:-1 gene:TanjilG_30533 transcript:OIV89050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSSGNSSVCTKFKSFGSEEDLQILMDQRKRKRKQSNRESAKRSRMRKQKHLDDLIEQVEKLRKENSEILTRVSIITQHYDRVEAENCILRVQMGELSQRLQSLNDILELINTTNGIYEMDNCYVTGATDYNFMNPMNMMYLNQPIMASADMFQW >OIV89049 pep supercontig:LupAngTanjil_v1.0:KV862545:744:1028:-1 gene:TanjilG_30824 transcript:OIV89049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNGHKAPITCSGNAHFKSPFDSNISMSLYNLLLVPTITKNLLSVSQFAKDNHCFFEFHPNHCLVKSQATKQVLLKGSLTAKGLYAFSSLVKPS >OIV89048 pep supercontig:LupAngTanjil_v1.0:KV862548:1439:1633:-1 gene:TanjilG_30826 transcript:OIV89048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKGGKQKSSSSSKSSLFYEAPLGYSIEDIRPNGGIKKFRSAAYSNVSFLNTITVTMYFILVI >OIV89047 pep supercontig:LupAngTanjil_v1.0:KV862548:64:1131:-1 gene:TanjilG_30825 transcript:OIV89047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMQVSAIGFEGFEKRLEICFFHPGLFSDPEGKGLRSLAKSQLDEFLAPAECTIVSSLSNEDVDSYVLSESSLFIYAYKIIIKTCGTTKLLLSIPHILALAESLSLKVMSVRYTRGSFIFPGAQSFPHRNFSEEVAILDGYFAKLGAGSVAYVMGGLDKVQKWHVYSASADRVNPSDSVYTLEMCMTGLDKEKASVFYKDLSGSGAVMTVNSGIRKILPDSKICDFEFEPCGYSMNSVEGAAVSTIHVTPEDGFSYASFESVGYDLTKMNLNKLVKRVLACFQPTEFSIAVHTDHASKFFEQNFLLDVEGYCLEERNHEGFGMGGSVFYQKFEKTFESGSPRSTLKCWKDEDEEE >OIV89046 pep supercontig:LupAngTanjil_v1.0:KV862558:354:1896:1 gene:TanjilG_31076 transcript:OIV89046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RAGSQEHDNSLRKISNLPRLAYSREESQPPNSRSSSNLIVKWRPEDHKNQLSEGIEYRIGIMETSLSRFAMIFDSVQSDVMQVNKGTKELLLEMEFIRQKLIALDNSIQLLTKGQEEIKASIAGSLKSLSEHMSNQEKLQEVYSAISTLPQLIRKSLQSVQSDLLNTTTEMQARNLLHFKKPQPKGSGTVYSIFKGALFLCLNEP >OIV89045 pep supercontig:LupAngTanjil_v1.0:KV862559:4635:5550:-1 gene:TanjilG_31078 transcript:OIV89045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPDAKVNYPIISDPNREIIKQLNMVDPDEKDSTGNLPSRALHIVGPDKKIKLSFLYPSTTGRNMDEVLRVIESLQKTSKFKVATPANWKPGKKVVISPDVTNEQAEEMFPQGFVTKDLPSKKEYLRFVKV >OIV89044 pep supercontig:LupAngTanjil_v1.0:KV862561:3614:4825:-1 gene:TanjilG_31157 transcript:OIV89044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQEIVQFDKWIWLPSDLLYEIGKHLQSPKDYVRFSATCKSWNMKLPKTPDHIRGPLLVLPFNHETYDIKEEKDFYLRMPEMQNNILLRGSCFGWLISIRIDGVIQMLNPFTNASYDLPPLSTIPSIVDYHPEFKDQEYTVFFYNYDGSTYNTLLNRSAVQKLRLKKIVTSSSPCENMLAFGIYGEFNRLAWCKFGDTKWTDFSIPGMHKIMLKDAIFDAGKVYALNLDAELYVFDVTTSIGGITKVVPKSKDLCGITTNIHSKYLVRNVESDLLMVLRFFNCHEVNGIDYPEVCYNTEKFEVYKLDKTANKWRNISSLGDYVIVVGFNSSLCTLPFSDGKGNWVRNCIYFSDHQIDSQFNEIVGGHDVGIFNLKNGKVHELFPNLELLHPPPIWLFSQNSIC >OIV89043 pep supercontig:LupAngTanjil_v1.0:KV862562:2015:4168:-1 gene:TanjilG_31158 transcript:OIV89043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQSDTFLWNVMIRGYTDHGLFLDAINLYHRMRYQGVRTDNFTYPFVIKACGVLLELEEGEKIHGNLIKLGLDADVYICNAIIIMYGKLGHIGSALKVFEEMPVRDLVSWNSIITAYSLVQQYLSSMEWFREMLRQQIRPDKFSLIIALNVCSLCVYCSGGREIHCFALKSGFALDVMVQTSLVDTYCKCGMLSYAERVFDRIYLRNVVAWNAMIGGYTLNGQPLQAFSSLKKMLKDDNLDVDNVTLINLLPSCAQLGAVLQGKSVHGLAIRKGFLPHPVLETSLLDMYGEFGILKFAELIFNQMVDKNLITWNAMIALYVQNGWNEKALKLFEELLSGYLKPDTVTISSILPAYAKLASLRECRQIHGYVMKLNYHSNLFVSNSLIHMYASSGDLVTARTLFDQMSSRDIISWNTIIMAYAIHGMGRMSMELFSEMKERGFQPNGSTFVSLLTSSSNSGMVNEGWAYYNLMKQDYGIEPQIEHYGCMLDLIGRTGNLDQAMSFIEEMPLLPTARIWGSLLNACRNKGNIELAELAAERIMSIRHDNTGCYVLLCNLYAEAGRWENSEHIKSIMKKAGIEKTLACSTVQTKFRLHRFFNQDRSHIESDMIYNVLGIISRNTGPSKCEEYVNKFKPSDLARKKANLPENHSIRLAVCFGLISTALGNPVLVRKNTRICEDCHIVVKRISEITKSEIIVGDSKVFHHFRDGYCSCGDYW >OIV89042 pep supercontig:LupAngTanjil_v1.0:KV862563:4331:5317:-1 gene:TanjilG_31159 transcript:OIV89042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLSLFLHLPTLHEEVSCEYKDYKEAIHIPGCLPIHGNDLPEHLQDRSSLSYELILERCKRFSLAHGFLVNSFSEIEGERVSTLVKIGKKPIYLVGPVIQTGASIELNKAECMKWLEIQRPKSVLYVSFGSGGTLSEEQVNELAFGLESSGQKFLWVFRAPNVSANALYLSTSNDDPLQFLPDGFLERTKGQGLVVPNWAPQTQILSHKSIGGFLTHCGWNSTLESIVLGVPMITWPLFAEQRMNAVLLTKGLKVALRPKFNDNDIAEKKEIAEVIKELMLGEEGHGISQRIEELKYVALSALKEDGSSTRALSQFITDIENFLRHKV >OIV89041 pep supercontig:LupAngTanjil_v1.0:KV862585:4105:4527:-1 gene:TanjilG_31411 transcript:OIV89041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSDTQFERVLGYFDEDGDGKVSPSELRHRLSMMGGELLLKEAEMAIEALDSDGDGFLSLEDLIVLMESGGEGEKLENLRDAFEMYDTGRCGFITSKSLKNMLKRMGESKSIDECKVMINQFDLNEDGMLSFEEFRIMMH >OIV89040 pep supercontig:LupAngTanjil_v1.0:KV862600:142:653:1 gene:TanjilG_31656 transcript:OIV89040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VLGSLNPYDTLEPCYHGSENTRSDNRLPLSFRKLGETDKPMPVRRRMFGRAWPLGQPVKSGIVPTWPELSYTGSVPCFDDEVGTVWLNNEAVRKAIHTVDVSFVSK >OIV89039 pep supercontig:LupAngTanjil_v1.0:KV862603:205:1603:-1 gene:TanjilG_31657 transcript:OIV89039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENTNTLLYLCLILLSISLHFVLTQSAPENSLITQLPGFNGTLPSKHYAGYVTVEKSHEKNLYYYFVASEGNPSKDPVVLWLNGGPGCSSFDGFVYEHGPFNFEKPKTKGTLPKLHLNPYSWSKV >OIV89038 pep supercontig:LupAngTanjil_v1.0:KV862606:2917:3785:-1 gene:TanjilG_31817 transcript:OIV89038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNDVGSRPGVPPNSIPSQPNPFGNAFNVAGSGLIRGGLGAYGGKILGSSSEYVQSNISRYFSDPQYYFQVNDHYVKNKLKVVLFPFLHRGHWTRITEPVGGRLSYKPPIYDINAPDLYIPLMAFGTYIILAGLSLGLRGK >OIV89037 pep supercontig:LupAngTanjil_v1.0:KV862619:3201:3494:-1 gene:TanjilG_32270 transcript:OIV89037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSMCFKLNNKKDDIAVQQEPKGKIAMISFLLIPIHQNVLTPDAGWYIPLRTCVVVLESNYKKCALTYMSKWSERLHITPECITAIHGASSSPLIL >OIV89036 pep supercontig:LupAngTanjil_v1.0:KV862625:2036:2940:-1 gene:TanjilG_32375 transcript:OIV89036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHDLPCSFYCHLDSTQRIERFTKYDGECSRRLMAKYFSGKIPFWRLPCFCSYTDLVVGFEEQCINGSIPHPDAEGNMSNGKYTVKKSN >OIV89035 pep supercontig:LupAngTanjil_v1.0:KV862640:260:1021:-1 gene:TanjilG_32652 transcript:OIV89035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWQPLNAFVDNAFFRANSSLHNTIGGASAARVSDIRESSDFADGVKFASNPRFHPHSLPEYHGSLANGSPYSFSSTISNMASNTGIATTEASDRRHIQGMGSTRNITEFNAGGNGIHAHNGLYDMWNNSSLHQQPSSDVVLWQKTPSLVNGTCALGFPRMPSFSRTQPQMLRKPHMDHCWIINRK >OIV89034 pep supercontig:LupAngTanjil_v1.0:KV862668:831:2436:1 gene:TanjilG_33076 transcript:OIV89034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GVVFEKRITELSLSEFLSYGPQREVVFDHAKDRTIIFSTFQPDAASLVKKLQSTYPVFFLTNGGCEIFEDERRNSLEEALKVCLENGLQGIVSEIKGVFRNPGVVTKIKESEISLLTYGSLNNVPEVVYMQHLMGIDGVIVDLVQEITEAVANLIKSAMVVDEEGLTKGVGKLQLHSKPKFSHLELSFLLRLIPQLIQI >OIV89033 pep supercontig:LupAngTanjil_v1.0:KV862683:1522:1827:1 gene:TanjilG_01139 transcript:OIV89033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIIYQDSPSPPSSSHAPPSSLSGPLSPTPLSYCLPRTPPPSWPGVAAATPSSSPTPLSCMIKKAEKEAHLFLENNRKLQNTKEALEAKRTQQIRILMSMR >OIV89032 pep supercontig:LupAngTanjil_v1.0:KV862706:124:816:-1 gene:TanjilG_01655 transcript:OIV89032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQLPLLVVPDFTQTFVVETDASSKGIGTVLMQQSRPLAFWSQGLSLRAQQKSVYERELMALVQAIQRWRHYLLGRHFIVKTDQKSLKFLTDQRLFTEEQFKWAVKLIGMDFEIQFKPGKENQVADALSRREMYAAISLVSLPEKEDWTREVTLDPKWKQLVQDLIVSPSSHEGYEFRGGLLLYKGRLVLARDSNRISTLSKECHTSPMGGHSGFFRTYKRLASCVFGWE >OIV89031 pep supercontig:LupAngTanjil_v1.0:KV862715:785:1627:1 gene:TanjilG_01744 transcript:OIV89031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLLMKPPRQGSVVIRRIWRSNVEAEFHLIRNIITSYPVIAMDTEFPGLVIRSESNFRHRKPSENYALLKANVDRLHLIQVGLTLSDKHGNLPNLGTPYSFIWEFNFCDFDVSRDLHAPESIALLRRQGIDFEMNRKFGIHLMHFRQLLLRSRILGRRNQVSWVTFHSAYDFGFLVKILTRRPLPEELAGFLHTVRIFFGEMVFDVKHVMKFCSNLYGGLDRICQTLRVDRVAGNSHQAGSDSLLTLHAFHKIKQVYFGTKNSDELLKFAGVLYDLEENY